smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name C[C@@H]1CN(c2nc3c(cc2C#N)CCCC3(C)C)[C@@H](C)CN1C ZINC001164637893 719254705 /nfs/dbraw/zinc/25/47/05/719254705.db2.gz XCZRCPFHHUFHFU-KGLIPLIRSA-N 0 1 312.461 3.096 20 30 DGEDMN C=CCN1CCN(c2cc(Cl)nc3cc(OC)ccc32)CC1 ZINC001165031524 721867058 /nfs/dbraw/zinc/86/70/58/721867058.db2.gz NBCIYABUIGDHDG-UHFFFAOYSA-N 0 1 317.820 3.205 20 30 DGEDMN CCC1(CC)C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CCS1 ZINC001595375550 980897308 /nfs/dbraw/zinc/89/73/08/980897308.db2.gz QDLZKGCYWHAMGD-OAHLLOKOSA-N 0 1 318.442 3.292 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@@H+](CC#Cc1ccc(F)cc1)CC1CC1 ZINC001594778560 981778782 /nfs/dbraw/zinc/77/87/82/981778782.db2.gz VJYOWEFXGCQYRL-GOSISDBHSA-N 0 1 303.377 3.143 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1cc(F)ccc1C#N)CC1CC1 ZINC001594787348 981816451 /nfs/dbraw/zinc/81/64/51/981816451.db2.gz AWTAJAMTGWIQFN-QGZVFWFLSA-N 0 1 304.365 3.163 20 30 DGEDMN CCC[N@H+](Cc1cccc(C(=O)[O-])c1C#N)C[C@H]1CCCCO1 ZINC001595899094 982947540 /nfs/dbraw/zinc/94/75/40/982947540.db2.gz COSBMNGAFMEMMZ-OAHLLOKOSA-N 0 1 316.401 3.038 20 30 DGEDMN C#C[C@H]([NH2+]Cc1cc(C(=O)[O-])c(CC)[nH]1)c1ccc(Cl)cc1 ZINC001588376963 983354739 /nfs/dbraw/zinc/35/47/39/983354739.db2.gz HNACTPZQSRKOCV-HNNXBMFYSA-N 0 1 316.788 3.393 20 30 DGEDMN C#C[C@H]([NH2+][C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1)C(C)C ZINC001588377977 983360441 /nfs/dbraw/zinc/36/04/41/983360441.db2.gz SXWGCBDUJULZKW-STQMWFEESA-N 0 1 300.185 3.367 20 30 DGEDMN C#CC[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C(F)(F)F)c2)C1 ZINC001588434080 983469055 /nfs/dbraw/zinc/46/90/55/983469055.db2.gz GIGIFOUNUAWQDM-FZMZJTMJSA-N 0 1 311.303 3.176 20 30 DGEDMN C#CC[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001588434290 983469454 /nfs/dbraw/zinc/46/94/54/983469454.db2.gz IUZXNKPHKXOIQR-QMTHXVAHSA-N 0 1 312.196 3.464 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC001588664872 983805810 /nfs/dbraw/zinc/80/58/10/983805810.db2.gz YPVZVXAQRCRGSI-INIZCTEOSA-N 0 1 300.333 3.035 20 30 DGEDMN CC[N@@H+](Cc1cc(C(=O)[O-])c(C)[nH]1)[C@@H](C)c1ccc(C#N)cc1 ZINC001596354434 983818094 /nfs/dbraw/zinc/81/80/94/983818094.db2.gz QQJLUMNIBAUCRT-ZDUSSCGKSA-N 0 1 311.385 3.476 20 30 DGEDMN C[C@@H](C#N)C[N@H+](C)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328895 986159927 /nfs/dbraw/zinc/15/99/27/986159927.db2.gz HAZCNPLZQZWUFM-UFBFGSQYSA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328895 986159929 /nfs/dbraw/zinc/15/99/29/986159929.db2.gz HAZCNPLZQZWUFM-UFBFGSQYSA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@@H](C#N)C[N@H+](C)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328892 986160223 /nfs/dbraw/zinc/16/02/23/986160223.db2.gz HAZCNPLZQZWUFM-QPUJVOFHSA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328892 986160226 /nfs/dbraw/zinc/16/02/26/986160226.db2.gz HAZCNPLZQZWUFM-QPUJVOFHSA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)C[C@H]1CC(=O)[O-] ZINC001599866611 989273433 /nfs/dbraw/zinc/27/34/33/989273433.db2.gz SDTZCNZOQQRADV-UKRRQHHQSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)C[C@H]1CC(=O)[O-] ZINC001599866755 989278009 /nfs/dbraw/zinc/27/80/09/989278009.db2.gz QNLBFJHDGPXTJU-UKRRQHHQSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@@H](c1ccc(F)c(Cl)c1)[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001593693881 996147227 /nfs/dbraw/zinc/14/72/27/996147227.db2.gz RLRMKPMJTGJRLV-JTQLQIEISA-N 0 1 310.756 3.230 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+]1CCOc2c(cccc2C(=O)[O-])C1 ZINC001593699728 996172006 /nfs/dbraw/zinc/17/20/06/996172006.db2.gz WXXYIRSAUZUPLI-CYBMUJFWSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@H+]1CCOc2c(cccc2C(=O)[O-])C1 ZINC001593699728 996172008 /nfs/dbraw/zinc/17/20/08/996172008.db2.gz WXXYIRSAUZUPLI-CYBMUJFWSA-N 0 1 322.364 3.212 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCC[C@H]1CCC ZINC001273468604 1083387676 /nfs/dbraw/zinc/38/76/76/1083387676.db2.gz SJAWIBZYOAXIAQ-HUUCEWRRSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCOC[C@H](O)CNCc1c(Cl)ccc(Cl)c1Cl ZINC001252491308 1103812304 /nfs/dbraw/zinc/81/23/04/1103812304.db2.gz RDVAYCLEZZOPQR-SECBINFHSA-N 0 1 324.635 3.300 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C)cc2Cl)CC1 ZINC001161291509 1081649163 /nfs/dbraw/zinc/64/91/63/1081649163.db2.gz JUGTWMITFOXPGC-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001266336323 1081677138 /nfs/dbraw/zinc/67/71/38/1081677138.db2.gz NFWMELIUHAFUMD-WBVHZDCISA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCCN(C(=O)OC(C)(C)C)C2)C1 ZINC001209157424 1081692148 /nfs/dbraw/zinc/69/21/48/1081692148.db2.gz VWBKYTAJYAFNOJ-GOSISDBHSA-N 0 1 324.465 3.055 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)/C=C(/C)C1CC1)C2 ZINC001279214256 1081717412 /nfs/dbraw/zinc/71/74/12/1081717412.db2.gz WMJNVDJUVFUDJE-PGLHHWPRSA-N 0 1 322.880 3.454 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC001266389942 1081734876 /nfs/dbraw/zinc/73/48/76/1081734876.db2.gz FNGPZCYDRABZOS-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109596371 1081878642 /nfs/dbraw/zinc/87/86/42/1081878642.db2.gz OHYXAIMOMRNQHJ-AGIUHOORSA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)on1 ZINC001266476492 1081880055 /nfs/dbraw/zinc/88/00/55/1081880055.db2.gz YYXQJORREAPUBN-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCN2Cc2cccc(F)c2)C1 ZINC001266482388 1081885775 /nfs/dbraw/zinc/88/57/75/1081885775.db2.gz ASMJJUBYQGGMGH-QGZVFWFLSA-N 0 1 316.420 3.263 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1ccccc1C)C2 ZINC001109799253 1081915419 /nfs/dbraw/zinc/91/54/19/1081915419.db2.gz PXBSRLZHMGJFKN-JYBIWHBTSA-N 0 1 324.468 3.233 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1ccccc1F)C2 ZINC001109855314 1081924229 /nfs/dbraw/zinc/92/42/29/1081924229.db2.gz ZAXNGSNZQDRERS-DACLVMHWSA-N 0 1 316.420 3.227 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cc(C)cc(C)c1)C2 ZINC001109934122 1081935723 /nfs/dbraw/zinc/93/57/23/1081935723.db2.gz OXNBRNLFZHZWAV-QYZOEREBSA-N 0 1 312.457 3.144 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cccc(F)c1O ZINC001266521612 1081940603 /nfs/dbraw/zinc/94/06/03/1081940603.db2.gz KOOFOIQMAMQHTI-JTQLQIEISA-N 0 1 300.289 3.074 20 30 DGEDMN CC1(CC(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)CCCC1 ZINC001266522505 1081942381 /nfs/dbraw/zinc/94/23/81/1081942381.db2.gz NAGBBRWVFGTTPA-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C2 ZINC001109981137 1081943004 /nfs/dbraw/zinc/94/30/04/1081943004.db2.gz MMGYDSWXPLDCTQ-NCOADZHNSA-N 0 1 304.478 3.194 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)OCC(C)C)CC1 ZINC001112659878 1081982108 /nfs/dbraw/zinc/98/21/08/1081982108.db2.gz QYJIESGGEQEWJZ-KRWDZBQOSA-N 0 1 312.498 3.162 20 30 DGEDMN C=CCCC(=O)N1CCN(CCCc2ccccc2C)CC1 ZINC001112688412 1081990149 /nfs/dbraw/zinc/99/01/49/1081990149.db2.gz OSHNJLPIBBLJHL-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCOCCCC(=O)N1CCN(CCC2CCCCC2)CC1 ZINC001112755475 1081997151 /nfs/dbraw/zinc/99/71/51/1081997151.db2.gz HONFMFAHDPXDKT-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H]2CCCCO2)CC1 ZINC001112764187 1081998573 /nfs/dbraw/zinc/99/85/73/1081998573.db2.gz UHWJSCWKKJDCBS-QGZVFWFLSA-N 0 1 310.482 3.060 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccncc2C)CC1 ZINC001112823729 1082014069 /nfs/dbraw/zinc/01/40/69/1082014069.db2.gz LHHDYZXERAGUHZ-UHFFFAOYSA-N 0 1 303.450 3.118 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC[C@@H](F)C2)CC1 ZINC001112835900 1082019763 /nfs/dbraw/zinc/01/97/63/1082019763.db2.gz TUPPHFPKWWSSBH-IAGOWNOFSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)Cc2cccc(F)c2)CC1 ZINC001112855370 1082025063 /nfs/dbraw/zinc/02/50/63/1082025063.db2.gz TVFPZPATCGWFEC-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc3c2CCCC3)CC1 ZINC001112875670 1082031290 /nfs/dbraw/zinc/03/12/90/1082031290.db2.gz AIAIFKWZTWIKLN-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CCN(CCCCCC)CC1 ZINC001112884956 1082035094 /nfs/dbraw/zinc/03/50/94/1082035094.db2.gz CVWONXMCLFPDGW-UHFFFAOYSA-N 0 1 300.874 3.490 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001112896079 1082041304 /nfs/dbraw/zinc/04/13/04/1082041304.db2.gz FKGXLZDHCHPUSE-UHFFFAOYSA-N 0 1 300.446 3.175 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001112896286 1082042224 /nfs/dbraw/zinc/04/22/24/1082042224.db2.gz POGAFHIZYQALED-AWEZNQCLSA-N 0 1 314.420 3.168 20 30 DGEDMN CC(C(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1)=C1CCC1 ZINC001266590496 1082042608 /nfs/dbraw/zinc/04/26/08/1082042608.db2.gz KQQJPYIQNNDGBV-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC001112901965 1082044977 /nfs/dbraw/zinc/04/49/77/1082044977.db2.gz CRESRVXRFXUGEJ-UHFFFAOYSA-N 0 1 317.433 3.489 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001266596289 1082047311 /nfs/dbraw/zinc/04/73/11/1082047311.db2.gz ZNITWLXQTITEBA-JOCQHMNTSA-N 0 1 308.372 3.058 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3ccccc3C(=O)[O-])sc2C1 ZINC001210970455 1082053514 /nfs/dbraw/zinc/05/35/14/1082053514.db2.gz YFVBIBAUHWXKRO-UHFFFAOYSA-N 0 1 313.382 3.049 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCCO[C@@H]2CC)CC1 ZINC001112939812 1082057155 /nfs/dbraw/zinc/05/71/55/1082057155.db2.gz KBBNWNBYNXGRBZ-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(C[C@@H](C)CC(C)C)CC1 ZINC001112967450 1082069421 /nfs/dbraw/zinc/06/94/21/1082069421.db2.gz VDZLTOZCKYFCOT-KRWDZBQOSA-N 0 1 317.477 3.114 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(C)cc2Cl)CC1 ZINC001113024075 1082093367 /nfs/dbraw/zinc/09/33/67/1082093367.db2.gz DSMWZKDAUZZHBO-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC2CC(F)(F)C2)CC1 ZINC001113030345 1082096929 /nfs/dbraw/zinc/09/69/29/1082096929.db2.gz YBVSDXMQNKVGHG-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC2CCCC2)C1 ZINC001086572699 1082101186 /nfs/dbraw/zinc/10/11/86/1082101186.db2.gz KLBRWVBOVBMJAE-RBUKOAKNSA-N 0 1 312.457 3.337 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2c[nH]nc2C(C)C)CC1 ZINC001113058763 1082101246 /nfs/dbraw/zinc/10/12/46/1082101246.db2.gz ICDPATNEUWEQEI-UHFFFAOYSA-N 0 1 320.481 3.261 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(C)cc(C)nc2C)CC1 ZINC001113130986 1082124022 /nfs/dbraw/zinc/12/40/22/1082124022.db2.gz QMROIKFOTXFGCC-INIZCTEOSA-N 0 1 315.461 3.119 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)CC(F)(F)F)CC1 ZINC001113142011 1082124308 /nfs/dbraw/zinc/12/43/08/1082124308.db2.gz WXOCMHLRHOEHDE-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001113247952 1082147591 /nfs/dbraw/zinc/14/75/91/1082147591.db2.gz LOMIWKNJFDOBHC-GJZGRUSLSA-N 0 1 314.420 3.168 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001266691999 1082150052 /nfs/dbraw/zinc/15/00/52/1082150052.db2.gz CQJJHOTWVMNFTC-CCEZHUSRSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2cccc(C)c2)CC1 ZINC001113278169 1082166531 /nfs/dbraw/zinc/16/65/31/1082166531.db2.gz DZTUHJMKNDMUEX-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(C)cccc2Cl)CC1 ZINC001113294596 1082168709 /nfs/dbraw/zinc/16/87/09/1082168709.db2.gz FEYOBZGVNUAKJP-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C)c(F)c2F)CC1 ZINC001113368073 1082184350 /nfs/dbraw/zinc/18/43/50/1082184350.db2.gz DHFJIEQQSOLKJV-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN O=C(CC1CCC1)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001266747021 1082185300 /nfs/dbraw/zinc/18/53/00/1082185300.db2.gz MANIANHHQFGWPP-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2ccc(F)cc2)CC1 ZINC001113379431 1082188426 /nfs/dbraw/zinc/18/84/26/1082188426.db2.gz WDZWTJYRJGFFNQ-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2cccc(C)c2)CC1 ZINC001113387560 1082190340 /nfs/dbraw/zinc/19/03/40/1082190340.db2.gz GGNBAXNKJHPREW-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCCc2ccc(F)cc2)CC1 ZINC001113382755 1082191647 /nfs/dbraw/zinc/19/16/47/1082191647.db2.gz UALRFKLNNWGJEW-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN(C/C=C\Cl)C2)CCC1 ZINC001266760226 1082197621 /nfs/dbraw/zinc/19/76/21/1082197621.db2.gz AFERINAERWNALY-WSVPDTOYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCC2CCOCC2)CC1 ZINC001113397646 1082199856 /nfs/dbraw/zinc/19/98/56/1082199856.db2.gz HYHJGAITDFNHGC-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3nc(C)ccc3c2)CC1 ZINC001113448716 1082216182 /nfs/dbraw/zinc/21/61/82/1082216182.db2.gz JSDQOXCUUKNMLV-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001266790468 1082218525 /nfs/dbraw/zinc/21/85/25/1082218525.db2.gz HRKYRILQVYBYRV-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3cnccc3c2)CC1 ZINC001113497168 1082232058 /nfs/dbraw/zinc/23/20/58/1082232058.db2.gz HINPFIWAPIDAMM-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ncoc2C(C)(C)C)CC1 ZINC001113507137 1082235608 /nfs/dbraw/zinc/23/56/08/1082235608.db2.gz BACIYROOSBJFAO-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCO[C@H](CC)C2)CC1 ZINC001113502923 1082235900 /nfs/dbraw/zinc/23/59/00/1082235900.db2.gz XCYDBXMLDJDZQB-ZWKOTPCHSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)c2cccnc2)CC1 ZINC001113550762 1082247963 /nfs/dbraw/zinc/24/79/63/1082247963.db2.gz NRWNYXKFKRMLKG-KRWDZBQOSA-N 0 1 315.461 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)C(C)(F)F)CC1 ZINC001113586500 1082256793 /nfs/dbraw/zinc/25/67/93/1082256793.db2.gz OFZUMTCUUJPAEB-ZDUSSCGKSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3[nH]ccc3s2)CC1 ZINC001113583454 1082254974 /nfs/dbraw/zinc/25/49/74/1082254974.db2.gz MJEHRHMSNDIOST-CYBMUJFWSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3c(c2)CCCC3)CC1 ZINC001113605336 1082260950 /nfs/dbraw/zinc/26/09/50/1082260950.db2.gz WVUAJQTUCZLLAW-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2cccc(F)c2)CC1 ZINC001113608739 1082262946 /nfs/dbraw/zinc/26/29/46/1082262946.db2.gz DWJKRTXOGDVLJO-UHFFFAOYSA-N 0 1 320.452 3.483 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cccn2CC)CC1 ZINC001113635871 1082268445 /nfs/dbraw/zinc/26/84/45/1082268445.db2.gz MGJSASLPMYSFFQ-UHFFFAOYSA-N 0 1 305.466 3.236 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CC2CCC2)CC1)c1ccccc1 ZINC001113667039 1082273483 /nfs/dbraw/zinc/27/34/83/1082273483.db2.gz LMPULALQRCMVRT-SFHVURJKSA-N 0 1 312.457 3.291 20 30 DGEDMN CC1(C)CC(CN2CCN(C(=O)CC#Cc3ccccc3)CC2)C1 ZINC001113668679 1082274494 /nfs/dbraw/zinc/27/44/94/1082274494.db2.gz UUEGNXZLGLBSSO-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001086604470 1082278784 /nfs/dbraw/zinc/27/87/84/1082278784.db2.gz FCQNNKXXLNNLLK-IPMKNSEASA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(CF)cc2)CC1 ZINC001113698248 1082286685 /nfs/dbraw/zinc/28/66/85/1082286685.db2.gz NMYUPOSULLJYLA-HNNXBMFYSA-N 0 1 304.409 3.269 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)COC2CCC(C)CC2)CC1 ZINC001113722018 1082290793 /nfs/dbraw/zinc/29/07/93/1082290793.db2.gz IWEKNEFLLUTLQT-ADKAHSJRSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(C)cccc2F)CC1 ZINC001113727128 1082293386 /nfs/dbraw/zinc/29/33/86/1082293386.db2.gz DGSZZMPMBRPDLM-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCCCCOC)CC2)CCCC1 ZINC001113745446 1082293869 /nfs/dbraw/zinc/29/38/69/1082293869.db2.gz BRUBDNGQGSIZRQ-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001266877389 1082295212 /nfs/dbraw/zinc/29/52/12/1082295212.db2.gz RYEKRFWLCDAYIX-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001266877386 1082295472 /nfs/dbraw/zinc/29/54/72/1082295472.db2.gz RYEKRFWLCDAYIX-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001266890900 1082308791 /nfs/dbraw/zinc/30/87/91/1082308791.db2.gz HQUBBJPGMZKNTG-UYAOXDASSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1scnc1C ZINC001157705796 1082320062 /nfs/dbraw/zinc/32/00/62/1082320062.db2.gz JMSATBWQBVVNLP-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccccc3OCC#N)cc2)CC1 ZINC001212600318 1082325301 /nfs/dbraw/zinc/32/53/01/1082325301.db2.gz NTQKDUWXTOXDFL-UHFFFAOYSA-N 0 1 322.412 3.084 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CCCCNCc1cscn1 ZINC001170283756 1082341480 /nfs/dbraw/zinc/34/14/80/1082341480.db2.gz YGCAVRFSEQDNHI-HNNXBMFYSA-N 0 1 321.490 3.101 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1cc(Cl)ccc1F ZINC001266937199 1082356741 /nfs/dbraw/zinc/35/67/41/1082356741.db2.gz DOOGFLMSNAVESL-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C)c(Cl)c1F ZINC001266956542 1082373815 /nfs/dbraw/zinc/37/38/15/1082373815.db2.gz QSJPQDVJWRBFBD-SNVBAGLBSA-N 0 1 319.207 3.248 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001086608842 1082375872 /nfs/dbraw/zinc/37/58/72/1082375872.db2.gz CVKGDPUFNXTZHV-RYQLBKOJSA-N 0 1 324.424 3.153 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2ccc(F)cc2F)CC1 ZINC001266967628 1082386323 /nfs/dbraw/zinc/38/63/23/1082386323.db2.gz HPXTXCBHRAPJLD-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CCCCN[C@H](C)c1ncc(C)o1 ZINC001170424517 1082390133 /nfs/dbraw/zinc/39/01/33/1082390133.db2.gz ACIVAPBAPGBMTQ-GDBMZVCRSA-N 0 1 319.449 3.112 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266975131 1082394041 /nfs/dbraw/zinc/39/40/41/1082394041.db2.gz KMJIOZUKGLEUMJ-HUUCEWRRSA-N 0 1 320.864 3.078 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C(C)(C)C1CCCCC1 ZINC001266977123 1082395894 /nfs/dbraw/zinc/39/58/94/1082395894.db2.gz JLMOKIWOJUYWCA-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccc2ccccc2c1 ZINC001266992139 1082414221 /nfs/dbraw/zinc/41/42/21/1082414221.db2.gz ZTZSIPQFJFKACB-AWEZNQCLSA-N 0 1 316.832 3.229 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2nc(C)cs2)CCCC1 ZINC001266999464 1082421861 /nfs/dbraw/zinc/42/18/61/1082421861.db2.gz WDDZCNGGOIKAMG-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCc2cccs2)C1 ZINC001267025975 1082444633 /nfs/dbraw/zinc/44/46/33/1082444633.db2.gz ZTZBECIUTOKWGJ-ZDUSSCGKSA-N 0 1 312.866 3.014 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001480917637 1082523119 /nfs/dbraw/zinc/52/31/19/1082523119.db2.gz OXRBBSZFVNBPNW-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN CC[C@@H](C)CC(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001267125281 1082542291 /nfs/dbraw/zinc/54/22/91/1082542291.db2.gz OURVQMLQUHNPKG-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2ccc(F)cc2s1 ZINC001130956069 1082627913 /nfs/dbraw/zinc/62/79/13/1082627913.db2.gz FZVWWDNOBUVRSD-UHFFFAOYSA-N 0 1 312.797 3.112 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC[C@H]1c1ccccc1 ZINC001131156186 1082649317 /nfs/dbraw/zinc/64/93/17/1082649317.db2.gz BKKMCWMTJPTWMS-HOTGVXAUSA-N 0 1 306.837 3.029 20 30 DGEDMN CCCC[C@H](CC)CC(=O)NCCNCc1ccccc1C#N ZINC001131484201 1082679779 /nfs/dbraw/zinc/67/97/79/1082679779.db2.gz CYPFFQCVURFKOB-INIZCTEOSA-N 0 1 315.461 3.371 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3ccc(Cl)nc3)[nH]c2c1 ZINC001131674301 1082704527 /nfs/dbraw/zinc/70/45/27/1082704527.db2.gz NBKANZRTMGQPIP-UTCJRWHESA-N 0 1 323.743 3.135 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131751533 1082718382 /nfs/dbraw/zinc/71/83/82/1082718382.db2.gz KXBXICHPWSMKTP-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN CCN(C)c1ccc(/C=C\C(=O)c2ccc(O)c(F)c2)cn1 ZINC000588579411 1082732188 /nfs/dbraw/zinc/73/21/88/1082732188.db2.gz NKNCHDGQHRGYBM-DAXSKMNVSA-N 0 1 300.333 3.279 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccc(C)nc2C)C1 ZINC001131898414 1082756698 /nfs/dbraw/zinc/75/66/98/1082756698.db2.gz AIKNPGHDTKEIBH-QAPCUYQASA-N 0 1 315.461 3.134 20 30 DGEDMN CCSc1cccnc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131906742 1082759928 /nfs/dbraw/zinc/75/99/28/1082759928.db2.gz UDUHGEDDHANUDT-UHFFFAOYSA-N 0 1 323.381 3.194 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001211608906 1082803616 /nfs/dbraw/zinc/80/36/16/1082803616.db2.gz DNTHGBWGCCBWRM-DLBZAZTESA-N 0 1 318.436 3.142 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2(c3ccccc3)CC2)CC[C@H]1C ZINC001132091396 1082814693 /nfs/dbraw/zinc/81/46/93/1082814693.db2.gz XNBKHOVHEIADKQ-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CC2(CC2)C1)c1ccc(C(C)C)cc1 ZINC001267345507 1082822280 /nfs/dbraw/zinc/82/22/80/1082822280.db2.gz GOVHOBIMNSSFME-IBGZPJMESA-N 0 1 324.468 3.380 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001267367717 1082838017 /nfs/dbraw/zinc/83/80/17/1082838017.db2.gz HCICLSCPSNUWHG-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2ncccc2C)C1 ZINC001132217613 1082844372 /nfs/dbraw/zinc/84/43/72/1082844372.db2.gz DXJYIBORNASEHQ-CVEARBPZSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132227421 1082849402 /nfs/dbraw/zinc/84/94/02/1082849402.db2.gz DAXNFBNPIIZMRN-RYUDHWBXSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132255785 1082864631 /nfs/dbraw/zinc/86/46/31/1082864631.db2.gz FMHFEDFJBFURMM-OLZOCXBDSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001132304567 1082876266 /nfs/dbraw/zinc/87/62/66/1082876266.db2.gz VCLBZVNYTNSFEN-OAHLLOKOSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccoc2Cl)C1 ZINC001267460241 1082899760 /nfs/dbraw/zinc/89/97/60/1082899760.db2.gz SYKVMXXPWNBEJV-CYBMUJFWSA-N 0 1 310.825 3.436 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)n(CC)c2C)C1 ZINC001267466610 1082919093 /nfs/dbraw/zinc/91/90/93/1082919093.db2.gz QKNQOGZSNPDGSC-QGZVFWFLSA-N 0 1 317.477 3.237 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CC[C@@H](C)N(CC=C)C2)CCCCC1 ZINC001132530578 1082935032 /nfs/dbraw/zinc/93/50/32/1082935032.db2.gz ZJIWHVWPCTVDLJ-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132573118 1082949439 /nfs/dbraw/zinc/94/94/39/1082949439.db2.gz JLISUJNOFDRLMT-KBPBESRZSA-N 0 1 308.853 3.312 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132653269 1082968123 /nfs/dbraw/zinc/96/81/23/1082968123.db2.gz IODILADEIJYAGK-CQSZACIVSA-N 0 1 308.853 3.457 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](F)C(C)C)c1ccc(C(C)C)cc1 ZINC001267516265 1082975192 /nfs/dbraw/zinc/97/51/92/1082975192.db2.gz AZLIBWSATXOPFV-ZWKOTPCHSA-N 0 1 318.436 3.184 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001132667468 1082975855 /nfs/dbraw/zinc/97/58/55/1082975855.db2.gz MFGNWUHTXNHKMN-QWHCGFSZSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC[C@H](C)CC1)c1ccccc1 ZINC001267525051 1082986418 /nfs/dbraw/zinc/98/64/18/1082986418.db2.gz JOZGXMQKIVULPJ-BHIYHBOVSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](CC)CC(F)F)C1 ZINC001267541640 1083008386 /nfs/dbraw/zinc/00/83/86/1083008386.db2.gz FJVMMUDCUSPQPP-KBPBESRZSA-N 0 1 302.409 3.167 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccccc2CC)C1 ZINC001267546121 1083015389 /nfs/dbraw/zinc/01/53/89/1083015389.db2.gz IIUQVMQKEDDMJP-SFHVURJKSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001267546782 1083016496 /nfs/dbraw/zinc/01/64/96/1083016496.db2.gz DVYAALADZDTXIG-IRXDYDNUSA-N 0 1 316.445 3.028 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001267546780 1083016734 /nfs/dbraw/zinc/01/67/34/1083016734.db2.gz DVYAALADZDTXIG-DLBZAZTESA-N 0 1 316.445 3.028 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001267567442 1083048616 /nfs/dbraw/zinc/04/86/16/1083048616.db2.gz PIXUMFLXEGAKQE-CVEARBPZSA-N 0 1 323.868 3.020 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@H](C)n2cccc2)C1 ZINC001267567444 1083048653 /nfs/dbraw/zinc/04/86/53/1083048653.db2.gz PIXUMFLXEGAKQE-HOTGVXAUSA-N 0 1 323.868 3.020 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001481024027 1083092572 /nfs/dbraw/zinc/09/25/72/1083092572.db2.gz AXXCDNHTQRGJEU-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)/C=C(/C)CC)C1 ZINC001267597231 1083129165 /nfs/dbraw/zinc/12/91/65/1083129165.db2.gz ZOEQMSBHQSQMIO-PUWPPSGDSA-N 0 1 324.468 3.469 20 30 DGEDMN COC(=O)c1cc2ccc(N[C@@H](C)c3cccc(C#N)c3)nc2[nH]1 ZINC001167707380 1083135107 /nfs/dbraw/zinc/13/51/07/1083135107.db2.gz SJLRWECDAVKFIC-NSHDSACASA-N 0 1 320.352 3.394 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC[C@H](C)c1ccccc1 ZINC001481060428 1083141346 /nfs/dbraw/zinc/14/13/46/1083141346.db2.gz INIGRPRBQYIXGS-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001481060426 1083141855 /nfs/dbraw/zinc/14/18/55/1083141855.db2.gz INIGRPRBQYIXGS-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(OCCCC)cc1 ZINC001481070060 1083148890 /nfs/dbraw/zinc/14/88/90/1083148890.db2.gz LRJSZSSILGUSLB-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCC[N@@H+]2C[C@@H](F)CC)CCCCC1 ZINC001481070224 1083149224 /nfs/dbraw/zinc/14/92/24/1083149224.db2.gz HNTLWLRLZYQFAH-IRXDYDNUSA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCCN2C[C@@H](F)CC)CCCCC1 ZINC001481070224 1083149225 /nfs/dbraw/zinc/14/92/25/1083149225.db2.gz HNTLWLRLZYQFAH-IRXDYDNUSA-N 0 1 322.468 3.289 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@@H]2CCc3c2c(F)ccc3F)C1 ZINC001133570277 1083152836 /nfs/dbraw/zinc/15/28/36/1083152836.db2.gz RURURLPWVUTWHK-OAHLLOKOSA-N 0 1 320.383 3.014 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C(C)C)o1 ZINC001133884147 1083205507 /nfs/dbraw/zinc/20/55/07/1083205507.db2.gz LWOFIDOMNGMDBE-KBPBESRZSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1cc(C(C)(C)C)on1 ZINC001133889545 1083207964 /nfs/dbraw/zinc/20/79/64/1083207964.db2.gz XWZFNNCLQHNDBX-KBPBESRZSA-N 0 1 321.465 3.311 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(C2CC2)n1 ZINC001133889455 1083208133 /nfs/dbraw/zinc/20/81/33/1083208133.db2.gz WTVLBNQFBIIXQL-QWHCGFSZSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001267689512 1083266130 /nfs/dbraw/zinc/26/61/30/1083266130.db2.gz LLGWXWWFNHWYBZ-YRYLYKBFSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(o1)CCCC2 ZINC001267706020 1083274147 /nfs/dbraw/zinc/27/41/47/1083274147.db2.gz XOAKGIDSXPPLMG-HOTGVXAUSA-N 0 1 314.429 3.023 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(o1)CCCC2 ZINC001267706020 1083274151 /nfs/dbraw/zinc/27/41/51/1083274151.db2.gz XOAKGIDSXPPLMG-HOTGVXAUSA-N 0 1 314.429 3.023 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001134428728 1083284426 /nfs/dbraw/zinc/28/44/26/1083284426.db2.gz ZAYNDHLRXYJQSM-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001134411811 1083285157 /nfs/dbraw/zinc/28/51/57/1083285157.db2.gz HRKHPIDMTPPHAD-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C)o1 ZINC001134417901 1083286785 /nfs/dbraw/zinc/28/67/85/1083286785.db2.gz AXEOZQFPXBUMPS-KGLIPLIRSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@H](C)NCc1cscn1 ZINC001134418876 1083287326 /nfs/dbraw/zinc/28/73/26/1083287326.db2.gz QZGKFRKTXMUZNX-KBPBESRZSA-N 0 1 321.490 3.284 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001267740316 1083305125 /nfs/dbraw/zinc/30/51/25/1083305125.db2.gz AZBBBJDDSBFBEU-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001267750962 1083318403 /nfs/dbraw/zinc/31/84/03/1083318403.db2.gz LDMZTLMJNRZSMA-ZWKOTPCHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCc2cc(F)ccc21 ZINC001267814094 1083363755 /nfs/dbraw/zinc/36/37/55/1083363755.db2.gz XAJFLLOLVNRZJB-ZBFHGGJFSA-N 0 1 324.827 3.092 20 30 DGEDMN CCCCC(=O)N1CC[C@@H](NCC#Cc2ccccc2Cl)C1 ZINC001181049562 1083391164 /nfs/dbraw/zinc/39/11/64/1083391164.db2.gz IHVNVOIAHYAVMD-MRXNPFEDSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2nc(C)sc2C)CCCC1 ZINC001267833469 1083410941 /nfs/dbraw/zinc/41/09/41/1083410941.db2.gz BKPRLTJFCWELRJ-UHFFFAOYSA-N 0 1 321.490 3.245 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(F)ccc2Cl)C1 ZINC001181510754 1083481468 /nfs/dbraw/zinc/48/14/68/1083481468.db2.gz CSBNDRVORYJTAE-CQSZACIVSA-N 0 1 310.800 3.136 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@H](Cc1ccccc1)c1ccccc1 ZINC001181822534 1083548689 /nfs/dbraw/zinc/54/86/89/1083548689.db2.gz DUHMQVAKMBAPGA-MRXNPFEDSA-N 0 1 316.364 3.246 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001267914680 1083561692 /nfs/dbraw/zinc/56/16/92/1083561692.db2.gz ZEQDKKIIOXUVHB-MRXNPFEDSA-N 0 1 312.413 3.480 20 30 DGEDMN Cc1nsc(N2CCN(CC3(C)CCCC3)CC2)c1C#N ZINC001182125849 1083625233 /nfs/dbraw/zinc/62/52/33/1083625233.db2.gz GEXYPMDRUCGXRY-UHFFFAOYSA-N 0 1 304.463 3.026 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001267964607 1083661598 /nfs/dbraw/zinc/66/15/98/1083661598.db2.gz ZTMUUJQCPPILAS-ZGJHENKESA-N 0 1 319.276 3.488 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001267966806 1083666909 /nfs/dbraw/zinc/66/69/09/1083666909.db2.gz RUIOEGVAWNXDSF-GOSISDBHSA-N 0 1 316.489 3.197 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC001182449563 1083722614 /nfs/dbraw/zinc/72/26/14/1083722614.db2.gz GJHWENJXEQWEKN-OAHLLOKOSA-N 0 1 318.848 3.080 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)CN[C@H](C)c2ncc(C)o2)CCC1 ZINC001268001410 1083723374 /nfs/dbraw/zinc/72/33/74/1083723374.db2.gz BGTWJVPDIWIHSV-DZGCQCFKSA-N 0 1 319.449 3.132 20 30 DGEDMN C#Cc1ccc(CNCC(=O)Nc2ccc(C)cc2Cl)cc1 ZINC001182480705 1083739430 /nfs/dbraw/zinc/73/94/30/1083739430.db2.gz STIZGQYVASIQEI-UHFFFAOYSA-N 0 1 312.800 3.358 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1ccns1)C(C)C ZINC001481353792 1083808737 /nfs/dbraw/zinc/80/87/37/1083808737.db2.gz QDRACIGSGWXFGN-UHFFFAOYSA-N 0 1 323.506 3.462 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc2cc[nH]c2c1 ZINC001481376947 1083837344 /nfs/dbraw/zinc/83/73/44/1083837344.db2.gz WNGVKCSZXQVBFO-HDICACEKSA-N 0 1 323.440 3.309 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001481407089 1083878023 /nfs/dbraw/zinc/87/80/23/1083878023.db2.gz ZZEZJZYZDADHAN-KRWDZBQOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2nc(C)cs2)CCCC1 ZINC001268039148 1083940898 /nfs/dbraw/zinc/94/08/98/1083940898.db2.gz VQQCPVKISJTDKB-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@H]2CC=CCC2)C1 ZINC001481497393 1084016556 /nfs/dbraw/zinc/01/65/56/1084016556.db2.gz XCNJZIOOYRACGE-HOTGVXAUSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001481520670 1084064472 /nfs/dbraw/zinc/06/44/72/1084064472.db2.gz ZIMMDNFIIGOHOG-INIZCTEOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2cccc(F)c2F)C1 ZINC001268082483 1084123714 /nfs/dbraw/zinc/12/37/14/1084123714.db2.gz CKGKANFFTWBOCD-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001087433058 1084133257 /nfs/dbraw/zinc/13/32/57/1084133257.db2.gz ZCNOSAKYMRWGDV-ANTGDGSKSA-N 0 1 324.468 3.337 20 30 DGEDMN CCCCN(O)C(=O)[C@@H](C)N1CCC(Cc2ccccc2)CC1 ZINC001184315419 1084147480 /nfs/dbraw/zinc/14/74/80/1084147480.db2.gz YMWUPFRABNBFOU-MRXNPFEDSA-N 0 1 318.461 3.348 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1sccc1C1CC1 ZINC001268096317 1084159722 /nfs/dbraw/zinc/15/97/22/1084159722.db2.gz PTHYVNGDCJPGOW-NSHDSACASA-N 0 1 312.866 3.428 20 30 DGEDMN N#Cc1ccc(-c2ccc(NCCN3CCSCC3)cc2)o1 ZINC001167836858 1084161211 /nfs/dbraw/zinc/16/12/11/1084161211.db2.gz HUSZIRREFNQTSR-UHFFFAOYSA-N 0 1 313.426 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(c2cccc(C)c2)CC1 ZINC001268098510 1084166365 /nfs/dbraw/zinc/16/63/65/1084166365.db2.gz SNJCKLKHDFAXRH-HNNXBMFYSA-N 0 1 320.864 3.216 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1cccs1 ZINC001268100930 1084169409 /nfs/dbraw/zinc/16/94/09/1084169409.db2.gz ALDOSOWXXQOQBG-ZDUSSCGKSA-N 0 1 314.882 3.164 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc[nH]c1CC ZINC001268105552 1084176164 /nfs/dbraw/zinc/17/61/64/1084176164.db2.gz OTAGZVLQEMUQGM-ZDUSSCGKSA-N 0 1 311.857 3.064 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc[nH]c1CC ZINC001268105538 1084176273 /nfs/dbraw/zinc/17/62/73/1084176273.db2.gz OTAGZVLQEMUQGM-CYBMUJFWSA-N 0 1 311.857 3.064 20 30 DGEDMN N#Cc1ccc2c(c1)CC[N@H+](Cc1c(F)ccc(F)c1[O-])C2 ZINC001139685808 1084196707 /nfs/dbraw/zinc/19/67/07/1084196707.db2.gz XWFFWKYMWTXHKA-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC1(F)F)C1CCCCC1 ZINC001268121954 1084202266 /nfs/dbraw/zinc/20/22/66/1084202266.db2.gz WKTCSAZBVCGZOF-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN CC(C)(C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1)C1CCC1 ZINC001184645354 1084234309 /nfs/dbraw/zinc/23/43/09/1084234309.db2.gz BIEGEAGHLIALFW-LJQANCHMSA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@@H](Cc1ccccc1)c1cc(C(=O)N2CCCC[C@@H]2C#N)n[nH]1 ZINC001184984125 1084314063 /nfs/dbraw/zinc/31/40/63/1084314063.db2.gz KLYGTJWHQDPFHX-GOEBONIOSA-N 0 1 322.412 3.274 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CNCc2cscn2)[C@@H](C)C1 ZINC001185060129 1084325299 /nfs/dbraw/zinc/32/52/99/1084325299.db2.gz DRIZXLKJLFEQIX-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001268250306 1084407547 /nfs/dbraw/zinc/40/75/47/1084407547.db2.gz IXKRYAZQVGYWMB-UHFFFAOYSA-N 0 1 317.477 3.191 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001481648287 1084419980 /nfs/dbraw/zinc/41/99/80/1084419980.db2.gz PIYUQJOYOKHLHL-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1ccc(C)c(C)c1 ZINC001282226780 1084442746 /nfs/dbraw/zinc/44/27/46/1084442746.db2.gz FCXNKDWQDNLBND-LSDHHAIUSA-N 0 1 308.853 3.081 20 30 DGEDMN CC(C)(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCc2ccccc21 ZINC001481669642 1084464248 /nfs/dbraw/zinc/46/42/48/1084464248.db2.gz BTBVXAFDTDQVMI-LNLFQRSKSA-N 0 1 322.452 3.009 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2CCCc1ccsc1 ZINC001481671767 1084464318 /nfs/dbraw/zinc/46/43/18/1084464318.db2.gz TXNCQBPKRODIOC-IRXDYDNUSA-N 0 1 318.486 3.322 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001481705957 1084498925 /nfs/dbraw/zinc/49/89/25/1084498925.db2.gz DCGITZBPEQDVEB-HCFXTEOXSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001268344023 1084510679 /nfs/dbraw/zinc/51/06/79/1084510679.db2.gz VNWONXGXVAWSOP-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN Cc1cc(C[N@@H+]2CC[C@@H](N(C)C(=O)C#CC(C)C)C2)c(C)s1 ZINC001481725519 1084535896 /nfs/dbraw/zinc/53/58/96/1084535896.db2.gz AWJOYKNBDWLJEX-QGZVFWFLSA-N 0 1 318.486 3.057 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001481727459 1084539447 /nfs/dbraw/zinc/53/94/47/1084539447.db2.gz RWVFRYRLGDDLSB-GOSISDBHSA-N 0 1 312.457 3.118 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)CCC2CCCCCC2)C1 ZINC001481735034 1084550302 /nfs/dbraw/zinc/55/03/02/1084550302.db2.gz IFSUENMEMOZULP-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1cc(C)ns1 ZINC001273515560 1084603225 /nfs/dbraw/zinc/60/32/25/1084603225.db2.gz ZKDRQAFBHLNXLF-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001268452699 1084626146 /nfs/dbraw/zinc/62/61/46/1084626146.db2.gz CAYNKDWCINHHPA-LBPRGKRZSA-N 0 1 308.800 3.003 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001481776018 1084628891 /nfs/dbraw/zinc/62/88/91/1084628891.db2.gz UOFNLWVYXSJKNR-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001481809908 1084647498 /nfs/dbraw/zinc/64/74/98/1084647498.db2.gz NIZRUWJVYKELPX-MSGBMKDASA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2ccncc2s1 ZINC001481821494 1084651753 /nfs/dbraw/zinc/65/17/53/1084651753.db2.gz ZEGKCUHCGLXLGT-LBPRGKRZSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCc2ccccc2C1 ZINC001481855325 1084671527 /nfs/dbraw/zinc/67/15/27/1084671527.db2.gz AMCDCJBKPPUNGQ-DLBZAZTESA-N 0 1 320.864 3.028 20 30 DGEDMN CCC[C@H](CC)C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186631687 1084674409 /nfs/dbraw/zinc/67/44/09/1084674409.db2.gz VPYFRGUFUAIYGW-RBUKOAKNSA-N 0 1 312.457 3.055 20 30 DGEDMN CC(C)CC(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001481887479 1084685913 /nfs/dbraw/zinc/68/59/13/1084685913.db2.gz RKHFHMMKRVZLRD-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001268475199 1084688219 /nfs/dbraw/zinc/68/82/19/1084688219.db2.gz YYYPZGXYJIDMJF-HNNXBMFYSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCC1(C(=O)NC2CN(C[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)CCCC1 ZINC001268496076 1084723031 /nfs/dbraw/zinc/72/30/31/1084723031.db2.gz NPFIRBLGYWJHME-ZACQAIPSSA-N 0 1 316.489 3.360 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CCNCc2nccs2)C1 ZINC001481977300 1084742013 /nfs/dbraw/zinc/74/20/13/1084742013.db2.gz NPLKGHSNTPLUPC-OAHLLOKOSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN(Cc3cccc(Cl)c3)C[C@H]21 ZINC001187192338 1084767704 /nfs/dbraw/zinc/76/77/04/1084767704.db2.gz XIAFVRUPKAJJII-DOTOQJQBSA-N 0 1 318.848 3.339 20 30 DGEDMN O=C(C[C@H]1C=CCCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001268557780 1084806233 /nfs/dbraw/zinc/80/62/33/1084806233.db2.gz UBNNONCZMDAUEC-DUIUGDAFSA-N 0 1 322.452 3.047 20 30 DGEDMN O=C(C[C@@H]1C=CCCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001268557778 1084806465 /nfs/dbraw/zinc/80/64/65/1084806465.db2.gz UBNNONCZMDAUEC-AQKVLALTSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1csc(CC)n1 ZINC001482057477 1084808231 /nfs/dbraw/zinc/80/82/31/1084808231.db2.gz HQJFXDZXBAPNSI-OAHLLOKOSA-N 0 1 321.490 3.142 20 30 DGEDMN Cc1cc(-c2noc(-c3cnc([C@@H](C)N)s3)n2)ccc1C#N ZINC001213205554 1084850462 /nfs/dbraw/zinc/85/04/62/1084850462.db2.gz RYCYPPSMRGTEIG-SECBINFHSA-N 0 1 311.370 3.060 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001268663135 1084928116 /nfs/dbraw/zinc/92/81/16/1084928116.db2.gz GZQAFNGUZXZFFE-GFCCVEGCSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001268688033 1084946520 /nfs/dbraw/zinc/94/65/20/1084946520.db2.gz RERLQXQFSYPVTD-LRDDRELGSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001268704229 1084957782 /nfs/dbraw/zinc/95/77/82/1084957782.db2.gz ZHTJPSHZEKPSTA-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)c(OC)c1 ZINC001268722765 1084983741 /nfs/dbraw/zinc/98/37/41/1084983741.db2.gz CEHCKRGHSQAYTM-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(-c2ccccc2)cc1 ZINC001268754585 1085029651 /nfs/dbraw/zinc/02/96/51/1085029651.db2.gz DQIDJQUQXHURJR-MRXNPFEDSA-N 0 1 306.409 3.037 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2c(cccc2F)s1 ZINC001268756422 1085032672 /nfs/dbraw/zinc/03/26/72/1085032672.db2.gz JSZYJSYRHNMRLF-GFCCVEGCSA-N 0 1 318.417 3.114 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(CCc2ccccc2Cl)C1 ZINC001268833188 1085065173 /nfs/dbraw/zinc/06/51/73/1085065173.db2.gz HBUYWMMBAOUZHJ-UHFFFAOYSA-N 0 1 320.864 3.287 20 30 DGEDMN C=CCN1CC(CNC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001268916605 1085081255 /nfs/dbraw/zinc/08/12/55/1085081255.db2.gz BBRFOTZNNLSAOP-UHFFFAOYSA-N 0 1 306.494 3.463 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001482198760 1085081572 /nfs/dbraw/zinc/08/15/72/1085081572.db2.gz AMXKHECXKSPWSG-INIZCTEOSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC2(CN(Cc3ccc[nH]3)C2)CC1 ZINC001268985098 1085095166 /nfs/dbraw/zinc/09/51/66/1085095166.db2.gz HCDFKFJKCZPUCH-UHFFFAOYSA-N 0 1 315.461 3.041 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001269056777 1085107525 /nfs/dbraw/zinc/10/75/25/1085107525.db2.gz LHPUMAQLSCGXQC-NZVCTJPZSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001269056275 1085107561 /nfs/dbraw/zinc/10/75/61/1085107561.db2.gz CHBMZIIZUYYEAQ-INIZCTEOSA-N 0 1 312.413 3.480 20 30 DGEDMN CCCCCCCC(=O)N1CC2(C1)CCN(Cc1ccc[nH]1)C2 ZINC001269075397 1085114599 /nfs/dbraw/zinc/11/45/99/1085114599.db2.gz KUNXSCKRCWRADV-UHFFFAOYSA-N 0 1 317.477 3.410 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001269134274 1085146870 /nfs/dbraw/zinc/14/68/70/1085146870.db2.gz JQJAAFRCRCOPTJ-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN Cc1ccc(C)c(CN2CC[C@@H]2CNC(=O)C#CC(C)(C)C)c1 ZINC001269229699 1085221811 /nfs/dbraw/zinc/22/18/11/1085221811.db2.gz OKWHZOAOFKORBQ-GOSISDBHSA-N 0 1 312.457 3.043 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCc1ccccc1CC ZINC001269239870 1085228937 /nfs/dbraw/zinc/22/89/37/1085228937.db2.gz FCWAGSHAVKQSIW-LJQANCHMSA-N 0 1 314.473 3.338 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2ccc(C)cc2C)C1 ZINC001269292071 1085277757 /nfs/dbraw/zinc/27/77/57/1085277757.db2.gz NZUZPBKEZFIVBE-GOSISDBHSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NC/C(Cl)=C/Cl)C1 ZINC001269467545 1085402068 /nfs/dbraw/zinc/40/20/68/1085402068.db2.gz WUKJYYGWXRXWES-SEVUFMINSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2ccn(C)c2c1 ZINC001269492853 1085421442 /nfs/dbraw/zinc/42/14/42/1085421442.db2.gz PXULPFDOCDEWIG-HNNXBMFYSA-N 0 1 319.836 3.029 20 30 DGEDMN C=CCCC(=O)N1CCC2(CN(Cc3ccc(F)cc3)C2)CC1 ZINC001482241387 1085560681 /nfs/dbraw/zinc/56/06/81/1085560681.db2.gz DVDBENDCAZYQKT-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001482261863 1085673993 /nfs/dbraw/zinc/67/39/93/1085673993.db2.gz VVKQLQQXVJCKGM-KBPBESRZSA-N 0 1 315.417 3.292 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1c(C)cc(F)cc1C ZINC001270104968 1085691755 /nfs/dbraw/zinc/69/17/55/1085691755.db2.gz HLWRPNRTYZQQBT-HNNXBMFYSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCCC(F)(F)C1 ZINC001270129353 1085703892 /nfs/dbraw/zinc/70/38/92/1085703892.db2.gz OTVKTGFJGODNGU-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)C(CC)CC ZINC001270229084 1085741621 /nfs/dbraw/zinc/74/16/21/1085741621.db2.gz XFXLBVWSIJCFBL-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN(C(=O)/C(C)=C\C)C1CCN(Cc2ccsc2)CC1 ZINC001270301518 1085765624 /nfs/dbraw/zinc/76/56/24/1085765624.db2.gz GTJOFOFSPNTBRF-WCSRMQSCSA-N 0 1 316.470 3.141 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001482282321 1085783813 /nfs/dbraw/zinc/78/38/13/1085783813.db2.gz YLHPTLSHODOQIO-KBPBESRZSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)[C@H](C)C(C)(C)C ZINC001482299654 1085879578 /nfs/dbraw/zinc/87/95/78/1085879578.db2.gz SDRRNJKCVXMMKN-NEPJUHHUSA-N 0 1 319.287 3.014 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)ccc1OC ZINC001226146494 1085937607 /nfs/dbraw/zinc/93/76/07/1085937607.db2.gz ALJIOVWPTFGHJT-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001482328505 1086016574 /nfs/dbraw/zinc/01/65/74/1086016574.db2.gz ZTGNLSSNXAUAMX-SJCJKPOMSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2cc(F)ccc2F)C1 ZINC001482329107 1086017598 /nfs/dbraw/zinc/01/75/98/1086017598.db2.gz IEZWSEVWFVVBMC-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](c1cccnc1)C(C)C ZINC001482348953 1086060232 /nfs/dbraw/zinc/06/02/32/1086060232.db2.gz SNAZCGWCQVXOGH-GOEBONIOSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001482372780 1086088949 /nfs/dbraw/zinc/08/89/49/1086088949.db2.gz KKAADDRMSKVAMP-LBPRGKRZSA-N 0 1 300.874 3.248 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H](CNCc1ccccc1C#N)CC(C)C ZINC001482377346 1086099453 /nfs/dbraw/zinc/09/94/53/1086099453.db2.gz FDRGGGYLWNXWSA-MAUKXSAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CCC)CC(C)C)CC2 ZINC001270870257 1086100641 /nfs/dbraw/zinc/10/06/41/1086100641.db2.gz KWGZSVIGFJDRBW-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc2occc2[nH]1 ZINC001482386926 1086114617 /nfs/dbraw/zinc/11/46/17/1086114617.db2.gz XGNYQSBPNYHXGB-LBPRGKRZSA-N 0 1 323.824 3.248 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CCNCc1ccon1 ZINC001482451058 1086263604 /nfs/dbraw/zinc/26/36/04/1086263604.db2.gz RAULJGDJFDANMI-MRXNPFEDSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl)CCC1 ZINC001271091263 1086286291 /nfs/dbraw/zinc/28/62/91/1086286291.db2.gz DNAPECXRZYLKGE-AUWXEVLLSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl)CCC1 ZINC001271091268 1086286615 /nfs/dbraw/zinc/28/66/15/1086286615.db2.gz DNAPECXRZYLKGE-VWLVURMCSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001271099418 1086290406 /nfs/dbraw/zinc/29/04/06/1086290406.db2.gz CMQBYKNTQADMGZ-HOCLYGCPSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001271100674 1086294516 /nfs/dbraw/zinc/29/45/16/1086294516.db2.gz MNJZKCSHHDJTDB-HUUCEWRRSA-N 0 1 314.420 3.238 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1ccn(C(C)C)n1 ZINC001271122651 1086304213 /nfs/dbraw/zinc/30/42/13/1086304213.db2.gz XMGTXOXVXJWXJL-OAHLLOKOSA-N 0 1 320.481 3.003 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)oc3ccccc32)[C@H]1CC ZINC001087584118 1086316650 /nfs/dbraw/zinc/31/66/50/1086316650.db2.gz ONKMDPYNJUXFBL-DLBZAZTESA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2C(F)(F)F)[C@H]1CC ZINC001087587167 1086317749 /nfs/dbraw/zinc/31/77/49/1086317749.db2.gz ZZEJWPIMFYPFCD-NWDGAFQWSA-N 0 1 316.323 3.067 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)[C@H]1CC ZINC001087649170 1086343144 /nfs/dbraw/zinc/34/31/44/1086343144.db2.gz ZDQNHADCDRJDAN-ZWKOTPCHSA-N 0 1 322.408 3.163 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C2(C)CCC2)CCN1CC#Cc1ccccc1 ZINC001087688765 1086360497 /nfs/dbraw/zinc/36/04/97/1086360497.db2.gz WAVOBKFDKNMRGD-RBUKOAKNSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(C[C@@H](F)CC)[C@@H]2CC)CC1 ZINC001087713878 1086373640 /nfs/dbraw/zinc/37/36/40/1086373640.db2.gz PVXQZZLBOHUVNW-YESZJQIVSA-N 0 1 310.457 3.450 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C(C)C)oc2C)[C@H]1CC ZINC001087780380 1086408150 /nfs/dbraw/zinc/40/81/50/1086408150.db2.gz DXXWQIHLDJTQIE-DLBZAZTESA-N 0 1 316.445 3.317 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H]1CC ZINC001087798687 1086413100 /nfs/dbraw/zinc/41/31/00/1086413100.db2.gz GKVSQPMNXVDSNY-VQTJNVASSA-N 0 1 322.452 3.252 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(CC)c(CC)o2)[C@H]1CC ZINC001087798482 1086413258 /nfs/dbraw/zinc/41/32/58/1086413258.db2.gz VANJTNIWYZUECX-JKSUJKDBSA-N 0 1 316.445 3.010 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)cc2)[C@H]1CC ZINC001087807672 1086420346 /nfs/dbraw/zinc/42/03/46/1086420346.db2.gz YVMCOCXCLLDTHE-RBUKOAKNSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2sc(C(C)C)nc2C)[C@H]1CC ZINC001087826585 1086430875 /nfs/dbraw/zinc/43/08/75/1086430875.db2.gz WOTOZNMSXMPDQQ-UONOGXRCSA-N 0 1 321.490 3.344 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCc3ccccc32)[C@H]1CC ZINC001087843256 1086439901 /nfs/dbraw/zinc/43/99/01/1086439901.db2.gz MPZCRVPBWTXVOD-SLFFLAALSA-N 0 1 324.468 3.099 20 30 DGEDMN COCC[C@@H]1CCCCN1Cc1ccc(C#N)c(SC)n1 ZINC001141939477 1086528615 /nfs/dbraw/zinc/52/86/15/1086528615.db2.gz QXFXALVGKRBACT-HNNXBMFYSA-N 0 1 305.447 3.066 20 30 DGEDMN CSc1nc(C[N@@H+](C)CCc2ccc(F)cc2)ccc1C#N ZINC001141941774 1086529506 /nfs/dbraw/zinc/52/95/06/1086529506.db2.gz XHLKBTLWUNWULG-UHFFFAOYSA-N 0 1 315.417 3.489 20 30 DGEDMN C=CCSCC(=O)N1CC2(CCN2C[C@H](CC)CCCC)C1 ZINC001271194223 1086542189 /nfs/dbraw/zinc/54/21/89/1086542189.db2.gz GYQSHEARGXRHAG-MRXNPFEDSA-N 0 1 324.534 3.409 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC)c(C(F)(F)F)c1 ZINC001227898922 1086746303 /nfs/dbraw/zinc/74/63/03/1086746303.db2.gz JYLGGFFQQNLLDX-ZETCQYMHSA-N 0 1 302.248 3.312 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1cccnc1 ZINC001272033713 1087003086 /nfs/dbraw/zinc/00/30/86/1087003086.db2.gz KFYLJXGVMJPUMY-ROUUACIJSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CC)CCCCC ZINC001272043498 1087023442 /nfs/dbraw/zinc/02/34/42/1087023442.db2.gz MTPJTHAAYQQWGB-KZNAEPCWSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CCCC)C(C)C ZINC001272052578 1087031982 /nfs/dbraw/zinc/03/19/82/1087031982.db2.gz CQHVYXMGTUUONR-FGTMMUONSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(F)c(C(F)F)c2)[C@H]1C ZINC001088572469 1087073370 /nfs/dbraw/zinc/07/33/70/1087073370.db2.gz PDWZOKZFRXYBTB-YGRLFVJLSA-N 0 1 312.335 3.142 20 30 DGEDMN CC#CCCCC(=O)N(CC)CCNCc1cccc(F)c1F ZINC001482944352 1087196235 /nfs/dbraw/zinc/19/62/35/1087196235.db2.gz CUDQTOOHZAAIPD-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1C2CC3CC(C2)CC1C3 ZINC001483016953 1087237152 /nfs/dbraw/zinc/23/71/52/1087237152.db2.gz GZIRWQSHUNEIBB-IHWYPQMZSA-N 0 1 322.880 3.073 20 30 DGEDMN C=C1CCC(C(=O)NC/C=C/CNCC#Cc2ccccc2)CC1 ZINC001483055524 1087306853 /nfs/dbraw/zinc/30/68/53/1087306853.db2.gz ZUKYWUWIPKNCBX-AATRIKPKSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2ccccc2o1 ZINC001483090194 1087337959 /nfs/dbraw/zinc/33/79/59/1087337959.db2.gz RKSZZBKMQOQKDM-SNAWJCMRSA-N 0 1 304.777 3.061 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(C(F)(F)F)CCCC1 ZINC001483104378 1087344918 /nfs/dbraw/zinc/34/49/18/1087344918.db2.gz ONHVBQBBAWRPFV-SNAWJCMRSA-N 0 1 324.774 3.124 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(o2)c(F)ccc3C)[C@H]1C ZINC001088766691 1087345677 /nfs/dbraw/zinc/34/56/77/1087345677.db2.gz AIUNWBKZAIRKHU-DOMZBBRYSA-N 0 1 316.376 3.259 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@H]1C ZINC001088785584 1087353477 /nfs/dbraw/zinc/35/34/77/1087353477.db2.gz NFNOGCWBUZNWID-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3cccc(F)c3)CCC2)[C@H]1C ZINC001088785174 1087353517 /nfs/dbraw/zinc/35/35/17/1087353517.db2.gz PJMLBEPXKCCECJ-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@H]1C ZINC001088793303 1087357625 /nfs/dbraw/zinc/35/76/25/1087357625.db2.gz BTOYMNVALAGLJN-PBHICJAKSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2ncc(C)s2)CCCC1 ZINC001483201122 1087416349 /nfs/dbraw/zinc/41/63/49/1087416349.db2.gz LDIZZDQMZGNWCW-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccc(CC(C)C)cc1 ZINC001483247354 1087449049 /nfs/dbraw/zinc/44/90/49/1087449049.db2.gz YJNMNDUTUUWNLG-HNNXBMFYSA-N 0 1 322.880 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001483274561 1087455339 /nfs/dbraw/zinc/45/53/39/1087455339.db2.gz GBUNMKUOMMPCSN-UONOGXRCSA-N 0 1 308.853 3.199 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(OC2CN(CCCCC)C2)CC1 ZINC001105709241 1087475436 /nfs/dbraw/zinc/47/54/36/1087475436.db2.gz BLDPXLNOKWSVCE-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001483373695 1087484453 /nfs/dbraw/zinc/48/44/53/1087484453.db2.gz DYWJJHOIFBAVFY-WFASDCNBSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1CC)C1CC1 ZINC001483582378 1087529753 /nfs/dbraw/zinc/52/97/53/1087529753.db2.gz BMQZERBVAPKXDH-INIZCTEOSA-N 0 1 306.837 3.100 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc2ccccc2[nH]1)C1CC1 ZINC001483604837 1087533405 /nfs/dbraw/zinc/53/34/05/1087533405.db2.gz ZDHBOWBGMPVFBH-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)C(C)(C)CC)CC2)C1 ZINC001105722016 1087543239 /nfs/dbraw/zinc/54/32/39/1087543239.db2.gz RIAALKQFRXYCCN-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N1CCC(OC2CN(CCC(=C)C)C2)CC1 ZINC001105721515 1087543455 /nfs/dbraw/zinc/54/34/55/1087543455.db2.gz CJDQQBHBFRLVAY-UHFFFAOYSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1cc(C)oc1C ZINC001483631909 1087586450 /nfs/dbraw/zinc/58/64/50/1087586450.db2.gz WCRFSJBFMXPZCO-MRXNPFEDSA-N 0 1 304.434 3.447 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1cccc(Cl)c1F ZINC001493680278 1087611733 /nfs/dbraw/zinc/61/17/33/1087611733.db2.gz YBTHHZRKGPHIBH-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2oc3ccccc3c2Cl)[C@H]1C ZINC001088973404 1087612709 /nfs/dbraw/zinc/61/27/09/1087612709.db2.gz MKYZOLBDFVKDCD-YPMHNXCESA-N 0 1 318.804 3.465 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(Cl)c(CCC)c2)[C@H]1C ZINC001088978885 1087613512 /nfs/dbraw/zinc/61/35/12/1087613512.db2.gz IUHFARJMKXGIPM-DYVFJYSZSA-N 0 1 318.848 3.118 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)[C@H]1C ZINC001089026920 1087624365 /nfs/dbraw/zinc/62/43/65/1087624365.db2.gz MJSZBLCTBXSPMI-DOMZBBRYSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2sc(CCC)nc2C)[C@H]1C ZINC001089038098 1087626162 /nfs/dbraw/zinc/62/61/62/1087626162.db2.gz DDJORNFSYKUGGD-KGLIPLIRSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)Cc1c(C)cc(C)cc1C ZINC001483676120 1087632573 /nfs/dbraw/zinc/63/25/73/1087632573.db2.gz ICWOTYJLJYAZPM-GOSISDBHSA-N 0 1 314.473 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H]1CNC(=O)c1scnc1C1CC1 ZINC001483684065 1087649944 /nfs/dbraw/zinc/64/99/44/1087649944.db2.gz CJCPTBGEIVVQHQ-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccc(C)cc2Cl)CC1 ZINC001483721099 1087699974 /nfs/dbraw/zinc/69/99/74/1087699974.db2.gz NYZMJQDJXRLFNP-UHFFFAOYSA-N 0 1 306.837 3.353 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1CN(C)C/C=C/Cl ZINC001158357103 1087790145 /nfs/dbraw/zinc/79/01/45/1087790145.db2.gz AIJJGVGCSFNOED-ONOODXEBSA-N 0 1 310.869 3.245 20 30 DGEDMN N#CCc1cc2ccccc2c(NCC2(N3CCCC3)COC2)c1 ZINC001168450598 1087797712 /nfs/dbraw/zinc/79/77/12/1087797712.db2.gz RDKPWGHMZKGXOP-UHFFFAOYSA-N 0 1 321.424 3.183 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2[nH]ccc2c1 ZINC001158411289 1087823890 /nfs/dbraw/zinc/82/38/90/1087823890.db2.gz DMBWOQKFHOUDIC-GOSISDBHSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C(CC)(CC)c1ccccc1 ZINC001151941759 1087836909 /nfs/dbraw/zinc/83/69/09/1087836909.db2.gz AHMKKPPAOWLELZ-UHFFFAOYSA-N 0 1 308.853 3.203 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)cc(F)c1 ZINC001158519207 1087888769 /nfs/dbraw/zinc/88/87/69/1087888769.db2.gz YJSKGYJZYWWXNA-GOSISDBHSA-N 0 1 316.420 3.084 20 30 DGEDMN C[C@@H]1CN(c2ncc(F)cc2C#N)[C@H](C)CN1Cc1ccccc1 ZINC001158524555 1087896871 /nfs/dbraw/zinc/89/68/71/1087896871.db2.gz XGOHVYQGAWLOCP-HUUCEWRRSA-N 0 1 324.403 3.192 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(CCC)CCC ZINC001099200278 1087915417 /nfs/dbraw/zinc/91/54/17/1087915417.db2.gz YIJMKRYBOIKHIS-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)c1ccc2cncn2c1 ZINC001152196444 1087915843 /nfs/dbraw/zinc/91/58/43/1087915843.db2.gz IZQUHPAFDVUQMW-UHFFFAOYSA-N 0 1 302.293 3.204 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](F)CCCCCC ZINC001158758451 1088010904 /nfs/dbraw/zinc/01/09/04/1088010904.db2.gz PIFHSSNHDCGMCP-IAGOWNOFSA-N 0 1 310.457 3.241 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C(C)C)cc1 ZINC001158780974 1088026802 /nfs/dbraw/zinc/02/68/02/1088026802.db2.gz HCICWGGYXHYREZ-LJQANCHMSA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(F)cc1Cl ZINC001158811650 1088041546 /nfs/dbraw/zinc/04/15/46/1088041546.db2.gz MOPRWFWUMSSWCY-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCNCc1nc2ccccc2o1 ZINC001158887288 1088082807 /nfs/dbraw/zinc/08/28/07/1088082807.db2.gz VSWDNRUCFBLDKY-UHFFFAOYSA-N 0 1 315.417 3.026 20 30 DGEDMN C=C(C)CNC(=O)[C@@H]1CC12CCN(Cc1ccccc1F)CC2 ZINC001272595553 1088103267 /nfs/dbraw/zinc/10/32/67/1088103267.db2.gz UJNCDIOUHWGNAK-INIZCTEOSA-N 0 1 316.420 3.120 20 30 DGEDMN CC1=C(C)C[C@@](C)(C(=O)NCCNCC#Cc2ccccc2)CC1 ZINC001152937707 1088117797 /nfs/dbraw/zinc/11/77/97/1088117797.db2.gz YGLPGCARALFYIJ-NRFANRHFSA-N 0 1 324.468 3.271 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccc(F)c(F)c1 ZINC001153025096 1088144731 /nfs/dbraw/zinc/14/47/31/1088144731.db2.gz PFLYRQAHTDLNBI-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN Cc1ccc2nc(NCC3CN(C)C3)c(C#N)c(Cl)c2c1 ZINC001159222422 1088237660 /nfs/dbraw/zinc/23/76/60/1088237660.db2.gz MEXDBGQFPHHQGN-UHFFFAOYSA-N 0 1 300.793 3.042 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001153566976 1088283570 /nfs/dbraw/zinc/28/35/70/1088283570.db2.gz PYKYVINNGIDOSW-MRXNPFEDSA-N 0 1 315.461 3.177 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)CC(C)(C)C ZINC001099259288 1088360736 /nfs/dbraw/zinc/36/07/36/1088360736.db2.gz ZORHNAOHHJCLFX-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2cccc(F)c2)CC1 ZINC001159544666 1088389303 /nfs/dbraw/zinc/38/93/03/1088389303.db2.gz XZVDVFHIFIGSES-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001153872812 1088392189 /nfs/dbraw/zinc/39/21/89/1088392189.db2.gz UWLNMGDZMOOHQD-ZDUSSCGKSA-N 0 1 307.442 3.082 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2oc3ccccc3c2c1 ZINC001153940317 1088414596 /nfs/dbraw/zinc/41/45/96/1088414596.db2.gz UEMYNRCCSIIMDK-UHFFFAOYSA-N 0 1 302.293 3.433 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1csc(CC(C)C)n1 ZINC001123336262 1088417350 /nfs/dbraw/zinc/41/73/50/1088417350.db2.gz FYWAZDLMZQOAQC-LLVKDONJSA-N 0 1 302.403 3.048 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1csc(CC(C)C)n1 ZINC001123336263 1088417877 /nfs/dbraw/zinc/41/78/77/1088417877.db2.gz FYWAZDLMZQOAQC-NSHDSACASA-N 0 1 302.403 3.048 20 30 DGEDMN CC(C)=CCN1CC[C@]2(CCCN2Cc2cccc(C#N)c2)C1=O ZINC001272646816 1088423174 /nfs/dbraw/zinc/42/31/74/1088423174.db2.gz VPVLZUPEUQAFAG-HXUWFJFHSA-N 0 1 323.440 3.091 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1c(O)cc2ccccc2c1O ZINC001154023397 1088444943 /nfs/dbraw/zinc/44/49/43/1088444943.db2.gz UUYUZLRIWQZQRM-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)C1CCCC1 ZINC001099297819 1088497828 /nfs/dbraw/zinc/49/78/28/1088497828.db2.gz JDKWIQDBHFXCOP-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1cccc(Cl)c1 ZINC001272659570 1088501733 /nfs/dbraw/zinc/50/17/33/1088501733.db2.gz BZLORJMXDQKUHD-SFHVURJKSA-N 0 1 318.848 3.483 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1cccc(Cl)c1 ZINC001272659568 1088501945 /nfs/dbraw/zinc/50/19/45/1088501945.db2.gz BZLORJMXDQKUHD-GOSISDBHSA-N 0 1 318.848 3.483 20 30 DGEDMN O/N=C/c1cc(NC2(c3cccnn3)CC2)cc(C(F)(F)F)c1 ZINC001168516140 1088518008 /nfs/dbraw/zinc/51/80/08/1088518008.db2.gz VCDYTQTVSXBMPJ-AWQFTUOYSA-N 0 1 322.290 3.405 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001483764892 1088652999 /nfs/dbraw/zinc/65/29/99/1088652999.db2.gz QJFLDDDKUGAMEP-SFHVURJKSA-N 0 1 318.436 3.475 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001154584327 1088661210 /nfs/dbraw/zinc/66/12/10/1088661210.db2.gz CIEIEPGGYVWVAX-CYBMUJFWSA-N 0 1 321.465 3.220 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001154584594 1088661232 /nfs/dbraw/zinc/66/12/32/1088661232.db2.gz ORMKTCKUCSTPGR-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(CCF)CC(C)(C)C2)CC1 ZINC001089484629 1088680974 /nfs/dbraw/zinc/68/09/74/1088680974.db2.gz YTYIGAMMMXEYAO-INIZCTEOSA-N 0 1 310.457 3.309 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160242403 1088716247 /nfs/dbraw/zinc/71/62/47/1088716247.db2.gz PPNJYIUPVFGQFR-UITAMQMPSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C3CCC3)cc2)CC1 ZINC001160308142 1088751665 /nfs/dbraw/zinc/75/16/65/1088751665.db2.gz WGPQAOKVQMVYQD-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(F)nc1 ZINC001089619307 1088830553 /nfs/dbraw/zinc/83/05/53/1088830553.db2.gz NMLCWMRRLNGBHF-HNNXBMFYSA-N 0 1 319.424 3.017 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccoc1CC ZINC001089633107 1088851205 /nfs/dbraw/zinc/85/12/05/1088851205.db2.gz DZSKZKZBXUJMEC-AWEZNQCLSA-N 0 1 304.434 3.248 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccoc1CC ZINC001089633107 1088851220 /nfs/dbraw/zinc/85/12/20/1088851220.db2.gz DZSKZKZBXUJMEC-AWEZNQCLSA-N 0 1 304.434 3.248 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001155066820 1088927414 /nfs/dbraw/zinc/92/74/14/1088927414.db2.gz NWOMKVIGAZACKG-CXAGYDPISA-N 0 1 323.506 3.380 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001155073421 1088933873 /nfs/dbraw/zinc/93/38/73/1088933873.db2.gz WUFZWIBYKUKUCS-TZMCWYRMSA-N 0 1 308.388 3.368 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc(C)ccc2C2CC2)CC1 ZINC001160779475 1088955495 /nfs/dbraw/zinc/95/54/95/1088955495.db2.gz ZXWRCGRYVQBCQF-UHFFFAOYSA-N 0 1 322.452 3.258 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C2C=CC=CC=C2)C1 ZINC001483864662 1088962198 /nfs/dbraw/zinc/96/21/98/1088962198.db2.gz MUPIDPJUDFNBJM-UHFFFAOYSA-N 0 1 300.446 3.174 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2sc(C)cc2C)C1 ZINC001483864042 1088963918 /nfs/dbraw/zinc/96/39/18/1088963918.db2.gz DTXGNOIAYGONEX-ZDUSSCGKSA-N 0 1 306.475 3.476 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(F)cc3ccoc32)C1 ZINC001483870332 1088969629 /nfs/dbraw/zinc/96/96/29/1088969629.db2.gz WPAWWIFIVCXPEX-UHFFFAOYSA-N 0 1 316.376 3.294 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCc2ccc(C)cc2C)CC1 ZINC001160810747 1088974791 /nfs/dbraw/zinc/97/47/91/1088974791.db2.gz XVNAWJXJDZICOJ-UHFFFAOYSA-N 0 1 324.468 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cccc(C)c2)C1 ZINC001483876375 1088976775 /nfs/dbraw/zinc/97/67/75/1088976775.db2.gz FMCOZCJWENLUFY-INIZCTEOSA-N 0 1 300.446 3.035 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@](C)(F)CCCC ZINC001483934792 1089017729 /nfs/dbraw/zinc/01/77/29/1089017729.db2.gz LUACIBBCQKOGMV-DZGCQCFKSA-N 0 1 304.837 3.238 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC12CC2 ZINC001089658805 1089048837 /nfs/dbraw/zinc/04/88/37/1089048837.db2.gz NRJIPMHSNDEUGS-IAGOWNOFSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN(C(=O)CCC(=C)C)C1CCN(C/C=C/Cl)CC1 ZINC001483984863 1089069182 /nfs/dbraw/zinc/06/91/82/1089069182.db2.gz BNUTXLQIRRFBEG-BJMVGYQFSA-N 0 1 308.853 3.021 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C(C)(F)F)C1CCCC1 ZINC001484008680 1089092210 /nfs/dbraw/zinc/09/22/10/1089092210.db2.gz FWTMVDPAGYONEW-WCQYABFASA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)c(C)n1 ZINC001089671594 1089097391 /nfs/dbraw/zinc/09/73/91/1089097391.db2.gz UDCMEYKSIDIWLC-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@]2(CCN(CC(=C)Cl)C2)C1 ZINC001484019889 1089103952 /nfs/dbraw/zinc/10/39/52/1089103952.db2.gz MKOTZRXOTAZYIS-QGZVFWFLSA-N 0 1 310.869 3.410 20 30 DGEDMN CC#CCCCC(=O)N[C@@]1(C)CCN(Cc2ccccc2F)C1 ZINC001484058819 1089139761 /nfs/dbraw/zinc/13/97/61/1089139761.db2.gz XKUIKFYRGKIVFW-IBGZPJMESA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCCC(=O)NCCCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001155593315 1089177654 /nfs/dbraw/zinc/17/76/54/1089177654.db2.gz HOFAORXQKFWEIT-ZDUSSCGKSA-N 0 1 307.438 3.095 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1occc1CC ZINC001089691553 1089187215 /nfs/dbraw/zinc/18/72/15/1089187215.db2.gz RUTYPGCITSKOLD-INIZCTEOSA-N 0 1 316.445 3.086 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@]12C[C@H]1CCCC2 ZINC001089693996 1089200352 /nfs/dbraw/zinc/20/03/52/1089200352.db2.gz QYGXVCUPYIRXOM-MBOZVWFJSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)cccc1C ZINC001089695123 1089209400 /nfs/dbraw/zinc/20/94/00/1089209400.db2.gz CYXLHFDHFIJIDU-QGZVFWFLSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](c2ccccc2)C(C)C)CC1 ZINC001161363526 1089212563 /nfs/dbraw/zinc/21/25/63/1089212563.db2.gz DQQGSTSTAGSLRW-FQEVSTJZSA-N 0 1 324.468 3.198 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCCC2CCCC2)C1 ZINC001484173645 1089255880 /nfs/dbraw/zinc/25/58/80/1089255880.db2.gz GVEIXACAHOSHAH-IBGZPJMESA-N 0 1 304.478 3.198 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001484175469 1089271304 /nfs/dbraw/zinc/27/13/04/1089271304.db2.gz PSMNONKFCATRLW-PKOBYXMFSA-N 0 1 306.494 3.300 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2cccc(-c3nnc[nH]3)c2)cc1 ZINC001155892995 1089292030 /nfs/dbraw/zinc/29/20/30/1089292030.db2.gz LUHCKHUKVVLMJD-UHFFFAOYSA-N 0 1 317.352 3.180 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(CCC)on1 ZINC001089719167 1089294119 /nfs/dbraw/zinc/29/41/19/1089294119.db2.gz YTMIYWGROKYUOS-CQSZACIVSA-N 0 1 319.449 3.034 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ncccc1Cl ZINC001089723564 1089302252 /nfs/dbraw/zinc/30/22/52/1089302252.db2.gz LYUFQLOTRJPPHM-CYBMUJFWSA-N 0 1 321.852 3.141 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001089731286 1089312673 /nfs/dbraw/zinc/31/26/73/1089312673.db2.gz OYCLGNFCYPDRDS-MRXNPFEDSA-N 0 1 322.399 3.371 20 30 DGEDMN C#CCCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(F)s1 ZINC001089735588 1089317420 /nfs/dbraw/zinc/31/74/20/1089317420.db2.gz FOSQJSYMPPRZTQ-CYBMUJFWSA-N 0 1 322.449 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)C(C)(F)F)cc1 ZINC001272682075 1089373022 /nfs/dbraw/zinc/37/30/22/1089373022.db2.gz HAKPKAOOUQXGDT-LLVKDONJSA-N 0 1 316.779 3.361 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cccn1C(C)C ZINC001272683036 1089422398 /nfs/dbraw/zinc/42/23/98/1089422398.db2.gz NPQJWLNBPICANW-UHFFFAOYSA-N 0 1 323.440 3.064 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc(C)c(CC)s2)CC1 ZINC001161834893 1089433545 /nfs/dbraw/zinc/43/35/45/1089433545.db2.gz WVDJBOLHUDYTOJ-UHFFFAOYSA-N 0 1 316.470 3.004 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C[C@@H](CC)C(C)C ZINC001484466448 1089434974 /nfs/dbraw/zinc/43/49/74/1089434974.db2.gz UBOQRBAKXUILQW-KZNAEPCWSA-N 0 1 304.478 3.147 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@](C)(CC)CCC ZINC001484466035 1089436278 /nfs/dbraw/zinc/43/62/78/1089436278.db2.gz RLVMBOQOAVMZFC-YQVWRLOYSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@](C)(CC)CCC ZINC001484466035 1089436288 /nfs/dbraw/zinc/43/62/88/1089436288.db2.gz RLVMBOQOAVMZFC-YQVWRLOYSA-N 0 1 304.478 3.291 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(Cc2ccc(CO)cc2)CC1 ZINC001203195768 1089462070 /nfs/dbraw/zinc/46/20/70/1089462070.db2.gz NAJTXKKKLTYMIX-UHFFFAOYSA-N 0 1 324.399 3.375 20 30 DGEDMN CC[C@H](CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C(C)C ZINC001161914515 1089467201 /nfs/dbraw/zinc/46/72/01/1089467201.db2.gz UYPWGXNXHXVZND-CYBMUJFWSA-N 0 1 311.389 3.354 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)CC(C)(C)C)CC1 ZINC001161918902 1089469297 /nfs/dbraw/zinc/46/92/97/1089469297.db2.gz HBKUTIOBYVLEHB-UHFFFAOYSA-N 0 1 304.478 3.220 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC1(C)CCCC1 ZINC001484553898 1089489292 /nfs/dbraw/zinc/48/92/92/1089489292.db2.gz LKMGJSIQLSCJHC-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC(CC)CC ZINC001484557859 1089493195 /nfs/dbraw/zinc/49/31/95/1089493195.db2.gz UZSFBJDHBMRLNC-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN N#Cc1nc(NCC2CN(Cc3ccccc3)C2)ccc1Cl ZINC001156399954 1089505726 /nfs/dbraw/zinc/50/57/26/1089505726.db2.gz FKQJEIABDCXOIZ-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2CCC(F)(F)CC2)CCC1 ZINC001484651593 1089568130 /nfs/dbraw/zinc/56/81/30/1089568130.db2.gz LBKFRIGHNSVWRN-UHFFFAOYSA-N 0 1 320.811 3.193 20 30 DGEDMN CN1CCC(C#N)(Nc2ccc3cc(Cl)ccc3n2)CC1 ZINC001162225035 1089617161 /nfs/dbraw/zinc/61/71/61/1089617161.db2.gz BJBBLTOYTFFFTJ-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@H]1CCCN(CCF)C1 ZINC001484772285 1089671561 /nfs/dbraw/zinc/67/15/61/1089671561.db2.gz QBUVADGGHUPJSK-IRXDYDNUSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCCC(=O)N1CCC(CC)(NCc2nc(C)c(C)o2)CC1 ZINC001484809694 1089687254 /nfs/dbraw/zinc/68/72/54/1089687254.db2.gz KWHFFUMSGRPTMW-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)C(C)(C)C)cc2C1 ZINC001484873298 1089715372 /nfs/dbraw/zinc/71/53/72/1089715372.db2.gz CGJBVSDMRUBBJO-OAHLLOKOSA-N 0 1 312.457 3.324 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H](C#N)c1cccc(NC(=N)C(C)(C)C)n1 ZINC001162661735 1089867918 /nfs/dbraw/zinc/86/79/18/1089867918.db2.gz ILZKOFDFTUGGJE-NSHDSACASA-N 0 1 316.405 3.466 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC001157194068 1089867899 /nfs/dbraw/zinc/86/78/99/1089867899.db2.gz XAKNEUUMXJXTOG-BBVFFXRHSA-N 0 1 320.396 3.357 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)oc1C ZINC001485035104 1089870539 /nfs/dbraw/zinc/87/05/39/1089870539.db2.gz LVKWTLRMYVIVLA-AWEZNQCLSA-N 0 1 310.825 3.233 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1CCC(F)(F)C1 ZINC001485037285 1089871867 /nfs/dbraw/zinc/87/18/67/1089871867.db2.gz MEIDFTHLGPXETF-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)[C@H]1C[C@@H]1C ZINC001162681560 1089880723 /nfs/dbraw/zinc/88/07/23/1089880723.db2.gz BHSDEGHPGSBFIE-YOEHRIQHSA-N 0 1 320.864 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)Cc1cnc(C)s1 ZINC001485066825 1089897886 /nfs/dbraw/zinc/89/78/86/1089897886.db2.gz BWEMPEUGRGVZNX-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN Cn1c(CNc2c[nH]c3c(C#N)cnc-3c2)ccc1C(F)(F)F ZINC001162754719 1089927207 /nfs/dbraw/zinc/92/72/07/1089927207.db2.gz NJCGRHQJUBIZRY-UHFFFAOYSA-N 0 1 319.290 3.404 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC001485141657 1089994199 /nfs/dbraw/zinc/99/41/99/1089994199.db2.gz GWLFBJIGPGCQHS-STQMWFEESA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2scnc2c1 ZINC001485208107 1090030566 /nfs/dbraw/zinc/03/05/66/1090030566.db2.gz OKEVXMHIIYXPLB-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001485285500 1090069575 /nfs/dbraw/zinc/06/95/75/1090069575.db2.gz DCUMOPWZXPAJMW-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCN(c1cccc(N2CCOCC2)n1)C1CCCCC1 ZINC001157851017 1090131146 /nfs/dbraw/zinc/13/11/46/1090131146.db2.gz XVHCMTNUMYXAQJ-UHFFFAOYSA-N 0 1 301.434 3.243 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC1(C)CCCC1 ZINC001157897400 1090145215 /nfs/dbraw/zinc/14/52/15/1090145215.db2.gz BZDHPOKSUHYRSK-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc2c1CCCC2 ZINC001157999952 1090168905 /nfs/dbraw/zinc/16/89/05/1090168905.db2.gz UQUNEWKFJLFKOD-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CCCC)CNCc2conc2C)C1 ZINC001485469311 1090170165 /nfs/dbraw/zinc/17/01/65/1090170165.db2.gz UQAAAIDHZMZTPM-INIZCTEOSA-N 0 1 319.449 3.104 20 30 DGEDMN N#Cc1cnc2c(Cl)cccc2c1N[C@@H]1CCc2[nH]cnc2C1 ZINC001163431605 1090185749 /nfs/dbraw/zinc/18/57/49/1090185749.db2.gz MNPMVHKZOJGZSX-LLVKDONJSA-N 0 1 323.787 3.452 20 30 DGEDMN Cc1csc(-c2cc(C)nnc2N=C2CCCC(=O)C2)n1 ZINC001163452990 1090189067 /nfs/dbraw/zinc/18/90/67/1090189067.db2.gz LXRDIKNTNAIAHY-UHFFFAOYSA-N 0 1 300.387 3.266 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(CCc2ccccc2F)C1 ZINC001485515642 1090198232 /nfs/dbraw/zinc/19/82/32/1090198232.db2.gz FAWNCYXTJVIQLZ-HNNXBMFYSA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1c[nH]c2ccccc12 ZINC001158190602 1090198365 /nfs/dbraw/zinc/19/83/65/1090198365.db2.gz IZRYXOUZLMICLQ-MRXNPFEDSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001485524845 1090201756 /nfs/dbraw/zinc/20/17/56/1090201756.db2.gz ULUXPVSGEVYBBX-CQSZACIVSA-N 0 1 318.436 3.365 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001158212649 1090202921 /nfs/dbraw/zinc/20/29/21/1090202921.db2.gz XTXRXBSMIUTRMJ-BRWVUGGUSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2cccc3ccccc32)C1 ZINC001485534327 1090205287 /nfs/dbraw/zinc/20/52/87/1090205287.db2.gz ZMZAPSGQQDPNFV-OAHLLOKOSA-N 0 1 308.425 3.005 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C(C)(C)C1 ZINC001485578163 1090215067 /nfs/dbraw/zinc/21/50/67/1090215067.db2.gz RRCJUMNDKZCSKN-MSOLQXFVSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(=O)C=C(C)Nc1ncc(F)c(Oc2ccc(C#N)cc2)n1 ZINC001163738234 1090246263 /nfs/dbraw/zinc/24/62/63/1090246263.db2.gz UUSQIUMITCWKIJ-YFHOEESVSA-N 0 1 312.304 3.184 20 30 DGEDMN C=CCCC(=O)NCCC1(CNCc2ncoc2C(C)C)CC1 ZINC001163766983 1090254328 /nfs/dbraw/zinc/25/43/28/1090254328.db2.gz JJMHRBMNFBNXAD-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001163855401 1090274368 /nfs/dbraw/zinc/27/43/68/1090274368.db2.gz ABRYGIYTGOGXQO-UHFFFAOYSA-N 0 1 321.465 3.170 20 30 DGEDMN CC(C)[C@@H](C)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001124685666 1090318755 /nfs/dbraw/zinc/31/87/55/1090318755.db2.gz CEVRIGDKWJFTDD-HNNXBMFYSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCN1CC[C@]2(C1)C[C@H](NC(=O)CCC)c1ccccc1O2 ZINC001111529113 1090358485 /nfs/dbraw/zinc/35/84/85/1090358485.db2.gz DXNNVYLKSNOWTD-QFBILLFUSA-N 0 1 314.429 3.057 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@H]1CC[N@H+](Cc1ccc(CC)o1)C2 ZINC001204391212 1090454594 /nfs/dbraw/zinc/45/45/94/1090454594.db2.gz PFODVYCSXGZGOY-OALUTQOASA-N 0 1 319.445 3.416 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C2CCCC2)C1 ZINC001107679973 1090484383 /nfs/dbraw/zinc/48/43/83/1090484383.db2.gz MDEVVKUPLKVVKY-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)c2ccco2)C1 ZINC001107696191 1090486837 /nfs/dbraw/zinc/48/68/37/1090486837.db2.gz HQOIEGLKAJDDIV-GOSISDBHSA-N 0 1 322.449 3.071 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CCC2CCCC2)C1 ZINC001107706673 1090489057 /nfs/dbraw/zinc/48/90/57/1090489057.db2.gz VWKSFYILIVDSIC-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CC(C)(C)C)C1 ZINC001107743052 1090496155 /nfs/dbraw/zinc/49/61/55/1090496155.db2.gz IEMVAQSNQJAXPT-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C2CC=CC2)C1 ZINC001107836523 1090513382 /nfs/dbraw/zinc/51/33/82/1090513382.db2.gz YCEDCLHBMFWKPW-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)C2CC2)C1 ZINC001107849578 1090515044 /nfs/dbraw/zinc/51/50/44/1090515044.db2.gz ZCHPNFSIZDBNDH-LPHOPBHVSA-N 0 1 324.509 3.210 20 30 DGEDMN N#Cc1ncc(Cl)cc1NCC1CN(Cc2ccccc2)C1 ZINC001165453701 1090522887 /nfs/dbraw/zinc/52/28/87/1090522887.db2.gz HLNOZJGJKDSHGP-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001165921448 1090561444 /nfs/dbraw/zinc/56/14/44/1090561444.db2.gz LQAGANYUPJWAJH-QGZVFWFLSA-N 0 1 321.490 3.219 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@]1(C)CN(CCC(C)C)CCO1 ZINC001107966397 1090576500 /nfs/dbraw/zinc/57/65/00/1090576500.db2.gz GGRQSBLBPQQIHI-IBGZPJMESA-N 0 1 322.493 3.008 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1ccc(F)cc1 ZINC001272914370 1090678508 /nfs/dbraw/zinc/67/85/08/1090678508.db2.gz CKSQEFYWZXNYLW-LJQANCHMSA-N 0 1 316.420 3.359 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(F)cc1 ZINC001272914369 1090678702 /nfs/dbraw/zinc/67/87/02/1090678702.db2.gz CKSQEFYWZXNYLW-IBGZPJMESA-N 0 1 316.420 3.359 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(Cl)cc1 ZINC001272915783 1090679578 /nfs/dbraw/zinc/67/95/78/1090679578.db2.gz VBLADOLBPGMWIN-IAGOWNOFSA-N 0 1 318.848 3.481 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC1(CNCc2nccs2)CC1 ZINC001167025964 1090697447 /nfs/dbraw/zinc/69/74/47/1090697447.db2.gz SVTGZMWTLJABDU-INIZCTEOSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1oc(C(C)C)nc1C ZINC001485854253 1090708542 /nfs/dbraw/zinc/70/85/42/1090708542.db2.gz QCWYLHXDVOUTSZ-ZDUSSCGKSA-N 0 1 307.438 3.009 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc2c1CCCC2 ZINC001485876537 1090718451 /nfs/dbraw/zinc/71/84/51/1090718451.db2.gz GSIFATIHONMJMG-CQSZACIVSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1c(C)noc1CC ZINC001485909342 1090723666 /nfs/dbraw/zinc/72/36/66/1090723666.db2.gz KZDDILDBXUUQPF-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cnc2ccccc2c1 ZINC001485927846 1090729697 /nfs/dbraw/zinc/72/96/97/1090729697.db2.gz RSFJYAVFPIFMEL-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN CC[C@H](C)CCCCC(=O)NCCNCC#Cc1ccccc1 ZINC001128158269 1090741149 /nfs/dbraw/zinc/74/11/49/1090741149.db2.gz DXOUDJKNURXKKU-SFHVURJKSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)c(C)o2)C1 ZINC001494123507 1090744273 /nfs/dbraw/zinc/74/42/73/1090744273.db2.gz CASURUOPNLUXTP-AWEZNQCLSA-N 0 1 310.825 3.043 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001486123167 1090756073 /nfs/dbraw/zinc/75/60/73/1090756073.db2.gz YYRCMBCALSNRRU-OLZOCXBDSA-N 0 1 317.260 3.078 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CCC2CCCCCC2)[C@@H]1C ZINC001486177965 1090777301 /nfs/dbraw/zinc/77/73/01/1090777301.db2.gz UZWXMUHIOBPDJF-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccccc1Cl)C(C)C ZINC001486378641 1090815362 /nfs/dbraw/zinc/81/53/62/1090815362.db2.gz CGSDCQHTMGJRLP-UHFFFAOYSA-N 0 1 306.837 3.146 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001276423400 1090816792 /nfs/dbraw/zinc/81/67/92/1090816792.db2.gz VFFDTBKQDBHTER-AWEZNQCLSA-N 0 1 304.409 3.119 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCCN(Cc3ccc(O)c(O)c3)C2)cc1 ZINC001203883725 1090825949 /nfs/dbraw/zinc/82/59/49/1090825949.db2.gz MJQIAZQUOOZQRR-KRWDZBQOSA-N 0 1 324.380 3.013 20 30 DGEDMN N#Cc1cccc(O[C@@H]2CCCN(Cc3ccc(O)c(O)c3)C2)c1 ZINC001203880375 1090826068 /nfs/dbraw/zinc/82/60/68/1090826068.db2.gz PRHPNLVBHGJXLP-QGZVFWFLSA-N 0 1 324.380 3.013 20 30 DGEDMN N#Cc1ccc(CN2CCC(Oc3ccc(F)cn3)CC2)cc1 ZINC001203906771 1090835324 /nfs/dbraw/zinc/83/53/24/1090835324.db2.gz YTILFJPHUDLOLM-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN Cc1cccc(C)c1N1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001203905909 1090835603 /nfs/dbraw/zinc/83/56/03/1090835603.db2.gz HFJFPADEAWDSNZ-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN CC(=O)Nc1ccc(CN2CCc3cc(C#N)ccc3C2)cc1 ZINC001203923637 1090839678 /nfs/dbraw/zinc/83/96/78/1090839678.db2.gz OJMBOAXDCQXXRX-UHFFFAOYSA-N 0 1 305.381 3.075 20 30 DGEDMN COc1cc(C#N)ccc1OC1CCN(Cc2ccoc2)CC1 ZINC001204243996 1090933938 /nfs/dbraw/zinc/93/39/38/1090933938.db2.gz QNKIOXJAJDEKAN-UHFFFAOYSA-N 0 1 312.369 3.203 20 30 DGEDMN COc1ccc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c(OC)c1C ZINC001204263745 1090936358 /nfs/dbraw/zinc/93/63/58/1090936358.db2.gz NSHAPWCBNWSPCU-MKZZRHPPSA-N 0 1 300.402 3.279 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2ccc(SC)cc2)C1=O ZINC001272966317 1090937097 /nfs/dbraw/zinc/93/70/97/1090937097.db2.gz QUKCDYMHDNJHRA-GOSISDBHSA-N 0 1 316.470 3.161 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001276454710 1090937924 /nfs/dbraw/zinc/93/79/24/1090937924.db2.gz ZAQLVIVXSFKTIY-WBVHZDCISA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001276454708 1090938312 /nfs/dbraw/zinc/93/83/12/1090938312.db2.gz ZAQLVIVXSFKTIY-NVXWUHKLSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCOC[C@]12CCCO[C@@H]1CCN(Cc1nc(C)cs1)C2 ZINC001204375890 1090959947 /nfs/dbraw/zinc/95/99/47/1090959947.db2.gz BVKYQJXPJHRLEV-NVXWUHKLSA-N 0 1 322.474 3.025 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1cc(C)c(C)o1 ZINC001273012858 1090993372 /nfs/dbraw/zinc/99/33/72/1090993372.db2.gz XGASPSATDJUQIB-GOSISDBHSA-N 0 1 302.418 3.039 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CCC1)C1CCCCC1 ZINC001273032595 1091009416 /nfs/dbraw/zinc/00/94/16/1091009416.db2.gz VAQUSUNRAXYAKP-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN COC(=O)c1c(F)ccc(-c2ccc(/C=N/O)c(F)c2)c1F ZINC001204746435 1091028897 /nfs/dbraw/zinc/02/88/97/1091028897.db2.gz PGXJKEAJJLOXDA-FBCYGCLPSA-N 0 1 309.243 3.366 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CN(CC/C=C/CCC)CCO1 ZINC001108120375 1091101098 /nfs/dbraw/zinc/10/10/98/1091101098.db2.gz YWUVHKWJXFQQBE-YXBWYFRISA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C2(C)CCC2)C1 ZINC001108129414 1091117361 /nfs/dbraw/zinc/11/73/61/1091117361.db2.gz YPJVFPHLFNOEQI-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CN1C(=O)COCC12CN(CCCCC/C=C\CC)C2 ZINC001273136461 1091142206 /nfs/dbraw/zinc/14/22/06/1091142206.db2.gz WHPPEUMPCLUNDI-WAYWQWQTSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CN[C@H](C)c2ncc(C)o2)CC1 ZINC001205407235 1091214690 /nfs/dbraw/zinc/21/46/90/1091214690.db2.gz BUGBXGPIIAJGHI-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cncn1Cc1ccccc1 ZINC001138563126 1091230499 /nfs/dbraw/zinc/23/04/99/1091230499.db2.gz FIKZUTUTCLKMMH-NNZMDNLPSA-N 0 1 306.413 3.198 20 30 DGEDMN COC(=O)c1cccc(CN(C)Cc2ccc(F)c(C#N)c2)c1 ZINC001205496862 1091234082 /nfs/dbraw/zinc/23/40/82/1091234082.db2.gz VQJUAEPFENWWCZ-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCN(Cc2ccc(F)c(C#N)c2)C1 ZINC001205496934 1091234169 /nfs/dbraw/zinc/23/41/69/1091234169.db2.gz ZXTSDLIWKLPAHE-CQSZACIVSA-N 0 1 318.392 3.251 20 30 DGEDMN CCN1CC[C@@H]1CNC(=O)Nc1scc(C(C)(C)C)c1C#N ZINC001205531726 1091244995 /nfs/dbraw/zinc/24/49/95/1091244995.db2.gz PHEKWWMVKGPPNM-LLVKDONJSA-N 0 1 320.462 3.133 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)cc1 ZINC001205594567 1091271686 /nfs/dbraw/zinc/27/16/86/1091271686.db2.gz UXCZUWIDTILRQT-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(F)(F)[C@H](CO)C1 ZINC001138662096 1091278595 /nfs/dbraw/zinc/27/85/95/1091278595.db2.gz ONAUCUMGIFHKOM-HNNXBMFYSA-N 0 1 311.372 3.091 20 30 DGEDMN C=CCC1(C(=O)NC[C@@]2(C)CN(CCCCC)CCO2)CCC1 ZINC001108187350 1091363928 /nfs/dbraw/zinc/36/39/28/1091363928.db2.gz GBHDWIYYDOWPNX-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108190269 1091375693 /nfs/dbraw/zinc/37/56/93/1091375693.db2.gz SQMVIDQRKXCKSE-MOPGFXCFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCOc1ccccc1CN1CCC[C@@H](c2noc(C)n2)C1 ZINC001139029469 1091422853 /nfs/dbraw/zinc/42/28/53/1091422853.db2.gz ZBTHXRZJOWQVSW-MRXNPFEDSA-N 0 1 313.401 3.322 20 30 DGEDMN C#CCC1(C(=O)NCC2(NCC(=C)Cl)CCC2)CCCCC1 ZINC001273207908 1091426284 /nfs/dbraw/zinc/42/62/84/1091426284.db2.gz HEROGSMKHPCKIW-UHFFFAOYSA-N 0 1 322.880 3.341 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)Nc1ccc2nc(N(C)C)[nH]c2c1 ZINC001139224042 1091493171 /nfs/dbraw/zinc/49/31/71/1091493171.db2.gz URZKCDVSMVVMRL-UHFFFAOYSA-N 0 1 319.368 3.061 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3coc4cc(C)c(C)cc34)[C@@H]2C1 ZINC001084547808 1091502460 /nfs/dbraw/zinc/50/24/60/1091502460.db2.gz OCPBLRSOEHMGRE-CRAIPNDOSA-N 0 1 324.424 3.382 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC001295100854 1091503656 /nfs/dbraw/zinc/50/36/56/1091503656.db2.gz XPGVDXHLLDDIDL-UHFFFAOYSA-N 0 1 312.226 3.058 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(Cc3csc(C)c3)C[C@H]21 ZINC001084578400 1091506708 /nfs/dbraw/zinc/50/67/08/1091506708.db2.gz WNSCHYLFUSJSDZ-HZPDHXFCSA-N 0 1 318.486 3.301 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1ccc(N2CCCCC2)nc1 ZINC001139344753 1091527593 /nfs/dbraw/zinc/52/75/93/1091527593.db2.gz MOAVWDJKLNOIGZ-NNZMDNLPSA-N 0 1 310.445 3.338 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001084699125 1091539159 /nfs/dbraw/zinc/53/91/59/1091539159.db2.gz XJWBUPSMTZMOEZ-KZNAEPCWSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](C)C3CCCCC3)[C@@H]2C1 ZINC001084717153 1091546420 /nfs/dbraw/zinc/54/64/20/1091546420.db2.gz LLBSZNJSPJNZBU-QXAKKESOSA-N 0 1 316.489 3.149 20 30 DGEDMN CC[C@H]1COCCN1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139404911 1091549702 /nfs/dbraw/zinc/54/97/02/1091549702.db2.gz DYJNTCKVQNEMPI-SFHVURJKSA-N 0 1 307.397 3.231 20 30 DGEDMN Cc1ccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c(O)c1 ZINC001206282091 1091562341 /nfs/dbraw/zinc/56/23/41/1091562341.db2.gz IGNUOKDUHKKEJL-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C)c(F)cc3Cl)[C@@H]2C1 ZINC001084801905 1091573856 /nfs/dbraw/zinc/57/38/56/1091573856.db2.gz XFLJFRZBQWXFCB-MLGOLLRUSA-N 0 1 322.811 3.120 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3ccc4ccccc4c3)[C@@H]2C1 ZINC001084820760 1091579616 /nfs/dbraw/zinc/57/96/16/1091579616.db2.gz NPGWHJJPTGDPNV-WOJBJXKFSA-N 0 1 320.436 3.101 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)cc1F ZINC001139529033 1091587462 /nfs/dbraw/zinc/58/74/62/1091587462.db2.gz FQYOSPNOKWZQOS-OALUTQOASA-N 0 1 321.399 3.156 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(c4ccc(C)cc4)CCC3)[C@@H]2C1 ZINC001084860669 1091598953 /nfs/dbraw/zinc/59/89/53/1091598953.db2.gz BAFFYVNZCJTNLG-IEBWSBKVSA-N 0 1 324.468 3.135 20 30 DGEDMN COc1ccncc1CN1CCC(C#Cc2ccccc2)CC1 ZINC001139609394 1091619132 /nfs/dbraw/zinc/61/91/32/1091619132.db2.gz ADEBGNJSUOKOTR-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN COc1cnccc1CN1CCC(Cc2ccc(C#N)cc2)CC1 ZINC001206473330 1091642740 /nfs/dbraw/zinc/64/27/40/1091642740.db2.gz JLVMOXUVLBJNKS-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN COc1cnccc1CN1CCC[C@@H](Oc2cccc(C#N)c2)C1 ZINC001206480272 1091644385 /nfs/dbraw/zinc/64/43/85/1091644385.db2.gz QCRVGCKIFGIIFJ-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cnccc1CN1CCC(C#Cc2ccccc2)CC1 ZINC001206493697 1091648573 /nfs/dbraw/zinc/64/85/73/1091648573.db2.gz IOHRYMDITCHUFV-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN Cc1ccc(CN2CCN(c3ccccc3C)CC2)cc1C#N ZINC001139723129 1091654567 /nfs/dbraw/zinc/65/45/67/1091654567.db2.gz QWYMBDSJGSRCCG-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](C(=O)OC(C)(C)C)C2)cc1C#N ZINC001139724189 1091655055 /nfs/dbraw/zinc/65/50/55/1091655055.db2.gz MRSUDXJWBFKTSG-MRXNPFEDSA-N 0 1 314.429 3.420 20 30 DGEDMN Cc1cccc(O[C@@H]2CCN(Cc3ccc(C)c(C#N)c3)C2)n1 ZINC001139727610 1091656258 /nfs/dbraw/zinc/65/62/58/1091656258.db2.gz XIPBGHJWYPDVNT-GOSISDBHSA-N 0 1 307.397 3.223 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3cccnc3)C2)cc1C#N ZINC001139726259 1091656634 /nfs/dbraw/zinc/65/66/34/1091656634.db2.gz CUPCSJGGAZMNGP-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN CCOC(=O)C1(C)CCN(Cc2ccc(C)c(C#N)c2)CC1 ZINC001139726321 1091656833 /nfs/dbraw/zinc/65/68/33/1091656833.db2.gz IEXACARUIXJEQR-UHFFFAOYSA-N 0 1 300.402 3.032 20 30 DGEDMN C=CCn1cc(CN2CCC3(Cc4ccccc4O3)CC2)cn1 ZINC001139771394 1091669392 /nfs/dbraw/zinc/66/93/92/1091669392.db2.gz YSMWLTNEURZCEY-UHFFFAOYSA-N 0 1 309.413 3.039 20 30 DGEDMN CSc1nccc(CN2CCC(c3cccc(C#N)c3)CC2)n1 ZINC001140038263 1091746613 /nfs/dbraw/zinc/74/66/13/1091746613.db2.gz UFJKLNKPEAGIQO-UHFFFAOYSA-N 0 1 324.453 3.450 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2[nH]c3ccccc3c2C)C1=O ZINC001273326739 1091768801 /nfs/dbraw/zinc/76/88/01/1091768801.db2.gz IQXXHBVZZYGZFQ-FQEVSTJZSA-N 0 1 323.440 3.229 20 30 DGEDMN C[C@@H]1CN(C/C=C/c2ccccc2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001206950993 1091804773 /nfs/dbraw/zinc/80/47/73/1091804773.db2.gz RESXPHWEWOKESR-HKSATOIVSA-N 0 1 324.468 3.186 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCC(F)(F)F)C[C@H]1C ZINC001207107720 1091845548 /nfs/dbraw/zinc/84/55/48/1091845548.db2.gz OQCWFBGCCUNEJT-TZMCWYRMSA-N 0 1 318.383 3.144 20 30 DGEDMN Cc1ccccc1O[C@@H]1CCCN(Cc2cc(C#N)n(C)c2)C1 ZINC001207106823 1091845915 /nfs/dbraw/zinc/84/59/15/1091845915.db2.gz WOLPENHDGMPMHD-GOSISDBHSA-N 0 1 309.413 3.249 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C=C(\C)CC)C2)CC1 ZINC001323825091 1091847145 /nfs/dbraw/zinc/84/71/45/1091847145.db2.gz CKKSTICRUAVTFG-SDNWHVSQSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc2ccccc2nc1C ZINC001085625134 1091861390 /nfs/dbraw/zinc/86/13/90/1091861390.db2.gz HOLVXTJBVKMZAU-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc(-n2cccc2)c1 ZINC001085705900 1091893019 /nfs/dbraw/zinc/89/30/19/1091893019.db2.gz BOACUCDHEDACSQ-IBGZPJMESA-N 0 1 323.440 3.200 20 30 DGEDMN CC(C)CCC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001207231335 1091894316 /nfs/dbraw/zinc/89/43/16/1091894316.db2.gz DZMQCWPEEMAYSQ-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1nc2ccccc2cc1C ZINC001085731371 1091903140 /nfs/dbraw/zinc/90/31/40/1091903140.db2.gz ZBAPMTPTSDZJEU-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN N#Cc1ccc(CN2CCC(OCc3ccccc3)CC2)cn1 ZINC001140543593 1091904040 /nfs/dbraw/zinc/90/40/40/1091904040.db2.gz PZYZQDHQICCRKL-UHFFFAOYSA-N 0 1 307.397 3.134 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001085738499 1091905575 /nfs/dbraw/zinc/90/55/75/1091905575.db2.gz NROJSAQYODWBHM-XMTFNYHQSA-N 0 1 304.478 3.312 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(Cl)ccc2C(F)(F)F)CCC1=O ZINC001140567004 1091912174 /nfs/dbraw/zinc/91/21/74/1091912174.db2.gz YSSIDTWWHVWZGB-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN Cc1cc(CN2CCN(c3ccc(C#N)cc3)CC2)cc(C)c1O ZINC001140658798 1091947915 /nfs/dbraw/zinc/94/79/15/1091947915.db2.gz XAMJNVAGURSYRD-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(F)ccc1Cl ZINC001085838792 1091960177 /nfs/dbraw/zinc/96/01/77/1091960177.db2.gz SDSODKIWIIFFQR-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN CCN(CCNCc1cc(C)ccc1F)C(=O)C#CC(C)(C)C ZINC001273368518 1091993793 /nfs/dbraw/zinc/99/37/93/1091993793.db2.gz YBTBTROHQYTVFK-UHFFFAOYSA-N 0 1 318.436 3.122 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2cccnc2s1 ZINC001085908295 1092005610 /nfs/dbraw/zinc/00/56/10/1092005610.db2.gz FUEJUHLJFXYFLM-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc2ccccc2c1 ZINC001085942221 1092032630 /nfs/dbraw/zinc/03/26/30/1092032630.db2.gz BQGXUAVLLCJFEG-LJQANCHMSA-N 0 1 306.409 3.009 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1CCC(C(F)F)CC1 ZINC001085952298 1092041345 /nfs/dbraw/zinc/04/13/45/1092041345.db2.gz XZHNVEPIKVXYDQ-NRXISQOPSA-N 0 1 314.420 3.167 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1CCC(C(C)(C)C)CC1 ZINC001085956949 1092051862 /nfs/dbraw/zinc/05/18/62/1092051862.db2.gz OPTCHLWYSJMSDR-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1c[nH]cc1-c1ccccc1 ZINC001085973339 1092067630 /nfs/dbraw/zinc/06/76/30/1092067630.db2.gz UMBMRWHTOKGUOY-MRXNPFEDSA-N 0 1 309.413 3.014 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001085993041 1092083500 /nfs/dbraw/zinc/08/35/00/1092083500.db2.gz AJYXPICCPMCEOS-VNCLNFNDSA-N 0 1 304.478 3.312 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(F)cc3C#N)CC2)cc1 ZINC001141165151 1092159631 /nfs/dbraw/zinc/15/96/31/1092159631.db2.gz VHQOZMJHHBGORR-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001491632785 1092193114 /nfs/dbraw/zinc/19/31/14/1092193114.db2.gz NBWVFADEYYKWDC-KBPBESRZSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@H](CC)CC(C)C ZINC001491633041 1092193235 /nfs/dbraw/zinc/19/32/35/1092193235.db2.gz PFEFIFQANSQJNA-CHWSQXEVSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCCc2ccccc2)C1 ZINC001491751846 1092219701 /nfs/dbraw/zinc/21/97/01/1092219701.db2.gz SWTJJPRMURNWDL-KRWDZBQOSA-N 0 1 320.864 3.342 20 30 DGEDMN C[C@@H](C#N)N(C)Cc1cc(Cl)cc(Br)c1O ZINC001141374232 1092220767 /nfs/dbraw/zinc/22/07/67/1092220767.db2.gz CFVXKIILHWYLJD-ZETCQYMHSA-N 0 1 303.587 3.152 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@H](NCc2nocc2C)C1 ZINC001491873099 1092266168 /nfs/dbraw/zinc/26/61/68/1092266168.db2.gz CIRKRFXPYAIBHW-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2coc(C)n2)C1 ZINC001491882682 1092273364 /nfs/dbraw/zinc/27/33/64/1092273364.db2.gz PPSSNDMMDKJDOS-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN Cc1ncc(CN2CCC(Cc3ccc(C#N)cc3)CC2)cn1 ZINC001203111884 1092278780 /nfs/dbraw/zinc/27/87/80/1092278780.db2.gz RJENQWRYCHAPRJ-UHFFFAOYSA-N 0 1 306.413 3.111 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001208231472 1092283622 /nfs/dbraw/zinc/28/36/22/1092283622.db2.gz CELHQJQHSKDSMI-RHSMWYFYSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001208239616 1092287067 /nfs/dbraw/zinc/28/70/67/1092287067.db2.gz DVFHAIDUPDPGJW-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2nc(C)sc2C)C1 ZINC001208255882 1092294560 /nfs/dbraw/zinc/29/45/60/1092294560.db2.gz SRSFUGJJPYVDNB-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001273438043 1092294561 /nfs/dbraw/zinc/29/45/61/1092294561.db2.gz VSQLFARAPNADIT-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2ccccc2Cl)C[C@H]1C ZINC001208309467 1092308410 /nfs/dbraw/zinc/30/84/10/1092308410.db2.gz MFXIPWYPWLMJLA-CZUORRHYSA-N 0 1 320.864 3.489 20 30 DGEDMN CSc1nc(CN2CCC3(CC(F)C3)CC2)ccc1C#N ZINC001141931880 1092362872 /nfs/dbraw/zinc/36/28/72/1092362872.db2.gz OBZVUQBFLVRXCT-UHFFFAOYSA-N 0 1 305.422 3.389 20 30 DGEDMN CSc1nc(CN2C[C@H]3[C@H](CCC3(F)F)C2)ccc1C#N ZINC001141931491 1092363539 /nfs/dbraw/zinc/36/35/39/1092363539.db2.gz ATTWDIRDBXWMNK-YPMHNXCESA-N 0 1 309.385 3.152 20 30 DGEDMN O[C@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@H]1F ZINC001141972852 1092371338 /nfs/dbraw/zinc/37/13/38/1092371338.db2.gz SVABLIJTNHXDRV-MSOLQXFVSA-N 0 1 315.413 3.053 20 30 DGEDMN O[C@H]1CN(Cc2ccc(C#Cc3ccccc3)s2)CC[C@H]1F ZINC001141972852 1092371350 /nfs/dbraw/zinc/37/13/50/1092371350.db2.gz SVABLIJTNHXDRV-MSOLQXFVSA-N 0 1 315.413 3.053 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@H]1O ZINC001141973065 1092372693 /nfs/dbraw/zinc/37/26/93/1092372693.db2.gz XBCCZDUSIWQDMR-DNVCBOLYSA-N 0 1 311.450 3.351 20 30 DGEDMN C[C@H]1C[C@@H](N2CCC(C)(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001168870314 1092406720 /nfs/dbraw/zinc/40/67/20/1092406720.db2.gz AIMKHVSGHOLACN-UONOGXRCSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC[C@H]1CCCN1Cc1ccon1 ZINC001492154584 1092414061 /nfs/dbraw/zinc/41/40/61/1092414061.db2.gz PJPSQJOYTOJBDS-INIZCTEOSA-N 0 1 319.449 3.138 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001492310738 1092471849 /nfs/dbraw/zinc/47/18/49/1092471849.db2.gz IZSXPMYOAFPBNG-HNNXBMFYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CN(C[C@@H](F)CC)CC1(C)C ZINC001276586414 1092498772 /nfs/dbraw/zinc/49/87/72/1092498772.db2.gz ISUPDGXQJOWTJM-HOTGVXAUSA-N 0 1 310.457 3.330 20 30 DGEDMN N#Cc1ccc2c(CN3CCc4ccc(C#N)cc4C3)c[nH]c2c1 ZINC001142915693 1092585435 /nfs/dbraw/zinc/58/54/35/1092585435.db2.gz DNVCXALWTIDIAD-UHFFFAOYSA-N 0 1 312.376 3.470 20 30 DGEDMN C=CCN(CCN(C)Cc1ccc(Cl)cc1)C(=O)OCC ZINC001209022825 1092628517 /nfs/dbraw/zinc/62/85/17/1092628517.db2.gz VIPMWZQPNSOPJQ-UHFFFAOYSA-N 0 1 310.825 3.416 20 30 DGEDMN N#C[C@@H]1CN(Cc2c(Cl)cccc2C(F)(F)F)CCC1=O ZINC001143288455 1092651391 /nfs/dbraw/zinc/65/13/91/1092651391.db2.gz KBDFRKZNRJTYTL-SECBINFHSA-N 0 1 316.710 3.273 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001209124927 1092664246 /nfs/dbraw/zinc/66/42/46/1092664246.db2.gz GADSMBSDXXFHSR-KBAYOESNSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCCN1Cc2c(cnn2CC2CC2)[C@@H](COCC)C1 ZINC001209159074 1092676761 /nfs/dbraw/zinc/67/67/61/1092676761.db2.gz ZQNMBEHQJJYQSG-MRXNPFEDSA-N 0 1 303.450 3.195 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001209182933 1092683994 /nfs/dbraw/zinc/68/39/94/1092683994.db2.gz KECYZPGYOWFXIR-IPUYDCKKSA-N 0 1 319.276 3.488 20 30 DGEDMN N#Cc1ccccc1CNCCNC(=O)CCC1CCCCC1 ZINC001149786511 1092731925 /nfs/dbraw/zinc/73/19/25/1092731925.db2.gz XSTKYSRUUKPOAO-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN C=CCCCCCCCN1Cc2nnn(C)c2[C@H](COCC)C1 ZINC001209441634 1092777152 /nfs/dbraw/zinc/77/71/52/1092777152.db2.gz KCSQHOXUOGKUDC-INIZCTEOSA-N 0 1 320.481 3.277 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001150561683 1092792872 /nfs/dbraw/zinc/79/28/72/1092792872.db2.gz FHFMMYUBAIXRHT-MSOLQXFVSA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(Br)cc(C#N)c1 ZINC001209970524 1093000254 /nfs/dbraw/zinc/00/02/54/1093000254.db2.gz WDSQLKTXXXMLIU-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(C(F)(F)F)c(C#N)cc1N ZINC001209973089 1093001565 /nfs/dbraw/zinc/00/15/65/1093001565.db2.gz VFCAFTBIHCUHRV-UHFFFAOYSA-N 0 1 307.279 3.189 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1cc2cn[nH]c2cc1C ZINC001210100117 1093043078 /nfs/dbraw/zinc/04/30/78/1093043078.db2.gz GLTCHDOPOWWINO-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN COC(=O)c1cc(Nc2cc3cn[nH]c3cc2C)ccc1C#N ZINC001210107250 1093044114 /nfs/dbraw/zinc/04/41/14/1093044114.db2.gz XIZOMSXCCXKHBA-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1Nc1ccc(O)c([N+](=O)[O-])c1 ZINC001210394473 1093151575 /nfs/dbraw/zinc/15/15/75/1093151575.db2.gz WPESIYZMWUJIRU-UHFFFAOYSA-N 0 1 324.218 3.329 20 30 DGEDMN COc1cc(C#N)c(F)cc1Nc1ccc(O)c([N+](=O)[O-])c1 ZINC001210397794 1093153936 /nfs/dbraw/zinc/15/39/36/1093153936.db2.gz IBBISRVWJQYASM-UHFFFAOYSA-N 0 1 303.249 3.063 20 30 DGEDMN Cc1ccc(Nc2cccc(N3CCN(C)CC3)c2)c(C#N)c1 ZINC001210566602 1093212259 /nfs/dbraw/zinc/21/22/59/1093212259.db2.gz KOBNOMVSQDUPKM-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CC#Cc1cncc(Nc2ccc(CCN3CCOCC3)cc2)c1 ZINC001211058852 1093368244 /nfs/dbraw/zinc/36/82/44/1093368244.db2.gz LTMXGPICYNZWKS-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3cc(F)cc(N4CCOCC4)c3)c12 ZINC001211338098 1093464670 /nfs/dbraw/zinc/46/46/70/1093464670.db2.gz JSLRLDMLXPLHCJ-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C=C(CN1CCCCC1)c1nc(-c2ccc3c(c2)C(=O)CC3)no1 ZINC001211436159 1093493893 /nfs/dbraw/zinc/49/38/93/1093493893.db2.gz CVHMVALURJBAQE-UHFFFAOYSA-N 0 1 323.396 3.365 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC001213071306 1093507970 /nfs/dbraw/zinc/50/79/70/1093507970.db2.gz KRFFTCDTUMDDGI-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1ccc2nc(C)[nH]c2c1 ZINC001213071306 1093507977 /nfs/dbraw/zinc/50/79/77/1093507977.db2.gz KRFFTCDTUMDDGI-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@@H](NC(=O)[C@@H](C)CC(=C)C)[C@H](OC)C1 ZINC001213320266 1093566090 /nfs/dbraw/zinc/56/60/90/1093566090.db2.gz QJTMZHGAYVHFCL-RCCFBDPRSA-N 0 1 322.493 3.151 20 30 DGEDMN COC(=O)c1c(F)cc(Nc2cc(C#N)c(F)cc2O)cc1F ZINC001214725896 1093841301 /nfs/dbraw/zinc/84/13/01/1093841301.db2.gz GDZMSRGZMBHAKY-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN COc1ccc(Nc2ccc(-n3ccc(=O)[nH]3)cc2)cc1C#N ZINC001215006643 1093901208 /nfs/dbraw/zinc/90/12/08/1093901208.db2.gz PQLIQANYLKSJLH-UHFFFAOYSA-N 0 1 306.325 3.202 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215429648 1093981216 /nfs/dbraw/zinc/98/12/16/1093981216.db2.gz LPIAUYVWNWIVKB-DTUFIANYSA-N 0 1 305.249 3.098 20 30 DGEDMN Cc1cc(O)cc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001215515567 1093992905 /nfs/dbraw/zinc/99/29/05/1093992905.db2.gz KLJANJJCPNODIG-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN COC(=O)c1ccc(Nc2cc3[nH]ncc3c(F)c2)c(C#N)c1 ZINC001215558080 1094001382 /nfs/dbraw/zinc/00/13/82/1094001382.db2.gz ISTFPRJVCOOWSL-UHFFFAOYSA-N 0 1 310.288 3.104 20 30 DGEDMN Cc1c(F)cncc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001216190224 1094141049 /nfs/dbraw/zinc/14/10/49/1094141049.db2.gz HALOCBYTSIOANB-UHFFFAOYSA-N 0 1 302.378 3.194 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc(CC#N)c2)c(F)c1 ZINC000404911650 1094271755 /nfs/dbraw/zinc/27/17/55/1094271755.db2.gz CEHYPBRREAEUBM-UHFFFAOYSA-N 0 1 304.346 3.001 20 30 DGEDMN COc1cc(C)ccc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216970024 1094285393 /nfs/dbraw/zinc/28/53/93/1094285393.db2.gz XYBBYWCUXGOLJJ-UHFFFAOYSA-N 0 1 307.309 3.298 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135189346 1094426159 /nfs/dbraw/zinc/42/61/59/1094426159.db2.gz XZCBPJGSPUDEGR-RYUDHWBXSA-N 0 1 309.479 3.037 20 30 DGEDMN C=CC1(CC(=O)NCCNCc2cc(C)ns2)CCCCC1 ZINC001135196751 1094440233 /nfs/dbraw/zinc/44/02/33/1094440233.db2.gz HAZHPOVOIMSONE-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1ncoc1C(C)C ZINC001135236574 1094454639 /nfs/dbraw/zinc/45/46/39/1094454639.db2.gz ULTUDHPFCMOEDO-ZIAGYGMSSA-N 0 1 321.465 3.383 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1ncc(C2CC2)o1 ZINC001135230426 1094459216 /nfs/dbraw/zinc/45/92/16/1094459216.db2.gz NGDUMDRIIDDOID-QWHCGFSZSA-N 0 1 319.449 3.137 20 30 DGEDMN N#CC1(CNC[C@@H](O)c2cccc(Br)c2)CCCC1 ZINC001120602479 1094467203 /nfs/dbraw/zinc/46/72/03/1094467203.db2.gz HMWOGSMPWUCSFD-CQSZACIVSA-N 0 1 323.234 3.156 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CC[NH2+]CC[C@H]1C ZINC001218027097 1094567625 /nfs/dbraw/zinc/56/76/25/1094567625.db2.gz MNVFYGDBCQPJSD-SJKOYZFVSA-N 0 1 303.402 3.090 20 30 DGEDMN CCCCCC[C@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001135547794 1094571985 /nfs/dbraw/zinc/57/19/85/1094571985.db2.gz NCHXEQCHSVLYKP-SFHVURJKSA-N 0 1 314.473 3.350 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[C@@]12CC[NH2+]C2 ZINC001218095349 1094599404 /nfs/dbraw/zinc/59/94/04/1094599404.db2.gz ZJYPXWJCMZJADX-MJGOQNOKSA-N 0 1 315.413 3.234 20 30 DGEDMN CC(C)(c1ccc(O)cc1)c1ccc(O[C@H]2CNC[C@H]2C#N)cc1 ZINC001218199143 1094654365 /nfs/dbraw/zinc/65/43/65/1094654365.db2.gz APLNTSWQLRAAIL-KUHUBIRLSA-N 0 1 322.408 3.208 20 30 DGEDMN Cc1cc(C)c2ccc3cccc(O[C@@H]4CNC[C@H]4C#N)c3c2n1 ZINC001218200260 1094655014 /nfs/dbraw/zinc/65/50/14/1094655014.db2.gz FPNYJZSLPBSJPD-CRAIPNDOSA-N 0 1 317.392 3.495 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(C(F)(F)F)cc1C(F)(F)F ZINC001218199996 1094655089 /nfs/dbraw/zinc/65/50/89/1094655089.db2.gz CTMBROHUNLZOJU-HQJQHLMTSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(-c2nc3ccccc3s2)cc1 ZINC001218199613 1094655101 /nfs/dbraw/zinc/65/51/01/1094655101.db2.gz GJIQWBCPQKUGMP-CZUORRHYSA-N 0 1 321.405 3.454 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCc2nc[nH]c2[C@H]1c1cccnc1 ZINC001336533229 1094667959 /nfs/dbraw/zinc/66/79/59/1094667959.db2.gz BQIBKQHXIXVNRS-GOSISDBHSA-N 0 1 322.412 3.047 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CC[NH2+]CC1(C)C ZINC001218311949 1094709544 /nfs/dbraw/zinc/70/95/44/1094709544.db2.gz REYOOCOGHPXXIE-INIZCTEOSA-N 0 1 303.402 3.090 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135874574 1094726063 /nfs/dbraw/zinc/72/60/63/1094726063.db2.gz DZGLCOMICVIYCO-MRXNPFEDSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@@H](C)C[C@H]1C ZINC001121614359 1094727302 /nfs/dbraw/zinc/72/73/02/1094727302.db2.gz YSMGTBMLPXBEFV-QWHCGFSZSA-N 0 1 322.416 3.242 20 30 DGEDMN C=CCCCC(=O)NC1CC2(CC(NCc3cscn3)C2)C1 ZINC001121674474 1094741299 /nfs/dbraw/zinc/74/12/99/1094741299.db2.gz KKMURVNKNLGANG-UHFFFAOYSA-N 0 1 319.474 3.016 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](CCNC/C(Cl)=C/Cl)C1 ZINC001103978056 1094824012 /nfs/dbraw/zinc/82/40/12/1094824012.db2.gz RUKVTYLAHOGAAF-HPMVNELHSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC001122018167 1094870346 /nfs/dbraw/zinc/87/03/46/1094870346.db2.gz GATUYUDOTMYWSR-XJKSGUPXSA-N 0 1 306.837 3.415 20 30 DGEDMN Cc1ccn(-c2cccc(C(=O)C(C#N)c3nc(C)cs3)c2)n1 ZINC001122492450 1094987846 /nfs/dbraw/zinc/98/78/46/1094987846.db2.gz SPDJYTJLZZDBHK-HNNXBMFYSA-N 0 1 322.393 3.436 20 30 DGEDMN CCN(CCC#N)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001136353932 1094999849 /nfs/dbraw/zinc/99/98/49/1094999849.db2.gz ZANCXOKBPSPDDJ-UHFFFAOYSA-N 0 1 302.765 3.106 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCCC(C)(C)C1 ZINC001122623726 1095019587 /nfs/dbraw/zinc/01/95/87/1095019587.db2.gz ZDVICXPNZAVVEI-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN Cc1noc(-c2ccccc2C(=O)[C@H](C#N)c2cccc(F)n2)n1 ZINC001122720777 1095046550 /nfs/dbraw/zinc/04/65/50/1095046550.db2.gz FRYFTSILTPZHPL-CYBMUJFWSA-N 0 1 322.299 3.069 20 30 DGEDMN Cc1noc(-c2ccccc2C(=O)C(C#N)c2cccc(F)n2)n1 ZINC001122720777 1095046568 /nfs/dbraw/zinc/04/65/68/1095046568.db2.gz FRYFTSILTPZHPL-CYBMUJFWSA-N 0 1 322.299 3.069 20 30 DGEDMN COC=Cc1ccc(NC(=N)c2ccc(C(=O)OC)cc2)cc1 ZINC001170984692 1095078239 /nfs/dbraw/zinc/07/82/39/1095078239.db2.gz XFARRFXELGLDNS-VAWYXSNFSA-N 0 1 310.353 3.127 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cccc(-n3cccc3)c2)cc1 ZINC001170985742 1095081889 /nfs/dbraw/zinc/08/18/89/1095081889.db2.gz XQEQLEUOZQCAAC-UHFFFAOYSA-N 0 1 319.364 3.301 20 30 DGEDMN SCCCNc1nccc2c1CCN(Cc1ccccc1)C2 ZINC001171001343 1095111114 /nfs/dbraw/zinc/11/11/14/1095111114.db2.gz ZPCFOWJQOOSZJS-UHFFFAOYSA-N 0 1 313.470 3.372 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@H](C)c1ncccn1 ZINC001171044014 1095182656 /nfs/dbraw/zinc/18/26/56/1095182656.db2.gz UBLTWXUSTFMJFG-JKSUJKDBSA-N 0 1 318.465 3.159 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1cscn1 ZINC001171044216 1095183703 /nfs/dbraw/zinc/18/37/03/1095183703.db2.gz WFFAOPXCWXAIJO-AWEZNQCLSA-N 0 1 309.479 3.264 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1csc(C(C)(C)C)n1 ZINC001123236747 1095197134 /nfs/dbraw/zinc/19/71/34/1095197134.db2.gz AMECNZISSUPXAE-SNVBAGLBSA-N 0 1 302.403 3.147 20 30 DGEDMN CCC(=O)Nc1cc(N[C@@H]2CCc3c2cccc3C#N)ccn1 ZINC001171068666 1095247823 /nfs/dbraw/zinc/24/78/23/1095247823.db2.gz QICSLYBHZJETLX-MRXNPFEDSA-N 0 1 306.369 3.401 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(Cc3ccc(F)cc3C)C[C@H]21 ZINC001221429120 1095263648 /nfs/dbraw/zinc/26/36/48/1095263648.db2.gz INOXERHPKRGCPM-SJLPKXTDSA-N 0 1 316.420 3.133 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(CCCCC(F)(F)F)C[C@H]21 ZINC001221429077 1095265274 /nfs/dbraw/zinc/26/52/74/1095265274.db2.gz GSBUXTVONWYUPY-ZIAGYGMSSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cscn2)CCCCC1 ZINC001115666976 1095324512 /nfs/dbraw/zinc/32/45/12/1095324512.db2.gz IPVMYBNYGODGKF-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cc(C)no2)CCCCC1 ZINC001115701646 1095341373 /nfs/dbraw/zinc/34/13/73/1095341373.db2.gz YSPPBGXBCKWMFL-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001221824340 1095365801 /nfs/dbraw/zinc/36/58/01/1095365801.db2.gz NUCOBAUFKKQQPU-RCCFBDPRSA-N 0 1 304.478 3.478 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)CCCCC)[C@@H]2C1 ZINC001221854781 1095375823 /nfs/dbraw/zinc/37/58/23/1095375823.db2.gz FSQYLYKEKVNBQR-RCCFBDPRSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)CCC(C)(C)C ZINC001338771231 1095383816 /nfs/dbraw/zinc/38/38/16/1095383816.db2.gz GHGMHJWPYAYWMI-UHFFFAOYSA-N 0 1 302.426 3.035 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(C(F)(F)F)c(Cl)c2)CCC1=O ZINC001143810314 1095398252 /nfs/dbraw/zinc/39/82/52/1095398252.db2.gz RCJCPSJXXUZRKZ-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN CCC[N@@H+](CCCCC#N)Cc1nc(=O)c2sccc2[n-]1 ZINC001116340553 1095521650 /nfs/dbraw/zinc/52/16/50/1095521650.db2.gz UTJQZGOWJVMTTH-UHFFFAOYSA-N 0 1 304.419 3.303 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC001116555640 1095600424 /nfs/dbraw/zinc/60/04/24/1095600424.db2.gz SFBBJVGXWHSQPE-OAHLLOKOSA-N 0 1 304.390 3.495 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1cccc(C)c1F)C2 ZINC001137676141 1095708220 /nfs/dbraw/zinc/70/82/20/1095708220.db2.gz KUFJGLRWGCXRNN-PKOBYXMFSA-N 0 1 319.420 3.460 20 30 DGEDMN COc1ccc(CN2CCC[C@H](c3ccccn3)C2)c(C#N)c1 ZINC001137703565 1095714832 /nfs/dbraw/zinc/71/48/32/1095714832.db2.gz OYJXLWUTRJRBRG-INIZCTEOSA-N 0 1 307.397 3.341 20 30 DGEDMN COc1ccc(CN2CCN(C)[C@H](c3ccccc3)C2)c(C#N)c1 ZINC001137703067 1095715117 /nfs/dbraw/zinc/71/51/17/1095715117.db2.gz BXSMIKVCKXGVFH-FQEVSTJZSA-N 0 1 321.424 3.056 20 30 DGEDMN COc1ccc(CN2CCC(Cc3ccncc3)CC2)c(C#N)c1 ZINC001137705829 1095717104 /nfs/dbraw/zinc/71/71/04/1095717104.db2.gz BYEYFEGIMZBGAP-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C#Cc1ccc(CN(C)Cc2cncc(Br)c2)cc1 ZINC001144569391 1095719700 /nfs/dbraw/zinc/71/97/00/1095719700.db2.gz QUWXUBGQPVHXLW-UHFFFAOYSA-N 0 1 315.214 3.457 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001222746403 1095739343 /nfs/dbraw/zinc/73/93/43/1095739343.db2.gz UZHUGYLNLKCYOU-UKMLVYKYSA-N 0 1 322.880 3.430 20 30 DGEDMN C#CCN(C)Cc1cc(Br)cc(Br)c1 ZINC001137845672 1095763367 /nfs/dbraw/zinc/76/33/67/1095763367.db2.gz QEXVKUHJVURUMA-UHFFFAOYSA-N 0 1 317.024 3.277 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@@H](C)C1=O)c1cccc(Cl)c1 ZINC001222886260 1095778711 /nfs/dbraw/zinc/77/87/11/1095778711.db2.gz GKLRPRQKKQELFI-VFZGTOFNSA-N 0 1 324.760 3.180 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2ccc(C#N)nc2)C1 ZINC001222966971 1095804980 /nfs/dbraw/zinc/80/49/80/1095804980.db2.gz VUTNUOJYDWXGFM-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CCC[C@H](OCc2ccc(C#N)cn2)C1 ZINC001222980991 1095813379 /nfs/dbraw/zinc/81/33/79/1095813379.db2.gz DDSNHYLZVLKGDL-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2ccc(C#N)cn2)C1 ZINC001222980991 1095813394 /nfs/dbraw/zinc/81/33/94/1095813394.db2.gz DDSNHYLZVLKGDL-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN C#Cc1cccc(CNCc2cnn(Cc3ccccc3)c2)c1 ZINC001202813888 1095816556 /nfs/dbraw/zinc/81/65/56/1095816556.db2.gz WTTCMOCUPIVNRG-UHFFFAOYSA-N 0 1 301.393 3.203 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NC[C@@H]1CCN1CC1=CCCCC1)OCC ZINC001276860656 1095850817 /nfs/dbraw/zinc/85/08/17/1095850817.db2.gz KNWUZMCJHLDEHU-ZWKOTPCHSA-N 0 1 320.477 3.049 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CC2(C1)CC(F)(F)CS2 ZINC001171229342 1095857329 /nfs/dbraw/zinc/85/73/29/1095857329.db2.gz MCRKYMWLCGXTME-LBPRGKRZSA-N 0 1 308.397 3.316 20 30 DGEDMN C=C(C)CCC(=O)NCC1CCN(Cc2cnc(C)s2)CC1 ZINC001223352416 1095945155 /nfs/dbraw/zinc/94/51/55/1095945155.db2.gz CEQWUCXZXXZEFH-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN Cc1ccc(C(F)(F)F)cc1NC(=N)c1ccc(C(N)=O)cc1 ZINC001171255905 1095947314 /nfs/dbraw/zinc/94/73/14/1095947314.db2.gz BIDMLDFQLOPMPZ-UHFFFAOYSA-N 0 1 321.302 3.150 20 30 DGEDMN C=C[C@@H](C)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223398381 1095958061 /nfs/dbraw/zinc/95/80/61/1095958061.db2.gz BJTKHMJVCRWDDH-CLAHSXSESA-N 0 1 312.728 3.259 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H]3CCN(C[C@@H](F)CC)[C@H]3C2)CC1 ZINC001223451387 1095973978 /nfs/dbraw/zinc/97/39/78/1095973978.db2.gz CMXBXTOSGULWGO-IKGGRYGDSA-N 0 1 322.468 3.404 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)C1 ZINC001118368389 1095989263 /nfs/dbraw/zinc/98/92/63/1095989263.db2.gz LJXNWCZKSDROHD-IBGZPJMESA-N 0 1 324.424 3.438 20 30 DGEDMN CCN(CCC#N)C1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1 ZINC001171272971 1095994744 /nfs/dbraw/zinc/99/47/44/1095994744.db2.gz XQPJAMNJROUXIF-JWTNVVGKSA-N 0 1 311.429 3.058 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001276898008 1095995662 /nfs/dbraw/zinc/99/56/62/1095995662.db2.gz BRZIXXFYSCRXLM-LJQANCHMSA-N 0 1 312.457 3.269 20 30 DGEDMN Cc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)nc1 ZINC001171274147 1095997839 /nfs/dbraw/zinc/99/78/39/1095997839.db2.gz QQTMSJPJCIQZJS-UHFFFAOYSA-N 0 1 306.413 3.360 20 30 DGEDMN CC[C@H]1OC(C)=C(O[C@H](C(=O)OC)c2ccc(Cl)cc2)C1=O ZINC001223576207 1096005411 /nfs/dbraw/zinc/00/54/11/1096005411.db2.gz KKGDTYGJZAFKEX-DOMZBBRYSA-N 0 1 324.760 3.180 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](C[C@@H](F)CC)[C@H]2C1 ZINC001223586804 1096008289 /nfs/dbraw/zinc/00/82/89/1096008289.db2.gz INGAIVNACSPZJN-PMPSAXMXSA-N 0 1 310.457 3.260 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CCN(C[C@@H](F)CC)[C@H]2C1 ZINC001223586804 1096008301 /nfs/dbraw/zinc/00/83/01/1096008301.db2.gz INGAIVNACSPZJN-PMPSAXMXSA-N 0 1 310.457 3.260 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1O[C@@H](C)c1ccc(C(=O)OC)cc1 ZINC001223750847 1096053986 /nfs/dbraw/zinc/05/39/86/1096053986.db2.gz BBFOFQSCYVIOKK-IINYFYTJSA-N 0 1 304.342 3.160 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2ccon2)CCCC1 ZINC001146822099 1096110963 /nfs/dbraw/zinc/11/09/63/1096110963.db2.gz PWYKNDFDNVKOKT-CABCVRRESA-N 0 1 319.449 3.184 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2cncc(C#N)c2)C1 ZINC001224139312 1096144595 /nfs/dbraw/zinc/14/45/95/1096144595.db2.gz UBSAUJVSSIRTIS-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)CCCCC)C[C@@H]21 ZINC001224198493 1096161744 /nfs/dbraw/zinc/16/17/44/1096161744.db2.gz MHHKAJKHWCLTFS-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CC)CCCCC)C[C@@H]21 ZINC001224208351 1096164067 /nfs/dbraw/zinc/16/40/67/1096164067.db2.gz SYMGCIAAECWJIC-KURKYZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@H](COC)N[C@H]1CCCc2ncc(Br)cc21 ZINC001119632073 1096238007 /nfs/dbraw/zinc/23/80/07/1096238007.db2.gz LGKWENOKOAOLER-RISCZKNCSA-N 0 1 311.223 3.012 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(Cl)c2occc21 ZINC001147562824 1096239237 /nfs/dbraw/zinc/23/92/37/1096239237.db2.gz WHECVDODRDQZKC-UHFFFAOYSA-N 0 1 313.184 3.158 20 30 DGEDMN C[C@H](CCC(C)(C)C)C(=O)NCCNCc1ccccc1C#N ZINC001147792151 1096272896 /nfs/dbraw/zinc/27/28/96/1096272896.db2.gz YNRDIHXBCDMROZ-OAHLLOKOSA-N 0 1 315.461 3.226 20 30 DGEDMN C[C@H](CS)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152259 1096354176 /nfs/dbraw/zinc/35/41/76/1096354176.db2.gz NQEYGYJAWQULDK-QAPCUYQASA-N 0 1 323.502 3.391 20 30 DGEDMN C[C@@H](C#N)c1cccc(C(=O)NCc2[nH]nc3ccccc32)c1 ZINC001148624686 1096415229 /nfs/dbraw/zinc/41/52/29/1096415229.db2.gz QCWFOUKAYNIEHT-LBPRGKRZSA-N 0 1 304.353 3.120 20 30 DGEDMN CCCCCCCCCC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001148820563 1096453650 /nfs/dbraw/zinc/45/36/50/1096453650.db2.gz IUFGTFHTEXZRFE-AWEZNQCLSA-N 0 1 323.485 3.021 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C[C@H](F)CC)C3)CCCC1 ZINC001148935890 1096469513 /nfs/dbraw/zinc/46/95/13/1096469513.db2.gz UQWMXFNJAZWIMP-MRXNPFEDSA-N 0 1 322.468 3.405 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2nc3ccccc3[nH]2)C1 ZINC001225581763 1096473372 /nfs/dbraw/zinc/47/33/72/1096473372.db2.gz AMXZEQANTRGCDC-INIZCTEOSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Br)c(F)c1 ZINC001225579373 1096473380 /nfs/dbraw/zinc/47/33/80/1096473380.db2.gz XEIAMZRXTFGEJU-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C(C)(F)F)C2)CC1 ZINC001086897326 1096495892 /nfs/dbraw/zinc/49/58/92/1096495892.db2.gz HRZUINJMUUYWJG-GFCCVEGCSA-N 0 1 320.811 3.097 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2)C[C@H]1Oc1cccnc1C#N ZINC001225670790 1096500714 /nfs/dbraw/zinc/50/07/14/1096500714.db2.gz NTELITAXAFTSHN-DNVCBOLYSA-N 0 1 307.397 3.243 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Br)cc1F ZINC001225691242 1096505988 /nfs/dbraw/zinc/50/59/88/1096505988.db2.gz PWHTYSICVIJSTA-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001086936463 1096513516 /nfs/dbraw/zinc/51/35/16/1096513516.db2.gz QSQHFEXRTVXJJE-VHDGCEQUSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001086944682 1096517348 /nfs/dbraw/zinc/51/73/48/1096517348.db2.gz GLRYYUDBHYVRGA-LSDHHAIUSA-N 0 1 322.880 3.242 20 30 DGEDMN Cc1ccc(C#N)c(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)n1 ZINC001225865622 1096548921 /nfs/dbraw/zinc/54/89/21/1096548921.db2.gz FYLRHRIQONWNBV-WBVHZDCISA-N 0 1 322.412 3.261 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C(=O)OC(C)C)cc1 ZINC001226072034 1096603809 /nfs/dbraw/zinc/60/38/09/1096603809.db2.gz FBVMGZLNEOKQMY-CQSZACIVSA-N 0 1 304.342 3.240 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CCC(C)(C)C2)[C@H](C)C1 ZINC001092940161 1096644798 /nfs/dbraw/zinc/64/47/98/1096644798.db2.gz JJDHCZDKFNCBBW-BPLDGKMQSA-N 0 1 312.885 3.249 20 30 DGEDMN COc1cccc(C#N)c1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001226251490 1096646604 /nfs/dbraw/zinc/64/66/04/1096646604.db2.gz ZBJBIXGWXPEMNV-GOEBONIOSA-N 0 1 323.396 3.176 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(C)c(CC)o2)[C@H](C)C1 ZINC001093127360 1096656928 /nfs/dbraw/zinc/65/69/28/1096656928.db2.gz MAWINPXFLGGUOC-TZMCWYRMSA-N 0 1 324.852 3.201 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC001226311636 1096659298 /nfs/dbraw/zinc/65/92/98/1096659298.db2.gz TXWONZVTUZTGMN-ZCFIWIBFSA-N 0 1 317.219 3.212 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC([C@H]2N(CCF)CC23CCC3)CC1 ZINC001093375516 1096684956 /nfs/dbraw/zinc/68/49/56/1096684956.db2.gz XYEVYIBISUUGTB-MRXNPFEDSA-N 0 1 322.468 3.261 20 30 DGEDMN C=C[C@@H](CC(=O)OC(C)(C)C)Oc1cc(N)nc2ccccc21 ZINC001226418632 1096686545 /nfs/dbraw/zinc/68/65/45/1096686545.db2.gz PRSQSQNLDOBMMS-LBPRGKRZSA-N 0 1 314.385 3.482 20 30 DGEDMN N#Cc1cncc(O[C@@H]2CCCN(Cc3ccccc3F)C2)c1 ZINC001226686568 1096752073 /nfs/dbraw/zinc/75/20/73/1096752073.db2.gz QPKCTRVSNWHGQS-MRXNPFEDSA-N 0 1 311.360 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H]3CC3(C)C)CCC[C@@H]12 ZINC001094395033 1096812246 /nfs/dbraw/zinc/81/22/46/1096812246.db2.gz ZPKQHQSFNNRCCX-JJRVBVJISA-N 0 1 310.869 3.146 20 30 DGEDMN CC(=O)c1cc(C#N)ccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001226966811 1096830643 /nfs/dbraw/zinc/83/06/43/1096830643.db2.gz MPUSDBQQQXOGLG-SFHVURJKSA-N 0 1 320.392 3.414 20 30 DGEDMN C[C@H](CC(C)(C)S)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227095521 1096867583 /nfs/dbraw/zinc/86/75/83/1096867583.db2.gz KHVXJHQPZORAIY-MRVPVSSYSA-N 0 1 323.374 3.109 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC001227220796 1096898836 /nfs/dbraw/zinc/89/88/36/1096898836.db2.gz NYFCVWQOKNPGTH-OAHLLOKOSA-N 0 1 316.420 3.127 20 30 DGEDMN Cc1ccc2nc(=O)[nH]c(O[C@H](C#N)c3cccc(F)c3)c2c1 ZINC001227347634 1096918550 /nfs/dbraw/zinc/91/85/50/1096918550.db2.gz RDKAENAXFZGJEJ-OAHLLOKOSA-N 0 1 309.300 3.427 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001227536591 1096946515 /nfs/dbraw/zinc/94/65/15/1096946515.db2.gz TWHVRWCVTQSPAN-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)cs1 ZINC001227967889 1097022483 /nfs/dbraw/zinc/02/24/83/1097022483.db2.gz YERRCOYRLQYTLS-ZIAGYGMSSA-N 0 1 321.490 3.181 20 30 DGEDMN COc1ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c(C#N)c1 ZINC001228119042 1097054208 /nfs/dbraw/zinc/05/42/08/1097054208.db2.gz BBPNFLKMVASUEC-WMLDXEAASA-N 0 1 323.396 3.176 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)c(C#N)c2)C1 ZINC001228257107 1097082696 /nfs/dbraw/zinc/08/26/96/1097082696.db2.gz ALOLTZFVEDMPKO-KBXCAEBGSA-N 0 1 318.380 3.039 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@H]([C@@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283830 1097086307 /nfs/dbraw/zinc/08/63/07/1097086307.db2.gz HHPNUGKELKJJRL-IRXDYDNUSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283830 1097086313 /nfs/dbraw/zinc/08/63/13/1097086313.db2.gz HHPNUGKELKJJRL-IRXDYDNUSA-N 0 1 322.880 3.101 20 30 DGEDMN CC1(C)C[C@H](N2CCC(C#N)(c3ccccc3F)CC2)CO1 ZINC001172292577 1097106900 /nfs/dbraw/zinc/10/69/00/1097106900.db2.gz PVSRKBUMYFZCHN-AWEZNQCLSA-N 0 1 302.393 3.250 20 30 DGEDMN CCCCCCC[C@@H](CC)NCc1nc(C(=O)OCC)no1 ZINC001172351807 1097122208 /nfs/dbraw/zinc/12/22/08/1097122208.db2.gz VTPJVKPRWGHDDS-CYBMUJFWSA-N 0 1 311.426 3.475 20 30 DGEDMN CC[C@H](C#Cc1ccccc1)Oc1nc(Cl)nc2nc[nH]c21 ZINC001228506979 1097131902 /nfs/dbraw/zinc/13/19/02/1097131902.db2.gz BKJAKRIVAXHLES-GFCCVEGCSA-N 0 1 312.760 3.215 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](Oc2nc(Cl)nc3nc[nH]c32)C(C)(C)O1 ZINC001228507518 1097132683 /nfs/dbraw/zinc/13/26/83/1097132683.db2.gz SFTCRJOEMDYPIE-PSLIRLAXSA-N 0 1 322.796 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCN(Cc2cc(C)ccc2F)CC1 ZINC001228631642 1097156066 /nfs/dbraw/zinc/15/60/66/1097156066.db2.gz RUCJBGBWDOJGTB-UHFFFAOYSA-N 0 1 318.436 3.427 20 30 DGEDMN C[C@H](CCCC#N)N1CCC[C@H]2[C@H]1CN2C(=O)OC(C)(C)C ZINC001172497059 1097170944 /nfs/dbraw/zinc/17/09/44/1097170944.db2.gz XDIQXJLCMIQAET-QLFBSQMISA-N 0 1 307.438 3.152 20 30 DGEDMN C[C@H](CCCC#N)N1CC[C@](F)(CNC(=O)OC(C)(C)C)C1 ZINC001172509674 1097175063 /nfs/dbraw/zinc/17/50/63/1097175063.db2.gz NPUFFCPWKPXZED-CJNGLKHVSA-N 0 1 313.417 3.007 20 30 DGEDMN C[C@H](CCCC#N)N1CC[C@@](F)(CNC(=O)OC(C)(C)C)C1 ZINC001172509675 1097175232 /nfs/dbraw/zinc/17/52/32/1097175232.db2.gz NPUFFCPWKPXZED-CZUORRHYSA-N 0 1 313.417 3.007 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC001228747495 1097181455 /nfs/dbraw/zinc/18/14/55/1097181455.db2.gz BUHCALMEAHOGJQ-GJZGRUSLSA-N 0 1 318.436 3.365 20 30 DGEDMN C#C[C@@H](CCCC)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228859163 1097205054 /nfs/dbraw/zinc/20/50/54/1097205054.db2.gz IQCMUUHLNCGXJE-QMMMGPOBSA-N 0 1 318.251 3.285 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001229015848 1097237993 /nfs/dbraw/zinc/23/79/93/1097237993.db2.gz BOLSYRNIXWINOF-KRWDZBQOSA-N 0 1 321.490 3.134 20 30 DGEDMN N#Cc1cccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c1Cl ZINC001229069368 1097249571 /nfs/dbraw/zinc/24/95/71/1097249571.db2.gz KAVOOZHFTZGNFH-GASCZTMLSA-N 0 1 320.820 3.234 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCCCC(C)C ZINC001277360066 1097265327 /nfs/dbraw/zinc/26/53/27/1097265327.db2.gz OPHFXWNLQYABEX-MSOLQXFVSA-N 0 1 304.478 3.291 20 30 DGEDMN Cc1cccc(C#N)c1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001229180967 1097272764 /nfs/dbraw/zinc/27/27/64/1097272764.db2.gz DUBVGUPFAPTPLK-DOTOQJQBSA-N 0 1 307.397 3.476 20 30 DGEDMN CC(C)N1CC[C@@H](Oc2cc(C#N)ccc2Br)C1 ZINC001229230705 1097279644 /nfs/dbraw/zinc/27/96/44/1097279644.db2.gz PDVVRYBMUPILSC-GFCCVEGCSA-N 0 1 309.207 3.182 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](c2ccccc2)C(C)C)CC1 ZINC001229362781 1097306510 /nfs/dbraw/zinc/30/65/10/1097306510.db2.gz DIHRMPLRFBUWFE-LJQANCHMSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001229370289 1097307883 /nfs/dbraw/zinc/30/78/83/1097307883.db2.gz VVDRXMZKOTUWAQ-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001229368866 1097308330 /nfs/dbraw/zinc/30/83/30/1097308330.db2.gz CZCVNHZHGBGIOQ-UHFFFAOYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001229376737 1097309141 /nfs/dbraw/zinc/30/91/41/1097309141.db2.gz HPPIKEQVHUCENN-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)cc1C#N ZINC001229834672 1097395647 /nfs/dbraw/zinc/39/56/47/1097395647.db2.gz DKAMHTVCAJUMCM-SSDOTTSWSA-N 0 1 313.231 3.055 20 30 DGEDMN CN1CCN(c2cccc(Nc3cccc(CC#N)c3)c2)CC1 ZINC001212716195 1097395769 /nfs/dbraw/zinc/39/57/69/1097395769.db2.gz WFDFTXRUYCSFLX-UHFFFAOYSA-N 0 1 306.413 3.248 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001277432461 1097413363 /nfs/dbraw/zinc/41/33/63/1097413363.db2.gz ZONMRRZIUJAOEO-IXDOHACOSA-N 0 1 322.493 3.079 20 30 DGEDMN CCC1(NC(=O)OC(C)(C)C)CN(C2CCC(CC#N)CC2)C1 ZINC001173337015 1097422147 /nfs/dbraw/zinc/42/21/47/1097422147.db2.gz VTYVGAIQGDWRAA-UHFFFAOYSA-N 0 1 321.465 3.448 20 30 DGEDMN C=CCOC1CCC(N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001173319481 1097429725 /nfs/dbraw/zinc/42/97/25/1097429725.db2.gz JMPKQFXQIZPBMF-UHFFFAOYSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CC[C@@H](Oc1[nH]c(=O)nc2cc(C(=O)OC)ccc21)C(C)C ZINC001230204399 1097457012 /nfs/dbraw/zinc/45/70/12/1097457012.db2.gz FYBVXDYZMFCBAF-CQSZACIVSA-N 0 1 316.357 3.102 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)NC1CCN(CC#CC)CC1 ZINC001230320553 1097474793 /nfs/dbraw/zinc/47/47/93/1097474793.db2.gz DGOCNXIPGRCVID-LJQANCHMSA-N 0 1 324.468 3.025 20 30 DGEDMN CCN(CCC#N)C1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001173682549 1097488231 /nfs/dbraw/zinc/48/82/31/1097488231.db2.gz CEUUEEAKFSSJKW-ZIAGYGMSSA-N 0 1 309.454 3.398 20 30 DGEDMN C#CCC1(C(=O)NC2CCN(C/C=C/Cl)CC2)CCCCC1 ZINC001230404623 1097488712 /nfs/dbraw/zinc/48/87/12/1097488712.db2.gz LIIFGQIOVYJQJN-WUXMJOGZSA-N 0 1 322.880 3.293 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cc(F)ccc2C#N)C1 ZINC001230639556 1097542483 /nfs/dbraw/zinc/54/24/83/1097542483.db2.gz QMJYKKRLKWTOEL-XJKSGUPXSA-N 0 1 311.360 3.307 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)C1CCC1)Cc1cccnc1 ZINC001230783419 1097583961 /nfs/dbraw/zinc/58/39/61/1097583961.db2.gz IMPWGJWXDPRLTG-INIZCTEOSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)[C@H](C)CC)Cc1cccnc1 ZINC001230883991 1097614079 /nfs/dbraw/zinc/61/40/79/1097614079.db2.gz ZIWIVZOABNMDJW-IAGOWNOFSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC001230906570 1097619245 /nfs/dbraw/zinc/61/92/45/1097619245.db2.gz MOVJGTHCLKBCCK-DOTOQJQBSA-N 0 1 305.466 3.001 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C(=O)c2ccncc2)cc1 ZINC001231025062 1097649713 /nfs/dbraw/zinc/64/97/13/1097649713.db2.gz NZFNACSYJZANAQ-MRXNPFEDSA-N 0 1 323.348 3.301 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CCCC(C)(C)C ZINC001231094440 1097666979 /nfs/dbraw/zinc/66/69/79/1097666979.db2.gz GRNZYTBQYCSXNV-DLBZAZTESA-N 0 1 324.509 3.327 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1cc(C)ccc1C ZINC001231115368 1097671608 /nfs/dbraw/zinc/67/16/08/1097671608.db2.gz UYSUMSNTGRPQKU-GOSISDBHSA-N 0 1 300.446 3.302 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(OC)c(C)c1 ZINC001231171001 1097687128 /nfs/dbraw/zinc/68/71/28/1097687128.db2.gz XGDRAIDLKMRSST-KRWDZBQOSA-N 0 1 316.445 3.003 20 30 DGEDMN N#Cc1cc(N)ccc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174395177 1097690802 /nfs/dbraw/zinc/69/08/02/1097690802.db2.gz STDJTRXLPQPRQR-UHFFFAOYSA-N 0 1 304.397 3.342 20 30 DGEDMN CC(C)c1ccccc1CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231293806 1097714738 /nfs/dbraw/zinc/71/47/38/1097714738.db2.gz RJOQTZDOWYLLLL-DOTOQJQBSA-N 0 1 313.445 3.002 20 30 DGEDMN CN(CCCNC(=O)C1CCCCC1)Cc1ccccc1C#N ZINC001231345522 1097726848 /nfs/dbraw/zinc/72/68/48/1097726848.db2.gz TYYGEFWCSLGSKK-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CC[N@H+](Cc1ccc(C(=O)[O-])cc1O)[C@@H]1CCc2ccccc21 ZINC001231452248 1097751597 /nfs/dbraw/zinc/75/15/97/1097751597.db2.gz INLORARHRFSTSY-GOSISDBHSA-N 0 1 321.376 3.213 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])ccc1O)[C@@H]1CCc2ccccc21 ZINC001231565272 1097780249 /nfs/dbraw/zinc/78/02/49/1097780249.db2.gz RGXUNJWUUWUNGN-GOSISDBHSA-N 0 1 321.376 3.213 20 30 DGEDMN C#Cc1cccc(CN(Cc2ccccc2)CC(OC)OC)c1 ZINC001231658097 1097805160 /nfs/dbraw/zinc/80/51/60/1097805160.db2.gz QRXFWTIDBUIANQ-UHFFFAOYSA-N 0 1 309.409 3.289 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@@H](Oc3ncccc3OC)C2)c1 ZINC001231662661 1097808303 /nfs/dbraw/zinc/80/83/03/1097808303.db2.gz FKKMIAXNVRTEIM-GOSISDBHSA-N 0 1 322.408 3.115 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001174693926 1097828276 /nfs/dbraw/zinc/82/82/76/1097828276.db2.gz FTGHYFLDVUZSKG-INIZCTEOSA-N 0 1 319.449 3.023 20 30 DGEDMN C#CCN1CCN(Cc2c(OC(C)C)ccc3ccccc32)CC1 ZINC001231739171 1097829711 /nfs/dbraw/zinc/82/97/11/1097829711.db2.gz MOAHPBQRPKDVHC-UHFFFAOYSA-N 0 1 322.452 3.378 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CC3(C2)C[C@H](F)CS3)cc1 ZINC001231821027 1097854166 /nfs/dbraw/zinc/85/41/66/1097854166.db2.gz NRNJIINTPMUGTO-HNNXBMFYSA-N 0 1 319.449 3.066 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)C[C@H]2CCCCO2)C1 ZINC001277580477 1097877537 /nfs/dbraw/zinc/87/75/37/1097877537.db2.gz KMBFONHXLUGJHS-QGZVFWFLSA-N 0 1 310.482 3.059 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001175082175 1097942263 /nfs/dbraw/zinc/94/22/63/1097942263.db2.gz LYQOHDWZRPZRPO-YOEHRIQHSA-N 0 1 307.438 3.042 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@H](F)CO3)CC2)cc1Cl ZINC001232116719 1097943144 /nfs/dbraw/zinc/94/31/44/1097943144.db2.gz PAPCTBSIVHFIPD-AWEZNQCLSA-N 0 1 308.784 3.305 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCCNCc2coc(C)n2)C1 ZINC001175151347 1097947763 /nfs/dbraw/zinc/94/77/63/1097947763.db2.gz YJIBDDBBJIXTMZ-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)CC(F)(F)F)C1 ZINC001277631250 1097970169 /nfs/dbraw/zinc/97/01/69/1097970169.db2.gz HVAGJQPFFZSBCD-NEPJUHHUSA-N 0 1 306.372 3.072 20 30 DGEDMN CN(CCc1cccc(C(F)(F)F)n1)Cc1cc(C#N)ccn1 ZINC001232475423 1098051387 /nfs/dbraw/zinc/05/13/87/1098051387.db2.gz PLRIHIYEIIIXMX-UHFFFAOYSA-N 0 1 320.318 3.042 20 30 DGEDMN N#Cc1ccnc(CN2CCC3(CC(OCC4CC4)C3)CC2)c1 ZINC001232478444 1098052570 /nfs/dbraw/zinc/05/25/70/1098052570.db2.gz MLSAZBCTTPUONB-UHFFFAOYSA-N 0 1 311.429 3.124 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001175468722 1098054457 /nfs/dbraw/zinc/05/44/57/1098054457.db2.gz JJRKBHOOUHGHFY-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN Cc1cnc(NC2=CC[N@H+](Cc3ccccc3)CC2)c(C#N)c1 ZINC001175504549 1098073719 /nfs/dbraw/zinc/07/37/19/1098073719.db2.gz GVDGXMDPQSMRKV-UHFFFAOYSA-N 0 1 304.397 3.463 20 30 DGEDMN Cc1cnc(NC2=CC[N@@H+](Cc3ccccc3)CC2)c(C#N)c1 ZINC001175504549 1098073724 /nfs/dbraw/zinc/07/37/24/1098073724.db2.gz GVDGXMDPQSMRKV-UHFFFAOYSA-N 0 1 304.397 3.463 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccccc2F)C1 ZINC001277691486 1098110792 /nfs/dbraw/zinc/11/07/92/1098110792.db2.gz HDUYFHZNSRDUSV-HNNXBMFYSA-N 0 1 318.436 3.256 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(Cl)cn2CC)C1 ZINC001277699304 1098111617 /nfs/dbraw/zinc/11/16/17/1098111617.db2.gz BFMFLKUBBUKTBF-CYBMUJFWSA-N 0 1 323.868 3.272 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@H](OCc3ccccc3)C2)c1 ZINC001232679253 1098113086 /nfs/dbraw/zinc/11/30/86/1098113086.db2.gz HQVXFXSQBYYWBH-GOSISDBHSA-N 0 1 308.381 3.055 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@H](Oc3cccc(F)c3)C2)c1 ZINC001232681097 1098113846 /nfs/dbraw/zinc/11/38/46/1098113846.db2.gz KYEGZCNRUGXPDL-QGZVFWFLSA-N 0 1 312.344 3.056 20 30 DGEDMN Cc1[nH]nc2ccc(Nc3c(C#N)cnn3-c3ccccn3)cc12 ZINC001175695095 1098124293 /nfs/dbraw/zinc/12/42/93/1098124293.db2.gz WOOAKJDVBPQNBL-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN Cc1cccnc1N1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001232843972 1098150453 /nfs/dbraw/zinc/15/04/53/1098150453.db2.gz JFBNVVNTZDPXCD-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cc(O)c(O)c(O)c3)CC2)cc1 ZINC001233015648 1098204289 /nfs/dbraw/zinc/20/42/89/1098204289.db2.gz VJELWUUYEVUFHN-UHFFFAOYSA-N 0 1 324.380 3.055 20 30 DGEDMN N#C[C@H]1CCCN(Cc2c(O)cc(Br)cc2F)C1 ZINC001233032049 1098209051 /nfs/dbraw/zinc/20/90/51/1098209051.db2.gz TYAPAQWRIWXSOV-SECBINFHSA-N 0 1 313.170 3.029 20 30 DGEDMN COC(=O)[C@]12CCC[C@H]1N(CC#Cc1ccccc1Cl)CC2 ZINC001175965343 1098213675 /nfs/dbraw/zinc/21/36/75/1098213675.db2.gz DQNSRCHNWQDNLB-AEFFLSMTSA-N 0 1 317.816 3.109 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCC[N@H+](Cc1ccc([S-])cc1)C2 ZINC001233261012 1098266988 /nfs/dbraw/zinc/26/69/88/1098266988.db2.gz YEYNKTMWUMWOER-WMZOPIPTSA-N 0 1 319.470 3.301 20 30 DGEDMN Cc1cccnc1O[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233388164 1098312857 /nfs/dbraw/zinc/31/28/57/1098312857.db2.gz OPBDZEQVHONQGJ-QGZVFWFLSA-N 0 1 323.396 3.011 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(F)ccc(F)c1Br ZINC001233820830 1098437669 /nfs/dbraw/zinc/43/76/69/1098437669.db2.gz GZSKYYYARXRXIL-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN Cc1cc(Br)cc(C#N)c1O[C@H]1CN2CCC1CC2 ZINC001234009457 1098476800 /nfs/dbraw/zinc/47/68/00/1098476800.db2.gz ARAYXNIOTCBAAB-AWEZNQCLSA-N 0 1 321.218 3.102 20 30 DGEDMN Cc1cc(Br)cc(C#N)c1O[C@@H]1CN2CCC1CC2 ZINC001234009458 1098476888 /nfs/dbraw/zinc/47/68/88/1098476888.db2.gz ARAYXNIOTCBAAB-CQSZACIVSA-N 0 1 321.218 3.102 20 30 DGEDMN C=CC(C=C)Oc1cc(O)cc(O)c1C(=O)c1cccc(O)c1 ZINC001234162713 1098507458 /nfs/dbraw/zinc/50/74/58/1098507458.db2.gz QFSCCYDOIIXWDD-UHFFFAOYSA-N 0 1 312.321 3.154 20 30 DGEDMN C=CCOc1cccc(CNc2cnn(-c3ccncc3)c2)c1 ZINC001177646870 1098578595 /nfs/dbraw/zinc/57/85/95/1098578595.db2.gz RNOLOODGQSVEII-UHFFFAOYSA-N 0 1 306.369 3.444 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001234537868 1098588473 /nfs/dbraw/zinc/58/84/73/1098588473.db2.gz SECRUXHYKWRHQW-KRWDZBQOSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CCOc1ccccc1CNCc1cnc(N(C)C)s1 ZINC001177875758 1098627527 /nfs/dbraw/zinc/62/75/27/1098627527.db2.gz QDYWEVCMAFPPMO-UHFFFAOYSA-N 0 1 303.431 3.064 20 30 DGEDMN Cc1cc(C#N)c(NC(=O)[C@H](C#N)Cc2cccs2)s1 ZINC001177903809 1098643595 /nfs/dbraw/zinc/64/35/95/1098643595.db2.gz LASNQLAXYURUJU-JTQLQIEISA-N 0 1 301.396 3.311 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Oc1nc(F)nc2nc[nH]c21 ZINC001234688990 1098644886 /nfs/dbraw/zinc/64/48/86/1098644886.db2.gz FBRAESBTQHCMGV-JTQLQIEISA-N 0 1 314.320 3.197 20 30 DGEDMN N#Cc1cccc2c1CC[C@H]2NC(=O)C(C#N)Cc1cccs1 ZINC001177914917 1098646764 /nfs/dbraw/zinc/64/67/64/1098646764.db2.gz HKZZXWDYEHRFEQ-SUMWQHHRSA-N 0 1 321.405 3.106 20 30 DGEDMN CC(C)=C(C)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001277907960 1098710334 /nfs/dbraw/zinc/71/03/34/1098710334.db2.gz POWKTJLUMYOODP-MRXNPFEDSA-N 0 1 313.445 3.241 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2c(F)cccc2F)[C@@H]1C ZINC001178320279 1098795589 /nfs/dbraw/zinc/79/55/89/1098795589.db2.gz PDWGNEWHAOENFJ-LRDDRELGSA-N 0 1 308.372 3.010 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2cccc(Cl)n2)C1 ZINC001277929708 1098911684 /nfs/dbraw/zinc/91/16/84/1098911684.db2.gz QUKBZPCGVFAKNR-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2sccc2C#N)n[nH]1 ZINC001179151631 1099028427 /nfs/dbraw/zinc/02/84/27/1099028427.db2.gz DVOVVVPRWHYHJG-CYBMUJFWSA-N 0 1 300.387 3.019 20 30 DGEDMN N#CCCCCN1CC2(CC[N@H+]2CCc2c[nH]c3ccccc23)C1 ZINC001277948381 1099060448 /nfs/dbraw/zinc/06/04/48/1099060448.db2.gz IFOPMYNOYLKTBR-UHFFFAOYSA-N 0 1 322.456 3.164 20 30 DGEDMN C[N@@H+]1CCN(Cc2ccccc2CC#N)C[C@@H]1c1ccccc1 ZINC001235523329 1099070730 /nfs/dbraw/zinc/07/07/30/1099070730.db2.gz QVGXQKIYEXGQSE-HXUWFJFHSA-N 0 1 305.425 3.241 20 30 DGEDMN COC(=O)c1cccc2c1CCN(Cc1ccccc1CC#N)C2 ZINC001235527562 1099075734 /nfs/dbraw/zinc/07/57/34/1099075734.db2.gz JPKDAWQGEFDGMF-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C/Cc1ccccc1 ZINC001235551565 1099086584 /nfs/dbraw/zinc/08/65/84/1099086584.db2.gz VGWCWFJNFANTTP-IHHMQQGQSA-N 0 1 312.457 3.284 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001179384179 1099088552 /nfs/dbraw/zinc/08/85/52/1099088552.db2.gz GBNCSKNTFRAHAX-OTSPLWENSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001179384839 1099089242 /nfs/dbraw/zinc/08/92/42/1099089242.db2.gz XYAPUTPPWZXFER-LWXXTKMPSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179421875 1099101861 /nfs/dbraw/zinc/10/18/61/1099101861.db2.gz NKFBRUGPELOQCI-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@@H](C)c1csnn1 ZINC001179425863 1099102946 /nfs/dbraw/zinc/10/29/46/1099102946.db2.gz IVAZWVWFFUPLKK-AWEZNQCLSA-N 0 1 324.494 3.222 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cccc4cn[nH]c43)cc21 ZINC001179488887 1099114409 /nfs/dbraw/zinc/11/44/09/1099114409.db2.gz SKZIMAMDIRVWFA-UHFFFAOYSA-N 0 1 317.352 3.351 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCCCCNCc2cc(C)on2)C1 ZINC001179533405 1099121030 /nfs/dbraw/zinc/12/10/30/1099121030.db2.gz MEWJRADUOCPLGY-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C#CCC1(NCc2nc(C(F)(F)F)n3c2CCCC3)CCC1 ZINC001179651410 1099153250 /nfs/dbraw/zinc/15/32/50/1099153250.db2.gz MMOUWSHOJLJBHF-UHFFFAOYSA-N 0 1 311.351 3.274 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCCCCNCc1nocc1C ZINC001179692161 1099164996 /nfs/dbraw/zinc/16/49/96/1099164996.db2.gz FRJILXIKLLOSQK-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C/c1ccccc1F ZINC001235796571 1099185407 /nfs/dbraw/zinc/18/54/07/1099185407.db2.gz LITNYGQOQVWORG-JKNJVXJCSA-N 0 1 316.420 3.338 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C\c1ccccc1F ZINC001235796569 1099185962 /nfs/dbraw/zinc/18/59/62/1099185962.db2.gz LITNYGQOQVWORG-BQLWYNFJSA-N 0 1 316.420 3.338 20 30 DGEDMN N#Cc1nc(-c2ccc(OC(F)(F)F)cc2)cc2[nH]cnc21 ZINC001235931186 1099266259 /nfs/dbraw/zinc/26/62/59/1099266259.db2.gz LQZHUPKPYGXIRS-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1cc(C)ccc1F ZINC001277981635 1099542714 /nfs/dbraw/zinc/54/27/14/1099542714.db2.gz NXRQKAZDFLBGGL-HNNXBMFYSA-N 0 1 324.827 3.010 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc(Cl)c1 ZINC001277982774 1099591322 /nfs/dbraw/zinc/59/13/22/1099591322.db2.gz XRPQZJCOGJRFKE-CQSZACIVSA-N 0 1 313.228 3.287 20 30 DGEDMN N#Cc1ncncc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236473981 1099631349 /nfs/dbraw/zinc/63/13/49/1099631349.db2.gz JQGJANHHCCWBNY-SJORKVTESA-N 0 1 302.381 3.169 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1cccc(COc2ccccc2)c1 ZINC001187964835 1099636357 /nfs/dbraw/zinc/63/63/57/1099636357.db2.gz WENGMADHWPKYEJ-UHFFFAOYSA-N 0 1 318.336 3.113 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](NCC(=C)Cl)C2)CCCCC1 ZINC001188052400 1099663610 /nfs/dbraw/zinc/66/36/10/1099663610.db2.gz CRHRRFJNCSVCNN-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001278007642 1099776238 /nfs/dbraw/zinc/77/62/38/1099776238.db2.gz XXECWOMZJFRHTA-RDJZCZTQSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](CC)CCCC)[C@@H]2C1 ZINC001188616418 1099796811 /nfs/dbraw/zinc/79/68/11/1099796811.db2.gz CLOSZNZKXVCAQU-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c([O-])c1Cl ZINC001236807423 1099799469 /nfs/dbraw/zinc/79/94/69/1099799469.db2.gz OVOGCKHIMIXQSI-UOJUARBOSA-N 0 1 306.793 3.321 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)CCCCCC)[C@@H]2C1 ZINC001188768545 1099851916 /nfs/dbraw/zinc/85/19/16/1099851916.db2.gz RFYUDUHHFOFUPM-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)CC(C)(C)C)[C@@H]2C1 ZINC001189219587 1099997053 /nfs/dbraw/zinc/99/70/53/1099997053.db2.gz YPFNMTVHBPMXRL-IXDOHACOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)Cc2cccc(C(C)C)n2)C1 ZINC001189281131 1099999745 /nfs/dbraw/zinc/99/97/45/1099999745.db2.gz YNVHFSSECQLLBC-KRWDZBQOSA-N 0 1 315.461 3.204 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H](C)c2ccccc2F)C1 ZINC001189269046 1100011072 /nfs/dbraw/zinc/01/10/72/1100011072.db2.gz FUPKMWHPSHQGTC-LSDHHAIUSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2cnc(C)o2)CC1 ZINC001278071174 1100042059 /nfs/dbraw/zinc/04/20/59/1100042059.db2.gz GRBRXCVTHHKARL-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189459681 1100063709 /nfs/dbraw/zinc/06/37/09/1100063709.db2.gz FMWHKNRXFLHFGW-ZIAGYGMSSA-N 0 1 319.474 3.089 20 30 DGEDMN CCCCN(CC#N)C(=O)c1ccc(CN2CCCCC2)o1 ZINC001189745021 1100121988 /nfs/dbraw/zinc/12/19/88/1100121988.db2.gz WTHAYYIZFGTFFF-UHFFFAOYSA-N 0 1 303.406 3.031 20 30 DGEDMN COC(=O)Cc1cccc(CN(CCC#N)C2CCCC2)c1 ZINC001237480471 1100138045 /nfs/dbraw/zinc/13/80/45/1100138045.db2.gz XCYVWUGHJNHDAN-UHFFFAOYSA-N 0 1 300.402 3.060 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(Cl)cc1C ZINC001273588654 1100144614 /nfs/dbraw/zinc/14/46/14/1100144614.db2.gz SFMUSVNKRZFDHH-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1nnc[nH]1)Cc1cccc(C#N)c1 ZINC001237610916 1100187440 /nfs/dbraw/zinc/18/74/40/1100187440.db2.gz KWCRBQOXWMNQRE-OAHLLOKOSA-N 0 1 317.396 3.440 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)Nc2nc(C)nc3nc[nH]c32)cc1 ZINC001190215752 1100223873 /nfs/dbraw/zinc/22/38/73/1100223873.db2.gz AFXSOABLBPQXCY-UHFFFAOYSA-N 0 1 307.357 3.032 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001278143901 1100244355 /nfs/dbraw/zinc/24/43/55/1100244355.db2.gz BKTXIYNNPULDDD-AJHXJQRKSA-N 0 1 310.869 3.101 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C3CCC3)cc2)C1 ZINC001190510681 1100284049 /nfs/dbraw/zinc/28/40/49/1100284049.db2.gz UEVKQPOQNIBISS-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)C2(C)CCCCCC2)C1 ZINC001190705661 1100318209 /nfs/dbraw/zinc/31/82/09/1100318209.db2.gz RIFPYGBPDBXVIB-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2csc(-c3ccncc3)n2)CC1 ZINC001190776337 1100335998 /nfs/dbraw/zinc/33/59/98/1100335998.db2.gz COGLOWGRWMJPEL-UHFFFAOYSA-N 0 1 321.427 3.056 20 30 DGEDMN CC(=O)N1CC[C@@H](N(Cc2ccc(C#N)cc2Cl)C(C)C)C1 ZINC001238084829 1100356067 /nfs/dbraw/zinc/35/60/67/1100356067.db2.gz NZWIZKFNFCPOEU-MRXNPFEDSA-N 0 1 319.836 3.043 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001278194447 1100371727 /nfs/dbraw/zinc/37/17/27/1100371727.db2.gz NBJUFOAVYNZQOV-DLBZAZTESA-N 0 1 324.484 3.487 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3ccccc3s2)C1 ZINC001191187641 1100427812 /nfs/dbraw/zinc/42/78/12/1100427812.db2.gz BCRLTIRGPIOWAL-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3ccccc3cc2F)C1 ZINC001191295830 1100445268 /nfs/dbraw/zinc/44/52/68/1100445268.db2.gz OLHCGVOGGJJHOX-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)C2(C)CCCC2)C1 ZINC001191594536 1100492100 /nfs/dbraw/zinc/49/21/00/1100492100.db2.gz KBZDDJVYUMFTGO-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001191695631 1100506476 /nfs/dbraw/zinc/50/64/76/1100506476.db2.gz LFGQWPIWZHDUNE-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN CCc1cc(CN2CCCc3cc(O)c(O)cc3C2)ccc1C#N ZINC001238770016 1100525252 /nfs/dbraw/zinc/52/52/52/1100525252.db2.gz WWJRRSGIIFXLOV-UHFFFAOYSA-N 0 1 322.408 3.480 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC001191889288 1100540521 /nfs/dbraw/zinc/54/05/21/1100540521.db2.gz DTBYRAGPHKVWGI-OALUTQOASA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001191901237 1100542345 /nfs/dbraw/zinc/54/23/45/1100542345.db2.gz HCQBGABWXASGFY-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H]([N@@H+](C)CCC(F)(F)F)C1 ZINC001191901237 1100542338 /nfs/dbraw/zinc/54/23/38/1100542338.db2.gz HCQBGABWXASGFY-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191913345 1100549253 /nfs/dbraw/zinc/54/92/53/1100549253.db2.gz XDFQYJVLESJJIO-UYAOXDASSA-N 0 1 324.468 3.173 20 30 DGEDMN N#Cc1sccc1NC(=O)c1nc(C(F)(F)F)cs1 ZINC001192449896 1100623028 /nfs/dbraw/zinc/62/30/28/1100623028.db2.gz LEEWXNDTKDEKAX-UHFFFAOYSA-N 0 1 303.290 3.347 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)c1c(F)ccc(O)c1F ZINC001192523788 1100629648 /nfs/dbraw/zinc/62/96/48/1100629648.db2.gz GBBCCTHLXSSDBI-UHFFFAOYSA-N 0 1 319.311 3.351 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001192655653 1100644833 /nfs/dbraw/zinc/64/48/33/1100644833.db2.gz NNOWTEJPTYBOMG-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc3sccc3c2)C1 ZINC001193195986 1100715720 /nfs/dbraw/zinc/71/57/20/1100715720.db2.gz TWJLRYOOZNKCFP-INIZCTEOSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001193367585 1100735468 /nfs/dbraw/zinc/73/54/68/1100735468.db2.gz VUSLMOBMOGUXLY-NVXWUHKLSA-N 0 1 324.424 3.092 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001193451134 1100740817 /nfs/dbraw/zinc/74/08/17/1100740817.db2.gz QQILQWHYCVUYDP-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+]1CCCC(C)(C)C1 ZINC001193430440 1100744902 /nfs/dbraw/zinc/74/49/02/1100744902.db2.gz JHHHAPDQPBSPEJ-WUJZJPHMSA-N 0 1 319.493 3.428 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCCC(C)(C)C1 ZINC001193430440 1100744906 /nfs/dbraw/zinc/74/49/06/1100744906.db2.gz JHHHAPDQPBSPEJ-WUJZJPHMSA-N 0 1 319.493 3.428 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3ncnc(Cl)c3c2)ccc1O ZINC001193511656 1100758247 /nfs/dbraw/zinc/75/82/47/1100758247.db2.gz FOQZAOVWRFGBJG-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN N#Cc1cc(C(=O)N[C@@H](c2ccccc2)C(F)(F)F)ccc1O ZINC001193515610 1100759651 /nfs/dbraw/zinc/75/96/51/1100759651.db2.gz PQMJVGMRCKUDDN-AWEZNQCLSA-N 0 1 320.270 3.297 20 30 DGEDMN CN(C(=O)c1cccc(C#N)c1O)[C@H](C1CCC1)C(F)(F)F ZINC001193646400 1100782883 /nfs/dbraw/zinc/78/28/83/1100782883.db2.gz JGXVDOMMOWTTQX-CYBMUJFWSA-N 0 1 312.291 3.067 20 30 DGEDMN CC1(CNC(=O)c2cccc(C#N)c2O)CCC(F)(F)CC1 ZINC001193660131 1100786328 /nfs/dbraw/zinc/78/63/28/1100786328.db2.gz TZEBZBAGRBBDGG-UHFFFAOYSA-N 0 1 308.328 3.209 20 30 DGEDMN C=CCOC(=O)N(C)[C@H]1C[N@H+](Cc2ccccc2)CC[C@@H]1C ZINC001194311245 1100910889 /nfs/dbraw/zinc/91/08/89/1100910889.db2.gz KSVCQVCQTXYKNJ-RDJZCZTQSA-N 0 1 302.418 3.151 20 30 DGEDMN COc1cc(C#N)cnc1C1=CCCN(Cc2ccccc2)C1 ZINC001240385072 1100968714 /nfs/dbraw/zinc/96/87/14/1100968714.db2.gz UWDUGIXQJCIHQO-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN Cc1ccc(CN(C)[C@H](c2nnc[nH]2)c2ccccc2)cc1C#N ZINC001194982840 1101049771 /nfs/dbraw/zinc/04/97/71/1101049771.db2.gz GPFSKQCVKRSVEV-SFHVURJKSA-N 0 1 317.396 3.206 20 30 DGEDMN CC[C@H](C)OC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001195136659 1101080063 /nfs/dbraw/zinc/08/00/63/1101080063.db2.gz HTDWWEIHLXHXRI-AWEZNQCLSA-N 0 1 315.417 3.047 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](CC/C=C/CCC)CC1 ZINC001195175043 1101093075 /nfs/dbraw/zinc/09/30/75/1101093075.db2.gz YUAXKWAHRAXGAV-BLGFXRMMSA-N 0 1 322.493 3.248 20 30 DGEDMN Cc1cccc(Cl)c1S(=O)(=O)Nc1cc(F)cc(C#N)c1 ZINC001195308002 1101118679 /nfs/dbraw/zinc/11/86/79/1101118679.db2.gz DZXVMQCPNXZXQA-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)N2c3ccccc3CC[C@H]2C)CC1 ZINC001195463331 1101138561 /nfs/dbraw/zinc/13/85/61/1101138561.db2.gz LAAGHDLJUXNTDP-CVEARBPZSA-N 0 1 310.441 3.088 20 30 DGEDMN CC(C)c1ccc(C#N)c(-c2ccc(N3CCN(C)CC3)cc2)n1 ZINC001240849273 1101155395 /nfs/dbraw/zinc/15/53/95/1101155395.db2.gz OMTAYNMUDVBNMS-UHFFFAOYSA-N 0 1 320.440 3.495 20 30 DGEDMN COc1cc(-c2ccc(N3CCN(C)CC3)cc2)ccc1CC#N ZINC001240852553 1101157585 /nfs/dbraw/zinc/15/75/85/1101157585.db2.gz UTXQINQBTGODPM-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN Cc1cc(-c2ccc(N3CCN(C)CC3)cc2)cc(F)c1C#N ZINC001240854538 1101158687 /nfs/dbraw/zinc/15/86/87/1101158687.db2.gz LFTNUSDRVMHMLD-UHFFFAOYSA-N 0 1 309.388 3.425 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(/C=N/O)c(F)c3)cc2)CC1 ZINC001240854120 1101159240 /nfs/dbraw/zinc/15/92/40/1101159240.db2.gz FZVJBAPEPQPFMJ-DEDYPNTBSA-N 0 1 313.376 3.053 20 30 DGEDMN C#CCCCC(=O)N1CCCN(Cc2ccc3c(c2)CCC3)CC1 ZINC001195644890 1101168925 /nfs/dbraw/zinc/16/89/25/1101168925.db2.gz CDEQFGVSEREJNU-UHFFFAOYSA-N 0 1 324.468 3.013 20 30 DGEDMN CC(C)(Cc1ccc(F)cc1)NC(=O)c1ccc(C#N)cc1O ZINC001195764007 1101193151 /nfs/dbraw/zinc/19/31/51/1101193151.db2.gz FQKRVCULFHQTJG-UHFFFAOYSA-N 0 1 312.344 3.154 20 30 DGEDMN COc1ccc([C@H](C)N(C)C(=O)c2ccc(C#N)cc2O)cc1 ZINC001195742023 1101195844 /nfs/dbraw/zinc/19/58/44/1101195844.db2.gz UQSOYLRRJJXIDR-LBPRGKRZSA-N 0 1 310.353 3.106 20 30 DGEDMN CN(C(=O)c1ccc(C#N)cc1O)C(C)(C)Cc1ccccc1 ZINC001195751626 1101198800 /nfs/dbraw/zinc/19/88/00/1101198800.db2.gz WHPRWSZGOXGNRB-UHFFFAOYSA-N 0 1 308.381 3.357 20 30 DGEDMN CC(C)C#CC(=O)N1CCCN(Cc2cc3ccccc3o2)CC1 ZINC001195775176 1101199887 /nfs/dbraw/zinc/19/98/87/1101199887.db2.gz PHGJVKBDPIMGHU-UHFFFAOYSA-N 0 1 324.424 3.127 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001195839624 1101211390 /nfs/dbraw/zinc/21/13/90/1101211390.db2.gz QLVQPGDLQFGCGR-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196004389 1101234438 /nfs/dbraw/zinc/23/44/38/1101234438.db2.gz WSJZCTSPKWPXEI-UHFFFAOYSA-N 0 1 300.446 3.386 20 30 DGEDMN CCOc1ccc(-c2cc3[nH]cnc3c(C#N)n2)c(F)c1F ZINC001241175060 1101285372 /nfs/dbraw/zinc/28/53/72/1101285372.db2.gz RXURIDCRFIKWEQ-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C#N)cc2C)c(F)c1 ZINC001196343886 1101288691 /nfs/dbraw/zinc/28/86/91/1101288691.db2.gz GCFFYZGGPGFGNH-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@H](CC)CCCC)CC1 ZINC001196574059 1101346882 /nfs/dbraw/zinc/34/68/82/1101346882.db2.gz NNZFGKAIJMRFTN-GOSISDBHSA-N 0 1 324.509 3.330 20 30 DGEDMN COc1cc(C#N)cc(-c2c(F)ccc(O)c2F)c1OC(C)=O ZINC001241293092 1101356398 /nfs/dbraw/zinc/35/63/98/1101356398.db2.gz PHTNTRFSUOHWSA-UHFFFAOYSA-N 0 1 319.263 3.143 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196895241 1101428902 /nfs/dbraw/zinc/42/89/02/1101428902.db2.gz IDVQPNIUFYHXIC-GOSISDBHSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCC1(C(=O)N2CCCN(CCOCCCC)CC2)CCC1 ZINC001197077896 1101471808 /nfs/dbraw/zinc/47/18/08/1101471808.db2.gz ZNOKTABZJJWRQP-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN CSc1ncc(C#N)c(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501501 1101513549 /nfs/dbraw/zinc/51/35/49/1101513549.db2.gz JDOUQISGYLDTRX-UHFFFAOYSA-N 0 1 322.437 3.359 20 30 DGEDMN CCc1cc(C(=O)Nc2nc3ccc(OC)cc3[nH]2)ccc1C#N ZINC001197273495 1101516208 /nfs/dbraw/zinc/51/62/08/1101516208.db2.gz LJVZJLWTGIQIAC-UHFFFAOYSA-N 0 1 320.352 3.258 20 30 DGEDMN Cc1oc(NC(=O)CN(C)C[C@@H](C)C(C)(C)C)c(C#N)c1C ZINC001197602213 1101617776 /nfs/dbraw/zinc/61/77/76/1101617776.db2.gz PJHYKYZPPBONPL-LLVKDONJSA-N 0 1 305.422 3.321 20 30 DGEDMN COc1cnc(C(=O)Nc2c(C#N)oc3ccccc32)c(F)c1 ZINC001197802543 1101670515 /nfs/dbraw/zinc/67/05/15/1101670515.db2.gz BKVYUPUJKLPTKF-UHFFFAOYSA-N 0 1 311.272 3.099 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)CCc2ccccc2)CC1 ZINC001198331473 1101824330 /nfs/dbraw/zinc/82/43/30/1101824330.db2.gz YAZNNKNLAIHDDJ-SFHVURJKSA-N 0 1 314.473 3.366 20 30 DGEDMN CCC[C@H]1CCCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC001198431633 1101854228 /nfs/dbraw/zinc/85/42/28/1101854228.db2.gz ZHZRDMOYIGBOBY-AWEZNQCLSA-N 0 1 305.809 3.415 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C(CC)CC)c1ccccc1OC ZINC001198556028 1101918985 /nfs/dbraw/zinc/91/89/85/1101918985.db2.gz XWHYYCWQWSJYEX-QGZVFWFLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@@H](C)CCC)c1ccccc1OC ZINC001198594822 1101921474 /nfs/dbraw/zinc/92/14/74/1101921474.db2.gz CNUJYYLRDDJLNA-RDJZCZTQSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@H](C)CCC)c1ccccc1OC ZINC001198616538 1101929729 /nfs/dbraw/zinc/92/97/29/1101929729.db2.gz IMYATLSCPDXIOR-WBVHZDCISA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)/C(C)=C\CC)c1ccccc1OC ZINC001198584904 1101930606 /nfs/dbraw/zinc/93/06/06/1101930606.db2.gz NAACSUFWMICMPQ-KAKTVLCNSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C1=CCCC1)c1ccccc1OC ZINC001198655629 1101943752 /nfs/dbraw/zinc/94/37/52/1101943752.db2.gz GPCIFAYONCOBMH-KRWDZBQOSA-N 0 1 314.429 3.129 20 30 DGEDMN COC(=O)c1cc(C#N)cnc1-c1ccc(O)c(C(F)(F)F)c1 ZINC001242158332 1101948442 /nfs/dbraw/zinc/94/84/42/1101948442.db2.gz YVZRAOXRGKHVCV-UHFFFAOYSA-N 0 1 322.242 3.131 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)/C=C(/C)CC)c1ccccc1OC ZINC001198714371 1101971637 /nfs/dbraw/zinc/97/16/37/1101971637.db2.gz XWLAWKMOZSIGDE-HKBKRHGFSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CC1(C)CC1)c1ccccc1OC ZINC001198806587 1101994786 /nfs/dbraw/zinc/99/47/86/1101994786.db2.gz ZIJNTPUETPIKLQ-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1CCC)c1ccccc1OC ZINC001198895210 1102014439 /nfs/dbraw/zinc/01/44/39/1102014439.db2.gz PGPQUTONMIVPSP-OIISXLGYSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H]1C[C@H]1CC)c1ccccc1OC ZINC001198908182 1102032087 /nfs/dbraw/zinc/03/20/87/1102032087.db2.gz BUSRIAZQOJVMFV-OIISXLGYSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@H](CCCC)C(C)C ZINC001152653447 1102164842 /nfs/dbraw/zinc/16/48/42/1102164842.db2.gz ZHDCKWXPCOOCGL-CYBMUJFWSA-N 0 1 319.287 3.063 20 30 DGEDMN C=CCCC(=O)N1CCC(NCc2csc(CCC)n2)CC1 ZINC001199725977 1102194155 /nfs/dbraw/zinc/19/41/55/1102194155.db2.gz JWKLTYOREGIMEB-UHFFFAOYSA-N 0 1 321.490 3.142 20 30 DGEDMN Cc1ccc(C#N)cc1-c1ccc(CCN2CCOCC2)cc1 ZINC001242542646 1102200339 /nfs/dbraw/zinc/20/03/39/1102200339.db2.gz NBLFRDHRAOGEHT-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C=C(CC)CC ZINC001273814207 1102315651 /nfs/dbraw/zinc/31/56/51/1102315651.db2.gz LZKMENTUMDULSH-FQEVSTJZSA-N 0 1 324.468 3.299 20 30 DGEDMN CCCCCCCCCCCCN1CC(N2CCNC(=O)C2)C1 ZINC001201631099 1102665617 /nfs/dbraw/zinc/66/56/17/1102665617.db2.gz LZQWNNHSEDFOJH-UHFFFAOYSA-N 0 1 323.525 3.023 20 30 DGEDMN CCCCCCCC[NH+]1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001201826431 1102739108 /nfs/dbraw/zinc/73/91/08/1102739108.db2.gz WKCWMOHIJPRIJH-UHFFFAOYSA-N 0 1 308.388 3.490 20 30 DGEDMN CCCCCCCCN1CCO[C@]2(CCCN(C(C)=O)C2)C1 ZINC001201826153 1102739294 /nfs/dbraw/zinc/73/92/94/1102739294.db2.gz OMKIKALSYFHXQX-GOSISDBHSA-N 0 1 310.482 3.060 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)Nc1ccn(C)n1 ZINC001201830138 1102739722 /nfs/dbraw/zinc/73/97/22/1102739722.db2.gz HLWGDTAITLJACS-UHFFFAOYSA-N 0 1 301.456 3.303 20 30 DGEDMN CCOc1cc(-c2cc3[nH]cnc3c(C#N)n2)c(F)cc1F ZINC001243528102 1102781209 /nfs/dbraw/zinc/78/12/09/1102781209.db2.gz ULFPAOPHGLXYPI-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001202123582 1102842845 /nfs/dbraw/zinc/84/28/45/1102842845.db2.gz USMWNIATKWSKNO-ZFWWWQNUSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1csc(C)c1 ZINC001273943062 1102956668 /nfs/dbraw/zinc/95/66/68/1102956668.db2.gz UMXNVOJPKADZNF-QGZVFWFLSA-N 0 1 304.459 3.200 20 30 DGEDMN CN1CCN(Cc2ccccc2NC2=CC[C@@H](C#N)CC2)CC1 ZINC001212860614 1103091035 /nfs/dbraw/zinc/09/10/35/1103091035.db2.gz AUCYPILJQBFEDN-MRXNPFEDSA-N 0 1 310.445 3.053 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC[C@H](C)c1ccccc1 ZINC001488670642 1103100653 /nfs/dbraw/zinc/10/06/53/1103100653.db2.gz IGLBZGDOYOGYQP-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN COc1cc(O)ccc1C=NNc1ccc(Br)cn1 ZINC001325859296 1103140815 /nfs/dbraw/zinc/14/08/15/1103140815.db2.gz GVBXQDCNCHEUTB-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c3cccnc13)C2 ZINC001095778766 1103141166 /nfs/dbraw/zinc/14/11/66/1103141166.db2.gz FEZJXWPKWGMXAT-JLSDUUJJSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ccccc1C#N ZINC001325883936 1103149417 /nfs/dbraw/zinc/14/94/17/1103149417.db2.gz SXMWODIUTNHDBA-OALUTQOASA-N 0 1 323.440 3.090 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1ccccc1C#N ZINC001325883936 1103149425 /nfs/dbraw/zinc/14/94/25/1103149425.db2.gz SXMWODIUTNHDBA-OALUTQOASA-N 0 1 323.440 3.090 20 30 DGEDMN Cc1cc(F)cc(C)c1NS(=O)(=O)c1ccccc1C#N ZINC001258290433 1103286236 /nfs/dbraw/zinc/28/62/36/1103286236.db2.gz QMLQEEXDAMBLOW-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(C[C@H](F)CC)[C@@H]2CC)CCC1 ZINC001489131940 1103288690 /nfs/dbraw/zinc/28/86/90/1103288690.db2.gz BFUMVUQNGLAUSV-OWCLPIDISA-N 0 1 310.457 3.450 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001489334083 1103365164 /nfs/dbraw/zinc/36/51/64/1103365164.db2.gz HQIWWEYCKUDTEX-DZIBYMRMSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCC(=O)NCc1cccc2c1CCN(C[C@@H](F)CC)C2 ZINC001326669571 1103401561 /nfs/dbraw/zinc/40/15/61/1103401561.db2.gz APTJTXNBHFJLLB-KRWDZBQOSA-N 0 1 318.436 3.375 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccoc1Cl ZINC001326700051 1103412597 /nfs/dbraw/zinc/41/25/97/1103412597.db2.gz ONHBCFFCWQLIBF-LLVKDONJSA-N 0 1 317.216 3.270 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccc(C)o2)CCC1 ZINC001326898742 1103474817 /nfs/dbraw/zinc/47/48/17/1103474817.db2.gz HARTXXVGJVZIRA-ZDUSSCGKSA-N 0 1 310.825 3.073 20 30 DGEDMN C=CCCCCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccns1)C2 ZINC001110610451 1103507522 /nfs/dbraw/zinc/50/75/22/1103507522.db2.gz DGYRGPHWJVGOCN-KFWWJZLASA-N 0 1 319.474 3.225 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001327125266 1103536663 /nfs/dbraw/zinc/53/66/63/1103536663.db2.gz HUDKMNIYLMGJES-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2scnc2C)CC1 ZINC001490411508 1103613713 /nfs/dbraw/zinc/61/37/13/1103613713.db2.gz ACPBSXDJFUFGET-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc2c(o1)CCCC2)C1CC1 ZINC001490669657 1103691098 /nfs/dbraw/zinc/69/10/98/1103691098.db2.gz YZPUPKZTDRJCHM-AWEZNQCLSA-N 0 1 322.836 3.009 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@]3(C)CCC[C@@H]3C)cc2C1 ZINC001327695489 1103712149 /nfs/dbraw/zinc/71/21/49/1103712149.db2.gz HTCHETVVTAMWHO-HRAATJIYSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1(C)C(C)(C)C1(C)C)C1CC1 ZINC001490748168 1103719930 /nfs/dbraw/zinc/71/99/30/1103719930.db2.gz IDZDEYFNURSBLH-ZDUSSCGKSA-N 0 1 312.885 3.296 20 30 DGEDMN CCc1nnc(-c2cccc(CN(C)C)c2F)c(C#N)c1CC ZINC001244864072 1103730249 /nfs/dbraw/zinc/73/02/49/1103730249.db2.gz ODAZUNNAWXSFTK-UHFFFAOYSA-N 0 1 312.392 3.341 20 30 DGEDMN CN(C)Cc1cccc(-c2ncnc3cc(C#N)ccc32)c1F ZINC001244864013 1103731229 /nfs/dbraw/zinc/73/12/29/1103731229.db2.gz OLEDCIBBRXIPNY-UHFFFAOYSA-N 0 1 306.344 3.369 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2c(F)cccc2Cl)[C@@H](C)C1 ZINC001327828977 1103734408 /nfs/dbraw/zinc/73/44/08/1103734408.db2.gz NQFKOARUTZUACC-LRDDRELGSA-N 0 1 324.827 3.382 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cncc(Br)c2C)C1 ZINC001327838582 1103740348 /nfs/dbraw/zinc/74/03/48/1103740348.db2.gz ANTWJCJWNYDSIQ-CYBMUJFWSA-N 0 1 307.235 3.388 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C(C)C)c1)C2 ZINC001096284187 1103794703 /nfs/dbraw/zinc/79/47/03/1103794703.db2.gz ZBSZMDTVWGSWQZ-QYZOEREBSA-N 0 1 310.441 3.168 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(CC)o1)C2 ZINC001096331058 1103802497 /nfs/dbraw/zinc/80/24/97/1103802497.db2.gz YWLCXOZTZSNGTH-ILXRZTDVSA-N 0 1 302.418 3.062 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C3CCC3)c1)C2 ZINC001096348351 1103805494 /nfs/dbraw/zinc/80/54/94/1103805494.db2.gz LJANTHIHLFTRHS-AABGKKOBSA-N 0 1 322.452 3.313 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C[C@H]1C ZINC001278396506 1103837655 /nfs/dbraw/zinc/83/76/55/1103837655.db2.gz BEBDJUFZBGGGAM-HIFRSBDPSA-N 0 1 322.399 3.400 20 30 DGEDMN CCOC(=O)c1nc2cc(-c3cccc(C#N)c3F)ccc2[nH]1 ZINC001245071347 1103840028 /nfs/dbraw/zinc/84/00/28/1103840028.db2.gz NCTAKEYNHJQEGL-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC2(C=C)CCCCC2)C(C)(C)C1 ZINC001328099420 1103844048 /nfs/dbraw/zinc/84/40/48/1103844048.db2.gz VNKUJJLQHRZINH-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)cc1Cl)C2 ZINC001096475862 1103844927 /nfs/dbraw/zinc/84/49/27/1103844927.db2.gz QOFGQAQDMPDVNA-KCXAZCMYSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C(C)C)s1)C2 ZINC001096692184 1103901903 /nfs/dbraw/zinc/90/19/03/1103901903.db2.gz BTACORBDJPAAFL-RDBSUJKOSA-N 0 1 319.474 3.178 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CCC1CC1 ZINC001328465035 1103955366 /nfs/dbraw/zinc/95/53/66/1103955366.db2.gz UEHPTTPPUYOISO-IBGZPJMESA-N 0 1 312.457 3.468 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@@H](C=C)CC ZINC001328469901 1103959339 /nfs/dbraw/zinc/95/93/39/1103959339.db2.gz SWYDJQWJPQLKHG-PXNSSMCTSA-N 0 1 324.468 3.328 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(CC)Cc2coc(C)n2)CC1 ZINC001328567265 1103982740 /nfs/dbraw/zinc/98/27/40/1103982740.db2.gz HVSZBNHSMWHXCS-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN N#Cc1c2c(cnc1C1=CC[C@H](N3CCOCC3)CC1)CCCC2 ZINC001245423414 1104044408 /nfs/dbraw/zinc/04/44/08/1104044408.db2.gz NKWSLIOCTWBCJC-KRWDZBQOSA-N 0 1 323.440 3.100 20 30 DGEDMN N#Cc1cc2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc2[nH]1 ZINC001245427297 1104047513 /nfs/dbraw/zinc/04/75/13/1104047513.db2.gz WRHVEXOMKQJTOX-GOSISDBHSA-N 0 1 307.397 3.308 20 30 DGEDMN N#CCc1ccc(F)c(C2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001245425977 1104048728 /nfs/dbraw/zinc/04/87/28/1104048728.db2.gz LPHORJMPQWWWCA-INIZCTEOSA-N 0 1 300.377 3.160 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(F)c2ccccc12 ZINC001328766751 1104063830 /nfs/dbraw/zinc/06/38/30/1104063830.db2.gz UJNIKHMOBSPRCO-HNNXBMFYSA-N 0 1 324.399 3.149 20 30 DGEDMN Cc1cccc(NC[C@H]2CCCN2Cc2ccc(C#N)c(F)c2)n1 ZINC001328775000 1104064896 /nfs/dbraw/zinc/06/48/96/1104064896.db2.gz BJTQRJSKGOYAQK-QGZVFWFLSA-N 0 1 324.403 3.477 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1oc2ccccc2c1C ZINC001328785864 1104069445 /nfs/dbraw/zinc/06/94/45/1104069445.db2.gz LBYYHSOEUVLRFZ-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1cc(F)ccc1Cl ZINC001273981338 1104081668 /nfs/dbraw/zinc/08/16/68/1104081668.db2.gz CYVNWYZVJPGLHP-AATRIKPKSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c2ccccc21 ZINC001329072423 1104144573 /nfs/dbraw/zinc/14/45/73/1104144573.db2.gz CBWQCKFPCDMHHC-NWDGAFQWSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001329092004 1104150371 /nfs/dbraw/zinc/15/03/71/1104150371.db2.gz VZYYDQCGZUZYBR-HTQZYQBOSA-N 0 1 324.639 3.170 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3cc(C(F)(F)F)n[nH]3)n2)c1 ZINC001212815641 1104220495 /nfs/dbraw/zinc/22/04/95/1104220495.db2.gz SOLOUXQLQAFZCA-UHFFFAOYSA-N 0 1 323.209 3.156 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC(CC)CC)cc2C1 ZINC001274034811 1104228015 /nfs/dbraw/zinc/22/80/15/1104228015.db2.gz ATRLDPYXJHMPSU-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CN1CCC2(CCN(Cc3ccc(Cl)o3)CC2)C1=O ZINC001274140525 1104262053 /nfs/dbraw/zinc/26/20/53/1104262053.db2.gz CIFUACMISGDETC-UHFFFAOYSA-N 0 1 322.836 3.324 20 30 DGEDMN COc1cc(-c2cc3[nH]cnc3c(C#N)n2)c(F)c(F)c1Cl ZINC001245854531 1104268548 /nfs/dbraw/zinc/26/85/48/1104268548.db2.gz JUBYEYRAZBFYQW-UHFFFAOYSA-N 0 1 320.686 3.437 20 30 DGEDMN N#Cc1ccc(-n2[nH]c(COCc3ccccc3)cc2=O)cc1 ZINC001245923330 1104313543 /nfs/dbraw/zinc/31/35/43/1104313543.db2.gz OPGIZWYRRJWQCC-UHFFFAOYSA-N 0 1 305.337 3.166 20 30 DGEDMN COc1ccc(-c2cc(=O)n(-c3ccccc3C#N)[nH]2)cc1OC ZINC001245930198 1104317325 /nfs/dbraw/zinc/31/73/25/1104317325.db2.gz MJYBTVVXDIUHEC-UHFFFAOYSA-N 0 1 321.336 3.134 20 30 DGEDMN CN(C)c1cccc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)c1 ZINC001245933898 1104320893 /nfs/dbraw/zinc/32/08/93/1104320893.db2.gz VFHPUCZBMBFLMI-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001274237593 1104332923 /nfs/dbraw/zinc/33/29/23/1104332923.db2.gz ATVQRILALYWJTQ-FTKQDORFSA-N 0 1 319.276 3.344 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCC[C@H](C)CC)C(C)(C)C1 ZINC001274434250 1104385281 /nfs/dbraw/zinc/38/52/81/1104385281.db2.gz CDWGYBPBGBPTKS-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@@H](C)C2)CCC1 ZINC001274643670 1104434412 /nfs/dbraw/zinc/43/44/12/1104434412.db2.gz KYKCHQOMHYTMSM-KJUPGIGJSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)sc1C ZINC001320786580 1104461854 /nfs/dbraw/zinc/46/18/54/1104461854.db2.gz AAFBHHZLTSNAGW-UHFFFAOYSA-N 0 1 300.855 3.169 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCc2cc(C)sc2C)C1 ZINC001330086286 1104476921 /nfs/dbraw/zinc/47/69/21/1104476921.db2.gz PLQJLHNYEYICHL-CQSZACIVSA-N 0 1 320.502 3.310 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2)CC1(C)C ZINC001330178375 1104498697 /nfs/dbraw/zinc/49/86/97/1104498697.db2.gz UPKNNMKRWZOXEN-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2cc(C)on2)CCCC1 ZINC001274840933 1104512235 /nfs/dbraw/zinc/51/22/35/1104512235.db2.gz MTIQDRFDAMGJJP-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001274901151 1104535543 /nfs/dbraw/zinc/53/55/43/1104535543.db2.gz VMHBCOGFDPYTNW-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001274913984 1104541324 /nfs/dbraw/zinc/54/13/24/1104541324.db2.gz ZHCBYJFLPXVKRN-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(CC)Cc2cc(C)on2)CC1 ZINC001274945198 1104549097 /nfs/dbraw/zinc/54/90/97/1104549097.db2.gz DOEYUOXUUNWMTE-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)c1sccc1CC#N ZINC001330553348 1104575327 /nfs/dbraw/zinc/57/53/27/1104575327.db2.gz YGEAGJNBPYRKKE-UHFFFAOYSA-N 0 1 316.430 3.107 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1csc2ccccc21 ZINC001275128616 1104639868 /nfs/dbraw/zinc/63/98/68/1104639868.db2.gz JTZJYSSGGAEWQY-AWEZNQCLSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCCC[C@H](NC(=O)NC[C@@H]1CCN1C)c1ccccc1 ZINC001246654953 1104650713 /nfs/dbraw/zinc/65/07/13/1104650713.db2.gz SWHNDIWXUKSNJN-IRXDYDNUSA-N 0 1 301.434 3.087 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC1(C=C)CCCCC1 ZINC001275150931 1104653495 /nfs/dbraw/zinc/65/34/95/1104653495.db2.gz QNLFTGRWXWBZAX-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001275160140 1104658439 /nfs/dbraw/zinc/65/84/39/1104658439.db2.gz KCDZHLBRTGMUEA-ZCYBBYNQSA-N 0 1 316.489 3.312 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)CC(C)(C)C ZINC001275168441 1104663190 /nfs/dbraw/zinc/66/31/90/1104663190.db2.gz XDHULBDRXYYIAS-MRXNPFEDSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1cccc(OC)c1Cl ZINC001275266160 1104698123 /nfs/dbraw/zinc/69/81/23/1104698123.db2.gz INXBRIULOGXZAI-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)C=C(CC)CC ZINC001275384672 1104743500 /nfs/dbraw/zinc/74/35/00/1104743500.db2.gz CDFZYPFEWUTCTE-VXGBXAGGSA-N 0 1 317.271 3.124 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1ccc(Cl)s1 ZINC001275440055 1104759940 /nfs/dbraw/zinc/75/99/40/1104759940.db2.gz WCAASNLROUZJBI-JTQLQIEISA-N 0 1 321.273 3.181 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)Nc2c(F)cccc2F)c1 ZINC001247081301 1104797600 /nfs/dbraw/zinc/79/76/00/1104797600.db2.gz SJVJFCXTZCDSLJ-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001275580341 1104818873 /nfs/dbraw/zinc/81/88/73/1104818873.db2.gz MKBCKMQHMJWNIG-SCQRFTTHSA-N 0 1 312.885 3.438 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001275614427 1104832424 /nfs/dbraw/zinc/83/24/24/1104832424.db2.gz JYKBKASSBINTSA-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001275614426 1104832798 /nfs/dbraw/zinc/83/27/98/1104832798.db2.gz JYKBKASSBINTSA-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001275624589 1104836906 /nfs/dbraw/zinc/83/69/06/1104836906.db2.gz QFCRFKFFLYIHEH-UHFFFAOYSA-N 0 1 319.449 3.136 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1cccc(C(C)C)n1 ZINC001275844262 1104930486 /nfs/dbraw/zinc/93/04/86/1104930486.db2.gz LCPDPQHENPWGDB-MRXNPFEDSA-N 0 1 317.477 3.498 20 30 DGEDMN C=CCOc1ccc(-c2noc([C@H]3CCCCN3)n2)c(F)c1 ZINC001247803507 1104988651 /nfs/dbraw/zinc/98/86/51/1104988651.db2.gz RAXXABGXNOGSDY-CQSZACIVSA-N 0 1 303.337 3.255 20 30 DGEDMN CN[C@@H](Cc1ccccc1)c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001247825820 1104997808 /nfs/dbraw/zinc/99/78/08/1104997808.db2.gz TWJLXZSVCFKKFG-INIZCTEOSA-N 0 1 322.343 3.251 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1[C@@H](c3ccccc3)C1(C)C)C2 ZINC001095424018 1105001736 /nfs/dbraw/zinc/00/17/36/1105001736.db2.gz BOBXMIYXWPFSRS-SPOLIRPYSA-N 0 1 324.468 3.334 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c3ccccc3n1)C2 ZINC001095635471 1105093124 /nfs/dbraw/zinc/09/31/24/1105093124.db2.gz BZXPGHPSGZMMOF-LJHODMEESA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001110964275 1105127469 /nfs/dbraw/zinc/12/74/69/1105127469.db2.gz CAFWGXWNUSCHHP-QRVBRYPASA-N 0 1 323.440 3.136 20 30 DGEDMN C[C@@]1(c2nc(-c3ccc(-c4ccc(C#N)cc4)cc3)no2)CCN1 ZINC001248721112 1105150853 /nfs/dbraw/zinc/15/08/53/1105150853.db2.gz CETZXISNPPWLNT-IBGZPJMESA-N 0 1 316.364 3.484 20 30 DGEDMN N#Cc1ccn2ncc(CN3CCCc4ccccc4C3)c2c1 ZINC001249107108 1105226436 /nfs/dbraw/zinc/22/64/36/1105226436.db2.gz RWPHTTPTSRNWEQ-UHFFFAOYSA-N 0 1 302.381 3.154 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ncccc2C)[C@H]1C ZINC001278514818 1105264955 /nfs/dbraw/zinc/26/49/55/1105264955.db2.gz AAYGLPGELJXQSP-HOTGVXAUSA-N 0 1 315.461 3.071 20 30 DGEDMN N#Cc1ccc2cc(CN3CCc4cc(CO)ccc4C3)[nH]c2c1 ZINC001249426646 1105285629 /nfs/dbraw/zinc/28/56/29/1105285629.db2.gz BACWUXHAWWJZDO-UHFFFAOYSA-N 0 1 317.392 3.090 20 30 DGEDMN C#CC1CCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)CC1 ZINC001249470803 1105293881 /nfs/dbraw/zinc/29/38/81/1105293881.db2.gz MNUILHDYARBRQP-UHFFFAOYSA-N 0 1 309.200 3.062 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)C[C@@H]1c1ccccc1 ZINC001249685082 1105354058 /nfs/dbraw/zinc/35/40/58/1105354058.db2.gz BZZPDZJRNJLZNM-GOSISDBHSA-N 0 1 309.388 3.186 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(F)cc1F)C2 ZINC001097309486 1105379758 /nfs/dbraw/zinc/37/97/58/1105379758.db2.gz UXRWLJARRHHFDF-JLZZUVOBSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)cc1F)C2 ZINC001097475331 1105397853 /nfs/dbraw/zinc/39/78/53/1105397853.db2.gz UOAQJHXEQHWUCX-WQVCFCJDSA-N 0 1 322.811 3.390 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)c(F)c1)C2 ZINC001097911443 1105462706 /nfs/dbraw/zinc/46/27/06/1105462706.db2.gz JPLUQFDCPDCABR-WQVCFCJDSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CC[C@H](C)C1(C)C)C2 ZINC001097976016 1105488106 /nfs/dbraw/zinc/48/81/06/1105488106.db2.gz ONPWLJMCQOSAPQ-RGQDEETRSA-N 0 1 304.478 3.356 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3c[nH]c4ccc(F)cc34)CC[C@@H]2S1 ZINC001137335195 1105489062 /nfs/dbraw/zinc/48/90/62/1105489062.db2.gz JRUFRVCTTAEBPF-HNNXBMFYSA-N 0 1 302.374 3.081 20 30 DGEDMN O=C1C=C2CN(Cc3c[nH]c4ccc(F)cc34)CCC2S1 ZINC001137335195 1105489064 /nfs/dbraw/zinc/48/90/64/1105489064.db2.gz JRUFRVCTTAEBPF-HNNXBMFYSA-N 0 1 302.374 3.081 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc([C@@H](C)CC)no1)C2 ZINC001097991543 1105493538 /nfs/dbraw/zinc/49/35/38/1105493538.db2.gz AAYFJPHUNMXMAL-XNISGKROSA-N 0 1 317.433 3.099 20 30 DGEDMN N#Cc1ccccc1-c1nc2cc(N3CCOCC3)c(F)cc2[nH]1 ZINC001250489681 1105524017 /nfs/dbraw/zinc/52/40/17/1105524017.db2.gz PJDZEHGWJOOVJL-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C=CCOc1ccc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)cc1 ZINC001250490235 1105524158 /nfs/dbraw/zinc/52/41/58/1105524158.db2.gz YZFHAXKADATDDB-UHFFFAOYSA-N 0 1 321.336 3.126 20 30 DGEDMN N#Cc1cc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)ccc1F ZINC001250505604 1105526720 /nfs/dbraw/zinc/52/67/20/1105526720.db2.gz BBJJWENJXFRXLE-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001490885420 1105535769 /nfs/dbraw/zinc/53/57/69/1105535769.db2.gz AJVDDDUMKVRNJU-IHRRRGAJSA-N 0 1 320.383 3.066 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC1=CCCCC1 ZINC001098966591 1105620124 /nfs/dbraw/zinc/62/01/24/1105620124.db2.gz QXSYLBWJOLTYQB-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1cnoc1C ZINC001098966378 1105620253 /nfs/dbraw/zinc/62/02/53/1105620253.db2.gz LTQCOOFMNSYIJT-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)CN1Cc1ccsc1 ZINC001098983166 1105623254 /nfs/dbraw/zinc/62/32/54/1105623254.db2.gz PBBPMRPTGXZPSM-ZFWWWQNUSA-N 0 1 319.474 3.015 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099093236 1105641672 /nfs/dbraw/zinc/64/16/72/1105641672.db2.gz NSJDEPWBFZLKRC-SFHVURJKSA-N 0 1 316.489 3.385 20 30 DGEDMN COC(=O)c1cc2[nH]c(-c3ccc(C)cc3C#N)nc2cc1OC ZINC001251239848 1105643739 /nfs/dbraw/zinc/64/37/39/1105643739.db2.gz BTYQVERIOZRYNT-UHFFFAOYSA-N 0 1 321.336 3.205 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001099148720 1105649817 /nfs/dbraw/zinc/64/98/17/1105649817.db2.gz HUGIIRPBDJXLPS-BZSNNMDCSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc3ccccc3o2)C1 ZINC001099148674 1105649953 /nfs/dbraw/zinc/64/99/53/1105649953.db2.gz LGXVBQZPNDJFGU-JXFKEZNVSA-N 0 1 322.408 3.040 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C1CC1 ZINC001099189780 1105656014 /nfs/dbraw/zinc/65/60/14/1105656014.db2.gz WVRNJGHAMHRYLI-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1(CCC=C)CC1 ZINC001099214234 1105657430 /nfs/dbraw/zinc/65/74/30/1105657430.db2.gz IQCJNAOAZVCKHP-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3cccc(Cl)c3)n[nH]2)cc1 ZINC001251585544 1105671594 /nfs/dbraw/zinc/67/15/94/1105671594.db2.gz BFRCTJNSXWJZGL-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001491058407 1105744679 /nfs/dbraw/zinc/74/46/79/1105744679.db2.gz IJKCDGNXSMQLDU-OKILXGFUSA-N 0 1 321.490 3.099 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1nnc2cc(C)ccn21 ZINC001252131935 1105746831 /nfs/dbraw/zinc/74/68/31/1105746831.db2.gz YGUMFEYTSVWOSG-MRXNPFEDSA-N 0 1 318.465 3.239 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001491107763 1105754533 /nfs/dbraw/zinc/75/45/33/1105754533.db2.gz MNKVXXWBEMSSCJ-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001491119351 1105757883 /nfs/dbraw/zinc/75/78/83/1105757883.db2.gz DBYSEROFJOUHCC-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1CCC(F)(F)F ZINC001491219805 1105779120 /nfs/dbraw/zinc/77/91/20/1105779120.db2.gz YUZAFEZYMLIUSO-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](CC)CCCC)C1 ZINC001278668078 1105781988 /nfs/dbraw/zinc/78/19/88/1105781988.db2.gz KRUJZTVBLXPEOE-PKOBYXMFSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1nc(C)sc1C ZINC001491243744 1105786161 /nfs/dbraw/zinc/78/61/61/1105786161.db2.gz IAMMSOHSUCJWPU-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)Cc1ccccc1C)C2 ZINC001111478099 1105789593 /nfs/dbraw/zinc/78/95/93/1105789593.db2.gz MEQIBXOPYAOOKT-AITUJVMLSA-N 0 1 312.457 3.081 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1cccc(C)c1 ZINC001491261217 1105790248 /nfs/dbraw/zinc/79/02/48/1105790248.db2.gz NXZNDGDZAZEXAS-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1c(C)cccc1F ZINC001491275622 1105792686 /nfs/dbraw/zinc/79/26/86/1105792686.db2.gz HSKQOCYULGKHQW-CQSZACIVSA-N 0 1 324.827 3.471 20 30 DGEDMN C[C@H](CNC(=O)CCC1CCCC1)NCC#Cc1ccccc1 ZINC001491382555 1105816735 /nfs/dbraw/zinc/81/67/35/1105816735.db2.gz YIUXTMBEPWZFKL-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1ccc(C)cc1Cl ZINC001491436503 1105826910 /nfs/dbraw/zinc/82/69/10/1105826910.db2.gz SXJOZOIQCRIYCP-OAHLLOKOSA-N 0 1 320.864 3.436 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001491468438 1105832116 /nfs/dbraw/zinc/83/21/16/1105832116.db2.gz GELWGZBFMUVDJD-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCN2C(=O)CC[C@@H]2C1 ZINC001252986184 1105867216 /nfs/dbraw/zinc/86/72/16/1105867216.db2.gz SPSMVMLQCHUXLX-MSOLQXFVSA-N 0 1 324.509 3.185 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C=C(CC)CC)C[C@]2(C)C1 ZINC001101193697 1105898753 /nfs/dbraw/zinc/89/87/53/1105898753.db2.gz GWKXOGSYQHBNKF-WBVHZDCISA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101249592 1105903173 /nfs/dbraw/zinc/90/31/73/1105903173.db2.gz CXOYMMMZFANJKF-BTILFOLHSA-N 0 1 322.880 3.288 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CCC)CC(C)C)C2 ZINC001111480499 1105904296 /nfs/dbraw/zinc/90/42/96/1105904296.db2.gz VNTCFYAUEZQFSA-TVFCKZIOSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCCCCCC[C@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001253228156 1105904597 /nfs/dbraw/zinc/90/45/97/1105904597.db2.gz PUPLQARCCQLTGV-CVEARBPZSA-N 0 1 316.449 3.267 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101338008 1105911472 /nfs/dbraw/zinc/91/14/72/1105911472.db2.gz UIPDLOAGHNVFBG-YYXZIKSMSA-N 0 1 310.869 3.122 20 30 DGEDMN CCCCCCCCC(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001101442698 1105919294 /nfs/dbraw/zinc/91/92/94/1105919294.db2.gz ZVXQSXVZUKPOLO-AEFFLSMTSA-N 0 1 312.473 3.487 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)C(c1ccccc1)c1ccccc1 ZINC001253933580 1106002906 /nfs/dbraw/zinc/00/29/06/1106002906.db2.gz RTFKPROYXZNQGJ-OALUTQOASA-N 0 1 308.425 3.461 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)C(C)(C)C)[C@H](C)C1 ZINC001102089643 1106019790 /nfs/dbraw/zinc/01/97/90/1106019790.db2.gz QAAHFCUFZIFTDE-KWCYVHTRSA-N 0 1 300.874 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)c2ccc(C)o2)[C@H](C)C1 ZINC001102447696 1106059620 /nfs/dbraw/zinc/05/96/20/1106059620.db2.gz MTPLLAIQEUXQTP-BYCMXARLSA-N 0 1 324.852 3.128 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N2C[C@H]3C[C@@H](C2)O3)CC1 ZINC001254359495 1106060510 /nfs/dbraw/zinc/06/05/10/1106060510.db2.gz XSGBLTILBDLZJE-ZTOOSOLZSA-N 0 1 300.377 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC(C2CC2)C2CC2)[C@H](C)C1 ZINC001102603835 1106075771 /nfs/dbraw/zinc/07/57/71/1106075771.db2.gz XKHHEDLFQQKNOP-MLGOLLRUSA-N 0 1 324.896 3.249 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCO[C@H]3[C@H](OCC4CCCC4)CC[C@@H]32)C1 ZINC001254657274 1106124160 /nfs/dbraw/zinc/12/41/60/1106124160.db2.gz CIGDFUFKTDJUGE-ZWJWXYIHSA-N 0 1 318.461 3.117 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2cn(Cc3ccccc3Cl)cn2)C1 ZINC001254655679 1106124179 /nfs/dbraw/zinc/12/41/79/1106124179.db2.gz FJEWCZLDRIQDSI-ZFWWWQNUSA-N 0 1 314.820 3.367 20 30 DGEDMN CN(CCC#N)[C@@H]1CCC2(C1)CCN(C(=O)OC(C)(C)C)CC2 ZINC001254744778 1106138540 /nfs/dbraw/zinc/13/85/40/1106138540.db2.gz MBICFHPFZSIXNX-OAHLLOKOSA-N 0 1 321.465 3.402 20 30 DGEDMN C[C@@](C#N)(N[C@H]1CC2CCN(CC2)C1)c1ccccc1Cl ZINC001254841215 1106147209 /nfs/dbraw/zinc/14/72/09/1106147209.db2.gz VAXVCLMXVUPBTI-YOEHRIQHSA-N 0 1 303.837 3.153 20 30 DGEDMN CCN(CCC#N)[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)OC(C)(C)C)C2 ZINC001254983659 1106162612 /nfs/dbraw/zinc/16/26/12/1106162612.db2.gz UOLABZAKWAXXAZ-KKUMJFAQSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CCN(CC3CCCC3)C2=O)CC1 ZINC001255168205 1106198634 /nfs/dbraw/zinc/19/86/34/1106198634.db2.gz NMZJDZKKAWGRTD-KRWDZBQOSA-N 0 1 317.477 3.183 20 30 DGEDMN CC[C@@H](CC#N)N1CCC[C@]2(CCN(c3ccsc3)C2=O)C1 ZINC001255170166 1106198687 /nfs/dbraw/zinc/19/86/87/1106198687.db2.gz OPGPFHZNRWLPAR-YOEHRIQHSA-N 0 1 317.458 3.259 20 30 DGEDMN CC[C@H](CC#N)N(CC)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255176177 1106199309 /nfs/dbraw/zinc/19/93/09/1106199309.db2.gz XUBYCRMWVDIYDT-CABCVRRESA-N 0 1 309.454 3.400 20 30 DGEDMN CCCN([C@@H](CC)CC#N)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255178252 1106200638 /nfs/dbraw/zinc/20/06/38/1106200638.db2.gz DHDYCFAOOIZNLL-GJZGRUSLSA-N 0 1 309.454 3.400 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](N1CCC[C@@H](C#N)C1)C2 ZINC001255244481 1106209453 /nfs/dbraw/zinc/20/94/53/1106209453.db2.gz MCKMMIMIXVVVIW-CAOSSQGBSA-N 0 1 319.449 3.152 20 30 DGEDMN C=CCOCc1nn([C@@H](C)Cc2ccc(F)cc2)c2c1CNC2 ZINC001255870048 1106334380 /nfs/dbraw/zinc/33/43/80/1106334380.db2.gz GLWDNODRCMEKAU-ZDUSSCGKSA-N 0 1 315.392 3.132 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCCc1ccc(C)s1)C(C)C ZINC001278929277 1106406362 /nfs/dbraw/zinc/40/63/62/1106406362.db2.gz AGMMAOJOHUTTHV-UHFFFAOYSA-N 0 1 320.502 3.181 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1ccncc1Cl)C(C)(C)C ZINC001278945918 1106443412 /nfs/dbraw/zinc/44/34/12/1106443412.db2.gz QLIINTYFLRZJAN-OAHLLOKOSA-N 0 1 323.868 3.322 20 30 DGEDMN C=CCN1CCN([C@H](C)Cc2ccccc2Br)CC1 ZINC001256844773 1106495956 /nfs/dbraw/zinc/49/59/56/1106495956.db2.gz PSVAVKOWPOABGY-CQSZACIVSA-N 0 1 323.278 3.184 20 30 DGEDMN CCC1(NC(=O)OC(C)(C)C)CN(C2CCC(C#N)CC2)C1 ZINC001256972134 1106518611 /nfs/dbraw/zinc/51/86/11/1106518611.db2.gz REPWCFLQPAMEDT-UHFFFAOYSA-N 0 1 307.438 3.058 20 30 DGEDMN N#CC1CCC(N2CCc3nn(-c4ccccc4)cc3C2)CC1 ZINC001256972957 1106519284 /nfs/dbraw/zinc/51/92/84/1106519284.db2.gz IZVCQEMUNOLOTK-UHFFFAOYSA-N 0 1 306.413 3.313 20 30 DGEDMN CCCCCCC[C@@H](C)N1[C@H]2COC[C@@H]1C[C@H](C(=O)OC)C2 ZINC001258049247 1106664987 /nfs/dbraw/zinc/66/49/87/1106664987.db2.gz CEVCFHDADVONNT-VQHPVUNQSA-N 0 1 311.466 3.388 20 30 DGEDMN C[C@@H](NC(=O)C#CC(C)(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001279079071 1106680804 /nfs/dbraw/zinc/68/08/04/1106680804.db2.gz DVBIFPLRNZQWQX-AZWQULSGSA-N 0 1 310.869 3.005 20 30 DGEDMN Cc1cncc2cccc(NS(=O)(=O)c3ccccc3C#N)c12 ZINC001258279301 1106707669 /nfs/dbraw/zinc/70/76/69/1106707669.db2.gz YRGCJLKFXOCGOY-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CC#CCN1CCC2(CN(C(=O)c3csc4ccccc34)C2)C1 ZINC001258464126 1106763118 /nfs/dbraw/zinc/76/31/18/1106763118.db2.gz YLSINHINQHUKJQ-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN CCOC(=O)CC[C@H](C)N1CCC(C#N)(c2ccccc2)CC1 ZINC001258489525 1106777141 /nfs/dbraw/zinc/77/71/41/1106777141.db2.gz QOAOHWSYSWCIIC-INIZCTEOSA-N 0 1 314.429 3.276 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)C/C=C/c1ccccc1 ZINC001320006898 1106779016 /nfs/dbraw/zinc/77/90/16/1106779016.db2.gz CRYMWMGEHYDSBQ-DHZHZOJOSA-N 0 1 300.446 3.446 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2ncccc2C)CC1 ZINC001316934708 1106781793 /nfs/dbraw/zinc/78/17/93/1106781793.db2.gz YONLHMXDCPOTDL-UHFFFAOYSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC001316947046 1106807037 /nfs/dbraw/zinc/80/70/37/1106807037.db2.gz GBYDBUOMOCFOOB-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)Nc2cccc3ccccc32)C1 ZINC001320039171 1106809736 /nfs/dbraw/zinc/80/97/36/1106809736.db2.gz YCEJDNOUXDNNNG-NVXWUHKLSA-N 0 1 324.424 3.444 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001316954280 1106820720 /nfs/dbraw/zinc/82/07/20/1106820720.db2.gz REXFDMIZAZMWTL-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001316954307 1106822902 /nfs/dbraw/zinc/82/29/02/1106822902.db2.gz RJXOULFIMZFAAK-IEBWSBKVSA-N 0 1 314.473 3.412 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC[C@](C)(C#N)C2)CC1(C)C ZINC001258565657 1106825634 /nfs/dbraw/zinc/82/56/34/1106825634.db2.gz YSWFPDBCWIHBFE-RDTXWAMCSA-N 0 1 321.465 3.400 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC001316976946 1106838524 /nfs/dbraw/zinc/83/85/24/1106838524.db2.gz WCJQPSBSQUXUBU-QGZVFWFLSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H]1CCC(C)(C)C1)CCC2 ZINC001258600182 1106839654 /nfs/dbraw/zinc/83/96/54/1106839654.db2.gz HIDJCEXEIOSHRY-HNNXBMFYSA-N 0 1 303.450 3.370 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001316986323 1106855774 /nfs/dbraw/zinc/85/57/74/1106855774.db2.gz YIHOCNUMVMIUJX-BBRMVZONSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cccc(OC)c2OC(C)C)C1 ZINC001320087283 1106856351 /nfs/dbraw/zinc/85/63/51/1106856351.db2.gz XGONQWPPXAWBNM-INIZCTEOSA-N 0 1 305.418 3.259 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1ccc2cncn2c1C ZINC001320145336 1106900036 /nfs/dbraw/zinc/90/00/36/1106900036.db2.gz MTLAXOYYJFQALI-UHFFFAOYSA-N 0 1 320.352 3.165 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(F)c(OC)c2)c(O)c1 ZINC001320164289 1106909299 /nfs/dbraw/zinc/90/92/99/1106909299.db2.gz HUANQZBWCVOEST-XBXARRHUSA-N 0 1 302.301 3.445 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2occc2C)C1 ZINC001317036980 1106933117 /nfs/dbraw/zinc/93/31/17/1106933117.db2.gz ZHFNPUMBESYPLJ-CQSZACIVSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2nn(CC3CC3)cc2[C@H](COC)C1 ZINC001258728271 1106935512 /nfs/dbraw/zinc/93/55/12/1106935512.db2.gz NRYJPEAKARMJMP-ZBFHGGJFSA-N 0 1 303.450 3.193 20 30 DGEDMN C=CCC[C@@H](C)n1nc(COCc2nccs2)c2c1CNC2 ZINC001258728177 1106935535 /nfs/dbraw/zinc/93/55/35/1106935535.db2.gz MILMTVMNNFQPFD-GFCCVEGCSA-N 0 1 318.446 3.187 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001317057502 1106956412 /nfs/dbraw/zinc/95/64/12/1106956412.db2.gz UVISJTVRUDTFIX-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001317097201 1106995645 /nfs/dbraw/zinc/99/56/45/1106995645.db2.gz HYMRZTKNIGXRRF-ZDBVJCNUSA-N 0 1 308.853 3.373 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1occc1Cl ZINC001317192502 1107111410 /nfs/dbraw/zinc/11/14/10/1107111410.db2.gz OILAKZBSMDJNPT-NSHDSACASA-N 0 1 317.216 3.270 20 30 DGEDMN Cc1cc(F)ccc1S(=O)(=O)Nc1c(Cl)cccc1C#N ZINC001259490079 1107148957 /nfs/dbraw/zinc/14/89/57/1107148957.db2.gz OHEYKLNCEGRCPN-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317238545 1107163896 /nfs/dbraw/zinc/16/38/96/1107163896.db2.gz KQKBGEQPMBABQR-OKFGHLOFSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001317266767 1107188403 /nfs/dbraw/zinc/18/84/03/1107188403.db2.gz RJZYOQREUMKZTB-STQMWFEESA-N 0 1 322.399 3.476 20 30 DGEDMN CC(C)CCCC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001317272142 1107195339 /nfs/dbraw/zinc/19/53/39/1107195339.db2.gz SKIOVZHLHGDSME-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H]1CCc2ccccc2C1 ZINC001317285594 1107208912 /nfs/dbraw/zinc/20/89/12/1107208912.db2.gz VSWDWKABFOSFIM-GJZGRUSLSA-N 0 1 320.864 3.028 20 30 DGEDMN CC[C@H](CC#N)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001321136849 1107262576 /nfs/dbraw/zinc/26/25/76/1107262576.db2.gz RWMMPTMHURYKCS-MSOLQXFVSA-N 0 1 313.445 3.158 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C)nc1C(C)C ZINC001321173216 1107274282 /nfs/dbraw/zinc/27/42/82/1107274282.db2.gz DYZITBXSUILJNH-AATRIKPKSA-N 0 1 321.852 3.142 20 30 DGEDMN C=CCCC(=O)NCC[C@@H](C)N[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001317386383 1107299067 /nfs/dbraw/zinc/29/90/67/1107299067.db2.gz FEPRJORRCUDBMF-ZIAGYGMSSA-N 0 1 321.465 3.484 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@H]1CCCN1Cc1cc(C)on1 ZINC001317422460 1107351776 /nfs/dbraw/zinc/35/17/76/1107351776.db2.gz HVNPYUFAYRSKAR-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C[C@@H](COC)N[C@@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC001321495046 1107367168 /nfs/dbraw/zinc/36/71/68/1107367168.db2.gz LEUJDVPSAZYXHN-SMDDNHRTSA-N 0 1 300.324 3.268 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001321560575 1107381287 /nfs/dbraw/zinc/38/12/87/1107381287.db2.gz SYRXJLAMDJICIX-RDTXWAMCSA-N 0 1 319.424 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1occc1-c1ccccc1 ZINC001321574641 1107383623 /nfs/dbraw/zinc/38/36/23/1107383623.db2.gz DVIMOUMEJAEOLO-CYBMUJFWSA-N 0 1 318.804 3.407 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2cc(F)ccc2F)CCC1 ZINC001321636846 1107398238 /nfs/dbraw/zinc/39/82/38/1107398238.db2.gz APYHOKAVBCMDQY-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)C/C=C/c1ccccc1 ZINC001317460417 1107413169 /nfs/dbraw/zinc/41/31/69/1107413169.db2.gz WEGSAUNBBIHNCF-QHSLDKKCSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001321702987 1107414533 /nfs/dbraw/zinc/41/45/33/1107414533.db2.gz KCWDOMUUIYASFV-ZIAGYGMSSA-N 0 1 324.852 3.324 20 30 DGEDMN N#Cc1c(=O)[nH]cc2c1CCC(=Cc1ccc3c(c1)CCC3)C2=O ZINC001261504391 1107454462 /nfs/dbraw/zinc/45/44/62/1107454462.db2.gz GSSNQAWCIVTXJZ-OQLLNIDSSA-N 0 1 316.360 3.360 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN1Cc1ccccc1F ZINC001317500628 1107460607 /nfs/dbraw/zinc/46/06/07/1107460607.db2.gz AUEZOUYZNMFFKV-INIZCTEOSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001317508779 1107469336 /nfs/dbraw/zinc/46/93/36/1107469336.db2.gz KPPSDUHGMFRAET-PKOBYXMFSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C(C)(C)C1CCCCC1 ZINC001317511843 1107474931 /nfs/dbraw/zinc/47/49/31/1107474931.db2.gz UQSWKDJBAYPJSW-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001322189308 1107560953 /nfs/dbraw/zinc/56/09/53/1107560953.db2.gz MIESPLUOCHFHMX-IBGZPJMESA-N 0 1 324.424 3.438 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H](CC)c1ccccc1 ZINC001317648392 1107580494 /nfs/dbraw/zinc/58/04/94/1107580494.db2.gz UBZWKSJBYJTOGC-OAHLLOKOSA-N 0 1 308.853 3.371 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2scnc2C)CCC1 ZINC001322239295 1107586123 /nfs/dbraw/zinc/58/61/23/1107586123.db2.gz ZDSUYQAIEGSYDV-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H](C)c1ccco1)C(C)C ZINC001317701464 1107611032 /nfs/dbraw/zinc/61/10/32/1107611032.db2.gz ATQFWEMRYMXWDH-AWEZNQCLSA-N 0 1 312.841 3.352 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1cnccc1C)c1ccccc1 ZINC001317716188 1107619738 /nfs/dbraw/zinc/61/97/38/1107619738.db2.gz XYCQLAZPSWQSLA-LJQANCHMSA-N 0 1 323.440 3.303 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)C1CCC1)c1ccccc1 ZINC001317721258 1107622036 /nfs/dbraw/zinc/62/20/36/1107622036.db2.gz CQBZSEKXUZOYRD-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@@H](N(CCC)C(=O)/C=C\C(C)(C)C)C1 ZINC001317754079 1107639319 /nfs/dbraw/zinc/63/93/19/1107639319.db2.gz OMLGZWKEGJEKNB-SECOEOQKSA-N 0 1 322.493 3.104 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@H](N(CCC)C(=O)/C=C\C(C)(C)C)C1 ZINC001317754077 1107639669 /nfs/dbraw/zinc/63/96/69/1107639669.db2.gz OMLGZWKEGJEKNB-PXAMLJHWSA-N 0 1 322.493 3.104 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccccc2F)C1 ZINC001317772957 1107657982 /nfs/dbraw/zinc/65/79/82/1107657982.db2.gz NWFOBPPIXKTNCJ-ZDUSSCGKSA-N 0 1 310.800 3.020 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001317779799 1107661944 /nfs/dbraw/zinc/66/19/44/1107661944.db2.gz QOVPGATUNRPZSS-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001317799591 1107676432 /nfs/dbraw/zinc/67/64/32/1107676432.db2.gz ASHPZXUKQUTBAK-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](C)CCCC)C1 ZINC001317837777 1107698419 /nfs/dbraw/zinc/69/84/19/1107698419.db2.gz VHRSUEQZIYXHGX-UKRRQHHQSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(C3CCC3)CCC2)C1 ZINC001317845258 1107703386 /nfs/dbraw/zinc/70/33/86/1107703386.db2.gz NWEVVYCLPJTJEE-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCNC/C(Cl)=C\Cl ZINC001322677418 1107732540 /nfs/dbraw/zinc/73/25/40/1107732540.db2.gz BCUPGDXEVRHRSR-WONIAPNHSA-N 0 1 307.265 3.346 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001317994019 1107786316 /nfs/dbraw/zinc/78/63/16/1107786316.db2.gz JPPZIXASUPYCPN-DLBZAZTESA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001317994938 1107788883 /nfs/dbraw/zinc/78/88/83/1107788883.db2.gz JBWBRBZMYLNTKN-WPGJWXSHSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H]2CCCCN2CCC)c1 ZINC001318046583 1107807403 /nfs/dbraw/zinc/80/74/03/1107807403.db2.gz NSLIMYOTPWEFPB-QGZVFWFLSA-N 0 1 302.418 3.454 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)c(C)s1)C1CC1 ZINC001323108035 1107837430 /nfs/dbraw/zinc/83/74/30/1107837430.db2.gz HVGNIWBFPPKKIR-ZDUSSCGKSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001318168524 1107874718 /nfs/dbraw/zinc/87/47/18/1107874718.db2.gz FYXGXAUEAMYYMP-SFHVURJKSA-N 0 1 314.473 3.188 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001318184838 1107885322 /nfs/dbraw/zinc/88/53/22/1107885322.db2.gz PEFKJZACNSYUBZ-UHFFFAOYSA-N 0 1 312.457 3.024 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(C)cc1 ZINC001318210314 1107900279 /nfs/dbraw/zinc/90/02/79/1107900279.db2.gz XIZKIQDVUIJCBA-PMACEKPBSA-N 0 1 324.468 3.016 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001323244790 1107900611 /nfs/dbraw/zinc/90/06/11/1107900611.db2.gz XQEQHOVEMUOXCM-MRXNPFEDSA-N 0 1 306.475 3.228 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2cc(C)cn2c1 ZINC001323245962 1107902289 /nfs/dbraw/zinc/90/22/89/1107902289.db2.gz JOLJWONQBKOJON-SFHVURJKSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCCC[N@H+]1CC[C@@H]1CNC(=O)c1nccc2ccsc21 ZINC001323246523 1107902806 /nfs/dbraw/zinc/90/28/06/1107902806.db2.gz NXIUCSXRMVSQJQ-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001318400532 1107983106 /nfs/dbraw/zinc/98/31/06/1107983106.db2.gz QZCORUYTZBFMJJ-MAUKXSAKSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001318403746 1107984774 /nfs/dbraw/zinc/98/47/74/1107984774.db2.gz VNIBNFFWGKCLEF-YJBOKZPZSA-N 0 1 316.420 3.079 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001318422735 1107994222 /nfs/dbraw/zinc/99/42/22/1107994222.db2.gz AVAHBKWSVMXALP-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001318424298 1107995221 /nfs/dbraw/zinc/99/52/21/1107995221.db2.gz IUWQUNMLHQVARU-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001318429785 1107997834 /nfs/dbraw/zinc/99/78/34/1107997834.db2.gz SWICBQNUFIVVMZ-ROUUACIJSA-N 0 1 304.478 3.315 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001318429783 1107998742 /nfs/dbraw/zinc/99/87/42/1107998742.db2.gz SWICBQNUFIVVMZ-QZTJIDSGSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001318429963 1107999058 /nfs/dbraw/zinc/99/90/58/1107999058.db2.gz VLHCSVLUUHUKSN-NVXWUHKLSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ccccc2F)CC1 ZINC001323554496 1108010490 /nfs/dbraw/zinc/01/04/90/1108010490.db2.gz IREJRVGTWFGDHH-UHFFFAOYSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)CC1CCCC1 ZINC001263341030 1108022640 /nfs/dbraw/zinc/02/26/40/1108022640.db2.gz ZXFBJSARGOSOBP-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)cc1 ZINC001263375774 1108028978 /nfs/dbraw/zinc/02/89/78/1108028978.db2.gz XZVPPZFJYHSEMR-AWEZNQCLSA-N 0 1 302.337 3.011 20 30 DGEDMN N#C[C@@H](C(=O)c1cn[nH]c1-c1ccsc1)c1cccc(F)n1 ZINC001263381299 1108032979 /nfs/dbraw/zinc/03/29/79/1108032979.db2.gz QLYNYAZMJHTZNP-SNVBAGLBSA-N 0 1 312.329 3.162 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001318486281 1108048106 /nfs/dbraw/zinc/04/81/06/1108048106.db2.gz WVAIOLWJPFVVQI-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@H](C)c2ccccc2)C1 ZINC001318491700 1108052994 /nfs/dbraw/zinc/05/29/94/1108052994.db2.gz KQSJIHLELYKDFA-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](CCCC)C(C)C)C1 ZINC001318491683 1108053424 /nfs/dbraw/zinc/05/34/24/1108053424.db2.gz KPEAERUIYAGQCB-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CCC)CCC1 ZINC001323693417 1108054324 /nfs/dbraw/zinc/05/43/24/1108054324.db2.gz MZFMZWLILQWNSF-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN CC[C@H](NCC(=O)Nc1cccc(C#N)c1)c1ccc(F)cc1 ZINC001323729217 1108067204 /nfs/dbraw/zinc/06/72/04/1108067204.db2.gz LRBDHBPQYUFMOH-KRWDZBQOSA-N 0 1 311.360 3.377 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCOC ZINC001323789575 1108084400 /nfs/dbraw/zinc/08/44/00/1108084400.db2.gz YVOWYWQMTJTTRZ-SCTDSRPQSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1CC(C(C)(C)C)C1 ZINC001318608681 1108123874 /nfs/dbraw/zinc/12/38/74/1108123874.db2.gz XRCCPFSGYLTPHK-RUXDESIVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C/C=C/Cc2ccccc2)C1 ZINC001323945341 1108126076 /nfs/dbraw/zinc/12/60/76/1108126076.db2.gz BKEATALAMHYOMI-CMDGGOBGSA-N 0 1 312.457 3.284 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(c3ccccc3)CC2)C1 ZINC001324018339 1108149799 /nfs/dbraw/zinc/14/97/99/1108149799.db2.gz VKIXJUSEJAUJTN-MRXNPFEDSA-N 0 1 312.457 3.216 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001324029325 1108151511 /nfs/dbraw/zinc/15/15/11/1108151511.db2.gz MZHBLFMWYURQBK-HNNXBMFYSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2cccc(F)c2F)C1 ZINC001324029188 1108151626 /nfs/dbraw/zinc/15/16/26/1108151626.db2.gz KMSQKROESDMDJN-UHFFFAOYSA-N 0 1 322.399 3.006 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1nccs1 ZINC001263814394 1108222903 /nfs/dbraw/zinc/22/29/03/1108222903.db2.gz DLPKZJNWVWMDMX-CQSZACIVSA-N 0 1 309.479 3.260 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1C ZINC001263815000 1108224714 /nfs/dbraw/zinc/22/47/14/1108224714.db2.gz PFEBGBVHRULVSG-SJORKVTESA-N 0 1 300.446 3.207 20 30 DGEDMN CCCC1CCN([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC001318908836 1108235570 /nfs/dbraw/zinc/23/55/70/1108235570.db2.gz YVJAMHXRPYQFPU-HNNXBMFYSA-N 0 1 305.466 3.230 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)C[C@H]1CCN1CC)c1ccccc1 ZINC001263823177 1108235850 /nfs/dbraw/zinc/23/58/50/1108235850.db2.gz IVXDUKDZFFKZPC-QZTJIDSGSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(F)cc1)C1CCCC1 ZINC001324451537 1108293179 /nfs/dbraw/zinc/29/31/79/1108293179.db2.gz PSSHABVTGRPCKU-MRXNPFEDSA-N 0 1 324.827 3.456 20 30 DGEDMN COC(=O)c1cccc(/C=C/C(=O)c2cc(F)ccc2O)c1 ZINC001319123585 1108320655 /nfs/dbraw/zinc/32/06/55/1108320655.db2.gz LLUSKZSQERMOJE-FNORWQNLSA-N 0 1 300.285 3.214 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C(C)=C\CC)CC2 ZINC001319140385 1108331870 /nfs/dbraw/zinc/33/18/70/1108331870.db2.gz VKYVFQATNKRSQR-RZNTYIFUSA-N 0 1 310.869 3.410 20 30 DGEDMN CN(C)c1cccc(Cl)c1C=[NH+][N-]c1cncc(Cl)n1 ZINC001324578250 1108356178 /nfs/dbraw/zinc/35/61/78/1108356178.db2.gz VNNUOIPXRQIMDG-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(Cc3ccccc3)CCC2)[C@H]1CC ZINC001264055625 1108357135 /nfs/dbraw/zinc/35/71/35/1108357135.db2.gz HSBKXILNICYYKF-RBUKOAKNSA-N 0 1 324.468 3.002 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)sc1C ZINC001264183006 1108420116 /nfs/dbraw/zinc/42/01/16/1108420116.db2.gz DYHORUIJABLUHT-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2nc(C)cs2)CCCC1 ZINC001319368852 1108432363 /nfs/dbraw/zinc/43/23/63/1108432363.db2.gz KEJIMLSRNFKKLK-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C#CCN(Cc1cnn(Cc2ccccc2Cl)c1)CC1CC1 ZINC001324775978 1108461603 /nfs/dbraw/zinc/46/16/03/1108461603.db2.gz LQDRJNKETKLOMM-UHFFFAOYSA-N 0 1 313.832 3.430 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)C1CCCCCCC1 ZINC001264365449 1108473144 /nfs/dbraw/zinc/47/31/44/1108473144.db2.gz XYEQSOWQWAWXQD-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001324852980 1108486556 /nfs/dbraw/zinc/48/65/56/1108486556.db2.gz RWSBIHZWXKNJHS-FICVDOATSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1scnc1C(C)C ZINC001319546946 1108519427 /nfs/dbraw/zinc/51/94/27/1108519427.db2.gz NZHONQVEDNVYNS-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1sccc1C ZINC001264379028 1108493353 /nfs/dbraw/zinc/49/33/53/1108493353.db2.gz XKQSXUNOBXCDHQ-MRXNPFEDSA-N 0 1 320.502 3.488 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H]3CCCC[C@H]3C2)c1C#N ZINC001319503286 1108496936 /nfs/dbraw/zinc/49/69/36/1108496936.db2.gz ZNZXOTJZEHSKLJ-CABCVRRESA-N 0 1 312.413 3.357 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CCCN(Cc2cncc(C)c2)C1 ZINC001324919329 1108511176 /nfs/dbraw/zinc/51/11/76/1108511176.db2.gz FEDSHTWHEGEQOG-LJQANCHMSA-N 0 1 315.461 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001264428162 1108525610 /nfs/dbraw/zinc/52/56/10/1108525610.db2.gz NIOWHMZILOTEKO-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2ccccc2n1 ZINC001319564408 1108529584 /nfs/dbraw/zinc/52/95/84/1108529584.db2.gz ZVPQQLYFGLOWRF-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2Cc2cc(C#N)ccc2F)n1 ZINC001319609239 1108553407 /nfs/dbraw/zinc/55/34/07/1108553407.db2.gz VXYBYUKZDLWRQE-KRWDZBQOSA-N 0 1 324.403 3.477 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CC(C)(C)C ZINC001264541321 1108568090 /nfs/dbraw/zinc/56/80/90/1108568090.db2.gz XUXOZSXTVFOTKZ-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cc[nH]c1CC ZINC001264548210 1108569512 /nfs/dbraw/zinc/56/95/12/1108569512.db2.gz GXMDCAIQDWYFPM-OCCSQVGLSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001264545762 1108570201 /nfs/dbraw/zinc/57/02/01/1108570201.db2.gz ZHZWKXWYFLCIBJ-SOKJMZBUSA-N 0 1 324.896 3.392 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)C2CCC2)C1 ZINC001325052561 1108570843 /nfs/dbraw/zinc/57/08/43/1108570843.db2.gz ZEKPRWOTXFXSEX-AWEZNQCLSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1cnoc1C)C1CCCCC1 ZINC001319649617 1108575626 /nfs/dbraw/zinc/57/56/26/1108575626.db2.gz ZUJGUBNHRWNSBC-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](F)CC2CCCCC2)[C@H]1CC ZINC001264623891 1108597686 /nfs/dbraw/zinc/59/76/86/1108597686.db2.gz SVRYEPLMANBSBF-FGTMMUONSA-N 0 1 322.468 3.287 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCCC)c1ccccc1CC ZINC001325359975 1108631276 /nfs/dbraw/zinc/63/12/76/1108631276.db2.gz AIUBTXKUNISBMP-SFHVURJKSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1ccc(C(C)C)cn1 ZINC001264743063 1108640710 /nfs/dbraw/zinc/64/07/10/1108640710.db2.gz QZNXVZNSYKQJRL-KRWDZBQOSA-N 0 1 315.461 3.318 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)[C@@H](C)c1cc(F)ccc1F ZINC001264981680 1108688883 /nfs/dbraw/zinc/68/88/83/1108688883.db2.gz OPZUQEZVIDPQRJ-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)[C@H](C)c1cc(F)ccc1F ZINC001264981681 1108689654 /nfs/dbraw/zinc/68/96/54/1108689654.db2.gz OPZUQEZVIDPQRJ-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001265196114 1108768700 /nfs/dbraw/zinc/76/87/00/1108768700.db2.gz YOLHVKXSNKCWFM-OAHLLOKOSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@H](C)c2cccc(OC)c2)C1 ZINC001265205177 1108776434 /nfs/dbraw/zinc/77/64/34/1108776434.db2.gz DGDIHYMCVYCYKG-WBVHZDCISA-N 0 1 316.445 3.303 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCN(Cc2ccc(C(C)C)cc2)C1 ZINC001265281510 1108805073 /nfs/dbraw/zinc/80/50/73/1108805073.db2.gz LOXNVLJFJFXRGM-LJQANCHMSA-N 0 1 312.457 3.160 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001265297520 1108810768 /nfs/dbraw/zinc/81/07/68/1108810768.db2.gz SJCBEKYROKIGNE-SFHVURJKSA-N 0 1 312.457 3.467 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001265310814 1108822159 /nfs/dbraw/zinc/82/21/59/1108822159.db2.gz VRZYQKWBHBQBBW-ZWKOTPCHSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCC1(C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)CCC1 ZINC001265443036 1108873053 /nfs/dbraw/zinc/87/30/53/1108873053.db2.gz NLYJXRBOJPODHZ-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN CCCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001265511408 1108895753 /nfs/dbraw/zinc/89/57/53/1108895753.db2.gz KYBRQELZWZWNPJ-IHWFROFDSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN(Cc2ccc(F)c(F)c2)C1 ZINC001265577343 1108917673 /nfs/dbraw/zinc/91/76/73/1108917673.db2.gz HCCFNGBSJASWBY-HNNXBMFYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001265589534 1108923240 /nfs/dbraw/zinc/92/32/40/1108923240.db2.gz ULEDDXKNWQEGKB-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)c1cccc(F)c1 ZINC001265653615 1108950949 /nfs/dbraw/zinc/95/09/49/1108950949.db2.gz DTVOWUFWSNVMNS-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001265679440 1108962091 /nfs/dbraw/zinc/96/20/91/1108962091.db2.gz DIFRNZFPRJHLGL-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CNCc1csc(C)n1 ZINC001265679563 1108962941 /nfs/dbraw/zinc/96/29/41/1108962941.db2.gz FIHSAEGHPRHVQA-INIZCTEOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2c([nH]1)c(F)ccc2C ZINC001265792699 1109006558 /nfs/dbraw/zinc/00/65/58/1109006558.db2.gz STIAQZPWYJEYAV-NSHDSACASA-N 0 1 323.799 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(F)F)cc1 ZINC001265841406 1109022524 /nfs/dbraw/zinc/02/25/24/1109022524.db2.gz NOLKPKOMFCNDGG-JTQLQIEISA-N 0 1 302.752 3.085 20 30 DGEDMN CCC[C@H](CC)C(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001265844226 1109023034 /nfs/dbraw/zinc/02/30/34/1109023034.db2.gz WTMZLCGRLANVEG-HIFRSBDPSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2ocnc2C)CCCC1 ZINC001265986183 1109057085 /nfs/dbraw/zinc/05/70/85/1109057085.db2.gz ZKNCZOBEVFKGFX-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001266059810 1109075836 /nfs/dbraw/zinc/07/58/36/1109075836.db2.gz NAODTHABUPBSNZ-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001266063446 1109076512 /nfs/dbraw/zinc/07/65/12/1109076512.db2.gz JSWJSPOBSAPBLK-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC001266069685 1109079592 /nfs/dbraw/zinc/07/95/92/1109079592.db2.gz ZJNRTRBEOJOMOV-KBPBESRZSA-N 0 1 307.463 3.016 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@H]1CCCN1Cc1ncc(C)o1 ZINC001266220592 1109131103 /nfs/dbraw/zinc/13/11/03/1109131103.db2.gz XLOUMWAZCJMIMY-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NCc2nc(C)sc2C)C1 ZINC001279280758 1109144392 /nfs/dbraw/zinc/14/43/92/1109144392.db2.gz PIEYGSZWAFHMGX-UHFFFAOYSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001279288221 1109144940 /nfs/dbraw/zinc/14/49/40/1109144940.db2.gz NYJTXOHOYVWNPS-KVULBXGLSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C1CC=CC1 ZINC001279297640 1109145677 /nfs/dbraw/zinc/14/56/77/1109145677.db2.gz MFCQJOLYHLFQPJ-UHFFFAOYSA-N 0 1 318.848 3.324 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CN(Cc3sccc3Cl)C[C@H]2C1 ZINC001279347834 1109148467 /nfs/dbraw/zinc/14/84/67/1109148467.db2.gz UADWSLDKCMNRLL-BETUJISGSA-N 0 1 324.877 3.258 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H](C)C3CCC3)cccc2C1 ZINC001280427222 1109201719 /nfs/dbraw/zinc/20/17/19/1109201719.db2.gz WOLBNXQKRYTQEY-INIZCTEOSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(F)c(C)c2)CCC1 ZINC001280502511 1109214581 /nfs/dbraw/zinc/21/45/81/1109214581.db2.gz RGHTYUNHUOEGCY-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1cc(C)ns1 ZINC001280856699 1109263713 /nfs/dbraw/zinc/26/37/13/1109263713.db2.gz MYHKQALCPYIMFO-QGZVFWFLSA-N 0 1 323.506 3.334 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCC2(CN(CCCCC)C2)O1 ZINC001280930146 1109275285 /nfs/dbraw/zinc/27/52/85/1109275285.db2.gz QMBJZAUAPYVXEX-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1Cc2ccccc2CN1CC=C(C)C ZINC001281062711 1109298961 /nfs/dbraw/zinc/29/89/61/1109298961.db2.gz JESISPZFZPSZDU-IBGZPJMESA-N 0 1 312.457 3.462 20 30 DGEDMN CC(C)=CC(=O)N[C@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001281536177 1109374180 /nfs/dbraw/zinc/37/41/80/1109374180.db2.gz FGZNKSFNLXSLMB-IBGZPJMESA-N 0 1 324.468 3.221 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)CC2(C)CC2)CCN1CC#Cc1ccccc1 ZINC001281668896 1109392093 /nfs/dbraw/zinc/39/20/93/1109392093.db2.gz FWCNVFOJSIQJOO-HKUYNNGSSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C/C=C(/C)C=C ZINC001281776396 1109407264 /nfs/dbraw/zinc/40/72/64/1109407264.db2.gz NCRWMDRARWOURR-OWMRDYPQSA-N 0 1 322.452 3.248 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC1(C)CCCC1 ZINC001281901930 1109414086 /nfs/dbraw/zinc/41/40/86/1109414086.db2.gz VUOFBSAXGOZZNZ-UYAOXDASSA-N 0 1 324.468 3.352 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001282124476 1109453887 /nfs/dbraw/zinc/45/38/87/1109453887.db2.gz ONESJCCPSCPHDQ-AMNUONFKSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCCc1ccsc1 ZINC001282146345 1109457892 /nfs/dbraw/zinc/45/78/92/1109457892.db2.gz KIPYUQMZWGYRCP-CHWSQXEVSA-N 0 1 314.882 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1cccc(Cl)c1 ZINC001282185827 1109465621 /nfs/dbraw/zinc/46/56/21/1109465621.db2.gz PWPATPGMSBIBHY-NEPJUHHUSA-N 0 1 315.244 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2ccccc2[nH]1 ZINC001282225645 1109471005 /nfs/dbraw/zinc/47/10/05/1109471005.db2.gz BEARUYPNKKQXEO-NWDGAFQWSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CC(C)(C)CNCc1cscn1 ZINC001282312739 1109482824 /nfs/dbraw/zinc/48/28/24/1109482824.db2.gz GYQXRXNPSTWVLH-UHFFFAOYSA-N 0 1 323.506 3.320 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1cc(C)ccc1OC ZINC001282388160 1109499974 /nfs/dbraw/zinc/49/99/74/1109499974.db2.gz FDUXSJPETYGABC-MRXNPFEDSA-N 0 1 318.461 3.296 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001282455673 1109514935 /nfs/dbraw/zinc/51/49/35/1109514935.db2.gz QNRZHXXCQFASHV-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001282736477 1109558912 /nfs/dbraw/zinc/55/89/12/1109558912.db2.gz CUDKGETVBXPZOE-HZPDHXFCSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001282741127 1109560005 /nfs/dbraw/zinc/56/00/05/1109560005.db2.gz QVFQDYPHCKZNJN-CVEARBPZSA-N 0 1 300.446 3.111 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCC2CCCCC2)C(C)(C)C1 ZINC001282834222 1109573096 /nfs/dbraw/zinc/57/30/96/1109573096.db2.gz PYXPXVMGZXZSLC-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@](C)(CC)CCC)[C@@H]2C1 ZINC001282889852 1109579619 /nfs/dbraw/zinc/57/96/19/1109579619.db2.gz RVQYVDBQEOPVOA-MPTYRVRUSA-N 0 1 312.885 3.249 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@]2(C)CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001282985703 1109586046 /nfs/dbraw/zinc/58/60/46/1109586046.db2.gz QDFHNCSDANRAKI-GMPQZDMWSA-N 0 1 322.880 3.103 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001283643981 1109665157 /nfs/dbraw/zinc/66/51/57/1109665157.db2.gz WTBOFZBUYIEPGG-RHSMWYFYSA-N 0 1 320.864 3.369 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2oc(C(C)C)nc2C)[C@@H]1C ZINC001283866274 1109691653 /nfs/dbraw/zinc/69/16/53/1109691653.db2.gz JYDXEWJOXNINJO-LSDHHAIUSA-N 0 1 319.449 3.152 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(C)(C)CC(F)F)[C@H]1C ZINC001283903825 1109697359 /nfs/dbraw/zinc/69/73/59/1109697359.db2.gz CXZSVMWSCYDFJA-NWDGAFQWSA-N 0 1 322.827 3.389 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001284130240 1109743168 /nfs/dbraw/zinc/74/31/68/1109743168.db2.gz GZPRTXKAGSVCDZ-OLZOCXBDSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1ccco1)C(C)(C)C ZINC001284625918 1109827445 /nfs/dbraw/zinc/82/74/45/1109827445.db2.gz UPHATQYEVZHDTA-GXTWGEPZSA-N 0 1 312.841 3.256 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(OC)cc1)C(C)(C)C ZINC001284643920 1109831677 /nfs/dbraw/zinc/83/16/77/1109831677.db2.gz RHQBGCMTTMQKIE-HNNXBMFYSA-N 0 1 324.852 3.182 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(C)(C)C)C1 ZINC001316642316 1110042872 /nfs/dbraw/zinc/04/28/72/1110042872.db2.gz LSMHWJBSCHTYCH-ZWKOTPCHSA-N 0 1 312.457 3.030 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3ccnc(Cl)c3)[nH]c2c1 ZINC001287394860 1110111337 /nfs/dbraw/zinc/11/13/37/1110111337.db2.gz KHUYJZFHAQORPS-DUXPYHPUSA-N 0 1 323.743 3.135 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1c(Cl)cccc1OC ZINC001317258545 1111107824 /nfs/dbraw/zinc/10/78/24/1111107824.db2.gz SFBJDZVAXIFWPR-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(-c3ccon3)c2)cc1O ZINC001304006199 1111161771 /nfs/dbraw/zinc/16/17/71/1111161771.db2.gz XJXQECMLIYGOKN-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cn[nH]c2-c2ccncc2)c(Cl)c1 ZINC001304273189 1111172621 /nfs/dbraw/zinc/17/26/21/1111172621.db2.gz VAZHNPWJBOQPCD-UHFFFAOYSA-N 0 1 322.755 3.359 20 30 DGEDMN C[C@H]1CCCC[C@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001306906835 1111248553 /nfs/dbraw/zinc/24/85/53/1111248553.db2.gz HFKXDVFWRHJJSP-JSGCOSHPSA-N 0 1 323.400 3.498 20 30 DGEDMN C#CCN1CCC(Nc2cc(C(C)C)nc(C(C)C)n2)CC1 ZINC001307213640 1111279955 /nfs/dbraw/zinc/27/99/55/1111279955.db2.gz KOFJDHHKWZKSMN-UHFFFAOYSA-N 0 1 300.450 3.233 20 30 DGEDMN CN(Cc1cc(F)c(F)c(F)c1)C[C@H](O)c1cccc(C#N)c1 ZINC001307608270 1111319691 /nfs/dbraw/zinc/31/96/91/1111319691.db2.gz ITEIAPRPKDQVLL-INIZCTEOSA-N 0 1 320.314 3.141 20 30 DGEDMN CC(C)=CCCN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC001307675471 1111326434 /nfs/dbraw/zinc/32/64/34/1111326434.db2.gz OJDOABXVJQCOIB-GOSISDBHSA-N 0 1 301.409 3.364 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2ccsc2)CCCC1 ZINC001317473286 1111372747 /nfs/dbraw/zinc/37/27/47/1111372747.db2.gz SEKPEYDZJYJSLA-UHFFFAOYSA-N 0 1 306.475 3.433 20 30 DGEDMN Cc1ccc(CNCc2ccc(Br)nc2)cc1C#N ZINC001308517836 1111436742 /nfs/dbraw/zinc/43/67/42/1111436742.db2.gz RLSNCOQYXGCONR-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN CCOC(=O)CN(CCc1ccccc1)C[C@@H](C)CCC#N ZINC001308633212 1111453644 /nfs/dbraw/zinc/45/36/44/1111453644.db2.gz HHGCXSXBENRVMP-INIZCTEOSA-N 0 1 302.418 3.034 20 30 DGEDMN Cc1ccc(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)c(F)c1 ZINC001308794207 1111475484 /nfs/dbraw/zinc/47/54/84/1111475484.db2.gz VFCSPLUYVIWBOQ-INIZCTEOSA-N 0 1 311.360 3.054 20 30 DGEDMN O=C(OCc1ccccc1)[C@H]1C[C@H](NCC#Cc2ccccc2)C1 ZINC001308908772 1111492137 /nfs/dbraw/zinc/49/21/37/1111492137.db2.gz AAMCWVGORGARQC-MXVIHJGJSA-N 0 1 319.404 3.150 20 30 DGEDMN C=CCNC(=O)N[C@H](CN(C)C)c1ccc(Cl)c(Cl)c1 ZINC001313121804 1111695710 /nfs/dbraw/zinc/69/57/10/1111695710.db2.gz FCEVBGFIFITWGI-CYBMUJFWSA-N 0 1 316.232 3.081 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1nc(C)c(C)s1)C1CC1 ZINC001317018909 1111737266 /nfs/dbraw/zinc/73/72/66/1111737266.db2.gz MSKRAPRSQWPAMR-OAHLLOKOSA-N 0 1 321.490 3.101 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H]1C=CCCC1 ZINC001316802974 1111739918 /nfs/dbraw/zinc/73/99/18/1111739918.db2.gz UCQKYABZKXASCS-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cnc(Cl)s1 ZINC001329051580 1111742275 /nfs/dbraw/zinc/74/22/75/1111742275.db2.gz YIHIAQVYJGUHLR-WDEREUQCSA-N 0 1 315.870 3.136 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)[C@@H]1C ZINC001316781946 1111766383 /nfs/dbraw/zinc/76/63/83/1111766383.db2.gz SKBCPBDKOSXRAH-QAPCUYQASA-N 0 1 324.424 3.171 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H]1C[C@H](C(C)C)c2ccccc21 ZINC000278626781 214285861 /nfs/dbraw/zinc/28/58/61/214285861.db2.gz GPXJJSUUYXYABN-SJORKVTESA-N 0 1 307.459 3.061 20 30 DGEDMN CCc1c(NC(=O)[C@@H]2C[C@@]23CCOC3)[nH]nc1-c1ccncc1 ZINC000329753719 529493640 /nfs/dbraw/zinc/49/36/40/529493640.db2.gz WXOQEJDEANVMHZ-SUMWQHHRSA-N 0 1 312.373 3.049 20 30 DGEDMN CN(CC(=O)Nc1cc(Cl)ccc1C#N)CC1CCCC1 ZINC000299394069 529837529 /nfs/dbraw/zinc/83/75/29/529837529.db2.gz HQTOJKJVOMBXQE-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN CN(CCOCC(F)(F)C(F)(F)F)CCC(F)(F)F ZINC000346285613 529921215 /nfs/dbraw/zinc/92/12/15/529921215.db2.gz UGDNFHAVMKUYSZ-UHFFFAOYSA-N 0 1 303.193 3.085 20 30 DGEDMN COc1ccc(-c2n[nH]c(SC[C@H](C#N)CCC#N)n2)cc1 ZINC000066399583 432016089 /nfs/dbraw/zinc/01/60/89/432016089.db2.gz VYTFFMCEHSMFAG-NSHDSACASA-N 0 1 313.386 3.016 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000172385059 198089088 /nfs/dbraw/zinc/08/90/88/198089088.db2.gz MLFYXWKYVJIGIT-SFHVURJKSA-N 0 1 321.380 3.015 20 30 DGEDMN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1CC(=O)Nc1sccc1C#N ZINC000173435107 198214233 /nfs/dbraw/zinc/21/42/33/198214233.db2.gz FUNIBACSDAICIN-DYEKYZERSA-N 0 1 303.431 3.211 20 30 DGEDMN C[C@@H](NCC(=O)N1CCCc2ccccc21)c1ccc(C#N)cc1 ZINC000173998486 198278338 /nfs/dbraw/zinc/27/83/38/198278338.db2.gz VOWSXGYMUPANJQ-OAHLLOKOSA-N 0 1 319.408 3.188 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N(C)CCc1cccs1 ZINC000174156985 198299565 /nfs/dbraw/zinc/29/95/65/198299565.db2.gz RJGGCKZPINWOAV-CYBMUJFWSA-N 0 1 313.426 3.121 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)o1 ZINC000182110826 199388439 /nfs/dbraw/zinc/38/84/39/199388439.db2.gz ZKBNPGUHVHFONB-HZPDHXFCSA-N 0 1 302.761 3.424 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(-c2ccccc2OC)cc1 ZINC000179884135 199091406 /nfs/dbraw/zinc/09/14/06/199091406.db2.gz JNSLGPFGFBBMEX-HNNXBMFYSA-N 0 1 324.424 3.315 20 30 DGEDMN N#Cc1ccc(CN(Cc2cnn3ccccc23)C2CC2)cc1 ZINC000180030402 199109288 /nfs/dbraw/zinc/10/92/88/199109288.db2.gz VPTJUESCFCGDBB-UHFFFAOYSA-N 0 1 302.381 3.371 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000187704276 200147122 /nfs/dbraw/zinc/14/71/22/200147122.db2.gz DPFXPMFNTCEQEA-PEBXRYMYSA-N 0 1 312.438 3.489 20 30 DGEDMN N#Cc1ccc(O[C@H]2CC[N@@H+](CCCCc3ccccc3)C2)nc1 ZINC000428806786 238031788 /nfs/dbraw/zinc/03/17/88/238031788.db2.gz KMEVSALXJMJCNV-IBGZPJMESA-N 0 1 321.424 3.429 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(C/C=C\c3ccc(F)cc3)C2)nc1 ZINC000430175604 238069985 /nfs/dbraw/zinc/06/99/85/238069985.db2.gz CWHILZRKLLVBJU-LPQSXRFUSA-N 0 1 323.371 3.259 20 30 DGEDMN CCOc1ccc(CNCc2ccc(C#N)c(F)c2)cc1OC ZINC000404763094 269673582 /nfs/dbraw/zinc/67/35/82/269673582.db2.gz PHGHHTGMVZGPAM-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN CC(C)c1nc([C@H](C)N[C@@H](C)c2ccc(C#N)c(F)c2)n[nH]1 ZINC000271983634 209359375 /nfs/dbraw/zinc/35/93/75/209359375.db2.gz XOFODHQOMCBXBO-QWRGUYRKSA-N 0 1 301.369 3.351 20 30 DGEDMN N#CCC1(CNC(=O)c2cn[nH]c2-c2ccc(Cl)s2)CC1 ZINC000435953382 238374376 /nfs/dbraw/zinc/37/43/76/238374376.db2.gz OEWOTLRCNJXILA-UHFFFAOYSA-N 0 1 320.805 3.215 20 30 DGEDMN CC[C@H]1CCN([C@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000246137658 432098207 /nfs/dbraw/zinc/09/82/07/432098207.db2.gz ASHNPVJQUMCZDZ-NEPJUHHUSA-N 0 1 305.809 3.271 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cccc(C)c2C#N)cc1C ZINC000441377895 239044591 /nfs/dbraw/zinc/04/45/91/239044591.db2.gz BUNMTSMOWFFKND-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN C[C@H](N[C@@H]1CCN(Cc2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000271832325 209252575 /nfs/dbraw/zinc/25/25/75/209252575.db2.gz RAQUHWTUKURQDS-HNAYVOBHSA-N 0 1 319.408 3.010 20 30 DGEDMN C[C@H]1c2cccn2CCN1C([O-])=[NH+]c1nc2c(s1)CCCC2 ZINC000328809924 291323983 /nfs/dbraw/zinc/32/39/83/291323983.db2.gz DZJVZBYAYZFYFT-NSHDSACASA-N 0 1 316.430 3.446 20 30 DGEDMN Cc1cccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)c1C ZINC000271807070 209231756 /nfs/dbraw/zinc/23/17/56/209231756.db2.gz QHIFCWCDJPGLKX-UHFFFAOYSA-N 0 1 319.408 3.393 20 30 DGEDMN COCCOc1cccc(CNCc2ccc(C#N)c(F)c2)c1 ZINC000449734043 240390197 /nfs/dbraw/zinc/39/01/97/240390197.db2.gz LOFROAMPWCOGDF-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN COC[C@@]1(C)CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000451204555 241045541 /nfs/dbraw/zinc/04/55/41/241045541.db2.gz XHIVZOCBVZICLZ-INIZCTEOSA-N 0 1 310.344 3.018 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1coc(-c2cccc(Cl)c2)n1 ZINC000451600890 241186584 /nfs/dbraw/zinc/18/65/84/241186584.db2.gz SKHLHLPUDJNXBF-CABCVRRESA-N 0 1 304.777 3.428 20 30 DGEDMN C[C@H]1CCC(C)(C)N1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000452008919 241297251 /nfs/dbraw/zinc/29/72/51/241297251.db2.gz PJXQWZRCMAVUOX-NSHDSACASA-N 0 1 305.809 3.413 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)Cc1ccccc1F)c1ccccc1 ZINC000271762503 209192792 /nfs/dbraw/zinc/19/27/92/209192792.db2.gz ZYFNHWCHLICEJM-IBGZPJMESA-N 0 1 324.399 3.138 20 30 DGEDMN CCC[C@]1(C)CCCN([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000464845019 284055343 /nfs/dbraw/zinc/05/53/43/284055343.db2.gz DANROPBOYGZDJC-CGTJXYLNSA-N 0 1 307.482 3.332 20 30 DGEDMN C=C(C)CCNC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000459014242 243228534 /nfs/dbraw/zinc/22/85/34/243228534.db2.gz ZOLGBTLNGUKWCN-UHFFFAOYSA-N 0 1 321.387 3.011 20 30 DGEDMN Cc1cc(CN2CCN(c3ccccc3C)CC2)ccc1C#N ZINC000486706327 244986126 /nfs/dbraw/zinc/98/61/26/244986126.db2.gz YMWJWLSNUMZTAY-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN Cc1cc(CN2CCN(C)C[C@H]2c2ccccc2)ccc1C#N ZINC000488160589 245154014 /nfs/dbraw/zinc/15/40/14/245154014.db2.gz JWTOUNORODTTEU-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN CCN(CC(=O)NCCC(C)(C)C)[C@@H](C)c1ccc(C#N)cc1 ZINC000125750270 284283311 /nfs/dbraw/zinc/28/33/11/284283311.db2.gz SGHXFNYGLMBYNW-HNNXBMFYSA-N 0 1 315.461 3.494 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@H]1CCCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000271694938 209132378 /nfs/dbraw/zinc/13/23/78/209132378.db2.gz SGXQJJCLSMNKQX-MPGHIAIKSA-N 0 1 313.445 3.246 20 30 DGEDMN CCN(CC(=O)N1CCC[C@@H](C)C1)[C@H](C)c1ccc(C#N)cc1 ZINC000249760272 284387921 /nfs/dbraw/zinc/38/79/21/284387921.db2.gz XEEUNBSMOBUGGU-HZPDHXFCSA-N 0 1 313.445 3.200 20 30 DGEDMN N#CC(C(=O)c1cscn1)c1nc2ccccc2n1C(F)F ZINC000171402631 432130640 /nfs/dbraw/zinc/13/06/40/432130640.db2.gz VUDJPKMNHWJXNK-MRVPVSSYSA-N 0 1 318.308 3.378 20 30 DGEDMN N#C[C@H](C(=O)c1cscn1)c1nc2ccccc2n1C(F)F ZINC000171402631 432130644 /nfs/dbraw/zinc/13/06/44/432130644.db2.gz VUDJPKMNHWJXNK-MRVPVSSYSA-N 0 1 318.308 3.378 20 30 DGEDMN COCCN(C/C=C/c1ccncc1)Cc1ccc(C#N)cc1 ZINC000494918999 246210599 /nfs/dbraw/zinc/21/05/99/246210599.db2.gz GCAACVZWGAXDNS-NSCUHMNNSA-N 0 1 307.397 3.115 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000190269718 432138742 /nfs/dbraw/zinc/13/87/42/432138742.db2.gz CMTZMPJIZOUEPW-DNVCBOLYSA-N 0 1 300.446 3.152 20 30 DGEDMN C[C@@H]1CCN([C@H]2CCN(c3sccc3C#N)C2=O)C[C@@H](C)C1 ZINC000246495996 432138872 /nfs/dbraw/zinc/13/88/72/432138872.db2.gz AYBTXNHFJAZRKV-IPYPFGDCSA-N 0 1 317.458 3.093 20 30 DGEDMN Cn1cc(-c2nc3ccc(Br)cc3[nH]2)cc1C#N ZINC000190329996 432144966 /nfs/dbraw/zinc/14/49/66/432144966.db2.gz LGWZHQZMJUIFOG-UHFFFAOYSA-N 0 1 301.147 3.203 20 30 DGEDMN N#Cc1cccc(CN2CCC(Oc3cccc(C#N)c3)CC2)c1 ZINC000104713903 194029146 /nfs/dbraw/zinc/02/91/46/194029146.db2.gz KYJNTYVHMNBKCM-UHFFFAOYSA-N 0 1 317.392 3.473 20 30 DGEDMN CC[C@H](C)c1ccc(S(=O)(=O)Nc2ccc(C#N)cn2)cc1 ZINC000110121973 194311536 /nfs/dbraw/zinc/31/15/36/194311536.db2.gz ZDJLWWDRFUNMNT-LBPRGKRZSA-N 0 1 315.398 3.268 20 30 DGEDMN C=CCOc1ccc(CN[C@@H]2CCCc3c[nH]nc32)cc1OC ZINC000268861305 207029317 /nfs/dbraw/zinc/02/93/17/207029317.db2.gz SCGZPJAKRWJGBR-OAHLLOKOSA-N 0 1 313.401 3.150 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cc(F)cc2[nH]cnc21 ZINC000056478843 184013350 /nfs/dbraw/zinc/01/33/50/184013350.db2.gz BGSWCTJLFDBTQT-UHFFFAOYSA-N 0 1 324.315 3.225 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CCSC(C)(C)CC1 ZINC000336859156 253010935 /nfs/dbraw/zinc/01/09/35/253010935.db2.gz FNGHJGBCYJSVCR-CYBMUJFWSA-N 0 1 317.458 3.103 20 30 DGEDMN Cc1ccccc1[C@H]1CCN(CC(=O)Nc2cccc(C#N)c2)C1 ZINC000337703522 253162237 /nfs/dbraw/zinc/16/22/37/253162237.db2.gz YMERKQCBBDURLN-KRWDZBQOSA-N 0 1 319.408 3.295 20 30 DGEDMN Cc1c(C#N)cccc1NS(=O)(=O)c1cnc2ccccc2c1 ZINC000337852256 253184231 /nfs/dbraw/zinc/18/42/31/253184231.db2.gz JSDGFFCTKWAGKU-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000338134995 253229599 /nfs/dbraw/zinc/22/95/99/253229599.db2.gz LEAUNEAUBHKALI-UHFFFAOYSA-N 0 1 310.401 3.160 20 30 DGEDMN CSc1ccccc1[C@@H](C)NCC(=O)N[C@](C)(C#N)C(C)C ZINC000282175352 533056942 /nfs/dbraw/zinc/05/69/42/533056942.db2.gz VJEGIZWKPQEBGS-CXAGYDPISA-N 0 1 319.474 3.114 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)[C@@H](c2ccccc2)C1 ZINC000252733664 285104932 /nfs/dbraw/zinc/10/49/32/285104932.db2.gz BBNCYIPUZIXTQN-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN CC(=O)c1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000338347099 253269645 /nfs/dbraw/zinc/26/96/45/253269645.db2.gz FTNDLGVZLJSVIF-ZDUSSCGKSA-N 0 1 321.380 3.050 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)c1c[nH]c2ncccc12 ZINC000338363227 253274227 /nfs/dbraw/zinc/27/42/27/253274227.db2.gz QYCZCWUTGFICBX-QWHCGFSZSA-N 0 1 318.380 3.357 20 30 DGEDMN COc1ccc(C#N)cc1NC1CCN(Cc2ccccn2)CC1 ZINC000272995333 210376259 /nfs/dbraw/zinc/37/62/59/210376259.db2.gz YBCXHVZYOCFTGX-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN CCCCOC1CCN(Cc2ccc(OC)c(C#N)c2)CC1 ZINC000340196100 253578095 /nfs/dbraw/zinc/57/80/95/253578095.db2.gz RUYJJSUOFKRGPB-UHFFFAOYSA-N 0 1 302.418 3.348 20 30 DGEDMN CC[C@H](CO)N(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000342635282 254023108 /nfs/dbraw/zinc/02/31/08/254023108.db2.gz JTZJOCLMQOHSEA-GOSISDBHSA-N 0 1 312.388 3.470 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CC[C@@H](C(C)(C)C)C2)c(C#N)c1C ZINC000344183464 254236583 /nfs/dbraw/zinc/23/65/83/254236583.db2.gz ARAKUYFXGJJQOD-TZMCWYRMSA-N 0 1 317.433 3.463 20 30 DGEDMN O[C@@H](C[C@@H]1CCCN1CC#Cc1ccc(F)cc1)c1ccco1 ZINC000156902889 197149457 /nfs/dbraw/zinc/14/94/57/197149457.db2.gz CSVMTYKKUWCHJZ-ROUUACIJSA-N 0 1 313.372 3.358 20 30 DGEDMN CCc1onc(C)c1NC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000345249423 254345695 /nfs/dbraw/zinc/34/56/95/254345695.db2.gz LZFBEOIWCJILSW-UHFFFAOYSA-N 0 1 322.324 3.324 20 30 DGEDMN N#Cc1ccccc1OCC(=O)Nc1cc(Cl)c(O)cc1F ZINC000345645377 254383122 /nfs/dbraw/zinc/38/31/22/254383122.db2.gz TYCNNWDVYOFORD-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN COc1csc(C(=O)[C@@H](C#N)c2nc3ccccc3n2C)c1 ZINC000177588205 533168705 /nfs/dbraw/zinc/16/87/05/533168705.db2.gz JUHLBDGGUUGAHT-LLVKDONJSA-N 0 1 311.366 3.133 20 30 DGEDMN COc1csc(C(=O)C(C#N)c2nc3ccccc3n2C)c1 ZINC000177588205 533168715 /nfs/dbraw/zinc/16/87/15/533168715.db2.gz JUHLBDGGUUGAHT-LLVKDONJSA-N 0 1 311.366 3.133 20 30 DGEDMN Cc1oc(NC(=O)CN[C@H](C)c2ccccc2C)c(C#N)c1C ZINC000120359239 195151383 /nfs/dbraw/zinc/15/13/83/195151383.db2.gz UGAWYFIAEWPNOE-CYBMUJFWSA-N 0 1 311.385 3.366 20 30 DGEDMN CCO[C@H]1C[C@H](N(C)Cc2cc(C#N)ccc2F)C12CCC2 ZINC000120839846 195247663 /nfs/dbraw/zinc/24/76/63/195247663.db2.gz OHOLYRGHBOUNEY-IRXDYDNUSA-N 0 1 302.393 3.477 20 30 DGEDMN CCO[C@@H]1C[C@H](N(C)Cc2cc(C#N)ccc2F)C12CCC2 ZINC000120839674 195247879 /nfs/dbraw/zinc/24/78/79/195247879.db2.gz OHOLYRGHBOUNEY-DLBZAZTESA-N 0 1 302.393 3.477 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(OCc2nccn2C)cc1 ZINC000271556888 209009719 /nfs/dbraw/zinc/00/97/19/209009719.db2.gz WYQDXMZMFSDYFT-ZDUSSCGKSA-N 0 1 305.809 3.402 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC000305122691 285291348 /nfs/dbraw/zinc/29/13/48/285291348.db2.gz HNMYIGXWBOOXDG-NHYWBVRUSA-N 0 1 312.335 3.254 20 30 DGEDMN C=CCC1(c2nc(Cc3nnc(-c4ccccc4)[nH]3)no2)CCC1 ZINC000288875554 335058561 /nfs/dbraw/zinc/05/85/61/335058561.db2.gz HGLWCYSTKGSICC-UHFFFAOYSA-N 0 1 321.384 3.443 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@@H](c2ccccc2)C1 ZINC000324241563 533333482 /nfs/dbraw/zinc/33/34/82/533333482.db2.gz AWWPKUWORUGEAJ-MAUKXSAKSA-N 0 1 319.408 3.375 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)Cn1c2ccccc2c2ccccc21 ZINC000581734448 400082053 /nfs/dbraw/zinc/08/20/53/400082053.db2.gz LNGPBIUNTCDQJN-HZPDHXFCSA-N 0 1 321.424 3.437 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@H](C)c2ccc(C)c(C)c2)CC1 ZINC000600680052 400127914 /nfs/dbraw/zinc/12/79/14/400127914.db2.gz VYCXOUFYUBIUJO-MRXNPFEDSA-N 0 1 300.446 3.379 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2ccccc2C)CC1 ZINC000066921080 400129672 /nfs/dbraw/zinc/12/96/72/400129672.db2.gz JVUCIBJYAXZBDR-LJQANCHMSA-N 0 1 310.441 3.004 20 30 DGEDMN N#Cc1ccc(CCN2CCOC[C@H]2Cc2ccccc2)cc1 ZINC000595336259 400129969 /nfs/dbraw/zinc/12/99/69/400129969.db2.gz NYNDTFUQXAFPCF-HXUWFJFHSA-N 0 1 306.409 3.044 20 30 DGEDMN N#Cc1cccc(CNCc2cnc(-c3ccccc3)nc2)c1 ZINC000066971928 400135628 /nfs/dbraw/zinc/13/56/28/400135628.db2.gz GVLZWLXTVSOREG-UHFFFAOYSA-N 0 1 300.365 3.305 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000595404804 400140783 /nfs/dbraw/zinc/14/07/83/400140783.db2.gz OCJGFPZJUUAZKI-CVEARBPZSA-N 0 1 307.401 3.126 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000595404804 400140790 /nfs/dbraw/zinc/14/07/90/400140790.db2.gz OCJGFPZJUUAZKI-CVEARBPZSA-N 0 1 307.401 3.126 20 30 DGEDMN COc1cc2c(cc1F)CN(CCc1ccc(C#N)cc1)CC2 ZINC000595421642 400144394 /nfs/dbraw/zinc/14/43/94/400144394.db2.gz IGPHJCCLQWVJHK-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc2[nH]c(=O)oc2c1 ZINC000586789893 400157245 /nfs/dbraw/zinc/15/72/45/400157245.db2.gz MYJROCINIVCECL-JTQLQIEISA-N 0 1 311.316 3.395 20 30 DGEDMN N#Cc1cccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)c1 ZINC000609489373 400170655 /nfs/dbraw/zinc/17/06/55/400170655.db2.gz IRFLKZMOZBXLAJ-UHFFFAOYSA-N 0 1 310.744 3.018 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000595661008 400221122 /nfs/dbraw/zinc/22/11/22/400221122.db2.gz VRLAQUJUHDNQIS-AWEZNQCLSA-N 0 1 308.385 3.396 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2cc(C(=O)OC)ccc2[N+](=O)[O-])C1 ZINC000595658141 400221265 /nfs/dbraw/zinc/22/12/65/400221265.db2.gz HTBQLVAEXDUNHG-CYBMUJFWSA-N 0 1 318.373 3.170 20 30 DGEDMN C[C@H](CO)[C@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000595680372 400223967 /nfs/dbraw/zinc/22/39/67/400223967.db2.gz ZYROTWFLJIJBBY-IUODEOHRSA-N 0 1 324.371 3.143 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(CC#Cc2ccc(F)cc2)C1 ZINC000093297913 400237309 /nfs/dbraw/zinc/23/73/09/400237309.db2.gz WYCPJYYISQOTAP-INIZCTEOSA-N 0 1 317.404 3.088 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)Nc1ccccc1C#N)c1cccc(C#N)c1 ZINC000610346443 400245967 /nfs/dbraw/zinc/24/59/67/400245967.db2.gz UTSZXNVPLPJLEV-KGLIPLIRSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)Nc1ccccc1C#N ZINC000610346442 400246413 /nfs/dbraw/zinc/24/64/13/400246413.db2.gz UTSZXNVPLPJLEV-KBPBESRZSA-N 0 1 318.380 3.108 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H](C3CC3)C2)cc1Cl ZINC000588978407 400252886 /nfs/dbraw/zinc/25/28/86/400252886.db2.gz ZJJFJPMDVCCCJF-CQSZACIVSA-N 0 1 317.820 3.272 20 30 DGEDMN COc1ccc2c(c1)CCN(CCOc1ccc(C#N)cc1)C2 ZINC000595760724 400253008 /nfs/dbraw/zinc/25/30/08/400253008.db2.gz WSTGZJSDVWGKFJ-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN CCCCN(CC(=O)Nc1ccc(C#N)c(Cl)c1)C1CC1 ZINC000026450494 400257258 /nfs/dbraw/zinc/25/72/58/400257258.db2.gz MXRSFTUDZFVGRF-UHFFFAOYSA-N 0 1 305.809 3.415 20 30 DGEDMN COc1ccc(-c2cc(CN3CCC[C@@H](CC#N)C3)on2)cc1 ZINC000595608951 400203225 /nfs/dbraw/zinc/20/32/25/400203225.db2.gz DAWGFRCAUGPAMZ-AWEZNQCLSA-N 0 1 311.385 3.476 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000022818634 400204825 /nfs/dbraw/zinc/20/48/25/400204825.db2.gz BWQIAXXFDUDPJN-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN CCc1c(NC(=O)CCCCCC#N)[nH]nc1-c1ccncc1 ZINC000588311677 400210696 /nfs/dbraw/zinc/21/06/96/400210696.db2.gz OTRWKPISFVAQEF-UHFFFAOYSA-N 0 1 311.389 3.447 20 30 DGEDMN Cc1cccc(CNC(=O)CNC(C)(C)c2cccc(C#N)c2)c1 ZINC000595634428 400213502 /nfs/dbraw/zinc/21/35/02/400213502.db2.gz CEKAPTOMCBJCJJ-UHFFFAOYSA-N 0 1 321.424 3.008 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN(CCCc2ccc(Cl)cc2)CC1 ZINC000610133235 400215961 /nfs/dbraw/zinc/21/59/61/400215961.db2.gz XBNMMQWIHZNTCC-GOSISDBHSA-N 0 1 319.880 3.438 20 30 DGEDMN N#Cc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)cc1 ZINC000610162173 400217497 /nfs/dbraw/zinc/21/74/97/400217497.db2.gz DQJSTIOICUKKQD-LJQANCHMSA-N 0 1 302.381 3.286 20 30 DGEDMN N#Cc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)cc1 ZINC000610162172 400217516 /nfs/dbraw/zinc/21/75/16/400217516.db2.gz DQJSTIOICUKKQD-IBGZPJMESA-N 0 1 302.381 3.286 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000595845465 400281068 /nfs/dbraw/zinc/28/10/68/400281068.db2.gz OSCVLTJQSITHBH-GASCZTMLSA-N 0 1 315.804 3.333 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nc2cc(C(=O)OC)ccc2[nH]1 ZINC000595845956 400281274 /nfs/dbraw/zinc/28/12/74/400281274.db2.gz XUSCHGSVKAFYTA-OKILXGFUSA-N 0 1 311.385 3.033 20 30 DGEDMN N#Cc1c(F)cccc1CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000595911198 400293679 /nfs/dbraw/zinc/29/36/79/400293679.db2.gz SYFPPKYTRQCILB-HDICACEKSA-N 0 1 300.377 3.090 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccccc2)[C@H](C)CC)CC1 ZINC000068919149 400299500 /nfs/dbraw/zinc/29/95/00/400299500.db2.gz LLHOMUYVBGGTHV-QGZVFWFLSA-N 0 1 312.457 3.159 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CCCc2ccccc2C1 ZINC000102827014 400304239 /nfs/dbraw/zinc/30/42/39/400304239.db2.gz ZRXBYFJSLGCWQS-OAHLLOKOSA-N 0 1 319.408 3.334 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)c(C)c2)c(=O)c1CCC#N ZINC000601937805 400381217 /nfs/dbraw/zinc/38/12/17/400381217.db2.gz IBNGFPADUIPCME-GFCCVEGCSA-N 0 1 320.190 3.400 20 30 DGEDMN N#Cc1ccc(-n2[nH]c(C3CCC3)cc2=O)cc1C(F)(F)F ZINC000601939471 400381769 /nfs/dbraw/zinc/38/17/69/400381769.db2.gz KXXQJDUUTGTSIP-UHFFFAOYSA-N 0 1 307.275 3.470 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)c(C(F)(F)F)c2)c(=O)c1C1CC1 ZINC000601941508 400381994 /nfs/dbraw/zinc/38/19/94/400381994.db2.gz YGWDAJQQHUCQHM-CYBMUJFWSA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)c(C(F)(F)F)c2)c(=O)c1C1CC1 ZINC000601941509 400382083 /nfs/dbraw/zinc/38/20/83/400382083.db2.gz YGWDAJQQHUCQHM-ZDUSSCGKSA-N 0 1 307.275 3.326 20 30 DGEDMN C[C@@H]1CCc2c1[nH]n(-c1ccc(C#N)cc1C(F)(F)F)c2=O ZINC000601948905 400384877 /nfs/dbraw/zinc/38/48/77/400384877.db2.gz NJXSLMGAFQKJSF-PSASIEDQSA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1nccc([C@H]2CCC[N@@H+](Cc3cccc(C#N)c3F)C2)n1 ZINC000611371224 400385196 /nfs/dbraw/zinc/38/51/96/400385196.db2.gz KUFHPYQWQSBCLJ-HNNXBMFYSA-N 0 1 310.376 3.175 20 30 DGEDMN Cc1nccc([C@H]2CCCN(Cc3cccc(C#N)c3F)C2)n1 ZINC000611371224 400385201 /nfs/dbraw/zinc/38/52/01/400385201.db2.gz KUFHPYQWQSBCLJ-HNNXBMFYSA-N 0 1 310.376 3.175 20 30 DGEDMN C[C@@H]1CCc2[nH]n(-c3ccc(C#N)cc3C(F)(F)F)c(=O)c21 ZINC000601949432 400385282 /nfs/dbraw/zinc/38/52/82/400385282.db2.gz PGUXJJJFVBJDNA-OQPBUACISA-N 0 1 307.275 3.326 20 30 DGEDMN N#Cc1ccc(N2N=C3CCCC[C@H]3C2=O)c(C(F)(F)F)c1 ZINC000601950973 400385843 /nfs/dbraw/zinc/38/58/43/400385843.db2.gz XZFPVAGCVMKPPH-SNVBAGLBSA-N 0 1 307.275 3.470 20 30 DGEDMN N#Cc1ccc(-n2[nH]c3c(c2=O)CCCC3)c(C(F)(F)F)c1 ZINC000601950973 400385844 /nfs/dbraw/zinc/38/58/44/400385844.db2.gz XZFPVAGCVMKPPH-SNVBAGLBSA-N 0 1 307.275 3.470 20 30 DGEDMN C[C@@H](NCc1ccnc(C#N)c1)c1cccc(OC(F)F)c1 ZINC000611379623 400387675 /nfs/dbraw/zinc/38/76/75/400387675.db2.gz GNOIJHHUMLXYOK-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@H](c1ccccc1)N(C)C(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611388914 400390078 /nfs/dbraw/zinc/39/00/78/400390078.db2.gz UKALNQPLZBHCAB-JKSUJKDBSA-N 0 1 321.424 3.428 20 30 DGEDMN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611387380 400390434 /nfs/dbraw/zinc/39/04/34/400390434.db2.gz MOYVQLDCAOHOEH-CABCVRRESA-N 0 1 301.434 3.102 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1cccc(C#N)c1 ZINC000611388912 400390439 /nfs/dbraw/zinc/39/04/39/400390439.db2.gz UKALNQPLZBHCAB-HOTGVXAUSA-N 0 1 321.424 3.428 20 30 DGEDMN N#Cc1cc(CN2CCC(COc3ccccc3)CC2)ccn1 ZINC000610991928 400334297 /nfs/dbraw/zinc/33/42/97/400334297.db2.gz WVGLZDMUUFNICF-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN COc1ccccc1[C@@H](CO)N[C@H]1CCCc2cc(C#N)ccc21 ZINC000611394795 400392550 /nfs/dbraw/zinc/39/25/50/400392550.db2.gz FGPNQTFZBHVWTH-RBUKOAKNSA-N 0 1 322.408 3.267 20 30 DGEDMN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCN(CCC#N)CC1 ZINC000611173835 400353727 /nfs/dbraw/zinc/35/37/27/400353727.db2.gz NDPQPMPCXLGHHZ-CYBMUJFWSA-N 0 1 311.351 3.298 20 30 DGEDMN N#CCSc1ccccc1NC(=O)CN1CCC[C@@H]1C1CC1 ZINC000072606301 400392966 /nfs/dbraw/zinc/39/29/66/400392966.db2.gz MPVNNCDLIKQJPO-OAHLLOKOSA-N 0 1 315.442 3.115 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN(CCc2ccc(Cl)cc2)CC1 ZINC000590709300 400420962 /nfs/dbraw/zinc/42/09/62/400420962.db2.gz GICQURRJIZUPAG-KRWDZBQOSA-N 0 1 305.853 3.048 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NC(=O)[C@@H]1CCN1C1CCCC1 ZINC000602166643 400420028 /nfs/dbraw/zinc/42/00/28/400420028.db2.gz XVRHLRLAFJAPGH-OALUTQOASA-N 0 1 323.440 3.069 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC000596599097 400454733 /nfs/dbraw/zinc/45/47/33/400454733.db2.gz PANUVUGAJPSLTP-CQSZACIVSA-N 0 1 308.429 3.437 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)Nc1cccc(C#N)c1)c1ccc(C#N)cc1 ZINC000127482833 400503176 /nfs/dbraw/zinc/50/31/76/400503176.db2.gz PQLDXCZKKHPBQT-KGLIPLIRSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)Nc1cccc(C#N)c1 ZINC000127483119 400503348 /nfs/dbraw/zinc/50/33/48/400503348.db2.gz PQLDXCZKKHPBQT-UONOGXRCSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)Nc1cccc(C#N)c1 ZINC000127483354 400503814 /nfs/dbraw/zinc/50/38/14/400503814.db2.gz PQLDXCZKKHPBQT-ZIAGYGMSSA-N 0 1 318.380 3.108 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000127575780 400504628 /nfs/dbraw/zinc/50/46/28/400504628.db2.gz NHYXXCZQLAKPFY-HZPDHXFCSA-N 0 1 321.424 3.222 20 30 DGEDMN CCOC(=O)[C@@H](Cc1ccccc1)N(C)C[C@@H](C)CCC#N ZINC000602471399 400471103 /nfs/dbraw/zinc/47/11/03/400471103.db2.gz AFLZCJDGUCHFOG-DOTOQJQBSA-N 0 1 302.418 3.032 20 30 DGEDMN Cc1sc(NC(=O)CN2CC[C@@H](C3CCC3)C2)c(C#N)c1C ZINC000591063281 400481225 /nfs/dbraw/zinc/48/12/25/400481225.db2.gz NLEGGHGTXKGNQL-CQSZACIVSA-N 0 1 317.458 3.297 20 30 DGEDMN C#Cc1cccc(NC(=O)NC[C@H](c2ccco2)N2CCCC2)c1 ZINC000043985997 400482704 /nfs/dbraw/zinc/48/27/04/400482704.db2.gz DPKRZLKBVKVBOE-QGZVFWFLSA-N 0 1 323.396 3.220 20 30 DGEDMN C[C@H](NCc1cccc(C#N)n1)c1cccc(OC(F)F)c1 ZINC000602514147 400485018 /nfs/dbraw/zinc/48/50/18/400485018.db2.gz HVAYKLPHWLCEDF-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN N#Cc1cccc(CNC[C@@H](c2ccccc2)C(F)(F)F)n1 ZINC000602621851 400514409 /nfs/dbraw/zinc/51/44/09/400514409.db2.gz DPOSBEFLSXAVKM-HNNXBMFYSA-N 0 1 305.303 3.389 20 30 DGEDMN CC[C@@H]1CCCCN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000045310730 400518428 /nfs/dbraw/zinc/51/84/28/400518428.db2.gz JZFFYCBNLIXIFG-CQSZACIVSA-N 0 1 305.809 3.415 20 30 DGEDMN CCCc1cc(C(=O)N(CCC)Cc2ccc(C#N)cc2)n[nH]1 ZINC000045467058 400524515 /nfs/dbraw/zinc/52/45/15/400524515.db2.gz ZUTDOZUGNTVMPI-UHFFFAOYSA-N 0 1 310.401 3.286 20 30 DGEDMN N#Cc1cccc(CN[C@@H]2c3ccccc3CC23CCOCC3)n1 ZINC000602666320 400530783 /nfs/dbraw/zinc/53/07/83/400530783.db2.gz HIXAZQSWEJLMIP-LJQANCHMSA-N 0 1 319.408 3.137 20 30 DGEDMN Cc1c(CN2CCSCC2)cccc1NC(=O)[C@H](C)CC#N ZINC000597004291 400539279 /nfs/dbraw/zinc/53/92/79/400539279.db2.gz ZFGRMUSZTFVIDD-CYBMUJFWSA-N 0 1 317.458 3.032 20 30 DGEDMN N#CCC1(C[N@@H+]2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)CC1 ZINC000602807836 400580218 /nfs/dbraw/zinc/58/02/18/400580218.db2.gz WTJINZSTQZQIIB-LBPRGKRZSA-N 0 1 312.339 3.233 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2ncc3c(c2C#N)CCC3)C1 ZINC000591821812 400562931 /nfs/dbraw/zinc/56/29/31/400562931.db2.gz BCBIXLFIVKCAQP-GOSISDBHSA-N 0 1 304.397 3.041 20 30 DGEDMN COCCN(Cc1ccc(C2(C#N)CC2)cc1)Cc1cccnc1 ZINC000597126983 400563086 /nfs/dbraw/zinc/56/30/86/400563086.db2.gz GJHUQCUULHPPRS-UHFFFAOYSA-N 0 1 321.424 3.285 20 30 DGEDMN CC(C)Oc1ccc2ccccc2c1CN1CC[C@@](O)(CC#N)C1 ZINC000592151305 400614708 /nfs/dbraw/zinc/61/47/08/400614708.db2.gz LISSQUCBUGBSGV-FQEVSTJZSA-N 0 1 324.424 3.477 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(OCc3ccccc3)cc2)C1 ZINC000592151125 400615122 /nfs/dbraw/zinc/61/51/22/400615122.db2.gz MENHOHMTFSPJDW-FQEVSTJZSA-N 0 1 322.408 3.116 20 30 DGEDMN C[C@@H](CC#N)C(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000597366958 400620095 /nfs/dbraw/zinc/62/00/95/400620095.db2.gz FXOFLHWJFUQZNG-IINYFYTJSA-N 0 1 316.792 3.430 20 30 DGEDMN Cc1cccc2nc(CNC(=O)CC3(C#N)CCCCC3)[nH]c21 ZINC000597466493 400634362 /nfs/dbraw/zinc/63/43/62/400634362.db2.gz FFDABLIIDSAMHT-UHFFFAOYSA-N 0 1 310.401 3.352 20 30 DGEDMN CCOC(=O)CCCCN1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000076153009 400638723 /nfs/dbraw/zinc/63/87/23/400638723.db2.gz BODMDTIYTQNRAQ-ZWKOTPCHSA-N 0 1 314.429 3.492 20 30 DGEDMN C[C@@H](CC#N)NCCC(=O)Nc1c(Cl)cccc1Cl ZINC000602868900 400595096 /nfs/dbraw/zinc/59/50/96/400595096.db2.gz KWLVUEKYRRMEPY-VIFPVBQESA-N 0 1 300.189 3.214 20 30 DGEDMN CCCCCc1cc(C(=O)N2CCCC(C)(C)[C@H]2C#N)n[nH]1 ZINC000597568915 400647374 /nfs/dbraw/zinc/64/73/74/400647374.db2.gz RJNYRNDJUOCRSF-OAHLLOKOSA-N 0 1 302.422 3.297 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000592522865 400663495 /nfs/dbraw/zinc/66/34/95/400663495.db2.gz HMYBRHIAEFZYNW-KRWDZBQOSA-N 0 1 316.788 3.450 20 30 DGEDMN COCc1cc(C#N)ccc1NCCN1Cc2ccccc2C1 ZINC000603281142 400663758 /nfs/dbraw/zinc/66/37/58/400663758.db2.gz TWEHOMMGUOWRAK-UHFFFAOYSA-N 0 1 307.397 3.132 20 30 DGEDMN CC[C@@H]1c2ccccc2CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000592501360 400659436 /nfs/dbraw/zinc/65/94/36/400659436.db2.gz XANHSVHPQDOXCU-GOSISDBHSA-N 0 1 317.396 3.110 20 30 DGEDMN Cn1c2ccccc2nc1CN[C@H]1CCc2cc(C#N)ccc21 ZINC000618741019 400679060 /nfs/dbraw/zinc/67/90/60/400679060.db2.gz BSWCBUIOHUTURD-INIZCTEOSA-N 0 1 302.381 3.222 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)c1ccc(-c2cnco2)cc1 ZINC000077459867 400718195 /nfs/dbraw/zinc/71/81/95/400718195.db2.gz LACCGSXIHSKAGL-UHFFFAOYSA-N 0 1 321.296 3.356 20 30 DGEDMN N#CC[C@@H]1CCCN(C(=O)c2cccc(C(F)(F)F)c2O)C1 ZINC000597900556 400690637 /nfs/dbraw/zinc/69/06/37/400690637.db2.gz CBGPNUFHBPFZIA-JTQLQIEISA-N 0 1 312.291 3.177 20 30 DGEDMN COC(=O)c1coc([C@@H](C)N[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000618806118 400693240 /nfs/dbraw/zinc/69/32/40/400693240.db2.gz NVZJZEVQEMYSGD-BZNIZROVSA-N 0 1 310.353 3.276 20 30 DGEDMN C=CCCSCCNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000597972463 400708021 /nfs/dbraw/zinc/70/80/21/400708021.db2.gz RQVSMXCZQQNNNH-QGZVFWFLSA-N 0 1 318.486 3.077 20 30 DGEDMN CCN(CCc1ccccc1)CC(=O)Nc1sccc1C#N ZINC000052968392 400758057 /nfs/dbraw/zinc/75/80/57/400758057.db2.gz OVOAOGHJLIZDSV-UHFFFAOYSA-N 0 1 313.426 3.123 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@H](C)c1ccc(F)cc1 ZINC000053087977 400765388 /nfs/dbraw/zinc/76/53/88/400765388.db2.gz QXLHEWKMOTYUSC-OAHLLOKOSA-N 0 1 304.409 3.409 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC000604438202 400776062 /nfs/dbraw/zinc/77/60/62/400776062.db2.gz AUPOKWUSCWDAFI-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCCOc2cccc(C#N)c2)n1 ZINC000053716570 400795935 /nfs/dbraw/zinc/79/59/35/400795935.db2.gz WLMITFNAWDHKNI-UHFFFAOYSA-N 0 1 302.403 3.145 20 30 DGEDMN CC(C)(C)c1nc(SCCOc2cccc(C#N)c2)n[nH]1 ZINC000053716570 400795936 /nfs/dbraw/zinc/79/59/36/400795936.db2.gz WLMITFNAWDHKNI-UHFFFAOYSA-N 0 1 302.403 3.145 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1oc2ccc(C)cc2c1CC ZINC000180027328 400881495 /nfs/dbraw/zinc/88/14/95/400881495.db2.gz HGMNQXXKHYCFHA-CYBMUJFWSA-N 0 1 300.402 3.256 20 30 DGEDMN CC[C@@H](C)CC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593351171 400825888 /nfs/dbraw/zinc/82/58/88/400825888.db2.gz JLAIKCKLZLAIAZ-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN N#Cc1nc(N2CCC(c3ccn[nH]3)CC2)ccc1C(F)(F)F ZINC000619695316 400888793 /nfs/dbraw/zinc/88/87/93/400888793.db2.gz INQIXMNQTPGILU-UHFFFAOYSA-N 0 1 321.306 3.079 20 30 DGEDMN CC[C@H](C#N)C(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000593388323 400836081 /nfs/dbraw/zinc/83/60/81/400836081.db2.gz DKDDMFSUVPDPAZ-HUUCEWRRSA-N 0 1 319.474 3.187 20 30 DGEDMN C[C@@H]1CCN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@@H]1CO ZINC000598647111 400849048 /nfs/dbraw/zinc/84/90/48/400849048.db2.gz NQKLZJCVLDEUAU-BXUZGUMPSA-N 0 1 312.335 3.027 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cc(C(C)(C)C)[nH]n3)[nH]c21 ZINC000605363416 400855511 /nfs/dbraw/zinc/85/55/11/400855511.db2.gz YJCLPUOZMWEHDC-NSHDSACASA-N 0 1 321.384 3.382 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CC(Cc2ccccc2Cl)C1 ZINC000598700879 400860813 /nfs/dbraw/zinc/86/08/13/400860813.db2.gz HAXMVXRETSKTBF-OAHLLOKOSA-N 0 1 306.837 3.115 20 30 DGEDMN CCSc1ccc([C@@H](C)NCC(=O)N(CC)CCC#N)cc1 ZINC000182113493 400890765 /nfs/dbraw/zinc/89/07/65/400890765.db2.gz XXDNZUHFDKBVOL-CQSZACIVSA-N 0 1 319.474 3.211 20 30 DGEDMN N#Cc1ccc(CNCc2ccc([N+](=O)[O-])cc2)cc1Cl ZINC000619748211 400898136 /nfs/dbraw/zinc/89/81/36/400898136.db2.gz AVSRKELQGVCPGZ-UHFFFAOYSA-N 0 1 301.733 3.410 20 30 DGEDMN CC(=O)Nc1ccccc1CNCc1ccc(C#N)c(Cl)c1 ZINC000619748213 400898185 /nfs/dbraw/zinc/89/81/85/400898185.db2.gz AZHMQVJEBJJHLX-UHFFFAOYSA-N 0 1 313.788 3.460 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000598943698 400909793 /nfs/dbraw/zinc/90/97/93/400909793.db2.gz YJQBDKBTUXEBGI-IIYDPXPESA-N 0 1 322.412 3.167 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593885377 400918653 /nfs/dbraw/zinc/91/86/53/400918653.db2.gz DUIKEFDKEGXEIW-FRKPEAEDSA-N 0 1 323.440 3.161 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nc(C(C)C)cs2)cn1 ZINC000080456475 400953507 /nfs/dbraw/zinc/95/35/07/400953507.db2.gz LNMDAIUKJZYQGF-NSHDSACASA-N 0 1 301.371 3.160 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3nc4ccccc4o3)C2)nc1 ZINC000593963695 400928243 /nfs/dbraw/zinc/92/82/43/400928243.db2.gz SQVAKLVVZHDAOP-HNNXBMFYSA-N 0 1 318.380 3.474 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)C(C#N)(CC)CC)c1ccco1 ZINC000599184132 400991518 /nfs/dbraw/zinc/99/15/18/400991518.db2.gz HVSNVPRNPYBVSB-AWEZNQCLSA-N 0 1 305.422 3.109 20 30 DGEDMN Cc1ccc(C[N@H+]2Cc3cnn(C)c3CC(C)(C)C2)cc1C#N ZINC000599476917 401056834 /nfs/dbraw/zinc/05/68/34/401056834.db2.gz PWGGWJKVJYXKKY-UHFFFAOYSA-N 0 1 308.429 3.185 20 30 DGEDMN Cc1ccc(CN2Cc3cnn(C)c3CC(C)(C)C2)cc1C#N ZINC000599476917 401056840 /nfs/dbraw/zinc/05/68/40/401056840.db2.gz PWGGWJKVJYXKKY-UHFFFAOYSA-N 0 1 308.429 3.185 20 30 DGEDMN C=CCNC(=O)CN1CCC(c2cccc3ccccc32)CC1 ZINC000058525088 401058927 /nfs/dbraw/zinc/05/89/27/401058927.db2.gz SIJXMTZEBDXENH-UHFFFAOYSA-N 0 1 308.425 3.321 20 30 DGEDMN CC(=O)Nc1c(C)ccc(NC(=O)c2ccc(C#N)c(O)c2)c1C ZINC000615427414 401077779 /nfs/dbraw/zinc/07/77/79/401077779.db2.gz RJVXTXPTNXHALZ-UHFFFAOYSA-N 0 1 323.352 3.091 20 30 DGEDMN COc1cc(C#N)ccc1OCCOc1ccnc2c1CCCC2 ZINC000594494234 401079902 /nfs/dbraw/zinc/07/99/02/401079902.db2.gz WZKSDOGUKXHCPG-UHFFFAOYSA-N 0 1 324.380 3.298 20 30 DGEDMN Cc1ccc(CN[C@H](c2nnc3ccccn32)C(C)C)cc1C#N ZINC000599352618 401031888 /nfs/dbraw/zinc/03/18/88/401031888.db2.gz DQZASLAVCLPYGV-SFHVURJKSA-N 0 1 319.412 3.396 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](CC(=O)OC(C)C)C2)cc1C#N ZINC000599359974 401032875 /nfs/dbraw/zinc/03/28/75/401032875.db2.gz HZLZOHSJRYVVMQ-MRXNPFEDSA-N 0 1 314.429 3.420 20 30 DGEDMN COC(=O)[C@H]1CN([C@H](C)c2cccc(C#N)c2)Cc2ccccc21 ZINC000599668905 401107653 /nfs/dbraw/zinc/10/76/53/401107653.db2.gz ZVDPTWVSROZXLY-KUHUBIRLSA-N 0 1 320.392 3.392 20 30 DGEDMN CN(C)C[C@@H](NCC1(C#N)CC1)c1ccc(Cl)c(Cl)c1 ZINC000599627370 401095727 /nfs/dbraw/zinc/09/57/27/401095727.db2.gz LQGXCQPGNOMTLW-CQSZACIVSA-N 0 1 312.244 3.489 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3CCC[C@]3(CO)C2)c(C(F)(F)F)c1 ZINC000599637566 401098585 /nfs/dbraw/zinc/09/85/85/401098585.db2.gz JVYDUTFBTOAMLB-GOEBONIOSA-N 0 1 324.346 3.171 20 30 DGEDMN C=CCCOCC(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000615815208 401155658 /nfs/dbraw/zinc/15/56/58/401155658.db2.gz FKDPDLOWQIFKCM-LLVKDONJSA-N 0 1 321.808 3.328 20 30 DGEDMN N#CCCCOc1cccc(CN[C@@H]2c3ccccc3C[C@H]2O)c1 ZINC000085333448 401166095 /nfs/dbraw/zinc/16/60/95/401166095.db2.gz QOQQLLXWHGYBPF-WOJBJXKFSA-N 0 1 322.408 3.117 20 30 DGEDMN COC[C@@H](NCc1ccc(C#N)c(F)c1)c1cccc(OC)c1 ZINC000594967914 401213391 /nfs/dbraw/zinc/21/33/91/401213391.db2.gz ALVNNIMQEOFGKL-GOSISDBHSA-N 0 1 314.360 3.183 20 30 DGEDMN C[C@H](NCc1cc(C(F)(F)F)nn1C)c1ccc(C#N)cc1 ZINC000594914862 401186140 /nfs/dbraw/zinc/18/61/40/401186140.db2.gz MSIKWLCHXLJZHU-JTQLQIEISA-N 0 1 308.307 3.161 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000063309269 401188168 /nfs/dbraw/zinc/18/81/68/401188168.db2.gz XNSMABAQAPRUOS-GFCCVEGCSA-N 0 1 305.809 3.272 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc([S@@](C)=O)cc1 ZINC000090117863 401259709 /nfs/dbraw/zinc/25/97/09/401259709.db2.gz GNUUHTKLALRZRP-IPQOISQHSA-N 0 1 316.401 3.286 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)[C@H](O)C(F)(F)F)cc1Cl ZINC000631235137 401433640 /nfs/dbraw/zinc/43/36/40/401433640.db2.gz HMDNGMOGVVVOSL-RNCFNFMXSA-N 0 1 323.742 3.306 20 30 DGEDMN C[C@@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@H](O)C(F)(F)F ZINC000631238608 401434315 /nfs/dbraw/zinc/43/43/15/401434315.db2.gz ZTTAVJGBLOIPSX-LDWIPMOCSA-N 0 1 319.220 3.246 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cccc2n[nH]cc21 ZINC000628273395 401565256 /nfs/dbraw/zinc/56/52/56/401565256.db2.gz SJXRZXVIZYXVFR-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2C(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000329644019 292211352 /nfs/dbraw/zinc/21/13/52/292211352.db2.gz DCOMDHHXVUTDQF-YJBOKZPZSA-N 0 1 324.428 3.327 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2ccc(C#N)c(O)c2)c(F)c1 ZINC000188456411 165124683 /nfs/dbraw/zinc/12/46/83/165124683.db2.gz LQQQZKOQRCTJJX-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN Cn1cc(CN(Cc2ccccc2)C[C@H]2CCCO2)cc1C#N ZINC000191269414 165240207 /nfs/dbraw/zinc/24/02/07/165240207.db2.gz GJGNLTHUAZMXLD-LJQANCHMSA-N 0 1 309.413 3.078 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)cc1F ZINC000192070616 165279871 /nfs/dbraw/zinc/27/98/71/165279871.db2.gz ZLALVWMFIGDUBV-DOTOQJQBSA-N 0 1 314.360 3.378 20 30 DGEDMN N#Cc1ccc(NC(=O)CNC2(c3ccccc3)CCC2)cc1 ZINC000194554121 165349221 /nfs/dbraw/zinc/34/92/21/165349221.db2.gz HXBMEYJOISMAAR-UHFFFAOYSA-N 0 1 305.381 3.166 20 30 DGEDMN N#Cc1ccc(NCCN2CCSCC2)cc1C(F)(F)F ZINC000226230874 165384234 /nfs/dbraw/zinc/38/42/34/165384234.db2.gz BMBONODYQGKQKO-UHFFFAOYSA-N 0 1 315.364 3.038 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@H](C[C@@H]3CCOC3)C2)cc1F ZINC000365267487 287116176 /nfs/dbraw/zinc/11/61/76/287116176.db2.gz XJHASHXXMYUDTP-ZBFHGGJFSA-N 0 1 302.393 3.336 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@H](C[C@H]3CCOC3)C2)cc1F ZINC000365267480 287116217 /nfs/dbraw/zinc/11/62/17/287116217.db2.gz XJHASHXXMYUDTP-GDBMZVCRSA-N 0 1 302.393 3.336 20 30 DGEDMN C=C(Br)CN1CCCN(Cc2ccccc2)C[C@H]1C ZINC000383055625 685165076 /nfs/dbraw/zinc/16/50/76/685165076.db2.gz BVEDBAMYQZROFN-OAHLLOKOSA-N 0 1 323.278 3.491 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000190456053 432159417 /nfs/dbraw/zinc/15/94/17/432159417.db2.gz IVISJNXQEVZJIR-MJGOQNOKSA-N 0 1 300.446 3.370 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccc(OC)cc1OC ZINC000150876645 432198331 /nfs/dbraw/zinc/19/83/31/432198331.db2.gz DIEDCYXSNGOCJX-UHFFFAOYSA-N 0 1 320.433 3.321 20 30 DGEDMN Cc1ccc(-c2cc(NC(=O)c3ccc(C#N)c(O)c3)n[nH]2)s1 ZINC000190861968 432195290 /nfs/dbraw/zinc/19/52/90/432195290.db2.gz NKJYSVMDYGYTDG-UHFFFAOYSA-N 0 1 324.365 3.276 20 30 DGEDMN COc1cccc2c1CCN(CCOc1cccc(C#N)c1)C2 ZINC000182726931 432223040 /nfs/dbraw/zinc/22/30/40/432223040.db2.gz XQPJIXKJHLMPGF-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN N#CCC[C@H](C#N)CSc1nc(-c2cc(F)cc(F)c2)n[nH]1 ZINC000151120206 227058224 /nfs/dbraw/zinc/05/82/24/227058224.db2.gz GDNAAQHWSDRRAX-SECBINFHSA-N 0 1 319.340 3.286 20 30 DGEDMN N#CCCn1cc(C(=O)CN2C[C@@H]3CCC[C@@H]3C2)c2ccccc21 ZINC000153331878 227084172 /nfs/dbraw/zinc/08/41/72/227084172.db2.gz GDKMACYMEPQAHT-IYBDPMFKSA-N 0 1 321.424 3.470 20 30 DGEDMN N#CCC[C@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccccc1 ZINC000153634804 227088875 /nfs/dbraw/zinc/08/88/75/227088875.db2.gz LKAZYIMHIZZNSC-INIZCTEOSA-N 0 1 318.380 3.267 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCN(Cc2ccccc2)CC1 ZINC000157737518 228234715 /nfs/dbraw/zinc/23/47/15/228234715.db2.gz AVJOZRDEKXZUEB-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(CCC(C)C)c2C)n1 ZINC000160048113 228244631 /nfs/dbraw/zinc/24/46/31/228244631.db2.gz PBJIJXATRSKNAN-ZDUSSCGKSA-N 0 1 316.430 3.493 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccccc2-c2nnc(C)o2)n1 ZINC000182558704 228369125 /nfs/dbraw/zinc/36/91/25/228369125.db2.gz FRABDOCUBZDNSD-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccccc2-c2nnc(C)o2)n1 ZINC000182558704 228369127 /nfs/dbraw/zinc/36/91/27/228369127.db2.gz FRABDOCUBZDNSD-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN COc1cccc(-c2noc([C@@H](C)NCCCCC#N)n2)c1 ZINC000185116636 228388430 /nfs/dbraw/zinc/38/84/30/228388430.db2.gz ANKJXRACSZQMKE-GFCCVEGCSA-N 0 1 300.362 3.090 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(C(C)C)s2)nc(C)n1 ZINC000331313762 229029043 /nfs/dbraw/zinc/02/90/43/229029043.db2.gz HZQWHBTWQNURPO-LLVKDONJSA-N 0 1 300.387 3.163 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SC[C@@H](C#N)CCC#N)n2)o1 ZINC000340665312 229090382 /nfs/dbraw/zinc/09/03/82/229090382.db2.gz OQTLVFFBNKDIBZ-NNNHXZLVSA-N 0 1 313.386 3.412 20 30 DGEDMN COc1cc(C(=O)C(C#N)c2nc3ccccc3s2)cc(C)n1 ZINC000337350337 229048037 /nfs/dbraw/zinc/04/80/37/229048037.db2.gz RYKWVQVRMRWCCO-GFCCVEGCSA-N 0 1 323.377 3.498 20 30 DGEDMN COc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C#N)cc1 ZINC000299339978 229060690 /nfs/dbraw/zinc/06/06/90/229060690.db2.gz AECJZNAMAKKPHJ-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2ccc(OC(C)(C)C)cn2)nc(C)n1 ZINC000344718244 229144284 /nfs/dbraw/zinc/14/42/84/229144284.db2.gz DLDVEKXIDDSHDI-CQSZACIVSA-N 0 1 324.384 3.156 20 30 DGEDMN N#CCCC[C@@H](NC(=O)[C@H]1CCCc2[nH]cnc21)c1ccccc1 ZINC000352225175 229249166 /nfs/dbraw/zinc/24/91/66/229249166.db2.gz JCHBOHOEPLDXME-JKSUJKDBSA-N 0 1 322.412 3.381 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cc(C(C)C)n(C)n3)[nH]c21 ZINC000353098291 229270658 /nfs/dbraw/zinc/27/06/58/229270658.db2.gz PQQZPWGLWFKUHS-LBPRGKRZSA-N 0 1 321.384 3.218 20 30 DGEDMN C[C@H]1N(Cc2cccc(OCCCC#N)c2)CCOC1(C)C ZINC000353883545 229286759 /nfs/dbraw/zinc/28/67/59/229286759.db2.gz USTWIAVFCJBJLY-OAHLLOKOSA-N 0 1 302.418 3.368 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cnn(CC(C)C)c3)[nH]c21 ZINC000359489461 229378823 /nfs/dbraw/zinc/37/88/23/229378823.db2.gz BNMOAAVVNYUOFB-CQSZACIVSA-N 0 1 321.384 3.214 20 30 DGEDMN N#Cc1cnc(NC[C@@H](c2ccco2)N2CCCCC2)c(F)c1 ZINC000413025928 230113342 /nfs/dbraw/zinc/11/33/42/230113342.db2.gz SJGYUTOMLGJGKK-HNNXBMFYSA-N 0 1 314.364 3.324 20 30 DGEDMN Cc1ccc([C@@H](CNc2ncc(C#N)cc2F)N2CCCC2)o1 ZINC000413113429 230133268 /nfs/dbraw/zinc/13/32/68/230133268.db2.gz RQACGIFMTGDCCH-OAHLLOKOSA-N 0 1 314.364 3.243 20 30 DGEDMN Cc1c(C#N)cccc1NS(=O)(=O)c1ccccc1Cl ZINC000179027397 263550814 /nfs/dbraw/zinc/55/08/14/263550814.db2.gz LBUYRNJDMFJJGC-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1ncccc1C#N ZINC000346662000 264075786 /nfs/dbraw/zinc/07/57/86/264075786.db2.gz VNEMSDGVDRMGKF-JKSUJKDBSA-N 0 1 306.413 3.052 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000346942949 264124783 /nfs/dbraw/zinc/12/47/83/264124783.db2.gz TZALFWOUCXMMSN-CQSZACIVSA-N 0 1 314.433 3.193 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCN(C)c1ccccc1 ZINC000347250769 264183569 /nfs/dbraw/zinc/18/35/69/264183569.db2.gz GYRALIZMJDDKNS-UHFFFAOYSA-N 0 1 309.413 3.135 20 30 DGEDMN N#Cc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000347641194 264258011 /nfs/dbraw/zinc/25/80/11/264258011.db2.gz LQYLFHFMHUCXCO-QGZVFWFLSA-N 0 1 300.789 3.425 20 30 DGEDMN CC(C)(CO)[C@H](NCc1ccc(C#N)s1)c1ccccc1 ZINC000348382840 264399699 /nfs/dbraw/zinc/39/96/99/264399699.db2.gz LTKLMSNBIQACBG-MRXNPFEDSA-N 0 1 300.427 3.469 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000361030225 270004451 /nfs/dbraw/zinc/00/44/51/270004451.db2.gz LHQLIBKTWZTYIM-GJZGRUSLSA-N 0 1 302.393 3.336 20 30 DGEDMN Cc1cc(CN2CCC[C@@](O)(C(F)(F)F)CC2)ccc1C#N ZINC000352941740 265241061 /nfs/dbraw/zinc/24/10/61/265241061.db2.gz CWGLFAVTLIEGGE-HNNXBMFYSA-N 0 1 312.335 3.146 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3ccc(C#N)cc32)cc1[N+](=O)[O-] ZINC000353276365 265371454 /nfs/dbraw/zinc/37/14/54/265371454.db2.gz SDEVHEXSZZYCTH-MRXNPFEDSA-N 0 1 323.352 3.252 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)C(F)(F)c1c(F)cccc1F ZINC000270227173 432229183 /nfs/dbraw/zinc/22/91/83/432229183.db2.gz OHBCRIAKVLEMRP-CYBMUJFWSA-N 0 1 302.271 3.111 20 30 DGEDMN C[C@H]1C[C@H](CO)CCN1Cc1ccc(C#N)cc1C(F)(F)F ZINC000356351120 266362679 /nfs/dbraw/zinc/36/26/79/266362679.db2.gz YAURVIHCERGCGP-WCQYABFASA-N 0 1 312.335 3.170 20 30 DGEDMN C[C@H](NCc1cn(C)nc1-c1ccc(C#N)cc1)c1ccccn1 ZINC000367791512 267185384 /nfs/dbraw/zinc/18/53/84/267185384.db2.gz DBZKKYFQUMLLTK-AWEZNQCLSA-N 0 1 317.396 3.205 20 30 DGEDMN C[C@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000357395207 267213500 /nfs/dbraw/zinc/21/35/00/267213500.db2.gz XNSMABAQAPRUOS-LBPRGKRZSA-N 0 1 305.809 3.272 20 30 DGEDMN N#Cc1ccc(CC(=O)Nc2cc(F)cc(Cl)c2O)cc1 ZINC000353887702 268058637 /nfs/dbraw/zinc/05/86/37/268058637.db2.gz JPOXOIYJWDAOQY-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN N#Cc1ccc(Cl)c(C(=O)Nc2ccccc2-c2nnc[nH]2)c1 ZINC000376071859 268096821 /nfs/dbraw/zinc/09/68/21/268096821.db2.gz YKRJZFHVCPZYCD-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1ccc(N2CCC[C@@H]([N@H+]3CCCC4(CCC4)C3)C2=O)cc1 ZINC000348183252 268110347 /nfs/dbraw/zinc/11/03/47/268110347.db2.gz UGXKRDXBHIOBLV-GOSISDBHSA-N 0 1 323.440 3.320 20 30 DGEDMN N#Cc1cccc(CNCc2ccnn2-c2ccc(F)cc2)c1 ZINC000353944023 268254433 /nfs/dbraw/zinc/25/44/33/268254433.db2.gz JCOWUPJQVPRALE-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN Cn1cc(CN2CCC[C@@H]2c2cccc3c2OCCO3)cc1C#N ZINC000191308555 432247931 /nfs/dbraw/zinc/24/79/31/432247931.db2.gz TVZNQOUZKQECKF-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN C[C@H]1C[C@@H](c2cccnc2)[N@@H+](CCOc2cccc(C#N)c2)C1 ZINC000359868201 271004648 /nfs/dbraw/zinc/00/46/48/271004648.db2.gz HIIFVWWGWXSDTI-KXBFYZLASA-N 0 1 307.397 3.415 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1=O)c1ccc(C#N)cc1 ZINC000112366674 274262539 /nfs/dbraw/zinc/26/25/39/274262539.db2.gz ZBRJMBVPGOZRCJ-DYVFJYSZSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1ccccc1CN1CCN(c2c(C#N)cccc2C#N)CC1 ZINC000302860158 274807058 /nfs/dbraw/zinc/80/70/58/274807058.db2.gz UOVDFGYRZZPYFG-UHFFFAOYSA-N 0 1 316.408 3.061 20 30 DGEDMN CN1CCN(Cc2cc(C#N)ccc2F)[C@H](c2ccccc2)C1 ZINC000192512102 277108912 /nfs/dbraw/zinc/10/89/12/277108912.db2.gz YMZNWXGBIZZNRE-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000157016322 278306115 /nfs/dbraw/zinc/30/61/15/278306115.db2.gz XHAMCDAJYKVAPO-CZUORRHYSA-N 0 1 315.417 3.218 20 30 DGEDMN COc1ccc(NC(=O)CCN(CCC#N)CC(C)(C)C)cc1 ZINC000111250416 431198703 /nfs/dbraw/zinc/19/87/03/431198703.db2.gz DXIXECWKKUPHFN-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN CCOc1ncc(C(=O)C(C#N)c2ccccn2)cc1Cl ZINC000112483813 431280117 /nfs/dbraw/zinc/28/01/17/431280117.db2.gz XBCOSMUFDIQRED-LLVKDONJSA-N 0 1 301.733 3.019 20 30 DGEDMN C=CCOc1ccccc1C(=O)Nc1cccc(-c2nnc[nH]2)c1 ZINC000114107026 431374049 /nfs/dbraw/zinc/37/40/49/431374049.db2.gz ALKIJTLDVHZVNG-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN C[C@H](C(=O)N[C@H](C#N)c1ccccc1Cl)N1CCCCCC1 ZINC000073647810 431377804 /nfs/dbraw/zinc/37/78/04/431377804.db2.gz MNDCYQYSFINKPJ-CZUORRHYSA-N 0 1 319.836 3.285 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC000073974729 431392613 /nfs/dbraw/zinc/39/26/13/431392613.db2.gz WEDXZIHSCIYQIU-SECBINFHSA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC000073974729 431392618 /nfs/dbraw/zinc/39/26/18/431392618.db2.gz WEDXZIHSCIYQIU-SECBINFHSA-N 0 1 311.288 3.355 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCc1cccc(COC(C)C)c1 ZINC000114712394 431406890 /nfs/dbraw/zinc/40/68/90/431406890.db2.gz ZEJDSCRDQBDLSR-UHFFFAOYSA-N 0 1 318.461 3.126 20 30 DGEDMN C[C@@H](C1CC1)N(Cc1nnc(-c2ccc(C#N)cc2)o1)C1CC1 ZINC000075637485 431489968 /nfs/dbraw/zinc/48/99/68/431489968.db2.gz XPWBTHNSYHPWOV-LBPRGKRZSA-N 0 1 308.385 3.371 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000075672496 431493222 /nfs/dbraw/zinc/49/32/22/431493222.db2.gz WOGSIAFCOWIMJH-MNOVXSKESA-N 0 1 300.324 3.047 20 30 DGEDMN N#Cc1ccc(F)c(CSc2nc(-c3ccccn3)n[nH]2)c1 ZINC000117112378 431546521 /nfs/dbraw/zinc/54/65/21/431546521.db2.gz IBWADKVIDJOZOI-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccccc2OC(F)F)CC1 ZINC000117872265 431578848 /nfs/dbraw/zinc/57/88/48/431578848.db2.gz DFYVWKOBMQTMMC-UHFFFAOYSA-N 0 1 324.371 3.012 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cccc3c2OCO3)n1 ZINC000080451710 431767632 /nfs/dbraw/zinc/76/76/32/431767632.db2.gz MDCKHQOZESEPLT-NSHDSACASA-N 0 1 314.366 3.485 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)c2cccc3c2OCO3)n1 ZINC000080451710 431767639 /nfs/dbraw/zinc/76/76/39/431767639.db2.gz MDCKHQOZESEPLT-NSHDSACASA-N 0 1 314.366 3.485 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](C(=O)Nc3ccccc3)C2)o1 ZINC000122865050 431847217 /nfs/dbraw/zinc/84/72/17/431847217.db2.gz JZKAENHHYJEJMO-CQSZACIVSA-N 0 1 309.369 3.002 20 30 DGEDMN CC(C)(C#N)CCCN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000088523972 431857784 /nfs/dbraw/zinc/85/77/84/431857784.db2.gz HRYHNCLXZVLVQY-SFHVURJKSA-N 0 1 310.445 3.199 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000041521410 431859328 /nfs/dbraw/zinc/85/93/28/431859328.db2.gz HLUZEKCEFGUXLK-NSHDSACASA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000041521410 431859331 /nfs/dbraw/zinc/85/93/31/431859331.db2.gz HLUZEKCEFGUXLK-NSHDSACASA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cncc(Br)c2)n1 ZINC000041520098 431859974 /nfs/dbraw/zinc/85/99/74/431859974.db2.gz AKBCJHZZVSIAEW-SNVBAGLBSA-N 0 1 322.187 3.099 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2cncc(Br)c2)n1 ZINC000041520098 431859976 /nfs/dbraw/zinc/85/99/76/431859976.db2.gz AKBCJHZZVSIAEW-SNVBAGLBSA-N 0 1 322.187 3.099 20 30 DGEDMN N#Cc1cccc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)c1 ZINC000041556297 431860713 /nfs/dbraw/zinc/86/07/13/431860713.db2.gz ZIAISEMROLPIAJ-CYBMUJFWSA-N 0 1 315.254 3.462 20 30 DGEDMN N#Cc1cccc(NC(=O)CNC2(c3ccccc3)CCC2)c1 ZINC000123573822 431868829 /nfs/dbraw/zinc/86/88/29/431868829.db2.gz RAMVZGBUDQFXHM-UHFFFAOYSA-N 0 1 305.381 3.166 20 30 DGEDMN CCN(Cc1cnn(C)c1)Cc1cccc(OCCCC#N)c1 ZINC000092437807 431909173 /nfs/dbraw/zinc/90/91/73/431909173.db2.gz QBZLTKIFSHXJSP-UHFFFAOYSA-N 0 1 312.417 3.125 20 30 DGEDMN Cc1ccc(C#N)c(N2CCCN(Cc3ccc(F)cc3)CC2)n1 ZINC000127620715 431976979 /nfs/dbraw/zinc/97/69/79/431976979.db2.gz KYKNEGLTZYLKQM-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CCC(=O)Nc1cccc(C(=O)C(C#N)c2nc(C)cs2)c1 ZINC000048788374 431932393 /nfs/dbraw/zinc/93/23/93/431932393.db2.gz NPTQWOFHZNPMCV-ZDUSSCGKSA-N 0 1 313.382 3.290 20 30 DGEDMN CCC(=O)Nc1cccc(C(=O)C(C#N)c2nc(C)cs2)c1 ZINC000048788372 431932427 /nfs/dbraw/zinc/93/24/27/431932427.db2.gz NPTQWOFHZNPMCV-CYBMUJFWSA-N 0 1 313.382 3.290 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1ccccc1Cl ZINC000049487739 431940707 /nfs/dbraw/zinc/94/07/07/431940707.db2.gz VUICSDLXDAORNK-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN N#Cc1csc(CN2CC[C@H](Oc3cccc(F)c3)C2)c1 ZINC000183211305 432269047 /nfs/dbraw/zinc/26/90/47/432269047.db2.gz TYTCZGJYIHJMHA-HNNXBMFYSA-N 0 1 302.374 3.412 20 30 DGEDMN CN(C(=O)OC(C)(C)C)[C@@H]1CC[N@@H+](CC(C)(C)CCC#N)C1 ZINC000128489005 431995892 /nfs/dbraw/zinc/99/58/92/431995892.db2.gz WNYQLYZDISWAHA-CQSZACIVSA-N 0 1 309.454 3.258 20 30 DGEDMN CCN(CC(=O)NCCC(C)(C)C)[C@H](C)c1ccc(C#N)cc1 ZINC000060469565 431999703 /nfs/dbraw/zinc/99/97/03/431999703.db2.gz SGHXFNYGLMBYNW-OAHLLOKOSA-N 0 1 315.461 3.494 20 30 DGEDMN Cc1cnc(C(C)(C)NCCOc2cccc(C#N)c2)s1 ZINC000183918224 432334618 /nfs/dbraw/zinc/33/46/18/432334618.db2.gz HHYYLQIMLXGRFI-UHFFFAOYSA-N 0 1 301.415 3.227 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1=O)c1cccc(C#N)c1 ZINC000174358903 432340583 /nfs/dbraw/zinc/34/05/83/432340583.db2.gz XFKUVTHVDKEONH-SUMWQHHRSA-N 0 1 323.371 3.153 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)C2CCC(CCC)CC2)CC1 ZINC000192268282 432353526 /nfs/dbraw/zinc/35/35/26/432353526.db2.gz BNHYIVNATMTQCN-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN N#CCC[C@H](NC(=O)c1n[nH]c2ccccc21)c1ccccc1 ZINC000153285533 432397123 /nfs/dbraw/zinc/39/71/23/432397123.db2.gz SDXMWBUIXYEOKL-HNNXBMFYSA-N 0 1 304.353 3.338 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](CCOC)c2ccc(C)o2)cc1 ZINC000272224093 432465632 /nfs/dbraw/zinc/46/56/32/432465632.db2.gz FCWHWWBYARXYQC-SFHVURJKSA-N 0 1 313.397 3.467 20 30 DGEDMN C=CCCC(=O)NC[C@H](c1cccc(C(F)(F)F)c1)N(C)C ZINC000186121873 432560356 /nfs/dbraw/zinc/56/03/56/432560356.db2.gz RSDYPDWUFMFQQJ-CQSZACIVSA-N 0 1 314.351 3.391 20 30 DGEDMN N#CCSCCCSc1nc(Cc2ccccc2)n[nH]1 ZINC000194600357 432562436 /nfs/dbraw/zinc/56/24/36/432562436.db2.gz HTJZNVKSJZMTRC-UHFFFAOYSA-N 0 1 304.444 3.134 20 30 DGEDMN N#CCSCCCSc1n[nH]c(Cc2ccccc2)n1 ZINC000194600357 432562439 /nfs/dbraw/zinc/56/24/39/432562439.db2.gz HTJZNVKSJZMTRC-UHFFFAOYSA-N 0 1 304.444 3.134 20 30 DGEDMN C[C@H](O)C[C@H]1CCCN1Cc1ccc(C#N)cc1Br ZINC000272530870 432518846 /nfs/dbraw/zinc/51/88/46/432518846.db2.gz MKWOWWRALNWUOP-SMDDNHRTSA-N 0 1 323.234 3.056 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1CCC(c2ccc(Cl)cc2)CC1 ZINC000185797030 432534010 /nfs/dbraw/zinc/53/40/10/432534010.db2.gz MPCPBYOOLGGQMM-ZDUSSCGKSA-N 0 1 306.837 3.210 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)Nc2ccc(C#N)cc2)cc1 ZINC000194319199 432541622 /nfs/dbraw/zinc/54/16/22/432541622.db2.gz VSLBIXQTPRKXGX-HNNXBMFYSA-N 0 1 307.397 3.498 20 30 DGEDMN COCCCOC1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000177291868 432608169 /nfs/dbraw/zinc/60/81/69/432608169.db2.gz JSCVLUBNIWVMEE-UHFFFAOYSA-N 0 1 321.848 3.209 20 30 DGEDMN CC[C@@H](NCC(=O)N(CC)CCC#N)c1ccc(Cl)s1 ZINC000273544760 432610006 /nfs/dbraw/zinc/61/00/06/432610006.db2.gz WIWDEKCNDVHTJZ-LLVKDONJSA-N 0 1 313.854 3.204 20 30 DGEDMN Cc1ccc([C@@H](CNC(=O)c2ccc(C#N)cc2)N2CCCC2)o1 ZINC000263695573 432566786 /nfs/dbraw/zinc/56/67/86/432566786.db2.gz JHFUNMKOLKANBG-QGZVFWFLSA-N 0 1 323.396 3.027 20 30 DGEDMN CCNC(=O)C(C#N)c1nc(-c2ccc(Cl)cc2)cs1 ZINC000155677587 432566857 /nfs/dbraw/zinc/56/68/57/432566857.db2.gz FWZMFDVDSGIHEH-NSHDSACASA-N 0 1 305.790 3.207 20 30 DGEDMN CCN(CCC(=O)Nc1cc(Cl)ccc1OC)C[C@@H](C)C#N ZINC000157654805 432626257 /nfs/dbraw/zinc/62/62/57/432626257.db2.gz NXPZSMNPUZWDQR-LBPRGKRZSA-N 0 1 323.824 3.159 20 30 DGEDMN CC(C)CCN(CCC#N)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000264137217 432618286 /nfs/dbraw/zinc/61/82/86/432618286.db2.gz ZUYCFAJPYLXWTQ-UHFFFAOYSA-N 0 1 310.401 3.479 20 30 DGEDMN C#CCSCCN[C@@H](C)c1ncc(Br)cc1F ZINC000187350835 432659723 /nfs/dbraw/zinc/65/97/23/432659723.db2.gz QJVMXUVAIDLROZ-VIFPVBQESA-N 0 1 317.227 3.000 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000187556727 432669868 /nfs/dbraw/zinc/66/98/68/432669868.db2.gz ZGMSCJDGXRZRGE-XJKSGUPXSA-N 0 1 315.417 3.218 20 30 DGEDMN C=CC[C@@H](C(=O)Nc1cc(CN(C)C)ccn1)c1ccccc1 ZINC000188442723 432726079 /nfs/dbraw/zinc/72/60/79/432726079.db2.gz IPYWWLYOLDOVMP-QGZVFWFLSA-N 0 1 309.413 3.442 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N2CCc3ccccc3C2)CCC1 ZINC000188264868 432714237 /nfs/dbraw/zinc/71/42/37/432714237.db2.gz DQNAZPJQLRDVRC-MRXNPFEDSA-N 0 1 312.457 3.296 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(-c3cnco3)cc2)cc1O ZINC000188338275 432719926 /nfs/dbraw/zinc/71/99/26/432719926.db2.gz BJHUBIDJOJYWPU-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OCC3CC3)cc2)cc1O ZINC000188399726 432723210 /nfs/dbraw/zinc/72/32/10/432723210.db2.gz VHJBCPLKIMOXHF-UHFFFAOYSA-N 0 1 308.337 3.305 20 30 DGEDMN N#Cc1csc(C(=O)Nc2[nH]nc3c2cccc3Cl)c1 ZINC000178592516 432755924 /nfs/dbraw/zinc/75/59/24/432755924.db2.gz CFMNOKQIXSJDHV-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN COc1cccc(NS(=O)(=O)c2cc(C#N)ccc2Cl)c1 ZINC000160763534 432740741 /nfs/dbraw/zinc/74/07/41/432740741.db2.gz LQIPOGJMDVUEIF-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C[C@H](c1cccnc1)N1CCN(CCCCC(C)(C)C#N)CC1 ZINC000277603819 432864391 /nfs/dbraw/zinc/86/43/91/432864391.db2.gz FHDZIHQYPCKRHB-QGZVFWFLSA-N 0 1 314.477 3.480 20 30 DGEDMN CC(C)n1ncc2c1C[C@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)CC2 ZINC000330164035 432895595 /nfs/dbraw/zinc/89/55/95/432895595.db2.gz SWMSDAVJWPQHAQ-IJEWVQPXSA-N 0 1 318.465 3.151 20 30 DGEDMN Fc1ccc(C#CCN(C[C@@H]2CCCO2)[C@H]2CCSC2)cc1 ZINC000245989304 432873913 /nfs/dbraw/zinc/87/39/13/432873913.db2.gz WRQMTCIZPBYPAU-ROUUACIJSA-N 0 1 319.445 3.164 20 30 DGEDMN CC(C)(C#N)CCCCN1CCC[C@@H]1C(=O)NCc1ccco1 ZINC000277833652 432878169 /nfs/dbraw/zinc/87/81/69/432878169.db2.gz WKOHFLHXGISGLF-MRXNPFEDSA-N 0 1 317.433 3.080 20 30 DGEDMN CC(C)C[C@@]1(C)CCCN1CC(=O)Nc1sccc1C#N ZINC000296323579 432896088 /nfs/dbraw/zinc/89/60/88/432896088.db2.gz OYGXJPKGZRYOJE-MRXNPFEDSA-N 0 1 305.447 3.459 20 30 DGEDMN Cc1cc([C@@H]2CCCN2C(=O)N[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)on1 ZINC000330059039 432890771 /nfs/dbraw/zinc/89/07/71/432890771.db2.gz KIEGVWMBEPXCLV-MXSMSXNCSA-N 0 1 323.396 3.372 20 30 DGEDMN CC[C@H](C)n1ncc(C(=O)N[C@H]2CCCc3cn[nH]c32)c1C ZINC000330082533 432892589 /nfs/dbraw/zinc/89/25/89/432892589.db2.gz KHRACVXPIIGFDS-HZMBPMFUSA-N 0 1 301.394 3.268 20 30 DGEDMN Cc1noc(C)c1C(=O)NCCN1CC=C(C(C)(C)C)CC1 ZINC000330093779 432892609 /nfs/dbraw/zinc/89/26/09/432892609.db2.gz RYNQDIIBUXAEOP-UHFFFAOYSA-N 0 1 305.422 3.274 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N(C)[C@H]1CCCN(Cc2ccccc2)C1 ZINC000289258130 432939433 /nfs/dbraw/zinc/93/94/33/432939433.db2.gz QGCAHSKBCXQIBE-PKOBYXMFSA-N 0 1 313.445 3.049 20 30 DGEDMN C[C@@H]1CCc2ccccc2[C@@H]1[NH+]=C([O-])N[C@H]1CCn2ccnc2C1 ZINC000330197220 432897638 /nfs/dbraw/zinc/89/76/38/432897638.db2.gz RMQSFXLSIGHBIO-QIIPPGSGSA-N 0 1 324.428 3.025 20 30 DGEDMN C[C@@H]1CCc2ccccc2[C@@H]1NC([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330197220 432897640 /nfs/dbraw/zinc/89/76/40/432897640.db2.gz RMQSFXLSIGHBIO-QIIPPGSGSA-N 0 1 324.428 3.025 20 30 DGEDMN CN(C)c1nc2ccc(NC(=O)C3(C#N)CCCCC3)cc2[nH]1 ZINC000278704864 432899181 /nfs/dbraw/zinc/89/91/81/432899181.db2.gz RSVYDKMZGUGTEA-UHFFFAOYSA-N 0 1 311.389 3.041 20 30 DGEDMN C[C@@H]1CCSCCN1CC(=O)NC(=O)NC1CCCCC1 ZINC000330261698 432900445 /nfs/dbraw/zinc/90/04/45/432900445.db2.gz UKYUIGUQSQYFJC-GFCCVEGCSA-N 0 1 313.467 3.017 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cccc(F)c1-c1nc[nH]n1 ZINC000330293201 432901905 /nfs/dbraw/zinc/90/19/05/432901905.db2.gz DNXWAMUKJQGFTQ-GHMZBOCLSA-N 0 1 317.368 3.072 20 30 DGEDMN Cc1ccncc1CCCN1CCN(c2ccccc2C#N)CC1 ZINC000289028094 432914810 /nfs/dbraw/zinc/91/48/10/432914810.db2.gz GVBVVTCJZREQSQ-UHFFFAOYSA-N 0 1 320.440 3.017 20 30 DGEDMN C#Cc1ccc(CNc2ccc(CCN3CCOCC3)cc2)cc1 ZINC000289040135 432915803 /nfs/dbraw/zinc/91/58/03/432915803.db2.gz ANQVBWSXDUETBV-UHFFFAOYSA-N 0 1 320.436 3.155 20 30 DGEDMN CO[C@]1(C)CCCN([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332246235 432989843 /nfs/dbraw/zinc/98/98/43/432989843.db2.gz XANZFJBDLWYXHN-NVXWUHKLSA-N 0 1 301.390 3.026 20 30 DGEDMN N#Cc1cncc(S(=O)(=O)Nc2ccc(C3CCC3)cc2)c1 ZINC000290476590 433062158 /nfs/dbraw/zinc/06/21/58/433062158.db2.gz HLHBGTBPFLPKBT-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1ccc(C#N)cc1F ZINC000447066946 433150885 /nfs/dbraw/zinc/15/08/85/433150885.db2.gz LOHOPCHMUPLVBX-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN Cc1cc(N2CCCN(Cc3ccc(F)cc3)CC2)c(C#N)cn1 ZINC000425205196 433119679 /nfs/dbraw/zinc/11/96/79/433119679.db2.gz HWZCMSKYJDUFHS-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN C=C(Br)C[N@@H+]1CCC[C@@H]1[C@@H]1C[C@H](C)CC[C@@H]1O ZINC000374179453 433180990 /nfs/dbraw/zinc/18/09/90/433180990.db2.gz TUCXJJOQLLLCAR-CABNGKKXSA-N 0 1 302.256 3.157 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(C2CC2)[nH]n1)c1nccc2ccccc21 ZINC000361532615 433230193 /nfs/dbraw/zinc/23/01/93/433230193.db2.gz YLKJMMALIXMSIR-CQSZACIVSA-N 0 1 302.337 3.325 20 30 DGEDMN N#CC(C(=O)c1cc(C2CC2)[nH]n1)c1nccc2ccccc21 ZINC000361532615 433230203 /nfs/dbraw/zinc/23/02/03/433230203.db2.gz YLKJMMALIXMSIR-CQSZACIVSA-N 0 1 302.337 3.325 20 30 DGEDMN N#CCSCCCN[C@@H](CO)c1c(Cl)cccc1Cl ZINC000361532461 433231795 /nfs/dbraw/zinc/23/17/95/433231795.db2.gz XZPJAOLTIVJCBL-LBPRGKRZSA-N 0 1 319.257 3.263 20 30 DGEDMN CCN(CC)[C@H](C(=O)N[C@H](C#N)C(C)(C)C)c1ccccc1 ZINC000291359583 433233096 /nfs/dbraw/zinc/23/30/96/433233096.db2.gz BEJMFZKZJCCAFR-CVEARBPZSA-N 0 1 301.434 3.124 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)nn1C(C)C ZINC000453676794 433257487 /nfs/dbraw/zinc/25/74/87/433257487.db2.gz ULULVBWXTXJITR-ZDUSSCGKSA-N 0 1 321.384 3.447 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)N[C@](C)(C#N)C2CC2)c(C)c1 ZINC000298851452 433259593 /nfs/dbraw/zinc/25/95/93/433259593.db2.gz MKHOWTFMODFZTC-DNVCBOLYSA-N 0 1 313.445 3.105 20 30 DGEDMN COc1ccc2c(c1)C[C@H](C)N(Cc1cc(C#N)n(C)c1)[C@H]2C ZINC000361582586 433260306 /nfs/dbraw/zinc/26/03/06/433260306.db2.gz JWQCIKMWGAKTEY-KBPBESRZSA-N 0 1 309.413 3.413 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(C#N)c(OC)c2)n[nH]1 ZINC000281720193 433360472 /nfs/dbraw/zinc/36/04/72/433360472.db2.gz PCBMKKXMZMLOFL-VXGBXAGGSA-N 0 1 313.405 3.049 20 30 DGEDMN CC[C@H](C)N1CCN(C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000454221753 433329373 /nfs/dbraw/zinc/32/93/73/433329373.db2.gz CCFFCGPJDVHEPN-LBPRGKRZSA-N 0 1 320.824 3.160 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000375012518 433331130 /nfs/dbraw/zinc/33/11/30/433331130.db2.gz LPWXIXRUDHLYKI-DLBZAZTESA-N 0 1 314.429 3.205 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC000413983479 433336709 /nfs/dbraw/zinc/33/67/09/433336709.db2.gz HHHQDBRPBNWGPA-JKSUJKDBSA-N 0 1 309.413 3.133 20 30 DGEDMN CC(C)N(CC(=O)N[C@](C)(C#N)C1CC1)[C@H](C)c1ccsc1 ZINC000421012214 433386713 /nfs/dbraw/zinc/38/67/13/433386713.db2.gz QCWLJEGZCGXMAJ-CXAGYDPISA-N 0 1 319.474 3.328 20 30 DGEDMN CC(C)c1nc([C@H]2CCCCN2C(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000291990030 433420563 /nfs/dbraw/zinc/42/05/63/433420563.db2.gz NCORFPIZJKMFSG-OAHLLOKOSA-N 0 1 323.400 3.167 20 30 DGEDMN CC(C)c1nc([C@H]2CCCCN2C(=O)c2cccc(C#N)c2)n[nH]1 ZINC000292055758 433443576 /nfs/dbraw/zinc/44/35/76/433443576.db2.gz RTLRLJFYZXSHQV-OAHLLOKOSA-N 0 1 323.400 3.167 20 30 DGEDMN C[C@@H](NCc1cc2ccccc2n(C)c1=O)c1ccc(C#N)cc1 ZINC000426317292 433464315 /nfs/dbraw/zinc/46/43/15/433464315.db2.gz MIBSUDCMYGOOCC-CQSZACIVSA-N 0 1 317.392 3.261 20 30 DGEDMN N#CC(C(=O)c1cc(C2CC2)[nH]n1)c1cc(C(F)(F)F)ccn1 ZINC000362120687 433532804 /nfs/dbraw/zinc/53/28/04/433532804.db2.gz YAECMVOEHMEBFB-JTQLQIEISA-N 0 1 320.274 3.191 20 30 DGEDMN N#Cc1cccc(NC2CCN(Cc3ccc(F)cc3)CC2)n1 ZINC000301293715 433492810 /nfs/dbraw/zinc/49/28/10/433492810.db2.gz WHHKKPYSHOAJEK-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN N#Cc1cc(CNC2(c3ccc4c(c3)OCO4)CC2)ccc1F ZINC000342228467 433549422 /nfs/dbraw/zinc/54/94/22/433549422.db2.gz SQRWRDJZXHTLFR-UHFFFAOYSA-N 0 1 310.328 3.205 20 30 DGEDMN COC[C@H](Cc1ccccc1)N(C)Cc1cccc(C#N)c1F ZINC000355734627 433554135 /nfs/dbraw/zinc/55/41/35/433554135.db2.gz CGJUIROVKUDWGB-SFHVURJKSA-N 0 1 312.388 3.387 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)C=Cc2ccccc2C#N)CC1 ZINC000375961136 433628298 /nfs/dbraw/zinc/62/82/98/433628298.db2.gz AVHGKKPDYLYZAV-VOTSOKGWSA-N 0 1 320.396 3.009 20 30 DGEDMN C=CCCCC(=O)N1CC[N@@H+](Cc2ccccc2)C[C@H](C)C1 ZINC000456330302 433597420 /nfs/dbraw/zinc/59/74/20/433597420.db2.gz JDQYHYPYGVZJLE-KRWDZBQOSA-N 0 1 300.446 3.323 20 30 DGEDMN N#Cc1c(Cl)nsc1NCCc1nc2ccc(F)cc2[nH]1 ZINC000301700713 433682737 /nfs/dbraw/zinc/68/27/37/433682737.db2.gz DGFICKZFBHUZCG-UHFFFAOYSA-N 0 1 321.768 3.338 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CC[C@@H](C)N(Cc2ccccc2)CC1 ZINC000293345645 433704398 /nfs/dbraw/zinc/70/43/98/433704398.db2.gz FDNDXCIDTQFDJT-OAHLLOKOSA-N 0 1 322.399 3.321 20 30 DGEDMN N#CCCCOc1cccc(CNc2ccc3c(n2)CCC3)c1 ZINC000449661879 433759472 /nfs/dbraw/zinc/75/94/72/433759472.db2.gz DIJVAURCDUHXDJ-UHFFFAOYSA-N 0 1 307.397 3.865 20 30 DGEDMN COC(=O)[C@H]1CCN(CC#Cc2ccccc2Cl)[C@H]1C(C)C ZINC000429356249 433773136 /nfs/dbraw/zinc/77/31/36/433773136.db2.gz USFBWJBQXIXTAK-RDJZCZTQSA-N 0 1 319.832 3.211 20 30 DGEDMN N#Cc1ccc(CCc2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000356965668 433787856 /nfs/dbraw/zinc/78/78/56/433787856.db2.gz RTRCPOXNDBXEHH-UHFFFAOYSA-N 0 1 315.336 3.270 20 30 DGEDMN N#Cc1ccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)c(F)c1 ZINC000293567616 433788503 /nfs/dbraw/zinc/78/85/03/433788503.db2.gz GSYSKJBRSLQUQR-KRWDZBQOSA-N 0 1 300.377 3.088 20 30 DGEDMN N#CCc1ccc(-c2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000356973795 433791856 /nfs/dbraw/zinc/79/18/56/433791856.db2.gz HGKUZMSRUFYOSE-UHFFFAOYSA-N 0 1 301.309 3.346 20 30 DGEDMN C[C@@H]1CCC[C@H](CN2C[C@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)C1 ZINC000356976216 433799498 /nfs/dbraw/zinc/79/94/98/433799498.db2.gz GBQGSGHUVNPAIC-OLPIVMHESA-N 0 1 323.440 3.158 20 30 DGEDMN Cc1ccnc(N2C[C@@H](C)N(Cc3ccccc3)C[C@H]2C)c1C#N ZINC000343502420 433810171 /nfs/dbraw/zinc/81/01/71/433810171.db2.gz DOZKVHPDEQFKKH-IAGOWNOFSA-N 0 1 320.440 3.361 20 30 DGEDMN CN(CCC(=O)N[C@H]1CCCc2ccccc21)CC(C)(C)C#N ZINC000433304413 433846000 /nfs/dbraw/zinc/84/60/00/433846000.db2.gz NGVGTBUQRQSMEU-KRWDZBQOSA-N 0 1 313.445 3.052 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000362927822 433911753 /nfs/dbraw/zinc/91/17/53/433911753.db2.gz LUNNWPPGKGXGAE-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000285137923 433920219 /nfs/dbraw/zinc/92/02/19/433920219.db2.gz NUSDVTLZXAEIAZ-WMLDXEAASA-N 0 1 312.373 3.293 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000377618216 433927476 /nfs/dbraw/zinc/92/74/76/433927476.db2.gz BTKPULGGPFUTGK-LJQANCHMSA-N 0 1 323.444 3.487 20 30 DGEDMN Cc1cc(C)c(C#N)c(SCc2cc(O)ccc2[N+](=O)[O-])n1 ZINC000436476464 433972829 /nfs/dbraw/zinc/97/28/29/433972829.db2.gz ZZERAFPIFGFFGO-UHFFFAOYSA-N 0 1 315.354 3.476 20 30 DGEDMN CC(C)[C@@H](C#N)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC000436558715 434010571 /nfs/dbraw/zinc/01/05/71/434010571.db2.gz YTKVIYRDBGSIKH-CQSZACIVSA-N 0 1 302.761 3.477 20 30 DGEDMN Cc1ccc(-c2cc(NC(=O)Nc3ccc(CC#N)cc3)[nH]n2)o1 ZINC000340253848 434018298 /nfs/dbraw/zinc/01/82/98/434018298.db2.gz QBFKHJPVOQCBEV-UHFFFAOYSA-N 0 1 321.340 3.688 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2nc3c(cc2C#N)CCC3)C1 ZINC000363447743 434053959 /nfs/dbraw/zinc/05/39/59/434053959.db2.gz CQIOMEYMOKOWEP-SFHVURJKSA-N 0 1 304.397 3.041 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@]3(C#N)CC34CCCC4)n2)cc1 ZINC000294617257 434122315 /nfs/dbraw/zinc/12/23/15/434122315.db2.gz NHJAEXCGSDSPOO-GOSISDBHSA-N 0 1 321.384 3.193 20 30 DGEDMN C/C(=C/c1ccccc1)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000506662986 294274024 /nfs/dbraw/zinc/27/40/24/294274024.db2.gz ULMLWJWOCPRABO-LGMDPLHJSA-N 0 1 318.424 3.179 20 30 DGEDMN C=C(Br)CN1C[C@H](c2ccccc2)[C@@H]2COCC[C@H]21 ZINC000286100653 434163304 /nfs/dbraw/zinc/16/33/04/434163304.db2.gz TYXLNUKGTQKDLI-OWCLPIDISA-N 0 1 322.246 3.400 20 30 DGEDMN Cc1nsc(N[C@@H]2CCCN(Cc3ccccc3)C2)c1C#N ZINC000380901867 434267547 /nfs/dbraw/zinc/26/75/47/434267547.db2.gz RTBRKXHKWIPQDM-OAHLLOKOSA-N 0 1 312.442 3.400 20 30 DGEDMN CNC(=O)CC[C@H]1CCCC[N@H+]1CC#Cc1cccc(Cl)c1 ZINC000286630496 434283279 /nfs/dbraw/zinc/28/32/79/434283279.db2.gz YDZPIFTYTHNGRI-QGZVFWFLSA-N 0 1 318.848 3.072 20 30 DGEDMN COc1cc(CNC2(c3cccc(C#N)c3)CC2)cc(OC)c1O ZINC000348070067 434284055 /nfs/dbraw/zinc/28/40/55/434284055.db2.gz CPXKHQFVUONRCQ-UHFFFAOYSA-N 0 1 324.380 3.060 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)[C@H]1CCCN(C(C)C)C1=O ZINC000348242566 434288605 /nfs/dbraw/zinc/28/86/05/434288605.db2.gz RVFXEBCIGHEUJS-SFHVURJKSA-N 0 1 300.446 3.464 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000348239877 434290325 /nfs/dbraw/zinc/29/03/25/434290325.db2.gz AFNZYTQRAFQEGV-AVGNSLFASA-N 0 1 306.372 3.072 20 30 DGEDMN C=CC[C@@H]1N(C(=O)c2cc(F)cc3nn[nH]c32)CCCC1(C)C ZINC000328659396 434297031 /nfs/dbraw/zinc/29/70/31/434297031.db2.gz SQYDZHDDVMJZTL-AWEZNQCLSA-N 0 1 316.380 3.304 20 30 DGEDMN CCc1nc([C@@H](C)NC(=O)c2coc(C3CCCCC3)n2)n[nH]1 ZINC000328710427 434302045 /nfs/dbraw/zinc/30/20/45/434302045.db2.gz ASWVJMQXDSSOGS-SNVBAGLBSA-N 0 1 317.393 3.469 20 30 DGEDMN Cc1ccc2c(c1)CC[C@@H]2[NH+]=C([O-])N1CC[C@@H](c2nccn2C)C1 ZINC000328795011 434315204 /nfs/dbraw/zinc/31/52/04/434315204.db2.gz SUYMRFNZAUJMQM-WBVHZDCISA-N 0 1 324.428 3.119 20 30 DGEDMN C[C@H](C[NH+]=C([O-])N1CC=C(C(C)(C)C)CC1)Cn1cccn1 ZINC000328890913 434324136 /nfs/dbraw/zinc/32/41/36/434324136.db2.gz SCEXNMKCQRRMGE-CQSZACIVSA-N 0 1 304.438 3.111 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)n[nH]1 ZINC000328904695 434325639 /nfs/dbraw/zinc/32/56/39/434325639.db2.gz AHLWSEXZBYIZCM-IGQOVBAYSA-N 0 1 319.453 3.291 20 30 DGEDMN C[C@]1(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCc2ccccc2C1 ZINC000328930561 434329234 /nfs/dbraw/zinc/32/92/34/434329234.db2.gz HMYGZYOKQBKLDZ-BEFAXECRSA-N 0 1 309.413 3.419 20 30 DGEDMN COc1ccc2c(c1)CC[N@H+](Cc1cc(C#N)ccc1OC)CC2 ZINC000340738110 434331258 /nfs/dbraw/zinc/33/12/58/434331258.db2.gz LIRIXLCPYPZAQG-UHFFFAOYSA-N 0 1 322.408 3.176 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN[C@@H]1CCC[C@@H]1OC(F)F ZINC000348519303 434368923 /nfs/dbraw/zinc/36/89/23/434368923.db2.gz BUAUFALUQWQHHJ-OLZOCXBDSA-N 0 1 300.736 3.462 20 30 DGEDMN CC(C)C1CCC(CNC(=O)c2cccn3c(O)nnc23)CC1 ZINC000329265154 434382244 /nfs/dbraw/zinc/38/22/44/434382244.db2.gz CERVIOFKJCUVIK-UHFFFAOYSA-N 0 1 316.405 3.202 20 30 DGEDMN C[C@]1(NC(=O)[C@@H]2CCc3[nH]nnc3C2)CCCc2ccccc21 ZINC000329037473 434350222 /nfs/dbraw/zinc/35/02/22/434350222.db2.gz ZIHGWSKQNLWQBM-ACJLOTCBSA-N 0 1 310.401 3.118 20 30 DGEDMN C[C@]1(NC(=O)[C@@H]2CCc3nn[nH]c3C2)CCCc2ccccc21 ZINC000329037473 434350233 /nfs/dbraw/zinc/35/02/33/434350233.db2.gz ZIHGWSKQNLWQBM-ACJLOTCBSA-N 0 1 310.401 3.118 20 30 DGEDMN C=CCC[C@@H](C(=O)NCc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC000295427544 434356372 /nfs/dbraw/zinc/35/63/72/434356372.db2.gz MOKGDSYEFQKGOA-OAHLLOKOSA-N 0 1 310.401 3.048 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCC[C@H](C)[C@H]3C)C2)c1 ZINC000329541004 434430645 /nfs/dbraw/zinc/43/06/45/434430645.db2.gz DGBPXEQZXJQHAW-ZJIFWQFVSA-N 0 1 304.438 3.177 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H](C)C3CCCCC3)C2)c1 ZINC000329733278 434467055 /nfs/dbraw/zinc/46/70/55/434467055.db2.gz QSDCEMPZSGGBPB-GOEBONIOSA-N 0 1 304.438 3.321 20 30 DGEDMN Cc1cc(NC(=O)NC2(Cc3ccccc3)CCCC2)nn1C ZINC000329815024 434482824 /nfs/dbraw/zinc/48/28/24/434482824.db2.gz DYWWLQJIEGWHTN-UHFFFAOYSA-N 0 1 312.417 3.610 20 30 DGEDMN C[C@H](NC([O-])=[NH+][C@H]1CCc2cn[nH]c2C1)c1cc2ccccc2o1 ZINC000329799475 434483246 /nfs/dbraw/zinc/48/32/46/434483246.db2.gz HNSBDMUUCZEYLJ-FZMZJTMJSA-N 0 1 324.384 3.278 20 30 DGEDMN CC1(C)CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC(C)(C)C1 ZINC000329807751 434484352 /nfs/dbraw/zinc/48/43/52/434484352.db2.gz AJUDJLQKFPVNDO-CYBMUJFWSA-N 0 1 304.438 3.459 20 30 DGEDMN Cc1cnn([C@@H]2CCN([C@@H](C)C(=O)NC3CCC(C)CC3)C2)c1 ZINC000329855102 434492887 /nfs/dbraw/zinc/49/28/87/434492887.db2.gz TVVIDGYRTSXQGP-LRSKPHEKSA-N 0 1 318.465 3.362 20 30 DGEDMN CCC1(CC)CCCN(C([O-])=[NH+][C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000329860716 434497217 /nfs/dbraw/zinc/49/72/17/434497217.db2.gz PSTPBXNEBICGOI-OAHLLOKOSA-N 0 1 318.465 3.473 20 30 DGEDMN CCC1(CC)CCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000438504907 434498112 /nfs/dbraw/zinc/49/81/12/434498112.db2.gz LSNFYPNMDOLTQC-AWEZNQCLSA-N 0 1 317.458 3.237 20 30 DGEDMN CC(C)(C)c1cnc(NC(=O)N2CC[C@@H](n3cccn3)C2)s1 ZINC000329637078 434449068 /nfs/dbraw/zinc/44/90/68/434449068.db2.gz VLPNBXTUPRILBI-LLVKDONJSA-N 0 1 319.434 3.130 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC=C(c2cccnc2)C1 ZINC000451023590 434536697 /nfs/dbraw/zinc/53/66/97/434536697.db2.gz GDCXUSWGVMDGDN-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN O=C(N[C@@H]1CCCC12CCOCC2)[C@H]1CCCc2[nH]ncc21 ZINC000328648875 295379729 /nfs/dbraw/zinc/37/97/29/295379729.db2.gz IIIJRCVFZYYSDG-SWLSCSKDSA-N 0 1 303.406 3.135 20 30 DGEDMN CC[C@@H](C(=O)N[C@H]1CC[C@@H](CC#N)C1)N(C)Cc1ccccc1 ZINC000452556154 434643403 /nfs/dbraw/zinc/64/34/03/434643403.db2.gz KFQRYPMJKQTPMS-SZMVWBNQSA-N 0 1 313.445 3.096 20 30 DGEDMN C=CCC[C@H](C(=O)NCc1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000452426325 434606454 /nfs/dbraw/zinc/60/64/54/434606454.db2.gz WPRYNXFNWSDGJK-HNNXBMFYSA-N 0 1 312.417 3.294 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1 ZINC000452657348 434671489 /nfs/dbraw/zinc/67/14/89/434671489.db2.gz QSTVNYVMHLZOEY-UHFFFAOYSA-N 0 1 303.406 3.014 20 30 DGEDMN CCN(CC(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1)C1CC1 ZINC000452690236 434681636 /nfs/dbraw/zinc/68/16/36/434681636.db2.gz XPPVHPXSFZRVQT-UHFFFAOYSA-N 0 1 315.417 3.158 20 30 DGEDMN COc1ccc(C2(NCc3ccc(C#N)c(OC)c3)CC2)cc1 ZINC000440828761 434750246 /nfs/dbraw/zinc/75/02/46/434750246.db2.gz UFGUGAXNVXVPNZ-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN C[C@H](c1cccc(O)c1)[N@@H+](C)CCC(=O)Nc1cccc(C#N)c1 ZINC000440411381 434700524 /nfs/dbraw/zinc/70/05/24/434700524.db2.gz ZLQAVBKDAXGVEX-CQSZACIVSA-N 0 1 323.396 3.285 20 30 DGEDMN Cc1cc(C[N@H+](C)C[C@H](C)C(=O)OC(C)(C)C)ccc1C#N ZINC000459775075 434712959 /nfs/dbraw/zinc/71/29/59/434712959.db2.gz QIVCJFJWTBWGCP-AWEZNQCLSA-N 0 1 302.418 3.276 20 30 DGEDMN N#CC1(CCN2CCN(Cc3ccccn3)CC2)CCCCC1 ZINC000411144161 434728763 /nfs/dbraw/zinc/72/87/63/434728763.db2.gz OAUSPNRBNCLYKW-UHFFFAOYSA-N 0 1 312.461 3.063 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2cc(Cl)ccc2C#N)[C@@H](C)[C@H]1C ZINC000419339029 434775880 /nfs/dbraw/zinc/77/58/80/434775880.db2.gz CQZUXYLTHKLTOZ-WOPDTQHZSA-N 0 1 305.809 3.126 20 30 DGEDMN C[C@@H]1CN(CCC2(C#N)CCCCC2)C[C@@]2(CCCOC2)O1 ZINC000411680908 434817374 /nfs/dbraw/zinc/81/73/74/434817374.db2.gz OBIRUOPMWCJJDA-SJLPKXTDSA-N 0 1 306.450 3.120 20 30 DGEDMN COC1([C@H](C)NCc2ccc(Br)cc2C#N)CCC1 ZINC000412020850 434854727 /nfs/dbraw/zinc/85/47/27/434854727.db2.gz KKHQQJMQWJNYLP-NSHDSACASA-N 0 1 323.234 3.368 20 30 DGEDMN Cc1ccc2nc(CNC(=O)C=Cc3ccc(C#N)cc3)[nH]c2c1 ZINC000491932481 434885803 /nfs/dbraw/zinc/88/58/03/434885803.db2.gz AEISSSWTEKEYLP-CLFYSBASSA-N 0 1 316.364 3.073 20 30 DGEDMN CC[C@@H](C)c1ccc(S(=O)(=O)Nc2cccc(C#N)n2)cc1 ZINC000573965909 434938874 /nfs/dbraw/zinc/93/88/74/434938874.db2.gz KFQRDQRZCJQPDR-GFCCVEGCSA-N 0 1 315.398 3.268 20 30 DGEDMN N#CC(C(=O)c1ncoc1C1CC1)c1ccc(C(F)(F)F)cn1 ZINC000574025917 434944335 /nfs/dbraw/zinc/94/43/35/434944335.db2.gz ZIELYKTZQLNEHZ-SNVBAGLBSA-N 0 1 321.258 3.456 20 30 DGEDMN N#Cc1cccc(CCN=c2nc(-c3ccccc3)[nH]s2)c1 ZINC000574069817 434948050 /nfs/dbraw/zinc/94/80/50/434948050.db2.gz XTQGPMYORFSEMJ-UHFFFAOYSA-N 0 1 306.394 3.153 20 30 DGEDMN COC(=O)c1cccc(CN(C)[C@@H]2CCC(C)(C)C2)c1C#N ZINC000555427304 434973754 /nfs/dbraw/zinc/97/37/54/434973754.db2.gz MTEVAJFWEDTTQW-CQSZACIVSA-N 0 1 300.402 3.355 20 30 DGEDMN C[C@@H](CN(C)[C@@H](C(N)=O)c1ccc(C#N)cc1)C1CCCCC1 ZINC000528773647 435029000 /nfs/dbraw/zinc/02/90/00/435029000.db2.gz MSEUMPCVHMXJLL-KBXCAEBGSA-N 0 1 313.445 3.233 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@@H]2Cc3c2cccc3Cl)nc(C)n1 ZINC000528913789 435030545 /nfs/dbraw/zinc/03/05/45/435030545.db2.gz DNLKAXSWBSCJAN-ZIAGYGMSSA-N 0 1 311.772 3.263 20 30 DGEDMN C[C@@H]1C[C@H](C)CN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000524620123 434983171 /nfs/dbraw/zinc/98/31/71/434983171.db2.gz VUGCJQDHNBKHTC-UONOGXRCSA-N 0 1 319.836 3.317 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCCC2(C1)CCCCCC2 ZINC000464767262 434997583 /nfs/dbraw/zinc/99/75/83/434997583.db2.gz VTCTVETWXPCQSX-SFHVURJKSA-N 0 1 319.493 3.477 20 30 DGEDMN Cn1cc([C@@H]2CN(CC#Cc3ccc(F)cc3)CC2(C)C)cn1 ZINC000576928992 435058074 /nfs/dbraw/zinc/05/80/74/435058074.db2.gz ZKBRPZUAODAVKY-SFHVURJKSA-N 0 1 311.404 3.036 20 30 DGEDMN N#CCCNCCCOc1ncc(C(F)(F)F)cc1Cl ZINC000577602521 435060585 /nfs/dbraw/zinc/06/05/85/435060585.db2.gz DRVKNHFQQQWAPO-UHFFFAOYSA-N 0 1 307.703 3.026 20 30 DGEDMN CC(C)(C)c1ncc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cn1 ZINC000577657652 435061256 /nfs/dbraw/zinc/06/12/56/435061256.db2.gz BLYKAWBUPDGOIX-LBPRGKRZSA-N 0 1 319.368 3.140 20 30 DGEDMN CC(C)(C)c1ncc(C(=O)[C@H](C#N)c2nc3ccccc3[nH]2)cn1 ZINC000577657652 435061260 /nfs/dbraw/zinc/06/12/60/435061260.db2.gz BLYKAWBUPDGOIX-LBPRGKRZSA-N 0 1 319.368 3.140 20 30 DGEDMN C[C@@H]1CCCN(c2cc(C(=O)C(C#N)c3ccccn3)ccn2)C1 ZINC000577796714 435061674 /nfs/dbraw/zinc/06/16/74/435061674.db2.gz WSHDUOVRAHDGJP-ZBFHGGJFSA-N 0 1 320.396 3.203 20 30 DGEDMN CN(CC#Cc1ccccc1)CCOCc1ccc(C#N)cc1 ZINC000558173634 435081018 /nfs/dbraw/zinc/08/10/18/435081018.db2.gz DAHMLACTBJGIFJ-UHFFFAOYSA-N 0 1 304.393 3.058 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cc([N+](=O)[O-])cn2C)n1 ZINC000530853989 435054834 /nfs/dbraw/zinc/05/48/34/435054834.db2.gz CMWYYYBBISDPKI-SNVBAGLBSA-N 0 1 318.358 3.003 20 30 DGEDMN Cc1ccc([C@H]2OCCC[C@H]2NCc2nc(C#N)cs2)cc1 ZINC000558485980 435093952 /nfs/dbraw/zinc/09/39/52/435093952.db2.gz NSCHLVXMKAOYCF-NVXWUHKLSA-N 0 1 313.426 3.333 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)cc1Cl ZINC000558649083 435103305 /nfs/dbraw/zinc/10/33/05/435103305.db2.gz BEQSWRKILCWXKW-UHFFFAOYSA-N 0 1 314.654 3.206 20 30 DGEDMN C[C@@H]1CC[C@@](C)(/C=C/C(=O)NC2(C#N)CCN(C)CC2)C1(C)C ZINC000493270724 435139756 /nfs/dbraw/zinc/13/97/56/435139756.db2.gz LMJXQJHIBMENJO-SBYGZDSISA-N 0 1 317.477 3.109 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000494700688 435198041 /nfs/dbraw/zinc/19/80/41/435198041.db2.gz BISDRVLIJUTRMY-HUUCEWRRSA-N 0 1 307.463 3.101 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C2CCC1CC2 ZINC000532916354 435198104 /nfs/dbraw/zinc/19/81/04/435198104.db2.gz HDNORZAYJKXZIE-FNBIGBAISA-N 0 1 303.793 3.165 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(C(C)(C)C)nc2)n1 ZINC000582384155 435239418 /nfs/dbraw/zinc/23/94/18/435239418.db2.gz AQTGPBNUHQZNJT-LLVKDONJSA-N 0 1 300.387 3.029 20 30 DGEDMN C[C@H](C(=O)Nc1nccs1)N1CCC2(CCOCC2)[C@H]1C ZINC000329467731 298244096 /nfs/dbraw/zinc/24/40/96/298244096.db2.gz WJWQUQRGNCKPFK-VXGBXAGGSA-N 0 1 309.435 3.011 20 30 DGEDMN CC(C)N(Cc1cnn(CC(F)F)c1)Cc1ccc(C#N)cc1 ZINC000507244660 435309179 /nfs/dbraw/zinc/30/91/79/435309179.db2.gz TTZNQHXJLOVKAV-UHFFFAOYSA-N 0 1 318.371 3.430 20 30 DGEDMN Cc1cc2[nH]ncc2cc1Nc1c(C#N)nnc2ccccc21 ZINC000477805041 435279286 /nfs/dbraw/zinc/27/92/86/435279286.db2.gz WRFYIWXNPLYRKT-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H]1CCc2ncnn21 ZINC000639434125 435293765 /nfs/dbraw/zinc/29/37/65/435293765.db2.gz NEOZSILVVOOOTL-NSHDSACASA-N 0 1 308.838 3.169 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H](c3ccccc3)C2)c1C#N ZINC000535168230 435322730 /nfs/dbraw/zinc/32/27/30/435322730.db2.gz RKIACGXOXUJNGZ-INIZCTEOSA-N 0 1 320.392 3.334 20 30 DGEDMN C[C@H](NCc1cnc2c(C#N)cnn2c1)c1cccc(C2CC2)c1 ZINC000567888390 435364598 /nfs/dbraw/zinc/36/45/98/435364598.db2.gz CVWODUKOKSWFLM-ZDUSSCGKSA-N 0 1 317.396 3.329 20 30 DGEDMN COC(=O)c1cccc(CN(C)CC2CCCCC2)c1C#N ZINC000535221740 435324514 /nfs/dbraw/zinc/32/45/14/435324514.db2.gz RYTXYRLWBWWPFW-UHFFFAOYSA-N 0 1 300.402 3.357 20 30 DGEDMN C=C(CC)CN1CCN(c2ccc([N+](=O)[O-])c(OCC)c2)CC1 ZINC000481787636 435440699 /nfs/dbraw/zinc/44/06/99/435440699.db2.gz WZHSBKBZUXFFNB-UHFFFAOYSA-N 0 1 319.405 3.082 20 30 DGEDMN OCC1([C@@H](NCC#Cc2ccc(F)cc2)c2ccncc2)CCC1 ZINC000639880663 435444006 /nfs/dbraw/zinc/44/40/06/435444006.db2.gz WWYFGBWEKVBTFK-IBGZPJMESA-N 0 1 324.399 3.066 20 30 DGEDMN C=CCCCCCN1CCN(c2snc(C)c2C#N)CC1 ZINC000517204734 435582206 /nfs/dbraw/zinc/58/22/06/435582206.db2.gz HHOOXULCDSZBBI-UHFFFAOYSA-N 0 1 304.463 3.192 20 30 DGEDMN C#CCN(CC#CC)CCC(=O)Nc1c(Cl)cccc1Cl ZINC000490840956 435683858 /nfs/dbraw/zinc/68/38/58/435683858.db2.gz QRZSQVRCFCFJDG-UHFFFAOYSA-N 0 1 323.223 3.281 20 30 DGEDMN C#CCn1ccnc1C=NNc1cc(C(F)(F)F)ccc1F ZINC000491774492 435811642 /nfs/dbraw/zinc/81/16/42/435811642.db2.gz DCTNBHOJXFTHBI-UHFFFAOYSA-N 0 1 310.254 3.120 20 30 DGEDMN N#Cc1cnn2cc(CN[C@@H]3CCc4c3cccc4Cl)cnc12 ZINC000551208447 435825412 /nfs/dbraw/zinc/82/54/12/435825412.db2.gz KOANNWGTFKKTDC-MRXNPFEDSA-N 0 1 323.787 3.031 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)NCCCc1cn[nH]c1C ZINC000656536661 435968850 /nfs/dbraw/zinc/96/88/50/435968850.db2.gz QCEZEGGZZBQZET-GDBMZVCRSA-N 0 1 304.438 3.085 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2cc(C)nn2C(C)(C)C)C1 ZINC000661379946 436048506 /nfs/dbraw/zinc/04/85/06/436048506.db2.gz JQYLIAPMJKWISD-OAHLLOKOSA-N 0 1 318.465 3.173 20 30 DGEDMN C=CCCn1cc(CNC[C@H](Nc2ccccc2)C(C)C)nn1 ZINC000657354359 436155002 /nfs/dbraw/zinc/15/50/02/436155002.db2.gz PFCFEMUWCIDPTN-SFHVURJKSA-N 0 1 313.449 3.081 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)nn1 ZINC000653559515 436203053 /nfs/dbraw/zinc/20/30/53/436203053.db2.gz FJPMIROWDMBJEL-LPHOPBHVSA-N 0 1 310.445 3.480 20 30 DGEDMN C=CCCn1cc(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)nn1 ZINC000653558119 436204631 /nfs/dbraw/zinc/20/46/31/436204631.db2.gz VFBZWTCXUHFZDU-GOEBONIOSA-N 0 1 314.408 3.371 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)nn1 ZINC000653563301 436207567 /nfs/dbraw/zinc/20/75/67/436207567.db2.gz FQBJPFIJUZRSGK-WMZOPIPTSA-N 0 1 310.445 3.307 20 30 DGEDMN C=CCCn1cc(CN(CC)[C@H](C)Cc2ccsc2)nn1 ZINC000653625548 436226419 /nfs/dbraw/zinc/22/64/19/436226419.db2.gz IQLBEQCPWGEGIO-CQSZACIVSA-N 0 1 304.463 3.369 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@@H](OCC)C23CCCCC3)nn1 ZINC000653642532 436232251 /nfs/dbraw/zinc/23/22/51/436232251.db2.gz HWSRMGOZFBJCJF-DLBZAZTESA-N 0 1 318.465 3.072 20 30 DGEDMN C=CCOCCCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000658210090 436400436 /nfs/dbraw/zinc/40/04/36/436400436.db2.gz NXVZJUCMWANXIZ-GOSISDBHSA-N 0 1 300.377 3.492 20 30 DGEDMN N#C[C@@H](C(=O)c1ccnn1C(F)F)c1nc(C2CC2)cs1 ZINC000659116191 436462353 /nfs/dbraw/zinc/46/23/53/436462353.db2.gz PYVBHAWYAPSHAU-QMMMGPOBSA-N 0 1 308.313 3.102 20 30 DGEDMN N#CC(C(=O)c1ccnn1C(F)F)c1nc(C2CC2)cs1 ZINC000659116191 436462355 /nfs/dbraw/zinc/46/23/55/436462355.db2.gz PYVBHAWYAPSHAU-QMMMGPOBSA-N 0 1 308.313 3.102 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(N(C)C)c(Cl)c2)n1 ZINC000654968877 436479086 /nfs/dbraw/zinc/47/90/86/436479086.db2.gz QIDOPWLMQLEVIG-SNVBAGLBSA-N 0 1 320.805 3.056 20 30 DGEDMN C=CC[C@@H]1CCCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000664253503 436579297 /nfs/dbraw/zinc/57/92/97/436579297.db2.gz OJYRKPRUJXMLPG-SECBINFHSA-N 0 1 311.311 3.164 20 30 DGEDMN C=CCCN(C)CC(=O)c1c[nH]c2ncc(Br)cc12 ZINC000660398396 436630732 /nfs/dbraw/zinc/63/07/32/436630732.db2.gz DVWHCNLKKLFUMC-UHFFFAOYSA-N 0 1 322.206 3.016 20 30 DGEDMN Cc1ccc2nc(CNC(=O)c3ccc(Cl)c(C#N)c3)[nH]c2c1 ZINC000543441517 314134758 /nfs/dbraw/zinc/13/47/58/314134758.db2.gz GMEPZHSMWVYMMD-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN N#Cc1ccc2c(c1)CCCC[C@@H]2NCc1n[nH]c(C2CC2)n1 ZINC000562785126 315053172 /nfs/dbraw/zinc/05/31/72/315053172.db2.gz AJAVUZPXEPWJEO-INIZCTEOSA-N 0 1 307.401 3.111 20 30 DGEDMN CC[C@@H](Cc1ccccc1)[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000565363359 315255956 /nfs/dbraw/zinc/25/59/56/315255956.db2.gz QXAPECDLZQHFPK-SFHVURJKSA-N 0 1 319.412 3.054 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cccc(Cl)c2Cl)o1 ZINC000570241423 315634990 /nfs/dbraw/zinc/63/49/90/315634990.db2.gz GTAWBOXAPPRBCE-UHFFFAOYSA-N 0 1 317.153 3.259 20 30 DGEDMN N#Cc1cnc(C[N@H+]2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)nc1 ZINC000582291156 324211909 /nfs/dbraw/zinc/21/19/09/324211909.db2.gz CPLFRHHWQGROIR-KSZLIROESA-N 0 1 304.397 3.116 20 30 DGEDMN N#Cc1cnc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)nc1 ZINC000582291156 324211914 /nfs/dbraw/zinc/21/19/14/324211914.db2.gz CPLFRHHWQGROIR-KSZLIROESA-N 0 1 304.397 3.116 20 30 DGEDMN Cc1ccnc2[nH]c([C@H](C#N)C(=O)c3cc4c(s3)CCC4)nc21 ZINC000530946824 331637741 /nfs/dbraw/zinc/63/77/41/331637741.db2.gz ONIFLHCVQNKKKD-LLVKDONJSA-N 0 1 322.393 3.307 20 30 DGEDMN Cc1ccccc1-c1cccc(CN2CCN(CC#N)CC2)c1 ZINC000092936317 332132498 /nfs/dbraw/zinc/13/24/98/332132498.db2.gz FYNRFIAVWFSTSB-UHFFFAOYSA-N 0 1 305.425 3.303 20 30 DGEDMN C=CCNC(=O)CN[C@@H](c1ccccc1)c1cc(C)ccc1C ZINC000181161408 332186767 /nfs/dbraw/zinc/18/67/67/332186767.db2.gz GIFIBOJAUGSGGF-FQEVSTJZSA-N 0 1 308.425 3.285 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccnn3CC(C)C)[nH]c21 ZINC000564959007 332295769 /nfs/dbraw/zinc/29/57/69/332295769.db2.gz UFRCNLDUAXLZPV-ZDUSSCGKSA-N 0 1 321.384 3.214 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000531318687 333343802 /nfs/dbraw/zinc/34/38/02/333343802.db2.gz TZPPFQNSEPKDBL-MRXNPFEDSA-N 0 1 321.852 3.326 20 30 DGEDMN N#CCC[C@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000269583412 334002420 /nfs/dbraw/zinc/00/24/20/334002420.db2.gz AKVLMUPNMUTREQ-JKSUJKDBSA-N 0 1 323.400 3.131 20 30 DGEDMN C=CCCC(=O)Nc1cc(Cl)ccc1N1CCN(CC)CC1 ZINC000102220101 334710230 /nfs/dbraw/zinc/71/02/30/334710230.db2.gz WOMHRIPJEQLYRA-UHFFFAOYSA-N 0 1 321.852 3.387 20 30 DGEDMN CCN(CC#Cc1ccc(F)cc1)Cc1ccc2c(c1)OCO2 ZINC000532603625 336257309 /nfs/dbraw/zinc/25/73/09/336257309.db2.gz IASRBCSCCZZMBU-UHFFFAOYSA-N 0 1 311.356 3.428 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)C3(CCCCC3)C2)CC1 ZINC000373318954 336956302 /nfs/dbraw/zinc/95/63/02/336956302.db2.gz ORFRNKUVEXSGTH-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN CC1(C)[C@H](NC[C@@H](C#N)CCC#N)C[C@@H]1OCc1ccccc1 ZINC000582819941 337200863 /nfs/dbraw/zinc/20/08/63/337200863.db2.gz INAYRIZZMNTMER-KURKYZTESA-N 0 1 311.429 3.403 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(C(F)(F)F)ccc1OCC ZINC000120119518 337869036 /nfs/dbraw/zinc/86/90/36/337869036.db2.gz MBGRDKZTTZRBKL-UHFFFAOYSA-N 0 1 323.336 3.422 20 30 DGEDMN C=CCCC[C@@H](C)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000537182352 337908741 /nfs/dbraw/zinc/90/87/41/337908741.db2.gz JETWRABSIARLNY-SECBINFHSA-N 0 1 307.337 3.127 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](c2ccccc2F)C2CCCC2)C1=O ZINC000499605477 340040843 /nfs/dbraw/zinc/04/08/43/340040843.db2.gz JAOLQQADTCHVNL-ROUUACIJSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CCOc1ccccc1C ZINC000501397242 340080095 /nfs/dbraw/zinc/08/00/95/340080095.db2.gz HCAVWIQWKXRQLD-QGZVFWFLSA-N 0 1 318.461 3.119 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000503429530 340128042 /nfs/dbraw/zinc/12/80/42/340128042.db2.gz JIOUNHRYDMBULG-KBXCAEBGSA-N 0 1 318.848 3.365 20 30 DGEDMN COc1ccc(NC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000505420785 340168003 /nfs/dbraw/zinc/16/80/03/340168003.db2.gz KCQPOFXBAHRXFK-AWEZNQCLSA-N 0 1 320.352 3.126 20 30 DGEDMN Cc1ccc(-c2csc(C(C#N)C(=O)NCC(C)C)n2)cc1 ZINC000505466314 340168972 /nfs/dbraw/zinc/16/89/72/340168972.db2.gz FRTDSJQJKBFYPB-AWEZNQCLSA-N 0 1 313.426 3.498 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCNC[C@H]1C(C)C ZINC000528687660 340729609 /nfs/dbraw/zinc/72/96/09/340729609.db2.gz HEIBTWCEEQEHKY-KRWDZBQOSA-N 0 1 301.434 3.267 20 30 DGEDMN CCN(CC)C(=O)[C@H](c1ccccc1)N1CCC(CC#N)CC1 ZINC000543807747 341059948 /nfs/dbraw/zinc/05/99/48/341059948.db2.gz QOGDNOCROPFHHQ-SFHVURJKSA-N 0 1 313.445 3.222 20 30 DGEDMN C=CCCCC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000546110837 341153988 /nfs/dbraw/zinc/15/39/88/341153988.db2.gz YDRZNYQDDZABJV-SFHVURJKSA-N 0 1 300.446 3.466 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)[N@@H+](C)CC2CC2)c1 ZINC000077194704 341220372 /nfs/dbraw/zinc/22/03/72/341220372.db2.gz AMBBTRUDQQBKGA-MRXNPFEDSA-N 0 1 313.445 3.280 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C1CC1)C1CCC(C)CC1 ZINC000077194245 341220392 /nfs/dbraw/zinc/22/03/92/341220392.db2.gz JSYVHPXUILLNBG-HWOWSKLDSA-N 0 1 305.466 3.038 20 30 DGEDMN C=CCCC(=O)CSc1nc(-c2cc(F)cc(F)c2)n[nH]1 ZINC000134812454 341224644 /nfs/dbraw/zinc/22/46/44/341224644.db2.gz CIXBVISEYSBQKD-UHFFFAOYSA-N 0 1 309.341 3.377 20 30 DGEDMN Cc1nc(C)c(CN2CCC(C#N)(c3ccccn3)CC2)s1 ZINC000548858540 341278403 /nfs/dbraw/zinc/27/84/03/341278403.db2.gz ITDXJEBJWLXMRM-UHFFFAOYSA-N 0 1 312.442 3.212 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000550247546 341313351 /nfs/dbraw/zinc/31/33/51/341313351.db2.gz LBKQJBOUQRSXEU-HNNXBMFYSA-N 0 1 300.446 3.152 20 30 DGEDMN CC(C)[C@@]1(CO)CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC000550433462 341316922 /nfs/dbraw/zinc/31/69/22/341316922.db2.gz KUQGZRALJFNAEG-SFHVURJKSA-N 0 1 305.849 3.422 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1 ZINC000551679028 341348755 /nfs/dbraw/zinc/34/87/55/341348755.db2.gz BJBAZRNXGUSHKY-CHWSQXEVSA-N 0 1 320.799 3.091 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)S[C@@H](C)C2)cc(OC)c1O ZINC000092540623 341450191 /nfs/dbraw/zinc/45/01/91/341450191.db2.gz WOUVOUYHOSKQTC-STQMWFEESA-N 0 1 307.459 3.455 20 30 DGEDMN C=C(C)CNC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000156364505 341620426 /nfs/dbraw/zinc/62/04/26/341620426.db2.gz XFPJSQXALDXLSD-MRXNPFEDSA-N 0 1 321.852 3.352 20 30 DGEDMN COc1ccc(Cl)cc1NC(=O)CCN(C)CC(C)(C)C#N ZINC000433296324 533869365 /nfs/dbraw/zinc/86/93/65/533869365.db2.gz SAZVFAKSGFETGZ-UHFFFAOYSA-N 0 1 323.824 3.159 20 30 DGEDMN C[C@H]1CN(CCCCC(C)(C)C#N)CCN1CC(F)(F)F ZINC000444663783 533929369 /nfs/dbraw/zinc/92/93/69/533929369.db2.gz LWPNPFVEIGPWLG-ZDUSSCGKSA-N 0 1 305.388 3.275 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@@H](C)N(CC)C[C@H]1C)c1ccccc1 ZINC000489590010 534325605 /nfs/dbraw/zinc/32/56/05/534325605.db2.gz WJGWUQMUQOTYCP-NUJGCVRESA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000488472294 534471912 /nfs/dbraw/zinc/47/19/12/534471912.db2.gz BOEBTIFCLBKSMI-SFHVURJKSA-N 0 1 323.440 3.069 20 30 DGEDMN Cc1cn2cc(C(=O)C(C#N)c3nc4ccccc4[nH]3)sc2n1 ZINC000356163311 534514050 /nfs/dbraw/zinc/51/40/50/534514050.db2.gz HNZKMGFKADZTIW-SNVBAGLBSA-N 0 1 321.365 3.071 20 30 DGEDMN CCOc1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)cc1 ZINC000266521441 521064690 /nfs/dbraw/zinc/06/46/90/521064690.db2.gz ZNTQLHGGZFEUHL-CQSZACIVSA-N 0 1 323.396 3.246 20 30 DGEDMN Cc1cnc(CNCCSCc2ccccc2C#N)s1 ZINC000294733878 534557020 /nfs/dbraw/zinc/55/70/20/534557020.db2.gz JBXJKMUBRLBVBW-UHFFFAOYSA-N 0 1 303.456 3.346 20 30 DGEDMN N#CC(C(=O)c1ncoc1-c1ccc(F)cc1)c1ccccn1 ZINC000352308282 534739762 /nfs/dbraw/zinc/73/97/62/534739762.db2.gz FKAFLXKDCMFSRH-ZDUSSCGKSA-N 0 1 307.284 3.366 20 30 DGEDMN N#Cc1ccc(CN2CCOC[C@H](C3CCC3)C2)c(Cl)c1 ZINC000429255190 534780429 /nfs/dbraw/zinc/78/04/29/534780429.db2.gz MRXUIJWMQOUSCQ-MRXNPFEDSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@H](C(=O)OC)[C@@H]2C)cc1Cl ZINC000470149864 534883209 /nfs/dbraw/zinc/88/32/09/534883209.db2.gz UVGATKAPYAUGDE-JSGCOSHPSA-N 0 1 323.820 3.288 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)c3c[nH]c(C#N)c3)[nH]c2c1 ZINC000181264240 526108753 /nfs/dbraw/zinc/10/87/53/526108753.db2.gz PNPGNCJSJPLBQX-MRXNPFEDSA-N 0 1 319.368 3.048 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc([N+](=O)[O-])cc1OC ZINC000430224123 526326765 /nfs/dbraw/zinc/32/67/65/526326765.db2.gz YWLIJRQMJWPQDA-UHFFFAOYSA-N 0 1 316.357 3.427 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cccc2[nH]cnc21 ZINC000159083138 526340829 /nfs/dbraw/zinc/34/08/29/526340829.db2.gz AJDGXQSGSTWFBF-UHFFFAOYSA-N 0 1 321.380 3.400 20 30 DGEDMN C=CCN(Cc1ccc2c(c1)nnn2C)Cc1cccc(C#N)c1 ZINC000352756010 526342719 /nfs/dbraw/zinc/34/27/19/526342719.db2.gz AFXICLVTRNAJTN-UHFFFAOYSA-N 0 1 317.396 3.028 20 30 DGEDMN C=CCN1CC[C@@H](N(C)CCSc2ccc(Cl)cc2)C1=O ZINC000337273910 526468903 /nfs/dbraw/zinc/46/89/03/526468903.db2.gz PLJSQSVTXGNXJR-OAHLLOKOSA-N 0 1 324.877 3.151 20 30 DGEDMN C=CCN1CC[C@@H](N(Cc2ccoc2)C2CCCCC2)C1=O ZINC000337168423 526474893 /nfs/dbraw/zinc/47/48/93/526474893.db2.gz DCASRZBMYRRSRO-QGZVFWFLSA-N 0 1 302.418 3.201 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCC[C@@H]2c2cc3ccccc3[nH]2)C1=O ZINC000337147672 526477002 /nfs/dbraw/zinc/47/70/02/526477002.db2.gz GQDVLQNKJOJEET-RTBURBONSA-N 0 1 323.440 3.482 20 30 DGEDMN CC(=O)N(c1nc(CNCC(C)(C)CCC#N)cs1)C1CC1 ZINC000342286868 526506005 /nfs/dbraw/zinc/50/60/05/526506005.db2.gz UHFSPFNPHZNHTB-UHFFFAOYSA-N 0 1 320.462 3.078 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@H](c2ccccc2F)C1 ZINC000337534461 526525011 /nfs/dbraw/zinc/52/50/11/526525011.db2.gz UXZBSUIIWRCLRM-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)Cc1ccc(OC)c(C)c1 ZINC000343643203 526536120 /nfs/dbraw/zinc/53/61/20/526536120.db2.gz NLSITOCONJWGGA-MRXNPFEDSA-N 0 1 318.461 3.249 20 30 DGEDMN C=CCNC(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000440501058 526583375 /nfs/dbraw/zinc/58/33/75/526583375.db2.gz CJIAGOCFSHXGKQ-CYBMUJFWSA-N 0 1 304.781 3.249 20 30 DGEDMN C=C(C)COCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000346620596 526658036 /nfs/dbraw/zinc/65/80/36/526658036.db2.gz IRTUTRXBOIVBSF-GOSISDBHSA-N 0 1 300.377 3.492 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000188080051 526671917 /nfs/dbraw/zinc/67/19/17/526671917.db2.gz OLHRVTHBXDPSDY-UHFFFAOYSA-N 0 1 308.337 3.471 20 30 DGEDMN C#CCCOc1ccc(CN[C@H](C)C(=O)Nc2ccccc2)cc1 ZINC000113341988 526744916 /nfs/dbraw/zinc/74/49/16/526744916.db2.gz HAOZGMMVYVAKPJ-MRXNPFEDSA-N 0 1 322.408 3.206 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000348051843 526760204 /nfs/dbraw/zinc/76/02/04/526760204.db2.gz RQZBVEHNIRUJDW-LBPRGKRZSA-N 0 1 305.353 3.119 20 30 DGEDMN C=CCOCCN(CCC)[C@@H](C)C(=O)Nc1ccccc1C ZINC000339131727 526769115 /nfs/dbraw/zinc/76/91/15/526769115.db2.gz FJOZDHKRFDQPJU-INIZCTEOSA-N 0 1 304.434 3.237 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000430585870 526827272 /nfs/dbraw/zinc/82/72/72/526827272.db2.gz RQERYUUONMZJKS-MRVPVSSYSA-N 0 1 318.358 3.167 20 30 DGEDMN C=C(CC)CN1CCCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000342702288 526853018 /nfs/dbraw/zinc/85/30/18/526853018.db2.gz ODHSWNLRHJFXJK-UHFFFAOYSA-N 0 1 320.384 3.035 20 30 DGEDMN C=CCOc1ccc(CNCc2cn(C)nc2C(F)F)cc1 ZINC000290993164 526887253 /nfs/dbraw/zinc/88/72/53/526887253.db2.gz AEMXKSWVUKBLHX-UHFFFAOYSA-N 0 1 307.344 3.212 20 30 DGEDMN CC(C)(C)c1noc(CCC(=O)N[C@H]2CCCc3cn[nH]c32)n1 ZINC000330126205 526899860 /nfs/dbraw/zinc/89/98/60/526899860.db2.gz MHTSSNKCPVLDAO-NSHDSACASA-N 0 1 317.393 3.057 20 30 DGEDMN Cc1c(Br)cncc1CNCc1ccc(C#N)s1 ZINC001560509700 1189335150 /nfs/dbraw/zinc/33/51/50/1189335150.db2.gz NPJCCLKQQITLBW-UHFFFAOYSA-N 0 1 322.231 3.376 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1 ZINC000353518852 527089995 /nfs/dbraw/zinc/08/99/95/527089995.db2.gz YCLQCDBXHZGADU-HNNXBMFYSA-N 0 1 302.418 3.279 20 30 DGEDMN C=CCCCCCN(C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000177123970 527130544 /nfs/dbraw/zinc/13/05/44/527130544.db2.gz WFMSFBQKVAFLOU-OAHLLOKOSA-N 0 1 317.458 3.403 20 30 DGEDMN C=CCCCCNC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000353027367 527157912 /nfs/dbraw/zinc/15/79/12/527157912.db2.gz CUHNXCGDZLEHOA-MRXNPFEDSA-N 0 1 307.413 3.084 20 30 DGEDMN C=CC[C@H]1N(CC(=O)Nc2c(C)nn(C)c2C)CCCC1(C)C ZINC000331290589 527226470 /nfs/dbraw/zinc/22/64/70/527226470.db2.gz KAXZMIRFTJETPT-OAHLLOKOSA-N 0 1 318.465 3.042 20 30 DGEDMN C=CCCN(C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000342386385 527308668 /nfs/dbraw/zinc/30/86/68/527308668.db2.gz PHQAXABKJURXLE-UHFFFAOYSA-N 0 1 319.424 3.008 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000337620460 527338373 /nfs/dbraw/zinc/33/83/73/527338373.db2.gz FMKSBQVIWRMQKL-UHFFFAOYSA-N 0 1 305.300 3.130 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1[nH]nc(-c2ccc(C)o2)c1C ZINC000161514522 527384598 /nfs/dbraw/zinc/38/45/98/527384598.db2.gz SWWQPBQKZBJNBG-LBPRGKRZSA-N 0 1 303.362 3.206 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2ccc(Cl)cn2)CC1 ZINC000174834358 527464095 /nfs/dbraw/zinc/46/40/95/527464095.db2.gz VIEGOWOPAXCRGD-UHFFFAOYSA-N 0 1 306.841 3.187 20 30 DGEDMN CC(C)(C#N)CCN1CCN(Cc2ccccc2Cl)CC1 ZINC000345705642 527468670 /nfs/dbraw/zinc/46/86/70/527468670.db2.gz FMURZMGUWOALPM-UHFFFAOYSA-N 0 1 305.853 3.397 20 30 DGEDMN CC(C)(C#N)CNC(=O)c1cc(Cl)c2ccccc2c1O ZINC000436723038 527485080 /nfs/dbraw/zinc/48/50/80/527485080.db2.gz PCQZNRCNVGRYPY-UHFFFAOYSA-N 0 1 302.761 3.478 20 30 DGEDMN C=CCN(C(=O)c1ccc(CN2CCSCC2)cc1)C1CC1 ZINC000357946581 527549563 /nfs/dbraw/zinc/54/95/63/527549563.db2.gz VETACMYLDFXSAT-UHFFFAOYSA-N 0 1 316.470 3.026 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(Cc1ccc(F)cc1)C(C)C ZINC000350122190 527606969 /nfs/dbraw/zinc/60/69/69/527606969.db2.gz LNFIVWMLOGMXGD-UHFFFAOYSA-N 0 1 304.409 3.237 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)C[C@@H](CC#N)c2ccccc2)[C@@H](C)C1 ZINC000344415896 527924229 /nfs/dbraw/zinc/92/42/29/527924229.db2.gz FDSKZLPSUXZMJK-SOLBZPMBSA-N 0 1 313.445 3.015 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(C)(CC(C)C)CC1 ZINC000343990000 528620089 /nfs/dbraw/zinc/62/00/89/528620089.db2.gz JSHNJXQVTHNBBI-INIZCTEOSA-N 0 1 307.482 3.143 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](C)c1cc(C)sc1C ZINC000182980491 528625772 /nfs/dbraw/zinc/62/57/72/528625772.db2.gz VGTSILUHSVXJOU-AAEUAGOBSA-N 0 1 307.463 3.024 20 30 DGEDMN CC(C)[C@@H]1CCCN(Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000444772646 528666934 /nfs/dbraw/zinc/66/69/34/528666934.db2.gz XATJZODLHIRNTN-MRXNPFEDSA-N 0 1 310.401 3.476 20 30 DGEDMN CCC[C@@H](NCc1ccc(CC#N)cc1)c1nnc2ccccn21 ZINC000347615608 529014329 /nfs/dbraw/zinc/01/43/29/529014329.db2.gz JOOOGBXKMBPWGE-QGZVFWFLSA-N 0 1 319.412 3.426 20 30 DGEDMN CC[C@]1(C)CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000468119182 535909526 /nfs/dbraw/zinc/90/95/26/535909526.db2.gz GPYBYEOKWGFMPY-MRXNPFEDSA-N 0 1 305.809 3.272 20 30 DGEDMN Cc1ccc(OCC(=O)Nc2nc(-c3ccco3)n[nH]2)cc1C ZINC000073973568 545720654 /nfs/dbraw/zinc/72/06/54/545720654.db2.gz DEWCKUHOAKTKOD-UHFFFAOYSA-N 0 1 312.329 3.349 20 30 DGEDMN COc1ncccc1C(=O)C(C#N)c1ccc2ccccc2n1 ZINC000093243621 545855208 /nfs/dbraw/zinc/85/52/08/545855208.db2.gz CUPYGJXWMBRWAM-AWEZNQCLSA-N 0 1 303.321 3.128 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C(=O)CCc1cnc[nH]1 ZINC000188451454 546205526 /nfs/dbraw/zinc/20/55/26/546205526.db2.gz DQOLDWVRWLQFGA-UHFFFAOYSA-N 0 1 309.822 3.272 20 30 DGEDMN CCC[C@@]1(NCc2ccc(Br)cc2C#N)CCOC1 ZINC000381246311 546738761 /nfs/dbraw/zinc/73/87/61/546738761.db2.gz SZQVTKYYVVHZHR-OAHLLOKOSA-N 0 1 323.234 3.370 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(OC(C)C)c(Cl)c1 ZINC000671299694 547785410 /nfs/dbraw/zinc/78/54/10/547785410.db2.gz MVVSQEIZGULLST-GFCCVEGCSA-N 0 1 310.825 3.080 20 30 DGEDMN C=CCN(CCc1nc(C)c(C(C)=O)[nH]1)Cc1ccccc1F ZINC000677477458 548505622 /nfs/dbraw/zinc/50/56/22/548505622.db2.gz HDWRNOIHKORJSK-UHFFFAOYSA-N 0 1 315.392 3.291 20 30 DGEDMN C=CCN(CCc1nc(C(C)=O)c(C)[nH]1)Cc1cccc(C#N)c1 ZINC000677478083 548505951 /nfs/dbraw/zinc/50/59/51/548505951.db2.gz MFLKMQCHJZZYNV-UHFFFAOYSA-N 0 1 322.412 3.023 20 30 DGEDMN C=CCN(CCc1nc(C)c(C(C)=O)[nH]1)Cc1cccc(C#N)c1 ZINC000677478083 548505965 /nfs/dbraw/zinc/50/59/65/548505965.db2.gz MFLKMQCHJZZYNV-UHFFFAOYSA-N 0 1 322.412 3.023 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(NC(=O)CCC)CC1 ZINC000678182425 548634491 /nfs/dbraw/zinc/63/44/91/548634491.db2.gz WLAUPSJBXHHVGZ-UHFFFAOYSA-N 0 1 316.445 3.132 20 30 DGEDMN COCC#CCN[C@H](COc1ccccc1F)c1ccccc1 ZINC000678753319 548757847 /nfs/dbraw/zinc/75/78/47/548757847.db2.gz HHCMWXKSQWCTRI-GOSISDBHSA-N 0 1 313.372 3.185 20 30 DGEDMN CC(=O)c1cccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC000678764361 548758763 /nfs/dbraw/zinc/75/87/63/548758763.db2.gz PYLMHTJRBLKDMW-ZDUSSCGKSA-N 0 1 321.380 3.050 20 30 DGEDMN C=CCOCCN[C@@H](C)c1ccc(OC)cc1Br ZINC000679576896 548920822 /nfs/dbraw/zinc/92/08/22/548920822.db2.gz XRCNAKYHSXDAKC-NSHDSACASA-N 0 1 314.223 3.311 20 30 DGEDMN C=CCN(CCOC)Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001659106474 1196345807 /nfs/dbraw/zinc/34/58/07/1196345807.db2.gz NDNCHHDKTQDUBR-UHFFFAOYSA-N 0 1 303.324 3.348 20 30 DGEDMN COC[C@@H](C)NC(=S)Nc1ccccc1SCCC#N ZINC000730952780 574539350 /nfs/dbraw/zinc/53/93/50/574539350.db2.gz DBSROBKFCVVYQB-LLVKDONJSA-N 0 1 309.460 3.014 20 30 DGEDMN COC[C@@H](C)NC(=S)Nc1ccccc1S[C@H](C)CC#N ZINC000731321769 574547196 /nfs/dbraw/zinc/54/71/96/574547196.db2.gz RTEWNIMBBDEMCB-VXGBXAGGSA-N 0 1 323.487 3.402 20 30 DGEDMN Cc1cc(N=NCc2csc(-c3ccc(F)cc3)n2)ncn1 ZINC000731934617 574562975 /nfs/dbraw/zinc/56/29/75/574562975.db2.gz FLTOTPKNBBKJSL-UHFFFAOYSA-N 0 1 313.361 3.494 20 30 DGEDMN Cc1cc(NN=Cc2csc(-c3ccc(F)cc3)n2)ncn1 ZINC000731934617 574562977 /nfs/dbraw/zinc/56/29/77/574562977.db2.gz FLTOTPKNBBKJSL-UHFFFAOYSA-N 0 1 313.361 3.494 20 30 DGEDMN C#CCOc1cccc(NC(=O)CN(C)CCCCCC)c1 ZINC000732290424 574570697 /nfs/dbraw/zinc/57/06/97/574570697.db2.gz PGPPWDCAQYQGCB-UHFFFAOYSA-N 0 1 302.418 3.149 20 30 DGEDMN Cc1ccccc1[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000738770226 574603879 /nfs/dbraw/zinc/60/38/79/574603879.db2.gz XZNKWTUZWFKXDM-IEBWSBKVSA-N 0 1 320.392 3.482 20 30 DGEDMN C#CC(C)(C)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000733978447 574645467 /nfs/dbraw/zinc/64/54/67/574645467.db2.gz ACGZXJSOXXSICQ-UHFFFAOYSA-N 0 1 320.436 3.460 20 30 DGEDMN COc1ccc(C=NNc2ccc(F)cn2)c(OC(F)F)c1 ZINC000734096568 574650807 /nfs/dbraw/zinc/65/08/07/574650807.db2.gz QLQZYTMHIDOLIB-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN Cc1ccc(N=NC2CC[N@@H+](Cc3ccccc3)[C@H](C)C2)nn1 ZINC000734299353 574655324 /nfs/dbraw/zinc/65/53/24/574655324.db2.gz NMHAFBHHTUIEKR-OAHLLOKOSA-N 0 1 309.417 3.237 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H]2CSc3ccccc32)nc(C)n1 ZINC000735769225 574713513 /nfs/dbraw/zinc/71/35/13/574713513.db2.gz HQDZEOVFZRXOCL-KBPBESRZSA-N 0 1 309.394 3.159 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCc3cscn3)[nH]c21 ZINC000735791051 574714786 /nfs/dbraw/zinc/71/47/86/574714786.db2.gz UALBRBTZLGMKOA-GFCCVEGCSA-N 0 1 310.382 3.137 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccnc(Cl)c3)[nH]c21 ZINC000735791659 574714983 /nfs/dbraw/zinc/71/49/83/574714983.db2.gz AWGRCQYRENHPSA-NSHDSACASA-N 0 1 310.744 3.410 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3COc4ccccc43)[nH]c21 ZINC000735793496 574715763 /nfs/dbraw/zinc/71/57/63/574715763.db2.gz UGAGJTPWXPMGLP-ZIAGYGMSSA-N 0 1 317.348 3.224 20 30 DGEDMN Cc1cccc2[nH]c(C(C#N)C(=O)[C@@H]3COc4ccccc43)nc21 ZINC000735793496 574715766 /nfs/dbraw/zinc/71/57/66/574715766.db2.gz UGAGJTPWXPMGLP-ZIAGYGMSSA-N 0 1 317.348 3.224 20 30 DGEDMN C[N@@H+](CCCOc1ccccc1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737440253 574844022 /nfs/dbraw/zinc/84/40/22/574844022.db2.gz BDJTZGBVFBWNNH-GOSISDBHSA-N 0 1 324.380 3.085 20 30 DGEDMN C[N@@H+](Cc1ccc(F)cc1F)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737477328 574846930 /nfs/dbraw/zinc/84/69/30/574846930.db2.gz QJAVYNGDQSIRQD-MRXNPFEDSA-N 0 1 316.307 3.094 20 30 DGEDMN Cc1cccc2c1CC[N@H+]([C@H](C(=O)[O-])c1ccc(C#N)cc1)C2 ZINC000738746977 574880928 /nfs/dbraw/zinc/88/09/28/574880928.db2.gz BAIDQOSLGMOJIA-SFHVURJKSA-N 0 1 306.365 3.051 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2cccc(C(F)(F)F)n2)cc1 ZINC000739425203 574902876 /nfs/dbraw/zinc/90/28/76/574902876.db2.gz CIOLPAKASZXDNR-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN COc1ccc(O)c(CN=Nc2cccc(C(F)(F)F)n2)c1 ZINC000739422008 574902780 /nfs/dbraw/zinc/90/27/80/574902780.db2.gz RIMPIEFFCVKWQG-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN COCc1cccc(C=NNc2ccc([N+](=O)[O-])cc2OC)c1 ZINC000743437764 575051347 /nfs/dbraw/zinc/05/13/47/575051347.db2.gz WHSXYADHDWMGSS-UHFFFAOYSA-N 0 1 315.329 3.196 20 30 DGEDMN CC(=O)Nc1ccc(O)c(C(C)N=Nc2cccc(F)c2F)c1 ZINC000743443406 575052037 /nfs/dbraw/zinc/05/20/37/575052037.db2.gz FRPQVDMAIPODRW-UHFFFAOYSA-N 0 1 319.311 3.465 20 30 DGEDMN C#CCN(CC(=O)N(C)Cc1ccccc1C)C1CCCCC1 ZINC000744213823 575079094 /nfs/dbraw/zinc/07/90/94/575079094.db2.gz JXQYCUSZWKPXTK-UHFFFAOYSA-N 0 1 312.457 3.221 20 30 DGEDMN CCN(CCc1ccccn1)Cc1cn2ccccc2c1C#N ZINC000728915913 575194046 /nfs/dbraw/zinc/19/40/46/575194046.db2.gz LXPHVPAHDQIPJW-UHFFFAOYSA-N 0 1 304.397 3.271 20 30 DGEDMN CN(Cc1ccc(OCC#N)cc1)Cc1ccc(F)c(F)c1 ZINC000729266718 575231307 /nfs/dbraw/zinc/23/13/07/575231307.db2.gz CADUKIXEUVGCMU-UHFFFAOYSA-N 0 1 302.324 3.499 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)c1ccc(OC)c2ccccc12 ZINC000729809748 575263427 /nfs/dbraw/zinc/26/34/27/575263427.db2.gz PAZTWFBPOGOGQT-HNNXBMFYSA-N 0 1 323.392 3.103 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000730084667 575274222 /nfs/dbraw/zinc/27/42/22/575274222.db2.gz HZFUHVXZLGEFNY-ZWKOTPCHSA-N 0 1 324.509 3.185 20 30 DGEDMN CCCCCCCN(CC(=O)N1CCCC1)C[C@H]1CCCO1 ZINC000744425017 575341376 /nfs/dbraw/zinc/34/13/76/575341376.db2.gz YWLIQVMMLJEYAC-QGZVFWFLSA-N 0 1 310.482 3.060 20 30 DGEDMN C/C(=C/C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596457 575403984 /nfs/dbraw/zinc/40/39/84/575403984.db2.gz BIJHTNCSYAWUGZ-GYGFLDJKSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C/C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596457 575403986 /nfs/dbraw/zinc/40/39/86/575403986.db2.gz BIJHTNCSYAWUGZ-GYGFLDJKSA-N 0 1 320.414 3.163 20 30 DGEDMN C#CCNCC(=O)N[C@H](c1ccc([C@@H](C)CC)cc1)C(C)C ZINC000746514207 575457147 /nfs/dbraw/zinc/45/71/47/575457147.db2.gz VMSBNYHUBZMUGY-KXBFYZLASA-N 0 1 300.446 3.236 20 30 DGEDMN C#CCNCC(=O)N[C@@H](c1ccc([C@@H](C)CC)cc1)C(C)C ZINC000746514206 575457405 /nfs/dbraw/zinc/45/74/05/575457405.db2.gz VMSBNYHUBZMUGY-HNAYVOBHSA-N 0 1 300.446 3.236 20 30 DGEDMN N#Cc1csc(CN=Nc2ccc(Br)cn2)c1 ZINC000748075048 575559703 /nfs/dbraw/zinc/55/97/03/575559703.db2.gz FKFFLZXUZLUYGY-UHFFFAOYSA-N 0 1 307.176 3.223 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@@]23CCc2ccccc23)c1 ZINC000748411694 575585037 /nfs/dbraw/zinc/58/50/37/575585037.db2.gz WUTNNHVBMOGPKR-DNVCBOLYSA-N 0 1 304.349 3.106 20 30 DGEDMN COc1ccc2c(c1)OCC2=NNc1cccc(C(F)(F)F)n1 ZINC000749164921 575631964 /nfs/dbraw/zinc/63/19/64/575631964.db2.gz QXVLBSLBIWMPOR-UHFFFAOYSA-N 0 1 323.274 3.318 20 30 DGEDMN O=C1c2c(c(F)ccc2F)C/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000749582481 575666318 /nfs/dbraw/zinc/66/63/18/575666318.db2.gz MWSNIQYGKVCQBI-WEVVVXLNSA-N 0 1 317.247 3.401 20 30 DGEDMN CCn1nc(C)c(C(=O)/C=C\c2cc(F)c(O)c(F)c2)c1C ZINC000749891449 575685243 /nfs/dbraw/zinc/68/52/43/575685243.db2.gz KPVJCWQPYAAESL-WAYWQWQTSA-N 0 1 306.312 3.400 20 30 DGEDMN C[C@H]1CN(CCCNc2nc3ccccc3cc2C#N)C[C@H](C)O1 ZINC000750015141 575697386 /nfs/dbraw/zinc/69/73/86/575697386.db2.gz HYAFNNSCVHQNSO-GJZGRUSLSA-N 0 1 324.428 3.018 20 30 DGEDMN C[C@@H]1CCC[C@@H](CC(=O)[C@@H](C#N)C(=O)NC2CCCCC2)C1 ZINC000750667192 575744705 /nfs/dbraw/zinc/74/47/05/575744705.db2.gz DAHHWXOWIBZMLS-IIAWOOMASA-N 0 1 304.434 3.361 20 30 DGEDMN C[C@@H]1CCC[C@H](CC(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000750668666 575744736 /nfs/dbraw/zinc/74/47/36/575744736.db2.gz VBSAYIFURUEFBY-PVAVHDDUSA-N 0 1 312.413 3.228 20 30 DGEDMN Cc1nc(CCCC(=O)C(C#N)c2cc(C)nc(C)n2)cs1 ZINC000750684288 575746186 /nfs/dbraw/zinc/74/61/86/575746186.db2.gz PEMUUMYRQPCFPI-AWEZNQCLSA-N 0 1 314.414 3.058 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NN=c1[nH]c2ccccc2s1 ZINC000751127679 575771323 /nfs/dbraw/zinc/77/13/23/575771323.db2.gz SLZLCIODEXMENB-UHFFFAOYSA-N 0 1 314.326 3.356 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)cc1 ZINC000751153435 575772326 /nfs/dbraw/zinc/77/23/26/575772326.db2.gz UAOWNVWTSYPEFH-TWMKSMIVSA-N 0 1 310.397 3.333 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)CC1N=Nc1ccccc1C(=O)[O-] ZINC000751520205 575788469 /nfs/dbraw/zinc/78/84/69/575788469.db2.gz FBZPHIBEPJFKOH-AWEZNQCLSA-N 0 1 323.396 3.305 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cnc(-c2cccs2)s1 ZINC000751525614 575788475 /nfs/dbraw/zinc/78/84/75/575788475.db2.gz DUGWVDCCBIMLMC-UHFFFAOYSA-N 0 1 320.487 3.281 20 30 DGEDMN CCc1ncc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)s1 ZINC000752161515 575825198 /nfs/dbraw/zinc/82/51/98/575825198.db2.gz UBROMZLLSYKYPY-UHFFFAOYSA-N 0 1 307.329 3.306 20 30 DGEDMN CCc1ncc(C=NNc2nc3cc(F)c(F)cc3[nH]2)s1 ZINC000752161515 575825200 /nfs/dbraw/zinc/82/52/00/575825200.db2.gz UBROMZLLSYKYPY-UHFFFAOYSA-N 0 1 307.329 3.306 20 30 DGEDMN Cc1cc(C)c(C=Nn2c(=O)c3ccccc3[nH]c2=S)c(C)c1 ZINC000725860102 575936148 /nfs/dbraw/zinc/93/61/48/575936148.db2.gz WXERINDMHSVNGY-UHFFFAOYSA-N 0 1 323.421 3.493 20 30 DGEDMN CN(C)c1ccc(CN=Nc2nc(-c3ccccc3)no2)s1 ZINC000726739904 576039193 /nfs/dbraw/zinc/03/91/93/576039193.db2.gz XZJDIOBXHMZFPG-UHFFFAOYSA-N 0 1 313.386 3.310 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2cccc3[nH]ncc32)cc1 ZINC000726940533 576081025 /nfs/dbraw/zinc/08/10/25/576081025.db2.gz NERNWOYOUVPVIG-UHFFFAOYSA-N 0 1 304.353 3.432 20 30 DGEDMN Cc1nn(C)c(C)c1CN=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000727650080 576136146 /nfs/dbraw/zinc/13/61/46/576136146.db2.gz PBBOVYAYEIWIBF-UHFFFAOYSA-N 0 1 307.741 3.045 20 30 DGEDMN COc1cccc(O)c1CN=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000727649427 576136274 /nfs/dbraw/zinc/13/62/74/576136274.db2.gz HPTXKDYTNBNCNT-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN COc1ccc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)cc1O ZINC000727649867 576136280 /nfs/dbraw/zinc/13/62/80/576136280.db2.gz OBUVNCHAUVKLTG-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(-c3ccc(F)cc3)c2)cc1 ZINC000728300031 576173912 /nfs/dbraw/zinc/17/39/12/576173912.db2.gz UHPOXYMEDYLEAC-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1cccc([N+](=O)[O-])c1 ZINC000728776932 576201234 /nfs/dbraw/zinc/20/12/34/576201234.db2.gz VOOXCBDCZFFTLS-UHFFFAOYSA-N 0 1 309.329 3.318 20 30 DGEDMN CC(C)CN(CCC#N)C[C@H](O)COCc1ccccc1Cl ZINC000916633029 620639833 /nfs/dbraw/zinc/63/98/33/620639833.db2.gz FRXNMCNYXPBBGQ-INIZCTEOSA-N 0 1 324.852 3.089 20 30 DGEDMN C=CC[N@@H+](Cc1cc(Br)ccc1F)[C@H](C)C(=O)[O-] ZINC000262162597 600977659 /nfs/dbraw/zinc/97/76/59/600977659.db2.gz BGCBXVSMJBYEDJ-SECBINFHSA-N 0 1 316.170 3.049 20 30 DGEDMN C#CCCN(CCOC)Cc1cnc(-c2ccccc2)s1 ZINC000852752757 620646383 /nfs/dbraw/zinc/64/63/83/620646383.db2.gz KSEOFCAWWNYSEA-UHFFFAOYSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN(CC(=O)N(C)[C@H](C)c1ccc(F)c(F)c1)C(C)C ZINC000917167817 620659062 /nfs/dbraw/zinc/65/90/62/620659062.db2.gz BRSOJDNVXYQJJI-CYBMUJFWSA-N 0 1 310.388 3.381 20 30 DGEDMN COc1ccc2nc(NN=Cc3cc4n(n3)CCC4)c(C)cc2c1 ZINC000853328613 620687068 /nfs/dbraw/zinc/68/70/68/620687068.db2.gz LVMSOHDBMMEGPN-UHFFFAOYSA-N 0 1 321.384 3.141 20 30 DGEDMN Fc1cnccc1NN=C1CCCc2ncc(C(F)(F)F)cc21 ZINC000853402050 620693026 /nfs/dbraw/zinc/69/30/26/620693026.db2.gz MIFNXTXLRYGDPF-UHFFFAOYSA-N 0 1 324.281 3.209 20 30 DGEDMN C[C@@H]1C(N=Nc2ccncc2F)CCCN1Cc1ccccc1 ZINC000853402704 620693139 /nfs/dbraw/zinc/69/31/39/620693139.db2.gz HTHUUKGUDSHHFH-CQSZACIVSA-N 0 1 312.392 3.095 20 30 DGEDMN C=CCc1cc(CN2CCO[C@](C)(C3CC3)C2)c(O)c(OC)c1 ZINC000853528137 620706622 /nfs/dbraw/zinc/70/66/22/620706622.db2.gz LFLLKVIFOCMTPZ-IBGZPJMESA-N 0 1 317.429 3.130 20 30 DGEDMN CO[C@@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000918491116 620709336 /nfs/dbraw/zinc/70/93/36/620709336.db2.gz YGSWETMWUOFACJ-AAEUAGOBSA-N 0 1 300.383 3.330 20 30 DGEDMN CN1CCN(c2nc(Cl)c(C#N)s2)CC12CCCCC2 ZINC000853656497 620721316 /nfs/dbraw/zinc/72/13/16/620721316.db2.gz QCDOGXDQLBKYOL-UHFFFAOYSA-N 0 1 310.854 3.123 20 30 DGEDMN CO[C@@H](Cn1[nH]c(C(C)(C)C)c(CCC#N)c1=O)C1CCC1 ZINC000853676537 620724083 /nfs/dbraw/zinc/72/40/83/620724083.db2.gz SXKLQHPAVVEEGB-AWEZNQCLSA-N 0 1 305.422 3.157 20 30 DGEDMN N#Cc1c(Cl)nsc1NCCc1ccc2cn[nH]c2c1 ZINC000804705604 617655108 /nfs/dbraw/zinc/65/51/08/617655108.db2.gz YBELCGHGKYFSTB-UHFFFAOYSA-N 0 1 303.778 3.199 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1NN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000807990110 617751988 /nfs/dbraw/zinc/75/19/88/617751988.db2.gz VPAWOGAMJVGDLI-UHFFFAOYSA-N 0 1 313.239 3.091 20 30 DGEDMN CC(C)N(Cc1c2c(nn1C)CCC2)Cc1ccc(C#N)cc1 ZINC000891623878 617854798 /nfs/dbraw/zinc/85/47/98/617854798.db2.gz QMPWSOXCMLXDCH-UHFFFAOYSA-N 0 1 308.429 3.191 20 30 DGEDMN C[C@@H](C#N)CN(C)C[C@@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000891716442 617878787 /nfs/dbraw/zinc/87/87/87/617878787.db2.gz QAKGLVQEZGUEFF-WMLDXEAASA-N 0 1 309.454 3.115 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1ncsc1C1CC1 ZINC000892420492 618061512 /nfs/dbraw/zinc/06/15/12/618061512.db2.gz SUKPDUGCZLQEJC-LLVKDONJSA-N 0 1 322.393 3.397 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC2(CCC2)CO1)c1nc2ccccc2[nH]1 ZINC000892419266 618061809 /nfs/dbraw/zinc/06/18/09/618061809.db2.gz AVDVYVVMOCYICP-UONOGXRCSA-N 0 1 323.396 3.479 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCC3(CCC3)CO2)nc(C)n1 ZINC000892475265 618075996 /nfs/dbraw/zinc/07/59/96/618075996.db2.gz IROBHZUDKVJEAY-GJZGRUSLSA-N 0 1 313.401 3.009 20 30 DGEDMN C[C@@H]1CO[C@H](C(=O)C(C#N)c2nc(-c3ccccc3)cs2)C1 ZINC000892523192 618098825 /nfs/dbraw/zinc/09/88/25/618098825.db2.gz XZHPFFOPZISJRR-LNSITVRQSA-N 0 1 312.394 3.411 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C[C@H]3CC(C)(C)CO3)[nH]c21 ZINC000892706256 618143777 /nfs/dbraw/zinc/14/37/77/618143777.db2.gz UDKKLPRJRVUMPU-STQMWFEESA-N 0 1 311.385 3.253 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2C[C@H](C)OC[C@H]2C)c1O ZINC000919938632 620773240 /nfs/dbraw/zinc/77/32/40/620773240.db2.gz NVSLVXNUDQNDQP-KGLIPLIRSA-N 0 1 305.418 3.129 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccc(OC)cn2)c1 ZINC000892973611 618375895 /nfs/dbraw/zinc/37/58/95/618375895.db2.gz SWPIUXSJCRPWHV-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1CN=Nc1ncncc1F ZINC000793226659 618524383 /nfs/dbraw/zinc/52/43/83/618524383.db2.gz WMZFEIFHQWMKSP-UHFFFAOYSA-N 0 1 301.108 3.074 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2cnc(Cl)s2)cc1 ZINC000895156043 618557211 /nfs/dbraw/zinc/55/72/11/618557211.db2.gz RFYPIVCYAVFTPI-SNVBAGLBSA-N 0 1 307.806 3.377 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC000893441035 618557841 /nfs/dbraw/zinc/55/78/41/618557841.db2.gz PMGKBULWJBFZPK-ZDUSSCGKSA-N 0 1 306.431 3.031 20 30 DGEDMN CC(=NNc1cncnc1)c1ccc(Br)c(F)c1 ZINC000794975910 618583749 /nfs/dbraw/zinc/58/37/49/618583749.db2.gz AAOLJTLJUZLHEQ-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccccc2)[C@@H](C)C(C)C)CC1 ZINC000894221924 618733145 /nfs/dbraw/zinc/73/31/45/618733145.db2.gz RSNLCDLMRQOMMP-KRWDZBQOSA-N 0 1 312.457 3.409 20 30 DGEDMN CC(=O)N1CCC(/N=C/c2cc(Cl)cc(Cl)c2O)CC1 ZINC000017309433 618773487 /nfs/dbraw/zinc/77/34/87/618773487.db2.gz NYDNXCDSQGCKSL-CAOOACKPSA-N 0 1 315.200 3.129 20 30 DGEDMN N#C[C@@H]1CCC[C@@H](NCC(=O)Nc2cccc3ccccc32)C1 ZINC000895488635 618790711 /nfs/dbraw/zinc/79/07/11/618790711.db2.gz RSXGFRCGECXAKU-GDBMZVCRSA-N 0 1 307.397 3.450 20 30 DGEDMN N#Cc1cc2ccccc2nc1N[C@H]1CCCN2CCSC[C@@H]12 ZINC000895571161 618800042 /nfs/dbraw/zinc/80/00/42/618800042.db2.gz CGYWMZQTUIPROA-IRXDYDNUSA-N 0 1 324.453 3.098 20 30 DGEDMN N#Cc1cc2ccccc2nc1N[C@@H]1CCCN2CCSC[C@H]12 ZINC000895571160 618800112 /nfs/dbraw/zinc/80/01/12/618800112.db2.gz CGYWMZQTUIPROA-IAGOWNOFSA-N 0 1 324.453 3.098 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000895978486 618857265 /nfs/dbraw/zinc/85/72/65/618857265.db2.gz VHDLEEGXMJVXRZ-SWLSCSKDSA-N 0 1 319.836 3.363 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN1CCC[C@H](C#N)C1 ZINC000896502418 618921708 /nfs/dbraw/zinc/92/17/08/618921708.db2.gz FEMRBQIPTRCBNH-HUUCEWRRSA-N 0 1 309.454 3.019 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN1CCC[C@H](C#N)C1 ZINC000896502416 618921948 /nfs/dbraw/zinc/92/19/48/618921948.db2.gz FEMRBQIPTRCBNH-CABCVRRESA-N 0 1 309.454 3.019 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCC[C@H](C3CCOCC3)C2)c1 ZINC000897270178 619040106 /nfs/dbraw/zinc/04/01/06/619040106.db2.gz ZRCWZQHMQWHIFH-HNNXBMFYSA-N 0 1 319.836 3.245 20 30 DGEDMN CC[C@@H]1C[C@H](CN2CCO[C@H](c3ccc(C#N)cc3)C2)CCO1 ZINC000897596125 619164555 /nfs/dbraw/zinc/16/45/55/619164555.db2.gz MAAFPOBDTQWZRG-QRQLOZEOSA-N 0 1 314.429 3.137 20 30 DGEDMN CC[C@@H]1C[C@@H](CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CCO1 ZINC000897596126 619164749 /nfs/dbraw/zinc/16/47/49/619164749.db2.gz MAAFPOBDTQWZRG-QXAKKESOSA-N 0 1 314.429 3.137 20 30 DGEDMN N#Cc1csc(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)n1 ZINC000897882137 619190850 /nfs/dbraw/zinc/19/08/50/619190850.db2.gz JSSBZGIGTBCTTR-HOMQSWHASA-N 0 1 305.353 3.329 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2cncs2)cc(OC)c1O ZINC000897935685 619196899 /nfs/dbraw/zinc/19/68/99/619196899.db2.gz YZUUQHPDXVIPGQ-NSHDSACASA-N 0 1 304.415 3.437 20 30 DGEDMN COc1ccc(CN(CCCO)Cc2csc(C#N)c2)cc1 ZINC000898173035 619220383 /nfs/dbraw/zinc/22/03/83/619220383.db2.gz GLWAAKRKHMDRAQ-UHFFFAOYSA-N 0 1 316.426 3.013 20 30 DGEDMN C[C@@H](NCc1cc(C#N)cs1)c1cnn(CC2CCC2)c1 ZINC000898178836 619223283 /nfs/dbraw/zinc/22/32/83/619223283.db2.gz DGUSBTBZKBXILN-GFCCVEGCSA-N 0 1 300.431 3.467 20 30 DGEDMN COC(=O)[C@@H]1CC[C@@H]1/N=C/c1cc(Cl)cc(Cl)c1O ZINC000899227764 619320022 /nfs/dbraw/zinc/32/00/22/619320022.db2.gz RGPKTRANMRTZTR-ILNCWZJJSA-N 0 1 302.157 3.070 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)cc1 ZINC000900418628 619427968 /nfs/dbraw/zinc/42/79/68/619427968.db2.gz QHWZVHIYMGKYEM-RHSMWYFYSA-N 0 1 322.412 3.331 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)c1 ZINC000900563364 619436958 /nfs/dbraw/zinc/43/69/58/619436958.db2.gz SRZMTWXRSISZSR-GUYCJALGSA-N 0 1 307.397 3.110 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)c1 ZINC000900563363 619437101 /nfs/dbraw/zinc/43/71/01/619437101.db2.gz SRZMTWXRSISZSR-DYVFJYSZSA-N 0 1 307.397 3.110 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1C=NNc1nc2ccccc2n1C ZINC000901169970 619476217 /nfs/dbraw/zinc/47/62/17/619476217.db2.gz QREBGAHERMQTBG-UHFFFAOYSA-N 0 1 310.405 3.414 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1ccnn1C1CCC1 ZINC000901169796 619476343 /nfs/dbraw/zinc/47/63/43/619476343.db2.gz ODRFBYFSCUGXFO-UHFFFAOYSA-N 0 1 308.389 3.331 20 30 DGEDMN CC(N=Nc1cnnc2ccccc12)c1cnn(CC2CCC2)c1 ZINC000901195324 619477015 /nfs/dbraw/zinc/47/70/15/619477015.db2.gz CWLLTKQURHSTPK-UHFFFAOYSA-N 0 1 320.400 3.463 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccnn1C1CCC1 ZINC000901197706 619477174 /nfs/dbraw/zinc/47/71/74/619477174.db2.gz ZQXPGPPOYNRDMK-UHFFFAOYSA-N 0 1 306.373 3.387 20 30 DGEDMN Brc1cccc(NN=Cc2cnn(C3CCC3)c2)n1 ZINC000901248828 619480135 /nfs/dbraw/zinc/48/01/35/619480135.db2.gz KFVUNUVVNPPVAK-UHFFFAOYSA-N 0 1 320.194 3.212 20 30 DGEDMN Cc1nnc(N=NC(C)c2csc(C(F)(F)F)c2)n1C ZINC000901264719 619482436 /nfs/dbraw/zinc/48/24/36/619482436.db2.gz MCVOXILMRKAQES-UHFFFAOYSA-N 0 1 303.313 3.040 20 30 DGEDMN CC(=NN[C@@H]1CCCC[C@H]1O)c1[nH]c(-c2ccccc2)nc1C ZINC000901338532 619485598 /nfs/dbraw/zinc/48/55/98/619485598.db2.gz AUZOEODPVLETEC-HZPDHXFCSA-N 0 1 312.417 3.002 20 30 DGEDMN C=C(C)COc1cc(C[N@@H+](CCC(=O)[O-])C2CC2)ccc1OC ZINC000901971789 619569395 /nfs/dbraw/zinc/56/93/95/619569395.db2.gz LCXQFXUDMRCBGW-UHFFFAOYSA-N 0 1 319.401 3.089 20 30 DGEDMN CC(C)C(=O)Nc1ccc(CN[C@@H](C)c2cc(C#N)ccn2)cc1 ZINC000902730575 619667029 /nfs/dbraw/zinc/66/70/29/619667029.db2.gz FBKYMXVIUMFKHK-AWEZNQCLSA-N 0 1 322.412 3.399 20 30 DGEDMN C[C@H](NCc1ccc2c(c1)CCCN2C)c1cc(C#N)ccn1 ZINC000902779092 619674452 /nfs/dbraw/zinc/67/44/52/619674452.db2.gz MVHDMLUBZIJPLV-AWEZNQCLSA-N 0 1 306.413 3.186 20 30 DGEDMN CC[C@@H](N[C@@H](CO)c1ccccc1OC)c1cccc(C#N)c1 ZINC000902869213 619684568 /nfs/dbraw/zinc/68/45/68/619684568.db2.gz ULWUYSINJDJPTC-MSOLQXFVSA-N 0 1 310.397 3.341 20 30 DGEDMN C[C@@H]([NH2+]CC1(C#N)CCC1)c1ccc(Br)cc1[O-] ZINC000903033131 619704900 /nfs/dbraw/zinc/70/49/00/619704900.db2.gz QERQARVVPBJYLL-SNVBAGLBSA-N 0 1 309.207 3.499 20 30 DGEDMN COc1ccc([C@@H](N[C@@H](C)c2cc(C#N)ccn2)C2CC2)cn1 ZINC000903074806 619711488 /nfs/dbraw/zinc/71/14/88/619711488.db2.gz YEYJMRXUSVMCHK-SGTLLEGYSA-N 0 1 308.385 3.159 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCCCC[C@@H]1NCC1(C#N)CC1 ZINC000903105857 619717457 /nfs/dbraw/zinc/71/74/57/619717457.db2.gz ICGZMCYUAZGQIG-UONOGXRCSA-N 0 1 307.438 3.106 20 30 DGEDMN CC[C@@H](NCC1(C#N)CC1)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000903106062 619717588 /nfs/dbraw/zinc/71/75/88/619717588.db2.gz MBIVWHBXZFNUJU-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](NCc1cnn(CC3CCC3)c1)CC2 ZINC000903240331 619742735 /nfs/dbraw/zinc/74/27/35/619742735.db2.gz RKDFMSAGZDPBPQ-IBGZPJMESA-N 0 1 306.413 3.332 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+]2CCCC[C@H]2CCC(=O)[O-])c1 ZINC000903409627 619772037 /nfs/dbraw/zinc/77/20/37/619772037.db2.gz ZZIJQSBRLNBPLS-HNNXBMFYSA-N 0 1 306.793 3.431 20 30 DGEDMN N#CC1CCC(C[N@H+]2C[C@@H](c3ccccc3)[C@H](C(=O)[O-])C2)CC1 ZINC000903409772 619772079 /nfs/dbraw/zinc/77/20/79/619772079.db2.gz ZNNKKKQOMWWOLC-MUDYYLEHSA-N 0 1 312.413 3.117 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+]2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])c1 ZINC000903402776 619773805 /nfs/dbraw/zinc/77/38/05/619773805.db2.gz XJPUEWZLUGBGAA-IMJJTQAJSA-N 0 1 318.804 3.429 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)N(C)c1cc(Cl)ccc1C#N ZINC000903795130 619811996 /nfs/dbraw/zinc/81/19/96/619811996.db2.gz JJVYWWGSGHSSGS-AWEZNQCLSA-N 0 1 305.809 3.047 20 30 DGEDMN C=C1CC[NH+](Cc2cc(C(=O)[O-])ccc2Br)CC1 ZINC000903890703 619827025 /nfs/dbraw/zinc/82/70/25/619827025.db2.gz CKDAPJYZBQOQNT-UHFFFAOYSA-N 0 1 310.191 3.299 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cc3n(n2)CCCC3)c1 ZINC000905078364 619968044 /nfs/dbraw/zinc/96/80/44/619968044.db2.gz PXARUKWXWPRQAD-KTKRTIGZSA-N 0 1 309.413 3.177 20 30 DGEDMN O=C(/C=C\c1ccc(O)c(F)c1F)c1cn2c(n1)CCCC2 ZINC000905074842 619970782 /nfs/dbraw/zinc/97/07/82/619970782.db2.gz POUWGZGRXVKNAF-XQRVVYSFSA-N 0 1 304.296 3.099 20 30 DGEDMN CC[C@@](O)(CNCc1ccc(C#N)c(Cl)c1)C(F)(F)F ZINC000905255976 619982635 /nfs/dbraw/zinc/98/26/35/619982635.db2.gz WNRGCOSMBLWRDW-GFCCVEGCSA-N 0 1 306.715 3.005 20 30 DGEDMN N#Cc1ccc([C@H](O)CN[C@H]2c3ccccc3CC[C@@H]2F)cc1 ZINC000905451078 619997618 /nfs/dbraw/zinc/99/76/18/619997618.db2.gz DFAPBLSMWYPJPB-OTWHNJEPSA-N 0 1 310.372 3.207 20 30 DGEDMN N#Cc1ccc([C@H](O)CN[C@@H]2c3ccccc3CC[C@@H]2F)cc1 ZINC000905451077 619997627 /nfs/dbraw/zinc/99/76/27/619997627.db2.gz DFAPBLSMWYPJPB-IPMKNSEASA-N 0 1 310.372 3.207 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2c(F)cccc2CC)n1 ZINC000905498760 620002207 /nfs/dbraw/zinc/00/22/07/620002207.db2.gz JIXXJBAVLFYOSJ-UHFFFAOYSA-N 0 1 316.380 3.469 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2ccc(Cl)s2)n1 ZINC000905507685 620002833 /nfs/dbraw/zinc/00/28/33/620002833.db2.gz VXNJFRHZEPWFPY-UHFFFAOYSA-N 0 1 310.810 3.482 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907403719 620154913 /nfs/dbraw/zinc/15/49/13/620154913.db2.gz ZTRRJKCZXULJDL-CQSZACIVSA-N 0 1 306.454 3.449 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CC[C@@H](N2CCC2)C1)c1ccccc1 ZINC000912903647 620413643 /nfs/dbraw/zinc/41/36/43/620413643.db2.gz OJXYILZRVXHNNB-RTBURBONSA-N 0 1 312.457 3.433 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000913508456 620445954 /nfs/dbraw/zinc/44/59/54/620445954.db2.gz BBBLLELOYARTHD-GOSISDBHSA-N 0 1 309.413 3.486 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@@H]2CC[C@@H](C)C2)cc1 ZINC000151627402 620466853 /nfs/dbraw/zinc/46/68/53/620466853.db2.gz ONAQLPJCDKZCRN-XJKCOSOUSA-N 0 1 314.385 3.169 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCOC[C@H]2CC)c1O ZINC000151882114 620478486 /nfs/dbraw/zinc/47/84/86/620478486.db2.gz IZBXGRYTAHCTPB-MRXNPFEDSA-N 0 1 305.418 3.130 20 30 DGEDMN CC1(C)OCC(/N=C/c2cc(Cl)cc(Cl)c2O)CO1 ZINC000753994208 620529819 /nfs/dbraw/zinc/52/98/19/620529819.db2.gz IQAXJUCBTCAEQJ-FZSIALSZSA-N 0 1 304.173 3.269 20 30 DGEDMN C[C@@H](OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1)C(C)(C)C#N ZINC000153034637 620531546 /nfs/dbraw/zinc/53/15/46/620531546.db2.gz FFTYORZUZAOQRU-LLVKDONJSA-N 0 1 324.384 3.444 20 30 DGEDMN Fc1cccc(N2CCCC2)c1C=[NH+][N-]c1cncc(Cl)n1 ZINC000914557319 620541383 /nfs/dbraw/zinc/54/13/83/620541383.db2.gz QLJNLGWIQRNQOA-UHFFFAOYSA-N 0 1 319.771 3.315 20 30 DGEDMN CC[C@@H](C)OCC(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000153381565 620557113 /nfs/dbraw/zinc/55/71/13/620557113.db2.gz HGTLDWHNQWULTI-YPMHNXCESA-N 0 1 315.398 3.196 20 30 DGEDMN N#CC(C(=O)CSCc1ccc(F)cc1)c1ccccn1 ZINC000915098790 620567365 /nfs/dbraw/zinc/56/73/65/620567365.db2.gz DFGGVACOYJHXNP-AWEZNQCLSA-N 0 1 300.358 3.330 20 30 DGEDMN Cc1ccc([C@@H](C)NCC(=O)Nc2sccc2C#N)s1 ZINC000754667359 620572669 /nfs/dbraw/zinc/57/26/69/620572669.db2.gz HGHVWJMMYIANLP-SNVBAGLBSA-N 0 1 305.428 3.279 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cccc(OCC#N)c3)[nH]n2)o1 ZINC000915742262 620596317 /nfs/dbraw/zinc/59/63/17/620596317.db2.gz HAUVNFCMDWRMHQ-UHFFFAOYSA-N 0 1 322.324 3.133 20 30 DGEDMN COc1cc(CN=Nc2ccc3ccccc3n2)cc2c1OCO2 ZINC000916286700 620624489 /nfs/dbraw/zinc/62/44/89/620624489.db2.gz PMSRNIZUBQXFSP-UHFFFAOYSA-N 0 1 321.336 3.418 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccccc1Cl ZINC000916305226 620625222 /nfs/dbraw/zinc/62/52/22/620625222.db2.gz MZDOJKXPXJDWIY-UHFFFAOYSA-N 0 1 306.700 3.121 20 30 DGEDMN CCc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1 ZINC000916305334 620625247 /nfs/dbraw/zinc/62/52/47/620625247.db2.gz ONUCGPSOEDFODM-UHFFFAOYSA-N 0 1 300.309 3.030 20 30 DGEDMN COc1cc(C=NNc2cc(C)cc(C)c2)cc([N+](=O)[O-])c1O ZINC000916346554 620626450 /nfs/dbraw/zinc/62/64/50/620626450.db2.gz IIMIHEAXTWYQPH-UHFFFAOYSA-N 0 1 315.329 3.372 20 30 DGEDMN COc1cc2c(cc1C=NNc1nc3ccccc3[nH]1)O[C@@H](C)C2 ZINC000916541866 620634170 /nfs/dbraw/zinc/63/41/70/620634170.db2.gz LGZINNZGLKGKQP-NSHDSACASA-N 0 1 322.368 3.341 20 30 DGEDMN C[C@@H]1CCCC[C@H]1CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1 ZINC000920790051 620822907 /nfs/dbraw/zinc/82/29/07/620822907.db2.gz NAHINDKYJLYOQN-IJEWVQPXSA-N 0 1 304.434 3.361 20 30 DGEDMN Fc1cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)ccc1Cl ZINC000921255423 620844961 /nfs/dbraw/zinc/84/49/61/620844961.db2.gz RKROEPSVYAKTKB-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN Cc1ccnc(NN=C2c3cc(Cl)cc(Cl)c3NC2=O)c1 ZINC000755901311 620902084 /nfs/dbraw/zinc/90/20/84/620902084.db2.gz DROVDUFKLXSGKC-UHFFFAOYSA-N 0 1 321.167 3.465 20 30 DGEDMN C=CCC[C@H](NC(=O)N[C@H]1CC[N@@H+](C)[C@H]1C)c1ccccc1 ZINC000921561805 620909535 /nfs/dbraw/zinc/90/95/35/620909535.db2.gz LMAUARJRPDWUJY-XIRDDKMYSA-N 0 1 301.434 3.086 20 30 DGEDMN COC(=O)CC[C@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000921702852 620937192 /nfs/dbraw/zinc/93/71/92/620937192.db2.gz PBIADLKALIWINX-INIZCTEOSA-N 0 1 314.410 3.404 20 30 DGEDMN C#CCOc1ccccc1CNCc1cn(C)nc1C1CCCC1 ZINC000921744137 620943241 /nfs/dbraw/zinc/94/32/41/620943241.db2.gz RUPUBLCNGCVMDV-UHFFFAOYSA-N 0 1 323.440 3.380 20 30 DGEDMN C[C@@H](COCC1CC1)NCc1cc(C#N)ccc1Br ZINC000922219769 621007461 /nfs/dbraw/zinc/00/74/61/621007461.db2.gz LRFWVACBWHGYAU-NSHDSACASA-N 0 1 323.234 3.225 20 30 DGEDMN COc1cccc(OC)c1CNCc1c(C)cc(C#N)cc1C ZINC000922239148 621011460 /nfs/dbraw/zinc/01/14/60/621011460.db2.gz CIPCCPNJOGJLHD-UHFFFAOYSA-N 0 1 310.397 3.482 20 30 DGEDMN N#Cc1ccc(Br)c(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000922244529 621012328 /nfs/dbraw/zinc/01/23/28/621012328.db2.gz OHRWDYNWRAWZIX-ZDUSSCGKSA-N 0 1 321.218 3.122 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNC[C@@H](n1cccn1)C(F)(F)F ZINC000922282177 621016821 /nfs/dbraw/zinc/01/68/21/621016821.db2.gz DXGKDNWZINRGIK-OAHLLOKOSA-N 0 1 322.334 3.265 20 30 DGEDMN CC1(C)CO[C@H](CNCc2cc(C#N)ccc2Br)C1 ZINC000922324103 621024497 /nfs/dbraw/zinc/02/44/97/621024497.db2.gz KUHSUUPEZFPTEE-ZDUSSCGKSA-N 0 1 323.234 3.225 20 30 DGEDMN N#Cc1cc(Cl)cc(COC(=O)[C@@H]2CCCc3[nH]ncc32)c1 ZINC000922524926 621050610 /nfs/dbraw/zinc/05/06/10/621050610.db2.gz ZTYXIJFXHZRCOP-CYBMUJFWSA-N 0 1 315.760 3.098 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC(=O)c2ccccc21)c1nc2ccccc2[nH]1 ZINC000789336491 625460949 /nfs/dbraw/zinc/46/09/49/625460949.db2.gz NUJOAEGOUOWYCO-ZIAGYGMSSA-N 0 1 315.332 3.109 20 30 DGEDMN CC(=NN=c1[nH]c2cccnc2s1)c1cn2c(n1)CCCC2 ZINC000857218187 621069016 /nfs/dbraw/zinc/06/90/16/621069016.db2.gz NLCFEFHGNZQZJM-UHFFFAOYSA-N 0 1 312.402 3.060 20 30 DGEDMN CC(=NNc1nc2cccnc2s1)c1cn2c(n1)CCCC2 ZINC000857218187 621069019 /nfs/dbraw/zinc/06/90/19/621069019.db2.gz NLCFEFHGNZQZJM-UHFFFAOYSA-N 0 1 312.402 3.060 20 30 DGEDMN C=CCCC(C)(C)NC(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000857340786 621080942 /nfs/dbraw/zinc/08/09/42/621080942.db2.gz DAXLOZDIQGNDFR-UHFFFAOYSA-N 0 1 317.414 3.099 20 30 DGEDMN Cc1cn2c(CNCc3ccc(C)c(C#N)c3)c(C)nc2s1 ZINC000922760055 621095474 /nfs/dbraw/zinc/09/54/74/621095474.db2.gz YNMGQJBCSMLLPV-UHFFFAOYSA-N 0 1 310.426 3.483 20 30 DGEDMN N#Cc1csc(CNC[C@H]2[C@H](c3ccccc3)C2(F)F)n1 ZINC000922773650 621098573 /nfs/dbraw/zinc/09/85/73/621098573.db2.gz YQGLSDPVNOAMLW-JSGCOSHPSA-N 0 1 305.353 3.153 20 30 DGEDMN N#CCOc1ccc(CN[C@H]2CCc3c2nccc3Cl)cc1 ZINC000925367883 621118665 /nfs/dbraw/zinc/11/86/65/621118665.db2.gz NPNLXEIKACQTEJ-INIZCTEOSA-N 0 1 313.788 3.414 20 30 DGEDMN C#CCCOc1ccc(CNCc2cccc3c2OCC3)cc1 ZINC000922943919 621134505 /nfs/dbraw/zinc/13/45/05/621134505.db2.gz HOCIAIREZOXIDF-UHFFFAOYSA-N 0 1 307.393 3.313 20 30 DGEDMN Cc1ccc(O[C@@H]2CCN(Cc3ccc(C)c(C#N)c3)C2)nc1 ZINC000858045342 621148081 /nfs/dbraw/zinc/14/80/81/621148081.db2.gz QUPMKSMBBSLASL-GOSISDBHSA-N 0 1 307.397 3.223 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1csc(-c2ccccc2)n1 ZINC000858333301 621176912 /nfs/dbraw/zinc/17/69/12/621176912.db2.gz BQKLXADKZVCUQT-HNNXBMFYSA-N 0 1 300.427 3.328 20 30 DGEDMN C#CC[C@H](COC)NCc1cc(C)c(F)cc1Br ZINC000858333801 621176997 /nfs/dbraw/zinc/17/69/97/621176997.db2.gz BVPRYEDIZVDXQP-GFCCVEGCSA-N 0 1 314.198 3.025 20 30 DGEDMN C#CC[C@H](COC)NCc1cc(Cl)c(OC)cc1Cl ZINC000858335529 621177487 /nfs/dbraw/zinc/17/74/87/621177487.db2.gz WRDHUPRLWQAHIY-LLVKDONJSA-N 0 1 302.201 3.130 20 30 DGEDMN C#CC[C@H](COC)NCc1ccc(-c2ccccc2)cc1OC ZINC000858335520 621177508 /nfs/dbraw/zinc/17/75/08/621177508.db2.gz WJQYVAKQOYVDAS-LJQANCHMSA-N 0 1 309.409 3.490 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc([C@@H](C)CC)cc1 ZINC000858403336 621186228 /nfs/dbraw/zinc/18/62/28/621186228.db2.gz CLGKTAQGNLBHEF-GJZGRUSLSA-N 0 1 309.475 3.452 20 30 DGEDMN N#Cc1sc(NC[C@@H]2CC[N@H+]2C2CCCCC2)nc1Cl ZINC000858681965 621214298 /nfs/dbraw/zinc/21/42/98/621214298.db2.gz ILPHHQSUHUEQGZ-NSHDSACASA-N 0 1 310.854 3.487 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C1CCC1 ZINC000838788255 621281212 /nfs/dbraw/zinc/28/12/12/621281212.db2.gz SMKZNZURMKKVKI-JQWIXIFHSA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCC[C@H](C)OC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C ZINC000839041972 621324032 /nfs/dbraw/zinc/32/40/32/621324032.db2.gz IUAFZVKLUOYLBY-LBPRGKRZSA-N 0 1 312.369 3.352 20 30 DGEDMN CCCCCCCCCN1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000859877402 621341335 /nfs/dbraw/zinc/34/13/35/621341335.db2.gz MHCVGRRUQXDTHW-CVEARBPZSA-N 0 1 301.496 3.389 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CC1 ZINC000860452335 621406499 /nfs/dbraw/zinc/40/64/99/621406499.db2.gz WPWGDKDDEHUJHP-ABAIWWIYSA-N 0 1 300.383 3.288 20 30 DGEDMN CC(C)CSCCCON=C(N)c1ccc(N(C)C)cc1 ZINC000752770058 621409614 /nfs/dbraw/zinc/40/96/14/621409614.db2.gz XWPMAAICERYRMS-UHFFFAOYSA-N 0 1 309.479 3.169 20 30 DGEDMN CCCCC1N=NC(=S)N1N=Cc1cccc(Cl)c1O ZINC000753160317 621429162 /nfs/dbraw/zinc/42/91/62/621429162.db2.gz KKLPZFVKEJHOIU-UHFFFAOYSA-N 0 1 310.810 3.151 20 30 DGEDMN CCCCCCCNC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000860703286 621434313 /nfs/dbraw/zinc/43/43/13/621434313.db2.gz LPTHYJXQZHEVQO-UHFFFAOYSA-N 0 1 301.394 3.359 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000860732442 621437055 /nfs/dbraw/zinc/43/70/55/621437055.db2.gz ADJXFIOHSAYYMY-SMDDNHRTSA-N 0 1 306.431 3.432 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C2CC[C@H](C)C2)n1 ZINC000753806334 621466283 /nfs/dbraw/zinc/46/62/83/621466283.db2.gz WKYJCQHQKFAMNS-HTBOAFLOSA-N 0 1 318.398 3.242 20 30 DGEDMN CC(C)(C)c1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)s1 ZINC000753929063 621472267 /nfs/dbraw/zinc/47/22/67/621472267.db2.gz NLJZXKOTLQPZMY-STQMWFEESA-N 0 1 304.463 3.240 20 30 DGEDMN Cc1cc(NN=Cc2ccc(OC(F)F)c(F)c2)nc(C)n1 ZINC000754706974 621526371 /nfs/dbraw/zinc/52/63/71/621526371.db2.gz WVGZUYCHNZWROP-UHFFFAOYSA-N 0 1 310.279 3.280 20 30 DGEDMN C=CCc1cc(CN2CCOC[C@H]2CC(C)C)c(O)c(OC)c1 ZINC000754726840 621528571 /nfs/dbraw/zinc/52/85/71/621528571.db2.gz WXDLRUNAZOLUIP-QGZVFWFLSA-N 0 1 319.445 3.376 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2ccc(C#N)s2)cc1F ZINC000754877307 621539200 /nfs/dbraw/zinc/53/92/00/621539200.db2.gz WUYCXDUSIIBCLS-UHFFFAOYSA-N 0 1 303.362 3.073 20 30 DGEDMN Cc1cc(C=NNc2cncc(Br)c2)c(C)n1C ZINC000754962511 621543163 /nfs/dbraw/zinc/54/31/63/621543163.db2.gz WDYPCWNVBBSYLX-UHFFFAOYSA-N 0 1 307.195 3.245 20 30 DGEDMN CCCCCCCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000755216235 621559023 /nfs/dbraw/zinc/55/90/23/621559023.db2.gz PTTIOZQFRVKWNG-UHFFFAOYSA-N 0 1 319.521 3.396 20 30 DGEDMN CCn1nc(C)c(C=NNc2ccc(C(F)(F)F)cn2)c1C ZINC000755489923 621575879 /nfs/dbraw/zinc/57/58/79/621575879.db2.gz ZTIJZKCWHDWTRE-UHFFFAOYSA-N 0 1 311.311 3.380 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc(Br)ccc2OC)C1 ZINC000862443653 621590814 /nfs/dbraw/zinc/59/08/14/621590814.db2.gz RQUUYBCZBIFGJN-GFCCVEGCSA-N 0 1 308.219 3.303 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)c1ccc(N2CCOCC2)cc1 ZINC000756017846 621610251 /nfs/dbraw/zinc/61/02/51/621610251.db2.gz KMSXLBKHKDAOHL-INIZCTEOSA-N 0 1 321.424 3.246 20 30 DGEDMN FC(F)(F)c1cc(C=NNCCN2CCCCC2)cs1 ZINC000863081137 621638046 /nfs/dbraw/zinc/63/80/46/621638046.db2.gz ZEUJERXFINUMMO-UHFFFAOYSA-N 0 1 305.369 3.176 20 30 DGEDMN Brc1cccc(N=NCc2cnc(C3CC3)o2)n1 ZINC000863120582 621640624 /nfs/dbraw/zinc/64/06/24/621640624.db2.gz RJUTVGAGAXCGGG-UHFFFAOYSA-N 0 1 307.151 3.156 20 30 DGEDMN COc1cc(C(C)=NNc2ccncc2C)ccc1OC(F)F ZINC000863157956 621643242 /nfs/dbraw/zinc/64/32/42/621643242.db2.gz WSHFHHHMSGXEQI-UHFFFAOYSA-N 0 1 321.327 3.258 20 30 DGEDMN C=C(C)[C@H](CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)OCC ZINC000756562934 621649321 /nfs/dbraw/zinc/64/93/21/621649321.db2.gz RVGRGKFPSSAXIJ-BBRMVZONSA-N 0 1 311.385 3.419 20 30 DGEDMN CCCn1c(CN=Nc2ccncc2Cl)nc2ccccc21 ZINC000756837668 621667059 /nfs/dbraw/zinc/66/70/59/621667059.db2.gz BWRAMXPZSIVCMW-UHFFFAOYSA-N 0 1 313.792 3.363 20 30 DGEDMN CCOc1cccc(/C=N/[C@H]2CN(C)Cc3ccccc32)c1O ZINC000756840708 621667663 /nfs/dbraw/zinc/66/76/63/621667663.db2.gz GJISIAYCGANHQI-PBGVMVHZSA-N 0 1 310.397 3.397 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000839692910 621708635 /nfs/dbraw/zinc/70/86/35/621708635.db2.gz WCFWMIQFMLVZMP-MCIONIFRSA-N 0 1 318.392 3.038 20 30 DGEDMN COCCOc1ccc(/C=C/C(=O)c2cc(F)ccc2O)cc1 ZINC000757468612 621714565 /nfs/dbraw/zinc/71/45/65/621714565.db2.gz SNOBMFXXCHAMRG-XBXARRHUSA-N 0 1 316.328 3.453 20 30 DGEDMN C[C@H]1CC(N=Nc2ccncc2Cl)CN1Cc1ccccc1 ZINC000757584917 621726563 /nfs/dbraw/zinc/72/65/63/621726563.db2.gz SQIYPNGEHLVFEE-ZDUSSCGKSA-N 0 1 314.820 3.219 20 30 DGEDMN N#CCOc1cc(F)ccc1NCc1ccc(F)c(F)c1O ZINC000864008742 621726620 /nfs/dbraw/zinc/72/66/20/621726620.db2.gz OCDIDQNFDFPRKZ-UHFFFAOYSA-N 0 1 308.259 3.324 20 30 DGEDMN N#CC(C(=O)Cc1ccc(F)c(F)c1)c1nc2ccccc2[nH]1 ZINC000119837680 621733317 /nfs/dbraw/zinc/73/33/17/621733317.db2.gz XWFSGTMAZWELAJ-NSHDSACASA-N 0 1 311.291 3.260 20 30 DGEDMN O=[N+]([O-])c1ccc([O-])c(/C=N/C[C@@H]2CC[N@H+]2C2CCCCC2)c1 ZINC000864345044 621758436 /nfs/dbraw/zinc/75/84/36/621758436.db2.gz NGRKENDRUYVYIM-GYKGCMEESA-N 0 1 317.389 3.126 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2cccc(Cl)c2F)nc1 ZINC000758118179 621759089 /nfs/dbraw/zinc/75/90/89/621759089.db2.gz NCRTXVDXNPAPFU-UHFFFAOYSA-N 0 1 307.712 3.107 20 30 DGEDMN C[C@@H](NC(=O)C1(C#N)CC(C)C1)c1nc2ccc(Cl)cc2[nH]1 ZINC000758228169 621768433 /nfs/dbraw/zinc/76/84/33/621768433.db2.gz XZKIZZAJXVVNAK-IAZHFHGHSA-N 0 1 316.792 3.333 20 30 DGEDMN Cc1ccc(C)c([C@H]2CCCN2Cn2cccc(C#N)c2=O)c1 ZINC000758233988 621768989 /nfs/dbraw/zinc/76/89/89/621768989.db2.gz ADVIRNDXLOXWLG-GOSISDBHSA-N 0 1 307.397 3.131 20 30 DGEDMN COc1cc2c(cc1/C=C\C(=O)c1ccc(C)cc1O)OCO2 ZINC000758931441 621812465 /nfs/dbraw/zinc/81/24/65/621812465.db2.gz NISUAOVAMQFANW-XQRVVYSFSA-N 0 1 312.321 3.334 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3cccnc3)[nH]c21 ZINC000759365407 621849803 /nfs/dbraw/zinc/84/98/03/621849803.db2.gz GLELGIOLAMRBJJ-DANTVBBOSA-N 0 1 302.337 3.156 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3ncccc3C)[nH]c21 ZINC000760218949 621922195 /nfs/dbraw/zinc/92/21/95/621922195.db2.gz NXYRHSKZHPDGNB-VFNNOXKTSA-N 0 1 316.364 3.464 20 30 DGEDMN C(=NNc1cnnc2ccccc21)c1cccc(-n2cccn2)c1 ZINC000760259756 621925654 /nfs/dbraw/zinc/92/56/54/621925654.db2.gz XALVHBYQACRLOR-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN CC(C)c1cccc(O[C@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760332090 621932756 /nfs/dbraw/zinc/93/27/56/621932756.db2.gz FFWKTYXPIODQAO-CZUORRHYSA-N 0 1 309.369 3.244 20 30 DGEDMN N#CC(C(=O)CC1CCC(C(F)(F)F)CC1)c1ccncn1 ZINC000760334159 621932889 /nfs/dbraw/zinc/93/28/89/621932889.db2.gz NZMKJULRNQHSOD-MCIGGMRASA-N 0 1 311.307 3.412 20 30 DGEDMN N#C[C@H](C(=O)Cc1c[nH]c2ccc(Cl)cc12)c1ccncn1 ZINC000760347642 621934358 /nfs/dbraw/zinc/93/43/58/621934358.db2.gz WXOLLTMBICTDQX-ZDUSSCGKSA-N 0 1 310.744 3.030 20 30 DGEDMN CCCN(CC)c1ccc(C(O)=C(C#N)c2ccncn2)cc1 ZINC000760356493 621934695 /nfs/dbraw/zinc/93/46/95/621934695.db2.gz HOYQUYFQVYHODE-INIZCTEOSA-N 0 1 308.385 3.203 20 30 DGEDMN CC(C)c1nc(CNc2c(Br)cccc2C#N)n[nH]1 ZINC000865842415 622006400 /nfs/dbraw/zinc/00/64/00/622006400.db2.gz HCHVUGSDURJWEP-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN C[C@@H]1CC(N=Nc2c(F)cc(F)cc2Br)CN1C ZINC000761813311 622031079 /nfs/dbraw/zinc/03/10/79/622031079.db2.gz RILVGUORXNKFNZ-SSDOTTSWSA-N 0 1 318.165 3.219 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000762023361 622046714 /nfs/dbraw/zinc/04/67/14/622046714.db2.gz CUDDVTNVQCZMKC-CJNGLKHVSA-N 0 1 300.402 3.084 20 30 DGEDMN CC[C@@H](N[C@@H](C)c1cccc(C#N)c1O)C(=O)OC(C)(C)C ZINC000866288297 622085641 /nfs/dbraw/zinc/08/56/41/622085641.db2.gz XPOZPWFIENBHJK-SMDDNHRTSA-N 0 1 304.390 3.035 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](N[C@@H]1c3ccccc3OC[C@@H]1F)C2 ZINC000866615644 622147634 /nfs/dbraw/zinc/14/76/34/622147634.db2.gz HHFXKOUFPPTDEV-HHXXYDBFSA-N 0 1 308.356 3.087 20 30 DGEDMN CCN(C(=O)[C@H]1NCCc2cc(C#N)ccc21)c1ccc(C)cc1 ZINC000867955830 622196921 /nfs/dbraw/zinc/19/69/21/622196921.db2.gz HVNRHPHYROLVKK-IBGZPJMESA-N 0 1 319.408 3.107 20 30 DGEDMN COc1cc(C(C)=NNc2ccccc2F)cc([N+](=O)[O-])c1O ZINC000764676276 622212873 /nfs/dbraw/zinc/21/28/73/622212873.db2.gz QMEXDCIWQLMFAG-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN C#CCN(Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1)C1CC1 ZINC000764676605 622212889 /nfs/dbraw/zinc/21/28/89/622212889.db2.gz MCHNGQZGEWZARL-UHFFFAOYSA-N 0 1 313.401 3.183 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2sccc2-c2ccc(F)cc2)NO1 ZINC000765380057 622262236 /nfs/dbraw/zinc/26/22/36/622262236.db2.gz XDAIOSRCWFAXHO-VIFPVBQESA-N 0 1 304.346 3.406 20 30 DGEDMN CC[C@@H](C)[C@H]1CCCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766635848 622343701 /nfs/dbraw/zinc/34/37/01/622343701.db2.gz CMAMGZVAJVKQTA-RDTXWAMCSA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCCCN1CCN([C@@H](C)c2nc3ccccc3s2)CC1 ZINC000766897063 622359927 /nfs/dbraw/zinc/35/99/27/622359927.db2.gz XJFXXTWCWSJMDN-HNNXBMFYSA-N 0 1 313.470 3.388 20 30 DGEDMN N#CCCCCCC(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000805903131 625560770 /nfs/dbraw/zinc/56/07/70/625560770.db2.gz PVQARISEYVOXJX-OAHLLOKOSA-N 0 1 304.390 3.261 20 30 DGEDMN C#C[C@H](CCC)NC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805342878 622378272 /nfs/dbraw/zinc/37/82/72/622378272.db2.gz CBOQJEDJLKPMMQ-LLVKDONJSA-N 0 1 315.200 3.300 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H]2CCCC(C)(C)C2)n1 ZINC000789760826 625561894 /nfs/dbraw/zinc/56/18/94/625561894.db2.gz HPRZCHIHTOZGBS-QGZVFWFLSA-N 0 1 317.477 3.181 20 30 DGEDMN Cc1ccc(N=NC2C[C@H](C)C[N@H+](Cc3ccccc3)C2)nn1 ZINC000767380451 622394703 /nfs/dbraw/zinc/39/47/03/622394703.db2.gz VXDCUVPPJDXTAG-AWEZNQCLSA-N 0 1 309.417 3.095 20 30 DGEDMN Cc1ccc(N=NC2C[C@H](C)CN(Cc3ccccc3)C2)nn1 ZINC000767380451 622394707 /nfs/dbraw/zinc/39/47/07/622394707.db2.gz VXDCUVPPJDXTAG-AWEZNQCLSA-N 0 1 309.417 3.095 20 30 DGEDMN Cc1ccc(N2CC[C@@H](NCc3ccc(C#N)c(C)c3)C2=O)cc1 ZINC000767690304 622415745 /nfs/dbraw/zinc/41/57/45/622415745.db2.gz KXJXJMQANIMYNB-LJQANCHMSA-N 0 1 319.408 3.070 20 30 DGEDMN COC(=O)c1ccc(C(C)N=Nc2nc3ccccc3[nH]2)cc1 ZINC000767749459 622419797 /nfs/dbraw/zinc/41/97/97/622419797.db2.gz WPWJMRNOQHUOHS-UHFFFAOYSA-N 0 1 308.341 3.186 20 30 DGEDMN COC(=O)c1ccc(C(C)=NN=c2[nH]c3ccccc3[nH]2)cc1 ZINC000767749459 622419798 /nfs/dbraw/zinc/41/97/98/622419798.db2.gz WPWJMRNOQHUOHS-UHFFFAOYSA-N 0 1 308.341 3.186 20 30 DGEDMN Fc1ccc(F)c(CNN=Cc2cc(-c3ccccc3)[nH]n2)c1 ZINC000767774895 622421319 /nfs/dbraw/zinc/42/13/19/622421319.db2.gz DUPQHBKNVOJZLI-UHFFFAOYSA-N 0 1 312.323 3.479 20 30 DGEDMN COc1ccc(NN=Cc2cc(F)c(O)c(F)c2)c([N+](=O)[O-])c1 ZINC000767797163 622422374 /nfs/dbraw/zinc/42/23/74/622422374.db2.gz NLCDMHWAZZKCFB-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCCC[C@@H]1C1OCCO1 ZINC000767892594 622426547 /nfs/dbraw/zinc/42/65/47/622426547.db2.gz JPCAULDNPPEGDA-QGZVFWFLSA-N 0 1 314.429 3.215 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H]2Cc3ccc(Cl)cc32)nc(C)n1 ZINC000870134030 622436485 /nfs/dbraw/zinc/43/64/85/622436485.db2.gz GOUXRTLAJIPWOR-CABCVRRESA-N 0 1 311.772 3.263 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C(C)(C)C)cc1 ZINC000870145700 622436800 /nfs/dbraw/zinc/43/68/00/622436800.db2.gz POQSFMXAXATUHF-QLFBSQMISA-N 0 1 314.385 3.025 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000870140089 622436903 /nfs/dbraw/zinc/43/69/03/622436903.db2.gz VSEQNPJFTDABKK-KSSYENDESA-N 0 1 316.788 3.279 20 30 DGEDMN CC1(C)[C@H](C(=O)C(C#N)C(=O)NC2CCCC2)[C@H]1c1ccccc1 ZINC000870160193 622437486 /nfs/dbraw/zinc/43/74/86/622437486.db2.gz WEPAOEXQSRCWPW-BBWFWOEESA-N 0 1 324.424 3.194 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](CC)c2ccc(F)cc2F)CC1 ZINC000768183233 622443604 /nfs/dbraw/zinc/44/36/04/622443604.db2.gz BKPJYHNZSWHGTJ-QGZVFWFLSA-N 0 1 321.367 3.304 20 30 DGEDMN C[C@@H]1CCC[C@@H](C=Nn2c(=O)c3ccccc3[nH]c2=S)C1 ZINC000768353898 622451924 /nfs/dbraw/zinc/45/19/24/622451924.db2.gz BMFFXSOKJRIBRE-VXGBXAGGSA-N 0 1 301.415 3.345 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=Cc2cc(F)c(O)c(F)c2)c1 ZINC000768382519 622453922 /nfs/dbraw/zinc/45/39/22/622453922.db2.gz ULHIZDZHNPHUMC-UHFFFAOYSA-N 0 1 308.675 3.036 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C(C)(C)C)c1 ZINC000870308118 622455049 /nfs/dbraw/zinc/45/50/49/622455049.db2.gz GODUXWDNXHFMPQ-BZUAXINKSA-N 0 1 312.413 3.002 20 30 DGEDMN CC(C)(C#N)CCCCOC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000768869596 622482075 /nfs/dbraw/zinc/48/20/75/622482075.db2.gz FDODTMQDMGDIDQ-UHFFFAOYSA-N 0 1 312.373 3.349 20 30 DGEDMN N#CC(C(=O)[C@@H]1CSCCS1)c1ccc2ccccc2n1 ZINC000769251347 622510702 /nfs/dbraw/zinc/51/07/02/622510702.db2.gz RLJUXGNRIVRCPQ-WFASDCNBSA-N 0 1 314.435 3.260 20 30 DGEDMN C[C@@H](C#N)C[N@H+](Cc1cc(Cl)cc([N+](=O)[O-])c1[O-])C1CC1 ZINC000769544366 622539965 /nfs/dbraw/zinc/53/99/65/622539965.db2.gz JWDNUTMOQCTIFN-VIFPVBQESA-N 0 1 309.753 3.078 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](Cc1cc(Cl)cc([N+](=O)[O-])c1[O-])C1CC1 ZINC000769544366 622539967 /nfs/dbraw/zinc/53/99/67/622539967.db2.gz JWDNUTMOQCTIFN-VIFPVBQESA-N 0 1 309.753 3.078 20 30 DGEDMN CCC(CC)n1nccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871073042 622544023 /nfs/dbraw/zinc/54/40/23/622544023.db2.gz QNTPCRHEVZTPQF-UHFFFAOYSA-N 0 1 322.372 3.244 20 30 DGEDMN CC(C)(C)c1ccc(C=NNc2ncnc3nc[nH]c32)s1 ZINC000769793135 622560492 /nfs/dbraw/zinc/56/04/92/622560492.db2.gz NEZPSWHOKZEGNJ-UHFFFAOYSA-N 0 1 300.391 3.158 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNc1c(C)cccc1F ZINC000769827741 622566086 /nfs/dbraw/zinc/56/60/86/622566086.db2.gz INWOMFPCHKGNKO-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN CC(=O)CCCCCCC(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000123608792 622615393 /nfs/dbraw/zinc/61/53/93/622615393.db2.gz NZUNRZKKLBEYQS-MRXNPFEDSA-N 0 1 314.385 3.264 20 30 DGEDMN N#Cc1cc(Cl)ccc1SCCCNC(=O)C(F)(F)F ZINC000871657321 622658774 /nfs/dbraw/zinc/65/87/74/622658774.db2.gz WPUYGEWMLLDYMU-UHFFFAOYSA-N 0 1 322.739 3.372 20 30 DGEDMN N#Cc1cccc(Cl)c1SCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000871692745 622670471 /nfs/dbraw/zinc/67/04/71/622670471.db2.gz SOCKZKSXQUVITI-BETUJISGSA-N 0 1 308.834 3.167 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@H]2COc3cc(C)c(C)cc32)nc(C)n1 ZINC000770838758 622673978 /nfs/dbraw/zinc/67/39/78/622673978.db2.gz KWACJIMSVCWLOT-CVEARBPZSA-N 0 1 321.380 3.063 20 30 DGEDMN Cc1ccc([N-][NH+]=Cc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC000771551726 622775290 /nfs/dbraw/zinc/77/52/90/622775290.db2.gz FFVZGMIYDNXWRE-UHFFFAOYSA-N 0 1 308.429 3.193 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc3cccnc32)nc(C)n1 ZINC000772642530 622922724 /nfs/dbraw/zinc/92/27/24/622922724.db2.gz FWNVSDOKAUWQHL-MRXNPFEDSA-N 0 1 316.364 3.061 20 30 DGEDMN N#CCC(=O)N=c1sccn1Cc1cccc2ccccc21 ZINC000772831296 622946824 /nfs/dbraw/zinc/94/68/24/622946824.db2.gz RHOYNUZEMCADTQ-UHFFFAOYSA-N 0 1 307.378 3.092 20 30 DGEDMN Cc1ccc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)nc1C#N ZINC000872648567 622948533 /nfs/dbraw/zinc/94/85/33/622948533.db2.gz NPUKRBKRSNFAGR-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN Cc1nc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)ccc1C#N ZINC000872648192 622948702 /nfs/dbraw/zinc/94/87/02/622948702.db2.gz KEPIBNUGJFHDLH-HNNXBMFYSA-N 0 1 309.417 3.492 20 30 DGEDMN C#CCOc1ccccc1CN[C@H]1CCc2c1cccc2OC ZINC000772986912 622961277 /nfs/dbraw/zinc/96/12/77/622961277.db2.gz FSXNDZKRUXOKBW-SFHVURJKSA-N 0 1 307.393 3.484 20 30 DGEDMN O=C(OCC#Cc1cccc(Cl)c1)[C@H]1CCCc2[nH]ncc21 ZINC000773169750 622995537 /nfs/dbraw/zinc/99/55/37/622995537.db2.gz CNZJSINHNSXEEZ-AWEZNQCLSA-N 0 1 314.772 3.078 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872894792 622995994 /nfs/dbraw/zinc/99/59/94/622995994.db2.gz DYFAGVDYSYAWLQ-UONOGXRCSA-N 0 1 304.438 3.387 20 30 DGEDMN C=C(Cl)CNC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872898941 622996580 /nfs/dbraw/zinc/99/65/80/622996580.db2.gz XBDUGONOWIYJTE-GFCCVEGCSA-N 0 1 310.829 3.175 20 30 DGEDMN COCC(=NNc1ccc(OC)cc1[N+](=O)[O-])c1ccccc1 ZINC000790170509 625612405 /nfs/dbraw/zinc/61/24/05/625612405.db2.gz AZLQXBCKLSTIQE-UHFFFAOYSA-N 0 1 315.329 3.066 20 30 DGEDMN CC(C)(C)[C@@H](Cn1ccnc1)NCc1cccc(F)c1C#N ZINC000873021571 623019368 /nfs/dbraw/zinc/01/93/68/623019368.db2.gz LHWPDFIXIFSZHE-MRXNPFEDSA-N 0 1 300.381 3.098 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)OC(C)(C)C)CC2 ZINC000773635023 623053768 /nfs/dbraw/zinc/05/37/68/623053768.db2.gz JAKWTEKOIGFUNX-UHFFFAOYSA-N 0 1 314.857 3.462 20 30 DGEDMN Cc1ccc(C=CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cn1 ZINC000774106349 623107792 /nfs/dbraw/zinc/10/77/92/623107792.db2.gz XXAWKYYMHINIJR-XVJNWHFHSA-N 0 1 316.364 3.464 20 30 DGEDMN CCCc1cccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1 ZINC000774324791 623132050 /nfs/dbraw/zinc/13/20/50/623132050.db2.gz SLUXDRUMRZSXBW-UHFFFAOYSA-N 0 1 314.336 3.420 20 30 DGEDMN CCOCCON=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000774417673 623141605 /nfs/dbraw/zinc/14/16/05/623141605.db2.gz PVEHZDIQJLOYJU-UHFFFAOYSA-N 0 1 320.433 3.155 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2)CC1=NOC1CCOCC1 ZINC000774435911 623143810 /nfs/dbraw/zinc/14/38/10/623143810.db2.gz LFQBRRUYVTWLJL-OAHLLOKOSA-N 0 1 302.418 3.080 20 30 DGEDMN C[C@@H]1CCN(Cc2ccccc2)CC1=NOC1CCOCC1 ZINC000774435911 623143813 /nfs/dbraw/zinc/14/38/13/623143813.db2.gz LFQBRRUYVTWLJL-OAHLLOKOSA-N 0 1 302.418 3.080 20 30 DGEDMN N#Cc1ccc(CNCc2c(C3CC3)nc3sccn23)cc1 ZINC000774808078 623194079 /nfs/dbraw/zinc/19/40/79/623194079.db2.gz ZVZMJWYLIZJRSH-UHFFFAOYSA-N 0 1 308.410 3.435 20 30 DGEDMN N#CCCCNCc1nc2ccc(Br)cc2s1 ZINC000775016491 623217002 /nfs/dbraw/zinc/21/70/02/623217002.db2.gz NQKHGPZOZZLSKQ-UHFFFAOYSA-N 0 1 310.220 3.452 20 30 DGEDMN Cn1cc(C=NNc2nccn2C)c(-c2cc3ccccc3o2)n1 ZINC000790305310 625632914 /nfs/dbraw/zinc/63/29/14/625632914.db2.gz JGLBANZHLBBFOM-UHFFFAOYSA-N 0 1 320.356 3.013 20 30 DGEDMN CC(=NNc1nccn1C)c1ccc(Br)cc1F ZINC000790306251 625633296 /nfs/dbraw/zinc/63/32/96/625633296.db2.gz UPEHFTJHFFPXBC-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN C[C@@H]([NH2+]Cc1cc(=O)oc2cc([O-])ccc12)c1cccc(C#N)c1 ZINC000775335511 623255592 /nfs/dbraw/zinc/25/55/92/623255592.db2.gz LIKWJTDUQRYRIB-GFCCVEGCSA-N 0 1 320.348 3.221 20 30 DGEDMN C#C[C@@H](NCc1ccc(Oc2cccnc2)c(C)c1)[C@@H]1CCCO1 ZINC000775340260 623255962 /nfs/dbraw/zinc/25/59/62/623255962.db2.gz GJSCSBQSGXGHOS-QUCCMNQESA-N 0 1 322.408 3.453 20 30 DGEDMN C#C[C@H](NCc1ccc(Br)cc1C)[C@@H]1CCCO1 ZINC000775345889 623256932 /nfs/dbraw/zinc/25/69/32/623256932.db2.gz RFGFZYCAFIFHDD-GJZGRUSLSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1ccc(C)c(Br)c1)[C@@H]1CCCO1 ZINC000775343944 623257345 /nfs/dbraw/zinc/25/73/45/623257345.db2.gz AQLRDFWJYQLQFS-GJZGRUSLSA-N 0 1 308.219 3.028 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000775398813 623267585 /nfs/dbraw/zinc/26/75/85/623267585.db2.gz MESBPGUXKIFRDL-JFRXWTBNSA-N 0 1 312.457 3.282 20 30 DGEDMN C#CC[C@@H](NCC(=O)NCCC1=CCCCC1)c1ccccc1 ZINC000775398663 623267825 /nfs/dbraw/zinc/26/78/25/623267825.db2.gz KNNGKQKZTIXRCV-LJQANCHMSA-N 0 1 310.441 3.347 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2cccc(F)c2[N+](=O)[O-])s1 ZINC000790308528 625634400 /nfs/dbraw/zinc/63/44/00/625634400.db2.gz HCXLOCTUBGSLAL-UHFFFAOYSA-N 0 1 310.266 3.150 20 30 DGEDMN C#CC[C@@H](NCc1ccc(C(=O)OC)c(F)c1)c1ccccc1 ZINC000775401413 623269573 /nfs/dbraw/zinc/26/95/73/623269573.db2.gz UBKTWJTYHUKILP-GOSISDBHSA-N 0 1 311.356 3.467 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1cccnc1Cl)c1ccccc1 ZINC000775405459 623270504 /nfs/dbraw/zinc/27/05/04/623270504.db2.gz XBRVCNRBCDKRQR-CQSZACIVSA-N 0 1 313.788 3.028 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(OC(F)(F)F)cc1)[C@H]1CCCO1 ZINC000775572499 623292125 /nfs/dbraw/zinc/29/21/25/623292125.db2.gz RYKQTRGNXBVIEH-TUKIKUTGSA-N 0 1 313.319 3.417 20 30 DGEDMN CCCCCCCC[C@@H](C)CC(=O)N1CCc2[nH]nnc2C1 ZINC000775611082 623296916 /nfs/dbraw/zinc/29/69/16/623296916.db2.gz FFKLTQCTCUCJRC-CQSZACIVSA-N 0 1 306.454 3.466 20 30 DGEDMN N#CCCN(Cc1cccnc1)Cc1cc(Cl)cc(F)c1O ZINC000775639686 623301100 /nfs/dbraw/zinc/30/11/00/623301100.db2.gz ZCIBDBLPJVLPHK-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000874901112 623386888 /nfs/dbraw/zinc/38/68/88/623386888.db2.gz ZZTQQHOKPDSHRM-CYBMUJFWSA-N 0 1 303.381 3.209 20 30 DGEDMN Cc1nc(C#N)c(N=NC2CN(Cc3ccccc3)CC[C@H]2C)o1 ZINC000776180036 623391370 /nfs/dbraw/zinc/39/13/70/623391370.db2.gz JQTJVMFGQWVTLG-CYBMUJFWSA-N 0 1 323.400 3.165 20 30 DGEDMN N#CC1(NC(=O)C(F)(F)c2cc(F)cc(F)c2)CCCCC1 ZINC000875087132 623415140 /nfs/dbraw/zinc/41/51/40/623415140.db2.gz HETBFDJQICDEOE-UHFFFAOYSA-N 0 1 314.282 3.399 20 30 DGEDMN N#C[C@H](OC(=O)c1ccc2c(C=O)[nH]nc2c1)C1CCCCC1 ZINC000776397276 623424119 /nfs/dbraw/zinc/42/41/19/623424119.db2.gz QZUKUDGOPQTMFX-INIZCTEOSA-N 0 1 311.341 3.005 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000776517831 623437516 /nfs/dbraw/zinc/43/75/16/623437516.db2.gz SXTAJCDSMFAZHV-GYSYKLTISA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCCN1CCN(CCSc2ccc(C)c(C)c2)CC1 ZINC000776592734 623445319 /nfs/dbraw/zinc/44/53/19/623445319.db2.gz LZGXWIUGLBMQHX-UHFFFAOYSA-N 0 1 302.487 3.036 20 30 DGEDMN CC1CCC2(CC1)OC[C@@H](CNCc1ccc(C#N)cc1F)O2 ZINC000776956166 623479387 /nfs/dbraw/zinc/47/93/87/623479387.db2.gz WHJUXXDSGGITQC-CRPOECCBSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000777057107 623491791 /nfs/dbraw/zinc/49/17/91/623491791.db2.gz RKWDQCQYOJHMTH-ZNMIVQPWSA-N 0 1 300.402 3.171 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1CCC2(CCOCC2)C1=O ZINC000777529374 623535686 /nfs/dbraw/zinc/53/56/86/623535686.db2.gz BWTZGEHTGIYBBP-UHFFFAOYSA-N 0 1 320.477 3.041 20 30 DGEDMN C[C@@H](Nc1cnn(-c2ccncc2)c1)c1ccc(OCC#N)cc1 ZINC000777566561 623542809 /nfs/dbraw/zinc/54/28/09/623542809.db2.gz MGSYVDVGSAZDAZ-CQSZACIVSA-N 0 1 319.368 3.343 20 30 DGEDMN C[C@@H]1CCSCCN1Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000790478526 625659481 /nfs/dbraw/zinc/65/94/81/625659481.db2.gz QRDMLMTUSXAWOU-CQSZACIVSA-N 0 1 312.442 3.207 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)N(CCC#N)c2ccc(C)c(C)c2)C1 ZINC000125862804 623577847 /nfs/dbraw/zinc/57/78/47/623577847.db2.gz DKMKZMKFPRUYRU-QGZVFWFLSA-N 0 1 313.445 3.282 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc([N+](=O)[O-])cc2F)c(O)c1 ZINC000777918461 623585465 /nfs/dbraw/zinc/58/54/65/623585465.db2.gz LVBCUPCTBLXTDY-CLTKARDFSA-N 0 1 317.272 3.344 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCc1csc(C)n1 ZINC000778155891 623616687 /nfs/dbraw/zinc/61/66/87/623616687.db2.gz LJDYORVOCBFJEI-UHFFFAOYSA-N 0 1 320.845 3.449 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCc1ccccc1O ZINC000778166159 623618760 /nfs/dbraw/zinc/61/87/60/623618760.db2.gz ZINWCOGUIXEPDA-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H](O)c1ccsc1 ZINC000778169567 623619418 /nfs/dbraw/zinc/61/94/18/623619418.db2.gz YPVTWVKPUBNGOK-HNNXBMFYSA-N 0 1 321.829 3.237 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCc1cscn1 ZINC000778169069 623619695 /nfs/dbraw/zinc/61/96/95/623619695.db2.gz LPRUVPJCNKVDSI-UHFFFAOYSA-N 0 1 306.818 3.141 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCc2cccc(C)c2)c1 ZINC000778212706 623626327 /nfs/dbraw/zinc/62/63/27/623626327.db2.gz BPJRBMBGLLGSOM-UHFFFAOYSA-N 0 1 320.436 3.479 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@H]1c1ccccn1 ZINC000876585231 623651448 /nfs/dbraw/zinc/65/14/48/623651448.db2.gz DJTOMAFHAOKJNG-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN CC(N=Nc1ccc(F)cn1)c1cc2c(s1)SCC(=O)N2 ZINC000778541277 623665955 /nfs/dbraw/zinc/66/59/55/623665955.db2.gz CNGWMGPYOXDDRL-UHFFFAOYSA-N 0 1 322.390 3.163 20 30 DGEDMN Cc1oc2ccccc2c1CN=Nc1cc(Cl)nc(N)n1 ZINC000778548381 623667576 /nfs/dbraw/zinc/66/75/76/623667576.db2.gz BWWMVURWQVPGTC-UHFFFAOYSA-N 0 1 301.737 3.213 20 30 DGEDMN C=CCN(CCc1ccco1)Cn1nccc1-c1ccncc1 ZINC000778585641 623673750 /nfs/dbraw/zinc/67/37/50/623673750.db2.gz TZOMSCABUURBKJ-UHFFFAOYSA-N 0 1 308.385 3.226 20 30 DGEDMN CC[C@@H](C(=O)OC[C@H](C#N)Cc1ccccc1)N(CC)CC ZINC000778666244 623680715 /nfs/dbraw/zinc/68/07/15/623680715.db2.gz UMRVJNQASGBGMJ-IRXDYDNUSA-N 0 1 302.418 3.032 20 30 DGEDMN C=CC1CCN(Cc2cnc(NC(=O)OC(C)(C)C)cn2)CC1 ZINC000876727032 623691258 /nfs/dbraw/zinc/69/12/58/623691258.db2.gz CNCZGQDIEIUGCJ-UHFFFAOYSA-N 0 1 318.421 3.222 20 30 DGEDMN CC(C)(C(=O)OCC#Cc1ccc(Cl)cc1)N1CCCC1 ZINC000805616279 623694429 /nfs/dbraw/zinc/69/44/29/623694429.db2.gz SLJSFVHEJBQPPS-UHFFFAOYSA-N 0 1 305.805 3.109 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCS[C@H]3CCCC[C@@H]32)c1 ZINC000876770749 623702643 /nfs/dbraw/zinc/70/26/43/623702643.db2.gz JQQWYBGLQWVVSQ-KBPBESRZSA-N 0 1 307.850 3.467 20 30 DGEDMN C=CCCC(=O)OC[C@@H]1CN(Cc2ccccc2)CC(C)(C)O1 ZINC000778897906 623709662 /nfs/dbraw/zinc/70/96/62/623709662.db2.gz MCSJIGUKQCSNCR-KRWDZBQOSA-N 0 1 317.429 3.175 20 30 DGEDMN Cc1cccc(OC[C@@H](C)NCc2cc(C#N)cnc2Cl)c1 ZINC000876962662 623755911 /nfs/dbraw/zinc/75/59/11/623755911.db2.gz WLXFLCXZQNXDSR-CYBMUJFWSA-N 0 1 315.804 3.472 20 30 DGEDMN CCN(CC)c1ccc(CNCc2cccc(C#N)n2)cc1F ZINC000877027877 623769182 /nfs/dbraw/zinc/76/91/82/623769182.db2.gz UOABOIHMPFAMSF-UHFFFAOYSA-N 0 1 312.392 3.228 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cccc(F)c2N)c1 ZINC000877045519 623775584 /nfs/dbraw/zinc/77/55/84/623775584.db2.gz BBCORMRKVYQRPN-HNNXBMFYSA-N 0 1 313.376 3.379 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cc(C)ns2)c1 ZINC000877046977 623775664 /nfs/dbraw/zinc/77/56/64/623775664.db2.gz VWXCPBRVTQLWFY-CQSZACIVSA-N 0 1 301.415 3.422 20 30 DGEDMN Cc1c(C#N)cccc1NCc1ccc(N2CCN(C)CC2)cc1 ZINC000779599665 623780494 /nfs/dbraw/zinc/78/04/94/623780494.db2.gz WFKKPARDNVDITE-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN C[C@H](CNCc1cc(C#N)cnc1Cl)Oc1ccccc1F ZINC000877067788 623782394 /nfs/dbraw/zinc/78/23/94/623782394.db2.gz IQALJSUUWLNGKY-LLVKDONJSA-N 0 1 319.767 3.303 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccnn2-c2ccccc2)c(O)c1 ZINC000790599754 625676162 /nfs/dbraw/zinc/67/61/62/625676162.db2.gz CXNYWHLOSYKTIS-JXMROGBWSA-N 0 1 320.348 3.483 20 30 DGEDMN Clc1ccccc1CN1CCC(N=Nc2ccncc2)CC1 ZINC000779812217 623812169 /nfs/dbraw/zinc/81/21/69/623812169.db2.gz PHJLLOJTUWLQMZ-UHFFFAOYSA-N 0 1 314.820 3.221 20 30 DGEDMN COc1cccc(N=NCc2cc(Br)cs2)n1 ZINC000779816690 623812765 /nfs/dbraw/zinc/81/27/65/623812765.db2.gz JCPDYSXAAFBZKG-UHFFFAOYSA-N 0 1 312.192 3.360 20 30 DGEDMN COc1cccc(NN=Cc2ccc(OC)c(OC(F)F)c2)n1 ZINC000779816583 623813119 /nfs/dbraw/zinc/81/31/19/623813119.db2.gz CWIDNLWPKRHVSA-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1ccc(C=NNc2cccc(OC)n2)c(OC(F)F)c1 ZINC000779819274 623814198 /nfs/dbraw/zinc/81/41/98/623814198.db2.gz LZGCZYTUHKULCX-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1cccc(N=NC2C[C@@H](C)N(Cc3ccccc3)C2)n1 ZINC000779817525 623814273 /nfs/dbraw/zinc/81/42/73/623814273.db2.gz QUWHZHBGIWPRJZ-CQSZACIVSA-N 0 1 310.401 3.153 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NCc2cc(C#N)cnc2Cl)n[nH]1 ZINC000877475187 623899410 /nfs/dbraw/zinc/89/94/10/623899410.db2.gz NSYZYRIKGQMOGG-NXEZZACHSA-N 0 1 318.812 3.089 20 30 DGEDMN COc1ccc(NN=C(C)c2cc(OC)c(OC)cc2C)nc1 ZINC000780270392 623901755 /nfs/dbraw/zinc/90/17/55/623901755.db2.gz HREXRXWHHBZLDB-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC000780304017 623908763 /nfs/dbraw/zinc/90/87/63/623908763.db2.gz KVWRHYXJRUHBDG-IIAWOOMASA-N 0 1 306.450 3.464 20 30 DGEDMN C#CCCCCCC(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000780457588 623933611 /nfs/dbraw/zinc/93/36/11/623933611.db2.gz STVMESGBGUKESY-INIZCTEOSA-N 0 1 303.402 3.371 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000780461825 623935048 /nfs/dbraw/zinc/93/50/48/623935048.db2.gz QSXGTDCROCLFJE-QGZVFWFLSA-N 0 1 316.445 3.425 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@@H]2[C@H]2CCC[C@H]2O)c1 ZINC000877563472 623943624 /nfs/dbraw/zinc/94/36/24/623943624.db2.gz NVLVBABLUUUBRW-BZUAXINKSA-N 0 1 319.836 3.122 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)[NH2+]Cc1cc(Cl)ccc1[O-] ZINC000877612528 623962354 /nfs/dbraw/zinc/96/23/54/623962354.db2.gz KFPJSVHGULPOPI-GFCCVEGCSA-N 0 1 308.809 3.234 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1noc2ccc(F)cc12 ZINC000877709528 624000908 /nfs/dbraw/zinc/00/09/08/624000908.db2.gz BGPIZIJDBKLXRG-LBPRGKRZSA-N 0 1 317.364 3.155 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)Nc1cc(C#N)ccc1O ZINC000780980439 624006608 /nfs/dbraw/zinc/00/66/08/624006608.db2.gz KEWJKSXUZNBSPN-HNNXBMFYSA-N 0 1 303.362 3.174 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC2([C@@H]3CCCCO3)CCC2)c1 ZINC000877770938 624030827 /nfs/dbraw/zinc/03/08/27/624030827.db2.gz IZTHUQIPIWOQNY-AWEZNQCLSA-N 0 1 305.809 3.188 20 30 DGEDMN Cc1cc(CN2CC[C@@H](C(F)(F)F)O[C@H](C)C2)ccc1C#N ZINC000877819402 624050339 /nfs/dbraw/zinc/05/03/39/624050339.db2.gz BQUNOKNOIZMRIP-DOMZBBRYSA-N 0 1 312.335 3.408 20 30 DGEDMN COc1cc(Br)ccc1C=NNc1ccc(F)cn1 ZINC000781592811 624100101 /nfs/dbraw/zinc/10/01/01/624100101.db2.gz JYQFFXPIKHRROP-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN Cc1cc(Br)c(O)c(C=NNc2cc(C)ncn2)c1 ZINC000781594425 624100368 /nfs/dbraw/zinc/10/03/68/624100368.db2.gz LUBCTVWKYQEVQN-UHFFFAOYSA-N 0 1 321.178 3.008 20 30 DGEDMN FC(F)Sc1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)cc1 ZINC000781597127 624101187 /nfs/dbraw/zinc/10/11/87/624101187.db2.gz RCAJTNXZNDSNFN-BETUJISGSA-N 0 1 324.400 3.195 20 30 DGEDMN C=CC[C@H](C(=O)OC)N(C)C[C@H]1CCCO[C@@H]1c1ccccc1 ZINC000878018879 624137036 /nfs/dbraw/zinc/13/70/36/624137036.db2.gz SSEGKAXNNQERHK-KZNAEPCWSA-N 0 1 317.429 3.204 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OC3CCCC3)nc2)c1 ZINC000782025412 624190217 /nfs/dbraw/zinc/19/02/17/624190217.db2.gz YKCGSDLYQRWATK-UHFFFAOYSA-N 0 1 323.352 3.233 20 30 DGEDMN COc1cc(OC2CC2)ccc1C(=O)Nc1cc(C#N)ccc1O ZINC000790822164 625703928 /nfs/dbraw/zinc/70/39/28/625703928.db2.gz QIAFSXDFXXBDQK-UHFFFAOYSA-N 0 1 324.336 3.066 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C23CC(c4ccccc4)(C2)C3)c1 ZINC000790822785 625704073 /nfs/dbraw/zinc/70/40/73/625704073.db2.gz VEAAVDAWGOPNQN-UHFFFAOYSA-N 0 1 304.349 3.324 20 30 DGEDMN COC(=O)c1ccc(OC)c(CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000878355420 624254750 /nfs/dbraw/zinc/25/47/50/624254750.db2.gz IIVOABNJZUXURN-ZDUSSCGKSA-N 0 1 324.380 3.204 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC(CCCO)CC1 ZINC000782543024 624261929 /nfs/dbraw/zinc/26/19/29/624261929.db2.gz XVKUVPUDLADEJX-UHFFFAOYSA-N 0 1 322.836 3.227 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000878606120 624320233 /nfs/dbraw/zinc/32/02/33/624320233.db2.gz HTMDFGBBYGPIQE-OAHLLOKOSA-N 0 1 304.434 3.443 20 30 DGEDMN CC(C)[C@H](NCc1ccc(C#N)cn1)c1ccc2[nH]c(=O)oc2c1 ZINC000783299467 624335944 /nfs/dbraw/zinc/33/59/44/624335944.db2.gz CPNZVJLFXYXPPX-KRWDZBQOSA-N 0 1 322.368 3.287 20 30 DGEDMN Cc1ccc(NC(=O)C[C@H](C)NCc2ccc(C#N)cn2)c(C)c1 ZINC000783294761 624336017 /nfs/dbraw/zinc/33/60/17/624336017.db2.gz VTXCDELXKKALKL-HNNXBMFYSA-N 0 1 322.412 3.077 20 30 DGEDMN N#CCC1(CN2CCC(Oc3ccc(Cl)cn3)CC2)CC1 ZINC000878706094 624344260 /nfs/dbraw/zinc/34/42/60/624344260.db2.gz AYLNGUTVOUNHPM-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN CCC(N=Nc1ccc(S(C)(=O)=O)cc1)c1cccc(F)c1 ZINC000783513314 624360526 /nfs/dbraw/zinc/36/05/26/624360526.db2.gz ASWVWAHJGFLWNF-UHFFFAOYSA-N 0 1 320.389 3.455 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C2(Cc3ccc(F)cc3)CC2)c1 ZINC000783690538 624384003 /nfs/dbraw/zinc/38/40/03/624384003.db2.gz UWTRPWNFOYFFND-UHFFFAOYSA-N 0 1 310.328 3.364 20 30 DGEDMN C[C@H]1CCCC[C@H]1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000783823030 624398690 /nfs/dbraw/zinc/39/86/90/624398690.db2.gz YXCJZSTXOMOSTK-RYUDHWBXSA-N 0 1 301.415 3.345 20 30 DGEDMN COc1ccc2c(c1)CC[C@H](C(=O)Nc1cc(C#N)ccc1O)C2 ZINC000879065506 624419091 /nfs/dbraw/zinc/41/90/91/624419091.db2.gz HHNHFDQZLYTPBZ-HNNXBMFYSA-N 0 1 322.364 3.016 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879110351 624428053 /nfs/dbraw/zinc/42/80/53/624428053.db2.gz VDRXHPGYHXZUJB-SUMWQHHRSA-N 0 1 316.449 3.254 20 30 DGEDMN CCN(C)C(=O)OC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC000805761307 624527440 /nfs/dbraw/zinc/52/74/40/624527440.db2.gz RWELPNOFLCXVHE-QGZVFWFLSA-N 0 1 315.417 3.001 20 30 DGEDMN CC(C)[C@H](F)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000784490581 624533523 /nfs/dbraw/zinc/53/35/23/624533523.db2.gz HBFKEVJYXAFAEU-ZBFHGGJFSA-N 0 1 312.344 3.481 20 30 DGEDMN Cc1ccc(C[N@@H+]2Cc3cccnc3N3CCC[C@@H]3C2)cc1C#N ZINC000879502946 624570025 /nfs/dbraw/zinc/57/00/25/624570025.db2.gz RGJOTDDXQAEZHS-LJQANCHMSA-N 0 1 318.424 3.246 20 30 DGEDMN Cc1ccc(CN2Cc3cccnc3N3CCC[C@@H]3C2)cc1C#N ZINC000879502946 624570029 /nfs/dbraw/zinc/57/00/29/624570029.db2.gz RGJOTDDXQAEZHS-LJQANCHMSA-N 0 1 318.424 3.246 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@H]1CCC[N@H+]2CCC(C)(C)C#N ZINC000879756178 624667590 /nfs/dbraw/zinc/66/75/90/624667590.db2.gz ZSHOWUDUGXCGSY-LSDHHAIUSA-N 0 1 321.465 3.400 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccc(F)nc2C)c1 ZINC000785467632 624670911 /nfs/dbraw/zinc/67/09/11/624670911.db2.gz BIPXKAHPCJAXDX-INIZCTEOSA-N 0 1 313.376 3.500 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)OCc1ccccc1C(C)(C)C#N ZINC000785740018 624721602 /nfs/dbraw/zinc/72/16/02/624721602.db2.gz IICSCEBAEAGHIY-HUUCEWRRSA-N 0 1 314.429 3.404 20 30 DGEDMN C=CCCC[C@H](C(=O)N[C@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000879965819 624738430 /nfs/dbraw/zinc/73/84/30/624738430.db2.gz AWQNUFJHPYWWGY-CJNGLKHVSA-N 0 1 312.417 3.430 20 30 DGEDMN C=CCCCCCN1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000785830884 624741636 /nfs/dbraw/zinc/74/16/36/624741636.db2.gz IGKGAKYAFILYPZ-UHFFFAOYSA-N 0 1 313.367 3.367 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CCc2nc[nH]c2C1)c1ccccc1 ZINC000880031593 624761662 /nfs/dbraw/zinc/76/16/62/624761662.db2.gz QSUNXFIPZJESOV-INIZCTEOSA-N 0 1 309.413 3.435 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(CC#N)cc2)CC[C@H](C(F)(F)F)O1 ZINC000880061788 624770507 /nfs/dbraw/zinc/77/05/07/624770507.db2.gz AWFSMAQMXALSNA-IUODEOHRSA-N 0 1 312.335 3.294 20 30 DGEDMN Cc1nc(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)s1 ZINC000786422529 624875247 /nfs/dbraw/zinc/87/52/47/624875247.db2.gz MQXVDUSOQIQDET-GFCCVEGCSA-N 0 1 324.409 3.364 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@H]2CC[C@H](C)C2)c1 ZINC000786552504 624920406 /nfs/dbraw/zinc/92/04/06/624920406.db2.gz NAXNMVGULDJFBH-YQQAZPJKSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786571805 624922525 /nfs/dbraw/zinc/92/25/25/624922525.db2.gz SAJCLILFIUCYFK-AAEUAGOBSA-N 0 1 322.355 3.116 20 30 DGEDMN C=CCCC[C@@H](NCC(=O)Nc1nncs1)c1ccccc1 ZINC000880387831 624947685 /nfs/dbraw/zinc/94/76/85/624947685.db2.gz FIGIWPXHUWRODM-CQSZACIVSA-N 0 1 316.430 3.164 20 30 DGEDMN C#CCC1(O)CCN([C@@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000880479734 624964942 /nfs/dbraw/zinc/96/49/42/624964942.db2.gz WFNYIMGYURSHBO-HNNXBMFYSA-N 0 1 310.397 3.253 20 30 DGEDMN CCCCCCCCC/C=C\C(=O)N1CCc2[nH]nnc2C1 ZINC000787080731 624976945 /nfs/dbraw/zinc/97/69/45/624976945.db2.gz XUOHMSTXEYSLCE-KHPPLWFESA-N 0 1 304.438 3.386 20 30 DGEDMN COc1ccc(N=NCc2ncc(C(F)(F)F)s2)nc1 ZINC000788440230 625171931 /nfs/dbraw/zinc/17/19/31/625171931.db2.gz FLAUTCOQHVSQKP-UHFFFAOYSA-N 0 1 302.281 3.012 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)Nc2ccc(C3CC3)c(C#N)c2)n[nH]1 ZINC000881122963 625250001 /nfs/dbraw/zinc/25/00/01/625250001.db2.gz JRYQMXFPUQMEMJ-NSHDSACASA-N 0 1 323.400 3.220 20 30 DGEDMN Cc1ccc(C#N)c(N2CCN([C@H](C)c3ccccc3)CC2)n1 ZINC000882810323 625823060 /nfs/dbraw/zinc/82/30/60/625823060.db2.gz FRNAGMDBRXVRDF-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN CC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000791891723 625878993 /nfs/dbraw/zinc/87/89/93/625878993.db2.gz UHPQJFJFDQOBKT-RISCZKNCSA-N 0 1 306.793 3.101 20 30 DGEDMN C=CC[C@@H](NCc1c2c(nn1C)CCSC2)c1ccncc1 ZINC000883003146 625893966 /nfs/dbraw/zinc/89/39/66/625893966.db2.gz AMERJVUZVSLHNO-OAHLLOKOSA-N 0 1 314.458 3.011 20 30 DGEDMN N#CC(C(=O)CCOCC(F)(F)F)c1nccc2ccccc21 ZINC000792097085 625909167 /nfs/dbraw/zinc/90/91/67/625909167.db2.gz SZQRYFQJUNBJIJ-ZDUSSCGKSA-N 0 1 322.286 3.380 20 30 DGEDMN N#CC(=C(O)C=C1CCSCC1)c1cnc2ccccc2n1 ZINC000792110557 625910594 /nfs/dbraw/zinc/91/05/94/625910594.db2.gz OJEYNGSFFAYZFB-ZDUSSCGKSA-N 0 1 309.394 3.259 20 30 DGEDMN CC(C)(C#N)c1ccccc1COC(=O)c1ccc2cncn2c1 ZINC000792551498 625955435 /nfs/dbraw/zinc/95/54/35/625955435.db2.gz NKWMZULMSWBSLU-UHFFFAOYSA-N 0 1 319.364 3.492 20 30 DGEDMN C=CCC[C@@H](NCc1nccn1CC(F)(F)F)[C@@H]1CCCO1 ZINC000883185108 625959226 /nfs/dbraw/zinc/95/92/26/625959226.db2.gz OBLQAEAKSQSGAL-OLZOCXBDSA-N 0 1 317.355 3.049 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)CC(C)(C)C(F)(F)F ZINC000796634323 626068391 /nfs/dbraw/zinc/06/83/91/626068391.db2.gz YHKDVFPVQQTKRP-LLVKDONJSA-N 0 1 320.355 3.370 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@@H](O)c1ccc(F)cc1F ZINC000796640997 626069144 /nfs/dbraw/zinc/06/91/44/626069144.db2.gz UCIYJUHTXAZSSG-GOSISDBHSA-N 0 1 301.336 3.134 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3CCC(F)(F)C3)[nH]c21 ZINC000796717500 626075755 /nfs/dbraw/zinc/07/57/55/626075755.db2.gz CGYVAZGOWXSDGW-MNOVXSKESA-N 0 1 303.312 3.483 20 30 DGEDMN CC1(C)CC(C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000796781162 626081881 /nfs/dbraw/zinc/08/18/81/626081881.db2.gz WZVPTLPQJAEGGD-ZDUSSCGKSA-N 0 1 304.777 3.424 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3occ4c3CCOC4)[nH]c21 ZINC000797047182 626107851 /nfs/dbraw/zinc/10/78/51/626107851.db2.gz ZRGFIGDBNKUYCP-CYBMUJFWSA-N 0 1 321.336 3.027 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC2CC(C)(C)C2)c1 ZINC000797142855 626118539 /nfs/dbraw/zinc/11/85/39/626118539.db2.gz RJHURNFOFHDUCM-INIZCTEOSA-N 0 1 312.413 3.146 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CCCn2cccn2)s1 ZINC000797571281 626153882 /nfs/dbraw/zinc/15/38/82/626153882.db2.gz PKZCPLMHGUGDQE-GFCCVEGCSA-N 0 1 316.430 3.294 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CC[C@@H]2CCOC2)s1 ZINC000797568128 626153993 /nfs/dbraw/zinc/15/39/93/626153993.db2.gz FOLSZHCODQAPRJ-VXGBXAGGSA-N 0 1 306.431 3.434 20 30 DGEDMN CCOCCO[C@@H](C)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797572187 626154639 /nfs/dbraw/zinc/15/46/39/626154639.db2.gz ZZYRSVPZNCFJQC-RYUDHWBXSA-N 0 1 324.446 3.058 20 30 DGEDMN C#C[C@H](NCc1ccc(N(C)c2ccccc2)nc1)[C@@H]1CCCO1 ZINC000797876166 626176089 /nfs/dbraw/zinc/17/60/89/626176089.db2.gz YFFKSILIPLMVQO-OALUTQOASA-N 0 1 321.424 3.120 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C[C@@H]2CCC(F)(F)C2)c1 ZINC000797942861 626183023 /nfs/dbraw/zinc/18/30/23/626183023.db2.gz JRHBJDGETKUQGO-JSGCOSHPSA-N 0 1 320.339 3.468 20 30 DGEDMN N#C[C@H](C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959398 626184611 /nfs/dbraw/zinc/18/46/11/626184611.db2.gz MLIYQBFAPBAZHE-MALLOTDXSA-N 0 1 317.320 3.237 20 30 DGEDMN N#CC(C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959398 626184613 /nfs/dbraw/zinc/18/46/13/626184613.db2.gz MLIYQBFAPBAZHE-MALLOTDXSA-N 0 1 317.320 3.237 20 30 DGEDMN CC(N=Nc1ncccc1Cl)c1ccc(N)c([N+](=O)[O-])c1 ZINC000799108864 626272527 /nfs/dbraw/zinc/27/25/27/626272527.db2.gz MUSVHLYQFOYVQE-UHFFFAOYSA-N 0 1 305.725 3.062 20 30 DGEDMN C#CCNC(=O)CN(C)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000799174686 626277109 /nfs/dbraw/zinc/27/71/09/626277109.db2.gz RAPCTZBHIOZUFC-GOSISDBHSA-N 0 1 318.848 3.253 20 30 DGEDMN Fc1cc(F)c(NN=Cc2cc(C(F)(F)F)n[nH]2)cc1F ZINC000799480100 626303872 /nfs/dbraw/zinc/30/38/72/626303872.db2.gz GCVOJOWIUFQOFH-UHFFFAOYSA-N 0 1 308.185 3.292 20 30 DGEDMN CC(C)c1nc(C(C)N=Nc2ccccc2S(C)(=O)=O)co1 ZINC000799480297 626303887 /nfs/dbraw/zinc/30/38/87/626303887.db2.gz JALRFURMYVNDRT-UHFFFAOYSA-N 0 1 321.402 3.038 20 30 DGEDMN Cc1ccc(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)cc1C#N ZINC000799609888 626312739 /nfs/dbraw/zinc/31/27/39/626312739.db2.gz WAXUNXAVNZXNEV-LJQANCHMSA-N 0 1 320.392 3.298 20 30 DGEDMN Cc1ccc(C(=O)OC[C@@H]2CCCN2Cc2ccco2)cc1C#N ZINC000799619564 626313405 /nfs/dbraw/zinc/31/34/05/626313405.db2.gz DFKNUIRSFUWYHB-KRWDZBQOSA-N 0 1 324.380 3.281 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000799719049 626323992 /nfs/dbraw/zinc/32/39/92/626323992.db2.gz FBTVWNIOFAAIJW-GXSJLCMTSA-N 0 1 312.200 3.214 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=Cc1ccc(C)c(OC)c1 ZINC000800818715 626377051 /nfs/dbraw/zinc/37/70/51/626377051.db2.gz LTYWUDXOSOTMIQ-UHFFFAOYSA-N 0 1 316.332 3.375 20 30 DGEDMN C=CC[C@H](NC(=O)c1cccc2nc(C)[nH]c21)c1ccncc1 ZINC000801902544 626424726 /nfs/dbraw/zinc/42/47/26/626424726.db2.gz LEPQUYHPGKAXQE-HNNXBMFYSA-N 0 1 306.369 3.314 20 30 DGEDMN Cc1ccc(C=NNc2ccc(F)cn2)c(-c2ccnn2C)c1 ZINC000802711437 626567341 /nfs/dbraw/zinc/56/73/41/626567341.db2.gz PFZTXQXYLXUUMD-UHFFFAOYSA-N 0 1 309.348 3.376 20 30 DGEDMN C[C@@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000883358584 626660076 /nfs/dbraw/zinc/66/00/76/626660076.db2.gz OADXRDBFKCHIFN-LHLIQPBNSA-N 0 1 319.220 3.174 20 30 DGEDMN C[C@@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1cc(F)ccc1F ZINC000883358681 626660467 /nfs/dbraw/zinc/66/04/67/626660467.db2.gz PHDFJAALWXCTTG-LHLIQPBNSA-N 0 1 319.220 3.174 20 30 DGEDMN CCCCCCC[C@H](C)CNC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000806499863 626717828 /nfs/dbraw/zinc/71/78/28/626717828.db2.gz UXQDNXAXOAGCKZ-QWHCGFSZSA-N 0 1 309.458 3.470 20 30 DGEDMN Cc1ccccc1-n1cc(CN=Nc2cccc(F)c2F)nn1 ZINC000807973732 626781418 /nfs/dbraw/zinc/78/14/18/626781418.db2.gz IURGJHNUINKPKU-UHFFFAOYSA-N 0 1 313.311 3.300 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OC[C@H]3CC[C@@H](C#N)CC3)[nH]n2)o1 ZINC000809088243 626880810 /nfs/dbraw/zinc/88/08/10/626880810.db2.gz WQWMINCUWHYUQE-BETUJISGSA-N 0 1 313.357 3.465 20 30 DGEDMN Oc1c(F)cc(Br)cc1C=NO[C@H]1CCCCO1 ZINC000884442640 626881059 /nfs/dbraw/zinc/88/10/59/626881059.db2.gz LWOPLWISVDZJQQ-NSHDSACASA-N 0 1 318.142 3.171 20 30 DGEDMN C[C@H](ON=C(N)CN1[C@H](C)CCC[C@@H]1C)c1cccc(C#N)c1 ZINC000809374843 626907668 /nfs/dbraw/zinc/90/76/68/626907668.db2.gz QKUQFHRLUAOEPA-ZNMIVQPWSA-N 0 1 314.433 3.381 20 30 DGEDMN C=CCc1cc(CN2CCO[C@@H](C3CCC3)C2)c(O)c(OC)c1 ZINC000840175763 626912657 /nfs/dbraw/zinc/91/26/57/626912657.db2.gz NDWGJLXYIMTDQS-GOSISDBHSA-N 0 1 317.429 3.130 20 30 DGEDMN C#Cc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)cc1 ZINC000809622771 626927589 /nfs/dbraw/zinc/92/75/89/626927589.db2.gz KGPDGQHIFYEZED-HXUWFJFHSA-N 0 1 301.393 3.396 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@@H](Oc3ncccc3F)C2)cc1 ZINC000809655529 626933273 /nfs/dbraw/zinc/93/32/73/626933273.db2.gz LWMDXTYZEXNMEU-QGZVFWFLSA-N 0 1 310.372 3.245 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(F)c(OC)c3)CC2)cc1 ZINC000809663348 626934852 /nfs/dbraw/zinc/93/48/52/626934852.db2.gz OAODZGFXNDMMHN-UHFFFAOYSA-N 0 1 324.399 3.138 20 30 DGEDMN C#C[C@H](C)NCc1cc(Br)c(OCC=C)c(OC)c1 ZINC000809683755 626935349 /nfs/dbraw/zinc/93/53/49/626935349.db2.gz MXLYAJLHRYZICI-NSHDSACASA-N 0 1 324.218 3.134 20 30 DGEDMN C#C[C@@H](C)NCc1ccccc1OCc1ccc(C(=O)OC)cc1 ZINC000809683947 626935613 /nfs/dbraw/zinc/93/56/13/626935613.db2.gz SDWQGDRRORXYCV-OAHLLOKOSA-N 0 1 323.392 3.164 20 30 DGEDMN N#Cc1ccc(C[NH2+]Cc2cc(Br)ccc2[O-])s1 ZINC000809713102 626940073 /nfs/dbraw/zinc/94/00/73/626940073.db2.gz INVMOFHWOYRFLX-UHFFFAOYSA-N 0 1 323.215 3.378 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(-n2cncn2)c(C)c1 ZINC000809716145 626940704 /nfs/dbraw/zinc/94/07/04/626940704.db2.gz KPVNYDRFZVMICB-UHFFFAOYSA-N 0 1 316.408 3.211 20 30 DGEDMN CCC[C@@H](NCc1nc(C#N)cs1)[C@@H](O)c1ccccc1 ZINC000810155894 626982236 /nfs/dbraw/zinc/98/22/36/626982236.db2.gz LDZSUAVOZJQOCP-ZBFHGGJFSA-N 0 1 301.415 3.007 20 30 DGEDMN COc1cc(C[NH2+]Cc2cc(Cl)ccc2[O-])ccc1C#N ZINC000810168632 626983615 /nfs/dbraw/zinc/98/36/15/626983615.db2.gz BWPMTJUQBKVGJP-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN N#CC1(C[C@@H](O)CN(Cc2ccccc2F)C2CC2)CCC1 ZINC000885932973 627063361 /nfs/dbraw/zinc/06/33/61/627063361.db2.gz FUDUDJDBINVEFK-MRXNPFEDSA-N 0 1 302.393 3.235 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000886353749 627141457 /nfs/dbraw/zinc/14/14/57/627141457.db2.gz OOHWFCYHASIMQV-LBPRGKRZSA-N 0 1 301.777 3.235 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccccc2C(F)(F)F)C1 ZINC000886355345 627141907 /nfs/dbraw/zinc/14/19/07/627141907.db2.gz HCLZLOMQAQUZAN-CHWSQXEVSA-N 0 1 324.346 3.378 20 30 DGEDMN C=CCC1(O)CCN(Cc2cc(-c3ccc(F)cc3)no2)CC1 ZINC000886761365 627200938 /nfs/dbraw/zinc/20/09/38/627200938.db2.gz CVDXPYGUSHPPRC-UHFFFAOYSA-N 0 1 316.376 3.384 20 30 DGEDMN C[C@H]1C(N=Nc2cncc(Cl)n2)CCN1Cc1ccccc1 ZINC000811638657 627298266 /nfs/dbraw/zinc/29/82/66/627298266.db2.gz VCKSVGPFTOIMFM-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C(=NNC[C@H]1CCSC1)c1ccc(N2CCCCC2)s1 ZINC000811661355 627301790 /nfs/dbraw/zinc/30/17/90/627301790.db2.gz JONLDGWHAZUCLR-CYBMUJFWSA-N 0 1 309.504 3.415 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cc(F)c(O)c(F)c2)cc1O ZINC000811698935 627307342 /nfs/dbraw/zinc/30/73/42/627307342.db2.gz OQVSNNOLQCMBCZ-DUXPYHPUSA-N 0 1 306.264 3.281 20 30 DGEDMN CCCCCCCCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000811950600 627340443 /nfs/dbraw/zinc/34/04/43/627340443.db2.gz ILRCXSAAYZMANY-CQSZACIVSA-N 0 1 306.454 3.317 20 30 DGEDMN C#C[C@H](NC(=O)NC[C@H](c1ccco1)N(CC)CC)C(C)(C)C ZINC000812016604 627347386 /nfs/dbraw/zinc/34/73/86/627347386.db2.gz LMERLJLBXGUJST-ZBFHGGJFSA-N 0 1 319.449 3.010 20 30 DGEDMN C#C[C@@H](NC(=O)NC[C@@H](c1ccco1)N(CC)CC)C(C)(C)C ZINC000812016602 627347488 /nfs/dbraw/zinc/34/74/88/627347488.db2.gz LMERLJLBXGUJST-GOEBONIOSA-N 0 1 319.449 3.010 20 30 DGEDMN CC(=NNCc1ccccc1)c1cccc(N2CCOCC2)c1 ZINC000812433138 627387033 /nfs/dbraw/zinc/38/70/33/627387033.db2.gz KWBMBQHOLISTTC-UHFFFAOYSA-N 0 1 309.413 3.037 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccc(F)cc1 ZINC000812755449 627417203 /nfs/dbraw/zinc/41/72/03/627417203.db2.gz WFEOFKIHLPUVJC-CQSZACIVSA-N 0 1 303.720 3.289 20 30 DGEDMN C[C@H](c1ccccc1)N1CC[C@](F)(COC(=O)CCCC#N)C1 ZINC000813086503 627447148 /nfs/dbraw/zinc/44/71/48/627447148.db2.gz LDIJKQVQDCMATQ-CRAIPNDOSA-N 0 1 318.392 3.399 20 30 DGEDMN CC(Cl)(Cl)C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000813216649 627468188 /nfs/dbraw/zinc/46/81/88/627468188.db2.gz WISWEVJFJUZKCX-ZETCQYMHSA-N 0 1 311.090 3.470 20 30 DGEDMN CC(Cl)(Cl)C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000813216648 627468285 /nfs/dbraw/zinc/46/82/85/627468285.db2.gz WISWEVJFJUZKCX-SSDOTTSWSA-N 0 1 311.090 3.470 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813218189 627468778 /nfs/dbraw/zinc/46/87/78/627468778.db2.gz SUTNIJABHCBQHQ-PSASIEDQSA-N 0 1 300.305 3.030 20 30 DGEDMN CC(C)(C)c1nnc(COC(=O)c2ccc(C3(C#N)CC3)cc2)[nH]1 ZINC000814464542 627568314 /nfs/dbraw/zinc/56/83/14/627568314.db2.gz WIPFJPCDQXNJAJ-UHFFFAOYSA-N 0 1 324.384 3.014 20 30 DGEDMN CC(C)(C)c1nc(COC(=O)c2ccc(C3(C#N)CC3)cc2)n[nH]1 ZINC000814464542 627568315 /nfs/dbraw/zinc/56/83/15/627568315.db2.gz WIPFJPCDQXNJAJ-UHFFFAOYSA-N 0 1 324.384 3.014 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1C=NNc1nc2ccccc2[nH]1 ZINC000814709200 627584962 /nfs/dbraw/zinc/58/49/62/627584962.db2.gz UWTVAILKBYXOOZ-UHFFFAOYSA-N 0 1 309.160 3.049 20 30 DGEDMN CC(C)(C)c1ncc(C=NNc2ncnc3sccc32)cn1 ZINC000814765634 627588854 /nfs/dbraw/zinc/58/88/54/627588854.db2.gz NNEPWXGFVOLARY-UHFFFAOYSA-N 0 1 312.402 3.225 20 30 DGEDMN Fc1cc2c(c(F)c1)C(N=Nc1ncnc3sccc31)CO2 ZINC000814767496 627589438 /nfs/dbraw/zinc/58/94/38/627589438.db2.gz JYVQSXSDOLVRDN-UHFFFAOYSA-N 0 1 318.308 3.178 20 30 DGEDMN COCC(=NNc1cc(C)nc(C)n1)c1cccc(Cl)c1 ZINC000814807065 627593489 /nfs/dbraw/zinc/59/34/89/627593489.db2.gz DLOSNYMVHFWWOQ-UHFFFAOYSA-N 0 1 304.781 3.209 20 30 DGEDMN Fc1ccc(NN=Cc2ccc3ccc(F)c(F)c3n2)nc1 ZINC000814842923 627597246 /nfs/dbraw/zinc/59/72/46/627597246.db2.gz VATCRFFUOWBZIT-UHFFFAOYSA-N 0 1 302.259 3.493 20 30 DGEDMN CSCc1cnc(CN=Nc2cnnc3ccccc23)s1 ZINC000814849316 627597950 /nfs/dbraw/zinc/59/79/50/627597950.db2.gz QAEINANPONBNKK-UHFFFAOYSA-N 0 1 315.427 3.395 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1C=NNc1c(F)cccc1F ZINC000814852966 627598234 /nfs/dbraw/zinc/59/82/34/627598234.db2.gz VZZQEPBKDFKADI-UHFFFAOYSA-N 0 1 305.115 3.451 20 30 DGEDMN COCC(N=Nc1c(F)cccc1F)c1cccc([N+](=O)[O-])c1 ZINC000814852886 627598370 /nfs/dbraw/zinc/59/83/70/627598370.db2.gz SRWGSMGZQPGZFD-UHFFFAOYSA-N 0 1 321.283 3.336 20 30 DGEDMN Fc1ccc2c(c1)OCC2N=Nc1cccc(C(F)(F)F)n1 ZINC000814853148 627598416 /nfs/dbraw/zinc/59/84/16/627598416.db2.gz DXMOPDALCVJZBY-UHFFFAOYSA-N 0 1 311.238 3.448 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=C2COc3ccc(Cl)cc32)c1 ZINC000814891652 627603706 /nfs/dbraw/zinc/60/37/06/627603706.db2.gz ZXMZGJSTXNCFHC-UHFFFAOYSA-N 0 1 319.151 3.469 20 30 DGEDMN CCc1nn(C)c(OC)c1C=NN=c1[nH]c2ccccc2cc1C ZINC000814896212 627604202 /nfs/dbraw/zinc/60/42/02/627604202.db2.gz OKEICYWWTJTWDJ-UHFFFAOYSA-N 0 1 323.400 3.294 20 30 DGEDMN CC(C)c1nccnc1NN=Cc1c(Cl)nc(Cl)n1C ZINC000814916343 627607402 /nfs/dbraw/zinc/60/74/02/627607402.db2.gz GHAJNVKZGDANNX-UHFFFAOYSA-N 0 1 313.192 3.086 20 30 DGEDMN O=[N+]([O-])c1c(F)cccc1N=NC1COc2cc(F)cc(F)c21 ZINC000814919114 627607729 /nfs/dbraw/zinc/60/77/29/627607729.db2.gz LMMOUTZOGRHTJJ-UHFFFAOYSA-N 0 1 323.230 3.221 20 30 DGEDMN OC[C@@H](NN=C1CC[C@H]2CNc3cccc1c32)c1ccccc1 ZINC000814919451 627607953 /nfs/dbraw/zinc/60/79/53/627607953.db2.gz LYQXOKGBYYMSPQ-KBXCAEBGSA-N 0 1 307.397 3.017 20 30 DGEDMN COCc1nc(Cl)cc(N=NCc2cscc2Cl)n1 ZINC000814975030 627614740 /nfs/dbraw/zinc/61/47/40/627614740.db2.gz PDIMCTCWLBUCOO-UHFFFAOYSA-N 0 1 317.201 3.437 20 30 DGEDMN COCc1nc(Cl)cc(NN=Cc2cc(F)cc(F)c2)n1 ZINC000814979236 627615423 /nfs/dbraw/zinc/61/54/23/627615423.db2.gz LDQUGUHCTIJEIE-UHFFFAOYSA-N 0 1 312.707 3.001 20 30 DGEDMN COc1cc(Cl)cc(C(=O)Nc2cc(C#N)ccc2O)c1 ZINC000815878085 627708938 /nfs/dbraw/zinc/70/89/38/627708938.db2.gz RXGBMTDMSZPQPX-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1CC2CCC1CC2 ZINC000815904593 627711543 /nfs/dbraw/zinc/71/15/43/627711543.db2.gz KTKXCUYYWZVOSJ-CKUJCDMFSA-N 0 1 304.434 3.218 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1 ZINC000816039147 627729569 /nfs/dbraw/zinc/72/95/69/627729569.db2.gz OPMCPOSNIFCSDJ-LTIDMASMSA-N 0 1 310.397 3.009 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccnn2CC2CCC2)cc1C#N ZINC000925594925 627760693 /nfs/dbraw/zinc/76/06/93/627760693.db2.gz PADZKXUJMISNOQ-AWEZNQCLSA-N 0 1 324.428 3.414 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2N1CCOc2ccc(N)cc2C1 ZINC000925752200 627787249 /nfs/dbraw/zinc/78/72/49/627787249.db2.gz HAVWVZYELGUDOW-IBGZPJMESA-N 0 1 319.408 3.412 20 30 DGEDMN CCCCCCCCC(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000816681898 627824289 /nfs/dbraw/zinc/82/42/89/627824289.db2.gz JVIOFMPCOUUBSH-QGZVFWFLSA-N 0 1 324.509 3.449 20 30 DGEDMN CON=Cc1ccc(C(=O)Nc2nc3ccc(C)cc3[nH]2)cc1 ZINC000817017612 627846364 /nfs/dbraw/zinc/84/63/64/627846364.db2.gz VIHGUTAHNNXDKQ-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN CC(C)(C)c1nc(CNc2nc3ccccc3cc2C#N)n[nH]1 ZINC000840335192 627857401 /nfs/dbraw/zinc/85/74/01/627857401.db2.gz NMNXWKYUJFSYKZ-UHFFFAOYSA-N 0 1 306.373 3.134 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@@H](CC)O1 ZINC000817412107 627895427 /nfs/dbraw/zinc/89/54/27/627895427.db2.gz CZCBVVMVFWBNBR-RTBURBONSA-N 0 1 315.461 3.426 20 30 DGEDMN COc1cc(CN[C@@H](C)c2ccnn2C2CCC2)ccc1C#N ZINC000926449856 627897551 /nfs/dbraw/zinc/89/75/51/627897551.db2.gz XDOVDTAIUNKUAV-ZDUSSCGKSA-N 0 1 310.401 3.339 20 30 DGEDMN C[C@@H](N[C@H]1c2ccccc2CC[C@H]1O)c1ccc(C#N)cc1F ZINC000927139550 628024581 /nfs/dbraw/zinc/02/45/81/628024581.db2.gz NDMGMXWRESHYJK-DPMMWBKBSA-N 0 1 310.372 3.396 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCCC2(C)C)o1 ZINC000820198441 628233663 /nfs/dbraw/zinc/23/36/63/628233663.db2.gz VVYKWRWJSLXXJP-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCCC[C@H]2C)c1 ZINC000820761759 628295610 /nfs/dbraw/zinc/29/56/10/628295610.db2.gz SECZLRARMHIGOB-DJIMGWMZSA-N 0 1 312.413 3.146 20 30 DGEDMN CC[C@H]1CCCC1N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC000820971997 628321274 /nfs/dbraw/zinc/32/12/74/628321274.db2.gz JHQRRRKUSFRFDT-JTQLQIEISA-N 0 1 317.397 3.031 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N(CCC#N)CC(C)C)n[nH]2)cc1 ZINC000822231315 628431873 /nfs/dbraw/zinc/43/18/73/628431873.db2.gz KXBHNBITXAACSR-UHFFFAOYSA-N 0 1 310.401 3.397 20 30 DGEDMN CC(C)CN(CCC#N)C[C@@]12C[C@@H]1CN(C(=O)OC(C)(C)C)C2 ZINC000823382752 628555081 /nfs/dbraw/zinc/55/50/81/628555081.db2.gz SESWEVVJARQCTI-CRAIPNDOSA-N 0 1 321.465 3.115 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H](C)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000824173109 628634055 /nfs/dbraw/zinc/63/40/55/628634055.db2.gz WLFMRHRMXKGIBZ-SOUVJXGZSA-N 0 1 309.454 3.446 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccccc2F)s1)[C@H]1CCCO1 ZINC000824195518 628636226 /nfs/dbraw/zinc/63/62/26/628636226.db2.gz GZDCJMCBNQXKAU-HZPDHXFCSA-N 0 1 316.401 3.220 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC[C@H]2C(C)C)o1 ZINC000824352530 628653883 /nfs/dbraw/zinc/65/38/83/628653883.db2.gz HGZKYOKPWGEPQE-JTQLQIEISA-N 0 1 317.397 3.202 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@H](C)C[C@H]2C)o1 ZINC000824899413 628703921 /nfs/dbraw/zinc/70/39/21/628703921.db2.gz JMDSXDKODFHAOA-VHSXEESVSA-N 0 1 317.397 3.202 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@@H]2CCC[C@@H](C)C2)o1 ZINC000824927587 628708243 /nfs/dbraw/zinc/70/82/43/628708243.db2.gz PTPGMOSYPKCGCL-GHMZBOCLSA-N 0 1 317.397 3.202 20 30 DGEDMN CC[C@@H]1CCCCC1N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC000825195261 628736620 /nfs/dbraw/zinc/73/66/20/628736620.db2.gz VRJZBZHIHFHMFH-SNVBAGLBSA-N 0 1 317.397 3.346 20 30 DGEDMN C#Cc1cccc(CNCc2cc3c(cc2OC)C[C@@H](C)O3)c1 ZINC000825227751 628739554 /nfs/dbraw/zinc/73/95/54/628739554.db2.gz ZPHOYTFIZWTYLY-CQSZACIVSA-N 0 1 307.393 3.290 20 30 DGEDMN C[C@@H](C[N@@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1)c1ccccc1 ZINC000827442458 628959365 /nfs/dbraw/zinc/95/93/65/628959365.db2.gz LCFXBWATPAZPND-KBXCAEBGSA-N 0 1 308.381 3.419 20 30 DGEDMN C#C[C@H](NCc1cccc(Cl)c1OCC)C1CCOCC1 ZINC000827561134 628970772 /nfs/dbraw/zinc/97/07/72/628970772.db2.gz ZDOVMACBCCVBAO-INIZCTEOSA-N 0 1 307.821 3.257 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccc(F)c(C(F)(F)F)c1 ZINC000827823788 628994653 /nfs/dbraw/zinc/99/46/53/628994653.db2.gz UAXZBNLWUGPWLL-UHFFFAOYSA-N 0 1 303.299 3.316 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2c(CC)cccc2CC)C1 ZINC000829775177 629190961 /nfs/dbraw/zinc/19/09/61/629190961.db2.gz YXORYENASPMEJV-INIZCTEOSA-N 0 1 312.457 3.485 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000829778882 629191357 /nfs/dbraw/zinc/19/13/57/629191357.db2.gz JKIXHKHHEIOZAB-OLZOCXBDSA-N 0 1 306.356 3.027 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nc3sc(C)c(C)c3c(N)n2)C1 ZINC000829810851 629196407 /nfs/dbraw/zinc/19/64/07/629196407.db2.gz UZISMYAKUYRUPY-ZDUSSCGKSA-N 0 1 314.458 3.126 20 30 DGEDMN C#CC1CCN([C@@H]2CCN(c3c(C)cc(C)cc3C)C2=O)CC1 ZINC000830345602 629256713 /nfs/dbraw/zinc/25/67/13/629256713.db2.gz KBESLJWXLLUHRW-GOSISDBHSA-N 0 1 310.441 3.062 20 30 DGEDMN C[C@H](CC#N)C(=O)Nc1cc(Cl)cc(Br)c1O ZINC000831298078 629359711 /nfs/dbraw/zinc/35/97/11/629359711.db2.gz BVGZURFRWFSMNO-ZCFIWIBFSA-N 0 1 317.570 3.296 20 30 DGEDMN COC(=O)C1(C#N)CCN(CCCc2ccc(Cl)cc2)CC1 ZINC000831690856 629404996 /nfs/dbraw/zinc/40/49/96/629404996.db2.gz FMQGKWJSPVVEPU-UHFFFAOYSA-N 0 1 320.820 3.051 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831890959 629423783 /nfs/dbraw/zinc/42/37/83/629423783.db2.gz BXSVCQPULCIOAI-SUMWQHHRSA-N 0 1 302.422 3.179 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)C3(C#N)CCCC3)C2)n[nH]1 ZINC000831919716 629428671 /nfs/dbraw/zinc/42/86/71/629428671.db2.gz ONWXPAVBGBYNAQ-CQSZACIVSA-N 0 1 314.433 3.323 20 30 DGEDMN COc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)cc1C ZINC000832310504 629463368 /nfs/dbraw/zinc/46/33/68/629463368.db2.gz BLKGSSPBYMUYHG-SFHVURJKSA-N 0 1 324.380 3.133 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccc(F)cc3)C2)c1 ZINC000833073694 629555070 /nfs/dbraw/zinc/55/50/70/629555070.db2.gz GUIBXKNNSQHGNT-SJLPKXTDSA-N 0 1 324.355 3.313 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3cccc(F)c3)C2)c1 ZINC000833074331 629555223 /nfs/dbraw/zinc/55/52/23/629555223.db2.gz VRWHKWCNDQXWRM-AEFFLSMTSA-N 0 1 324.355 3.313 20 30 DGEDMN C#CC[N@H+](Cc1ccc(O[C@@H](C)C(=O)[O-])cc1)C1CCCCC1 ZINC000833364486 629592339 /nfs/dbraw/zinc/59/23/39/629592339.db2.gz OCAAPKWBKZKTCX-HNNXBMFYSA-N 0 1 315.413 3.306 20 30 DGEDMN C#CCCOc1ccc(C[N@H+](C)[C@@H](C(=O)[O-])c2ccccc2)cc1 ZINC000833365599 629592570 /nfs/dbraw/zinc/59/25/70/629592570.db2.gz VOPYIFJYEZSBEN-LJQANCHMSA-N 0 1 323.392 3.346 20 30 DGEDMN C=CCOc1cccc(C[N@@H+](CC(=O)[O-])Cc2ccccc2)c1 ZINC000833378502 629595448 /nfs/dbraw/zinc/59/54/48/629595448.db2.gz HDVBHBWCWMEVJJ-UHFFFAOYSA-N 0 1 311.381 3.338 20 30 DGEDMN CC(C)(C(=O)[O-])[C@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000833417112 629601268 /nfs/dbraw/zinc/60/12/68/629601268.db2.gz MODZAOSJFHEEMD-HNNXBMFYSA-N 0 1 303.377 3.000 20 30 DGEDMN C[C@@]1(c2ccccc2)CC[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC000833577550 629624168 /nfs/dbraw/zinc/62/41/68/629624168.db2.gz KJZDBOLUVZNDMM-HXUWFJFHSA-N 0 1 320.392 3.420 20 30 DGEDMN C[C@@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2C#N)Cc2ccccc21 ZINC000833629478 629632716 /nfs/dbraw/zinc/63/27/16/629632716.db2.gz JNSNUHXYMWKDSV-CYBMUJFWSA-N 0 1 306.365 3.376 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)Cc2ccccc21 ZINC000833629478 629632717 /nfs/dbraw/zinc/63/27/17/629632717.db2.gz JNSNUHXYMWKDSV-CYBMUJFWSA-N 0 1 306.365 3.376 20 30 DGEDMN CCCCCCCC[C@@H](O)CCC(=O)N1CCC2(CN(C)C2)C1 ZINC000834522181 629720591 /nfs/dbraw/zinc/72/05/91/629720591.db2.gz IKBORWKSEJRLKK-QGZVFWFLSA-N 0 1 324.509 3.042 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000834887150 629797705 /nfs/dbraw/zinc/79/77/05/629797705.db2.gz CXGQSUQXTGOUKM-IUPBHXKESA-N 0 1 312.207 3.149 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1N[C@H](C)c1nc(Br)cs1 ZINC000834890639 629798446 /nfs/dbraw/zinc/79/84/46/629798446.db2.gz FLIRSVPEIASGTG-BBBLOLIVSA-N 0 1 317.252 3.290 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000834892317 629799429 /nfs/dbraw/zinc/79/94/29/629799429.db2.gz RBPZRAFEBLZCLR-CQSZACIVSA-N 0 1 311.381 3.264 20 30 DGEDMN Nc1ccc2c(n1)CCCC2=[NH+][N-]c1cc(C(F)(F)F)ccn1 ZINC000834985600 629817474 /nfs/dbraw/zinc/81/74/74/629817474.db2.gz NEADLWOYDUIMLJ-UHFFFAOYSA-N 0 1 321.306 3.230 20 30 DGEDMN Clc1ccc(CN2CCC(N=Nc3ccncn3)CC2)cc1 ZINC000835000931 629819588 /nfs/dbraw/zinc/81/95/88/629819588.db2.gz BYFARFVOVZLOFT-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2nc3c(s2)CCC3)c(F)c1 ZINC000835023771 629826572 /nfs/dbraw/zinc/82/65/72/629826572.db2.gz PMSQFTKDGFZIPS-UHFFFAOYSA-N 0 1 319.361 3.004 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H](C#N)C(=O)C(C)(C)C(C)(F)F ZINC000835036000 629830285 /nfs/dbraw/zinc/83/02/85/629830285.db2.gz IPXRFIYTECYNDU-JTQLQIEISA-N 0 1 305.328 3.431 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C[C@H]1C ZINC000835061450 629840474 /nfs/dbraw/zinc/84/04/74/629840474.db2.gz LEUNSZHCYQHXLJ-JHNDHUHGSA-N 0 1 312.413 3.084 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2CC(C)C)c1 ZINC000835061224 629840821 /nfs/dbraw/zinc/84/08/21/629840821.db2.gz JUPAEPWZLWBRBZ-DAXOMENPSA-N 0 1 314.385 3.025 20 30 DGEDMN C#CCO[C@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000835068008 629842382 /nfs/dbraw/zinc/84/23/82/629842382.db2.gz LWFXJSIFXNBDIE-UKRRQHHQSA-N 0 1 324.405 3.333 20 30 DGEDMN CCC[C@@H](OC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000835145719 629863558 /nfs/dbraw/zinc/86/35/58/629863558.db2.gz VEGKOYJRGFZDFM-CMPLNLGQSA-N 0 1 306.362 3.427 20 30 DGEDMN N#CC(C(=O)C=C[C@@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145740 629863707 /nfs/dbraw/zinc/86/37/07/629863707.db2.gz VQMHTRLOEVLOQP-GRXANOBYSA-N 0 1 316.357 3.204 20 30 DGEDMN N#C[C@H](C(=O)C=C[C@@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145740 629863710 /nfs/dbraw/zinc/86/37/10/629863710.db2.gz VQMHTRLOEVLOQP-GRXANOBYSA-N 0 1 316.357 3.204 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=CC3(C)CCOCC3)[nH]c21 ZINC000835174092 629869220 /nfs/dbraw/zinc/86/92/20/629869220.db2.gz SNDDXNWYZMYADR-PSKZRQQASA-N 0 1 323.396 3.421 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@H]2CCOC2)s1 ZINC000835387878 629920800 /nfs/dbraw/zinc/92/08/00/629920800.db2.gz MNLBVPVLBZPPJH-RUULKOMLSA-N 0 1 304.415 3.210 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)=C(O)C=C[C@H]2CCOC2)s1 ZINC000835387878 629920801 /nfs/dbraw/zinc/92/08/01/629920801.db2.gz MNLBVPVLBZPPJH-RUULKOMLSA-N 0 1 304.415 3.210 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C1CCCCCC1 ZINC000102120226 629951297 /nfs/dbraw/zinc/95/12/97/629951297.db2.gz FBNLLPRZGFGHQN-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN CC1CCN([C@@H](CNC(=O)C#CC2CC2)c2cccs2)CC1 ZINC000837314224 630238491 /nfs/dbraw/zinc/23/84/91/630238491.db2.gz VSYZVHUDDFFPEF-INIZCTEOSA-N 0 1 316.470 3.051 20 30 DGEDMN COC(=O)[C@@H](CC1CC1)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840711166 630350598 /nfs/dbraw/zinc/35/05/98/630350598.db2.gz OYCAZMYAOFNCDS-KOSUEXCASA-N 0 1 316.184 3.460 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CN(C)C[C@H](O)c2cccc(C#N)c2)o1 ZINC000841492399 630442170 /nfs/dbraw/zinc/44/21/70/630442170.db2.gz JVCWAEZJUAMYOP-BVGQSLNGSA-N 0 1 310.397 3.440 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCCC1(C#N)CCCCC1 ZINC000842027692 630480130 /nfs/dbraw/zinc/48/01/30/630480130.db2.gz GQKMCASRQZLPEI-OAHLLOKOSA-N 0 1 306.454 3.223 20 30 DGEDMN CCN(CCO)N=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000842539069 630546033 /nfs/dbraw/zinc/54/60/33/630546033.db2.gz ZCRCGEDTHDOCRD-UHFFFAOYSA-N 0 1 317.458 3.033 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)C1 ZINC000842630114 630555645 /nfs/dbraw/zinc/55/56/45/630555645.db2.gz XRKGBZNOPATDQI-PBHICJAKSA-N 0 1 316.832 3.306 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C(=O)C3(CCC3)[C@@H]2c2ccccc2)C1 ZINC000842628807 630556415 /nfs/dbraw/zinc/55/64/15/630556415.db2.gz GVAUHIBOHVJKOG-HKUYNNGSSA-N 0 1 322.452 3.433 20 30 DGEDMN C#CC[C@@H]1CCC[N@@H+](Cc2cc(Br)ccc2[O-])C1 ZINC000843424568 630656788 /nfs/dbraw/zinc/65/67/88/630656788.db2.gz WJFLKOGBYJNJKJ-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2cc(Br)ccc2[O-])C1 ZINC000843424568 630656789 /nfs/dbraw/zinc/65/67/89/630656789.db2.gz WJFLKOGBYJNJKJ-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN CCCCCCOc1ccc(CN2CC[C@@](O)(CC#N)C2)cc1 ZINC000843425134 630656811 /nfs/dbraw/zinc/65/68/11/630656811.db2.gz FJCXDFKHYTUBHR-IBGZPJMESA-N 0 1 316.445 3.496 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCOC[C@H]2C2CCC2)cc1 ZINC000843429661 630657698 /nfs/dbraw/zinc/65/76/98/630657698.db2.gz ZCCCNWRJPGDEIO-IBGZPJMESA-N 0 1 313.445 3.037 20 30 DGEDMN C=CCc1cc(CNCc2cccc(O)c2)cc(OC)c1OC ZINC000105478325 630712982 /nfs/dbraw/zinc/71/29/82/630712982.db2.gz PWSTUWUJFIGPJY-UHFFFAOYSA-N 0 1 313.397 3.428 20 30 DGEDMN C=CCc1cc(CNCc2c(C)noc2C)cc(OC)c1OC ZINC000105478250 630713023 /nfs/dbraw/zinc/71/30/23/630713023.db2.gz SSXSUWXMEJPDNZ-UHFFFAOYSA-N 0 1 316.401 3.327 20 30 DGEDMN C[C@H](c1nc2ccccc2o1)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844366813 630721514 /nfs/dbraw/zinc/72/15/14/630721514.db2.gz OSWGYYIPLRBCSE-CXAGYDPISA-N 0 1 321.380 3.426 20 30 DGEDMN C[C@@H]1CCCN(CC2(C#N)CC2)[C@@H]1CCNC(=O)OC(C)(C)C ZINC000844403077 630724831 /nfs/dbraw/zinc/72/48/31/630724831.db2.gz URRFRMJPNXKWPS-HUUCEWRRSA-N 0 1 321.465 3.305 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000105758611 631057754 /nfs/dbraw/zinc/05/77/54/631057754.db2.gz LBNLKVIVELQBQO-CZUORRHYSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(C)COCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845839525 631198380 /nfs/dbraw/zinc/19/83/80/631198380.db2.gz UZUSWADNWSMGHK-MRXNPFEDSA-N 0 1 313.397 3.059 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC1(Cl)Cl)=C(O)C1(c2ccccc2)CC1 ZINC000845839456 631198481 /nfs/dbraw/zinc/19/84/81/631198481.db2.gz HNNKSJJPICGPPN-RYUDHWBXSA-N 0 1 322.191 3.190 20 30 DGEDMN N#CC(C(=O)C1(c2ccccc2)CC1)=C(O)[C@H]1CCCCS1 ZINC000845846524 631199103 /nfs/dbraw/zinc/19/91/03/631199103.db2.gz USSNNFWGNSCJSE-HUUCEWRRSA-N 0 1 313.422 3.282 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCC[C@@H]1NCC1(C#N)CCCC1 ZINC000846011431 631205681 /nfs/dbraw/zinc/20/56/81/631205681.db2.gz UUTQAQJAIMJGDX-KBPBESRZSA-N 0 1 307.438 3.106 20 30 DGEDMN C[C@H](CC(=O)Nc1cccc(F)c1)NCC1(C#N)CCCC1 ZINC000846010719 631205794 /nfs/dbraw/zinc/20/57/94/631205794.db2.gz NKECSQJUWZWIBN-CYBMUJFWSA-N 0 1 303.381 3.216 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2C[C@@H](C)OCC23CCC3)cc1 ZINC000846067005 631208919 /nfs/dbraw/zinc/20/89/19/631208919.db2.gz KYCVQYOIWIYWCL-HUUCEWRRSA-N 0 1 300.402 3.121 20 30 DGEDMN C=C[C@H](C)ONC(=O)C(C)(C)c1ccc(OCC)c(OCC)c1 ZINC000846547786 631259115 /nfs/dbraw/zinc/25/91/15/631259115.db2.gz FWSXHXBHOKFHEV-ZDUSSCGKSA-N 0 1 321.417 3.384 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1csc(Cc2ccc(F)cc2)n1 ZINC000846551335 631260149 /nfs/dbraw/zinc/26/01/49/631260149.db2.gz RUKBWSWKYPRPPW-LLVKDONJSA-N 0 1 320.389 3.038 20 30 DGEDMN C=C(C)[C@@H](NC[C@@H]1CN(CC)C(=O)O1)c1ccc(F)c(F)c1 ZINC000846862277 631331417 /nfs/dbraw/zinc/33/14/17/631331417.db2.gz UKTWCZHJHANSBX-IUODEOHRSA-N 0 1 310.344 3.012 20 30 DGEDMN N#CC(C(=O)CCC1CCCCCC1)C(=O)Nc1ccccn1 ZINC000847629122 631499103 /nfs/dbraw/zinc/49/91/03/631499103.db2.gz TYIIAYHOENDJOG-HNNXBMFYSA-N 0 1 313.401 3.480 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cc(-c3ccc(C)cc3C)[nH]n2)C1 ZINC000848279652 631638260 /nfs/dbraw/zinc/63/82/60/631638260.db2.gz WGWKDLHDMUGDPK-OAHLLOKOSA-N 0 1 307.397 3.179 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000848288469 631640933 /nfs/dbraw/zinc/64/09/33/631640933.db2.gz KUPNZNGQBOKGND-LBPRGKRZSA-N 0 1 313.788 3.216 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=C1CCCN2CCCC[C@H]12 ZINC000848410989 631656161 /nfs/dbraw/zinc/65/61/61/631656161.db2.gz UYXPXRRGOXRCCC-MAUKXSAKSA-N 0 1 301.434 3.048 20 30 DGEDMN Cc1c(N=NC2CCC[N@H+]3CCCC[C@@H]23)cccc1C(=O)[O-] ZINC000848415744 631657487 /nfs/dbraw/zinc/65/74/87/631657487.db2.gz XNRGZCPBWAZKHX-INIZCTEOSA-N 0 1 301.390 3.109 20 30 DGEDMN Cc1c(N=NC2CCC[N@H+]3CCCC[C@H]23)cccc1C(=O)[O-] ZINC000848415747 631657688 /nfs/dbraw/zinc/65/76/88/631657688.db2.gz XNRGZCPBWAZKHX-MRXNPFEDSA-N 0 1 301.390 3.109 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cnn(Cc3ccccc3Cl)c2)C1 ZINC000848924196 631789445 /nfs/dbraw/zinc/78/94/45/631789445.db2.gz XESAKHXNGUUBKX-OAHLLOKOSA-N 0 1 313.832 3.430 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(NC(=O)OC(C)(C)C)nc2)C1 ZINC000848924657 631789710 /nfs/dbraw/zinc/78/97/10/631789710.db2.gz XRDKAUIOFOYVPE-AWEZNQCLSA-N 0 1 315.417 3.274 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](CCOc2ccc(C(=O)[O-])cc2Cl)C1 ZINC000849344099 631897301 /nfs/dbraw/zinc/89/73/01/631897301.db2.gz BPNYNUKDWCMNRW-CYBMUJFWSA-N 0 1 321.804 3.152 20 30 DGEDMN C#CC[C@@H](NCc1cc(C(F)(F)F)nn1C)c1ccccc1 ZINC000850225252 632085283 /nfs/dbraw/zinc/08/52/83/632085283.db2.gz ANZOUGRBGAUOQK-CQSZACIVSA-N 0 1 307.319 3.293 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)CCCCCc1cccs1 ZINC000109184815 632086503 /nfs/dbraw/zinc/08/65/03/632086503.db2.gz RCMWYITVFMPGGL-CQSZACIVSA-N 0 1 306.431 3.084 20 30 DGEDMN C[C@H](O)c1ncc(CNC(C)(C)c2cccc(C#N)c2)s1 ZINC000850357115 632124930 /nfs/dbraw/zinc/12/49/30/632124930.db2.gz YPNWRAHISPRTQQ-NSHDSACASA-N 0 1 301.415 3.093 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCC1SCCS1 ZINC000850402331 632140517 /nfs/dbraw/zinc/14/05/17/632140517.db2.gz WQGRWRHTVMICNX-UHFFFAOYSA-N 0 1 313.875 3.248 20 30 DGEDMN N#C[C@H](C(=O)Cn1cc(C2CC2)cn1)c1ccc2ccccc2n1 ZINC000850741179 632210989 /nfs/dbraw/zinc/21/09/89/632210989.db2.gz GOKKHBYZRBFFBD-INIZCTEOSA-N 0 1 316.364 3.185 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)C1CC2(C1)CCCCC2 ZINC000850751604 632214936 /nfs/dbraw/zinc/21/49/36/632214936.db2.gz DVLAQBODYNFBOZ-OAHLLOKOSA-N 0 1 304.434 3.362 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC000850757973 632216851 /nfs/dbraw/zinc/21/68/51/632216851.db2.gz VVTUAYKLBROXCT-ULQDDVLXSA-N 0 1 324.424 3.228 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCC1(C)C ZINC000850759605 632217177 /nfs/dbraw/zinc/21/71/77/632217177.db2.gz QFORPBXPECBDNK-QWHCGFSZSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCC2(CC2)C1)c1nnc2n1CCCCC2 ZINC000850782587 632223799 /nfs/dbraw/zinc/22/37/99/632223799.db2.gz ODIJPGMWUHDRFW-KGLIPLIRSA-N 0 1 312.417 3.151 20 30 DGEDMN N#CC(C(=O)CC1(C#N)CCOCC1)c1nccc2ccccc21 ZINC000850985027 632277753 /nfs/dbraw/zinc/27/77/53/632277753.db2.gz CMUGRRRFAKQKIE-INIZCTEOSA-N 0 1 319.364 3.122 20 30 DGEDMN N#C[C@H](C(=O)C1CC2(CC2(F)F)C1)c1cnc2ccccc2n1 ZINC000850987322 632278798 /nfs/dbraw/zinc/27/87/98/632278798.db2.gz ZVXIZEAZWGTKKS-CSJGVYOVSA-N 0 1 313.307 3.241 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000852098493 632553120 /nfs/dbraw/zinc/55/31/20/632553120.db2.gz ORPBAOZIILSFRX-XHDPSFHLSA-N 0 1 312.335 3.424 20 30 DGEDMN C[C@H](C#N)OCCN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000852127195 632559935 /nfs/dbraw/zinc/55/99/35/632559935.db2.gz OFCWYVYWDBCDBP-MNOVXSKESA-N 0 1 306.797 3.138 20 30 DGEDMN CCCCC[C@H](C)NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000852354697 632594667 /nfs/dbraw/zinc/59/46/67/632594667.db2.gz SIDVHSGFJWRQME-HNNXBMFYSA-N 0 1 315.461 3.468 20 30 DGEDMN C[C@H](CO)[C@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000852422906 632601812 /nfs/dbraw/zinc/60/18/12/632601812.db2.gz MZDKZNYIRWOHBW-CZUORRHYSA-N 0 1 322.836 3.225 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001032163639 660208971 /nfs/dbraw/zinc/20/89/71/660208971.db2.gz CQQYERXIAVTSGM-UHFFFAOYSA-N 0 1 306.409 3.201 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(CCC)c(C)s2)C1 ZINC001032173971 660210716 /nfs/dbraw/zinc/21/07/16/660210716.db2.gz AWFNDWUDLHTOJP-UHFFFAOYSA-N 0 1 306.475 3.247 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001032168006 660210149 /nfs/dbraw/zinc/21/01/49/660210149.db2.gz LVUQMFJMDMSLHE-UHFFFAOYSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2c3ccccc3oc2CC)C1 ZINC001032210933 660227053 /nfs/dbraw/zinc/22/70/53/660227053.db2.gz TZBOOJMSFXYNAC-UHFFFAOYSA-N 0 1 312.413 3.233 20 30 DGEDMN CCCCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174010834 659409854 /nfs/dbraw/zinc/40/98/54/659409854.db2.gz HIVXSBMGYQHCMG-ZIAGYGMSSA-N 0 1 301.390 3.335 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(Cc3ccsc3)C2)CC1 ZINC001015659629 659733063 /nfs/dbraw/zinc/73/30/63/659733063.db2.gz GAQRTBIGOOXUEN-INIZCTEOSA-N 0 1 304.459 3.185 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](C)C1 ZINC000944791613 660232916 /nfs/dbraw/zinc/23/29/16/660232916.db2.gz VODDPCQIEXGSKX-BBRMVZONSA-N 0 1 318.486 3.082 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cccc3c2OCO3)c1 ZINC000073011918 660236005 /nfs/dbraw/zinc/23/60/05/660236005.db2.gz ALTMZBQDUNSRDD-MRXNPFEDSA-N 0 1 324.380 3.386 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cccc3c2OCO3)c1 ZINC000073011914 660236017 /nfs/dbraw/zinc/23/60/17/660236017.db2.gz ALTMZBQDUNSRDD-INIZCTEOSA-N 0 1 324.380 3.386 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@@H](C)C1 ZINC000945367008 660250225 /nfs/dbraw/zinc/25/02/25/660250225.db2.gz QCZPGEQAFLXLLD-BQTPPKLHSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1(C)C ZINC000996068396 660275013 /nfs/dbraw/zinc/27/50/13/660275013.db2.gz GTMKWJQGZONTNO-KKUMJFAQSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc[nH]c2CCC)CC1(C)C ZINC000996167769 660276837 /nfs/dbraw/zinc/27/68/37/660276837.db2.gz HCRAGEYOPJXKCO-HNNXBMFYSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001015962192 660285160 /nfs/dbraw/zinc/28/51/60/660285160.db2.gz FNBJZJONXAEZBX-NEPJUHHUSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(F)s2)CC1(C)C ZINC000996707475 660291427 /nfs/dbraw/zinc/29/14/27/660291427.db2.gz PTCPUNMNEQOPIC-LLVKDONJSA-N 0 1 316.829 3.080 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(C(F)F)c1 ZINC001032516050 660335902 /nfs/dbraw/zinc/33/59/02/660335902.db2.gz CQEPTYJYPKXGBK-HOTGVXAUSA-N 0 1 320.383 3.028 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001032557892 660346198 /nfs/dbraw/zinc/34/61/98/660346198.db2.gz VCXQZAYKULHXOD-ROUUACIJSA-N 0 1 312.457 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1C ZINC001032561953 660349008 /nfs/dbraw/zinc/34/90/08/660349008.db2.gz GQXBCWVUZXDYHS-ROUUACIJSA-N 0 1 312.457 3.301 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)c2[nH]ccc2C)CC1 ZINC000997998708 660362808 /nfs/dbraw/zinc/36/28/08/660362808.db2.gz JQHPCPVXTRVCOB-OAHLLOKOSA-N 0 1 323.868 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(CNCc2cscn2)CC1 ZINC000998043773 660365827 /nfs/dbraw/zinc/36/58/27/660365827.db2.gz KKIPJSWEEWKQSH-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@@H]2CC23CC3)CC1 ZINC000998143152 660372662 /nfs/dbraw/zinc/37/26/62/660372662.db2.gz OTVDEKDMLWYPNL-GJZGRUSLSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC000998157821 660373446 /nfs/dbraw/zinc/37/34/46/660373446.db2.gz USMXXWOAWLRLLR-YESZJQIVSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc([C@H](C)CC)no1 ZINC001032671160 660410348 /nfs/dbraw/zinc/41/03/48/660410348.db2.gz FNPFKSDOTLKLEW-ILXRZTDVSA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](c1ccccc1)C(C)C ZINC001032651978 660406686 /nfs/dbraw/zinc/40/66/86/660406686.db2.gz LAAFNSOXUDDBTK-FHWLQOOXSA-N 0 1 312.457 3.287 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cs1 ZINC001032664858 660409315 /nfs/dbraw/zinc/40/93/15/660409315.db2.gz CCECUSSQWZZUMV-STQMWFEESA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(C(F)(F)F)CCC2)C1 ZINC000999520644 660420785 /nfs/dbraw/zinc/42/07/85/660420785.db2.gz PNBBIPZLHKVLLH-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C)c(F)c2)C1 ZINC000999644038 660422958 /nfs/dbraw/zinc/42/29/58/660422958.db2.gz HUXLORNEBRKOGP-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC000999780933 660426963 /nfs/dbraw/zinc/42/69/63/660426963.db2.gz WNVXUCITFAMGAE-LVQVYYBASA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)C(C)(C)C)C1 ZINC000999906406 660431457 /nfs/dbraw/zinc/43/14/57/660431457.db2.gz ZQNCHCCXVHFUBW-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001032722168 660433719 /nfs/dbraw/zinc/43/37/19/660433719.db2.gz PSTNWFGKOYLBSD-XIRDDKMYSA-N 0 1 324.424 3.398 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001032722168 660433720 /nfs/dbraw/zinc/43/37/20/660433720.db2.gz PSTNWFGKOYLBSD-XIRDDKMYSA-N 0 1 324.424 3.398 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2occc2C(C)C)C1 ZINC000999995489 660433990 /nfs/dbraw/zinc/43/39/90/660433990.db2.gz YYDKPRDBVVJSSV-ZDUSSCGKSA-N 0 1 310.825 3.350 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC000999876457 660430320 /nfs/dbraw/zinc/43/03/20/660430320.db2.gz NFFLGNUUGUGPAO-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(C)s1 ZINC001032771926 660442812 /nfs/dbraw/zinc/44/28/12/660442812.db2.gz PMOJXJLLUCOQDD-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(C)s1 ZINC001032771926 660442813 /nfs/dbraw/zinc/44/28/13/660442813.db2.gz PMOJXJLLUCOQDD-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C2=CCCC2)cc1 ZINC001032824468 660459888 /nfs/dbraw/zinc/45/98/88/660459888.db2.gz LUAAZUMJIDGPEZ-PMACEKPBSA-N 0 1 320.436 3.176 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2[nH]c3ccc(C)cc3c2C)CC1 ZINC001003884252 660516142 /nfs/dbraw/zinc/51/61/42/660516142.db2.gz PRAPUKUEDZQSRY-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC001003932704 660518043 /nfs/dbraw/zinc/51/80/43/660518043.db2.gz MACVWBXSIUJHQG-GFCCVEGCSA-N 0 1 324.877 3.109 20 30 DGEDMN N#CCC[N@H+](Cc1cccc(Br)c1[O-])CC1CC1 ZINC000093091455 660534054 /nfs/dbraw/zinc/53/40/54/660534054.db2.gz UPCPTYGBWARACW-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001033091902 660538032 /nfs/dbraw/zinc/53/80/32/660538032.db2.gz NWXCORWNWKCDPO-GFCCVEGCSA-N 0 1 312.335 3.096 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2ccc(CC(C)C)s2)C1 ZINC001033152323 660565617 /nfs/dbraw/zinc/56/56/17/660565617.db2.gz QIGAUPQITGPKRM-OAHLLOKOSA-N 0 1 318.486 3.116 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001033200409 660585451 /nfs/dbraw/zinc/58/54/51/660585451.db2.gz PDCUOEQUJNNXGA-HNNXBMFYSA-N 0 1 311.429 3.117 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H](N(C)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000948700807 660596856 /nfs/dbraw/zinc/59/68/56/660596856.db2.gz KJESSXSEUUGCNL-JJXSEGSLSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000948700807 660596857 /nfs/dbraw/zinc/59/68/57/660596857.db2.gz KJESSXSEUUGCNL-JJXSEGSLSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001033257598 660600959 /nfs/dbraw/zinc/60/09/59/660600959.db2.gz RSHSQHPJDNFGSH-AWEZNQCLSA-N 0 1 314.385 3.262 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001033568219 660632188 /nfs/dbraw/zinc/63/21/88/660632188.db2.gz GFCQWWZMQSXCFO-NVXWUHKLSA-N 0 1 324.424 3.092 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001033564705 660633071 /nfs/dbraw/zinc/63/30/71/660633071.db2.gz HSPDWFKBOWMMCM-NEPJUHHUSA-N 0 1 312.866 3.224 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001033569661 660634406 /nfs/dbraw/zinc/63/44/06/660634406.db2.gz WYVVFKFLBRANMN-GOSISDBHSA-N 0 1 310.397 3.279 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001033591233 660635574 /nfs/dbraw/zinc/63/55/74/660635574.db2.gz ZQJOLTQTKKBSOQ-QGZVFWFLSA-N 0 1 324.424 3.219 20 30 DGEDMN CN(C(=O)[C@H]1CC1(C)C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005248760 660642482 /nfs/dbraw/zinc/64/24/82/660642482.db2.gz QFZKMTHTGILPIQ-LJQANCHMSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001033627867 660643496 /nfs/dbraw/zinc/64/34/96/660643496.db2.gz OPZDRTKIQZFPMO-GFCCVEGCSA-N 0 1 310.800 3.120 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001033638186 660643906 /nfs/dbraw/zinc/64/39/06/660643906.db2.gz FXZCYTQIQOPEMS-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001033647656 660646188 /nfs/dbraw/zinc/64/61/88/660646188.db2.gz FJVKAECIQJEFKK-MRXNPFEDSA-N 0 1 303.450 3.018 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001033692512 660649983 /nfs/dbraw/zinc/64/99/83/660649983.db2.gz JCTLQSMWCACMKU-HKUYNNGSSA-N 0 1 312.457 3.215 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)C)s2)C1 ZINC001033695629 660650881 /nfs/dbraw/zinc/65/08/81/660650881.db2.gz DHOUCQQQHNBSOR-CQSZACIVSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2coc(C3CCCCC3)n2)C1 ZINC001033705201 660652716 /nfs/dbraw/zinc/65/27/16/660652716.db2.gz QHYYYWXMFPNZAF-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001033698984 660653209 /nfs/dbraw/zinc/65/32/09/660653209.db2.gz HMCXVKXQSMHPCZ-GOSISDBHSA-N 0 1 312.457 3.135 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001033712299 660654257 /nfs/dbraw/zinc/65/42/57/660654257.db2.gz XBMJHWWSGFYNEH-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2coc(C(C)(C)C)n2)C1 ZINC001033835122 660668495 /nfs/dbraw/zinc/66/84/95/660668495.db2.gz HPEGLMXQLWUHLK-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2nccc3ccsc32)C1 ZINC001033864668 660671475 /nfs/dbraw/zinc/67/14/75/660671475.db2.gz MISPUJVFVXMMPO-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C)sc2C)C1 ZINC001033889473 660674418 /nfs/dbraw/zinc/67/44/18/660674418.db2.gz ZSSVXUUZWVYPTH-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C)cc(OC)c2C)C1 ZINC001033883038 660675010 /nfs/dbraw/zinc/67/50/10/660675010.db2.gz IWVUJSVYCANDFA-MRXNPFEDSA-N 0 1 316.445 3.034 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)cc(OC)c2C)C1 ZINC001033883038 660675011 /nfs/dbraw/zinc/67/50/11/660675011.db2.gz IWVUJSVYCANDFA-MRXNPFEDSA-N 0 1 316.445 3.034 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc3c2CCCC3)CC1 ZINC001005447185 660677834 /nfs/dbraw/zinc/67/78/34/660677834.db2.gz HEBCXSIXASJNOI-UHFFFAOYSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cnc3ccsc3c2)C1 ZINC001033919734 660680013 /nfs/dbraw/zinc/68/00/13/660680013.db2.gz NTEYGVQLUWAAMO-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2cc3occc3s2)C1 ZINC001033960176 660683741 /nfs/dbraw/zinc/68/37/41/660683741.db2.gz ZUVQQLRQWWMVMH-ZDUSSCGKSA-N 0 1 316.426 3.054 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(CCC)cc2)C1 ZINC001033943247 660684987 /nfs/dbraw/zinc/68/49/87/660684987.db2.gz OZLRWALKZOIULC-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001033969180 660686586 /nfs/dbraw/zinc/68/65/86/660686586.db2.gz NRDMZXHAZCGTJX-HNNXBMFYSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001033973202 660687297 /nfs/dbraw/zinc/68/72/97/660687297.db2.gz SNNALYMWTJXZPD-GVDBMIGSSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001033986115 660689732 /nfs/dbraw/zinc/68/97/32/660689732.db2.gz UYQIRHUKZSFPKC-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001033981197 660689909 /nfs/dbraw/zinc/68/99/09/660689909.db2.gz PSYLKEATMCCQCN-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2coc(C3CCC3)n2)C1 ZINC001034012432 660693469 /nfs/dbraw/zinc/69/34/69/660693469.db2.gz OGYSEIUPJJDPNE-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCCC2(C)C)C1 ZINC001034051860 660699847 /nfs/dbraw/zinc/69/98/47/660699847.db2.gz BTBCNLRSEZTJCQ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001034040787 660700019 /nfs/dbraw/zinc/70/00/19/660700019.db2.gz ZOLUEIBMGBXUDQ-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001034030359 660696372 /nfs/dbraw/zinc/69/63/72/660696372.db2.gz ACVIEKAUXASJNL-WBVHZDCISA-N 0 1 318.848 3.089 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001034035397 660697432 /nfs/dbraw/zinc/69/74/32/660697432.db2.gz KUAXQCHSBDTTGB-HXUWFJFHSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001034035396 660697607 /nfs/dbraw/zinc/69/76/07/660697607.db2.gz KUAXQCHSBDTTGB-FQEVSTJZSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001034203958 660722553 /nfs/dbraw/zinc/72/25/53/660722553.db2.gz KREGWBYFBVVFFW-CQSZACIVSA-N 0 1 319.474 3.099 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc3occc3c2)C1 ZINC001034225263 660727313 /nfs/dbraw/zinc/72/73/13/660727313.db2.gz GZFCBPQWOYJJKW-QGZVFWFLSA-N 0 1 310.397 3.040 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001034256064 660729270 /nfs/dbraw/zinc/72/92/70/660729270.db2.gz HIWWCZBWBDTVMZ-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cscc2Cl)C1 ZINC001034267430 660730328 /nfs/dbraw/zinc/73/03/28/660730328.db2.gz HNIBENVJBZAOLV-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001034298582 660733574 /nfs/dbraw/zinc/73/35/74/660733574.db2.gz DGLYMLKNZJFHFJ-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001034301883 660734472 /nfs/dbraw/zinc/73/44/72/660734472.db2.gz KDAZFBQIWYANDK-IBGZPJMESA-N 0 1 312.457 3.247 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC001034314890 660736244 /nfs/dbraw/zinc/73/62/44/660736244.db2.gz AOIMLYLVKDCOBA-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCCN(C[C@@H](F)CC)C2)cc1 ZINC001034344501 660740998 /nfs/dbraw/zinc/74/09/98/660740998.db2.gz JGGHMGRFWJNWKG-ROUUACIJSA-N 0 1 316.420 3.000 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001034377887 660744945 /nfs/dbraw/zinc/74/49/45/660744945.db2.gz NGMVAMZGWGADLV-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001034377887 660744947 /nfs/dbraw/zinc/74/49/47/660744947.db2.gz NGMVAMZGWGADLV-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC001034377865 660745202 /nfs/dbraw/zinc/74/52/02/660745202.db2.gz MSIQFWOAHHQRTC-HNNXBMFYSA-N 0 1 324.827 3.178 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001034411017 660749433 /nfs/dbraw/zinc/74/94/33/660749433.db2.gz MJTZWNFZFUBTPC-MRXNPFEDSA-N 0 1 322.811 3.087 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H](NC(=O)c2cccc(F)c2F)C1 ZINC001034369381 660742011 /nfs/dbraw/zinc/74/20/11/660742011.db2.gz QMKFRWMBHFOYQX-ZDUSSCGKSA-N 0 1 308.372 3.125 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001034434838 660753569 /nfs/dbraw/zinc/75/35/69/660753569.db2.gz DHCOCKPWSKZAGH-ZVDOUQERSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2sc(C)cc2OC)C1 ZINC001034436009 660753810 /nfs/dbraw/zinc/75/38/10/660753810.db2.gz XSCGTSUBLYEGNP-CQSZACIVSA-N 0 1 322.474 3.226 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC001034496090 660759939 /nfs/dbraw/zinc/75/99/39/660759939.db2.gz GKGNYXQPMFNNFF-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001034554144 660773556 /nfs/dbraw/zinc/77/35/56/660773556.db2.gz SGVVWEXRKYMIPM-FQEVSTJZSA-N 0 1 322.452 3.471 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001034543736 660771039 /nfs/dbraw/zinc/77/10/39/660771039.db2.gz JNNXYZKMZZXKIB-INIZCTEOSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001034543590 660771266 /nfs/dbraw/zinc/77/12/66/660771266.db2.gz YKCBFLQQCNYLSW-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001034545411 660772297 /nfs/dbraw/zinc/77/22/97/660772297.db2.gz VUFAJRPDMLAQIQ-AZUAARDMSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001034545891 660772314 /nfs/dbraw/zinc/77/23/14/660772314.db2.gz ZGEUDULZIPGVPU-TVRKMHQQSA-N 0 1 304.478 3.197 20 30 DGEDMN CCC(CC)C(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001034869676 660802097 /nfs/dbraw/zinc/80/20/97/660802097.db2.gz YLSRUUJAXQTERL-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C=C1CCC1)CC2 ZINC001035175774 660822326 /nfs/dbraw/zinc/82/23/26/660822326.db2.gz QQXDHFWGPVDXGE-UHFFFAOYSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1cocc1C)CC2 ZINC001035216894 660831304 /nfs/dbraw/zinc/83/13/04/660831304.db2.gz UOQANCGITLSFGD-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CC1(c3ccccc3)CC1)CC2 ZINC001035717971 660845495 /nfs/dbraw/zinc/84/54/95/660845495.db2.gz ALRWSOPBIUHAOC-UHFFFAOYSA-N 0 1 324.468 3.219 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc3occc3c1)CC2 ZINC001035709478 660846169 /nfs/dbraw/zinc/84/61/69/660846169.db2.gz HERZPLTVHPZTOB-UHFFFAOYSA-N 0 1 310.397 3.157 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(c3ccccc3)CCC1)CC2 ZINC001035745192 660848206 /nfs/dbraw/zinc/84/82/06/660848206.db2.gz DJFXZVFVOLIFQJ-UHFFFAOYSA-N 0 1 324.468 3.219 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC[C@@H]1C1CC1)CC2 ZINC001035757191 660849175 /nfs/dbraw/zinc/84/91/75/660849175.db2.gz HDQNUFDKNMARBP-MSOLQXFVSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(C)[nH]c3ccccc31)CC2 ZINC001035746965 660850508 /nfs/dbraw/zinc/85/05/08/660850508.db2.gz FQZQXBRDAJJXPH-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1CCC3(CC3)CC1)CC2 ZINC001035751360 660850856 /nfs/dbraw/zinc/85/08/56/660850856.db2.gz RZASQVBOUAQECI-UHFFFAOYSA-N 0 1 302.462 3.067 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCC1CCCCCC1)CC2 ZINC001035750427 660850979 /nfs/dbraw/zinc/85/09/79/660850979.db2.gz MVKAJOGIGHVCNA-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1sccc1C(C)C)CC2 ZINC001035858491 660860355 /nfs/dbraw/zinc/86/03/55/660860355.db2.gz KIMSTSRNHURKSH-UHFFFAOYSA-N 0 1 316.470 3.043 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1C[C@@H](C)C[C@H](C)C1)CC2 ZINC001035858594 660860385 /nfs/dbraw/zinc/86/03/85/660860385.db2.gz IETWLPFTWYIMLN-HOTGVXAUSA-N 0 1 304.478 3.169 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cc(C)oc3C)CC[C@H]21 ZINC001036642575 660935910 /nfs/dbraw/zinc/93/59/10/660935910.db2.gz SGMGEMIOGBMYKU-GDBMZVCRSA-N 0 1 322.836 3.185 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CCN(C[C@H](F)CC)CC2)CC1 ZINC001005937697 660951190 /nfs/dbraw/zinc/95/11/90/660951190.db2.gz QBSZVYLTFKKTAW-MRXNPFEDSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001006148083 660965953 /nfs/dbraw/zinc/96/59/53/660965953.db2.gz UQQAXJRMEOHFNV-IRXDYDNUSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H](C)C2CCC2)CC1 ZINC001016691803 660972743 /nfs/dbraw/zinc/97/27/43/660972743.db2.gz XDMSLZQWTUAOLM-ZFWWWQNUSA-N 0 1 310.869 3.146 20 30 DGEDMN Cc1ccccc1-n1nccc1CNCc1ccc(C#N)cc1 ZINC000792920096 660993720 /nfs/dbraw/zinc/99/37/20/660993720.db2.gz SFSWRUZXZJTIAU-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000792928006 660994777 /nfs/dbraw/zinc/99/47/77/660994777.db2.gz RKSYPWFIHJGQDD-DOTOQJQBSA-N 0 1 320.820 3.386 20 30 DGEDMN N#C[C@@H](COC(=O)c1ccc2cncn2c1)Cc1ccc(F)cc1 ZINC000793125799 661006697 /nfs/dbraw/zinc/00/66/97/661006697.db2.gz NBKJLOTYBHTBFI-AWEZNQCLSA-N 0 1 323.327 3.013 20 30 DGEDMN C#CCOc1ccc([C@@H](C)OC(=O)c2ccc3cncn3c2)cc1 ZINC000793147500 661007536 /nfs/dbraw/zinc/00/75/36/661007536.db2.gz OOUDBZGPYOKNAC-CQSZACIVSA-N 0 1 320.348 3.264 20 30 DGEDMN C[C@@H]1CCC[C@H](C)N(Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793505293 661039520 /nfs/dbraw/zinc/03/95/20/661039520.db2.gz QDMXHEUJWBQSRJ-CABCVRRESA-N 0 1 313.445 3.067 20 30 DGEDMN C[C@H]1CCC[C@H](N(C)Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793484665 661038184 /nfs/dbraw/zinc/03/81/84/661038184.db2.gz UEJUUEBLHMAIOL-HOCLYGCPSA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000793610825 661049726 /nfs/dbraw/zinc/04/97/26/661049726.db2.gz YPEOWWKUUMCTBW-SJLPKXTDSA-N 0 1 319.832 3.495 20 30 DGEDMN C#Cc1cccc(N(Cc2n[nH]c(C)n2)Cc2ccccc2)c1 ZINC000794411039 661083436 /nfs/dbraw/zinc/08/34/36/661083436.db2.gz JFLTTYIRDGGKPG-UHFFFAOYSA-N 0 1 302.381 3.301 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)O[C@@H](C3CC3)C2)c(O)c(OC)c1 ZINC000794655480 661096634 /nfs/dbraw/zinc/09/66/34/661096634.db2.gz RNPOWJGPAKICJQ-SCLBCKFNSA-N 0 1 317.429 3.129 20 30 DGEDMN Cc1cccc(F)c1N=NC(C)c1ccc2c(c1)OCC(=O)N2 ZINC000794931059 661114336 /nfs/dbraw/zinc/11/43/36/661114336.db2.gz PRGMLVXXPLQZSG-UHFFFAOYSA-N 0 1 313.332 3.301 20 30 DGEDMN COc1ccc(C=NNc2cc(C)ncn2)c(Br)c1 ZINC000794912442 661113398 /nfs/dbraw/zinc/11/33/98/661113398.db2.gz DVYQTYXGMQJYIS-UHFFFAOYSA-N 0 1 321.178 3.002 20 30 DGEDMN Cc1cnn(C)c1CN=Nc1cc(C(F)(F)F)ccc1F ZINC000794924722 661113884 /nfs/dbraw/zinc/11/38/84/661113884.db2.gz ZTNUNPIHLSFKMA-UHFFFAOYSA-N 0 1 300.259 3.332 20 30 DGEDMN COC(=O)c1csc(C(C)=NNc2cc(Cl)ccn2)c1 ZINC000794926777 661114096 /nfs/dbraw/zinc/11/40/96/661114096.db2.gz FTXDRMMGEFEPFP-UHFFFAOYSA-N 0 1 309.778 3.419 20 30 DGEDMN CN(C)c1ccccc1CNN=Cc1cccc(Cl)c1O ZINC000794952433 661116364 /nfs/dbraw/zinc/11/63/64/661116364.db2.gz PZEUSFFGYXFZBH-UHFFFAOYSA-N 0 1 303.793 3.235 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccoc1CCC ZINC000969111048 655523144 /nfs/dbraw/zinc/52/31/44/655523144.db2.gz KNMVUFPQHMBBIW-MRXNPFEDSA-N 0 1 316.445 3.230 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC000969118168 655525079 /nfs/dbraw/zinc/52/50/79/655525079.db2.gz HEDGIQPFVQVQPD-INIZCTEOSA-N 0 1 316.445 3.012 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccccc1Cl ZINC000969124810 655526025 /nfs/dbraw/zinc/52/60/25/655526025.db2.gz VDPRYNUGERCCGI-OAHLLOKOSA-N 0 1 318.848 3.338 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969131063 655526777 /nfs/dbraw/zinc/52/67/77/655526777.db2.gz WRXHMOYJPRVZMF-ZDUSSCGKSA-N 0 1 324.371 3.215 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969131063 655526778 /nfs/dbraw/zinc/52/67/78/655526778.db2.gz WRXHMOYJPRVZMF-ZDUSSCGKSA-N 0 1 324.371 3.215 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1CCC(C2CC2)CC1 ZINC000969133151 655527119 /nfs/dbraw/zinc/52/71/19/655527119.db2.gz IGFSZDXXDPJNQF-CTWPCTMYSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC000969139048 655527456 /nfs/dbraw/zinc/52/74/56/655527456.db2.gz HCARYUVUOXHQJZ-OAHLLOKOSA-N 0 1 316.470 3.233 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C(C)C)s1 ZINC000969160712 655529716 /nfs/dbraw/zinc/52/97/16/655529716.db2.gz KCQNVFRPBCHKNP-HNNXBMFYSA-N 0 1 318.486 3.479 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1coc2ccccc12 ZINC000969167938 655530308 /nfs/dbraw/zinc/53/03/08/655530308.db2.gz RPAHMMUDNGHFAF-INIZCTEOSA-N 0 1 324.424 3.431 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001039030588 655540862 /nfs/dbraw/zinc/54/08/62/655540862.db2.gz VMZWRGZKHKSWPH-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C[C@H]1C ZINC000947373879 655541581 /nfs/dbraw/zinc/54/15/81/655541581.db2.gz IRYMUBORVAVIAN-RHSMWYFYSA-N 0 1 324.399 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2C2(C)CC2)C1 ZINC000969920125 655563527 /nfs/dbraw/zinc/56/35/27/655563527.db2.gz GTNFMBUJILQWNW-CQSZACIVSA-N 0 1 318.848 3.295 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC000969945279 655564913 /nfs/dbraw/zinc/56/49/13/655564913.db2.gz UVJOLNUIIMWPRR-KBAYOESNSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC000970104825 655575755 /nfs/dbraw/zinc/57/57/55/655575755.db2.gz GLINNJIRUVUYRX-CYBMUJFWSA-N 0 1 324.852 3.442 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C23CCC(CC2)C3(C)C)C1 ZINC000970208425 655588664 /nfs/dbraw/zinc/58/86/64/655588664.db2.gz SVZMKLQGGCGNEB-XOYHFGRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC000970205193 655588791 /nfs/dbraw/zinc/58/87/91/655588791.db2.gz RWEVZGNFFJKLPB-NSHDSACASA-N 0 1 310.800 3.024 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C2CCC2)C1 ZINC001039178252 655600835 /nfs/dbraw/zinc/60/08/35/655600835.db2.gz NDAUTKSFYYHSRK-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC000970628661 655610078 /nfs/dbraw/zinc/61/00/78/655610078.db2.gz QZFVCFQYNXWBIX-AWEZNQCLSA-N 0 1 300.446 3.220 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC000970628662 655610276 /nfs/dbraw/zinc/61/02/76/655610276.db2.gz QZFVCFQYNXWBIX-CQSZACIVSA-N 0 1 300.446 3.220 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2csc3c2CCCC3)C1 ZINC000970662142 655611280 /nfs/dbraw/zinc/61/12/80/655611280.db2.gz SLTBSRNCYFCKDI-GFCCVEGCSA-N 0 1 324.877 3.184 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC000970708396 655613667 /nfs/dbraw/zinc/61/36/67/655613667.db2.gz KQKUSZOEEFNGII-LSDHHAIUSA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC000970709836 655613952 /nfs/dbraw/zinc/61/39/52/655613952.db2.gz CYAKJPJKRLLXLW-HNNXBMFYSA-N 0 1 322.408 3.020 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(Cl)cccc2Cl)C1 ZINC000970791325 655620941 /nfs/dbraw/zinc/62/09/41/655620941.db2.gz OYQGHLUJUGJKKY-SNVBAGLBSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2c(C)csc2Cl)C1 ZINC000970801215 655621902 /nfs/dbraw/zinc/62/19/02/655621902.db2.gz BTQAYLAJDKNIFJ-NSHDSACASA-N 0 1 312.866 3.336 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C\C2CC2)C1 ZINC001021539477 655626323 /nfs/dbraw/zinc/62/63/23/655626323.db2.gz BCZDPILOKRMVKB-SHUKXNKTSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2CC(C)C)C1 ZINC000970834830 655627776 /nfs/dbraw/zinc/62/77/76/655627776.db2.gz AVCUXJNAWIDVCB-MRXNPFEDSA-N 0 1 320.864 3.442 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC000970862088 655631103 /nfs/dbraw/zinc/63/11/03/655631103.db2.gz UEEYJBNSPXIUKZ-HNNXBMFYSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)C=C2CCC2)C1 ZINC001021560616 655632519 /nfs/dbraw/zinc/63/25/19/655632519.db2.gz ZTSDUTIAIRTKCK-HZPDHXFCSA-N 0 1 308.853 3.162 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(C#N)cc2C)cnc1Cl ZINC000175919318 655633463 /nfs/dbraw/zinc/63/34/63/655633463.db2.gz XNGOGTWEWNEWOE-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(F)(F)F)s2)C1 ZINC000970956564 655642871 /nfs/dbraw/zinc/64/28/71/655642871.db2.gz AZUZFMCDDZTYIY-SECBINFHSA-N 0 1 318.364 3.003 20 30 DGEDMN CN(Cc1ccc(C#N)s1)[C@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC000971070292 655657426 /nfs/dbraw/zinc/65/74/26/655657426.db2.gz ATXRFIWEQAMYHN-ZDUSSCGKSA-N 0 1 319.474 3.089 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C(C)C ZINC001039382215 655693589 /nfs/dbraw/zinc/69/35/89/655693589.db2.gz HHMOBUUSPSKNLG-SJORKVTESA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(Cl)c(Cl)[nH]2)CC1 ZINC000949551719 655712738 /nfs/dbraw/zinc/71/27/38/655712738.db2.gz ZMCJZSSPGWHVFF-UHFFFAOYSA-N 0 1 316.232 3.046 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001022549708 655749217 /nfs/dbraw/zinc/74/92/17/655749217.db2.gz GFZJYRCMCAYYGQ-ZYSHUDEJSA-N 0 1 323.440 3.041 20 30 DGEDMN CO[C@H]1CC[C@@H]1N(C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000934115736 655750542 /nfs/dbraw/zinc/75/05/42/655750542.db2.gz SGZCPHVILCDWEE-GJZGRUSLSA-N 0 1 313.319 3.046 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC000972245100 655782193 /nfs/dbraw/zinc/78/21/93/655782193.db2.gz JSIPNWAEHJKMTL-PKOBYXMFSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCn1c(C)nn(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)c1=S ZINC000248423825 655841664 /nfs/dbraw/zinc/84/16/64/655841664.db2.gz NFLAXGNRASSMHW-QEJZJMRPSA-N 0 1 306.479 3.377 20 30 DGEDMN Cc1ccccc1OCCCC(=O)Nc1cc(C#N)ccc1O ZINC000176816622 655847589 /nfs/dbraw/zinc/84/75/89/655847589.db2.gz JDXWIFMQLUCNDT-UHFFFAOYSA-N 0 1 310.353 3.370 20 30 DGEDMN C[C@@H](Oc1cccc(Cl)c1)C(=O)Nc1cc(C#N)ccc1O ZINC000176819605 655847897 /nfs/dbraw/zinc/84/78/97/655847897.db2.gz RZVRDTKKYHIFCI-SNVBAGLBSA-N 0 1 316.744 3.323 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2ccc(Cl)cc2)c1 ZINC000176827808 655849457 /nfs/dbraw/zinc/84/94/57/655849457.db2.gz HHUKPYLUMXERQV-UHFFFAOYSA-N 0 1 300.745 3.489 20 30 DGEDMN Cc1cccc(CSCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000176826838 655849931 /nfs/dbraw/zinc/84/99/31/655849931.db2.gz VTQWSGYWVDJGCN-UHFFFAOYSA-N 0 1 312.394 3.444 20 30 DGEDMN Cc1cnn(-c2ccc(C(=O)Nc3cc(C#N)ccc3O)cc2)c1 ZINC000176833381 655851681 /nfs/dbraw/zinc/85/16/81/655851681.db2.gz JGVMHCILTGKGDL-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)C(C)(CC)CC)C2)C1 ZINC000972683528 655865529 /nfs/dbraw/zinc/86/55/29/655865529.db2.gz NHALQIGYGJEJQY-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN N#Cc1cccc(C[N@@H+]2CCCC[C@@H]2CNC(=O)C2=CCCC2)c1 ZINC001024390502 655903533 /nfs/dbraw/zinc/90/35/33/655903533.db2.gz INGWYMBMHGUXAM-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@@H]2CNC(=O)C2=CCCC2)c1 ZINC001024390502 655903534 /nfs/dbraw/zinc/90/35/34/655903534.db2.gz INGWYMBMHGUXAM-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)(C)C)cn1 ZINC001024442436 655905963 /nfs/dbraw/zinc/90/59/63/655905963.db2.gz PWOALSLHKIYOEX-MRXNPFEDSA-N 0 1 315.461 3.149 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001024440081 655906201 /nfs/dbraw/zinc/90/62/01/655906201.db2.gz KHCXJFBSDUFBMT-OTWHNJEPSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001024453227 655906525 /nfs/dbraw/zinc/90/65/25/655906525.db2.gz GLCJBJQLTPQJEW-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001024453227 655906526 /nfs/dbraw/zinc/90/65/26/655906526.db2.gz GLCJBJQLTPQJEW-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(F)c(C)cc1F ZINC001024493995 655910762 /nfs/dbraw/zinc/91/07/62/655910762.db2.gz IFSBRRJWZMEWCI-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC[C@@H](Oc1ccccc1C)C(=O)Nc1cc(C#N)ccc1O ZINC000179737211 655912454 /nfs/dbraw/zinc/91/24/54/655912454.db2.gz QEOJMKYKWHGEHM-MRXNPFEDSA-N 0 1 310.353 3.368 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(-n2cccc2)c1 ZINC001024536249 655914242 /nfs/dbraw/zinc/91/42/42/655914242.db2.gz IIXDOEZVLANINX-LJQANCHMSA-N 0 1 323.440 3.248 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001024540618 655914631 /nfs/dbraw/zinc/91/46/31/655914631.db2.gz MBRFNIWMRXLNPG-LJQANCHMSA-N 0 1 312.457 3.247 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ccc(CC)s1 ZINC001024540656 655914773 /nfs/dbraw/zinc/91/47/73/655914773.db2.gz MTYCFYSLNFKIPR-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1CCCCCCC1 ZINC001024546674 655915876 /nfs/dbraw/zinc/91/58/76/655915876.db2.gz BKCNQRIKEDCFFB-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001024557141 655916559 /nfs/dbraw/zinc/91/65/59/655916559.db2.gz MLTIDHIAWCSNIQ-SJLPKXTDSA-N 0 1 316.420 3.012 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cc2c(cc(C)cc2C)[nH]1 ZINC001024579063 655919887 /nfs/dbraw/zinc/91/98/87/655919887.db2.gz FCHOACLPPVQBBQ-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001024582561 655920264 /nfs/dbraw/zinc/92/02/64/655920264.db2.gz YRWDUMMUCUFMCO-KRWDZBQOSA-N 0 1 323.440 3.084 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCCCN2C[C@@H](F)CC)CC1 ZINC001024634936 655926251 /nfs/dbraw/zinc/92/62/51/655926251.db2.gz ZCEKRNCDEOWHEW-IRXDYDNUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001024735151 655933934 /nfs/dbraw/zinc/93/39/34/655933934.db2.gz DLRODUQYTZDVQX-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001024735151 655933935 /nfs/dbraw/zinc/93/39/35/655933935.db2.gz DLRODUQYTZDVQX-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN COc1ccccc1NC(=O)[C@H](C#N)C(=O)C1C(C)(C)C1(C)C ZINC000177601013 655934476 /nfs/dbraw/zinc/93/44/76/655934476.db2.gz YVAQAZJHWNHXPY-LLVKDONJSA-N 0 1 314.385 3.025 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001024760520 655935984 /nfs/dbraw/zinc/93/59/84/655935984.db2.gz VDNGHPWYYWXSJY-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc2ccccc21 ZINC001024786157 655938696 /nfs/dbraw/zinc/93/86/96/655938696.db2.gz ZSFATFQRUHXXPN-QGZVFWFLSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001024792950 655939075 /nfs/dbraw/zinc/93/90/75/655939075.db2.gz NZGFXHFHIDVXFB-OAHLLOKOSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(F)cc1Cl ZINC001024789010 655939448 /nfs/dbraw/zinc/93/94/48/655939448.db2.gz QVPQVFOAMNMTAL-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCC(C)(C)C1 ZINC001024820499 655941870 /nfs/dbraw/zinc/94/18/70/655941870.db2.gz ZVUSKEYCWLHXMZ-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1CC(c2ccccc2)C1 ZINC001024821917 655942062 /nfs/dbraw/zinc/94/20/62/655942062.db2.gz VOAKINNHVLUYMC-CTWPCTMYSA-N 0 1 312.457 3.337 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc(C2CC2)c1 ZINC001024816076 655942421 /nfs/dbraw/zinc/94/24/21/655942421.db2.gz ATFFGYLHRNWJPX-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c[nH]c2ccc(C)cc12 ZINC001024818026 655942669 /nfs/dbraw/zinc/94/26/69/655942669.db2.gz KOHBECGJQDKDBX-INIZCTEOSA-N 0 1 323.440 3.084 20 30 DGEDMN N#CC(C(=O)C1(c2cccc(F)c2)CCOCC1)c1ccccn1 ZINC000066506922 655952717 /nfs/dbraw/zinc/95/27/17/655952717.db2.gz FSHWMDDOCOVGEC-INIZCTEOSA-N 0 1 324.355 3.145 20 30 DGEDMN N#CC(C(=O)C1(c2ccc(F)cc2)CCOCC1)c1ccccn1 ZINC000066506925 655952777 /nfs/dbraw/zinc/95/27/77/655952777.db2.gz ZOLVNQBODSNFPW-INIZCTEOSA-N 0 1 324.355 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001025039279 655958099 /nfs/dbraw/zinc/95/80/99/655958099.db2.gz LABIJYDXBUGTRV-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C)CC=CC3)CC[C@H]21 ZINC001036813133 661207413 /nfs/dbraw/zinc/20/74/13/661207413.db2.gz ROBLWEMOPHOHPS-HUUCEWRRSA-N 0 1 308.853 3.018 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ccc(Cl)o1 ZINC001025199318 655976354 /nfs/dbraw/zinc/97/63/54/655976354.db2.gz VQNHRHDIXHXWMV-ZIAGYGMSSA-N 0 1 320.820 3.025 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ccsc1C ZINC001025228243 655982066 /nfs/dbraw/zinc/98/20/66/655982066.db2.gz WUDKDWQKIBIGQV-SJORKVTESA-N 0 1 316.470 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1(C)CC1 ZINC001025235954 655982874 /nfs/dbraw/zinc/98/28/74/655982874.db2.gz QWIFBPRYSVVGAM-GJZGRUSLSA-N 0 1 310.869 3.385 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2c(C)coc2C)C1 ZINC001025409398 656006537 /nfs/dbraw/zinc/00/65/37/656006537.db2.gz SQXORPBGXUHUNY-UKRRQHHQSA-N 0 1 324.852 3.479 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cccc3c2CCCC3)C1 ZINC001025425416 656009891 /nfs/dbraw/zinc/00/98/91/656009891.db2.gz POZWODRCHFVOMR-AEFFLSMTSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2CC3(CC3)C2)C1 ZINC001025526714 656030214 /nfs/dbraw/zinc/03/02/14/656030214.db2.gz ASAQHZZIPMDDBR-UONOGXRCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(C)(C)c2ccccc2)C1 ZINC001019823819 656065034 /nfs/dbraw/zinc/06/50/34/656065034.db2.gz UYZWVNOYKCJXEM-INIZCTEOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(CC)CC)CC[C@H]21 ZINC001036900598 661225739 /nfs/dbraw/zinc/22/57/39/661225739.db2.gz GULHDHJNNKFJKJ-LSDHHAIUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2)C[C@H]1C ZINC000947685653 656146191 /nfs/dbraw/zinc/14/61/91/656146191.db2.gz OBWARXOKEWTIFV-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CC1CCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)CC1 ZINC000844047929 661237707 /nfs/dbraw/zinc/23/77/07/661237707.db2.gz ZCCPFNPWGBJXOW-UHFFFAOYSA-N 0 1 319.817 3.277 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2csc(C)c2C)C(C)(C)C1 ZINC000974618925 656216571 /nfs/dbraw/zinc/21/65/71/656216571.db2.gz GWNPNTSPAXITJC-OAHLLOKOSA-N 0 1 306.475 3.381 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)C2CCCC2)C(C)(C)C1 ZINC000974700007 656223262 /nfs/dbraw/zinc/22/32/62/656223262.db2.gz OEEXLMXFDRKZNV-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)C(C)(C)C1 ZINC000974786722 656229682 /nfs/dbraw/zinc/22/96/82/656229682.db2.gz AZUPIHWHWHHFQC-GOSISDBHSA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(CC)s2)C(C)(C)C1 ZINC000974800566 656231531 /nfs/dbraw/zinc/23/15/31/656231531.db2.gz WAJKGNKHMMRYIE-OAHLLOKOSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(Cl)ccc2F)C(C)(C)C1 ZINC000974810422 656231771 /nfs/dbraw/zinc/23/17/71/656231771.db2.gz KHBZIZLORRHGCX-OAHLLOKOSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2occc2C(C)C)C(C)(C)C1 ZINC000974951568 656244039 /nfs/dbraw/zinc/24/40/39/656244039.db2.gz ZKAZXLJOPCCAJA-OAHLLOKOSA-N 0 1 304.434 3.419 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)C)nc2C)C(C)(C)C1 ZINC000975038846 656250912 /nfs/dbraw/zinc/25/09/12/656250912.db2.gz OBRHUWPRVLFAPO-KRWDZBQOSA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](F)c2ccccc2)C(C)(C)C1 ZINC000975083002 656254712 /nfs/dbraw/zinc/25/47/12/656254712.db2.gz KQVIQBVDZFWZRW-CVEARBPZSA-N 0 1 304.409 3.100 20 30 DGEDMN C=CCC[N@H+]1C[C@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000975111116 656256630 /nfs/dbraw/zinc/25/66/30/656256630.db2.gz ONEISKIHCYQLQE-ZDUSSCGKSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(Cl)c2)C(C)(C)C1 ZINC000975109948 656256990 /nfs/dbraw/zinc/25/69/90/656256990.db2.gz NFVLHWUUCLPQHH-GFCCVEGCSA-N 0 1 312.866 3.418 20 30 DGEDMN C#CCC[N@H+]1C[C@H](NC(=O)CC2CCCCCC2)C(C)(C)C1 ZINC000975098884 656257597 /nfs/dbraw/zinc/25/75/97/656257597.db2.gz SLSUOCRFTNBFDW-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C(C)(C)C1 ZINC000975111307 656257770 /nfs/dbraw/zinc/25/77/70/656257770.db2.gz PLQVBPZDSDXNBW-FTRWYGJKSA-N 0 1 318.505 3.299 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027280443 656279117 /nfs/dbraw/zinc/27/91/17/656279117.db2.gz VNAPQAZJPXPFQX-CQSZACIVSA-N 0 1 317.458 3.009 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)C2CCCCC2)C(C)(C)C1 ZINC000977288644 656320933 /nfs/dbraw/zinc/32/09/33/656320933.db2.gz YOZIFGGOUKBNBL-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(CC)nc2C)C(C)(C)C1 ZINC000977312137 656321372 /nfs/dbraw/zinc/32/13/72/656321372.db2.gz FRVZHUJCACTDFF-CYBMUJFWSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2F)C(C)(C)C1 ZINC000977314806 656321568 /nfs/dbraw/zinc/32/15/68/656321568.db2.gz BZZHJOWFVZDWTD-INIZCTEOSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001027521564 656328625 /nfs/dbraw/zinc/32/86/25/656328625.db2.gz GOHBKFVATNZPQM-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C(C)C)oc2C)C(C)(C)C1 ZINC000977429553 656329618 /nfs/dbraw/zinc/32/96/18/656329618.db2.gz ZQKTZBIJHWWHKI-INIZCTEOSA-N 0 1 304.434 3.338 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977455167 656331146 /nfs/dbraw/zinc/33/11/46/656331146.db2.gz RKJPNXMPLPZOAF-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(C)cc2)C(C)(C)C1 ZINC000977517872 656336742 /nfs/dbraw/zinc/33/67/42/656336742.db2.gz UYVDWZOZYXOVAS-NVXWUHKLSA-N 0 1 300.446 3.111 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C(F)F)o2)C(C)(C)C1 ZINC000977539334 656340522 /nfs/dbraw/zinc/34/05/22/656340522.db2.gz PHBJAXPUKNTFLF-ZDUSSCGKSA-N 0 1 312.360 3.234 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C(C)(C)C1 ZINC000977609973 656347477 /nfs/dbraw/zinc/34/74/77/656347477.db2.gz CWLICMAIAQYSGN-HNNXBMFYSA-N 0 1 304.409 3.069 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C(C)(C)C1 ZINC000977608288 656347893 /nfs/dbraw/zinc/34/78/93/656347893.db2.gz WNJLGWOKOSGLHZ-CZUORRHYSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)C(C)(C)C1 ZINC000977621378 656350383 /nfs/dbraw/zinc/35/03/83/656350383.db2.gz YBVBKBLTJILDAX-OAHLLOKOSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2sccc2C(C)C)C(C)(C)C1 ZINC000977641224 656350779 /nfs/dbraw/zinc/35/07/79/656350779.db2.gz MYQMUUNQAQFPPN-AWEZNQCLSA-N 0 1 306.475 3.498 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C3CC3)c2)C(C)(C)C1 ZINC000977643094 656351440 /nfs/dbraw/zinc/35/14/40/656351440.db2.gz FCONYMKPTMLHLU-SFHVURJKSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(C(C)C)s2)C(C)(C)C1 ZINC000977650323 656352125 /nfs/dbraw/zinc/35/21/25/656352125.db2.gz NPYZMCFAIYIPMX-INIZCTEOSA-N 0 1 318.486 3.335 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2c(C)cccc2F)C(C)(C)C1 ZINC000977646501 656352205 /nfs/dbraw/zinc/35/22/05/656352205.db2.gz LRBPIXHHJVAPFV-OAHLLOKOSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)cccc2F)C(C)(C)C1 ZINC000977646501 656352206 /nfs/dbraw/zinc/35/22/06/656352206.db2.gz LRBPIXHHJVAPFV-OAHLLOKOSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2C(C)(C)C2(C)C)CC1 ZINC001052288548 656425775 /nfs/dbraw/zinc/42/57/75/656425775.db2.gz NHGNFESUCUCLOP-ZDUSSCGKSA-N 0 1 312.885 3.392 20 30 DGEDMN O=C(C=C1CCC1)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052298213 656426133 /nfs/dbraw/zinc/42/61/33/656426133.db2.gz WVWNATZQUQOFRR-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccn(CC)c2C)CC1 ZINC001052651427 656453069 /nfs/dbraw/zinc/45/30/69/656453069.db2.gz VXFBVKGUQUKULX-HNNXBMFYSA-N 0 1 323.868 3.153 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1csc2cc(C)ccc12 ZINC001027908127 656478003 /nfs/dbraw/zinc/47/80/03/656478003.db2.gz NKUHUVSXHCAILQ-CQSZACIVSA-N 0 1 312.438 3.037 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001039379453 656490267 /nfs/dbraw/zinc/49/02/67/656490267.db2.gz QQIWXLNJJJBKNU-QRVBRYPASA-N 0 1 314.473 3.212 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2occc2c1 ZINC001039378393 656490491 /nfs/dbraw/zinc/49/04/91/656490491.db2.gz RGESDTNYYSVKEK-MSOLQXFVSA-N 0 1 322.408 3.135 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2ccccc21 ZINC001039425986 656499115 /nfs/dbraw/zinc/49/91/15/656499115.db2.gz ISOWYARHHQCIQK-SJORKVTESA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc[nH]c1C1CCC1 ZINC001039436193 656501734 /nfs/dbraw/zinc/50/17/34/656501734.db2.gz ZAHMIDKXFXKHBB-CVEARBPZSA-N 0 1 313.445 3.147 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1oc2ccc(F)cc2c1C ZINC001027931556 656501977 /nfs/dbraw/zinc/50/19/77/656501977.db2.gz AWUKUBMWIIJDBK-CQSZACIVSA-N 0 1 316.376 3.261 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cccc1C ZINC001039442330 656502109 /nfs/dbraw/zinc/50/21/09/656502109.db2.gz ZSAXGFLCHSKMDL-MSOLQXFVSA-N 0 1 310.441 3.006 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CC1CCCC1 ZINC001039447516 656503790 /nfs/dbraw/zinc/50/37/90/656503790.db2.gz QJABFENDHOOPRM-UHOSZYNNSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CCC ZINC001039458986 656506304 /nfs/dbraw/zinc/50/63/04/656506304.db2.gz XNNNNCHSMHYWIL-CVEARBPZSA-N 0 1 316.445 3.487 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)cc1 ZINC001039462658 656507194 /nfs/dbraw/zinc/50/71/94/656507194.db2.gz YRLXVWYKAYMPSN-CVEARBPZSA-N 0 1 304.821 3.205 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC)CCCCC1 ZINC001039473365 656510742 /nfs/dbraw/zinc/51/07/42/656510742.db2.gz JCDAAQSAKVTNBP-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1nc(C(C)(C)C)cs1 ZINC001027946235 656520902 /nfs/dbraw/zinc/52/09/02/656520902.db2.gz BLGFNGZYISURIZ-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccccc1C(C)(C)CC ZINC001027957048 656535818 /nfs/dbraw/zinc/53/58/18/656535818.db2.gz QHVOSOWAAWGCAS-INIZCTEOSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3ccccc3CC)C[C@@H]2C1 ZINC001049011941 656553109 /nfs/dbraw/zinc/55/31/09/656553109.db2.gz MBJIXKZYECFIRM-IYBDPMFKSA-N 0 1 318.848 3.005 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001027972541 656559311 /nfs/dbraw/zinc/55/93/11/656559311.db2.gz CJIWNBYCBBSLGZ-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc2ccccc2s1 ZINC001028004901 656591366 /nfs/dbraw/zinc/59/13/66/656591366.db2.gz KCVJITFQSGQIQD-OAHLLOKOSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCCN1CCC[C@@H]1CNC(=O)c1cc2ccccc2s1 ZINC001028004901 656591369 /nfs/dbraw/zinc/59/13/69/656591369.db2.gz KCVJITFQSGQIQD-OAHLLOKOSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001040604199 656599013 /nfs/dbraw/zinc/59/90/13/656599013.db2.gz YRGPTYXAWYDTOK-MRXNPFEDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3coc(C)c3)C2)CC1 ZINC001040684547 656602987 /nfs/dbraw/zinc/60/29/87/656602987.db2.gz PALWSCLCAPJXHO-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CC3(C)CC3)C2)CC1 ZINC001040777507 656606666 /nfs/dbraw/zinc/60/66/66/656606666.db2.gz SECXRRJVXJINIU-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CC(C)(F)F)C2)CC1 ZINC001040838965 656610767 /nfs/dbraw/zinc/61/07/67/656610767.db2.gz XVNZVUDXEQXFIF-UHFFFAOYSA-N 0 1 320.811 3.099 20 30 DGEDMN CC[C@H](C)C(=O)N1CC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041086608 656630200 /nfs/dbraw/zinc/63/02/00/656630200.db2.gz HPJICDFXFBWSPC-RXVVDRJESA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)CC1 ZINC000981165553 656637333 /nfs/dbraw/zinc/63/73/33/656637333.db2.gz XCWTXAWWDZGOJQ-MSOLQXFVSA-N 0 1 312.457 3.147 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)sc1C ZINC001049574993 656642810 /nfs/dbraw/zinc/64/28/10/656642810.db2.gz FGTXMBXOOKUYQU-IAGOWNOFSA-N 0 1 316.470 3.067 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)sc1C ZINC001049574995 656643010 /nfs/dbraw/zinc/64/30/10/656643010.db2.gz FGTXMBXOOKUYQU-SJORKVTESA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662577 656660134 /nfs/dbraw/zinc/66/01/34/656660134.db2.gz HUVSIPNRGWFZGO-DLBZAZTESA-N 0 1 314.429 3.105 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3ccccc3nc2C)CC1 ZINC000981273756 656665930 /nfs/dbraw/zinc/66/59/30/656665930.db2.gz XFJMQCBRFZWKCV-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C/Cl ZINC001049697494 656667701 /nfs/dbraw/zinc/66/77/01/656667701.db2.gz DBBDKHHNFPWSJW-FLNGQWPJSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1C1(C)CC1 ZINC001049715087 656672716 /nfs/dbraw/zinc/67/27/16/656672716.db2.gz SLKBYEVPIPIYEZ-OALUTQOASA-N 0 1 322.452 3.050 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750803 656679167 /nfs/dbraw/zinc/67/91/67/656679167.db2.gz NZQCNBRHUAQWRR-ZWKOTPCHSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750970 656679373 /nfs/dbraw/zinc/67/93/73/656679373.db2.gz ATKJTIXCOFJDKL-RBUKOAKNSA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761678 656681966 /nfs/dbraw/zinc/68/19/66/656681966.db2.gz LAPAFCXJTLPZCL-KGLIPLIRSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1csc(Cl)c1 ZINC001049763981 656683080 /nfs/dbraw/zinc/68/30/80/656683080.db2.gz ZROKXPCFNQJURI-KGLIPLIRSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC)CCCC1 ZINC001049821870 656692279 /nfs/dbraw/zinc/69/22/79/656692279.db2.gz IIZJKYGSTFIKCM-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccccc2C(C)(C)C)CC1 ZINC000981410783 656693623 /nfs/dbraw/zinc/69/36/23/656693623.db2.gz HFVKZWIQFXGMMN-UHFFFAOYSA-N 0 1 312.457 3.155 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccccc2-c2ccncc2)CC1 ZINC000981446737 656698381 /nfs/dbraw/zinc/69/83/81/656698381.db2.gz LCDLVUDERUQICT-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049873665 656708104 /nfs/dbraw/zinc/70/81/04/656708104.db2.gz XZWMJAMQOGMVMM-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sccc1C1CC1 ZINC001049876148 656709316 /nfs/dbraw/zinc/70/93/16/656709316.db2.gz IFSXQFUAXJXCQW-JKSUJKDBSA-N 0 1 316.470 3.490 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049877906 656709872 /nfs/dbraw/zinc/70/98/72/656709872.db2.gz SMRWRZZNEYXLHQ-IAGOWNOFSA-N 0 1 322.408 3.135 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2cccc(C)c2o1 ZINC001049916459 656721983 /nfs/dbraw/zinc/72/19/83/656721983.db2.gz CEDMVZIZMNAVBQ-SJORKVTESA-N 0 1 322.408 3.053 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(CC)s3)C[C@@H]21 ZINC001042138128 656725538 /nfs/dbraw/zinc/72/55/38/656725538.db2.gz LFDQFLLLTBPLNX-HIFRSBDPSA-N 0 1 304.459 3.033 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(CCCC)cc3)C[C@@H]21 ZINC001042141448 656726217 /nfs/dbraw/zinc/72/62/17/656726217.db2.gz FUOQWVGYXHUTMG-QUCCMNQESA-N 0 1 324.468 3.199 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1coc2ccccc12 ZINC001049929625 656726892 /nfs/dbraw/zinc/72/68/92/656726892.db2.gz BBZJDGBVDKPRAP-ZWKOTPCHSA-N 0 1 322.408 3.135 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H]3CCN(C/C=C\Cl)[C@@H]3C2)C1 ZINC001042224259 656740507 /nfs/dbraw/zinc/74/05/07/656740507.db2.gz KQLJSRMGHNSUSS-DLRQWSEHSA-N 0 1 308.853 3.018 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)C[C@H]21 ZINC001042288375 656747683 /nfs/dbraw/zinc/74/76/83/656747683.db2.gz CNAMRHUEIPQAMQ-CADBVGFASA-N 0 1 316.489 3.005 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc4sccc4c3)C[C@H]21 ZINC001042296602 656748738 /nfs/dbraw/zinc/74/87/38/656748738.db2.gz CLCSKUFVGMSOEC-WMLDXEAASA-N 0 1 324.449 3.071 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc4sccc4c3)C[C@@H]21 ZINC001042296603 656748743 /nfs/dbraw/zinc/74/87/43/656748743.db2.gz CLCSKUFVGMSOEC-YOEHRIQHSA-N 0 1 324.449 3.071 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCCN2CCC(F)(F)F)CC1 ZINC001028117851 656752869 /nfs/dbraw/zinc/75/28/69/656752869.db2.gz UKJVMVSOBLCJBO-AWEZNQCLSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2cc3cc(Cl)ccc3[nH]2)CC1 ZINC000981727896 656755473 /nfs/dbraw/zinc/75/54/73/656755473.db2.gz GJTJQGHMPGIKEF-UHFFFAOYSA-N 0 1 317.820 3.155 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc3cc(Cl)ccc3[nH]2)CC1 ZINC000981727896 656755476 /nfs/dbraw/zinc/75/54/76/656755476.db2.gz GJTJQGHMPGIKEF-UHFFFAOYSA-N 0 1 317.820 3.155 20 30 DGEDMN C[C@H](c1ccc(F)nc1)[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000929263808 661292329 /nfs/dbraw/zinc/29/23/29/661292329.db2.gz PUQGVOPZDQOQRB-OAHLLOKOSA-N 0 1 324.403 3.366 20 30 DGEDMN C[C@H](c1ccc(F)nc1)N1CCCN(c2ccccc2C#N)CC1 ZINC000929263808 661292332 /nfs/dbraw/zinc/29/23/32/661292332.db2.gz PUQGVOPZDQOQRB-OAHLLOKOSA-N 0 1 324.403 3.366 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccccc3C3CCC3)C[C@@H]21 ZINC001042335182 656756310 /nfs/dbraw/zinc/75/63/10/656756310.db2.gz JKIPYLYSCHCBRQ-PXNSSMCTSA-N 0 1 322.452 3.124 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(CC(C)C)cc3)C[C@H]21 ZINC001042347792 656762911 /nfs/dbraw/zinc/76/29/11/656762911.db2.gz BXQQDNCGQDCXMA-AZUAARDMSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001052781313 656767060 /nfs/dbraw/zinc/76/70/60/656767060.db2.gz MUKNUVTZTZMMRF-HRCADAONSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCC[C@@H]3CCC)C2)C1 ZINC000981855689 656787577 /nfs/dbraw/zinc/78/75/77/656787577.db2.gz DNJGICGVPLSVRH-ZWKOTPCHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCN(C(=O)C(C)C)C2)C1 ZINC001053011015 656817833 /nfs/dbraw/zinc/81/78/33/656817833.db2.gz IZLLDOXKFFTFGI-HOTGVXAUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H](Cc2ccccc2)C(C)C)CC1 ZINC000981944524 656819035 /nfs/dbraw/zinc/81/90/35/656819035.db2.gz PSWPLXFWZCXXIS-IBGZPJMESA-N 0 1 314.473 3.222 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](Cc2ccccc2)C(C)C)CC1 ZINC000981944524 656819042 /nfs/dbraw/zinc/81/90/42/656819042.db2.gz PSWPLXFWZCXXIS-IBGZPJMESA-N 0 1 314.473 3.222 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001043502722 656834533 /nfs/dbraw/zinc/83/45/33/656834533.db2.gz JEXJJWYUTWASHJ-UHFFFAOYSA-N 0 1 315.392 3.029 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)c2ccc(C(C)C)nc2C)CC1 ZINC000981976851 656841681 /nfs/dbraw/zinc/84/16/81/656841681.db2.gz SJGQSAWLCJGJIM-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC000982024959 656863369 /nfs/dbraw/zinc/86/33/69/656863369.db2.gz URMIIAKGJOGXAN-IRXDYDNUSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001044053986 656876014 /nfs/dbraw/zinc/87/60/14/656876014.db2.gz JOICSMDPSDBXLV-INIZCTEOSA-N 0 1 314.473 3.461 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](C)C3CCCCC3)C2)C1 ZINC000982067883 656876503 /nfs/dbraw/zinc/87/65/03/656876503.db2.gz QOLWSSBAOOCREN-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC000982059247 656877887 /nfs/dbraw/zinc/87/78/87/656877887.db2.gz UUOUZDQWXLPBNS-LJQANCHMSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC000982059247 656877897 /nfs/dbraw/zinc/87/78/97/656877897.db2.gz UUOUZDQWXLPBNS-LJQANCHMSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)c2cc3cc(F)ccc3o2)CC1 ZINC000982060786 656878183 /nfs/dbraw/zinc/87/81/83/656878183.db2.gz NLXSQCRGPBPQSJ-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(C)CCN1Cc2ccccc2C[C@H]1CNC(=O)c1ccco1 ZINC001054028561 656881828 /nfs/dbraw/zinc/88/18/28/656881828.db2.gz SNHKQJXNYJMMES-SFHVURJKSA-N 0 1 324.424 3.403 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C1CCCC1 ZINC001054029120 656882625 /nfs/dbraw/zinc/88/26/25/656882625.db2.gz FZHILEGLDJESFK-IBGZPJMESA-N 0 1 312.457 3.296 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@]3(CC)C(C)C)C2)C1 ZINC000982098053 656893662 /nfs/dbraw/zinc/89/36/62/656893662.db2.gz PHEHHQGKRXBZEL-FXAWDEMLSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2scnc2C(C)(C)C)CC1 ZINC000982117668 656898138 /nfs/dbraw/zinc/89/81/38/656898138.db2.gz IJPZNAAIYDSSIB-UHFFFAOYSA-N 0 1 321.490 3.165 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001028218628 656901581 /nfs/dbraw/zinc/90/15/81/656901581.db2.gz OEJSALQYYSPXIU-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccccc3C3CCC3)C2)C1 ZINC000982133919 656903852 /nfs/dbraw/zinc/90/38/52/656903852.db2.gz KTOHAPFVIFHBIF-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)c1ccccc1)C2 ZINC001054074813 656904020 /nfs/dbraw/zinc/90/40/20/656904020.db2.gz APUWUHOWFGGRMV-SFHVURJKSA-N 0 1 318.848 3.367 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001044354185 656911092 /nfs/dbraw/zinc/91/10/92/656911092.db2.gz CCFYXAWGRJZCJN-INIZCTEOSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H](C)C1CCC1)C2 ZINC001054151429 656919531 /nfs/dbraw/zinc/91/95/31/656919531.db2.gz JUDINCPYBAABHJ-QAPCUYQASA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)C[C@H](C)c2ccccc2F)CC1 ZINC000982227061 656921181 /nfs/dbraw/zinc/92/11/81/656921181.db2.gz GLOWBZCROQWIFC-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CC1(C)CC1)C2 ZINC001054166489 656923482 /nfs/dbraw/zinc/92/34/82/656923482.db2.gz COWCBMWBBFEAFX-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001028231209 656928388 /nfs/dbraw/zinc/92/83/88/656928388.db2.gz IVFQQJPTHNORBC-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001028249168 656942971 /nfs/dbraw/zinc/94/29/71/656942971.db2.gz HAPXZFCMZSSJJQ-LJQANCHMSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C[C@H](C)C1CC1)C2 ZINC001054246853 656947769 /nfs/dbraw/zinc/94/77/69/656947769.db2.gz KUPXIVCHQWLKJQ-KBXCAEBGSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccoc3C)cc2C1 ZINC001054255188 656951870 /nfs/dbraw/zinc/95/18/70/656951870.db2.gz GJRQZFJCAQKFDV-UHFFFAOYSA-N 0 1 310.397 3.410 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2cc3ccccc3o2)CC1 ZINC000949190514 656955316 /nfs/dbraw/zinc/95/53/16/656955316.db2.gz LEWNYQHGVSBNTF-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3CC(C)C)cc2C1 ZINC001054283429 656961244 /nfs/dbraw/zinc/96/12/44/656961244.db2.gz ANFUIUBHQOZXSE-UYAOXDASSA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3cc(C)ccc3n2)CC1 ZINC000949214498 656962831 /nfs/dbraw/zinc/96/28/31/656962831.db2.gz SNPAQWOFAWAGDL-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3c[nH]cc3C)cc2C1 ZINC001054291664 656964242 /nfs/dbraw/zinc/96/42/42/656964242.db2.gz BDDTZCXLBISIBQ-UHFFFAOYSA-N 0 1 309.413 3.145 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(Cl)ccc1Cl ZINC001028282358 656969326 /nfs/dbraw/zinc/96/93/26/656969326.db2.gz BJCPPOMTMJCVDN-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccsc1C(F)F ZINC001028288238 656973385 /nfs/dbraw/zinc/97/33/85/656973385.db2.gz BMFSXKMNJGXMHO-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1sccc1C(F)F ZINC001028292266 656978298 /nfs/dbraw/zinc/97/82/98/656978298.db2.gz NWDZBQQCGWYFIJ-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1csc2c1CC[C@H](C)C2 ZINC001028301056 656985352 /nfs/dbraw/zinc/98/53/52/656985352.db2.gz NOHAKUZZCHTEMI-UONOGXRCSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2cc(F)ccc2s1 ZINC001028311000 656990293 /nfs/dbraw/zinc/99/02/93/656990293.db2.gz CJRBTYVXABDDOM-CQSZACIVSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001045148041 656995529 /nfs/dbraw/zinc/99/55/29/656995529.db2.gz UKVCKANUIRTQIX-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNCc1nc(C)c(C)s1 ZINC001045149430 656995609 /nfs/dbraw/zinc/99/56/09/656995609.db2.gz CNADJZGYJLTSCH-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCOc1ccc(C(=O)N(CC)C2CN(CC(C)C)C2)cc1 ZINC000950645698 657000894 /nfs/dbraw/zinc/00/08/94/657000894.db2.gz WTBQYRPZKXIQBR-UHFFFAOYSA-N 0 1 316.445 3.054 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cnc(CC(C)C)s1 ZINC001028344877 657000974 /nfs/dbraw/zinc/00/09/74/657000974.db2.gz QPNHRVASGOEJJC-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001028357723 657005890 /nfs/dbraw/zinc/00/58/90/657005890.db2.gz JTHLOXWROMPZOR-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C3CCC3)CCC2)C[C@H]1C ZINC001054936074 657022940 /nfs/dbraw/zinc/02/29/40/657022940.db2.gz DNBJCYFPOFCWJI-DOMZBBRYSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2scc(C)c2Cl)CC1 ZINC001045449652 657024570 /nfs/dbraw/zinc/02/45/70/657024570.db2.gz JDCNWXOBRVWZDY-UHFFFAOYSA-N 0 1 324.877 3.318 20 30 DGEDMN C=CCn1cccc1C(=O)NC1(C)CCN(C[C@H](F)CC)CC1 ZINC001045462116 657027008 /nfs/dbraw/zinc/02/70/08/657027008.db2.gz XOWRTVVGRKDSIV-OAHLLOKOSA-N 0 1 321.440 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2coc(C(F)F)c2)C[C@H]1C ZINC001055013660 657032105 /nfs/dbraw/zinc/03/21/05/657032105.db2.gz VYHXHGNFSQKQJA-LDYMZIIASA-N 0 1 318.751 3.020 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C2CCC2)C2CCC2)C[C@@H]1C ZINC001055019454 657032424 /nfs/dbraw/zinc/03/24/24/657032424.db2.gz ZCGQWUIIUUSZDT-BLLLJJGKSA-N 0 1 324.896 3.392 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc3occc3s2)CC1 ZINC001045554171 657036704 /nfs/dbraw/zinc/03/67/04/657036704.db2.gz PCJFUOWCYHJVEP-UHFFFAOYSA-N 0 1 316.426 3.102 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(F)ccc2Cl)CC1 ZINC001045570736 657037402 /nfs/dbraw/zinc/03/74/02/657037402.db2.gz SGDXIMWMUWXSEY-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cccc(C)c2Cl)CC1 ZINC001045589608 657040801 /nfs/dbraw/zinc/04/08/01/657040801.db2.gz MBVZVZXNJATZNO-UHFFFAOYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H]2CCCC2(F)F)C1 ZINC001000017150 657085665 /nfs/dbraw/zinc/08/56/65/657085665.db2.gz ZHFQRPQHZRVUBX-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)c(CC)o2)C1 ZINC001000675774 657142812 /nfs/dbraw/zinc/14/28/12/657142812.db2.gz VAEZQJQVTFMKFV-ZDUSSCGKSA-N 0 1 310.825 3.097 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2coc(C3CCCC3)n2)CC1 ZINC000983132911 657147169 /nfs/dbraw/zinc/14/71/69/657147169.db2.gz LWTYPVZKJSRTGE-UHFFFAOYSA-N 0 1 317.433 3.056 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C(F)F)o2)C1 ZINC001000807909 657154325 /nfs/dbraw/zinc/15/43/25/657154325.db2.gz VWRFIMGSDVRUIH-JTQLQIEISA-N 0 1 318.751 3.164 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001046423769 657161031 /nfs/dbraw/zinc/16/10/31/657161031.db2.gz QYVRTVNHSBBYGK-HXUWFJFHSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001046443975 657162179 /nfs/dbraw/zinc/16/21/79/657162179.db2.gz MHILWSCFNQQYBV-CYBMUJFWSA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC001046462566 657165464 /nfs/dbraw/zinc/16/54/64/657165464.db2.gz GXCHLEWWGXHUEP-QGZVFWFLSA-N 0 1 318.417 3.421 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(CCCC)cc2)C1 ZINC001046468556 657167312 /nfs/dbraw/zinc/16/73/12/657167312.db2.gz PLZYVHPUBFKLEF-FQEVSTJZSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001046507181 657170827 /nfs/dbraw/zinc/17/08/27/657170827.db2.gz HQJXKUGFJKYQTA-QGZVFWFLSA-N 0 1 322.811 3.005 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001046514659 657172047 /nfs/dbraw/zinc/17/20/47/657172047.db2.gz MRRKAERQZYPYMX-LJQANCHMSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccoc2C(C)C)C1 ZINC001001037836 657177581 /nfs/dbraw/zinc/17/75/81/657177581.db2.gz KZKGXNMBLCPPON-ZDUSSCGKSA-N 0 1 310.825 3.350 20 30 DGEDMN O=C(NCC1CCN(CC#Cc2ccccc2)CC1)C1CCCC1 ZINC001001134913 657189492 /nfs/dbraw/zinc/18/94/92/657189492.db2.gz HVGHUVZWDOGNAE-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C)cc2Cl)CC1 ZINC001001188719 657195872 /nfs/dbraw/zinc/19/58/72/657195872.db2.gz WYZXGEONCJZROK-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc3ccsc32)CC1 ZINC001001338273 657209011 /nfs/dbraw/zinc/20/90/11/657209011.db2.gz MAKFYMGJUVNKHQ-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001046727579 657210100 /nfs/dbraw/zinc/21/01/00/657210100.db2.gz IALYINDSUDGFKL-FQEVSTJZSA-N 0 1 321.424 3.129 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc(C3CCC3)c2)C1 ZINC001046774555 657217375 /nfs/dbraw/zinc/21/73/75/657217375.db2.gz UNDZSMKUHWCUPQ-HXUWFJFHSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001001428890 657219415 /nfs/dbraw/zinc/21/94/15/657219415.db2.gz STBWNEBFJJXOFD-UHFFFAOYSA-N 0 1 324.468 3.270 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(C)(C)C)s2)CC1 ZINC001001465701 657223325 /nfs/dbraw/zinc/22/33/25/657223325.db2.gz JERBDWYLNAXNRV-UHFFFAOYSA-N 0 1 316.470 3.041 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001046881587 657242278 /nfs/dbraw/zinc/24/22/78/657242278.db2.gz SVBRYXSHWIXAOM-NRFANRHFSA-N 0 1 322.452 3.471 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C2CCCCCC2)C1 ZINC001046920049 657246468 /nfs/dbraw/zinc/24/64/68/657246468.db2.gz DQGYMOZAYPYPMV-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC001046969845 657248874 /nfs/dbraw/zinc/24/88/74/657248874.db2.gz DYYGMNCWAZPAPN-ZDUSSCGKSA-N 0 1 324.371 3.030 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001046968270 657249062 /nfs/dbraw/zinc/24/90/62/657249062.db2.gz CPJQHMCESNKSIR-UONOGXRCSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2ccccc2CC)C1 ZINC001046969662 657249160 /nfs/dbraw/zinc/24/91/60/657249160.db2.gz DGONQYSAOGCOOW-HNNXBMFYSA-N 0 1 322.399 3.122 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2cc(C)cc(C)c2)C1 ZINC001046991233 657249698 /nfs/dbraw/zinc/24/96/98/657249698.db2.gz CWNVXBICZGIPFF-MRXNPFEDSA-N 0 1 322.399 3.176 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3ccc(C4CC4)s3)[C@@H]2C1 ZINC001050183335 657265786 /nfs/dbraw/zinc/26/57/86/657265786.db2.gz YOCBTQWGQVUAMC-LSDHHAIUSA-N 0 1 316.470 3.348 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C(C)C)oc2C)CC1 ZINC000983482831 657271483 /nfs/dbraw/zinc/27/14/83/657271483.db2.gz QIILKGBEGODAQU-UHFFFAOYSA-N 0 1 304.434 3.435 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccoc3CC)C2)CC1 ZINC001050505356 657317263 /nfs/dbraw/zinc/31/72/63/657317263.db2.gz ZCMTUARKAPMARJ-UHFFFAOYSA-N 0 1 322.836 3.133 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C3CC3)C3CC3)C2)CC1 ZINC001050726134 657348877 /nfs/dbraw/zinc/34/88/77/657348877.db2.gz WCDMQPXBNCZLRK-UHFFFAOYSA-N 0 1 322.880 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CCC3(C)C)cccc2C1 ZINC001051298293 657383385 /nfs/dbraw/zinc/38/33/85/657383385.db2.gz KFYBLGKFGPTUIS-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H]3CC[C@@H](C)C3)cccc2C1 ZINC001051302530 657384323 /nfs/dbraw/zinc/38/43/23/657384323.db2.gz YAOTUUVQVJIKMG-SJORKVTESA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cccc(Cl)c2F)C1 ZINC000968354668 657392060 /nfs/dbraw/zinc/39/20/60/657392060.db2.gz VEUIXYJYLKKKTP-IUODEOHRSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2csc(Cl)c2)C1 ZINC000968386363 657401924 /nfs/dbraw/zinc/40/19/24/657401924.db2.gz HRRAQHZYAVXPNX-AAEUAGOBSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2scnc2C(C)C)C1 ZINC000968400861 657406483 /nfs/dbraw/zinc/40/64/83/657406483.db2.gz UTTPMBMNQNKMBF-ZIAGYGMSSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC000968413956 657408478 /nfs/dbraw/zinc/40/84/78/657408478.db2.gz NSGCJSVKQWEBQE-UONOGXRCSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC000968417574 657410052 /nfs/dbraw/zinc/41/00/52/657410052.db2.gz GGOYJLURZKAFSJ-STQMWFEESA-N 0 1 321.490 3.067 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968464862 657414650 /nfs/dbraw/zinc/41/46/50/657414650.db2.gz NEGJTBGRKOSJLD-MKXGPGLRSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(C3CCCCC3)CC2)CC1 ZINC000957470044 657419289 /nfs/dbraw/zinc/41/92/89/657419289.db2.gz JXFYLQWCKNTHEI-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC000968540507 657423780 /nfs/dbraw/zinc/42/37/80/657423780.db2.gz VSJBUJLIPOYXEJ-UKRRQHHQSA-N 0 1 316.470 3.089 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968560605 657426689 /nfs/dbraw/zinc/42/66/89/657426689.db2.gz DPBFOBYGYYSFNC-SWLSCSKDSA-N 0 1 308.372 3.250 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3ncsc3c2)CC1 ZINC000957546470 657429970 /nfs/dbraw/zinc/42/99/70/657429970.db2.gz XKDXHLXSOVOEIW-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968580154 657431836 /nfs/dbraw/zinc/43/18/36/657431836.db2.gz TYLGEZKJKNKDIU-UZLBHIALSA-N 0 1 320.436 3.303 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968592012 657434931 /nfs/dbraw/zinc/43/49/31/657434931.db2.gz JHBAQPSMVFHLPA-DGCLKSJQSA-N 0 1 324.877 3.173 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592748 657435198 /nfs/dbraw/zinc/43/51/98/657435198.db2.gz PQENURNRODXJLQ-JSGCOSHPSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592748 657435200 /nfs/dbraw/zinc/43/52/00/657435200.db2.gz PQENURNRODXJLQ-JSGCOSHPSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(C)c2)C1 ZINC000968607423 657440848 /nfs/dbraw/zinc/44/08/48/657440848.db2.gz TZQQJRSBOXZITM-YJBOKZPZSA-N 0 1 300.446 3.320 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607566 657440924 /nfs/dbraw/zinc/44/09/24/657440924.db2.gz WHPSTWRTUREVNB-OXQOHEQNSA-N 0 1 320.436 3.303 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC000968610892 657441825 /nfs/dbraw/zinc/44/18/25/657441825.db2.gz SJVAJOJHOCEUJL-MAUKXSAKSA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC000968618436 657443387 /nfs/dbraw/zinc/44/33/87/657443387.db2.gz GILJCXAIQNFWPB-CZUORRHYSA-N 0 1 316.470 3.089 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)c(F)c(C)c2)CC1 ZINC000957690033 657449962 /nfs/dbraw/zinc/44/99/62/657449962.db2.gz OUBFZPSQDDATOF-UHFFFAOYSA-N 0 1 304.409 3.167 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C3CC3)cc2)C1 ZINC000968673966 657453997 /nfs/dbraw/zinc/45/39/97/657453997.db2.gz NCFTWEBYRIIZDI-BEFAXECRSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684964 657457518 /nfs/dbraw/zinc/45/75/18/657457518.db2.gz LADLKODWBGXDJR-DOTOQJQBSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC000957754251 657459172 /nfs/dbraw/zinc/45/91/72/657459172.db2.gz GESZQZREVURMML-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC000968692909 657461378 /nfs/dbraw/zinc/46/13/78/657461378.db2.gz QUALIDWCZUCLEM-QVKFIVLNSA-N 0 1 324.468 3.121 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2csc(C3CC3)n2)C1 ZINC000968697637 657464127 /nfs/dbraw/zinc/46/41/27/657464127.db2.gz IASIJJDUWBZTFT-JSGCOSHPSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC000950979313 657481587 /nfs/dbraw/zinc/48/15/87/657481587.db2.gz JMOHMDLZOQBQQE-HNNXBMFYSA-N 0 1 314.420 3.311 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccsc1C ZINC000968850219 657503110 /nfs/dbraw/zinc/50/31/10/657503110.db2.gz SHFUTNJZWGZRGW-HNNXBMFYSA-N 0 1 304.459 3.054 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c(C)oc2ccccc21 ZINC000968871039 657505826 /nfs/dbraw/zinc/50/58/26/657505826.db2.gz JDXDGBVXBSDPGU-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCC=CCCC1 ZINC000968913473 657513440 /nfs/dbraw/zinc/51/34/40/657513440.db2.gz HBGBACOQVZRQJU-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccccc1CCC ZINC000968943998 657520173 /nfs/dbraw/zinc/52/01/73/657520173.db2.gz DEKXPSFBYYWAJE-SFHVURJKSA-N 0 1 312.457 3.247 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC000968962501 657523579 /nfs/dbraw/zinc/52/35/79/657523579.db2.gz HJEQNBIVTGUZNO-GOSISDBHSA-N 0 1 324.468 3.480 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1ccoc1C1CC1 ZINC000969004787 657531085 /nfs/dbraw/zinc/53/10/85/657531085.db2.gz CLJDWLQJYFVPQY-MRXNPFEDSA-N 0 1 314.429 3.155 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccoc1C1CC1 ZINC000969004787 657531089 /nfs/dbraw/zinc/53/10/89/657531089.db2.gz CLJDWLQJYFVPQY-MRXNPFEDSA-N 0 1 314.429 3.155 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC000969019407 657537329 /nfs/dbraw/zinc/53/73/29/657537329.db2.gz BINOCWOULHOLQL-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001007668020 657627812 /nfs/dbraw/zinc/62/78/12/657627812.db2.gz GXRATQSJZJJLIH-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC001007969232 657649966 /nfs/dbraw/zinc/64/99/66/657649966.db2.gz CDNICXDWDKZPFP-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2C[C@H]2Cc2ccccc2)C1 ZINC001008032695 657654513 /nfs/dbraw/zinc/65/45/13/657654513.db2.gz PCGQAKGXUHTPKG-CEXWTWQISA-N 0 1 312.457 3.022 20 30 DGEDMN C#CCN(CCOc1ccc(OC)cc1)Cc1ccc(F)cc1 ZINC000303040531 657658713 /nfs/dbraw/zinc/65/87/13/657658713.db2.gz ZULYVTCQDXHKDC-UHFFFAOYSA-N 0 1 313.372 3.349 20 30 DGEDMN C=C(Cl)C[N@H+](C)C1CCN(C(=O)[C@H]2CCC[C@H](F)C2)CC1 ZINC000985427167 657665607 /nfs/dbraw/zinc/66/56/07/657665607.db2.gz XRHREVPFRNMMIL-KBPBESRZSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC[C@H](F)C2)CC1 ZINC000985427167 657665611 /nfs/dbraw/zinc/66/56/11/657665611.db2.gz XRHREVPFRNMMIL-KBPBESRZSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC000985449634 657677025 /nfs/dbraw/zinc/67/70/25/657677025.db2.gz AYWBCCITRUTBHF-ZFWWWQNUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001008383253 657689901 /nfs/dbraw/zinc/68/99/01/657689901.db2.gz GABBIDLFKGZUGI-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001008397734 657691866 /nfs/dbraw/zinc/69/18/66/657691866.db2.gz FIYLAAHZNWVKJH-CZUORRHYSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC001008558928 657706178 /nfs/dbraw/zinc/70/61/78/657706178.db2.gz LGTPXFBCTUXPBC-MRXNPFEDSA-N 0 1 315.461 3.284 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001008676196 657716281 /nfs/dbraw/zinc/71/62/81/657716281.db2.gz DLJWHRBAAYASQK-KRWDZBQOSA-N 0 1 320.436 3.366 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)C(C)(C)C)CC1 ZINC000985494322 657717154 /nfs/dbraw/zinc/71/71/54/657717154.db2.gz HHOZWNCETWOWEM-ZDUSSCGKSA-N 0 1 300.874 3.344 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001008676197 657717753 /nfs/dbraw/zinc/71/77/53/657717753.db2.gz DLJWHRBAAYASQK-QGZVFWFLSA-N 0 1 320.436 3.366 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)C1 ZINC001008750333 657725035 /nfs/dbraw/zinc/72/50/35/657725035.db2.gz NVWQQHBFNHLPKE-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001008841312 657734769 /nfs/dbraw/zinc/73/47/69/657734769.db2.gz SNPCZLDJLCAXDA-YTQUADARSA-N 0 1 312.457 3.255 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(C)CCCc3ccccc32)C1 ZINC001008885462 657736456 /nfs/dbraw/zinc/73/64/56/657736456.db2.gz AGKADHAIHVIYSJ-FXAWDEMLSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC000985529740 657740336 /nfs/dbraw/zinc/74/03/36/657740336.db2.gz QUMACDZIQBAAKB-HUUCEWRRSA-N 0 1 312.885 3.344 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001009104744 657748670 /nfs/dbraw/zinc/74/86/70/657748670.db2.gz PAMYNHLUVYIBOZ-NVXWUHKLSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001009129001 657751888 /nfs/dbraw/zinc/75/18/88/657751888.db2.gz DYYFRFKLDLOWPX-VXGBXAGGSA-N 0 1 312.866 3.272 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001009221075 657757454 /nfs/dbraw/zinc/75/74/54/657757454.db2.gz TXEWSAYEXYLJBD-KRWDZBQOSA-N 0 1 324.424 3.267 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001009303700 657766705 /nfs/dbraw/zinc/76/67/05/657766705.db2.gz DEFJALKSLFISBM-CQSZACIVSA-N 0 1 312.335 3.086 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(C)C1CCCC1 ZINC000960279680 657771281 /nfs/dbraw/zinc/77/12/81/657771281.db2.gz VMHFZOZQQTVGGR-RTBURBONSA-N 0 1 324.468 3.208 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001009380420 657775515 /nfs/dbraw/zinc/77/55/15/657775515.db2.gz XCGCAWFPWXVQCP-DAWZGUTISA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc[nH]c2CCC)CC1 ZINC000985599089 657779537 /nfs/dbraw/zinc/77/95/37/657779537.db2.gz SVHBEHINNRQCNG-UHFFFAOYSA-N 0 1 323.868 3.256 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2coc3cc(C)ccc23)C1 ZINC001009430068 657785269 /nfs/dbraw/zinc/78/52/69/657785269.db2.gz DLHBYPPICCTWNQ-MRXNPFEDSA-N 0 1 312.413 3.050 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@]2(C)CCC[C@@H]2C)CC1 ZINC000985635286 657789495 /nfs/dbraw/zinc/78/94/95/657789495.db2.gz YRNODAQYGDZQGP-GUYCJALGSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001009542414 657795305 /nfs/dbraw/zinc/79/53/05/657795305.db2.gz FGYYMZBPKBIIAH-GOSISDBHSA-N 0 1 312.457 3.102 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001009584287 657797277 /nfs/dbraw/zinc/79/72/77/657797277.db2.gz CSLJSNLIZGKDEG-CJNGLKHVSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCN2CC2=CCCCC2)CC1 ZINC001038660014 657821442 /nfs/dbraw/zinc/82/14/42/657821442.db2.gz DLOSYEJVKXGYSN-GOSISDBHSA-N 0 1 302.462 3.424 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC000985738497 657828547 /nfs/dbraw/zinc/82/85/47/657828547.db2.gz VRXXBHZZVALCPY-HNNXBMFYSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2c(C)cccc2F)CC1 ZINC000985813839 657868485 /nfs/dbraw/zinc/86/84/85/657868485.db2.gz OMIYZUCLXOHSQS-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](NC(=O)C=C3CCCCC3)C2)c1 ZINC001010329377 657886570 /nfs/dbraw/zinc/88/65/70/657886570.db2.gz BDPZDFQOAQCUGD-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@@H]1C ZINC000986003416 657949881 /nfs/dbraw/zinc/94/98/81/657949881.db2.gz NRHREEHDAGKAAN-KBXCAEBGSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CC(C)C)C2)C1 ZINC000961106411 657950907 /nfs/dbraw/zinc/95/09/07/657950907.db2.gz BXSASQYNEACOKF-JKSUJKDBSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3CC=CC3)C2)C1 ZINC000961131941 657959085 /nfs/dbraw/zinc/95/90/85/657959085.db2.gz TXTCYJQQYYURLQ-DLBZAZTESA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3(CC)CC3)C2)C1 ZINC000961235156 657984726 /nfs/dbraw/zinc/98/47/26/657984726.db2.gz QOTZHVXKWSRTPV-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@H]2CC23CC3)C1 ZINC001029920903 658005923 /nfs/dbraw/zinc/00/59/23/658005923.db2.gz BQFBYXPQKAJHMY-RBUKOAKNSA-N 0 1 324.468 3.466 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2csc3cc(C)ccc23)C1 ZINC001014568194 658030728 /nfs/dbraw/zinc/03/07/28/658030728.db2.gz DEUVHDSOVXNNNH-CYBMUJFWSA-N 0 1 300.427 3.200 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(F)c2C)[C@H]1C ZINC000986316643 658037790 /nfs/dbraw/zinc/03/77/90/658037790.db2.gz QMOBLTSNNKGJQO-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001014724955 658043234 /nfs/dbraw/zinc/04/32/34/658043234.db2.gz YIHDLEIQXXHXNR-CYBMUJFWSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(F)ccc2CC)[C@@H]1C ZINC000986474270 658053704 /nfs/dbraw/zinc/05/37/04/658053704.db2.gz BTFJBWGPQOEBQW-WBMJQRKESA-N 0 1 324.827 3.333 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2CC(C)(C)C)C1 ZINC001014871333 658057315 /nfs/dbraw/zinc/05/73/15/658057315.db2.gz YPGZTHCTZINTTD-INIZCTEOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)c(F)c2)[C@H]1C ZINC000986520330 658059698 /nfs/dbraw/zinc/05/96/98/658059698.db2.gz OPYDDELAJIMVAO-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001015595386 658143849 /nfs/dbraw/zinc/14/38/49/658143849.db2.gz OHHGQIPZZCJCLL-LLVKDONJSA-N 0 1 304.777 3.076 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)cc2F)[C@@H]1C ZINC000987061921 658149452 /nfs/dbraw/zinc/14/94/52/658149452.db2.gz KWMQEFGNALYBGB-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CCC(F)(F)CC2)[C@@H]1C ZINC000987067850 658150016 /nfs/dbraw/zinc/15/00/16/658150016.db2.gz ZHVHUBBJFDBHAV-YPMHNXCESA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001015643323 658151331 /nfs/dbraw/zinc/15/13/31/658151331.db2.gz LQLHHFXSDFDGAP-MRXNPFEDSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3ccc4c(c3)CCC4)C2)C1 ZINC001015669569 658159973 /nfs/dbraw/zinc/15/99/73/658159973.db2.gz DFXOPEUVAXOXAF-LJQANCHMSA-N 0 1 324.468 3.222 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN([C@@H](C)c3ccc(F)cc3)C2)C1 ZINC001015672790 658164018 /nfs/dbraw/zinc/16/40/18/658164018.db2.gz WISRLFWMKHPYOD-WMLDXEAASA-N 0 1 316.420 3.434 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3ccc(F)cc3C)C2)C1 ZINC001015675984 658164135 /nfs/dbraw/zinc/16/41/35/658164135.db2.gz GTAMXJFEDFAZFQ-KRWDZBQOSA-N 0 1 316.420 3.181 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001015766663 658181797 /nfs/dbraw/zinc/18/17/97/658181797.db2.gz ZJCFHHUDUQXOPI-MRXNPFEDSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(C)CCCc3ccccc32)C1 ZINC001015843710 658195031 /nfs/dbraw/zinc/19/50/31/658195031.db2.gz RJILNOMYLPFHPE-PXNSSMCTSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)cc2C)[C@@H]1C ZINC000987378041 658196215 /nfs/dbraw/zinc/19/62/15/658196215.db2.gz QQXXEQRNXJAQNU-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccccc2OCC(C)C)C1 ZINC001015863065 658196258 /nfs/dbraw/zinc/19/62/58/658196258.db2.gz UGMCWCPTBMIQKC-MRXNPFEDSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001015901370 658201530 /nfs/dbraw/zinc/20/15/30/658201530.db2.gz TXDGMKBDPFZREK-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2Cl)[C@H]1C ZINC000987395645 658202065 /nfs/dbraw/zinc/20/20/65/658202065.db2.gz ZNFSNUISPCIZPZ-SMDDNHRTSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001015901369 658202106 /nfs/dbraw/zinc/20/21/06/658202106.db2.gz TXDGMKBDPFZREK-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001015961640 658210686 /nfs/dbraw/zinc/21/06/86/658210686.db2.gz KBXZVQXKBZULMQ-SFHVURJKSA-N 0 1 314.473 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(OCC)cc2)[C@H]1C ZINC000987450585 658212586 /nfs/dbraw/zinc/21/25/86/658212586.db2.gz RGTNQFVOICOECC-XJKSGUPXSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccccc2F)[C@@H]1C ZINC000987520065 658225118 /nfs/dbraw/zinc/22/51/18/658225118.db2.gz XKTNZRLEZAKEGB-CZUORRHYSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001016080425 658235303 /nfs/dbraw/zinc/23/53/03/658235303.db2.gz KBJWTPOVYRSAIW-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3c2CCC3)[C@@H]1C ZINC000987595956 658238930 /nfs/dbraw/zinc/23/89/30/658238930.db2.gz SIJRWGDUNPZUKW-DYVFJYSZSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001016123405 658240536 /nfs/dbraw/zinc/24/05/36/658240536.db2.gz NOSAMHXMAJSTTA-KRWDZBQOSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc3ccccc23)[C@@H]1C ZINC000987609294 658240517 /nfs/dbraw/zinc/24/05/17/658240517.db2.gz JKJRFHNJJSGPRG-IUODEOHRSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001016129422 658240814 /nfs/dbraw/zinc/24/08/14/658240814.db2.gz UVAVDEJMRAXFQC-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001016167412 658247228 /nfs/dbraw/zinc/24/72/28/658247228.db2.gz IGNYABVTVWXNIE-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001016173758 658247602 /nfs/dbraw/zinc/24/76/02/658247602.db2.gz NAKLHMSUXLPAIZ-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3ccccc3n2CC)C1 ZINC001016330051 658267276 /nfs/dbraw/zinc/26/72/76/658267276.db2.gz DSWHLJILLJTZQZ-MRXNPFEDSA-N 0 1 311.429 3.041 20 30 DGEDMN C[C@@H]1C[C@@H](NCc2ccccc2C#N)CN1C(=O)CC(C)(C)C ZINC000988066124 658295440 /nfs/dbraw/zinc/29/54/40/658295440.db2.gz APLMNRTVRQVJHX-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccoc2CC)CC1 ZINC001016598603 658304777 /nfs/dbraw/zinc/30/47/77/658304777.db2.gz NPFNZIIMYSLDCB-HNNXBMFYSA-N 0 1 322.836 3.179 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2CC(C)(C)C2)CC1 ZINC001016605591 658305444 /nfs/dbraw/zinc/30/54/44/658305444.db2.gz RNWSRBGHWUIGKY-AWEZNQCLSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccc(CC)o2)CC1 ZINC001016692647 658318904 /nfs/dbraw/zinc/31/89/04/658318904.db2.gz DWVAUGPUYXCWQO-HNNXBMFYSA-N 0 1 322.836 3.179 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2(C)CCCC2)CC1 ZINC001016755408 658324484 /nfs/dbraw/zinc/32/44/84/658324484.db2.gz CSAOBRNIPXIMQZ-AWEZNQCLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2ccccc2CC)C1 ZINC000989034614 658426055 /nfs/dbraw/zinc/42/60/55/658426055.db2.gz NBMXGEIMSCMPGG-RHSMWYFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([C@@H]2C[C@H]2C)o1 ZINC001017554355 658439195 /nfs/dbraw/zinc/43/91/95/658439195.db2.gz XQTVJOYBBWIYBS-LVQVYYBASA-N 0 1 314.429 3.268 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C(C)C ZINC001017601941 658443245 /nfs/dbraw/zinc/44/32/45/658443245.db2.gz PNLIBISOAIYHRQ-CALCHBBNSA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC000966098055 658446771 /nfs/dbraw/zinc/44/67/71/658446771.db2.gz BDXXXEVZTNRUNS-UKRRQHHQSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966258924 658458840 /nfs/dbraw/zinc/45/88/40/658458840.db2.gz BYBGGZFIKINHPK-BLLLJJGKSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc[nH]c2C(C)C)C1 ZINC000989329542 658465187 /nfs/dbraw/zinc/46/51/87/658465187.db2.gz PJOPUBOXGFZYQL-CHWSQXEVSA-N 0 1 309.841 3.083 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccc2ccccc21 ZINC001017858566 658467678 /nfs/dbraw/zinc/46/76/78/658467678.db2.gz ITBHNWAVXAHADV-CALCHBBNSA-N 0 1 321.424 3.100 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C1(C)CC1 ZINC001017935218 658479686 /nfs/dbraw/zinc/47/96/86/658479686.db2.gz SLHFASCMXHBOLK-CALCHBBNSA-N 0 1 322.452 3.050 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc(-c3ccoc3)c2)CC1 ZINC000989434329 658481906 /nfs/dbraw/zinc/48/19/06/658481906.db2.gz SPWCHSHLQRONLP-UHFFFAOYSA-N 0 1 310.397 3.281 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3occc3s2)C1 ZINC000989439544 658484103 /nfs/dbraw/zinc/48/41/03/658484103.db2.gz CPXMLXGWWPGOGL-QWRGUYRKSA-N 0 1 324.833 3.439 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC000966723071 658492906 /nfs/dbraw/zinc/49/29/06/658492906.db2.gz NJXMUVWOYORYQM-NDHMYGHJSA-N 0 1 316.489 3.071 20 30 DGEDMN C=Cc1ccc(C(=O)N2C[C@H](NCC(=C)Cl)C[C@@H]2C)cc1 ZINC000989471938 658494454 /nfs/dbraw/zinc/49/44/54/658494454.db2.gz IXGHTLDGLHJTTN-XJKSGUPXSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C)cc2F)C1 ZINC000989481305 658497780 /nfs/dbraw/zinc/49/77/80/658497780.db2.gz PGCYOGJNEVINSR-CHWSQXEVSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccc(C)cc2)CC1 ZINC000989507786 658504914 /nfs/dbraw/zinc/50/49/14/658504914.db2.gz WIRXJETYUDXTLT-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC000966914374 658514017 /nfs/dbraw/zinc/51/40/17/658514017.db2.gz MISLKDWZLNRABO-AUUYWEPGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC000967007748 658529173 /nfs/dbraw/zinc/52/91/73/658529173.db2.gz OHDABBMBMUTTJH-UONOGXRCSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccoc2CCC)C1 ZINC000989675283 658539731 /nfs/dbraw/zinc/53/97/31/658539731.db2.gz GUEBBXHTQUTMKD-STQMWFEESA-N 0 1 310.825 3.177 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2ccccc2C)CC1 ZINC001018339639 658540697 /nfs/dbraw/zinc/54/06/97/658540697.db2.gz YCJPVJRENRFYDV-HDICACEKSA-N 0 1 324.468 3.278 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)c(F)c(C)c2)CC1 ZINC000989693197 658543054 /nfs/dbraw/zinc/54/30/54/658543054.db2.gz STUATSVDBPKBHG-UHFFFAOYSA-N 0 1 304.409 3.167 20 30 DGEDMN C=CCN1CCCN(C(=O)C(CC)(CC)c2ccccc2)CC1 ZINC000989709649 658547632 /nfs/dbraw/zinc/54/76/32/658547632.db2.gz RJXCSGLTNLHNIQ-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=CCN1CCCN(C(=O)CC2C3CC4CC(C3)CC2C4)CC1 ZINC000989709412 658547673 /nfs/dbraw/zinc/54/76/73/658547673.db2.gz NIFZHXGFCYWBKV-UHFFFAOYSA-N 0 1 316.489 3.169 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC000989724676 658549582 /nfs/dbraw/zinc/54/95/82/658549582.db2.gz KBDARQBVNXGACM-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)ccc2C)C1 ZINC000989722742 658555821 /nfs/dbraw/zinc/55/58/21/658555821.db2.gz LNAQRUZQPBAYBY-LSDHHAIUSA-N 0 1 306.837 3.249 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC000967269222 658556014 /nfs/dbraw/zinc/55/60/14/658556014.db2.gz UFRNILLNHFGGEF-VQIMIIECSA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967275393 658559782 /nfs/dbraw/zinc/55/97/82/658559782.db2.gz WUVJKDCBPIWVET-WBMJQRKESA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(CC)cc2)C1 ZINC000989741801 658564111 /nfs/dbraw/zinc/56/41/11/658564111.db2.gz ILTITUNZKFQRRG-CZUORRHYSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(CC)cc2)C1 ZINC000989741800 658564168 /nfs/dbraw/zinc/56/41/68/658564168.db2.gz ILTITUNZKFQRRG-CJNGLKHVSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3ccccc3o2)C1 ZINC000989755147 658572084 /nfs/dbraw/zinc/57/20/84/658572084.db2.gz IBRFGMXCIXKBLA-OCCSQVGLSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)Cc2cccc(Cl)c2)CC1 ZINC000989804537 658579066 /nfs/dbraw/zinc/57/90/66/658579066.db2.gz BJAKIIJVABMJQK-HNNXBMFYSA-N 0 1 320.864 3.239 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc3c2CCC3)C1 ZINC000989846956 658594366 /nfs/dbraw/zinc/59/43/66/658594366.db2.gz BHEXOVIHBGIPPC-UKRRQHHQSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc3cc(F)ccc23)C1 ZINC001019068663 658606522 /nfs/dbraw/zinc/60/65/22/658606522.db2.gz FXZKWZIHGWQXGS-LBPRGKRZSA-N 0 1 322.767 3.129 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC000967699620 658620033 /nfs/dbraw/zinc/62/00/33/658620033.db2.gz DSXCIPVFWUSPDK-WBMJQRKESA-N 0 1 304.409 3.069 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001019497486 658651524 /nfs/dbraw/zinc/65/15/24/658651524.db2.gz YRVPNTGCMUGXGG-YESZJQIVSA-N 0 1 318.848 3.042 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001019837899 658678081 /nfs/dbraw/zinc/67/80/81/658678081.db2.gz AELOXFINEULYSO-AWEZNQCLSA-N 0 1 318.848 3.040 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)C)cn2)C1 ZINC000968331310 658700642 /nfs/dbraw/zinc/70/06/42/658700642.db2.gz NOLNAQXBEJUKKQ-MAUKXSAKSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353058 658706865 /nfs/dbraw/zinc/70/68/65/658706865.db2.gz CJIWWVKFLDKRES-DOMZBBRYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2ccc(F)cc2s1 ZINC001038490761 658727538 /nfs/dbraw/zinc/72/75/38/658727538.db2.gz CCGPPQSXYOYQNW-CQSZACIVSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC001038402763 658748508 /nfs/dbraw/zinc/74/85/08/658748508.db2.gz CNCHFWUWZYQGLI-MJBXVCDLSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3sccc3Cl)CCC[C@H]12 ZINC000990792015 658752579 /nfs/dbraw/zinc/75/25/79/658752579.db2.gz PSTKLCGFHBCSJG-WFASDCNBSA-N 0 1 310.850 3.314 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3sccc3Cl)CCC[C@H]12 ZINC000990792895 658753729 /nfs/dbraw/zinc/75/37/29/658753729.db2.gz WKOHFMOXXHJWCN-BBRMVZONSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cccc(C)c3F)CCC[C@H]12 ZINC000990848868 658768205 /nfs/dbraw/zinc/76/82/05/658768205.db2.gz JYZJRWLSGWVGBG-MAUKXSAKSA-N 0 1 302.393 3.047 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3csc(C)c3C)CCC[C@@H]12 ZINC000990872490 658772574 /nfs/dbraw/zinc/77/25/74/658772574.db2.gz SLKSTFSRONKVKE-SJLPKXTDSA-N 0 1 316.470 3.115 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3c(C)oc4ccccc43)CCC[C@@H]12 ZINC000990874960 658774195 /nfs/dbraw/zinc/77/41/95/658774195.db2.gz XKFRZIMVWSKKFX-YLJYHZDGSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(Br)CNCc1cccc(Br)c1 ZINC000042418942 658774340 /nfs/dbraw/zinc/77/43/40/658774340.db2.gz GNRAPOWOCAGULL-UHFFFAOYSA-N 0 1 305.013 3.447 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CCC)s3)CCC[C@H]12 ZINC000990939552 658782291 /nfs/dbraw/zinc/78/22/91/658782291.db2.gz ZOODWHCYQUYQEX-FUHWJXTLSA-N 0 1 316.470 3.061 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(C)C)nc1CC ZINC001038712244 658786261 /nfs/dbraw/zinc/78/62/61/658786261.db2.gz JIDYUOXIRFPBGK-HNNXBMFYSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001038810609 658809953 /nfs/dbraw/zinc/80/99/53/658809953.db2.gz DDKSPLLDDRPORC-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccccc1C(C)(C)CC ZINC001038385468 658881551 /nfs/dbraw/zinc/88/15/51/658881551.db2.gz GACREBXCGBDPFV-INIZCTEOSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000156607926 658927882 /nfs/dbraw/zinc/92/78/82/658927882.db2.gz NDZCQPXWBPXTDL-CQSZACIVSA-N 0 1 318.392 3.041 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(-c2cccs2)o1 ZINC001038984612 658971618 /nfs/dbraw/zinc/97/16/18/658971618.db2.gz PNLWOGIRAHWTNB-ZDUSSCGKSA-N 0 1 316.426 3.388 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1coc(C2CCCCC2)n1 ZINC001039069020 658992817 /nfs/dbraw/zinc/99/28/17/658992817.db2.gz YBSFQHZHRVTPSL-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001039073465 658993760 /nfs/dbraw/zinc/99/37/60/658993760.db2.gz JZLMCCFWTJDQJY-HNNXBMFYSA-N 0 1 318.848 3.291 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001039108882 659003510 /nfs/dbraw/zinc/00/35/10/659003510.db2.gz XVZPGCGGBRPUNE-LJQANCHMSA-N 0 1 308.425 3.081 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)c2ccc[nH]2)C1 ZINC001039185074 659028028 /nfs/dbraw/zinc/02/80/28/659028028.db2.gz DZQCTANUVFIESV-GDBMZVCRSA-N 0 1 321.852 3.084 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3ccc(CC)s3)CCC[C@H]12 ZINC000991678233 659126070 /nfs/dbraw/zinc/12/60/70/659126070.db2.gz INPCXQJGJXOTAN-RDJZCZTQSA-N 0 1 304.459 3.223 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(CC)s2)C[C@H]1C ZINC000948007371 659269519 /nfs/dbraw/zinc/26/95/19/659269519.db2.gz QRHNFZXLSVRQJA-KGLIPLIRSA-N 0 1 306.475 3.388 20 30 DGEDMN N#CC(C(=O)Cc1cc(F)ccc1F)c1nc2ccccc2[nH]1 ZINC000173904570 659405281 /nfs/dbraw/zinc/40/52/81/659405281.db2.gz XIUMKXSAOSOTSY-GFCCVEGCSA-N 0 1 311.291 3.260 20 30 DGEDMN N#CC(C(=O)C1=COCCC1)c1nc2ccccc2n1C(F)F ZINC000174000612 659407053 /nfs/dbraw/zinc/40/70/53/659407053.db2.gz XLLYUDIVZVTGNI-LLVKDONJSA-N 0 1 317.295 3.302 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCC[C@H](C)C1 ZINC000173977218 659407573 /nfs/dbraw/zinc/40/75/73/659407573.db2.gz QFRLXKRJVMNKCD-MELADBBJSA-N 0 1 314.385 3.169 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(Cl)ccc3C)CCC[C@@H]12 ZINC000992332620 659527143 /nfs/dbraw/zinc/52/71/43/659527143.db2.gz PLFHRXOBWFMEMS-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CN(CC3CCC(C)(C)CC3)C2)C1 ZINC001030923646 659539185 /nfs/dbraw/zinc/53/91/85/659539185.db2.gz AGTDBKMRQURBCX-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc(C(C)C)oc3C)CCC[C@H]12 ZINC000992397732 659541678 /nfs/dbraw/zinc/54/16/78/659541678.db2.gz XTSRGKAZOAQIHS-PKOBYXMFSA-N 0 1 314.429 3.071 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3ccc(Cl)s3)CCC[C@@H]12 ZINC000992482843 659561603 /nfs/dbraw/zinc/56/16/03/659561603.db2.gz CVBXMSXYXCTLNL-IUODEOHRSA-N 0 1 310.850 3.314 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc4cccc(C)c4o3)CCC[C@@H]12 ZINC000992531323 659571993 /nfs/dbraw/zinc/57/19/93/659571993.db2.gz VCDGODYZNZNSGT-YLJYHZDGSA-N 0 1 322.408 3.101 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C=C2CCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993189922 659694839 /nfs/dbraw/zinc/69/48/39/659694839.db2.gz WIGUGAJLPYUSJZ-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C2=CCCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993193673 659695948 /nfs/dbraw/zinc/69/59/48/659695948.db2.gz BLBWXMKOXYJWFW-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001046769512 659717327 /nfs/dbraw/zinc/71/73/27/659717327.db2.gz WRYHGXMXVVVKTN-SFHVURJKSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2scc(C)c2Cl)[C@H]1C ZINC000993366351 659722319 /nfs/dbraw/zinc/72/23/19/659722319.db2.gz JYPWDYYXMFJPMH-OLZOCXBDSA-N 0 1 324.877 3.316 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@@H]1C ZINC000993370343 659723043 /nfs/dbraw/zinc/72/30/43/659723043.db2.gz VBGJKEOVOSUFLC-WMLDXEAASA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2conc2C2CCCC2)[C@H]1C ZINC000993428889 659737553 /nfs/dbraw/zinc/73/75/53/659737553.db2.gz KAVBEVYHOQHZAZ-CJNGLKHVSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccnc3ccccc32)[C@@H]1C ZINC000993577908 659759616 /nfs/dbraw/zinc/75/96/16/659759616.db2.gz WOSDRQDOWIALFP-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@H]1C ZINC000993565448 659764261 /nfs/dbraw/zinc/76/42/61/659764261.db2.gz DCCNQJQLGGPYOR-ZIAGYGMSSA-N 0 1 314.385 3.308 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)onc2C2CC2)[C@@H]1C ZINC000993609958 659765680 /nfs/dbraw/zinc/76/56/80/659765680.db2.gz ZDNFJSZXMIXGRK-WFASDCNBSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H]1C ZINC000993664394 659773295 /nfs/dbraw/zinc/77/32/95/659773295.db2.gz SHYSFSSBQNNHBB-NVXWUHKLSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@H]1C ZINC000993659372 659777145 /nfs/dbraw/zinc/77/71/45/659777145.db2.gz UUSUAZOBPLVVRB-KOLCDFICSA-N 0 1 316.232 3.090 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(F)c2F)[C@@H]1C ZINC000993712530 659779569 /nfs/dbraw/zinc/77/95/69/659779569.db2.gz INANGXGRIQHCEV-WFASDCNBSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H]1C ZINC000993726097 659780966 /nfs/dbraw/zinc/78/09/66/659780966.db2.gz JIGWSQJJBDCSNN-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H]1C ZINC000993725030 659781270 /nfs/dbraw/zinc/78/12/70/659781270.db2.gz HJWNMDQBUQCQHX-WBMJQRKESA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H]1C ZINC000993736910 659783397 /nfs/dbraw/zinc/78/33/97/659783397.db2.gz APBKRSCTPIUROC-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CCC)cc2)[C@@H]1C ZINC000993730464 659786239 /nfs/dbraw/zinc/78/62/39/659786239.db2.gz RDHYVTPCGUIJEB-MAUKXSAKSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CCC)cc2)[C@H]1C ZINC000993730466 659786391 /nfs/dbraw/zinc/78/63/91/659786391.db2.gz RDHYVTPCGUIJEB-QAPCUYQASA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CCC)cc2)[C@H]1C ZINC000993730461 659786596 /nfs/dbraw/zinc/78/65/96/659786596.db2.gz RDHYVTPCGUIJEB-CRAIPNDOSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2F)[C@@H]1C ZINC000993732273 659787083 /nfs/dbraw/zinc/78/70/83/659787083.db2.gz OGMSLNMFMSPJLM-FZMZJTMJSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)cc2Cl)[C@@H]1C ZINC000993842961 659796151 /nfs/dbraw/zinc/79/61/51/659796151.db2.gz JVELKQPVBMYCNO-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2CCCCCC2)[C@H]1C ZINC000993883407 659796625 /nfs/dbraw/zinc/79/66/25/659796625.db2.gz CORAROHGFQECHN-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C)c3ccccc23)[C@@H]1C ZINC000993888369 659797715 /nfs/dbraw/zinc/79/77/15/659797715.db2.gz VQSABXRUDKLUPG-OXJNMPFZSA-N 0 1 320.436 3.364 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sc(C)cc2OC)[C@@H]1C ZINC000993932925 659799363 /nfs/dbraw/zinc/79/93/63/659799363.db2.gz WVSZGIKVASFVQX-UONOGXRCSA-N 0 1 322.474 3.224 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cccnc3c2)[C@H]1C ZINC000994048909 659810637 /nfs/dbraw/zinc/81/06/37/659810637.db2.gz GLPZNYWHZYGYQE-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@H]1C ZINC000994067627 659812980 /nfs/dbraw/zinc/81/29/80/659812980.db2.gz GIEFZVSZDWLHRK-MLGOLLRUSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@@H]1C ZINC000994126436 659813343 /nfs/dbraw/zinc/81/33/43/659813343.db2.gz QKXUANQDHNYMRQ-RDJZCZTQSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C3CCC3)n2)[C@H]1C ZINC000994189039 659818439 /nfs/dbraw/zinc/81/84/39/659818439.db2.gz MFTGMDJDUCEFAI-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c(CC)o2)[C@H]1C ZINC000994207952 659819655 /nfs/dbraw/zinc/81/96/55/659819655.db2.gz KWTZWTHJKDLIDB-CABCVRRESA-N 0 1 304.434 3.309 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(CCC)nc2C)[C@H]1C ZINC000994230331 659822184 /nfs/dbraw/zinc/82/21/84/659822184.db2.gz MEWJWBSDWZXPKM-CABCVRRESA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@@H]1C ZINC000994254804 659826062 /nfs/dbraw/zinc/82/60/62/659826062.db2.gz VIBDAEHSLCUPCB-SWLSCSKDSA-N 0 1 308.372 3.393 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C(C)(C)C)CCCN1Cc1ccc(C#N)cc1 ZINC000994255779 659826243 /nfs/dbraw/zinc/82/62/43/659826243.db2.gz CEHQJUDGACFPFR-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCCN1Cc1cccc(C#N)c1 ZINC000994264593 659828107 /nfs/dbraw/zinc/82/81/07/659828107.db2.gz RAYBWXCYCXXYIT-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2OC(C)C)[C@@H]1C ZINC000994271005 659829865 /nfs/dbraw/zinc/82/98/65/659829865.db2.gz IESRPWVPFQEOIC-DOTOQJQBSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)cc2)[C@@H]1C ZINC000994297147 659833907 /nfs/dbraw/zinc/83/39/07/659833907.db2.gz JWUHOOHLHUZNBS-QFBILLFUSA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2occ3c2CCCC3)[C@H]1C ZINC000994296359 659833930 /nfs/dbraw/zinc/83/39/30/659833930.db2.gz RWCIGODUNDCFIP-PBHICJAKSA-N 0 1 316.445 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C(F)F)o2)[C@H]1C ZINC000994344635 659840607 /nfs/dbraw/zinc/84/06/07/659840607.db2.gz GZVPHZSFRICNHA-NEPJUHHUSA-N 0 1 312.360 3.376 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1C ZINC000994367991 659845666 /nfs/dbraw/zinc/84/56/66/659845666.db2.gz VAXZLVTXNUONQZ-HUUCEWRRSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@@H]1C ZINC000994386303 659850916 /nfs/dbraw/zinc/85/09/16/659850916.db2.gz JHHAPHZDQIAOTB-YJBOKZPZSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@@H]1C ZINC000994452233 659856726 /nfs/dbraw/zinc/85/67/26/659856726.db2.gz PCSOTXKEZCYKOJ-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)c3occc32)[C@@H]1C ZINC000994460040 659857172 /nfs/dbraw/zinc/85/71/72/659857172.db2.gz IDLKTHRPWQRFKZ-BLLLJJGKSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@@H]1C ZINC000994471767 659858535 /nfs/dbraw/zinc/85/85/35/659858535.db2.gz MIGHVVVIAXYLSR-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H]1C ZINC000994471761 659858654 /nfs/dbraw/zinc/85/86/54/659858654.db2.gz MIGHVVVIAXYLSR-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c3c2CCC3)[C@H]1C ZINC000994475378 659859369 /nfs/dbraw/zinc/85/93/69/659859369.db2.gz RDIHEKATYCXKSC-DNVCBOLYSA-N 0 1 312.457 3.252 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccc(C)s2)[C@@H]1C ZINC000994489016 659860635 /nfs/dbraw/zinc/86/06/35/659860635.db2.gz SEZRCLGTDMHYJR-HOCLYGCPSA-N 0 1 306.475 3.144 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)s2)[C@H]1C ZINC000994490456 659860885 /nfs/dbraw/zinc/86/08/85/659860885.db2.gz VIYOVQYNVSYASZ-HUUCEWRRSA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H]1C ZINC000994488581 659860911 /nfs/dbraw/zinc/86/09/11/659860911.db2.gz REBKCQVULRAWHJ-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H]1C ZINC000994488581 659860912 /nfs/dbraw/zinc/86/09/12/659860912.db2.gz REBKCQVULRAWHJ-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(Cl)cccc2OC)[C@@H]1C ZINC000994504430 659863589 /nfs/dbraw/zinc/86/35/89/659863589.db2.gz WXDNERJBHLPBMA-JSGCOSHPSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2ccc3ccccc3c2)C1 ZINC001031367484 659866036 /nfs/dbraw/zinc/86/60/36/659866036.db2.gz BDWHAULFQBZFOX-UHFFFAOYSA-N 0 1 308.425 3.149 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001031388649 659870069 /nfs/dbraw/zinc/87/00/69/659870069.db2.gz JUOIFMJRKPYYBG-UHFFFAOYSA-N 0 1 309.413 3.062 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2coc3ccccc23)[C@H]1C ZINC000994527354 659872268 /nfs/dbraw/zinc/87/22/68/659872268.db2.gz GOBNKBADUYJCJI-RHSMWYFYSA-N 0 1 310.397 3.039 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001031775949 659982147 /nfs/dbraw/zinc/98/21/47/659982147.db2.gz LJDRBDQKQNIEOR-UHFFFAOYSA-N 0 1 311.429 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC[C@H](CC)CC2)C[C@H]1C ZINC000939570416 660004319 /nfs/dbraw/zinc/00/43/19/660004319.db2.gz HKMMVMBKBXZONW-DTZQCDIJSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2ccc(C)c(C)c2)C[C@H]1C ZINC000939692866 660011580 /nfs/dbraw/zinc/01/15/80/660011580.db2.gz MYLLFLKVZWNWJI-RHSMWYFYSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C)C(C)(C)C2(C)C)C[C@@H]1C ZINC000939874107 660017682 /nfs/dbraw/zinc/01/76/82/660017682.db2.gz NLTBEVNTMXDJEK-WCQYABFASA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001031895186 660046643 /nfs/dbraw/zinc/04/66/43/660046643.db2.gz QZUOJDVJVRPCKU-UHFFFAOYSA-N 0 1 306.837 3.195 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CC2=CCCCC2)C(C)(C)C1 ZINC000940632582 660053424 /nfs/dbraw/zinc/05/34/24/660053424.db2.gz LLOIXTLNEQQIDP-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2scc(C)c2Cl)C(C)(C)C1 ZINC000940760535 660063069 /nfs/dbraw/zinc/06/30/69/660063069.db2.gz SJQZEVIWENCROR-LBPRGKRZSA-N 0 1 324.877 3.173 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)C2CCCC2)C(C)(C)C1 ZINC000940805584 660065255 /nfs/dbraw/zinc/06/52/55/660065255.db2.gz IFIHXZFIRDKWER-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)ccc2C2CC2)C(C)(C)C1 ZINC000940918028 660073879 /nfs/dbraw/zinc/07/38/79/660073879.db2.gz ILZXUTCDLKPYJL-IBGZPJMESA-N 0 1 324.468 3.336 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000941105583 660085824 /nfs/dbraw/zinc/08/58/24/660085824.db2.gz NUMPCYMDALCGRQ-HNNXBMFYSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC000941168448 660092883 /nfs/dbraw/zinc/09/28/83/660092883.db2.gz CZODIXKNJABBOT-FUHWJXTLSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccccc2C2CCC2)C(C)(C)C1 ZINC000941191429 660093301 /nfs/dbraw/zinc/09/33/01/660093301.db2.gz SEBQXOHCLXGRHX-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)csc2Cl)C(C)(C)C1 ZINC000941184371 660094150 /nfs/dbraw/zinc/09/41/50/660094150.db2.gz LRNWKYLYKTXSLP-GFCCVEGCSA-N 0 1 324.877 3.173 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(C3CCCCC3)CC2)C(C)(C)C1 ZINC000941186374 660094746 /nfs/dbraw/zinc/09/47/46/660094746.db2.gz IRUOVFAGSMRFJG-KRWDZBQOSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(CC)c(C)s2)C(C)(C)C1 ZINC000941207358 660095204 /nfs/dbraw/zinc/09/52/04/660095204.db2.gz PYFZQNHUUYNZNB-MRXNPFEDSA-N 0 1 318.486 3.082 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cccc(C)c3o2)C(C)(C)C1 ZINC000941236771 660098382 /nfs/dbraw/zinc/09/83/82/660098382.db2.gz AFBSTMWGXWYAGS-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)C1CCCC1 ZINC000941719059 660112648 /nfs/dbraw/zinc/11/26/48/660112648.db2.gz GJCHWFGZUBFSNG-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)C1CCCC1 ZINC000941719059 660112650 /nfs/dbraw/zinc/11/26/50/660112650.db2.gz GJCHWFGZUBFSNG-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@@H](C)C1 ZINC000942079697 660135784 /nfs/dbraw/zinc/13/57/84/660135784.db2.gz PADYVOJEPVSADP-WMLDXEAASA-N 0 1 324.424 3.205 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@H](C)C1 ZINC000942099148 660136998 /nfs/dbraw/zinc/13/69/98/660136998.db2.gz HCPXBOOOHPERPA-RDTXWAMCSA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)[C@H](C)C1 ZINC000942136989 660139702 /nfs/dbraw/zinc/13/97/02/660139702.db2.gz OUMFFWIACHYWRY-ZBFHGGJFSA-N 0 1 318.486 3.020 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3sccc3s2)[C@@H](C)C1 ZINC000942184620 660142276 /nfs/dbraw/zinc/14/22/76/660142276.db2.gz VJZXMALIJHZLSJ-RYUDHWBXSA-N 0 1 318.467 3.036 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CC2CCCCCC2)[C@H](C)C1 ZINC000942958400 660173839 /nfs/dbraw/zinc/17/38/39/660173839.db2.gz FILNYBUGEJPNPD-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN O=C(NCC#Cc1ccccc1)c1ccc(CN2CCCCC2)o1 ZINC000929642253 661323537 /nfs/dbraw/zinc/32/35/37/661323537.db2.gz GJVNXCCFVKYGIA-UHFFFAOYSA-N 0 1 322.408 3.047 20 30 DGEDMN CC(C)(C)CN(CCC#N)C[C@@H](O)CC[C@@H](O)c1ccccc1 ZINC000929691494 661329136 /nfs/dbraw/zinc/32/91/36/661329136.db2.gz BNUKFWAPFUTEPB-ZWKOTPCHSA-N 0 1 318.461 3.123 20 30 DGEDMN Cc1cccc(C)c1OC[C@H](NC[C@H](O)CC#N)c1ccccc1 ZINC000930230016 661380401 /nfs/dbraw/zinc/38/04/01/661380401.db2.gz FQABKEROMAYQHG-MOPGFXCFSA-N 0 1 324.424 3.288 20 30 DGEDMN N#CC1(CCCCN2CCN(c3ccnc(F)c3)CC2)CCC1 ZINC000930633938 661417297 /nfs/dbraw/zinc/41/72/97/661417297.db2.gz TUJRDZQCCQCKGO-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000930694990 661422408 /nfs/dbraw/zinc/42/24/08/661422408.db2.gz ZNRWWPINDRFIQL-KCQAQPDRSA-N 0 1 312.335 3.450 20 30 DGEDMN CCCc1cccc(C(C)C)c1NC(=O)NCC#CCN(C)C ZINC000931050450 661447987 /nfs/dbraw/zinc/44/79/87/661447987.db2.gz UYLGTDUXHLUBDL-UHFFFAOYSA-N 0 1 315.461 3.449 20 30 DGEDMN CCOCCN(Cc1cncc(C#N)c1)[C@@H]1CCc2ccccc21 ZINC000931256756 661462161 /nfs/dbraw/zinc/46/21/61/661462161.db2.gz DJCYUKJKSMGPSA-HXUWFJFHSA-N 0 1 321.424 3.479 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccccc2CCC=C)CC1 ZINC000931287727 661464020 /nfs/dbraw/zinc/46/40/20/661464020.db2.gz BWNVKPPGEJKJPI-UHFFFAOYSA-N 0 1 311.429 3.024 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2sccc2C#N)CC1 ZINC000931839546 661514834 /nfs/dbraw/zinc/51/48/34/661514834.db2.gz QIZZCRZCCUHWOF-UHFFFAOYSA-N 0 1 315.402 3.063 20 30 DGEDMN N#Cc1cc(F)ccc1CN1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000931905558 661519759 /nfs/dbraw/zinc/51/97/59/661519759.db2.gz PISOYTBMGOQTMK-QUCCMNQESA-N 0 1 322.383 3.097 20 30 DGEDMN C=CCCN1CC[C@@H](C(F)(F)F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000931979183 661526978 /nfs/dbraw/zinc/52/69/78/661526978.db2.gz VDSGZSBJXTWAAU-VXGBXAGGSA-N 0 1 322.371 3.340 20 30 DGEDMN N#CC1(CCCN2CCS[C@H](C(F)(F)F)C2)CCOCC1 ZINC000932074534 661535226 /nfs/dbraw/zinc/53/52/26/661535226.db2.gz FYZFJFUNDGKXIB-LBPRGKRZSA-N 0 1 322.396 3.067 20 30 DGEDMN CC(C)(C#N)CCCCN1CCn2c(cnc2C(F)(F)F)C1 ZINC000932582201 661582802 /nfs/dbraw/zinc/58/28/02/661582802.db2.gz ZRSATQAQPYIFKN-UHFFFAOYSA-N 0 1 314.355 3.438 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCC(CO)(C(F)(F)F)CC1 ZINC000932829066 661599892 /nfs/dbraw/zinc/59/98/92/661599892.db2.gz ZIYAHQUYCSWWSB-LBPRGKRZSA-N 0 1 312.335 3.256 20 30 DGEDMN C#CCC1(N[C@H](C)c2nc3sc(C)c(C)c3c(N)n2)CCC1 ZINC000933298639 661628315 /nfs/dbraw/zinc/62/83/15/661628315.db2.gz IAAUYCWJQVVZHL-LLVKDONJSA-N 0 1 314.458 3.487 20 30 DGEDMN CCc1nc([C@H](C)N2CCC(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000933606306 661644363 /nfs/dbraw/zinc/64/43/63/661644363.db2.gz IIBGZXUDYCQBMU-ZDUSSCGKSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1nc(CN2CCC(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000933606230 661644431 /nfs/dbraw/zinc/64/44/31/661644431.db2.gz GAOIDKZSBGSRNO-UHFFFAOYSA-N 0 1 309.417 3.179 20 30 DGEDMN N#Cc1ccc(C2CCN(CCOCC(F)(F)F)CC2)cc1 ZINC000933613437 661644863 /nfs/dbraw/zinc/64/48/63/661644863.db2.gz XOOWOGUWOBPLQV-UHFFFAOYSA-N 0 1 312.335 3.317 20 30 DGEDMN COc1ncc(CN2CCC(c3ccc(C#N)cc3)CC2)c(C)n1 ZINC000933612269 661644949 /nfs/dbraw/zinc/64/49/49/661644949.db2.gz QBYXCDKFVXBVPF-UHFFFAOYSA-N 0 1 322.412 3.045 20 30 DGEDMN CN(CCC(=O)Nc1cccc(F)c1)Cc1cc(C#N)cs1 ZINC000933776855 661657525 /nfs/dbraw/zinc/65/75/25/661657525.db2.gz BNFMUJXGGQHIJI-UHFFFAOYSA-N 0 1 317.389 3.219 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cccc(F)c1O ZINC000933856644 661663196 /nfs/dbraw/zinc/66/31/96/661663196.db2.gz COKSOEPVBHTLQP-DOTOQJQBSA-N 0 1 324.355 3.443 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Cc3nccs3)C2)c(F)c1 ZINC000934338468 661699262 /nfs/dbraw/zinc/69/92/62/661699262.db2.gz ZRYNNQWNFQAJFY-ZDUSSCGKSA-N 0 1 301.390 3.218 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1cnn(CC2CCC2)c1 ZINC000934553503 661714449 /nfs/dbraw/zinc/71/44/49/661714449.db2.gz FDOPYMMGJDWRRI-HNNXBMFYSA-N 0 1 324.428 3.436 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cnn(CC3CCC3)c2)cc1C#N ZINC000934563506 661715228 /nfs/dbraw/zinc/71/52/28/661715228.db2.gz WLCRUOHKDGEAHD-AWEZNQCLSA-N 0 1 324.428 3.414 20 30 DGEDMN CC[C@@H](CC#N)OC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C ZINC000187919632 661908094 /nfs/dbraw/zinc/90/80/94/661908094.db2.gz OBTRUENMVFUZNK-ZDUSSCGKSA-N 0 1 313.357 3.243 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)C[C@H]1C ZINC000947596492 661919275 /nfs/dbraw/zinc/91/92/75/661919275.db2.gz AYKJFKWDJBSTFR-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)C[C@H]1C ZINC000948083146 661949529 /nfs/dbraw/zinc/94/95/29/661949529.db2.gz NHFVAHMPNIZZNM-CABCVRRESA-N 0 1 324.424 3.211 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2[nH]c(C)cc2C)CC1 ZINC000948556086 661982758 /nfs/dbraw/zinc/98/27/58/661982758.db2.gz CNYWRPNNUJUNDW-OAHLLOKOSA-N 0 1 323.868 3.311 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2cc3ccccc3s2)CC1 ZINC000949274183 662013552 /nfs/dbraw/zinc/01/35/52/662013552.db2.gz CGOSJYZRVFEDBE-UHFFFAOYSA-N 0 1 314.454 3.164 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCCC3CCCC3)CC2)cc1 ZINC000949597288 662020951 /nfs/dbraw/zinc/02/09/51/662020951.db2.gz RXSOBQRJDNJOAM-UHFFFAOYSA-N 0 1 324.468 3.396 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2C[C@H](C)C[C@H](C)C2)CC1 ZINC001006453347 662052401 /nfs/dbraw/zinc/05/24/01/662052401.db2.gz ZDKYXWLVVNWJDE-FVQHAEBGSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccnc(CCC)c2)C1 ZINC000950559505 662072048 /nfs/dbraw/zinc/07/20/48/662072048.db2.gz CSAFVFPWTJTASH-UHFFFAOYSA-N 0 1 315.461 3.147 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2CCC(C)(C)CC2)CC1 ZINC001006461458 662081785 /nfs/dbraw/zinc/08/17/85/662081785.db2.gz RZAHEZPOCHWVQC-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C(C)C)s2)CC1 ZINC001006461547 662082354 /nfs/dbraw/zinc/08/23/54/662082354.db2.gz VGQYKXRSARAFTC-UHFFFAOYSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCCN1CCN(C(=O)C(C)(C)c2cccc(Cl)c2)CC1 ZINC000950908979 662095544 /nfs/dbraw/zinc/09/55/44/662095544.db2.gz XBQJRRCFPVFYAU-UHFFFAOYSA-N 0 1 320.864 3.338 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC000952371322 662185527 /nfs/dbraw/zinc/18/55/27/662185527.db2.gz PYPWBBYADUINAO-UHFFFAOYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCn1c(C)nn(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)c1=S ZINC000248423823 662344826 /nfs/dbraw/zinc/34/48/26/662344826.db2.gz NFLAXGNRASSMHW-AEGPPILISA-N 0 1 306.479 3.377 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc(-c3ccoc3)c2)CC1 ZINC000957103266 662350380 /nfs/dbraw/zinc/35/03/80/662350380.db2.gz ZDCKTRNLDNVBRM-UHFFFAOYSA-N 0 1 310.397 3.281 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccn(C(C)C)c2C)C(C)(C)C1 ZINC000974953430 685339333 /nfs/dbraw/zinc/33/93/33/685339333.db2.gz KZBMULRSEZUIJL-MRXNPFEDSA-N 0 1 303.450 3.004 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001046386096 685399968 /nfs/dbraw/zinc/39/99/68/685399968.db2.gz WLANSTIBGUGYGO-QWFCFKBJSA-N 0 1 316.489 3.197 20 30 DGEDMN C#C[C@H](NCc1cc(Br)cc2c1OCC2)C(C)C ZINC000380570643 685152890 /nfs/dbraw/zinc/15/28/90/685152890.db2.gz BTSMFTPWNWUODM-AWEZNQCLSA-N 0 1 308.219 3.131 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C=C2CCC2)CN1Cc1cccc(C#N)c1 ZINC001071420580 686657890 /nfs/dbraw/zinc/65/78/90/686657890.db2.gz PPWCPYFYHWIXLW-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)CC[C@H]1C ZINC001071509132 686671866 /nfs/dbraw/zinc/67/18/66/686671866.db2.gz YLUWWOVJSMVXDB-HIFRSBDPSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3scnc32)CC[C@@H]1C ZINC001071509017 686671878 /nfs/dbraw/zinc/67/18/78/686671878.db2.gz WKUOIPZHDSDBCE-QWHCGFSZSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(Cl)c2C)CC[C@H]1C ZINC001071524600 686677734 /nfs/dbraw/zinc/67/77/34/686677734.db2.gz GXYWUZYHEANWOU-HIFRSBDPSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)oc3ccccc32)CC[C@@H]1C ZINC001071531701 686681279 /nfs/dbraw/zinc/68/12/79/686681279.db2.gz PAZLLZJKEGIHQY-HOCLYGCPSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3nccc(C)c3c2)CC[C@@H]1C ZINC001071531137 686681776 /nfs/dbraw/zinc/68/17/76/686681776.db2.gz GWCOWCGKHGOWEQ-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(OCCC)c2)CC[C@@H]1C ZINC001071543491 686684736 /nfs/dbraw/zinc/68/47/36/686684736.db2.gz LUIDQMXWYACURP-DOTOQJQBSA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C(C)C)CC[C@@H]1C ZINC001071548323 686687181 /nfs/dbraw/zinc/68/71/81/686687181.db2.gz DQCHPIYRAAPTEI-DLBZAZTESA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)CC[C@@H]1C ZINC001071674487 686721322 /nfs/dbraw/zinc/72/13/22/686721322.db2.gz VAGNHQVADTZOMM-KBPBESRZSA-N 0 1 314.385 3.308 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@H]1C ZINC001071711611 686729673 /nfs/dbraw/zinc/72/96/73/686729673.db2.gz AWKBUDSHNXLMKM-GDBMZVCRSA-N 0 1 311.429 3.245 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2csc3ccccc23)CC[C@H]1C ZINC001071714898 686730413 /nfs/dbraw/zinc/73/04/13/686730413.db2.gz BIGPBHZWOMOJQB-ZIAGYGMSSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)nc2C2CC2)CC[C@H]1C ZINC001071715934 686731802 /nfs/dbraw/zinc/73/18/02/686731802.db2.gz MICKTNHXPOEILU-ZBFHGGJFSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)CC[C@H]1C ZINC001071715775 686731867 /nfs/dbraw/zinc/73/18/67/686731867.db2.gz KEYCEXKTPMUDJE-CVEARBPZSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)CC[C@@H]1C ZINC001071718869 686732731 /nfs/dbraw/zinc/73/27/31/686732731.db2.gz RGTUMSZKFXQVPT-VHSXEESVSA-N 0 1 316.232 3.090 20 30 DGEDMN C#CCN1C[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)CC[C@@H]1C ZINC001071716632 686733572 /nfs/dbraw/zinc/73/35/72/686733572.db2.gz YKSAIJKUABSHNQ-OXJNMPFZSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)Cc2cc3ccccc3o2)CC[C@@H]1C ZINC001071724213 686734570 /nfs/dbraw/zinc/73/45/70/686734570.db2.gz CXGCJQSGJGOFFN-HOCLYGCPSA-N 0 1 312.413 3.130 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@@H]1C ZINC001071732161 686736320 /nfs/dbraw/zinc/73/63/20/686736320.db2.gz PEBZFEVRDUOZNP-RDJZCZTQSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@H]1C ZINC001071773203 686747355 /nfs/dbraw/zinc/74/73/55/686747355.db2.gz YBSLLIVTGWCAFM-CRAIPNDOSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nccc3ccccc32)CC[C@@H]1C ZINC001071776077 686748447 /nfs/dbraw/zinc/74/84/47/686748447.db2.gz MAVCYQONUMBSOB-HOCLYGCPSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncsc2C2CC2)CC[C@H]1C ZINC001071822506 686760804 /nfs/dbraw/zinc/76/08/04/686760804.db2.gz XXQBRBWUZSGCRV-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)CC[C@H]1C ZINC001071876133 686778423 /nfs/dbraw/zinc/77/84/23/686778423.db2.gz JEVUGNBUOJRDHY-OCCSQVGLSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)CC[C@H]1C ZINC001071892990 686784857 /nfs/dbraw/zinc/78/48/57/686784857.db2.gz JWIVEXBDMSWDNM-OLZOCXBDSA-N 0 1 321.490 3.209 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C)c2Cl)CC[C@H]1C ZINC001071904917 686788322 /nfs/dbraw/zinc/78/83/22/686788322.db2.gz QZXDQIZHSWCRAY-HUUCEWRRSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)cc(OC)c2)CC[C@H]1C ZINC001071917184 686790687 /nfs/dbraw/zinc/79/06/87/686790687.db2.gz YFUVQGYQIMAMQS-IUODEOHRSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3scnc3c2)CC[C@H]1C ZINC001071961654 686798109 /nfs/dbraw/zinc/79/81/09/686798109.db2.gz UDTQZJNGAARGQC-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)cccc2F)CC[C@H]1C ZINC001072023131 686828656 /nfs/dbraw/zinc/82/86/56/686828656.db2.gz MFVCEGNLXWTJSQ-CHWSQXEVSA-N 0 1 308.372 3.124 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccccc2C2CCC2)CC[C@@H]1C ZINC001072032443 686832549 /nfs/dbraw/zinc/83/25/49/686832549.db2.gz DTEKCHVOEMMKHQ-DOTOQJQBSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3ccccc3C)CCC2)CC[C@@H]1C ZINC001072030994 686833268 /nfs/dbraw/zinc/83/32/68/686833268.db2.gz ZKLOPKMWZOJTDH-ZWKOTPCHSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)cc2Cl)CC[C@@H]1C ZINC001072043741 686841033 /nfs/dbraw/zinc/84/10/33/686841033.db2.gz DIZRAXXFWLANTN-WCQYABFASA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2OC)CC[C@@H]1C ZINC001072046221 686842292 /nfs/dbraw/zinc/84/22/92/686842292.db2.gz WHLXUMRFCXRXEC-JSGCOSHPSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2oc3ccccc3c2C)CC[C@@H]1C ZINC001072047702 686842694 /nfs/dbraw/zinc/84/26/94/686842694.db2.gz GADDMJUXECAWOP-HOCLYGCPSA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(C3CC3)n2)CC[C@@H]1C ZINC001072112556 686872845 /nfs/dbraw/zinc/87/28/45/686872845.db2.gz BQTRDQLVRIIRHW-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)c3ccccc3C)[C@@H]2C1 ZINC001076323429 687507544 /nfs/dbraw/zinc/50/75/44/687507544.db2.gz SEKJEJOVVUOQQE-WSTZPKSXSA-N 0 1 324.468 3.045 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3c(CC)oc4ccccc43)[C@@H]2C1 ZINC001076612977 687542770 /nfs/dbraw/zinc/54/27/70/687542770.db2.gz UJDBIPIKTLTHFF-GOEBONIOSA-N 0 1 324.424 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCC)C[C@H]1c1cccc(F)c1 ZINC001079168659 687796618 /nfs/dbraw/zinc/79/66/18/687796618.db2.gz GZKPNTFYUYKVOK-JKSUJKDBSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001080307925 687950361 /nfs/dbraw/zinc/95/03/61/687950361.db2.gz QOSIWTBXKPFKRY-DGCLKSJQSA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001080839127 688017909 /nfs/dbraw/zinc/01/79/09/688017909.db2.gz XZZXEUFHIKXNKC-QMTHXVAHSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C)C)C[C@H]1c1ccccc1 ZINC001081080628 688049069 /nfs/dbraw/zinc/04/90/69/688049069.db2.gz WNQKYCOFMJAPDN-DLBZAZTESA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H]2CCC(F)(F)C2)C[C@H]1C ZINC001082550542 688119351 /nfs/dbraw/zinc/11/93/51/688119351.db2.gz NHDDBJFGUPIEJW-RAIGVLPGSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCC(F)(F)C2)C[C@H]1C ZINC001082734843 688125769 /nfs/dbraw/zinc/12/57/69/688125769.db2.gz AOIIVZRPNMBEJQ-KGYLQXTDSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)Cc2ccccc2)C[C@H]1C ZINC001082935157 688131710 /nfs/dbraw/zinc/13/17/10/688131710.db2.gz MUTFHDJPDWRCCV-JJRVBVJISA-N 0 1 320.864 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCCC2(C)C)C[C@H]1C ZINC001082951471 688133441 /nfs/dbraw/zinc/13/34/41/688133441.db2.gz ASSHRTZDRJMGFF-BPLDGKMQSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1ccc(F)cc1Cl ZINC001660116086 1196447052 /nfs/dbraw/zinc/44/70/52/1196447052.db2.gz HVXFGUJXRQTCBP-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(C)CCCC2)C1 ZINC001661220295 1196584014 /nfs/dbraw/zinc/58/40/14/1196584014.db2.gz CTFUUPZBGCRMEB-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)CCCC ZINC001661258227 1196589465 /nfs/dbraw/zinc/58/94/65/1196589465.db2.gz ZRQZETPVNHFDPJ-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(O)c1C ZINC001586346126 1192323724 /nfs/dbraw/zinc/32/37/24/1192323724.db2.gz LIBBEIJFFKTWTC-UHFFFAOYSA-N 0 1 306.325 3.009 20 30 DGEDMN CCCOc1cccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001587347567 1192394603 /nfs/dbraw/zinc/39/46/03/1192394603.db2.gz QXFNGOODKJCYST-UHFFFAOYSA-N 0 1 320.352 3.476 20 30 DGEDMN N#CCc1ccnc(NC(=O)c2ccc(NC3=NCCC3)cc2)c1 ZINC001588042333 1192431149 /nfs/dbraw/zinc/43/11/49/1192431149.db2.gz GAUGFSPRZVLLQE-UHFFFAOYSA-N 0 1 319.368 3.004 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)c2n[nH]c(CC)n2)cc1Cl ZINC001620887431 1192545874 /nfs/dbraw/zinc/54/58/74/1192545874.db2.gz CICHPTQZCQCJER-NSHDSACASA-N 0 1 320.824 3.436 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC[C@H](C)CC2CCCCC2)o1 ZINC001592338817 1192607487 /nfs/dbraw/zinc/60/74/87/1192607487.db2.gz PCHMFABFDWPHMV-SNVBAGLBSA-N 0 1 317.397 3.167 20 30 DGEDMN CCCN1CCCC[C@@H]1C(=O)Nc1cc(C#N)c(F)cc1C ZINC001594629845 1192646568 /nfs/dbraw/zinc/64/65/68/1192646568.db2.gz LZAGVCDTPFDOGK-MRXNPFEDSA-N 0 1 303.381 3.209 20 30 DGEDMN COc1cc(C(=O)Nc2nc3ccc(C)cc3[nH]2)ccc1C#N ZINC001595497942 1192661132 /nfs/dbraw/zinc/66/11/32/1192661132.db2.gz FBNVKZRDOOMJPQ-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)CC(C)(C)C2CCCCC2)C1 ZINC001665677366 1197169908 /nfs/dbraw/zinc/16/99/08/1197169908.db2.gz VDDPPDNMOIFILM-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCC1(C(=O)NCCN(C)Cc2cccc(C#N)c2)CCC1 ZINC001690971214 1176052226 /nfs/dbraw/zinc/05/22/26/1176052226.db2.gz UPNYIMORYJQSPQ-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001690975237 1176059810 /nfs/dbraw/zinc/05/98/10/1176059810.db2.gz WCPXJBBKJQKVFD-SFHVURJKSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001691976933 1176084656 /nfs/dbraw/zinc/08/46/56/1176084656.db2.gz WTIBITIRUQNBEW-HUUCEWRRSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]2CNC/C(Cl)=C\Cl)C1 ZINC001671347478 1176196965 /nfs/dbraw/zinc/19/69/65/1176196965.db2.gz UTBUYAHJGIUASN-YQCJOKCJSA-N 0 1 317.260 3.242 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1coc2cc(C)ccc12 ZINC001670720046 1176232259 /nfs/dbraw/zinc/23/22/59/1176232259.db2.gz YQHNZOZTYWXXJJ-MRXNPFEDSA-N 0 1 312.413 3.050 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@H](C)NCc2nocc2C)C1 ZINC001772541460 1176272849 /nfs/dbraw/zinc/27/28/49/1176272849.db2.gz KMMGKZWVTLRUEE-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1ccc(C2CCC2)cc1 ZINC001691053035 1176278972 /nfs/dbraw/zinc/27/89/72/1176278972.db2.gz IROAJWFJZUABBW-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC001691092819 1176305265 /nfs/dbraw/zinc/30/52/65/1176305265.db2.gz YLNDRLXZWCBKIF-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(CC)CNC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001597396298 1192731529 /nfs/dbraw/zinc/73/15/29/1192731529.db2.gz QDCLXTXRUGDQBC-GOSISDBHSA-N 0 1 300.446 3.432 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001691106112 1176317430 /nfs/dbraw/zinc/31/74/30/1176317430.db2.gz QXONKDBTVITKGN-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Br)nc2)s1 ZINC001620975999 1192734668 /nfs/dbraw/zinc/73/46/68/1192734668.db2.gz LOWHHWPZRVEXBQ-UHFFFAOYSA-N 0 1 308.204 3.067 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001691172559 1176354949 /nfs/dbraw/zinc/35/49/49/1176354949.db2.gz IUEQDMVWOZNODR-OPSIHOIKSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CN(CC=C)C[C@H]1c1ccccc1 ZINC001691197623 1176377058 /nfs/dbraw/zinc/37/70/58/1176377058.db2.gz NXMOEMJPOCNEFU-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN CCCC(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001691777283 1176413338 /nfs/dbraw/zinc/41/33/38/1176413338.db2.gz SNZSEDDAHFTBNZ-IBGZPJMESA-N 0 1 312.457 3.151 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1cccc(F)c1 ZINC001691398702 1176465034 /nfs/dbraw/zinc/46/50/34/1176465034.db2.gz HSLQWFVQVTXZAC-QAPCUYQASA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1ccc(F)cc1 ZINC001691402489 1176469145 /nfs/dbraw/zinc/46/91/45/1176469145.db2.gz LWXMLUFYNLCKCY-SFHVURJKSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1oc(CCC)nc1C ZINC001691424034 1176479193 /nfs/dbraw/zinc/47/91/93/1176479193.db2.gz YAHRODLSPZAHKT-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1[C@@H]2CCCN(CCF)[C@H]2C1(C)C ZINC001691427501 1176511967 /nfs/dbraw/zinc/51/19/67/1176511967.db2.gz ATGWKOGNIMOXAZ-CGTJXYLNSA-N 0 1 322.468 3.145 20 30 DGEDMN N#Cc1ccccc1C(F)(F)C(=O)Nc1ccc2nc[nH]c2c1 ZINC001597796512 1192748701 /nfs/dbraw/zinc/74/87/01/1192748701.db2.gz OGMWOBZGNOQTSA-UHFFFAOYSA-N 0 1 312.279 3.165 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cc(OC)ccc2Cl)CC1 ZINC001670755026 1176547584 /nfs/dbraw/zinc/54/75/84/1176547584.db2.gz IKEUEGGKEYNWLY-UHFFFAOYSA-N 0 1 322.836 3.053 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)C(C)(C)C)c1ccccc1 ZINC001691786443 1176555356 /nfs/dbraw/zinc/55/53/56/1176555356.db2.gz AATCZIMIAYWSNS-DOTOQJQBSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)C(C)(C)CC)C2 ZINC001750939546 1176570809 /nfs/dbraw/zinc/57/08/09/1176570809.db2.gz WEYGPZZHMRMEAN-UHFFFAOYSA-N 0 1 321.490 3.130 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2ccccc2Cl)C1 ZINC001691467582 1176628439 /nfs/dbraw/zinc/62/84/39/1176628439.db2.gz NKQVDLIPCYOYOM-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc([N+](=O)[O-])c2Cl)c1 ZINC001598128741 1192763616 /nfs/dbraw/zinc/76/36/16/1192763616.db2.gz YNVDODZBOKYRIR-UHFFFAOYSA-N 0 1 317.688 3.078 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001691517206 1177051201 /nfs/dbraw/zinc/05/12/01/1177051201.db2.gz GSAPEBNCOOCEKB-HDJSIYSDSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(Cl)cc(Cl)c1 ZINC001691569769 1177316041 /nfs/dbraw/zinc/31/60/41/1177316041.db2.gz BFICRVVNZSQLQM-UHFFFAOYSA-N 0 1 321.635 3.408 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC[C@H](C)c1ccccc1 ZINC001483698527 1177410014 /nfs/dbraw/zinc/41/00/14/1177410014.db2.gz QOAALAPPOTXBOS-WMZOPIPTSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(F)c3occc32)CC1 ZINC001670903870 1177524094 /nfs/dbraw/zinc/52/40/94/1177524094.db2.gz UGWUWVNGGKDELZ-UHFFFAOYSA-N 0 1 322.767 3.176 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001671033443 1177576612 /nfs/dbraw/zinc/57/66/12/1177576612.db2.gz OTMAGPZXJSWZPP-JGZYNSJSSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001691665796 1177617873 /nfs/dbraw/zinc/61/78/73/1177617873.db2.gz LGCODNZNNGDGRE-OALUTQOASA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCCN1CC[C@H]1CN(C)C(=O)c1sc(CC)nc1C ZINC001691665531 1177618043 /nfs/dbraw/zinc/61/80/43/1177618043.db2.gz GONOJBQPSNINQT-AWEZNQCLSA-N 0 1 321.490 3.126 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@H](C)NCc2coc(C)n2)CC1 ZINC001691667603 1177622310 /nfs/dbraw/zinc/62/23/10/1177622310.db2.gz WIVCZEWNARPBIG-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H](CC)c1ccccc1 ZINC001691669921 1177627648 /nfs/dbraw/zinc/62/76/48/1177627648.db2.gz DHJBWHKLUMCFMN-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H](CC)c1ccccc1 ZINC001691669919 1177627785 /nfs/dbraw/zinc/62/77/85/1177627785.db2.gz DHJBWHKLUMCFMN-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2C[C@@H](C)OC)CCCCC1 ZINC001691677295 1177678153 /nfs/dbraw/zinc/67/81/53/1177678153.db2.gz APWOSRYNJLRUTL-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2C[C@@H](C)OC)CCCCC1 ZINC001691677293 1177679052 /nfs/dbraw/zinc/67/90/52/1177679052.db2.gz APWOSRYNJLRUTL-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccc(F)cc1F ZINC001691678653 1177682949 /nfs/dbraw/zinc/68/29/49/1177682949.db2.gz OSHZSXFYLWYGKZ-DZGCQCFKSA-N 0 1 322.399 3.177 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C[C@H]1C)CCN(CCF)CC2 ZINC001691689644 1177688696 /nfs/dbraw/zinc/68/86/96/1177688696.db2.gz JABNENQZHNJQSK-QGZVFWFLSA-N 0 1 322.468 3.243 20 30 DGEDMN Cc1cccc2ccc(NS(=O)(=O)c3cccc(C#N)c3)nc12 ZINC001259118064 1178082423 /nfs/dbraw/zinc/08/24/23/1178082423.db2.gz ZDQZRIPACXDKGE-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCCNCc2cc(C)on2)CC1 ZINC001330911549 1178090092 /nfs/dbraw/zinc/09/00/92/1178090092.db2.gz SBZWUBVXOMSBQF-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN Cc1ccc2c(C)cc(N3CCC(C#N)(C(=O)[O-])CC3)[nH+]c2c1 ZINC001600491049 1192860799 /nfs/dbraw/zinc/86/07/99/1192860799.db2.gz ORJNULWSROAWAS-UHFFFAOYSA-N 0 1 309.369 3.046 20 30 DGEDMN C=CCCC[C@@H](NC(=O)c1ccnc(CN)c1)c1ccccc1 ZINC001331417919 1178208044 /nfs/dbraw/zinc/20/80/44/1178208044.db2.gz AKZZOZMRLOPATG-GOSISDBHSA-N 0 1 309.413 3.368 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)C1CCCC1 ZINC001331468512 1178220958 /nfs/dbraw/zinc/22/09/58/1178220958.db2.gz BZAHSBOURRJIRE-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001331519648 1178233601 /nfs/dbraw/zinc/23/36/01/1178233601.db2.gz PTHHSYMOOBDYEQ-OAHLLOKOSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2cccc(F)c2F)C1 ZINC001331544975 1178241449 /nfs/dbraw/zinc/24/14/49/1178241449.db2.gz CEBRSKKBIMHNOY-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2ncc(C)s2)CCC1 ZINC001331544376 1178241599 /nfs/dbraw/zinc/24/15/99/1178241599.db2.gz AKOZVBWOILYFRQ-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2cccc(Cl)n2)C[C@H]1C ZINC001332025376 1178338789 /nfs/dbraw/zinc/33/87/89/1178338789.db2.gz IMNUCRDQQMCBLT-HIFRSBDPSA-N 0 1 321.852 3.170 20 30 DGEDMN C#CCCCCCC(=O)N[C@]12CCC[C@H]1N(C/C=C/Cl)CC2 ZINC001332101279 1178358336 /nfs/dbraw/zinc/35/83/36/1178358336.db2.gz SSTDVGQKSMOJKL-QGNJLSNNSA-N 0 1 322.880 3.436 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C#CC2CC2)CCCN1C/C=C/c1ccccc1 ZINC001332177819 1178382193 /nfs/dbraw/zinc/38/21/93/1178382193.db2.gz QCEGHNROKXYKMG-GQCJOBDNSA-N 0 1 322.452 3.082 20 30 DGEDMN C=C(C)CC[N@H+](C)CCN(C(=O)c1ccccc1O)C(C)C ZINC001332687773 1178472044 /nfs/dbraw/zinc/47/20/44/1178472044.db2.gz DHHUFOVFSGLDKZ-UHFFFAOYSA-N 0 1 304.434 3.141 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)C(C)C ZINC001332732761 1178484809 /nfs/dbraw/zinc/48/48/09/1178484809.db2.gz RVKNJJIMMMIFLM-PIIMJCKOSA-N 0 1 304.478 3.005 20 30 DGEDMN C#C[C@@H](NCc1cc2ccnc(Cl)c2s1)[C@H]1CCCO1 ZINC001332737779 1178488842 /nfs/dbraw/zinc/48/88/42/1178488842.db2.gz WWSWEWJJNVLTIJ-CHWSQXEVSA-N 0 1 306.818 3.220 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001332841745 1178506852 /nfs/dbraw/zinc/50/68/52/1178506852.db2.gz IPXBFCBOLCWSPU-AWEZNQCLSA-N 0 1 323.506 3.428 20 30 DGEDMN C[C@H]1CC(NN=Cc2c(Cl)cccc2N(C)C)C[C@H](C)O1 ZINC001334665143 1178779009 /nfs/dbraw/zinc/77/90/09/1178779009.db2.gz DDKCDEQWKCUGAC-RYUDHWBXSA-N 0 1 309.841 3.286 20 30 DGEDMN C#CC[C@H](CCOC)NCc1ncc(-c2ccccc2)s1 ZINC001334905799 1178826045 /nfs/dbraw/zinc/82/60/45/1178826045.db2.gz DUOJVNGHYBVUKU-OAHLLOKOSA-N 0 1 300.427 3.328 20 30 DGEDMN N#CC1(CCCC[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@H]32)CCC1 ZINC001601054818 1192914398 /nfs/dbraw/zinc/91/43/98/1192914398.db2.gz SUXBECQBKMBPRM-HRCADAONSA-N 0 1 304.434 3.426 20 30 DGEDMN N#CC1(CC[N@@H+]2CC[C@](C(=O)[O-])(C(F)(F)F)C2)CCCCC1 ZINC001601054557 1192914568 /nfs/dbraw/zinc/91/45/68/1192914568.db2.gz OBGXNVIFRNMGGM-AWEZNQCLSA-N 0 1 318.339 3.190 20 30 DGEDMN N#CCc1cccc2c1CC[N@@H+](Cc1cc(C(=O)[O-])ccc1F)C2 ZINC001601077964 1192916596 /nfs/dbraw/zinc/91/65/96/1192916596.db2.gz LLGBSTJIPMBXAS-UHFFFAOYSA-N 0 1 324.355 3.148 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1cc(C(=O)[O-])ccc1F)C2 ZINC001601077964 1192916598 /nfs/dbraw/zinc/91/65/98/1192916598.db2.gz LLGBSTJIPMBXAS-UHFFFAOYSA-N 0 1 324.355 3.148 20 30 DGEDMN N#CCc1cccc2c1CC[N@@H+](Cc1ccc(C(=O)[O-])cc1F)C2 ZINC001601077831 1192916764 /nfs/dbraw/zinc/91/67/64/1192916764.db2.gz LAVWGKUIUFBDBT-UHFFFAOYSA-N 0 1 324.355 3.148 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(C(=O)[O-])cc1F)C2 ZINC001601077831 1192916769 /nfs/dbraw/zinc/91/67/69/1192916769.db2.gz LAVWGKUIUFBDBT-UHFFFAOYSA-N 0 1 324.355 3.148 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]2CCC[C@H]21 ZINC001601086934 1192917471 /nfs/dbraw/zinc/91/74/71/1192917471.db2.gz NONDTDBDQCBRAL-OWCLPIDISA-N 0 1 318.804 3.287 20 30 DGEDMN C[C@H](CC#N)NC[C@@H](O)COC(c1ccccc1)c1ccccc1 ZINC001335899763 1178968685 /nfs/dbraw/zinc/96/86/85/1178968685.db2.gz YUINQWJLPJMWDF-VQIMIIECSA-N 0 1 324.424 3.045 20 30 DGEDMN C=CC[C@H](C(=O)OCc1ccc2[nH]nnc2c1)c1ccccc1 ZINC001341804461 1179064160 /nfs/dbraw/zinc/06/41/60/1179064160.db2.gz KLTVLHGNRONZSX-HNNXBMFYSA-N 0 1 307.353 3.361 20 30 DGEDMN C=CC[C@H](C(=O)OCc1ccc2nn[nH]c2c1)c1ccccc1 ZINC001341804461 1179064169 /nfs/dbraw/zinc/06/41/69/1179064169.db2.gz KLTVLHGNRONZSX-HNNXBMFYSA-N 0 1 307.353 3.361 20 30 DGEDMN Cc1c(C(=O)[C@H](C#N)c2nc3ccccc3[nH]2)ccc2cncn21 ZINC001342026517 1179094167 /nfs/dbraw/zinc/09/41/67/1179094167.db2.gz CJHCMJGBXQOIDO-AWEZNQCLSA-N 0 1 315.336 3.009 20 30 DGEDMN CC[C@H](F)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC001342049381 1179097189 /nfs/dbraw/zinc/09/71/89/1179097189.db2.gz PGJOJPSRILXQCY-WPRPVWTQSA-N 0 1 317.147 3.389 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@H](C(C)C)C1 ZINC001342071785 1179100196 /nfs/dbraw/zinc/10/01/96/1179100196.db2.gz NWVRJYGZYXMTSU-CQSZACIVSA-N 0 1 314.437 3.035 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccnn3C3CCC3)[nH]c21 ZINC001343274452 1179250857 /nfs/dbraw/zinc/25/08/57/1179250857.db2.gz NNNHFHDLGNOKMV-CYBMUJFWSA-N 0 1 319.368 3.283 20 30 DGEDMN N#CC(C(=O)[C@]12C[C@H]1COC21CCC1)c1ccc2ccccc2n1 ZINC001343289710 1179252357 /nfs/dbraw/zinc/25/23/57/1179252357.db2.gz UPLQNHURYSBRSO-AVYPCKFXSA-N 0 1 318.376 3.370 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1ccn(-c2ccncc2)n1 ZINC001343772839 1179330999 /nfs/dbraw/zinc/33/09/99/1179330999.db2.gz YKZZPABETPIQNZ-UHFFFAOYSA-N 0 1 318.380 3.096 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)[C@]1(c2ccc(F)cc2)CC1(C)C ZINC001343841626 1179337208 /nfs/dbraw/zinc/33/72/08/1179337208.db2.gz GWXCGXBAGNBNIP-RDTXWAMCSA-N 0 1 311.360 3.103 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)c1oc(Br)cc1C ZINC001344322439 1179412149 /nfs/dbraw/zinc/41/21/49/1179412149.db2.gz OTFOCOAMOXLWOY-SECBINFHSA-N 0 1 322.162 3.057 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1oc(Br)cc1C ZINC001344322439 1179412151 /nfs/dbraw/zinc/41/21/51/1179412151.db2.gz OTFOCOAMOXLWOY-SECBINFHSA-N 0 1 322.162 3.057 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)c1oc(Br)cc1C ZINC001344322440 1179412481 /nfs/dbraw/zinc/41/24/81/1179412481.db2.gz OTFOCOAMOXLWOY-VIFPVBQESA-N 0 1 322.162 3.057 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1oc(Br)cc1C ZINC001344322440 1179412486 /nfs/dbraw/zinc/41/24/86/1179412486.db2.gz OTFOCOAMOXLWOY-VIFPVBQESA-N 0 1 322.162 3.057 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cnc(C(C)(C)C)s1 ZINC001344322230 1179412959 /nfs/dbraw/zinc/41/29/59/1179412959.db2.gz KECPMPVERICVCY-JTQLQIEISA-N 0 1 302.403 3.147 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(C)oc2ccccc21 ZINC001344322664 1179413077 /nfs/dbraw/zinc/41/30/77/1179413077.db2.gz VEZVQIMBJYKLKZ-OAHLLOKOSA-N 0 1 307.353 3.377 20 30 DGEDMN N#CC(C(=O)CSCc1ccc(F)cc1)c1cccc(F)n1 ZINC001344595241 1179455319 /nfs/dbraw/zinc/45/53/19/1179455319.db2.gz IWAPDSWWOQKEEZ-CYBMUJFWSA-N 0 1 318.348 3.469 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCOC2(CCC2)C1)c1cnc2ccccc2n1 ZINC001344850170 1179486570 /nfs/dbraw/zinc/48/65/70/1179486570.db2.gz KBCNDXNQJIMWMB-KBPBESRZSA-N 0 1 321.380 3.155 20 30 DGEDMN C#C[C@@H](NC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1)c1ccccc1 ZINC001345742418 1179601570 /nfs/dbraw/zinc/60/15/70/1179601570.db2.gz PZVVKBWLGDLENS-MRXNPFEDSA-N 0 1 324.428 3.223 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccn3c2nc(C)cc3C)n1 ZINC001345945351 1179628050 /nfs/dbraw/zinc/62/80/50/1179628050.db2.gz ZAYAQBBKYULJOZ-CYBMUJFWSA-N 0 1 310.382 3.206 20 30 DGEDMN CCC(CC)n1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)n1 ZINC001346095437 1179651896 /nfs/dbraw/zinc/65/18/96/1179651896.db2.gz DSVSAGULXOUTKZ-LLVKDONJSA-N 0 1 319.796 3.121 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC001346096822 1179653379 /nfs/dbraw/zinc/65/33/79/1179653379.db2.gz VAVOYAVVSPXCFP-KXBFYZLASA-N 0 1 307.397 3.447 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1sccc1Br ZINC001346096639 1179653393 /nfs/dbraw/zinc/65/33/93/1179653393.db2.gz RNPBDBHHKBSHIF-MRVPVSSYSA-N 0 1 324.203 3.217 20 30 DGEDMN N#CC1(CNC[C@@H](O)Cc2ccc3ccccc3c2)CCCC1 ZINC001346327503 1179685213 /nfs/dbraw/zinc/68/52/13/1179685213.db2.gz YIVAUBQLRVPOSA-IBGZPJMESA-N 0 1 308.425 3.417 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)c1ccc(SC(F)(F)F)o1 ZINC001346537840 1179717881 /nfs/dbraw/zinc/71/78/81/1179717881.db2.gz QMJHPNLPXSJZBZ-ZETCQYMHSA-N 0 1 315.276 3.115 20 30 DGEDMN Cn1ccnc1[C@@H](C#N)C(=O)c1ccc(SC(F)(F)F)o1 ZINC001346537840 1179717888 /nfs/dbraw/zinc/71/78/88/1179717888.db2.gz QMJHPNLPXSJZBZ-ZETCQYMHSA-N 0 1 315.276 3.115 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3C[C@H]3c3ccncc3)[nH]c21 ZINC001347051916 1179772686 /nfs/dbraw/zinc/77/26/86/1179772686.db2.gz NNPAURPIVQBTIG-ZNMIVQPWSA-N 0 1 316.364 3.246 20 30 DGEDMN CCc1ccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)cc1 ZINC001347063332 1179773208 /nfs/dbraw/zinc/77/32/08/1179773208.db2.gz IZCHFMKPYXQVRN-HNNXBMFYSA-N 0 1 316.364 3.264 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CNCCC(=O)Nc2cccc(C#N)c2)o1 ZINC001348950434 1179855112 /nfs/dbraw/zinc/85/51/12/1179855112.db2.gz CNUBPMRRQZCRAG-DYVFJYSZSA-N 0 1 323.396 3.393 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CCCc2ccccc21 ZINC001349702404 1179935164 /nfs/dbraw/zinc/93/51/64/1179935164.db2.gz OWODSUKKWBPYLM-KGLIPLIRSA-N 0 1 313.788 3.370 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CCCc2ccccc21 ZINC001349702405 1179935752 /nfs/dbraw/zinc/93/57/52/1179935752.db2.gz OWODSUKKWBPYLM-UONOGXRCSA-N 0 1 313.788 3.370 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccc(OC)cc2)CCC1 ZINC001349701246 1179935969 /nfs/dbraw/zinc/93/59/69/1179935969.db2.gz BAPZCLUFOAXQKJ-INIZCTEOSA-N 0 1 323.396 3.210 20 30 DGEDMN C=CCCC(=O)N(CCC)C1CCN(Cc2ccccn2)CC1 ZINC001479992184 1179950096 /nfs/dbraw/zinc/95/00/96/1179950096.db2.gz WVLOBDQVQWTHKO-UHFFFAOYSA-N 0 1 315.461 3.251 20 30 DGEDMN C#C[C@@H]([NH2+][C@H](C(=O)[O-])c1ccccc1C(F)(F)F)C(C)(C)C ZINC001602677848 1192994655 /nfs/dbraw/zinc/99/46/55/1192994655.db2.gz DABFHLQTHDSUSO-OLZOCXBDSA-N 0 1 313.319 3.469 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](CC)CC(C)C)CC1 ZINC001479996044 1179977831 /nfs/dbraw/zinc/97/78/31/1179977831.db2.gz UGCLVBVGJDMPTO-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1[NH2+]Cc1cccc(F)c1-c1nnn[n-]1 ZINC001602825613 1192998504 /nfs/dbraw/zinc/99/85/04/1192998504.db2.gz ZNGUMHJWJQMINW-DOMZBBRYSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1[NH2+]Cc1cccc(F)c1-c1nn[n-]n1 ZINC001602825613 1192998508 /nfs/dbraw/zinc/99/85/08/1192998508.db2.gz ZNGUMHJWJQMINW-DOMZBBRYSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC001602836570 1192999408 /nfs/dbraw/zinc/99/94/08/1192999408.db2.gz YDGVJSVKIBCVQW-HNNXBMFYSA-N 0 1 304.777 3.140 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC001602836570 1192999410 /nfs/dbraw/zinc/99/94/10/1192999410.db2.gz YDGVJSVKIBCVQW-HNNXBMFYSA-N 0 1 304.777 3.140 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC001603230043 1193011588 /nfs/dbraw/zinc/01/15/88/1193011588.db2.gz NAQKEARSWVABQB-INIZCTEOSA-N 0 1 316.401 3.227 20 30 DGEDMN CC(CC(C)(C)C)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC001603450946 1193018142 /nfs/dbraw/zinc/01/81/42/1193018142.db2.gz GRVLPDVXHVWVQS-UHFFFAOYSA-N 0 1 319.413 3.376 20 30 DGEDMN CC(C)CCC(C)N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001603467255 1193019031 /nfs/dbraw/zinc/01/90/31/1193019031.db2.gz UDKMKAVERZGJAY-UHFFFAOYSA-N 0 1 305.386 3.202 20 30 DGEDMN CCC[C@@H](CC)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001480119219 1180296826 /nfs/dbraw/zinc/29/68/26/1180296826.db2.gz OSHIJGJTWIVNND-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C#C[C@@H](NCc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(C)C ZINC001621319155 1193023506 /nfs/dbraw/zinc/02/35/06/1193023506.db2.gz YBKJYXRPYWKKMC-GFCCVEGCSA-N 0 1 300.280 3.361 20 30 DGEDMN N#Cc1cc(NC(=O)[C@@H]2Cc3ccccc3CN2)ccc1C1CC1 ZINC001353145761 1180474298 /nfs/dbraw/zinc/47/42/98/1180474298.db2.gz CBUKLPYRCOQBHV-IBGZPJMESA-N 0 1 317.392 3.089 20 30 DGEDMN N#CC1(CNC[C@@H](O)c2ccccc2C(F)(F)F)CCCC1 ZINC001353352132 1180535787 /nfs/dbraw/zinc/53/57/87/1180535787.db2.gz CGVYMQXSMBGIBE-CQSZACIVSA-N 0 1 312.335 3.412 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100560739 1180790260 /nfs/dbraw/zinc/79/02/60/1180790260.db2.gz NSABCGYAVUUGHV-KPKJPENVSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100597086 1180835352 /nfs/dbraw/zinc/83/53/52/1180835352.db2.gz PGDJBQFEBCLFRN-WLYUNCDWSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100596127 1180835479 /nfs/dbraw/zinc/83/54/79/1180835479.db2.gz LPXUWMVVPIRJPQ-MNORPUOESA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CC)C1CC(C)(C)C1 ZINC001355921289 1181113580 /nfs/dbraw/zinc/11/35/80/1181113580.db2.gz PJSHAFDORNCPCM-UHFFFAOYSA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1cc(F)cc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)c1 ZINC001356029119 1181127783 /nfs/dbraw/zinc/12/77/83/1181127783.db2.gz HUDHHDOGASFQAM-CQSZACIVSA-N 0 1 320.327 3.150 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@H]1CCCC1(F)F ZINC001356220988 1181160021 /nfs/dbraw/zinc/16/00/21/1181160021.db2.gz NDUHJNISWIFNPF-CHWSQXEVSA-N 0 1 314.376 3.217 20 30 DGEDMN COc1cc(C(=O)C(C#N)c2nc(C(C)C)cs2)ccn1 ZINC001357568737 1181409559 /nfs/dbraw/zinc/40/95/59/1181409559.db2.gz SEUQKDABGWJWEN-LLVKDONJSA-N 0 1 301.371 3.160 20 30 DGEDMN N#C[C@H]1CC[C@@H](OC(=O)c2cccc3nc(C(F)F)[nH]c32)C1 ZINC001358087016 1181454494 /nfs/dbraw/zinc/45/44/94/1181454494.db2.gz FHEXYRMOMGBZJY-DTWKUNHWSA-N 0 1 305.284 3.350 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(Br)nc2)cc1 ZINC001474153079 1181582602 /nfs/dbraw/zinc/58/26/02/1181582602.db2.gz TVESASKQGONGGU-UHFFFAOYSA-N 0 1 301.187 3.115 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC001474595183 1181593790 /nfs/dbraw/zinc/59/37/90/1181593790.db2.gz HEMYJRVPOJMVAS-INIZCTEOSA-N 0 1 320.440 3.380 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(F)c1Cl)C(C)C ZINC001571615007 1181720812 /nfs/dbraw/zinc/72/08/12/1181720812.db2.gz BYPMAMBUFZLXTE-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)C)[C@H](C)c1ccccc1Cl ZINC001492950685 1181747729 /nfs/dbraw/zinc/74/77/29/1181747729.db2.gz ALOKZEIFHFLETJ-OAHLLOKOSA-N 0 1 320.864 3.499 20 30 DGEDMN C=CCCCC(=O)Nc1ccc(N2CCN(CC)CC2)c(F)c1 ZINC001438745907 1181979668 /nfs/dbraw/zinc/97/96/68/1181979668.db2.gz ITGRSMLGVQOQHK-UHFFFAOYSA-N 0 1 319.424 3.262 20 30 DGEDMN Cc1cccc2c1[nH]cc(C(=O)NCC1(C#N)CCCCC1)c2=O ZINC001457932625 1182487321 /nfs/dbraw/zinc/48/73/21/1182487321.db2.gz GNIDXSYIOLLXCW-UHFFFAOYSA-N 0 1 323.396 3.040 20 30 DGEDMN O[C@@H]1CCN(CC#Cc2ccccc2Cl)[C@H]2CCCC[C@@H]12 ZINC001460664952 1182706885 /nfs/dbraw/zinc/70/68/85/1182706885.db2.gz GBCMDZOHTUUVOI-BPQIPLTHSA-N 0 1 303.833 3.317 20 30 DGEDMN CN(CCCCCCNC(=O)OC(C)(C)C)CC1(C#N)CC1 ZINC001460740600 1182715437 /nfs/dbraw/zinc/71/54/37/1182715437.db2.gz DXIIHGSXOFEPQY-UHFFFAOYSA-N 0 1 309.454 3.307 20 30 DGEDMN Cc1cccc(C)c1CN(C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC001460849265 1182730478 /nfs/dbraw/zinc/73/04/78/1182730478.db2.gz KDEHHGFBHFPJEI-QFBILLFUSA-N 0 1 315.461 3.178 20 30 DGEDMN CCC#C[C@@H](C)N1CCC(CC(F)F)(C(=O)OCC)CC1 ZINC001460935231 1182742136 /nfs/dbraw/zinc/74/21/36/1182742136.db2.gz JZLQXSUCGFKEBG-CYBMUJFWSA-N 0 1 301.377 3.089 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@H]1[C@H]1CCCO1 ZINC001460956923 1182746095 /nfs/dbraw/zinc/74/60/95/1182746095.db2.gz YXVICTKDLWQGTR-FUHWJXTLSA-N 0 1 300.402 3.100 20 30 DGEDMN N#CC1(CNCc2ccccc2-n2nnc3ccccc32)CCC1 ZINC001461812506 1182858961 /nfs/dbraw/zinc/85/89/61/1182858961.db2.gz REJWSUKMKUNAAY-UHFFFAOYSA-N 0 1 317.396 3.204 20 30 DGEDMN CCSc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cn1 ZINC001461918966 1182875925 /nfs/dbraw/zinc/87/59/25/1182875925.db2.gz RZESHNXAAWTOTG-UHFFFAOYSA-N 0 1 323.381 3.194 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3ccccc3Cl)[nH]c2c1 ZINC001461916417 1182876606 /nfs/dbraw/zinc/87/66/06/1182876606.db2.gz DOXWFHBFWRBKDO-UHFFFAOYSA-N 0 1 310.744 3.269 20 30 DGEDMN COc1ccc(C=CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001461924385 1182879066 /nfs/dbraw/zinc/87/90/66/1182879066.db2.gz ZPJRKSASYIZHAP-WEVVVXLNSA-N 0 1 318.336 3.095 20 30 DGEDMN C=CCN(CCOC)Cc1cc(Br)c(C)s1 ZINC001462187511 1182920567 /nfs/dbraw/zinc/92/05/67/1182920567.db2.gz DVNWCINOVLQRCE-UHFFFAOYSA-N 0 1 304.253 3.453 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(C(=O)OC)c(C)c1 ZINC001462213575 1182925440 /nfs/dbraw/zinc/92/54/40/1182925440.db2.gz BULGTJOOOROFKB-UHFFFAOYSA-N 0 1 307.393 3.417 20 30 DGEDMN COc1ccc(Cl)cc1[C@H](O)CNCC#Cc1ccccc1 ZINC001462241333 1182927747 /nfs/dbraw/zinc/92/77/47/1182927747.db2.gz WGRRHWPDNLIIBE-QGZVFWFLSA-N 0 1 315.800 3.023 20 30 DGEDMN C=CCC[C@H](C)[NH2+][C@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC001462390987 1182945597 /nfs/dbraw/zinc/94/55/97/1182945597.db2.gz JBZVWWPVMRAUBV-QWHCGFSZSA-N 0 1 308.426 3.013 20 30 DGEDMN C=CCCSCCNCc1cc(Br)cnc1F ZINC001462415549 1182949140 /nfs/dbraw/zinc/94/91/40/1182949140.db2.gz GLBLYLBOUKSLNM-UHFFFAOYSA-N 0 1 319.243 3.382 20 30 DGEDMN C=CCC1(NS(=O)(=O)c2cc(O)cc(F)c2)CCCCC1 ZINC001463704400 1183041680 /nfs/dbraw/zinc/04/16/80/1183041680.db2.gz OCKNWZDZXVNPFW-UHFFFAOYSA-N 0 1 313.394 3.089 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(C)CC12CCCCC2)c1ccccc1 ZINC001465435774 1183149822 /nfs/dbraw/zinc/14/98/22/1183149822.db2.gz RMPCJDUTQXQCIL-SFHVURJKSA-N 0 1 312.457 3.433 20 30 DGEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2ccc(F)c(F)c2O)c1 ZINC001468790043 1183359633 /nfs/dbraw/zinc/35/96/33/1183359633.db2.gz UNNLGPAHXMLGEA-NWDGAFQWSA-N 0 1 314.291 3.284 20 30 DGEDMN COc1cccc(SC)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC001469115638 1183383553 /nfs/dbraw/zinc/38/35/53/1183383553.db2.gz RJWWYAHFURSODA-UHFFFAOYSA-N 0 1 314.366 3.247 20 30 DGEDMN CCCCCCCNC(=O)c1ncc(Br)cc1O ZINC001470386153 1183483329 /nfs/dbraw/zinc/48/33/29/1183483329.db2.gz ZGQGFZRWMVSAKZ-UHFFFAOYSA-N 0 1 315.211 3.250 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)N1CCN(C(C)(C)C)CC1 ZINC001470785768 1183513684 /nfs/dbraw/zinc/51/36/84/1183513684.db2.gz DCNIUCMNPYTQIY-HOTGVXAUSA-N 0 1 307.482 3.247 20 30 DGEDMN Cc1ccc(CC(=O)NOc2cccc(C#N)c2)cc1Cl ZINC001471089564 1183537810 /nfs/dbraw/zinc/53/78/10/1183537810.db2.gz VVZBFAKRQXKEPR-UHFFFAOYSA-N 0 1 300.745 3.173 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCCc2cc(-c3ccncc3)[nH]n2)c1 ZINC001472775936 1183679506 /nfs/dbraw/zinc/67/95/06/1183679506.db2.gz LADNVMJZPSLKBQ-UHFFFAOYSA-N 0 1 321.359 3.446 20 30 DGEDMN C=C(C)[C@@H](CO)N[C@H](C)c1ccc(OC)cc1Br ZINC001473306017 1183742431 /nfs/dbraw/zinc/74/24/31/1183742431.db2.gz OZZWQTFITJNUBF-QMTHXVAHSA-N 0 1 314.223 3.045 20 30 DGEDMN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)[C@@H]1C ZINC001473402443 1183752502 /nfs/dbraw/zinc/75/25/02/1183752502.db2.gz KOFLBPOGOXUJPZ-MBNYWOFBSA-N 0 1 303.406 3.216 20 30 DGEDMN C#C[C@H](NCCOc1cc(Cl)cc(Cl)c1)[C@@H]1CCCO1 ZINC001473402353 1183752722 /nfs/dbraw/zinc/75/27/22/1183752722.db2.gz JNBHHKDCDIPGBP-GJZGRUSLSA-N 0 1 314.212 3.143 20 30 DGEDMN C#CCCCCCC(=O)Nc1n[nH]c2nc(C(F)(F)F)ccc12 ZINC001607616484 1193241213 /nfs/dbraw/zinc/24/12/13/1193241213.db2.gz AMDNQLFHFDCDMX-UHFFFAOYSA-N 0 1 324.306 3.499 20 30 DGEDMN C#CCCCCCCN1CCN(c2ncccc2OCC)CC1 ZINC001473796401 1183805034 /nfs/dbraw/zinc/80/50/34/1183805034.db2.gz FDUYTXIKOKONPW-UHFFFAOYSA-N 0 1 315.461 3.186 20 30 DGEDMN Cc1ccn(-c2ccccc2CNCc2csc(C#N)c2)n1 ZINC001474066113 1183861512 /nfs/dbraw/zinc/86/15/12/1183861512.db2.gz NMFTVPIMAUHFPI-UHFFFAOYSA-N 0 1 308.410 3.404 20 30 DGEDMN C=CCOc1cccc(CN[C@@H](C)c2n[nH]c(C(C)C)n2)c1 ZINC001474099432 1183867425 /nfs/dbraw/zinc/86/74/25/1183867425.db2.gz DZXGOPCHBGLGAP-ZDUSSCGKSA-N 0 1 300.406 3.344 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)CC(C)C)C1 ZINC001474177013 1183877360 /nfs/dbraw/zinc/87/73/60/1183877360.db2.gz JUSCVJCWCSVYDB-HZPDHXFCSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2cccc(CC3CCC3)c2)C1=O ZINC001474476942 1183927880 /nfs/dbraw/zinc/92/78/80/1183927880.db2.gz LHRGSNACVNIDMB-IBGZPJMESA-N 0 1 312.457 3.248 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3cccc4[nH]ccc43)CC2)cc1 ZINC001474666315 1183958036 /nfs/dbraw/zinc/95/80/36/1183958036.db2.gz KVPYONHGHZKMLD-UHFFFAOYSA-N 0 1 315.420 3.471 20 30 DGEDMN C=C(C)C[C@@H](NCc1cc(Cl)cc(F)c1O)C(=O)OCC ZINC001474686422 1183961801 /nfs/dbraw/zinc/96/18/01/1183961801.db2.gz VRMNUEXIRSJENU-CYBMUJFWSA-N 0 1 315.772 3.172 20 30 DGEDMN C=CC(C)(C)CCCNS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC001475645706 1184013490 /nfs/dbraw/zinc/01/34/90/1184013490.db2.gz AXBDOMCDNRWUKK-UHFFFAOYSA-N 0 1 321.446 3.142 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC001478965815 1184189870 /nfs/dbraw/zinc/18/98/70/1184189870.db2.gz QMJXBYUHZWCHIN-KURKYZTESA-N 0 1 321.509 3.493 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)OC1CCCCCC1 ZINC001479244792 1184217044 /nfs/dbraw/zinc/21/70/44/1184217044.db2.gz LICCJLXDFZJGPI-IRXDYDNUSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1cccc(Cl)c1F ZINC001479250753 1184217932 /nfs/dbraw/zinc/21/79/32/1184217932.db2.gz XVJGXYQTKJGVNX-AWEZNQCLSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc2ccccc2c1 ZINC001479264764 1184221953 /nfs/dbraw/zinc/22/19/53/1184221953.db2.gz MBUYKIQTRXXNSM-HXUWFJFHSA-N 0 1 322.452 3.491 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C\C(C)(C)C)C1 ZINC001479421284 1184250771 /nfs/dbraw/zinc/25/07/71/1184250771.db2.gz POIHSWBINWLNCG-IXTIOBCPSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)C(F)F)C1 ZINC001479425963 1184252070 /nfs/dbraw/zinc/25/20/70/1184252070.db2.gz YCOGXIIFVRPVIK-LSDHHAIUSA-N 0 1 322.399 3.048 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001479715344 1184298785 /nfs/dbraw/zinc/29/87/85/1184298785.db2.gz VRXCIJVFBFVZHR-KGLIPLIRSA-N 0 1 318.383 3.102 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C(F)=C1CCCC1 ZINC001479733771 1184306480 /nfs/dbraw/zinc/30/64/80/1184306480.db2.gz DFCGKVLANSTEGZ-INIZCTEOSA-N 0 1 306.425 3.120 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001479736753 1184308231 /nfs/dbraw/zinc/30/82/31/1184308231.db2.gz RSIOOQMDVQFXRN-OKYOBFRVSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1ccnc(Cl)c1 ZINC001479793999 1184328150 /nfs/dbraw/zinc/32/81/50/1184328150.db2.gz BLGXVQOWNRUPLH-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2cncs2)CCCC1 ZINC001479818345 1184336601 /nfs/dbraw/zinc/33/66/01/1184336601.db2.gz WQQNYNJIYOXVAT-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)NCc2ccc(CC)s2)C1 ZINC001654174054 1195780876 /nfs/dbraw/zinc/78/08/76/1195780876.db2.gz CRRQAOHBEKYFLG-CQSZACIVSA-N 0 1 306.475 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2sc(C)nc2C)C1 ZINC001479908210 1184375765 /nfs/dbraw/zinc/37/57/65/1184375765.db2.gz PCIBKZAKTLWGLZ-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)/C=C(/C)C2CC2)CC1 ZINC001479990457 1184405017 /nfs/dbraw/zinc/40/50/17/1184405017.db2.gz BHMORUBGGOWMQS-NXVVXOECSA-N 0 1 302.462 3.069 20 30 DGEDMN CCCN(C(=O)[C@H](C)C#N)C1CCN(Cc2ccsc2)CC1 ZINC001479991676 1184406161 /nfs/dbraw/zinc/40/61/61/1184406161.db2.gz OAQIXLYJMFWSCV-CQSZACIVSA-N 0 1 319.474 3.111 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1[C@@H]2CCCCCC[C@@H]12)C1CC1 ZINC001480048810 1184417893 /nfs/dbraw/zinc/41/78/93/1184417893.db2.gz LFXJDSWDKFCBCR-BZUAXINKSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)C2CCC2)C1 ZINC001480078064 1184427424 /nfs/dbraw/zinc/42/74/24/1184427424.db2.gz IULJXNQGCYBKHY-CABCVRRESA-N 0 1 312.885 3.346 20 30 DGEDMN C[C@@H](C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1)C1CCCC1 ZINC001480119934 1184439612 /nfs/dbraw/zinc/43/96/12/1184439612.db2.gz UKQABQUEPLUQCE-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001480152921 1184446633 /nfs/dbraw/zinc/44/66/33/1184446633.db2.gz XFQVOLMBZZZDEX-JHJVBQTASA-N 0 1 322.399 3.475 20 30 DGEDMN CCCCC(=O)N1CCCC[C@H]1CNCc1ccccc1C#N ZINC001480338864 1184498345 /nfs/dbraw/zinc/49/83/45/1184498345.db2.gz QIALOHHPCFVKRB-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CO[C@@H]2CCCC[C@@H]2CC)CC1 ZINC001480357019 1184504511 /nfs/dbraw/zinc/50/45/11/1184504511.db2.gz PYBUZTPLLIDGOH-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1CCC(=C)CC1 ZINC001480358780 1184504570 /nfs/dbraw/zinc/50/45/70/1184504570.db2.gz FVMOHWIXQNUJHF-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(NCc2nc(C)oc2C)CC1 ZINC001480403200 1184523276 /nfs/dbraw/zinc/52/32/76/1184523276.db2.gz PFOJEPVSHMFMKD-UHFFFAOYSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(CCC)c(C)s1 ZINC001480585852 1184553729 /nfs/dbraw/zinc/55/37/29/1184553729.db2.gz GUEYRJOEVIYJHW-NSHDSACASA-N 0 1 314.882 3.469 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001480585877 1184553841 /nfs/dbraw/zinc/55/38/41/1184553841.db2.gz PEUSPKYUPKJMHY-ZBFHGGJFSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2nocc2C)C1 ZINC001480705837 1184581800 /nfs/dbraw/zinc/58/18/00/1184581800.db2.gz WARLWBQUDGCOMU-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1nocc1C ZINC001480827060 1184623305 /nfs/dbraw/zinc/62/33/05/1184623305.db2.gz QQKHZPOOSQZOTE-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1ccc(C)c(F)c1)C1CC1 ZINC001493401513 1184700604 /nfs/dbraw/zinc/70/06/04/1184700604.db2.gz SLFUQAXVLQPMEK-UHFFFAOYSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC12CC2)c1ccc(C(C)C)cc1 ZINC001493878096 1184755562 /nfs/dbraw/zinc/75/55/62/1184755562.db2.gz KNYBHNQOGGJOBA-RBUKOAKNSA-N 0 1 324.468 3.380 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC12CC2)c1ccc(C(C)C)cc1 ZINC001493878094 1184755770 /nfs/dbraw/zinc/75/57/70/1184755770.db2.gz KNYBHNQOGGJOBA-MOPGFXCFSA-N 0 1 324.468 3.380 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1C(C)(C)C1(C)C)c1ccccc1 ZINC001493887755 1184758168 /nfs/dbraw/zinc/75/81/68/1184758168.db2.gz VOLOEODYCIOMBF-MRXNPFEDSA-N 0 1 312.457 3.139 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccc(F)c(F)c2)C1 ZINC001493955835 1184775830 /nfs/dbraw/zinc/77/58/30/1184775830.db2.gz KLOLKQSCDAGFRY-OAHLLOKOSA-N 0 1 322.399 3.467 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cncc(CC)c2)C1 ZINC001493965097 1184778761 /nfs/dbraw/zinc/77/87/61/1184778761.db2.gz PJDCHNKQPUGTHP-SFHVURJKSA-N 0 1 315.461 3.147 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001493977556 1184782275 /nfs/dbraw/zinc/78/22/75/1184782275.db2.gz NKKJSFZBDMQYSI-OALUTQOASA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001493977556 1184782282 /nfs/dbraw/zinc/78/22/82/1184782282.db2.gz NKKJSFZBDMQYSI-OALUTQOASA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CCC)C(=O)c2nc(C)c(C)s2)C1 ZINC001493976260 1184782566 /nfs/dbraw/zinc/78/25/66/1184782566.db2.gz CVCATPRJQCTBBS-OAHLLOKOSA-N 0 1 321.490 3.263 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2nc(C)c(C)s2)C1 ZINC001493976260 1184782570 /nfs/dbraw/zinc/78/25/70/1184782570.db2.gz CVCATPRJQCTBBS-OAHLLOKOSA-N 0 1 321.490 3.263 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2c[nH]cc2C2CC2)C1 ZINC001494171065 1184821734 /nfs/dbraw/zinc/82/17/34/1184821734.db2.gz TUVTVBKCWYFRNO-CYBMUJFWSA-N 0 1 321.852 3.039 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccoc2CCC)C1 ZINC001494174643 1184823635 /nfs/dbraw/zinc/82/36/35/1184823635.db2.gz ZSNPDIOGTITBSP-CQSZACIVSA-N 0 1 324.852 3.379 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001494196470 1184830837 /nfs/dbraw/zinc/83/08/37/1184830837.db2.gz BFCSLXQIZCDCDI-BBWFWOEESA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001494317449 1184853056 /nfs/dbraw/zinc/85/30/56/1184853056.db2.gz ACYHNHHTBBEBCJ-FUHWJXTLSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CCOCCN1CCC[C@H](NC(=O)C2CCCCCCC2)C1 ZINC001494319964 1184854009 /nfs/dbraw/zinc/85/40/09/1184854009.db2.gz XQTIDMJCYQRKKE-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc3c(C)cccn3c2)C1 ZINC001494478796 1184874861 /nfs/dbraw/zinc/87/48/61/1184874861.db2.gz ISOQHOXTPICUMR-OAHLLOKOSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001494723139 1184912983 /nfs/dbraw/zinc/91/29/83/1184912983.db2.gz DCGITZBPEQDVEB-CQOFWLJFSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[N@H+]([C@H](C)c2ccc(F)cc2)C1 ZINC001494756316 1184921582 /nfs/dbraw/zinc/92/15/82/1184921582.db2.gz XLCNTTIAEKAQCC-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001494756316 1184921586 /nfs/dbraw/zinc/92/15/86/1184921586.db2.gz XLCNTTIAEKAQCC-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001494770444 1184922424 /nfs/dbraw/zinc/92/24/24/1184922424.db2.gz NBVRYEIJYKDCKN-MRXNPFEDSA-N 0 1 304.409 3.059 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(CCc2c(F)cccc2F)C1 ZINC001494807324 1184930478 /nfs/dbraw/zinc/93/04/78/1184930478.db2.gz MXXKSKWMOGOGOV-AWEZNQCLSA-N 0 1 322.399 3.006 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001494853714 1184945234 /nfs/dbraw/zinc/94/52/34/1184945234.db2.gz UPOAPRRAVURPDN-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001494853549 1184945480 /nfs/dbraw/zinc/94/54/80/1184945480.db2.gz RZGCKYMJUAQSDF-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)C(C)(C)C(C)C ZINC001494987369 1184976261 /nfs/dbraw/zinc/97/62/61/1184976261.db2.gz GADHCYRRXDBKAV-GFCCVEGCSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NC/C(Cl)=C\Cl ZINC001495066226 1184991515 /nfs/dbraw/zinc/99/15/15/1184991515.db2.gz UPIZIHBQLVRHCP-OBIHZWKSSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ncsc1C(C)C ZINC001495092779 1184995091 /nfs/dbraw/zinc/99/50/91/1184995091.db2.gz ATXQTXRIXVDYTR-LLVKDONJSA-N 0 1 315.870 3.117 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cccs2)CC1 ZINC001495502396 1185065934 /nfs/dbraw/zinc/06/59/34/1185065934.db2.gz SYBVRDSJKUWPMW-UHFFFAOYSA-N 0 1 312.866 3.333 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1sc(C)cc1C ZINC001495560624 1185074304 /nfs/dbraw/zinc/07/43/04/1185074304.db2.gz JKJYMSUJMQQWBE-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](COc2ccccc2Cl)C1 ZINC001609264650 1193333132 /nfs/dbraw/zinc/33/31/32/1193333132.db2.gz XJYDDSIUXDDIBA-JSGCOSHPSA-N 0 1 309.793 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001496244694 1185152621 /nfs/dbraw/zinc/15/26/21/1185152621.db2.gz QQWNDWANJXODEJ-KSSFIOAISA-N 0 1 320.864 3.080 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(CC(C)C)CC(C)C)o1 ZINC001609446080 1193339661 /nfs/dbraw/zinc/33/96/61/1193339661.db2.gz KVXAJTBHNZDQGO-UHFFFAOYSA-N 0 1 305.386 3.023 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001497433855 1185332267 /nfs/dbraw/zinc/33/22/67/1185332267.db2.gz WGXQGBIWIKADAB-UHFFFAOYSA-N 0 1 319.836 3.281 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1ccc(Cl)nc1 ZINC001497671476 1185372640 /nfs/dbraw/zinc/37/26/40/1185372640.db2.gz LPEHCPFQQRDBBD-TUYSUELWSA-N 0 1 321.852 3.099 20 30 DGEDMN CC(C)C[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccccc1C(C)(C)C#N ZINC001609701812 1193350401 /nfs/dbraw/zinc/35/04/01/1193350401.db2.gz LGVIUFGHECTOSJ-INIZCTEOSA-N 0 1 302.418 3.419 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001497821916 1185398560 /nfs/dbraw/zinc/39/85/60/1185398560.db2.gz RPRWWBHFGARWEL-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2cscc2s1 ZINC001498341166 1185473136 /nfs/dbraw/zinc/47/31/36/1185473136.db2.gz MXVYQHGVTUUKHL-NSHDSACASA-N 0 1 306.456 3.036 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001498373927 1185481230 /nfs/dbraw/zinc/48/12/30/1185481230.db2.gz AOAOFKHESFPFFC-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C(C)C)nc1)C1CC1 ZINC001498771074 1185543986 /nfs/dbraw/zinc/54/39/86/1185543986.db2.gz SQKOXRJXRFHYCZ-MRXNPFEDSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCCC(F)(F)C1)C1CC1 ZINC001498822552 1185552104 /nfs/dbraw/zinc/55/21/04/1185552104.db2.gz QASIPXJZCMAGOQ-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc2ccccc2o1)C1CC1 ZINC001498859163 1185557337 /nfs/dbraw/zinc/55/73/37/1185557337.db2.gz MTUZEXLMBUZXIZ-AWEZNQCLSA-N 0 1 318.804 3.283 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001499042008 1185597838 /nfs/dbraw/zinc/59/78/38/1185597838.db2.gz IAEFGVQXEPXYJK-AEFFLSMTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001499073583 1185604839 /nfs/dbraw/zinc/60/48/39/1185604839.db2.gz CTOWNNNFHRIVAF-MSOLQXFVSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001499127412 1185616344 /nfs/dbraw/zinc/61/63/44/1185616344.db2.gz LYEDKHSHUKXUHQ-QZTJIDSGSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c[nH]c3ccc(C)cc23)CC1 ZINC001499463779 1185652754 /nfs/dbraw/zinc/65/27/54/1185652754.db2.gz MFFOODVHNKYZAF-UHFFFAOYSA-N 0 1 317.820 3.081 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CC(C)(C)C(F)(F)F)C1 ZINC001499775835 1185694603 /nfs/dbraw/zinc/69/46/03/1185694603.db2.gz ICJPYNMKTXHHBF-UHFFFAOYSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001499798259 1185696216 /nfs/dbraw/zinc/69/62/16/1185696216.db2.gz GNDOBJYLJNLHLD-INIZCTEOSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(Cl)cs1 ZINC001499932371 1185719223 /nfs/dbraw/zinc/71/92/23/1185719223.db2.gz WZDIOBQPTCWTDF-NSHDSACASA-N 0 1 319.257 3.348 20 30 DGEDMN C#CCCCCCC(=O)NC1(C)CCN(C/C=C/Cl)CC1 ZINC001499992033 1185728879 /nfs/dbraw/zinc/72/88/79/1185728879.db2.gz KRYXFYOJLFQHLO-XYOKQWHBSA-N 0 1 310.869 3.293 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2cccc(Cl)c2)C1 ZINC001500212659 1185758683 /nfs/dbraw/zinc/75/86/83/1185758683.db2.gz LCAQIGUKIQVGDD-GOSISDBHSA-N 0 1 318.848 3.224 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001500376539 1185781918 /nfs/dbraw/zinc/78/19/18/1185781918.db2.gz OMACBNPFESBUCM-SJORKVTESA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C(C2CC2)C2CC2)CC1 ZINC001500457238 1185795681 /nfs/dbraw/zinc/79/56/81/1185795681.db2.gz FWGHNANEELWYJC-UHFFFAOYSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC[C@@H](C)c3ccccc3)[C@@H]2C1 ZINC001501425056 1185902423 /nfs/dbraw/zinc/90/24/23/1185902423.db2.gz SFFOSSHWZDVVTG-YZGWKJHDSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)c(F)c(C)c2)CCC1 ZINC001502028133 1185949716 /nfs/dbraw/zinc/94/97/16/1185949716.db2.gz ULIGWQZOFBHIGO-UHFFFAOYSA-N 0 1 324.827 3.437 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@@H](C)c2ccco2)CC1 ZINC001502142112 1185961575 /nfs/dbraw/zinc/96/15/75/1185961575.db2.gz AKRUSAUYLWTJKW-UKRRQHHQSA-N 0 1 324.852 3.496 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1[C@@H]1CCCN(CCF)C1 ZINC001502406868 1185982031 /nfs/dbraw/zinc/98/20/31/1185982031.db2.gz VHVNAIKTONQZAY-MSOLQXFVSA-N 0 1 322.468 3.243 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CCC1CC1 ZINC001502521545 1185990925 /nfs/dbraw/zinc/99/09/25/1185990925.db2.gz CZQXLGYIWTWABC-IBGZPJMESA-N 0 1 312.457 3.296 20 30 DGEDMN CCCCCC(=O)N1C[C@@H](C)[C@@H](NCc2ccccc2C#N)C1 ZINC001502700963 1186001424 /nfs/dbraw/zinc/00/14/24/1186001424.db2.gz INKKMMZSTQDWQN-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCCC(=O)N1C[C@H](NCc2ccccc2C#N)[C@@H](C)C1 ZINC001502700964 1186001563 /nfs/dbraw/zinc/00/15/63/1186001563.db2.gz INKKMMZSTQDWQN-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N1CCC(C)(NCc2nc(C)c(C)o2)CC1 ZINC001502795144 1186012962 /nfs/dbraw/zinc/01/29/62/1186012962.db2.gz BAVZANQXLNSSQR-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN CCC1(C(=O)N[C@H]2CCN(CC#Cc3ccccc3)[C@H](C)C2)CC1 ZINC001502991393 1186034793 /nfs/dbraw/zinc/03/47/93/1186034793.db2.gz WGYQGAZKGGWUBK-MJGOQNOKSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1c(C)coc1C ZINC001505404186 1186068050 /nfs/dbraw/zinc/06/80/50/1186068050.db2.gz CMASHZWVVHDJFC-AWEZNQCLSA-N 0 1 310.825 3.233 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cccnc1 ZINC001505443748 1186081241 /nfs/dbraw/zinc/08/12/41/1186081241.db2.gz QCHQBBZNHFMYLQ-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC001505443748 1186081246 /nfs/dbraw/zinc/08/12/46/1186081246.db2.gz QCHQBBZNHFMYLQ-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001505612888 1186114243 /nfs/dbraw/zinc/11/42/43/1186114243.db2.gz ANPIMDKWMUFBQU-HUUCEWRRSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(Cl)c(C)c1 ZINC001505680954 1186123275 /nfs/dbraw/zinc/12/32/75/1186123275.db2.gz HKNAAPRVOJUTTK-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C)c(Cl)c1 ZINC001505681906 1186124672 /nfs/dbraw/zinc/12/46/72/1186124672.db2.gz PKLIBTGQBGKEKJ-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2ccn(C)c2c1 ZINC001505723685 1186129483 /nfs/dbraw/zinc/12/94/83/1186129483.db2.gz CIMLBTURCIBRDY-QWHCGFSZSA-N 0 1 319.836 3.027 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)[C@@H](C)c1ccccc1Cl ZINC001505916341 1186163613 /nfs/dbraw/zinc/16/36/13/1186163613.db2.gz JDIQDPVZZNSRGL-GJZGRUSLSA-N 0 1 320.864 3.497 20 30 DGEDMN CCCC(=O)NC[C@@H]1CCCCCN1Cc1ccccc1C#N ZINC001506560723 1186250023 /nfs/dbraw/zinc/25/00/23/1186250023.db2.gz BXOAELGAPGWCJQ-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@H](C)c2ccccc2F)C1 ZINC001506593038 1186258263 /nfs/dbraw/zinc/25/82/63/1186258263.db2.gz NMEUCEGCMQPGRO-UONOGXRCSA-N 0 1 304.409 3.289 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001506647185 1186267908 /nfs/dbraw/zinc/26/79/08/1186267908.db2.gz YPGOGQIHZPRBLO-WPBGYSLVSA-N 0 1 323.440 3.017 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)C(C)(C)C1 ZINC001506751770 1186287863 /nfs/dbraw/zinc/28/78/63/1186287863.db2.gz DWGSDAHLEBYXNI-DLBZAZTESA-N 0 1 318.436 3.332 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1[C@H]2CN(Cc3sccc3Cl)C[C@H]21 ZINC001506778282 1186291054 /nfs/dbraw/zinc/29/10/54/1186291054.db2.gz UMXODHQWQMRUIG-XYYAHUGASA-N 0 1 324.877 3.162 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC001506864284 1186298979 /nfs/dbraw/zinc/29/89/79/1186298979.db2.gz CQAQFROVJQPNFZ-LYASGUKUSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001507019209 1186340918 /nfs/dbraw/zinc/34/09/18/1186340918.db2.gz VVXRTHRJTVBISZ-NXOAAHMSSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(C)cc1F ZINC001507023840 1186342484 /nfs/dbraw/zinc/34/24/84/1186342484.db2.gz IEBOMNKMYCPNHH-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001507054984 1186351320 /nfs/dbraw/zinc/35/13/20/1186351320.db2.gz DMYLVQUXZWMYPF-STQMWFEESA-N 0 1 310.388 3.429 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1ccc(Cl)s1 ZINC001507137114 1186369007 /nfs/dbraw/zinc/36/90/07/1186369007.db2.gz ZBRUKBIGZYILNO-JTQLQIEISA-N 0 1 321.273 3.133 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(Cl)cc1 ZINC001507148692 1186372374 /nfs/dbraw/zinc/37/23/74/1186372374.db2.gz SOXLSZUDZOBFJW-LLVKDONJSA-N 0 1 301.217 3.143 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cccnc2)[C@H]1C ZINC001507372672 1186407541 /nfs/dbraw/zinc/40/75/41/1186407541.db2.gz CNESBGOXPARDCA-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)C(C)(C)C1 ZINC001507425441 1186426372 /nfs/dbraw/zinc/42/63/72/1186426372.db2.gz MWLCPCOAHSNPAD-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](CC)CC(F)F)CC1(C)C ZINC001507434654 1186429563 /nfs/dbraw/zinc/42/95/63/1186429563.db2.gz BNCXFGTWPBOVST-NEPJUHHUSA-N 0 1 322.827 3.247 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1F)C(C)(C)C ZINC001507875335 1186452909 /nfs/dbraw/zinc/45/29/09/1186452909.db2.gz OKCDMEZLHOQPLK-AWEZNQCLSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(CC)ccn1)C(C)(C)C ZINC001507949957 1186461164 /nfs/dbraw/zinc/46/11/64/1186461164.db2.gz VRFSHGHUFDCAJH-HNNXBMFYSA-N 0 1 323.868 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1conc1CC)C(C)(C)C ZINC001507989912 1186464431 /nfs/dbraw/zinc/46/44/31/1186464431.db2.gz JRRIGHNXGKRQHH-HNNXBMFYSA-N 0 1 321.465 3.070 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2cnoc2C)CC1 ZINC001508083081 1186478845 /nfs/dbraw/zinc/47/88/45/1186478845.db2.gz MTCBVIJJWNPQQR-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@H](C(=O)N[C@H](C)c1n[nH]c([C@@H](C)CC)n1)c1ccccc1 ZINC001520074052 1186914898 /nfs/dbraw/zinc/91/48/98/1186914898.db2.gz STBDBGBNFZQKIH-GUTXKFCHSA-N 0 1 312.417 3.465 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC(CC)(CC)C1 ZINC001520297459 1186931251 /nfs/dbraw/zinc/93/12/51/1186931251.db2.gz ZTXPNTROMGDPRU-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC001523708298 1187180725 /nfs/dbraw/zinc/18/07/25/1187180725.db2.gz JNCWGNBSQWARHN-NVXWUHKLSA-N 0 1 312.413 3.260 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2ccc(F)cc2)CCCC1 ZINC001524267408 1187230309 /nfs/dbraw/zinc/23/03/09/1187230309.db2.gz PPOXRKUVZCXOPS-OAHLLOKOSA-N 0 1 311.360 3.247 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)CC(C)C ZINC001524338187 1187235741 /nfs/dbraw/zinc/23/57/41/1187235741.db2.gz ROKGZVOAABGYIB-UHFFFAOYSA-N 0 1 302.426 3.035 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@]1(C)CCCc2ccccc21 ZINC001526595390 1187377259 /nfs/dbraw/zinc/37/72/59/1187377259.db2.gz YRRRHHGDDBUNHY-KXBFYZLASA-N 0 1 307.397 3.373 20 30 DGEDMN C[C@@]1(C(=O)C(C#N)c2ncc(F)cc2F)CCc2ccccc21 ZINC001527020818 1187406848 /nfs/dbraw/zinc/40/68/48/1187406848.db2.gz WRUJZACKVLFNRT-SCLBCKFNSA-N 0 1 312.319 3.440 20 30 DGEDMN C#Cc1cccc(CNC(=O)c2n[nH]c3ccc(Cl)cc32)c1 ZINC001528928886 1187520662 /nfs/dbraw/zinc/52/06/62/1187520662.db2.gz BCRVMQYNMXLYQS-UHFFFAOYSA-N 0 1 309.756 3.128 20 30 DGEDMN C#CCN(Cc1ccc2nonc2c1)[C@H]1CCc2ccccc21 ZINC001615265748 1193519225 /nfs/dbraw/zinc/51/92/25/1193519225.db2.gz WHJQFRUUERXKSF-IBGZPJMESA-N 0 1 303.365 3.346 20 30 DGEDMN N#CC[C@@H](C(=O)NCc1nc2ccc(F)cc2[nH]1)c1ccccc1 ZINC001544646100 1188402800 /nfs/dbraw/zinc/40/28/00/1188402800.db2.gz WOOYDGBJPWUUBT-CQSZACIVSA-N 0 1 322.343 3.016 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001544648668 1188403021 /nfs/dbraw/zinc/40/30/21/1188403021.db2.gz KNXDYXHUWQJSGR-DLBZAZTESA-N 0 1 300.446 3.464 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2cccc(Br)c2C#N)[nH]n1 ZINC001548512630 1188457673 /nfs/dbraw/zinc/45/76/73/1188457673.db2.gz VAYZJQBJRDTTQT-SECBINFHSA-N 0 1 319.206 3.395 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC1(C)CCCC1 ZINC001657063163 1196098978 /nfs/dbraw/zinc/09/89/78/1196098978.db2.gz BZDHPOKSUHYRSK-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1ccc2c(C#N)c[nH]c2c1 ZINC001556189724 1188989304 /nfs/dbraw/zinc/98/93/04/1188989304.db2.gz BWUDKZWKSUFMEG-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN Cc1ccc(C(=O)/C=C\C(=O)Nc2ccc(O)c(F)c2F)cc1 ZINC001556592337 1189008713 /nfs/dbraw/zinc/00/87/13/1189008713.db2.gz IASDKDRQBRFJKJ-HJWRWDBZSA-N 0 1 317.291 3.356 20 30 DGEDMN N#Cc1cc(C(=O)NCc2cc(Cl)cc(Cl)c2O)co1 ZINC001556883782 1189026691 /nfs/dbraw/zinc/02/66/91/1189026691.db2.gz BTGFQJUNILMGNQ-UHFFFAOYSA-N 0 1 311.124 3.094 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1c(Cl)cccc1OC ZINC001567504081 1189047622 /nfs/dbraw/zinc/04/76/22/1189047622.db2.gz WPRGHNWPLWIVKF-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001565872341 1189053912 /nfs/dbraw/zinc/05/39/12/1189053912.db2.gz LMOKVEGNWSCBIH-ZQIUZPCESA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001557763585 1189083679 /nfs/dbraw/zinc/08/36/79/1189083679.db2.gz SOSDKSMOANHZQB-AWEZNQCLSA-N 0 1 302.337 3.343 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@H]1C ZINC001557791811 1189086920 /nfs/dbraw/zinc/08/69/20/1189086920.db2.gz XMMRMKWULWMNKB-GDBMZVCRSA-N 0 1 322.399 3.225 20 30 DGEDMN Cc1ccc(C)c(OCCC(=O)NOc2cccc(C#N)c2)c1 ZINC001557921251 1189092429 /nfs/dbraw/zinc/09/24/29/1189092429.db2.gz RJPJVDCKQASFDH-UHFFFAOYSA-N 0 1 310.353 3.054 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)[C@@H]2CCCCN2Cc2ccccc2)CC1 ZINC001558208054 1189109918 /nfs/dbraw/zinc/10/99/18/1189109918.db2.gz VKZVMZKKYIZHCR-IHPCNDPISA-N 0 1 324.468 3.349 20 30 DGEDMN N#Cc1cnc(Nc2cnn(-c3ccncc3)c2)c2sccc21 ZINC001559094764 1189169754 /nfs/dbraw/zinc/16/97/54/1189169754.db2.gz BURARZADFFRYAF-UHFFFAOYSA-N 0 1 318.365 3.492 20 30 DGEDMN Cc1cc(C#N)cc(NCc2ccc(Nc3ccncc3)cc2)n1 ZINC001559247952 1189186282 /nfs/dbraw/zinc/18/62/82/1189186282.db2.gz YZNZVWSBOJSSBV-UHFFFAOYSA-N 0 1 315.380 3.434 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2ncnc3ccc(C(C)C)cc32)C1 ZINC001559277303 1189190994 /nfs/dbraw/zinc/19/09/94/1189190994.db2.gz LEORVUJQJQWMHX-MRXNPFEDSA-N 0 1 308.429 3.263 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CN(CCC#N)CC(CC)CC ZINC001559578745 1189219422 /nfs/dbraw/zinc/21/94/22/1189219422.db2.gz RHASGXGCUJVXCZ-UHFFFAOYSA-N 0 1 320.437 3.047 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2ccc(Br)cc2)C1 ZINC001560026751 1189269538 /nfs/dbraw/zinc/26/95/38/1189269538.db2.gz FMYVEBHZRNFPAR-GFCCVEGCSA-N 0 1 323.234 3.286 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)CCN(C)[C@@H]1CCC[C@@H]1C#N ZINC001560060573 1189273253 /nfs/dbraw/zinc/27/32/53/1189273253.db2.gz QICXHNXDLIXDQV-CZUORRHYSA-N 0 1 303.381 3.087 20 30 DGEDMN COc1ccc(CNCc2cnc(Cl)c(F)c2)cc1C#N ZINC001560571589 1189343241 /nfs/dbraw/zinc/34/32/41/1189343241.db2.gz ZJNSHKJSRNDHKS-UHFFFAOYSA-N 0 1 305.740 3.044 20 30 DGEDMN COc1ccc(CNCC2(C#N)CCOCC2)cc1C(C)(C)C ZINC001560616833 1189349107 /nfs/dbraw/zinc/34/91/07/1189349107.db2.gz CFHZKAWQHHANTO-UHFFFAOYSA-N 0 1 316.445 3.403 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001565890338 1189700448 /nfs/dbraw/zinc/70/04/48/1189700448.db2.gz HQHMQKGDPLLXIN-PPHUXIPZSA-N 0 1 305.249 3.146 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H]1CC ZINC001566006942 1189714121 /nfs/dbraw/zinc/71/41/21/1189714121.db2.gz VYKJMBJAFQFDRE-JKSUJKDBSA-N 0 1 319.474 3.279 20 30 DGEDMN C/C=C(/C)C(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001566443959 1189771798 /nfs/dbraw/zinc/77/17/98/1189771798.db2.gz DWESNVBWWXAFJE-TVPGTPATSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1ncc(C)s1 ZINC001566525937 1189790756 /nfs/dbraw/zinc/79/07/56/1189790756.db2.gz MUALKHQBDQPSRJ-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC001566626670 1189832090 /nfs/dbraw/zinc/83/20/90/1189832090.db2.gz VOCOQRRNHNQGAF-OAHLLOKOSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001566631075 1189836960 /nfs/dbraw/zinc/83/69/60/1189836960.db2.gz HXGMVQYXYIIZNH-HUUCEWRRSA-N 0 1 304.409 3.086 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001566676565 1189856046 /nfs/dbraw/zinc/85/60/46/1189856046.db2.gz LDMZTLMJNRZSMA-MSOLQXFVSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C(C)=C2CCC2)C1 ZINC001566735696 1189882235 /nfs/dbraw/zinc/88/22/35/1189882235.db2.gz NYMQULXORDFVAI-OAHLLOKOSA-N 0 1 310.869 3.410 20 30 DGEDMN Cc1cc(C=O)c(O)c(C(=O)Nc2ccccc2O[C@H](C)C#N)c1 ZINC001566737542 1189884577 /nfs/dbraw/zinc/88/45/77/1189884577.db2.gz IGWTYMFABQSVOR-GFCCVEGCSA-N 0 1 324.336 3.056 20 30 DGEDMN C=CCCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC001566820736 1189913620 /nfs/dbraw/zinc/91/36/20/1189913620.db2.gz ZVNFBTKBEHVNBA-GOOCMWNKSA-N 0 1 319.474 3.111 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C(C)(C)C2CC2)C1 ZINC001566963093 1189973419 /nfs/dbraw/zinc/97/34/19/1189973419.db2.gz PRWDNPBREXLZNL-ZIAGYGMSSA-N 0 1 312.885 3.392 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001567070453 1190018406 /nfs/dbraw/zinc/01/84/06/1190018406.db2.gz XOPKBKYELCDCAZ-CVEARBPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)c1ccccc1OC ZINC001567071507 1190019739 /nfs/dbraw/zinc/01/97/39/1190019739.db2.gz RPOYQIPBXGBJDK-JSGCOSHPSA-N 0 1 324.852 3.036 20 30 DGEDMN CC[C@@H](CC(=O)NC[C@H](C)NCc1ccccc1C#N)C(C)C ZINC001567071583 1190020153 /nfs/dbraw/zinc/02/01/53/1190020153.db2.gz UBRZVJDNVMRGBZ-HOTGVXAUSA-N 0 1 315.461 3.225 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2nsc3ccccc23)c1 ZINC001567073069 1190021831 /nfs/dbraw/zinc/02/18/31/1190021831.db2.gz OAXNVHWJVVFMQV-UHFFFAOYSA-N 0 1 309.350 3.055 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2cc(F)ccc2s1 ZINC001567351969 1190146028 /nfs/dbraw/zinc/14/60/28/1190146028.db2.gz JRYJMIQLCYXNBG-UHFFFAOYSA-N 0 1 318.417 3.115 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](c1ccccc1)[C@@H](C)CC ZINC001567353029 1190147924 /nfs/dbraw/zinc/14/79/24/1190147924.db2.gz YUKABLIBHPDSAZ-HKUYNNGSSA-N 0 1 314.473 3.278 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](c1ccccc1)[C@@H](C)CC ZINC001567353032 1190148093 /nfs/dbraw/zinc/14/80/93/1190148093.db2.gz YUKABLIBHPDSAZ-PKOBYXMFSA-N 0 1 314.473 3.278 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001567379844 1190161693 /nfs/dbraw/zinc/16/16/93/1190161693.db2.gz DOCPRMVAMMSWPK-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001567386461 1190164247 /nfs/dbraw/zinc/16/42/47/1190164247.db2.gz HSKOXXXYXXGTTE-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC001567399088 1190171367 /nfs/dbraw/zinc/17/13/67/1190171367.db2.gz OMXSGZVVEJYBSV-ZDUSSCGKSA-N 0 1 324.877 3.143 20 30 DGEDMN CCC1(C(=O)NC[C@H]2CCN(CC#Cc3ccccc3)C2)CCC1 ZINC001567408345 1190175430 /nfs/dbraw/zinc/17/54/30/1190175430.db2.gz XZTZMAATVKWAMG-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C[C@@H]1C=CCC1 ZINC001567422113 1190182355 /nfs/dbraw/zinc/18/23/55/1190182355.db2.gz QOAKDBWPYWTWMT-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN CC(C)CCC(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001567436760 1190191815 /nfs/dbraw/zinc/19/18/15/1190191815.db2.gz FKOWRGWDBGZUNZ-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)C/C=C\c1ccc(C)cc1)C1CC1 ZINC001567456071 1190203724 /nfs/dbraw/zinc/20/37/24/1190203724.db2.gz RJEDUZBOKOIPQM-WAYWQWQTSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1coc2ccc(CC)cc21 ZINC001567496815 1190210709 /nfs/dbraw/zinc/21/07/09/1190210709.db2.gz YOYBOJFAPWSQLS-UHFFFAOYSA-N 0 1 320.820 3.409 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN[C@@H](C)c1cc(F)ccc1F ZINC001567547217 1190216474 /nfs/dbraw/zinc/21/64/74/1190216474.db2.gz RXHFENRTNIPAMU-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(Cl)ccc1Cl ZINC001567595480 1190224218 /nfs/dbraw/zinc/22/42/18/1190224218.db2.gz KWZICYSUULMZQB-UHFFFAOYSA-N 0 1 321.635 3.408 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)C(CC)CC)c1ccccc1 ZINC001567660655 1190241031 /nfs/dbraw/zinc/24/10/31/1190241031.db2.gz GIPAHZVFFHXAJE-MAUKXSAKSA-N 0 1 300.446 3.139 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)C(CC)CC)c1ccccc1 ZINC001567660656 1190241285 /nfs/dbraw/zinc/24/12/85/1190241285.db2.gz GIPAHZVFFHXAJE-QAPCUYQASA-N 0 1 300.446 3.139 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC[C@@H](C)CC)c1ccccc1 ZINC001567663136 1190242527 /nfs/dbraw/zinc/24/25/27/1190242527.db2.gz GGYDCHJTFBAHFQ-FUHWJXTLSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccc3[nH]ccc32)C1 ZINC001567689175 1190254548 /nfs/dbraw/zinc/25/45/48/1190254548.db2.gz SMLLKYJFTDCEKO-MRXNPFEDSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2coc(CCC)n2)C1 ZINC001567689481 1190255202 /nfs/dbraw/zinc/25/52/02/1190255202.db2.gz UQQJNSDHEFRGBQ-OAHLLOKOSA-N 0 1 319.449 3.130 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)C2CCCC2)C1 ZINC001567689727 1190255633 /nfs/dbraw/zinc/25/56/33/1190255633.db2.gz WYBWLGNTRYEDEX-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)cs2)C1 ZINC001567727158 1190274337 /nfs/dbraw/zinc/27/43/37/1190274337.db2.gz CFCJLTHVUUYFMA-CYBMUJFWSA-N 0 1 312.866 3.203 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(Cc3ccccc3)CC2)C1 ZINC001567797313 1190300417 /nfs/dbraw/zinc/30/04/17/1190300417.db2.gz YJIUNWXLPYIRTE-MRXNPFEDSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3ncccc3c2)C1 ZINC001567805135 1190302987 /nfs/dbraw/zinc/30/29/87/1190302987.db2.gz OMJZXQIBPMRTNT-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC001567816234 1190308502 /nfs/dbraw/zinc/30/85/02/1190308502.db2.gz UDHZERLKQSGKJE-OAHLLOKOSA-N 0 1 316.445 3.243 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)noc1C ZINC001567850962 1190320982 /nfs/dbraw/zinc/32/09/82/1190320982.db2.gz WWVRDMNPEFKSKL-HOTGVXAUSA-N 0 1 319.449 3.161 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001567896283 1190338507 /nfs/dbraw/zinc/33/85/07/1190338507.db2.gz GKIADFNEUMRBSS-SJLPKXTDSA-N 0 1 300.446 3.255 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(CCCCC(F)(F)F)C1 ZINC001567894968 1190338721 /nfs/dbraw/zinc/33/87/21/1190338721.db2.gz AEXDWFRPXXDMRM-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567906037 1190345500 /nfs/dbraw/zinc/34/55/00/1190345500.db2.gz HGBCHUMKXMPXBR-MAUKXSAKSA-N 0 1 313.445 3.027 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC001567912875 1190348831 /nfs/dbraw/zinc/34/88/31/1190348831.db2.gz ZPJWRUCIEJFLHG-QGZVFWFLSA-N 0 1 318.848 3.176 20 30 DGEDMN C=CC1(CC(=O)N(C)[C@@H]2CCN(CC#CC)C2)CCCCC1 ZINC001567923930 1190356645 /nfs/dbraw/zinc/35/66/45/1190356645.db2.gz IYWSKLDJTBRGFD-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001567929229 1190359728 /nfs/dbraw/zinc/35/97/28/1190359728.db2.gz YUHVIRBQHDCYJN-ZWKOTPCHSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CC1(CC(=O)N(CC)[C@H]2CCN(CC#CC)C2)CCCCC1 ZINC001567942189 1190366953 /nfs/dbraw/zinc/36/69/53/1190366953.db2.gz LTDSGGLDTCLYNE-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)sc1C ZINC001567968188 1190380751 /nfs/dbraw/zinc/38/07/51/1190380751.db2.gz XFWMIAUBKWOFRP-LBPRGKRZSA-N 0 1 300.855 3.215 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(F)cc(Cl)c1 ZINC001567978317 1190385936 /nfs/dbraw/zinc/38/59/36/1190385936.db2.gz OLVFGKKDWNZTRA-CYBMUJFWSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CCNCc2nocc2C)C1 ZINC001568058631 1190411790 /nfs/dbraw/zinc/41/17/90/1190411790.db2.gz XRWZQSDHWHDNRV-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1cc(C)ccc1C ZINC001568146329 1190435313 /nfs/dbraw/zinc/43/53/13/1190435313.db2.gz QYHDAPMCUAUKDZ-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2csc(C)n2)CCCC1 ZINC001568235484 1190457345 /nfs/dbraw/zinc/45/73/45/1190457345.db2.gz OUQKHKAWWPXOBK-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC2 ZINC001568252063 1190463345 /nfs/dbraw/zinc/46/33/45/1190463345.db2.gz APCFPANPQBQUKU-HZPDHXFCSA-N 0 1 306.494 3.415 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001568287085 1190472997 /nfs/dbraw/zinc/47/29/97/1190472997.db2.gz DPLGHBNKYXIULJ-LRDDRELGSA-N 0 1 324.827 3.045 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001568287786 1190473123 /nfs/dbraw/zinc/47/31/23/1190473123.db2.gz SMAHKXZIALYIOO-WMLDXEAASA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001568287084 1190473319 /nfs/dbraw/zinc/47/33/19/1190473319.db2.gz DPLGHBNKYXIULJ-BLLLJJGKSA-N 0 1 324.827 3.045 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCCCC1(F)F ZINC001568289071 1190473664 /nfs/dbraw/zinc/47/36/64/1190473664.db2.gz RECVZSPGQKDEGT-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccoc1Cl ZINC001568327908 1190491655 /nfs/dbraw/zinc/49/16/55/1190491655.db2.gz RAWJMYLFNCAZOY-LLVKDONJSA-N 0 1 319.232 3.420 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccoc1Cl ZINC001568327909 1190491893 /nfs/dbraw/zinc/49/18/93/1190491893.db2.gz RAWJMYLFNCAZOY-NSHDSACASA-N 0 1 319.232 3.420 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cccc(CC)n1 ZINC001568331264 1190492318 /nfs/dbraw/zinc/49/23/18/1190492318.db2.gz OKTLUVPRKWJLIG-HNNXBMFYSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C#CC(C)(C)C)C1CCCCC1 ZINC001568341941 1190497695 /nfs/dbraw/zinc/49/76/95/1190497695.db2.gz DZOTWTSINQERLL-INIZCTEOSA-N 0 1 324.896 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC(F)F)C1CCCCC1 ZINC001568345808 1190498704 /nfs/dbraw/zinc/49/87/04/1190498704.db2.gz RRCOXIIDERTXKS-ZDUSSCGKSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@H](C)NCc2ncc(C)o2)C1 ZINC001568406018 1190512591 /nfs/dbraw/zinc/51/25/91/1190512591.db2.gz BTCDHWWFWXQMAQ-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CSCCC ZINC001568419302 1190515573 /nfs/dbraw/zinc/51/55/73/1190515573.db2.gz NRGMLBBVSOVBFF-TZMCWYRMSA-N 0 1 318.914 3.099 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CC2(C)CC2)CC1 ZINC001568438744 1190521443 /nfs/dbraw/zinc/52/14/43/1190521443.db2.gz SJBZYLDSQUQJRM-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H]3[C@H](CCN3CC(=C)Cl)C2)CCC1 ZINC001568515995 1190557037 /nfs/dbraw/zinc/55/70/37/1190557037.db2.gz RTGXPSKTMUJNNK-HZPDHXFCSA-N 0 1 322.880 3.408 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)C1CCCCC1 ZINC001568723562 1190582565 /nfs/dbraw/zinc/58/25/65/1190582565.db2.gz HTWUNMRJOMKCOS-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)CCNCC#Cc1ccc(Cl)cc1 ZINC001568727243 1190582928 /nfs/dbraw/zinc/58/29/28/1190582928.db2.gz IXJWDHTXGMSYGW-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C[C@H]1C=CCCC1 ZINC001568751986 1190585587 /nfs/dbraw/zinc/58/55/87/1190585587.db2.gz XKBDJTCKGIJHAR-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCC1(C(=O)NC/C=C/CNCc2cncs2)CCCC1 ZINC001568975204 1190603514 /nfs/dbraw/zinc/60/35/14/1190603514.db2.gz UCCQXNDPFOGNOI-AATRIKPKSA-N 0 1 319.474 3.042 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001569023353 1190610092 /nfs/dbraw/zinc/61/00/92/1190610092.db2.gz VYZPMJMWZGXDKO-HYVNUMGLSA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001569031879 1190610757 /nfs/dbraw/zinc/61/07/57/1190610757.db2.gz AJVWQQUHXDXEFE-ZZFFKQLHSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(Cc2ccccc2)CCC1 ZINC001569062285 1190615424 /nfs/dbraw/zinc/61/54/24/1190615424.db2.gz ULNRIOZMDPMQJZ-HNNXBMFYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc2c1CCCCC2 ZINC001569062986 1190615454 /nfs/dbraw/zinc/61/54/54/1190615454.db2.gz HTHGSAXCQKZGQO-AWEZNQCLSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(F)F)c(F)c1 ZINC001569081391 1190618746 /nfs/dbraw/zinc/61/87/46/1190618746.db2.gz KJNPXABIGAVYKZ-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001569098493 1190620812 /nfs/dbraw/zinc/62/08/12/1190620812.db2.gz TUOGRHUFIPOLEV-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C1(CC)CCC(F)(F)CC1 ZINC001569124959 1190632091 /nfs/dbraw/zinc/63/20/91/1190632091.db2.gz PKPJZXGKQGVNQK-AWEZNQCLSA-N 0 1 314.420 3.052 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001569146894 1190641332 /nfs/dbraw/zinc/64/13/32/1190641332.db2.gz NJFYDKCGFLWPEG-OAHLLOKOSA-N 0 1 312.413 3.123 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CC[C@@H]1CCCC1(F)F ZINC001569368119 1190679020 /nfs/dbraw/zinc/67/90/20/1190679020.db2.gz VHQZGBLUHLTBRR-UONOGXRCSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1nc(C)c(C)s1 ZINC001569383303 1190686836 /nfs/dbraw/zinc/68/68/36/1190686836.db2.gz ORWXHZGOVORJFI-OAHLLOKOSA-N 0 1 321.490 3.310 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cc(C)ccc2F)C1 ZINC001569504402 1190709926 /nfs/dbraw/zinc/70/99/26/1190709926.db2.gz KVIXDSLSPRFHSW-HNNXBMFYSA-N 0 1 318.436 3.174 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2cnc(C)s2)CC1 ZINC001569572899 1190718717 /nfs/dbraw/zinc/71/87/17/1190718717.db2.gz ZRYPHHKPNBSXFE-UHFFFAOYSA-N 0 1 321.490 3.279 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001569633738 1190728158 /nfs/dbraw/zinc/72/81/58/1190728158.db2.gz PVBJLIZJQNNLJD-KXBFYZLASA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001569647822 1190732692 /nfs/dbraw/zinc/73/26/92/1190732692.db2.gz NLXHLSFRJFLLEV-IEBWSBKVSA-N 0 1 304.478 3.363 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CCCC2CCCCC2)C1 ZINC001569653699 1190734838 /nfs/dbraw/zinc/73/48/38/1190734838.db2.gz SJFDWRSIPXSFAM-LJQANCHMSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001569655597 1190735760 /nfs/dbraw/zinc/73/57/60/1190735760.db2.gz VBSVHLRHVIJDFK-UHYCVJNDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001569670878 1190738893 /nfs/dbraw/zinc/73/88/93/1190738893.db2.gz BCSNBADKGDDDPX-QAPCUYQASA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCCCC(=O)NCC1(C)CCN(Cc2conc2C)CC1 ZINC001569704177 1190743645 /nfs/dbraw/zinc/74/36/45/1190743645.db2.gz FOXLWMRSHKDORK-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@](C)(CC)CCC)C[C@@H]2C1 ZINC001569881001 1190769620 /nfs/dbraw/zinc/76/96/20/1190769620.db2.gz CBSNNKXCLGTKEK-HLLBOEOZSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCCCCCC(=O)NCc1cccc2c1CCN(CC=C)C2 ZINC001570077869 1190791777 /nfs/dbraw/zinc/79/17/77/1190791777.db2.gz CAWRZGPWAMCUPM-UHFFFAOYSA-N 0 1 324.468 3.431 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1csc(CC)n1 ZINC001570080666 1190792391 /nfs/dbraw/zinc/79/23/91/1190792391.db2.gz RLLMBTKHBZUQMI-OAHLLOKOSA-N 0 1 321.490 3.142 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2conc2CC)CC1 ZINC001570177839 1190808011 /nfs/dbraw/zinc/80/80/11/1190808011.db2.gz UAJZYZVMDBKPEK-INIZCTEOSA-N 0 1 319.449 3.064 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)/C=C\C(C)(C)C ZINC001570269006 1190833268 /nfs/dbraw/zinc/83/32/68/1190833268.db2.gz MNTCIQNHKVKWRH-YAINUAMHSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001570322964 1190838989 /nfs/dbraw/zinc/83/89/89/1190838989.db2.gz CCFCEYQLLNBCEV-XHDTYXFFSA-N 0 1 319.276 3.488 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCN(C/C=C/Cl)CC1(C)C ZINC001570393402 1190851207 /nfs/dbraw/zinc/85/12/07/1190851207.db2.gz IUWMTZLAKYBRMW-OAUONFCTSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ccccn2)CC1(C)C ZINC001570397857 1190853319 /nfs/dbraw/zinc/85/33/19/1190853319.db2.gz PCNWSBPGZBRYIG-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cnc(C)s2)[C@@H](C)C1 ZINC001570441604 1190859370 /nfs/dbraw/zinc/85/93/70/1190859370.db2.gz WCBOSRMSVMYUIW-GXTWGEPZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001570460145 1190864029 /nfs/dbraw/zinc/86/40/29/1190864029.db2.gz IXWVCCSRIMYXBW-AWEZNQCLSA-N 0 1 321.465 3.313 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)c1cccc(C)c1 ZINC001570542263 1190882185 /nfs/dbraw/zinc/88/21/85/1190882185.db2.gz QKABHIHTHIVHPO-MJGOQNOKSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc2ccccc2c1 ZINC001570545786 1190884898 /nfs/dbraw/zinc/88/48/98/1190884898.db2.gz XVMPIDBLQDPPHT-HXUWFJFHSA-N 0 1 320.436 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001570607837 1190899781 /nfs/dbraw/zinc/89/97/81/1190899781.db2.gz KRQCGXSJBNBBGU-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001570607838 1190900214 /nfs/dbraw/zinc/90/02/14/1190900214.db2.gz KRQCGXSJBNBBGU-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1cccc(CC)c1 ZINC001570614424 1190901917 /nfs/dbraw/zinc/90/19/17/1190901917.db2.gz NYUQZWADUFBTGY-UONOGXRCSA-N 0 1 308.853 3.027 20 30 DGEDMN CCCC1(C(=O)N[C@@H](C)[C@H](C)NCc2ccccc2C#N)CC1 ZINC001570633021 1190906745 /nfs/dbraw/zinc/90/67/45/1190906745.db2.gz BLDAWRYQDXRBGL-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N(C)Cc2cccnc2C)CC1 ZINC001570733756 1190935418 /nfs/dbraw/zinc/93/54/18/1190935418.db2.gz GQNQVINXDBCHCF-HNNXBMFYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001570852091 1190965950 /nfs/dbraw/zinc/96/59/50/1190965950.db2.gz NYIKNDKGNGXPFG-OLZOCXBDSA-N 0 1 306.837 3.066 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](CCCC)C(C)C)C2)C1 ZINC001571053621 1190981646 /nfs/dbraw/zinc/98/16/46/1190981646.db2.gz QJELLGVXTXBINB-GOSISDBHSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1sccc1Cl ZINC001571388689 1191010822 /nfs/dbraw/zinc/01/08/22/1191010822.db2.gz VYTNYQNMYRMRRW-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1oc(C(F)F)cc1C ZINC001571392272 1191012209 /nfs/dbraw/zinc/01/22/09/1191012209.db2.gz SIWSTMVLPCHMKZ-SNVBAGLBSA-N 0 1 320.767 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)c1ccccc1 ZINC001571417198 1191020322 /nfs/dbraw/zinc/02/03/22/1191020322.db2.gz YGEQCMUJQAYCQW-CQSZACIVSA-N 0 1 308.853 3.153 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCCCC1(C)C ZINC001571419057 1191020863 /nfs/dbraw/zinc/02/08/63/1191020863.db2.gz KDSXYZZLEWPYGV-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cncc(C)c2)[C@H]1C ZINC001571522423 1191041683 /nfs/dbraw/zinc/04/16/83/1191041683.db2.gz FUQSYUPDMDIQFH-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001571610219 1191056359 /nfs/dbraw/zinc/05/63/59/1191056359.db2.gz JWCMKSDRSFOBPQ-UHFFFAOYSA-N 0 1 313.445 3.193 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1C[C@@H]1C1CCCC1)C(C)C ZINC001571615160 1191063346 /nfs/dbraw/zinc/06/33/46/1191063346.db2.gz HRKZYCPEJOZQTK-QZTJIDSGSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(C)c1Cl)C(C)C ZINC001571615433 1191063408 /nfs/dbraw/zinc/06/34/08/1191063408.db2.gz RNNFSIBFFGBEJB-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](CC)CC2CCCC2)CC1 ZINC001571695843 1191084528 /nfs/dbraw/zinc/08/45/28/1191084528.db2.gz BTAKSJLJXCLWIT-LJQANCHMSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCC1(C(=O)NCC2=CCN(CC#CC)CC2)CCCCC1 ZINC001571700839 1191085641 /nfs/dbraw/zinc/08/56/41/1191085641.db2.gz PVKOUWSSRAUYRZ-UHFFFAOYSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](Cc2ccc(OC)cc2)C1 ZINC001618069165 1193724176 /nfs/dbraw/zinc/72/41/76/1193724176.db2.gz GHENLQGVMXEFMB-WMZOPIPTSA-N 0 1 317.429 3.067 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)[C@H](Cc2ccccc2)C1 ZINC001619715056 1193760894 /nfs/dbraw/zinc/76/08/94/1193760894.db2.gz OLLUIHYFUPGACH-HXUWFJFHSA-N 0 1 323.415 3.056 20 30 DGEDMN C=CC[C@@H]1CC[N@@H+](Cc2cc(=O)oc3cc([O-])c(CC)cc23)C1 ZINC001620451426 1193768870 /nfs/dbraw/zinc/76/88/70/1193768870.db2.gz BGCUSUIJSLQOPK-CYBMUJFWSA-N 0 1 313.397 3.459 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](Cc2cc(=O)oc3cc([O-])c(CC)cc23)C1 ZINC001620451426 1193768872 /nfs/dbraw/zinc/76/88/72/1193768872.db2.gz BGCUSUIJSLQOPK-CYBMUJFWSA-N 0 1 313.397 3.459 20 30 DGEDMN Cc1cc(F)ccc1[C@H](C)NCC(=O)Nc1ccc(C#N)cc1 ZINC001620565664 1193780315 /nfs/dbraw/zinc/78/03/15/1193780315.db2.gz XJLFZBVXNWABCK-ZDUSSCGKSA-N 0 1 311.360 3.295 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@H]1c1cc(C)[nH]n1 ZINC001620614990 1193783961 /nfs/dbraw/zinc/78/39/61/1193783961.db2.gz IKGNFEIKIDPMJB-KRWDZBQOSA-N 0 1 310.401 3.326 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(CC[C@H]1CC1(Cl)Cl)C2 ZINC001620642951 1193786075 /nfs/dbraw/zinc/78/60/75/1193786075.db2.gz PYDOWTPATQEISO-QMMMGPOBSA-N 0 1 316.257 3.144 20 30 DGEDMN C=CCNCc1ccc(N2CCC[C@H](C(=O)OC(C)(C)C)C2)o1 ZINC001620704132 1193793057 /nfs/dbraw/zinc/79/30/57/1193793057.db2.gz SWLDWKVVFPLUNY-AWEZNQCLSA-N 0 1 320.433 3.113 20 30 DGEDMN CC(=O)c1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)ccc1C ZINC001623604342 1193940682 /nfs/dbraw/zinc/94/06/82/1193940682.db2.gz FLMJKHZFMMKEAO-UHFFFAOYSA-N 0 1 318.336 3.198 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(c4ccccc4)CC3)[nH]c2c1 ZINC001623604922 1193940897 /nfs/dbraw/zinc/94/08/97/1193940897.db2.gz OFNRPZTYRUOUJC-UHFFFAOYSA-N 0 1 302.337 3.105 20 30 DGEDMN Cc1nc(-c2ccc(C)cc2)sc1C(=O)NC1=NO[C@@H](C)C1 ZINC001624513072 1194000489 /nfs/dbraw/zinc/00/04/89/1194000489.db2.gz UNRSLSPPAOSJOF-JTQLQIEISA-N 0 1 315.398 3.279 20 30 DGEDMN N#Cc1cccc2c1CCN2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001626960611 1194104794 /nfs/dbraw/zinc/10/47/94/1194104794.db2.gz VLGATMJUDJAMKH-UHFFFAOYSA-N 0 1 314.348 3.151 20 30 DGEDMN N#Cc1ccsc1C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001628294310 1194171470 /nfs/dbraw/zinc/17/14/70/1194171470.db2.gz MBVILDTYYVREIT-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@@](C)(C#N)C3CCCCC3)c2C1 ZINC001629385385 1194230687 /nfs/dbraw/zinc/23/06/87/1194230687.db2.gz UGTLTSSAFFVBTK-SGTLLEGYSA-N 0 1 314.433 3.127 20 30 DGEDMN N#Cc1ccccc1/C=C/C(=O)Nc1cc(F)cc(F)c1O ZINC001630311742 1194280294 /nfs/dbraw/zinc/28/02/94/1194280294.db2.gz DQNCZEONVKAEGE-AATRIKPKSA-N 0 1 300.264 3.194 20 30 DGEDMN C=C[C@H](C(=O)Nc1ccccc1-c1nnc[nH]1)c1ccccc1 ZINC001632051552 1194369667 /nfs/dbraw/zinc/36/96/67/1194369667.db2.gz LZLSQEJUXCMMSQ-AWEZNQCLSA-N 0 1 304.353 3.380 20 30 DGEDMN CCc1c(-c2ccncc2)n[nH]c1NC(=O)c1sccc1C#N ZINC001632476075 1194391257 /nfs/dbraw/zinc/39/12/57/1194391257.db2.gz HDHZMMXMSFACIJ-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN Cc1c2ccccc2c(=O)[nH]c1C(=O)Nc1cc(C#N)ccc1O ZINC001633077173 1194420040 /nfs/dbraw/zinc/42/00/40/1194420040.db2.gz NOXBLGRFOVHVPM-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538484 1194441714 /nfs/dbraw/zinc/44/17/14/1194441714.db2.gz SDPIWQCQHFEAQF-GTNSWQLSSA-N 0 1 301.390 3.351 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4cc[nH]c43)[nH]c2c1 ZINC001634529167 1194499548 /nfs/dbraw/zinc/49/95/48/1194499548.db2.gz VFSBEZFNVDXTMR-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cc(C)cc(C)c2)C1 ZINC001671168353 1194554713 /nfs/dbraw/zinc/55/47/13/1194554713.db2.gz VVDMHSADNYJXSS-QGZVFWFLSA-N 0 1 314.473 3.343 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(OC)c2C)C1 ZINC001671171547 1194594391 /nfs/dbraw/zinc/59/43/91/1194594391.db2.gz VQCZCAXCLFQTJZ-AWEZNQCLSA-N 0 1 316.445 3.115 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3c(O)cccc3F)cc21 ZINC001636358301 1194608351 /nfs/dbraw/zinc/60/83/51/1194608351.db2.gz TVWFUQIAENSAPZ-UHFFFAOYSA-N 0 1 311.316 3.319 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1 ZINC001637297003 1194661948 /nfs/dbraw/zinc/66/19/48/1194661948.db2.gz PHMBHYWLKQDYKO-LSDHHAIUSA-N 0 1 304.821 3.003 20 30 DGEDMN N#Cc1ccc(SCC(=O)Nc2ccc(F)c(F)c2O)cc1 ZINC001637469615 1194671925 /nfs/dbraw/zinc/67/19/25/1194671925.db2.gz PLVFYJUXCDJDTI-UHFFFAOYSA-N 0 1 320.320 3.273 20 30 DGEDMN Cc1cc(NC[C@@H](c2ccco2)N2CCCCC2)ncc1C#N ZINC001639150962 1194753383 /nfs/dbraw/zinc/75/33/83/1194753383.db2.gz MOTXLFBKHJRTLC-INIZCTEOSA-N 0 1 310.401 3.494 20 30 DGEDMN CCC(C)(C)N1CCN(C(=O)[C@]2(C#N)CC3CCC2CC3)CC1 ZINC001639513825 1194769457 /nfs/dbraw/zinc/76/94/57/1194769457.db2.gz BISSSZPRIHUIMF-RJYAGPCLSA-N 0 1 317.477 3.039 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(C)[C@@H](Cc3ccccc3)C2)c1 ZINC001639890974 1194786301 /nfs/dbraw/zinc/78/63/01/1194786301.db2.gz BMPIOFXZJYVYHN-KRWDZBQOSA-N 0 1 323.415 3.369 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2cc(C(C)(C)C)nc(C(C)C)n2)C1 ZINC001640392748 1194810595 /nfs/dbraw/zinc/81/05/95/1194810595.db2.gz GIPIBSLVDAWMAO-OAHLLOKOSA-N 0 1 314.477 3.407 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccc(Nc3ccncc3)cc2)cc1 ZINC001640638902 1194822783 /nfs/dbraw/zinc/82/27/83/1194822783.db2.gz PNSMCBRIXLAVCD-UHFFFAOYSA-N 0 1 313.360 3.481 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)[C@@H](c2ccccc2)N(C)CC)C1 ZINC001641029894 1194836851 /nfs/dbraw/zinc/83/68/51/1194836851.db2.gz MWXSOLUBDSQBQZ-FUHWJXTLSA-N 0 1 300.446 3.494 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2cccc3[nH]nnc32)cc1 ZINC001641119072 1194840685 /nfs/dbraw/zinc/84/06/85/1194840685.db2.gz BEFNKKGWNYAEGT-UHFFFAOYSA-N 0 1 305.341 3.011 20 30 DGEDMN CC(C)c1ccoc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641930256 1194879381 /nfs/dbraw/zinc/87/93/81/1194879381.db2.gz QBCREBNMAVYPRH-UHFFFAOYSA-N 0 1 321.340 3.312 20 30 DGEDMN COc1cc(C#N)ccc1CN(CCO)[C@@H](C)c1ccccc1 ZINC001642341476 1194903292 /nfs/dbraw/zinc/90/32/92/1194903292.db2.gz MINNAOOECSNJFF-HNNXBMFYSA-N 0 1 310.397 3.122 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC001642834773 1194945063 /nfs/dbraw/zinc/94/50/63/1194945063.db2.gz XGRRDAMWOMUOEY-KRWDZBQOSA-N 0 1 323.383 3.337 20 30 DGEDMN Cc1cc(N2CCN([C@@H](C)c3ccccc3)CC2)ncc1C#N ZINC001643111849 1194996544 /nfs/dbraw/zinc/99/65/44/1194996544.db2.gz APIFDMHMANGOTM-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN C#CCCCCCCN1CCc2c(nnn2-c2ccccc2)C1 ZINC001643183478 1195001137 /nfs/dbraw/zinc/00/11/37/1195001137.db2.gz DCWUKHCKUICQEL-UHFFFAOYSA-N 0 1 308.429 3.209 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC2(CCc3ccccc3C2)CC1 ZINC001643680373 1195045475 /nfs/dbraw/zinc/04/54/75/1195045475.db2.gz GVAQXDSYDTXCHW-SFHVURJKSA-N 0 1 313.441 3.375 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CCC(C2CCC2)CC1 ZINC001643752723 1195052726 /nfs/dbraw/zinc/05/27/26/1195052726.db2.gz KVMYSSJLLJOHJJ-AWEZNQCLSA-N 0 1 311.429 3.397 20 30 DGEDMN C#C[C@@H](NCc1ccc(C(F)(F)F)nc1N(C)C)C(C)(C)C ZINC001647043191 1195243364 /nfs/dbraw/zinc/24/33/64/1195243364.db2.gz RKZUYVLAUSVMFZ-GFCCVEGCSA-N 0 1 313.367 3.304 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CC2(CCC2)C1)c1ccccc1 ZINC001649442645 1195340037 /nfs/dbraw/zinc/34/00/37/1195340037.db2.gz HHOPKPPJXACYFE-SFHVURJKSA-N 0 1 310.441 3.037 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1occc1-c1ccccc1 ZINC001649454053 1195342828 /nfs/dbraw/zinc/34/28/28/1195342828.db2.gz ZPOVZAQQVGSHQC-HNNXBMFYSA-N 0 1 310.397 3.020 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1occc1-c1ccccc1 ZINC001649454054 1195343370 /nfs/dbraw/zinc/34/33/70/1195343370.db2.gz ZPOVZAQQVGSHQC-OAHLLOKOSA-N 0 1 310.397 3.020 20 30 DGEDMN N#Cc1ccc(CN[C@H]2C[C@@]3(CCOC3)Oc3ccccc32)cc1 ZINC001651709913 1195500498 /nfs/dbraw/zinc/50/04/98/1195500498.db2.gz UIFPOMGAQYADQL-AZUAARDMSA-N 0 1 320.392 3.331 20 30 DGEDMN CCN(CCNc1cc(F)ccc1C#N)CCC(F)(F)F ZINC001651736637 1195503674 /nfs/dbraw/zinc/50/36/74/1195503674.db2.gz LXJZTVCYUUVYQC-UHFFFAOYSA-N 0 1 303.303 3.384 20 30 DGEDMN Cc1cc(N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)c(C#N)cn1 ZINC001652085957 1195545694 /nfs/dbraw/zinc/54/56/94/1195545694.db2.gz XGUQDWSCNKMTIU-JTQLQIEISA-N 0 1 311.776 3.386 20 30 DGEDMN Cc1cc(N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)c(C#N)cn1 ZINC001652085958 1195546434 /nfs/dbraw/zinc/54/64/34/1195546434.db2.gz XGUQDWSCNKMTIU-SNVBAGLBSA-N 0 1 311.776 3.386 20 30 DGEDMN C#Cc1ccc(CNCc2c3ccccc3[nH]c2C(=O)OC)cc1 ZINC001652497010 1195607344 /nfs/dbraw/zinc/60/73/44/1195607344.db2.gz IPUPUEZILWTFES-UHFFFAOYSA-N 0 1 318.376 3.226 20 30 DGEDMN C[C@H]1CC[C@](CO)(NCC#Cc2ccccc2)c2ccccc21 ZINC001653269618 1195706044 /nfs/dbraw/zinc/70/60/44/1195706044.db2.gz LONWROLRZXSQAV-LAUBAEHRSA-N 0 1 305.421 3.413 20 30 DGEDMN C#C[C@H](NCc1cc(Br)ccc1C)[C@H]1CCCO1 ZINC001653348514 1195713377 /nfs/dbraw/zinc/71/33/77/1195713377.db2.gz DODXAIOIYRZUEJ-LSDHHAIUSA-N 0 1 308.219 3.028 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1ccc(-n2ccnc2)nc1 ZINC001653972485 1195763306 /nfs/dbraw/zinc/76/33/06/1195763306.db2.gz GWYAKYUYYWNROA-OAHLLOKOSA-N 0 1 317.396 3.332 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(F)(F)F)C2(CCCCC2)C1 ZINC001654066379 1195771470 /nfs/dbraw/zinc/77/14/70/1195771470.db2.gz UWGHHYGOOZAEFD-UHFFFAOYSA-N 0 1 318.383 3.362 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@H]1C ZINC001654217785 1195785301 /nfs/dbraw/zinc/78/53/01/1195785301.db2.gz FBQKTNPFXKTGQF-NWDGAFQWSA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@H]1COC2(CCCC2)CN1CCCOc1cccc(C#N)c1 ZINC001654335484 1195794984 /nfs/dbraw/zinc/79/49/84/1195794984.db2.gz RVAQGIQKPPYDLA-INIZCTEOSA-N 0 1 314.429 3.361 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H](C3CCC3)CC2)cc1 ZINC001654598569 1195821418 /nfs/dbraw/zinc/82/14/18/1195821418.db2.gz XGSNKXDOROOEHQ-QGZVFWFLSA-N 0 1 311.429 3.399 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC001654998659 1195862657 /nfs/dbraw/zinc/86/26/57/1195862657.db2.gz FDVMAMOXSJHDEA-AWEZNQCLSA-N 0 1 317.389 3.272 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCCN2CC2(CC#N)CC2)n1C ZINC001655106345 1195877557 /nfs/dbraw/zinc/87/75/57/1195877557.db2.gz VWNTUWNQEVGDEJ-HNNXBMFYSA-N 0 1 315.417 3.033 20 30 DGEDMN C=C[C@H](CO)N[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC001655151559 1195883327 /nfs/dbraw/zinc/88/33/27/1195883327.db2.gz ILDZBHKKOHULLT-RHSMWYFYSA-N 0 1 310.397 3.136 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2cccc(OC)c2)CC1 ZINC001655325684 1195903811 /nfs/dbraw/zinc/90/38/11/1195903811.db2.gz HHTRLRPEGYOKAR-SFHVURJKSA-N 0 1 317.429 3.067 20 30 DGEDMN C[C@H](CCC#N)N1CCC[C@H](c2ncc(Br)cn2)C1 ZINC001655580849 1195935618 /nfs/dbraw/zinc/93/56/18/1195935618.db2.gz IOFXWIMHBSURNB-NEPJUHHUSA-N 0 1 323.238 3.111 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001656112790 1196004674 /nfs/dbraw/zinc/00/46/74/1196004674.db2.gz KJRZEUGTNNWUSQ-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)NCCc1ccc(C(C)(C)C)cc1 ZINC001656411337 1196037037 /nfs/dbraw/zinc/03/70/37/1196037037.db2.gz QANDAMYKTHZAAD-SFHVURJKSA-N 0 1 314.473 3.293 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@]1(CO)c1ccccc1 ZINC001656540248 1196048577 /nfs/dbraw/zinc/04/85/77/1196048577.db2.gz GUJPUFDGMONHOV-FQEVSTJZSA-N 0 1 322.408 3.050 20 30 DGEDMN Cc1nc2ccc(Cn3cc(C#N)c4ccsc4c3=O)cc2[nH]1 ZINC001656808498 1196072749 /nfs/dbraw/zinc/07/27/49/1196072749.db2.gz CWLMXAROBDVPLG-UHFFFAOYSA-N 0 1 320.377 3.168 20 30 DGEDMN Cc1cc(C2OCCO2)c(C)cc1CNCC1(C#N)CCC1 ZINC001657119090 1196107606 /nfs/dbraw/zinc/10/76/06/1196107606.db2.gz SQXUPAMUBFZFTC-UHFFFAOYSA-N 0 1 300.402 3.132 20 30 DGEDMN C=CC[N@H+](CC(=O)[N-]OCc1ccccc1)CC1CCCCC1 ZINC001657316586 1196129533 /nfs/dbraw/zinc/12/95/33/1196129533.db2.gz ISACFRDJKGYXJK-UHFFFAOYSA-N 0 1 316.445 3.303 20 30 DGEDMN CCCCCCCCCCN1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001657525792 1196151099 /nfs/dbraw/zinc/15/10/99/1196151099.db2.gz DMOPQWFSZPJVBR-MRXNPFEDSA-N 0 1 323.481 3.095 20 30 DGEDMN C=CCCOCCNCc1cccc(F)c1OCC(F)(F)F ZINC001657730567 1196172963 /nfs/dbraw/zinc/17/29/63/1196172963.db2.gz JZYHLBMFNPKGLO-UHFFFAOYSA-N 0 1 321.314 3.449 20 30 DGEDMN CC1(C)CCc2cc(CNCC3(C#N)CCOCC3)ccc2O1 ZINC001658149028 1196217970 /nfs/dbraw/zinc/21/79/70/1196217970.db2.gz WHBQXEPNCBBFTE-UHFFFAOYSA-N 0 1 314.429 3.200 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)n1)c1ccc(-n2cccn2)c(F)c1 ZINC001658168192 1196220243 /nfs/dbraw/zinc/22/02/43/1196220243.db2.gz VJCPPHWKPHSASM-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN Cc1ccc(CNCc2cnn(-c3ccccc3)c2)cc1C#N ZINC001658577742 1196275792 /nfs/dbraw/zinc/27/57/92/1196275792.db2.gz JMPNDYLXFGOAOR-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN COc1cccc2c1CN(CCCOc1ccc(C#N)cc1)CC2 ZINC001658673977 1196287426 /nfs/dbraw/zinc/28/74/26/1196287426.db2.gz DDIMNTZZVXBTGZ-UHFFFAOYSA-N 0 1 322.408 3.394 20 30 DGEDMN C=CCN(Cc1ccnn1-c1ccncc1)Cc1ccccc1 ZINC001658927767 1196320891 /nfs/dbraw/zinc/32/08/91/1196320891.db2.gz ZMBVJFCCUGFAQE-UHFFFAOYSA-N 0 1 304.397 3.456 20 30 DGEDMN O[C@@H](CNCC#Cc1ccccc1)c1cc(F)cc(Cl)c1 ZINC001659060956 1196339608 /nfs/dbraw/zinc/33/96/08/1196339608.db2.gz CISOCRDYRUUIGV-KRWDZBQOSA-N 0 1 303.764 3.154 20 30 DGEDMN CC(C)[C@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C#N)o1 ZINC001659515992 1196390395 /nfs/dbraw/zinc/39/03/95/1196390395.db2.gz HTZVSGJOBUILLX-HXUWFJFHSA-N 0 1 323.440 3.494 20 30 DGEDMN C#C[C@H]1CC[C@H]([NH2+]Cc2ccc(Br)cc2[O-])CC1 ZINC001659951863 1196431533 /nfs/dbraw/zinc/43/15/33/1196431533.db2.gz GAYMYBKJUYBNGM-YEORSEQZSA-N 0 1 308.219 3.436 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1nccs1 ZINC001660446191 1196484866 /nfs/dbraw/zinc/48/48/66/1196484866.db2.gz FLOHBJZBRWKBHR-LSDHHAIUSA-N 0 1 321.490 3.359 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC[C@H](F)C1 ZINC001660529053 1196494157 /nfs/dbraw/zinc/49/41/57/1196494157.db2.gz KXLPCOJAPCINRW-KBPBESRZSA-N 0 1 304.837 3.095 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c[nH]cc1C1CC1 ZINC001660610283 1196503539 /nfs/dbraw/zinc/50/35/39/1196503539.db2.gz YCJNVXRVKVEGDI-UHFFFAOYSA-N 0 1 309.841 3.086 20 30 DGEDMN CC(C)CC(=O)NCCCN(Cc1ccccc1C#N)C1CC1 ZINC001660643895 1196508252 /nfs/dbraw/zinc/50/82/52/1196508252.db2.gz DZVGNPQULQAUPR-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCC1(N[C@H](C)c2ncc(C(=O)OC)s2)CCCCC1 ZINC001660766536 1196521842 /nfs/dbraw/zinc/52/18/42/1196521842.db2.gz OGCGOOOMZIHRQO-GFCCVEGCSA-N 0 1 306.431 3.307 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(CCCCC(F)(F)F)C1 ZINC001660810172 1196527468 /nfs/dbraw/zinc/52/74/68/1196527468.db2.gz KAVWOXLOZLOKHC-CYBMUJFWSA-N 0 1 306.372 3.266 20 30 DGEDMN N#Cc1cccc(CNCc2cccc3c2OC(F)(F)O3)c1 ZINC001660880066 1196538210 /nfs/dbraw/zinc/53/82/10/1196538210.db2.gz RJQASJOFKINGEG-UHFFFAOYSA-N 0 1 302.280 3.170 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(Cl)c2)C1 ZINC001660980161 1196550001 /nfs/dbraw/zinc/55/00/01/1196550001.db2.gz GLCLRCXWOJUTOA-RHSMWYFYSA-N 0 1 318.848 3.395 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001661024730 1196555175 /nfs/dbraw/zinc/55/51/75/1196555175.db2.gz YFDIGXJJICHFON-INIZCTEOSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@@H]2C2CCCC2)CC1 ZINC001661096739 1196565544 /nfs/dbraw/zinc/56/55/44/1196565544.db2.gz BMBTXUKOVFBLEA-RTBURBONSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@@H]2C2CCCC2)CC1 ZINC001661096736 1196566054 /nfs/dbraw/zinc/56/60/54/1196566054.db2.gz BMBTXUKOVFBLEA-MOPGFXCFSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001661156311 1196573591 /nfs/dbraw/zinc/57/35/91/1196573591.db2.gz FEXSWLXJZNUHLE-RDQDRAATSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc2cc[nH]c2c1)C1CC1 ZINC001661173122 1196575931 /nfs/dbraw/zinc/57/59/31/1196575931.db2.gz ZXWWYGQKGACCLY-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cc(C)c(C)o2)C1 ZINC001661207341 1196581993 /nfs/dbraw/zinc/58/19/93/1196581993.db2.gz NXEBJGHDORWVPX-OAHLLOKOSA-N 0 1 324.852 3.433 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001661427601 1196604700 /nfs/dbraw/zinc/60/47/00/1196604700.db2.gz ZZBWINZRWSPXNE-QAQDUYKDSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)c2cnn(C)c2)cc1Cl ZINC001661546680 1196617491 /nfs/dbraw/zinc/61/74/91/1196617491.db2.gz ZDXCHCCJMXSDSJ-LBPRGKRZSA-N 0 1 305.809 3.489 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1CCc1ccns1 ZINC001661866901 1196655737 /nfs/dbraw/zinc/65/57/37/1196655737.db2.gz XBEDDQBMJGMEKX-HNNXBMFYSA-N 0 1 321.490 3.013 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)C ZINC001661950365 1196665576 /nfs/dbraw/zinc/66/55/76/1196665576.db2.gz NOPDQESPXYEIBC-BZUAXINKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)Cc2ccccc2)C1 ZINC001661998205 1196673798 /nfs/dbraw/zinc/67/37/98/1196673798.db2.gz WWIJSZISANOUGU-DOTOQJQBSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001662235261 1196697666 /nfs/dbraw/zinc/69/76/66/1196697666.db2.gz PEQSCXNUBQTCHG-LRDDRELGSA-N 0 1 322.399 3.403 20 30 DGEDMN CC(C)c1ccoc1C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001662313850 1196706720 /nfs/dbraw/zinc/70/67/20/1196706720.db2.gz FPUSQMMTRXJLGI-MRXNPFEDSA-N 0 1 324.424 3.163 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2cccc(C(C)C)n2)C1 ZINC001662329533 1196708691 /nfs/dbraw/zinc/70/86/91/1196708691.db2.gz MBFZLINMWMRKCU-HNNXBMFYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N[C@H](C)c2cc(F)ccc2F)C1 ZINC001662330140 1196708812 /nfs/dbraw/zinc/70/88/12/1196708812.db2.gz UDDKSBKKYIABMZ-QWHCGFSZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001662366567 1196712672 /nfs/dbraw/zinc/71/26/72/1196712672.db2.gz GUUPZBXEYDGSKZ-KGLIPLIRSA-N 0 1 308.853 3.199 20 30 DGEDMN CC/C(C)=C\C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001662914454 1196772246 /nfs/dbraw/zinc/77/22/46/1196772246.db2.gz MWMXSYGQVIJTSB-RNQWEJQRSA-N 0 1 317.408 3.038 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC001663301237 1196830689 /nfs/dbraw/zinc/83/06/89/1196830689.db2.gz UYEBHCZCMCQLAB-VQTJNVASSA-N 0 1 320.436 3.015 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001663547416 1196901720 /nfs/dbraw/zinc/90/17/20/1196901720.db2.gz GOSHTQSPWIJVLQ-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cccc(Cl)c1F ZINC001664115853 1196972458 /nfs/dbraw/zinc/97/24/58/1196972458.db2.gz IJIPTNBBNOKBNQ-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN CC(C)C(CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N)C(C)C ZINC001664205741 1196980361 /nfs/dbraw/zinc/98/03/61/1196980361.db2.gz YMZBCWXRRGRUOW-GOEBONIOSA-N 0 1 323.481 3.263 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(CC(C)C)cc1 ZINC001664361479 1196992615 /nfs/dbraw/zinc/99/26/15/1196992615.db2.gz ZEZKOICBGDFYMD-UHFFFAOYSA-N 0 1 308.853 3.299 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc[nH]c1)c1ccc(C(C)C)cc1 ZINC001664561939 1197014303 /nfs/dbraw/zinc/01/43/03/1197014303.db2.gz SJETWOYVXQAKCP-LJQANCHMSA-N 0 1 323.440 3.222 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)(C)CC(F)F)c1ccccc1 ZINC001664583377 1197017126 /nfs/dbraw/zinc/01/71/26/1197017126.db2.gz MDPGAJGCVHDYML-OAHLLOKOSA-N 0 1 322.399 3.138 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc2ccccc2o1)c1ccccc1 ZINC001664599754 1197019789 /nfs/dbraw/zinc/01/97/89/1197019789.db2.gz WDDQYKGCIWQWOL-KRWDZBQOSA-N 0 1 318.376 3.127 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001664666979 1197029974 /nfs/dbraw/zinc/02/99/74/1197029974.db2.gz DJNBMYULMCOYMW-RTBURBONSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001664673587 1197031185 /nfs/dbraw/zinc/03/11/85/1197031185.db2.gz NLJLWNDDKAYVPY-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001664680107 1197033597 /nfs/dbraw/zinc/03/35/97/1197033597.db2.gz ZSXDUXIAOHZAGG-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(F)cc2C)C1 ZINC001664687759 1197036124 /nfs/dbraw/zinc/03/61/24/1197036124.db2.gz XQLAVLBRSKMNML-QGZVFWFLSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)ccc2C)C1 ZINC001664687916 1197036958 /nfs/dbraw/zinc/03/69/58/1197036958.db2.gz ZBPVRCBQYZXQNQ-GOSISDBHSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2CCC3(CC3)CC2)C1 ZINC001664823498 1197064172 /nfs/dbraw/zinc/06/41/72/1197064172.db2.gz HQOBMGQLLJPWEO-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)CC2CC2)C1 ZINC001664859417 1197070242 /nfs/dbraw/zinc/07/02/42/1197070242.db2.gz SJGUGDREOXBFEA-IBGZPJMESA-N 0 1 312.457 3.466 20 30 DGEDMN CCCCCC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001664974724 1197083321 /nfs/dbraw/zinc/08/33/21/1197083321.db2.gz GYDDEFTZWWJMGA-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C#Cc1ccc(NC(=O)N2C[C@H](C)N(CC)C[C@H]2C)c(Cl)c1 ZINC001664986164 1197084406 /nfs/dbraw/zinc/08/44/06/1197084406.db2.gz ZWCIWNUIQDNNAI-QWHCGFSZSA-N 0 1 319.836 3.268 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001665050019 1197091539 /nfs/dbraw/zinc/09/15/39/1197091539.db2.gz LXTYLHJZKCUIHF-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN Cc1nc2ccccc2nc1CNCc1ccc(CC#N)cc1 ZINC001665090332 1197095715 /nfs/dbraw/zinc/09/57/15/1197095715.db2.gz GDHNCRVNHVASRD-UHFFFAOYSA-N 0 1 302.381 3.294 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@H]2CCc3c2cccc3F)C1 ZINC001665481641 1197141738 /nfs/dbraw/zinc/14/17/38/1197141738.db2.gz MFUOWAIQJXQFPN-GUYCJALGSA-N 0 1 316.420 3.216 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001665481700 1197142517 /nfs/dbraw/zinc/14/25/17/1197142517.db2.gz RCGHMEDFESGYEV-ZBFHGGJFSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001665808446 1197199789 /nfs/dbraw/zinc/19/97/89/1197199789.db2.gz CSWMWXYSPZMWGG-NVXWUHKLSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1nc(C)cs1 ZINC001665827683 1197203055 /nfs/dbraw/zinc/20/30/55/1197203055.db2.gz ANCGXOQRISWJCX-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001665827930 1197203131 /nfs/dbraw/zinc/20/31/31/1197203131.db2.gz FPYKOFPSPHZYKA-KAPURRDVSA-N 0 1 311.429 3.065 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](CC)NCc1c(F)cccc1F ZINC001665833283 1197204132 /nfs/dbraw/zinc/20/41/32/1197204132.db2.gz GJMAXMBKVQSAAE-CQSZACIVSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cnc2ccccc2c1 ZINC001665849973 1197206470 /nfs/dbraw/zinc/20/64/70/1197206470.db2.gz KTWKRZXFCRIKEU-HNNXBMFYSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001665893248 1197213300 /nfs/dbraw/zinc/21/33/00/1197213300.db2.gz NIFQZGOMVFLSFM-IGEOTXOUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001666787083 1197285897 /nfs/dbraw/zinc/28/58/97/1197285897.db2.gz MKIWVTPSBGSVIF-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001666866040 1197292733 /nfs/dbraw/zinc/29/27/33/1197292733.db2.gz YIVYFOHFDWXQCN-CHWSQXEVSA-N 0 1 310.388 3.429 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)CCC1(C)CC1 ZINC001667023961 1197309355 /nfs/dbraw/zinc/30/93/55/1197309355.db2.gz RWTRXAXPFDDSGK-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](F)C(C)C)C1CCCCC1 ZINC001667140726 1197323336 /nfs/dbraw/zinc/32/33/36/1197323336.db2.gz XZJSWLQAAGGFOS-HUUCEWRRSA-N 0 1 318.864 3.388 20 30 DGEDMN N#C[C@H](c1ccccc1)c1ccc(NC(=O)Nc2c[nH]nn2)cc1 ZINC001667160580 1197325275 /nfs/dbraw/zinc/32/52/75/1197325275.db2.gz VAWRACGTSYMYIO-OAHLLOKOSA-N 0 1 318.340 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CCNCc1ccon1 ZINC001667278794 1197334691 /nfs/dbraw/zinc/33/46/91/1197334691.db2.gz DXZWPIOTKLPMFO-NVXWUHKLSA-N 0 1 319.449 3.138 20 30 DGEDMN CCc1ccc(Cl)c(CC)c1NC(=O)NCC#CCN(C)C ZINC001667335709 1197338669 /nfs/dbraw/zinc/33/86/69/1197338669.db2.gz BPMJNBMZFARZOM-UHFFFAOYSA-N 0 1 321.852 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccsc1 ZINC001667358313 1197340879 /nfs/dbraw/zinc/34/08/79/1197340879.db2.gz GGLWUSFNIQSGIA-FZMZJTMJSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1ncc(C)s1)C(C)C ZINC001667463182 1197351098 /nfs/dbraw/zinc/35/10/98/1197351098.db2.gz CMGXBGCSSKGONP-CQSZACIVSA-N 0 1 309.479 3.038 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccccc1C(C)(C)CC ZINC001667585435 1197365076 /nfs/dbraw/zinc/36/50/76/1197365076.db2.gz SCMJLNNITVNQSF-UHFFFAOYSA-N 0 1 314.473 3.401 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001667619464 1197370532 /nfs/dbraw/zinc/37/05/32/1197370532.db2.gz OSOHKUUXTFQVGL-UHFFFAOYSA-N 0 1 320.864 3.031 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)C1(CC)CCC1 ZINC001668525043 1197417924 /nfs/dbraw/zinc/41/79/24/1197417924.db2.gz RXNXXXZBRZQBKT-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CCC(C)(CC)C(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001669195134 1197443554 /nfs/dbraw/zinc/44/35/54/1197443554.db2.gz JYWGGIIRTRDYDN-SREVYHEPSA-N 0 1 319.474 3.208 20 30 DGEDMN CCC[C@@H](C(=O)N[C@H](C)CNCc1ccccc1C#N)C(C)C ZINC001669411738 1197453636 /nfs/dbraw/zinc/45/36/36/1197453636.db2.gz UQNAHFQGIYNAMW-CRAIPNDOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@H](CCC)C(C)C ZINC001669411704 1197453655 /nfs/dbraw/zinc/45/36/55/1197453655.db2.gz SUNJTWIOMGVURE-CHWSQXEVSA-N 0 1 319.287 3.062 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001669516434 1197460324 /nfs/dbraw/zinc/46/03/24/1197460324.db2.gz VYHQGHGMDMBBGH-NHXNDTTKSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001669652642 1197468417 /nfs/dbraw/zinc/46/84/17/1197468417.db2.gz BBCMPRDZROYPNS-ZDUSSCGKSA-N 0 1 323.506 3.391 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC[C@H](C)c1ccccc1 ZINC001669682200 1197470803 /nfs/dbraw/zinc/47/08/03/1197470803.db2.gz RIORGJCIUGONRJ-ZFWWWQNUSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001669815840 1197487011 /nfs/dbraw/zinc/48/70/11/1197487011.db2.gz LTFNIWVHZGTABK-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC12CCC2)C1CCCC1 ZINC001671559260 1197548612 /nfs/dbraw/zinc/54/86/12/1197548612.db2.gz QVHNDCSSVGMRDO-GJZGRUSLSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001671586186 1197550433 /nfs/dbraw/zinc/55/04/33/1197550433.db2.gz RAYBKYFZWLXFGY-RDWGPKLQSA-N 0 1 310.869 3.407 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1cncs1 ZINC001672528932 1197615557 /nfs/dbraw/zinc/61/55/57/1197615557.db2.gz AEICCGKUWHTQMB-HZPDHXFCSA-N 0 1 319.474 3.065 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc(F)ccc1C ZINC001672929576 1197629195 /nfs/dbraw/zinc/62/91/95/1197629195.db2.gz MLXJFCKRDBLUKO-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccccc1Oc1ccccc1 ZINC001673053110 1197635782 /nfs/dbraw/zinc/63/57/82/1197635782.db2.gz ZSVCVXBUKDYFRP-UHFFFAOYSA-N 0 1 323.396 3.165 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](CC)CC(F)F)CCC1 ZINC001673172589 1197641447 /nfs/dbraw/zinc/64/14/47/1197641447.db2.gz QAOHJCCRGQGBTR-LLVKDONJSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCCC2(F)F)CCC1 ZINC001673193677 1197642795 /nfs/dbraw/zinc/64/27/95/1197642795.db2.gz KCHRHOJJHAMPPZ-GFCCVEGCSA-N 0 1 320.811 3.193 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2CC)CCC1 ZINC001673201611 1197643150 /nfs/dbraw/zinc/64/31/50/1197643150.db2.gz HRAHIZONOCZMEN-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001673357156 1197650717 /nfs/dbraw/zinc/65/07/17/1197650717.db2.gz CDXWQZAGWCXINN-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN CCC1(C(=O)N[C@H]2CCCN(CC#Cc3ccccc3)CC2)CC1 ZINC001673398150 1197656440 /nfs/dbraw/zinc/65/64/40/1197656440.db2.gz YVZUYQPRYOTFKQ-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCCCCC(=O)NCc1ccc2c(c1)CN(CC(=C)C)C2 ZINC001673760160 1197690950 /nfs/dbraw/zinc/69/09/50/1197690950.db2.gz GHIARIDVOMJINE-UHFFFAOYSA-N 0 1 310.441 3.388 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C/C=C/c2ccc(C)cc2)C(C)(C)C1 ZINC001674039046 1197726119 /nfs/dbraw/zinc/72/61/19/1197726119.db2.gz GPKAQCNMFYHGFE-OVKVCFKUSA-N 0 1 324.468 3.248 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001674128745 1197736096 /nfs/dbraw/zinc/73/60/96/1197736096.db2.gz XFCFQONIDWOEMI-QSTROWBPSA-N 0 1 317.458 3.055 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1c2ccccc2CCN1CC(=C)C ZINC001674266287 1197753396 /nfs/dbraw/zinc/75/33/96/1197753396.db2.gz CZAQRXAESDTIOF-HXUWFJFHSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C=C(CC)CC ZINC001674419740 1197770453 /nfs/dbraw/zinc/77/04/53/1197770453.db2.gz DRSZLXVEOSKEKW-UYAOXDASSA-N 0 1 310.441 3.128 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@]1(C)CC=CCC1 ZINC001674424909 1197771625 /nfs/dbraw/zinc/77/16/25/1197771625.db2.gz GZEHUCHOPSCYNZ-SBHAEUEKSA-N 0 1 322.452 3.128 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1C[N@@H+](C)CCOC1CCC1 ZINC001674480977 1197783800 /nfs/dbraw/zinc/78/38/00/1197783800.db2.gz NODSBXYCNGZMNE-LPHOPBHVSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1C[N@@H+](C)CCOC1CCC1 ZINC001674480979 1197783941 /nfs/dbraw/zinc/78/39/41/1197783941.db2.gz NODSBXYCNGZMNE-VQIMIIECSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2nccs2)CCCC1 ZINC001674781025 1197871905 /nfs/dbraw/zinc/87/19/05/1197871905.db2.gz QWHCMJXADRJXSR-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001674908486 1197910184 /nfs/dbraw/zinc/91/01/84/1197910184.db2.gz PMLWXVWLXFLKRU-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cccs1 ZINC001675213083 1198007641 /nfs/dbraw/zinc/00/76/41/1198007641.db2.gz FMESJORSMFYXMA-LBPRGKRZSA-N 0 1 300.855 3.379 20 30 DGEDMN CCCC[C@@H](CNCc1ccccc1C#N)NC(=O)C=C(C)C ZINC001675213965 1198008309 /nfs/dbraw/zinc/00/83/09/1198008309.db2.gz NFYVFSIOCJPYGC-SFHVURJKSA-N 0 1 313.445 3.289 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC/C=C\c2ccccc2)C(C)(C)C1 ZINC001675473360 1198075942 /nfs/dbraw/zinc/07/59/42/1198075942.db2.gz LLJIKLGAGCNJIH-RKWFYDHTSA-N 0 1 324.468 3.330 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H](C)CCCNCc1cc(F)ccc1C#N ZINC001675857079 1198159031 /nfs/dbraw/zinc/15/90/31/1198159031.db2.gz IWGZQILUVXVXJN-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@]1(C)CC=CCC1 ZINC001676221639 1198252553 /nfs/dbraw/zinc/25/25/53/1198252553.db2.gz GKXYHAAMWHMBFD-RDJZCZTQSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1ccc(Cl)cc1F ZINC001676341363 1198295035 /nfs/dbraw/zinc/29/50/35/1198295035.db2.gz FRYLEHDNRAXYQC-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C[C@H]1C ZINC001676717573 1198371382 /nfs/dbraw/zinc/37/13/82/1198371382.db2.gz ZNGABAYNJCFIQG-OCCSQVGLSA-N 0 1 308.372 3.010 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]12CCC[C@@H]1N(C/C=C\Cl)CC2 ZINC001676817314 1198398701 /nfs/dbraw/zinc/39/87/01/1198398701.db2.gz WZDJVSCWMJHPDU-DFWYTYFRSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001676867515 1198414467 /nfs/dbraw/zinc/41/44/67/1198414467.db2.gz QQHLBPHOVYPFJM-ZIAGYGMSSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@H]1C ZINC001676898762 1198428479 /nfs/dbraw/zinc/42/84/79/1198428479.db2.gz RBPJLCNLLPERRZ-CHWSQXEVSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@H]1C ZINC001676898762 1198428483 /nfs/dbraw/zinc/42/84/83/1198428483.db2.gz RBPJLCNLLPERRZ-CHWSQXEVSA-N 0 1 318.383 3.264 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](C)C1CCCCC1)C(C)C ZINC001677215459 1198491373 /nfs/dbraw/zinc/49/13/73/1198491373.db2.gz OCJMUMGGXAVUMC-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001677253585 1198501827 /nfs/dbraw/zinc/50/18/27/1198501827.db2.gz XBBGPCGFNMNTGG-AWEZNQCLSA-N 0 1 323.506 3.428 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001677282145 1198508089 /nfs/dbraw/zinc/50/80/89/1198508089.db2.gz RBFIGNDIHUECHX-CRAIPNDOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1occc1Cl)C(C)(C)C ZINC001677281464 1198509003 /nfs/dbraw/zinc/50/90/03/1198509003.db2.gz JKEORICAUQSDLG-NSHDSACASA-N 0 1 319.232 3.420 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3cc(C#N)ccc3F)[nH]c2c1 ZINC001678266060 1198659277 /nfs/dbraw/zinc/65/92/77/1198659277.db2.gz HTFRKWGSNFKCND-UHFFFAOYSA-N 0 1 323.331 3.204 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(F)c(C(F)F)c2)CC1 ZINC001681657683 1199055760 /nfs/dbraw/zinc/05/57/60/1199055760.db2.gz MSFBDJDTJNUCGG-UHFFFAOYSA-N 0 1 312.335 3.097 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C(C)(C)C)cn2)CC1 ZINC001686989575 1199609649 /nfs/dbraw/zinc/60/96/49/1199609649.db2.gz NVGNVRCEVXWOHT-UHFFFAOYSA-N 0 1 315.461 3.103 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2ccccc2Cl)c1 ZINC000308133382 1199701958 /nfs/dbraw/zinc/70/19/58/1199701958.db2.gz LTGQIQMPNMSYID-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C[C@H](CC1CCCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000114618619 1199706272 /nfs/dbraw/zinc/70/62/72/1199706272.db2.gz NQYZTSRTZOHOMQ-UKRRQHHQSA-N 0 1 313.401 3.335 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccc(Cl)cc1 ZINC001688881063 1199940711 /nfs/dbraw/zinc/94/07/11/1199940711.db2.gz JSSDEQCAWKPJDS-QGZVFWFLSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1nccs1 ZINC001688891090 1199945458 /nfs/dbraw/zinc/94/54/58/1199945458.db2.gz JHISUPARJJQLMD-AWEZNQCLSA-N 0 1 307.463 3.036 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)CC(F)(F)F ZINC001688893271 1199946903 /nfs/dbraw/zinc/94/69/03/1199946903.db2.gz ADJOKWIUHLSVFT-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(C2CCCCC2)CC1 ZINC001688895199 1199947917 /nfs/dbraw/zinc/94/79/17/1199947917.db2.gz BYHAFVPOUYHOOP-QGZVFWFLSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@H](C)COC)CCCCC1 ZINC001688896815 1199948670 /nfs/dbraw/zinc/94/86/70/1199948670.db2.gz LBXBNULHSFWCPA-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2[C@H](C)COC)CCCCC1 ZINC001688896815 1199948674 /nfs/dbraw/zinc/94/86/74/1199948674.db2.gz LBXBNULHSFWCPA-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@@H](NCc2nc(C)cs2)C1 ZINC001688910975 1199955293 /nfs/dbraw/zinc/95/52/93/1199955293.db2.gz VRIIHHKCROIPNA-VBQJREDUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NCc2ncoc2C(C)C)C1 ZINC001688967195 1199974608 /nfs/dbraw/zinc/97/46/08/1199974608.db2.gz KLJPXKQFTWSKMB-LSDHHAIUSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](NCc2cscn2)C(C)C)CC1 ZINC001753839406 1199988920 /nfs/dbraw/zinc/98/89/20/1199988920.db2.gz ZYUVZCMMHFBGMM-MRXNPFEDSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001753861889 1200011791 /nfs/dbraw/zinc/01/17/91/1200011791.db2.gz UMIMXYZJEKFWOC-IBGZPJMESA-N 0 1 313.445 3.051 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001753862563 1200012644 /nfs/dbraw/zinc/01/26/44/1200012644.db2.gz DIWAXAGRWXZRIR-GOSISDBHSA-N 0 1 314.473 3.292 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001753869268 1200022314 /nfs/dbraw/zinc/02/23/14/1200022314.db2.gz NAYPYHYTFXCBLE-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cscc1C(F)F ZINC001754044529 1200085480 /nfs/dbraw/zinc/08/54/80/1200085480.db2.gz IJIYRRMHXZMYLQ-UHFFFAOYSA-N 0 1 322.808 3.490 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1cccc([N+](=O)[O-])c1 ZINC000119706233 1200114593 /nfs/dbraw/zinc/11/45/93/1200114593.db2.gz YBXIPIYCTWAONO-ZDUSSCGKSA-N 0 1 311.341 3.348 20 30 DGEDMN C[C@@H]1CCC[C@@]1(C)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001754166083 1200120524 /nfs/dbraw/zinc/12/05/24/1200120524.db2.gz DLRYXTFMKFMBSR-DYWSFYFFSA-N 0 1 324.468 3.126 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001754165888 1200120810 /nfs/dbraw/zinc/12/08/10/1200120810.db2.gz ASLXHEQBEYGOCX-HEVSSNLLSA-N 0 1 324.468 3.126 20 30 DGEDMN C[C@@H]1CCC[C@]1(C)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001754166085 1200121362 /nfs/dbraw/zinc/12/13/62/1200121362.db2.gz DLRYXTFMKFMBSR-XQYOYRGRSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001754179619 1200123623 /nfs/dbraw/zinc/12/36/23/1200123623.db2.gz LNADJTHQJUCXEY-UETVSFJRSA-N 0 1 312.885 3.463 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001754238983 1200145835 /nfs/dbraw/zinc/14/58/35/1200145835.db2.gz APWLJXFXMWJWCY-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001754241273 1200149496 /nfs/dbraw/zinc/14/94/96/1200149496.db2.gz VJSZWXZBSWHNLA-DGCLKSJQSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)c1ccc(C)cc1 ZINC001754249292 1200154428 /nfs/dbraw/zinc/15/44/28/1200154428.db2.gz LYPHIEJHUWCWIB-CQSZACIVSA-N 0 1 308.853 3.119 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cscc1C(F)F ZINC001754267024 1200164740 /nfs/dbraw/zinc/16/47/40/1200164740.db2.gz SSVNVJXCAOXVLH-QMMMGPOBSA-N 0 1 308.781 3.146 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2ccc(F)cc2s1 ZINC001754316755 1200203280 /nfs/dbraw/zinc/20/32/80/1200203280.db2.gz YDMTVVDWVKPZRM-GFCCVEGCSA-N 0 1 318.417 3.114 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1c2ccccc2oc1CC ZINC001754335978 1200229985 /nfs/dbraw/zinc/22/99/85/1200229985.db2.gz JCABWZQXQABDFM-CQSZACIVSA-N 0 1 312.413 3.069 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](CNCc1ccccc1C#N)C1CC1 ZINC001754448888 1200267224 /nfs/dbraw/zinc/26/72/24/1200267224.db2.gz HVWYSRXOMXAKLU-YCZZBHQNSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2coc(C)n2)[C@@H](CC)C1 ZINC001754463322 1200277247 /nfs/dbraw/zinc/27/72/47/1200277247.db2.gz BISZVYNCKGMVGE-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN O=C(CC1CCCCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001754507911 1200324722 /nfs/dbraw/zinc/32/47/22/1200324722.db2.gz KVYIFZFRFMJRQH-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(CC)Cc1cc(C)ns1 ZINC001689948013 1200341857 /nfs/dbraw/zinc/34/18/57/1200341857.db2.gz QERYVAUUCNWQCO-UHFFFAOYSA-N 0 1 323.506 3.382 20 30 DGEDMN C=CC[C@@H](C(=O)NCC1(NCC(=C)Cl)CC1)c1ccccc1 ZINC001754534182 1200345288 /nfs/dbraw/zinc/34/52/88/1200345288.db2.gz HBWJHTNDAVBEFH-MRXNPFEDSA-N 0 1 318.848 3.337 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001689975889 1200352971 /nfs/dbraw/zinc/35/29/71/1200352971.db2.gz PPBQTRKJNDHXHM-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H]2CCC[C@@H](C1)N2[C@@H](C)c1ccccc1 ZINC001754552303 1200358820 /nfs/dbraw/zinc/35/88/20/1200358820.db2.gz FSPDYEHFEIQKCL-YSIASYRMSA-N 0 1 324.468 3.472 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001690020612 1200369188 /nfs/dbraw/zinc/36/91/88/1200369188.db2.gz QALFBXOPHGDGGV-YIXHJXPBSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001754579087 1200375217 /nfs/dbraw/zinc/37/52/17/1200375217.db2.gz DKAILYRSMHNFKI-WCXIOVBPSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001754579086 1200376450 /nfs/dbraw/zinc/37/64/50/1200376450.db2.gz DKAILYRSMHNFKI-VQHPVUNQSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2ccc(C)cc2)C1 ZINC001754586024 1200382309 /nfs/dbraw/zinc/38/23/09/1200382309.db2.gz KKPVYGJNPHQNOC-UHFFFAOYSA-N 0 1 300.446 3.498 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1ccccc1 ZINC001754620623 1200398923 /nfs/dbraw/zinc/39/89/23/1200398923.db2.gz VEQXCUZVJKCDNC-HOCLYGCPSA-N 0 1 306.837 3.123 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(CF)cc1 ZINC001754622066 1200400320 /nfs/dbraw/zinc/40/03/20/1200400320.db2.gz DDJVWUJMUGMZID-HNNXBMFYSA-N 0 1 310.800 3.103 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001754631000 1200406789 /nfs/dbraw/zinc/40/67/89/1200406789.db2.gz FYQXQTVQFLESDA-QGZVFWFLSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN(C(=O)CCC(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001754634223 1200408610 /nfs/dbraw/zinc/40/86/10/1200408610.db2.gz LQWNYIMVYWKVNZ-YHYXMXQVSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc(F)c2C)CC1 ZINC001690134288 1200413952 /nfs/dbraw/zinc/41/39/52/1200413952.db2.gz MNNHVMZVUAUMSU-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](CC)SC)C1CCCC1 ZINC001754648195 1200414280 /nfs/dbraw/zinc/41/42/80/1200414280.db2.gz WJRPRPWUZOZDMO-KBPBESRZSA-N 0 1 318.914 3.145 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](F)c2ccccc2)CC1 ZINC001690136601 1200415342 /nfs/dbraw/zinc/41/53/42/1200415342.db2.gz PZZSGQQYBGLCBK-SFHVURJKSA-N 0 1 316.420 3.033 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001690139026 1200415731 /nfs/dbraw/zinc/41/57/31/1200415731.db2.gz XYYOADCUGFVIRT-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)/C=C\c2ccco2)C1 ZINC001690182126 1200439022 /nfs/dbraw/zinc/43/90/22/1200439022.db2.gz NIRLZNUROHJWGT-ZPIQOJFGSA-N 0 1 322.836 3.216 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001754703455 1200455551 /nfs/dbraw/zinc/45/55/51/1200455551.db2.gz PCVLTWFHXTYMIK-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001690388497 1200531847 /nfs/dbraw/zinc/53/18/47/1200531847.db2.gz KRBRRGNZSJHWTF-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)C[C@@H](C)OC)cc1 ZINC001754955231 1200555883 /nfs/dbraw/zinc/55/58/83/1200555883.db2.gz YDUDLNNSDPEXOU-ZIAGYGMSSA-N 0 1 324.852 3.131 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CC)CC1CCCC1 ZINC001690455103 1200570699 /nfs/dbraw/zinc/57/06/99/1200570699.db2.gz PYCJEKPUKXJZJK-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@H](C)c1ccccc1 ZINC001690458484 1200572027 /nfs/dbraw/zinc/57/20/27/1200572027.db2.gz DBRPVRKZLPVGIV-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001690476093 1200580695 /nfs/dbraw/zinc/58/06/95/1200580695.db2.gz OTFXJMPGOPOABF-KIWPFMIBSA-N 0 1 319.276 3.488 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001755036904 1200602676 /nfs/dbraw/zinc/60/26/76/1200602676.db2.gz WNYZSTBNMCOSFB-SFHVURJKSA-N 0 1 314.473 3.255 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@H](CNC(=O)C1(C)CC1)O2 ZINC001755054746 1200620148 /nfs/dbraw/zinc/62/01/48/1200620148.db2.gz ULRFJFOOWZKTQU-MRXNPFEDSA-N 0 1 322.493 3.107 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001690554484 1200623696 /nfs/dbraw/zinc/62/36/96/1200623696.db2.gz CMIASJQBDGLBFC-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001690568958 1200630379 /nfs/dbraw/zinc/63/03/79/1200630379.db2.gz QPXSUDRNDOYJMS-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1cc(F)ccc1OC ZINC001690611016 1200646582 /nfs/dbraw/zinc/64/65/82/1200646582.db2.gz YWQMISWPTYFKAZ-CYBMUJFWSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001690626797 1200656813 /nfs/dbraw/zinc/65/68/13/1200656813.db2.gz LWWNIPULOZIUNA-GOEBONIOSA-N 0 1 308.853 3.273 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(C)ns2)[C@H](C)C1 ZINC001755163421 1200670890 /nfs/dbraw/zinc/67/08/90/1200670890.db2.gz HTKFVAQIFUMZRM-ZIAGYGMSSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001755168968 1200674420 /nfs/dbraw/zinc/67/44/20/1200674420.db2.gz IZXVWQDEJKSUOM-UONOGXRCSA-N 0 1 317.458 3.055 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)SC ZINC001755171916 1200677555 /nfs/dbraw/zinc/67/75/55/1200677555.db2.gz GUUKKYZCPJTVPB-WMLDXEAASA-N 0 1 318.486 3.030 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)C[C@@H](C)CCC)CC2)C1 ZINC001755174012 1200679645 /nfs/dbraw/zinc/67/96/45/1200679645.db2.gz GLWOPAAMCSPTRH-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN CCC1(C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)CCCC1 ZINC001690681928 1200680758 /nfs/dbraw/zinc/68/07/58/1200680758.db2.gz RBICEEMKQZXXJI-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CCCCC(C)C ZINC001755186848 1200685039 /nfs/dbraw/zinc/68/50/39/1200685039.db2.gz JXTODLLMEYKKCZ-UYAOXDASSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)Cc1c(C)cccc1Cl ZINC001755194208 1200690951 /nfs/dbraw/zinc/69/09/51/1200690951.db2.gz PENHMMMIQFXRRQ-HNNXBMFYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cccc2c1CCCC2 ZINC001755193402 1200691250 /nfs/dbraw/zinc/69/12/50/1200691250.db2.gz XGLUPHIESYHERE-KRWDZBQOSA-N 0 1 312.457 3.288 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCC[C@H]1CN(C)CC#CC)c1ccccc1 ZINC001755201719 1200698990 /nfs/dbraw/zinc/69/89/90/1200698990.db2.gz WHKUIEPJCCXNTE-ICSRJNTNSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2ncc(C)o2)C1 ZINC001690782572 1200712929 /nfs/dbraw/zinc/71/29/29/1200712929.db2.gz ZILNBHLJVYJHNM-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001690816996 1200730029 /nfs/dbraw/zinc/73/00/29/1200730029.db2.gz IFYKPDCGRWKTDJ-IBGZPJMESA-N 0 1 324.468 3.173 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001755250642 1200736535 /nfs/dbraw/zinc/73/65/35/1200736535.db2.gz YPEHIIVNKHPHKN-OLZOCXBDSA-N 0 1 321.465 3.301 20 30 DGEDMN C=C[C@H](CC(=O)N1CCC[C@@H](N(C)CCF)C1)c1ccccc1 ZINC001690826822 1200739673 /nfs/dbraw/zinc/73/96/73/1200739673.db2.gz OTXQFROKCVUDCZ-SJLPKXTDSA-N 0 1 318.436 3.239 20 30 DGEDMN CC(C)CCC(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001690832002 1200740634 /nfs/dbraw/zinc/74/06/34/1200740634.db2.gz VSJXPDHKBYGUMD-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2ocnc2C)CCCC1 ZINC001755268820 1200750397 /nfs/dbraw/zinc/75/03/97/1200750397.db2.gz LBWZNZQJSDGQQG-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2ocnc2C)CCCC1 ZINC001755268818 1200750471 /nfs/dbraw/zinc/75/04/71/1200750471.db2.gz LBWZNZQJSDGQQG-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1coc2ccccc21 ZINC001755269579 1200751879 /nfs/dbraw/zinc/75/18/79/1200751879.db2.gz QVXKTLBIIHYKDK-VXGBXAGGSA-N 0 1 306.793 3.282 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1occc1-c1ccccc1 ZINC001755307701 1200788804 /nfs/dbraw/zinc/78/88/04/1200788804.db2.gz LMWXVPPDZYHDHD-HNNXBMFYSA-N 0 1 310.397 3.020 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](CCC)CC1CCCCC1 ZINC001755320271 1200809477 /nfs/dbraw/zinc/80/94/77/1200809477.db2.gz PLDGWJKHPMHNSN-AEFFLSMTSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc2[nH]ccc21 ZINC001691794238 1200810715 /nfs/dbraw/zinc/81/07/15/1200810715.db2.gz VVWDVMDOKHJUJY-INIZCTEOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccsc2C)C1 ZINC001691864515 1200844001 /nfs/dbraw/zinc/84/40/01/1200844001.db2.gz NYMCHUZPPBQTML-MRXNPFEDSA-N 0 1 306.475 3.098 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3cnccc32)C1 ZINC001691867477 1200846383 /nfs/dbraw/zinc/84/63/83/1200846383.db2.gz UVACKZXOIADHTO-QGZVFWFLSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001755401157 1200876190 /nfs/dbraw/zinc/87/61/90/1200876190.db2.gz FAORNUFOADSCII-RBUKOAKNSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)Cc2ccccc2F)C(C)(C)C1 ZINC001755411192 1200885518 /nfs/dbraw/zinc/88/55/18/1200885518.db2.gz IFCNNNYUAWSDHQ-PBHICJAKSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C(C)C)C1 ZINC001691999241 1200903972 /nfs/dbraw/zinc/90/39/72/1200903972.db2.gz RGFIWHJPTNYVGS-SFHVURJKSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001692006895 1200909326 /nfs/dbraw/zinc/90/93/26/1200909326.db2.gz AZJGJDJUKBGMOY-LZLYRXPVSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@H](NCc2nccs2)C1 ZINC001692120665 1200938556 /nfs/dbraw/zinc/93/85/56/1200938556.db2.gz IPYNCLBFYFVZEP-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H]1CC ZINC001692163354 1200955512 /nfs/dbraw/zinc/95/55/12/1200955512.db2.gz HDFURCLRBQLUKD-UHOFOFEASA-N 0 1 319.474 3.135 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001755582257 1200968489 /nfs/dbraw/zinc/96/84/89/1200968489.db2.gz OSPMZHWGFSPRTO-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC[C@H](C)c2ccccc2)[C@H]1C ZINC001692208839 1200970815 /nfs/dbraw/zinc/97/08/15/1200970815.db2.gz ZJYFQLXUNDKDBB-SCTDSRPQSA-N 0 1 312.457 3.173 20 30 DGEDMN CC(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001755588519 1200973611 /nfs/dbraw/zinc/97/36/11/1200973611.db2.gz AYSNQAADDNBBMY-HNNXBMFYSA-N 0 1 315.461 3.177 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001755589034 1200974053 /nfs/dbraw/zinc/97/40/53/1200974053.db2.gz LHIRVCHLIONGMA-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C[C@H](CN(C)C(=O)[C@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001755593315 1200976561 /nfs/dbraw/zinc/97/65/61/1200976561.db2.gz VNIVSZCRIJCLKF-CABCVRRESA-N 0 1 315.461 3.177 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001692241048 1200980861 /nfs/dbraw/zinc/98/08/61/1200980861.db2.gz BRDIBDRIHXLDDM-AYOQOUSVSA-N 0 1 312.457 3.254 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1cc(O)ccc1Cl ZINC001755602994 1200981885 /nfs/dbraw/zinc/98/18/85/1200981885.db2.gz UEWBQKSHIAYIDF-CYBMUJFWSA-N 0 1 324.852 3.338 20 30 DGEDMN C#CCC1(C(=O)NC2CN(CCC3CCCC3)C2)CCCCC1 ZINC001692271146 1200998212 /nfs/dbraw/zinc/99/82/12/1200998212.db2.gz ZAGNCJSWWMLULE-UHFFFAOYSA-N 0 1 316.489 3.341 20 30 DGEDMN CCCC(=O)N1C[C@H](NCC#Cc2ccc(Cl)cc2)C[C@H]1C ZINC001755669722 1201007309 /nfs/dbraw/zinc/00/73/09/1201007309.db2.gz MUHNXBQGWMNAKP-RHSMWYFYSA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(OC)cc2)[C@H]1C ZINC001755713520 1201034431 /nfs/dbraw/zinc/03/44/31/1201034431.db2.gz ACJNWOVONRNNLW-MAUKXSAKSA-N 0 1 316.445 3.131 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCC[C@H]1CC ZINC001692368679 1201040500 /nfs/dbraw/zinc/04/05/00/1201040500.db2.gz CGCITCZSMWXTNM-OWSLCNJRSA-N 0 1 322.493 3.057 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H](C)c1ccccc1 ZINC001692372724 1201040546 /nfs/dbraw/zinc/04/05/46/1201040546.db2.gz KOHYNTSRUDGSBL-NEWSRXKRSA-N 0 1 310.441 3.226 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)CC(F)(F)F)[C@H]1C ZINC001755721655 1201042377 /nfs/dbraw/zinc/04/23/77/1201042377.db2.gz PBNUNUDZTIQAFT-VXGBXAGGSA-N 0 1 306.372 3.120 20 30 DGEDMN CC(C)C(=O)NC1(C2CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001755867460 1201115425 /nfs/dbraw/zinc/11/54/25/1201115425.db2.gz NJIMUXHWGXGTSR-UHFFFAOYSA-N 0 1 324.468 3.055 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC000229810879 1201152484 /nfs/dbraw/zinc/15/24/84/1201152484.db2.gz PAGIEPPQLQMGHE-INIZCTEOSA-N 0 1 315.417 3.047 20 30 DGEDMN CC(C)c1ncc(CNCc2cccc(OCC#N)c2)s1 ZINC000132482610 1201172149 /nfs/dbraw/zinc/17/21/49/1201172149.db2.gz XMDGTPUCXQTOBG-UHFFFAOYSA-N 0 1 301.415 3.459 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cccc(F)c2)CC1 ZINC001698723180 1201365364 /nfs/dbraw/zinc/36/53/64/1201365364.db2.gz ZOFWTBSXFHHKGP-UHFFFAOYSA-N 0 1 324.827 3.410 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C2(CCC)CCC2)C1 ZINC001698789104 1201418938 /nfs/dbraw/zinc/41/89/38/1201418938.db2.gz SZLUGYYABDQIAS-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC001698792600 1201421383 /nfs/dbraw/zinc/42/13/83/1201421383.db2.gz SSJZZZJBTYNCNJ-SJORKVTESA-N 0 1 324.509 3.088 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3cscn3)CCCC2)C1 ZINC001698801308 1201431932 /nfs/dbraw/zinc/43/19/32/1201431932.db2.gz LSKYWKJRZJHSMB-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2ccccc2o1 ZINC001698857102 1201512486 /nfs/dbraw/zinc/51/24/86/1201512486.db2.gz SSJASNIHGDVWHA-GFCCVEGCSA-N 0 1 306.793 3.235 20 30 DGEDMN Cc1ccc(C(=O)N(C)[C@@H](C)CNCc2ccccc2C#N)cc1 ZINC001698856384 1201513807 /nfs/dbraw/zinc/51/38/07/1201513807.db2.gz VBHHRUDCBVZSGK-INIZCTEOSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cncc2ccccc21 ZINC001698861308 1201519584 /nfs/dbraw/zinc/51/95/84/1201519584.db2.gz OSOWWKPNMHXVKU-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C(C)=C1CCC1 ZINC001698941188 1201589549 /nfs/dbraw/zinc/58/95/49/1201589549.db2.gz SPUYZYNCUOEKDX-MLGOLLRUSA-N 0 1 310.869 3.456 20 30 DGEDMN CN(CCN(C)C(=O)CCCC1CC1)Cc1ccc(C#N)cc1 ZINC001698993810 1201659091 /nfs/dbraw/zinc/65/90/91/1201659091.db2.gz PAIWBEOBVNYCMR-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C\C(C)(C)C)CC[C@H]21 ZINC001699006555 1201676698 /nfs/dbraw/zinc/67/66/98/1201676698.db2.gz CXXWYBLZULFNBH-OVUXIIJLSA-N 0 1 310.869 3.264 20 30 DGEDMN C/C=C(\C)C(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001750937985 1201731700 /nfs/dbraw/zinc/73/17/00/1201731700.db2.gz SJRFPPRFCYHDAW-VXQLYJCQSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(Cl)c(F)c1 ZINC001699312419 1201787125 /nfs/dbraw/zinc/78/71/25/1201787125.db2.gz VYWCNWJFMHLZBS-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2c(s1)CCCC2 ZINC001699341388 1201796808 /nfs/dbraw/zinc/79/68/08/1201796808.db2.gz ZSSURWOFELQEIU-SNAWJCMRSA-N 0 1 324.877 3.255 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H](C)c1ccccc1 ZINC001752223924 1201803725 /nfs/dbraw/zinc/80/37/25/1201803725.db2.gz WCHZKRHPOCKLHR-HNNXBMFYSA-N 0 1 308.853 3.371 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001699374548 1201820915 /nfs/dbraw/zinc/82/09/15/1201820915.db2.gz UZIBJDQGKCYLQG-NEPJUHHUSA-N 0 1 310.388 3.332 20 30 DGEDMN CN(C(=O)CCC1CC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001752297034 1201824377 /nfs/dbraw/zinc/82/43/77/1201824377.db2.gz AWBOTTUGGHSFIN-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1cc(F)ccc1OC ZINC001699379614 1201827651 /nfs/dbraw/zinc/82/76/51/1201827651.db2.gz FGXWJVDQGQLGLV-CYBMUJFWSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@]1(C)CC=C(C)CC1)C1CC1 ZINC001752498905 1201857741 /nfs/dbraw/zinc/85/77/41/1201857741.db2.gz GSHFUDAZQVBLKN-NVXWUHKLSA-N 0 1 310.869 3.360 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCC[C@H](C)CC ZINC001752536443 1201871272 /nfs/dbraw/zinc/87/12/72/1201871272.db2.gz WEFKLUQKDZOAHO-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001752758114 1201908879 /nfs/dbraw/zinc/90/88/79/1201908879.db2.gz NPPLBPUASAQEOA-JKIFEVAISA-N 0 1 321.490 3.099 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001752778970 1201917981 /nfs/dbraw/zinc/91/79/81/1201917981.db2.gz OQLIGYRUZAQWDW-KYSILRCISA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)CC1CCCCC1 ZINC001752822648 1201949121 /nfs/dbraw/zinc/94/91/21/1201949121.db2.gz LTGIEKBYVCGBKI-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001752874781 1201987639 /nfs/dbraw/zinc/98/76/39/1201987639.db2.gz MCAQTDYQEUKIMZ-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001752874780 1201988171 /nfs/dbraw/zinc/98/81/71/1201988171.db2.gz MCAQTDYQEUKIMZ-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001699631998 1201994642 /nfs/dbraw/zinc/99/46/42/1201994642.db2.gz IKTGYHGMGKHCSI-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC(C)(CC(=O)NCC1(NCC#Cc2ccccc2)CC1)C1CC1 ZINC001699651687 1202015091 /nfs/dbraw/zinc/01/50/91/1202015091.db2.gz GDFBGLSUVNRFHH-UHFFFAOYSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001699661497 1202021214 /nfs/dbraw/zinc/02/12/14/1202021214.db2.gz OQJLAYCFSMJFTB-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC001699667772 1202024796 /nfs/dbraw/zinc/02/47/96/1202024796.db2.gz DASFQRYVNUBJCA-CQSZACIVSA-N 0 1 320.864 3.343 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(C[C@@H](C)CC(C)C)C2)cc1 ZINC001699719244 1202050752 /nfs/dbraw/zinc/05/07/52/1202050752.db2.gz YYCISDFGAZJYMK-INIZCTEOSA-N 0 1 312.457 3.106 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cnc3ccccc3c2)C1 ZINC001699721523 1202053112 /nfs/dbraw/zinc/05/31/12/1202053112.db2.gz NDHAZKUAEYFPRE-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@@H](C)NCc2csc(C)n2)CC1 ZINC001753013270 1202058196 /nfs/dbraw/zinc/05/81/96/1202058196.db2.gz FARQAPJLOYGBKK-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C#CCN(C(=O)/C(C)=C/CC)C1CCN(C/C=C\Cl)CC1 ZINC001699777577 1202076239 /nfs/dbraw/zinc/07/62/39/1202076239.db2.gz SLORJWIKAHXTFD-GLAPMDOXSA-N 0 1 308.853 3.021 20 30 DGEDMN C#CCN(C(=O)C[C@H](C=C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001699784555 1202077032 /nfs/dbraw/zinc/07/70/32/1202077032.db2.gz LCYIIIQRSXRREI-BSDKJSHOSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCCCC(=O)NCCN(C)[C@H](C)c1ccc(F)c(Cl)c1 ZINC001753047579 1202080085 /nfs/dbraw/zinc/08/00/85/1202080085.db2.gz MVRPXTXPIZWDAJ-CYBMUJFWSA-N 0 1 324.827 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)C(F)F)C1CCCC1 ZINC001699797377 1202082435 /nfs/dbraw/zinc/08/24/35/1202082435.db2.gz UWTJVGOJIWGDNM-GFCCVEGCSA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2ccc(Cl)cc2)C1 ZINC001699809793 1202087748 /nfs/dbraw/zinc/08/77/48/1202087748.db2.gz DBLWJVBRBQONOT-QGZVFWFLSA-N 0 1 306.837 3.387 20 30 DGEDMN CCC1(C(=O)NCCN(C)Cc2cccc(C#N)c2)CCCC1 ZINC001753069168 1202099737 /nfs/dbraw/zinc/09/97/37/1202099737.db2.gz CBRDXIPJPYWMHR-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CCCC(=O)NCC1(C)CCN(Cc2nc(C)cs2)CC1 ZINC001699857744 1202115436 /nfs/dbraw/zinc/11/54/36/1202115436.db2.gz NMJFTCGFIFEYFT-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001699873063 1202128847 /nfs/dbraw/zinc/12/88/47/1202128847.db2.gz KCNKWRRDXNSYJQ-OAQYLSRUSA-N 0 1 324.468 3.419 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC(C1CCC1)C1CCC1 ZINC001753111520 1202140969 /nfs/dbraw/zinc/14/09/69/1202140969.db2.gz JRQXJGHWHPNIJM-SFHVURJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001700019629 1202165724 /nfs/dbraw/zinc/16/57/24/1202165724.db2.gz SNYMXSZMMSJZJS-GBESFXJTSA-N 0 1 316.489 3.315 20 30 DGEDMN CC#CCN(CCNC(=O)CCC1CCCCCC1)C1CC1 ZINC001753156875 1202173372 /nfs/dbraw/zinc/17/33/72/1202173372.db2.gz MMEOQVJMGXLHLC-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN CC#CCN(CCNC(=O)CC(C1CCC1)C1CCC1)C1CC1 ZINC001753160014 1202175077 /nfs/dbraw/zinc/17/50/77/1202175077.db2.gz FNPCAZHIPFOEGK-UHFFFAOYSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC[C@@H](C)C1 ZINC001700089689 1202176586 /nfs/dbraw/zinc/17/65/86/1202176586.db2.gz BGPZULVZNCGFQT-SJORKVTESA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(Cl)c1C ZINC001753191708 1202182408 /nfs/dbraw/zinc/18/24/08/1202182408.db2.gz KMHWAFGSFDEGPW-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CCC(F)(F)C2)CCC1 ZINC001700132043 1202187462 /nfs/dbraw/zinc/18/74/62/1202187462.db2.gz MWISFBVTKPIPSR-LBPRGKRZSA-N 0 1 320.811 3.193 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCc3ccns3)CCC2)CCC1 ZINC001700135822 1202188955 /nfs/dbraw/zinc/18/89/55/1202188955.db2.gz JJSFIPVNHAGDTC-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C2CCC2)CCC1)c1ccccc1 ZINC001753299948 1202200222 /nfs/dbraw/zinc/20/02/22/1202200222.db2.gz KGYHDPQJNCOMSD-SFHVURJKSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccoc2CCC)C1 ZINC001753320230 1202209263 /nfs/dbraw/zinc/20/92/63/1202209263.db2.gz WHHLLDYFJVTEOK-HNNXBMFYSA-N 0 1 304.434 3.345 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C=C(CC)CC)cc2C1 ZINC001700253650 1202218412 /nfs/dbraw/zinc/21/84/12/1202218412.db2.gz JSVNAMMVQYBLKY-UHFFFAOYSA-N 0 1 310.441 3.388 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2ccccc2C)C1 ZINC001753395625 1202240272 /nfs/dbraw/zinc/24/02/72/1202240272.db2.gz PGEBKLXHFRHMKL-HOTGVXAUSA-N 0 1 300.446 3.254 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C\Cl ZINC001700348195 1202243550 /nfs/dbraw/zinc/24/35/50/1202243550.db2.gz JXZDRFPOQMWTNG-JAYNKOPWSA-N 0 1 318.848 3.467 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001753411684 1202245351 /nfs/dbraw/zinc/24/53/51/1202245351.db2.gz VJQNCUNXOMHULB-UHFFFAOYSA-N 0 1 314.473 3.454 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(CC(C)C)cc1 ZINC001700364443 1202250594 /nfs/dbraw/zinc/25/05/94/1202250594.db2.gz LEJQCPHNNDOMPB-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001753436346 1202253658 /nfs/dbraw/zinc/25/36/58/1202253658.db2.gz JITFKVPPPUCSEM-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)C1CCCCC1 ZINC001700383971 1202256773 /nfs/dbraw/zinc/25/67/73/1202256773.db2.gz DVRAVFMYHJYWRP-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC001700391541 1202260309 /nfs/dbraw/zinc/26/03/09/1202260309.db2.gz AXQSKWZGKPKBAX-CHWSQXEVSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1ccncc1Cl ZINC001700403467 1202263563 /nfs/dbraw/zinc/26/35/63/1202263563.db2.gz YFEYJAUYYSLPPA-STQMWFEESA-N 0 1 309.841 3.074 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1ccc(C)cc1 ZINC001700406789 1202263947 /nfs/dbraw/zinc/26/39/47/1202263947.db2.gz AVYDDQLEYKFHAH-GJZGRUSLSA-N 0 1 308.853 3.163 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1ccc(C)cc1 ZINC001700406791 1202264124 /nfs/dbraw/zinc/26/41/24/1202264124.db2.gz AVYDDQLEYKFHAH-LSDHHAIUSA-N 0 1 308.853 3.163 20 30 DGEDMN C[C@@H](NC(=O)CCCC1CC1)[C@H](C)NCc1ccccc1C#N ZINC001700412543 1202266008 /nfs/dbraw/zinc/26/60/08/1202266008.db2.gz FAOWVXLGBGRHLA-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@@H](C)NCc2oc(C)nc2C)CC1 ZINC001700424966 1202269486 /nfs/dbraw/zinc/26/94/86/1202269486.db2.gz ZFYFHZSBWQRFRQ-CHWSQXEVSA-N 0 1 319.449 3.021 20 30 DGEDMN CC[C@H](CNC(=O)[C@@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001753478777 1202269750 /nfs/dbraw/zinc/26/97/50/1202269750.db2.gz MIVVAZWUSQNRPQ-RHSMWYFYSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CCNCc2cscn2)C1 ZINC001753547457 1202287511 /nfs/dbraw/zinc/28/75/11/1202287511.db2.gz DPCINBCYVJELFK-OAHLLOKOSA-N 0 1 321.490 3.218 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001700480885 1202291524 /nfs/dbraw/zinc/29/15/24/1202291524.db2.gz VPJNJXGNNUSLCY-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCCC[C@H](CNCc1ccccc1C#N)NC(=O)C(C)(C)F ZINC001700517726 1202301747 /nfs/dbraw/zinc/30/17/47/1202301747.db2.gz SZLTVXHGCZRGAM-MRXNPFEDSA-N 0 1 319.424 3.071 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CCCC)CNCc2ocnc2C)C1 ZINC001700521934 1202303485 /nfs/dbraw/zinc/30/34/85/1202303485.db2.gz ZGOPKECPWLUQEO-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C(C)(C)CC(C)C ZINC001700525247 1202304500 /nfs/dbraw/zinc/30/45/00/1202304500.db2.gz CDWYBPNAXXLRPN-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001700541933 1202309787 /nfs/dbraw/zinc/30/97/87/1202309787.db2.gz YOHURBMWWVAAQT-JEZWAEDTSA-N 0 1 312.457 3.493 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)C=C(C)C)C2)C1 ZINC001700564031 1202313729 /nfs/dbraw/zinc/31/37/29/1202313729.db2.gz QGEXIVVCGFETCJ-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(C)C)cn1 ZINC001753712884 1202319182 /nfs/dbraw/zinc/31/91/82/1202319182.db2.gz SWPISJXZVNEQFB-ZDUSSCGKSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C#CC(C)C)C1CCCCC1 ZINC001753745838 1202328156 /nfs/dbraw/zinc/32/81/56/1202328156.db2.gz JTZWBYURXWZAGQ-INIZCTEOSA-N 0 1 310.869 3.053 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCNCc2ncc(C)s2)C1 ZINC001700672652 1202331768 /nfs/dbraw/zinc/33/17/68/1202331768.db2.gz HBKIGMBYRNVWJZ-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C1CC(F)(F)C1 ZINC001753805843 1202337016 /nfs/dbraw/zinc/33/70/16/1202337016.db2.gz SHOHBCCTNOXDRW-GXFFZTMASA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001700755068 1202348843 /nfs/dbraw/zinc/34/88/43/1202348843.db2.gz GBEDAMRXTKGSKR-ISZGNANSSA-N 0 1 324.827 3.418 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1ccc(C)cc1 ZINC001700756132 1202349019 /nfs/dbraw/zinc/34/90/19/1202349019.db2.gz ATBQYQOWGGZNLW-HNNXBMFYSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001700779806 1202353776 /nfs/dbraw/zinc/35/37/76/1202353776.db2.gz GAZBXHVRWZUJCP-HOCLYGCPSA-N 0 1 322.880 3.363 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)c2cnns2)[C@@H]1C ZINC001700880500 1202364453 /nfs/dbraw/zinc/36/44/53/1202364453.db2.gz SRJIONRTSQDDTI-KBPBESRZSA-N 0 1 324.494 3.091 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](CNCc2nc(C)c(C)o2)C1 ZINC001700902715 1202368087 /nfs/dbraw/zinc/36/80/87/1202368087.db2.gz RDPOWUXRKRXFNG-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCC(C)(F)F)CC1(C)C ZINC001700921991 1202370505 /nfs/dbraw/zinc/37/05/05/1202370505.db2.gz RTHWYZFARIOBRV-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1ccc(C)nc1C)C(C)C ZINC001700973723 1202373935 /nfs/dbraw/zinc/37/39/35/1202373935.db2.gz CTVCMNDJLUFGLA-UHFFFAOYSA-N 0 1 317.477 3.333 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC1(C=C)CCCCC1)C(C)C ZINC001700975972 1202374358 /nfs/dbraw/zinc/37/43/58/1202374358.db2.gz XQJYNKAGTIYBCO-UHFFFAOYSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1ccc(CCCC)cc1 ZINC001707046069 1202568803 /nfs/dbraw/zinc/56/88/03/1202568803.db2.gz KVVHZXXYAOEEAU-IBGZPJMESA-N 0 1 314.473 3.290 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1cnoc1C ZINC001707161104 1202574832 /nfs/dbraw/zinc/57/48/32/1202574832.db2.gz GNVLKAJIEXPANC-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC/C=C/c2ccccc2)[C@H]1C ZINC001707259082 1202578895 /nfs/dbraw/zinc/57/88/95/1202578895.db2.gz YLDRLSLTMAUNOJ-JWIPOZPYSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)C[C@H](C)CC)C2 ZINC001707277443 1202580390 /nfs/dbraw/zinc/58/03/90/1202580390.db2.gz ITKDMUVWNQPYHA-CYBMUJFWSA-N 0 1 321.490 3.130 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)C[C@@H](C)CC)C2 ZINC001707277445 1202580510 /nfs/dbraw/zinc/58/05/10/1202580510.db2.gz ITKDMUVWNQPYHA-ZDUSSCGKSA-N 0 1 321.490 3.130 20 30 DGEDMN C=C(Br)CNCc1c[nH]nc1-c1ccccc1F ZINC000151937666 1202593547 /nfs/dbraw/zinc/59/35/47/1202593547.db2.gz ZXBBJWAYADDHPF-UHFFFAOYSA-N 0 1 310.170 3.214 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001712940201 1202605495 /nfs/dbraw/zinc/60/54/95/1202605495.db2.gz ZUZVSOQSLWWXQR-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCCCC(=O)NCCCN(C)Cc1cc(C)ccc1F ZINC001712965883 1202606874 /nfs/dbraw/zinc/60/68/74/1202606874.db2.gz LLEJUKOSXKDOKI-UHFFFAOYSA-N 0 1 318.436 3.266 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1(C(F)F)CCCC1 ZINC001713022204 1202610973 /nfs/dbraw/zinc/61/09/73/1202610973.db2.gz XTQMPFIKJGJIDK-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(OC)cc1C ZINC001713020801 1202610696 /nfs/dbraw/zinc/61/06/96/1202610696.db2.gz BCJPSRJYOUHNFN-UHFFFAOYSA-N 0 1 324.852 3.198 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C1CCN(CC#CC)CC1)c1ccccc1 ZINC001713056488 1202613365 /nfs/dbraw/zinc/61/33/65/1202613365.db2.gz BSUXLTNFVWNHDK-SFHVURJKSA-N 0 1 324.468 3.292 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)CCC(C)(C)C)CC1 ZINC001713056947 1202613477 /nfs/dbraw/zinc/61/34/77/1202613477.db2.gz AGIIQIJOAFHQRZ-INIZCTEOSA-N 0 1 306.494 3.395 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)c2nccs2)C1 ZINC001713063903 1202614266 /nfs/dbraw/zinc/61/42/66/1202614266.db2.gz DTQSVJGZLPZUCX-CQSZACIVSA-N 0 1 309.479 3.308 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001713091048 1202616298 /nfs/dbraw/zinc/61/62/98/1202616298.db2.gz XDPBNBIYJRXLRK-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H](C)CO1 ZINC001713142469 1202621833 /nfs/dbraw/zinc/62/18/33/1202621833.db2.gz VLIJJOVCSYDFTB-WNRNVDISSA-N 0 1 322.493 3.057 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001713166172 1202623500 /nfs/dbraw/zinc/62/35/00/1202623500.db2.gz KONFSELGJPUDJK-IHRRRGAJSA-N 0 1 321.490 3.045 20 30 DGEDMN C[C@H](CNC(=O)C=C1CCCCC1)NCc1ccccc1C#N ZINC001713302202 1202637396 /nfs/dbraw/zinc/63/73/96/1202637396.db2.gz ZGPVTVLISZUFRI-OAHLLOKOSA-N 0 1 311.429 3.043 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001713503216 1202661367 /nfs/dbraw/zinc/66/13/67/1202661367.db2.gz MZFPBSWMQCVCNT-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1[C@@H](C)c1ccccc1F ZINC001713504824 1202661954 /nfs/dbraw/zinc/66/19/54/1202661954.db2.gz QGDIVYKJLDCBLK-HOTGVXAUSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN1Cc1ccc(C)nc1C ZINC001713506625 1202662086 /nfs/dbraw/zinc/66/20/86/1202662086.db2.gz BUQLMWDGBKYECS-SFHVURJKSA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN1Cc1c(C)nsc1C ZINC001713507668 1202662459 /nfs/dbraw/zinc/66/24/59/1202662459.db2.gz STLMVZROOOHKSO-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001713560371 1202670643 /nfs/dbraw/zinc/67/06/43/1202670643.db2.gz FZXBQKIRRPFJQX-AYSLTRBKSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001713605641 1202673207 /nfs/dbraw/zinc/67/32/07/1202673207.db2.gz MFQQSKSAVLXTQI-IAGOWNOFSA-N 0 1 320.864 3.371 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001713720708 1202681178 /nfs/dbraw/zinc/68/11/78/1202681178.db2.gz BKDWDCZTQQHRTD-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001713720717 1202681315 /nfs/dbraw/zinc/68/13/15/1202681315.db2.gz BPMUKXLNFQTEKG-KGLIPLIRSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cccc(F)c2C)C1 ZINC001713752672 1202686256 /nfs/dbraw/zinc/68/62/56/1202686256.db2.gz TWXPHFXCMHPFBM-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001713760234 1202687514 /nfs/dbraw/zinc/68/75/14/1202687514.db2.gz AVJIIOUCRTZQOY-MNAZLIDISA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CSCCC)CC1 ZINC001713761918 1202687727 /nfs/dbraw/zinc/68/77/27/1202687727.db2.gz WAJHUEOPHFRHTB-UHFFFAOYSA-N 0 1 318.914 3.053 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC001713765544 1202688259 /nfs/dbraw/zinc/68/82/59/1202688259.db2.gz SXGFFPAKOVFSDH-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC001713835905 1202694328 /nfs/dbraw/zinc/69/43/28/1202694328.db2.gz BDCMBEAASZEFMQ-MRXNPFEDSA-N 0 1 300.446 3.001 20 30 DGEDMN C=CCCCC(=O)NC1CN(CC[C@@H]2CCc3ccccc32)C1 ZINC001713836713 1202694600 /nfs/dbraw/zinc/69/46/00/1202694600.db2.gz POPRLICSLCFXJD-KRWDZBQOSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2cc(Cl)cs2)C1 ZINC001713840880 1202695034 /nfs/dbraw/zinc/69/50/34/1202695034.db2.gz YHPPRYONXXKEEG-UHFFFAOYSA-N 0 1 312.866 3.101 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCCc2sccc2C)C1 ZINC001713853803 1202695853 /nfs/dbraw/zinc/69/58/53/1202695853.db2.gz NINHRGJFCLJIIM-UHFFFAOYSA-N 0 1 306.475 3.146 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001713856683 1202696336 /nfs/dbraw/zinc/69/63/36/1202696336.db2.gz TWECIOFGHZENGC-MRXNPFEDSA-N 0 1 300.446 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1(C)CCC(C)CC1)C1CC1 ZINC001713885640 1202698907 /nfs/dbraw/zinc/69/89/07/1202698907.db2.gz NPFUHYQPORWONE-VXKCQOAXSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CCCCC ZINC001713912372 1202701696 /nfs/dbraw/zinc/70/16/96/1202701696.db2.gz VXZFKVZUKFVYCM-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001713922037 1202702457 /nfs/dbraw/zinc/70/24/57/1202702457.db2.gz AQJDKMSWTTYELD-LLVKDONJSA-N 0 1 312.866 3.129 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001713924317 1202702694 /nfs/dbraw/zinc/70/26/94/1202702694.db2.gz FSFPCZSDMQWWIX-UHFFFAOYSA-N 0 1 312.457 3.123 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001714008289 1202724874 /nfs/dbraw/zinc/72/48/74/1202724874.db2.gz IXZBBSXAJDJUAA-OKILXGFUSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001714011300 1202726313 /nfs/dbraw/zinc/72/63/13/1202726313.db2.gz JKKYQVRUYLPZHQ-DLXXGXJASA-N 0 1 323.440 3.113 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001714011641 1202726601 /nfs/dbraw/zinc/72/66/01/1202726601.db2.gz OMFYNRLEDUFKFC-DVESRUBUSA-N 0 1 312.457 3.445 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H]2CCN(CCC(F)(F)F)C2)CCC1 ZINC001714014173 1202727729 /nfs/dbraw/zinc/72/77/29/1202727729.db2.gz SYUVNEOYNLKSMN-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001714080028 1202764734 /nfs/dbraw/zinc/76/47/34/1202764734.db2.gz LMPGRPWZOQBTKV-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1cc(C2CC2)no1 ZINC001714096034 1202771222 /nfs/dbraw/zinc/77/12/22/1202771222.db2.gz RWJSMUPJSNJYPV-AWEZNQCLSA-N 0 1 319.449 3.139 20 30 DGEDMN CC[C@@H](CNC(=O)C(C)(C)CC)NCc1ccc(C#N)cc1F ZINC001714096561 1202772694 /nfs/dbraw/zinc/77/26/94/1202772694.db2.gz AAZWNZSSWAYUSJ-HNNXBMFYSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001714096791 1202773019 /nfs/dbraw/zinc/77/30/19/1202773019.db2.gz BTZRSGGRGCMKQK-QGZVFWFLSA-N 0 1 315.461 3.369 20 30 DGEDMN O=C(C#CC1CC1)NC[C@@H]1CCCCN1C/C=C/c1ccccc1 ZINC001714103497 1202777228 /nfs/dbraw/zinc/77/72/28/1202777228.db2.gz JBIGBVFKFPOYLR-ZGBFETHSSA-N 0 1 322.452 3.084 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1(c2cccc(C)c2)CCC1 ZINC001714108573 1202780422 /nfs/dbraw/zinc/78/04/22/1202780422.db2.gz AQQBMVCZLZIMDX-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(Cl)c1 ZINC001714120700 1202788967 /nfs/dbraw/zinc/78/89/67/1202788967.db2.gz YVKIWINCCXDSDD-CYBMUJFWSA-N 0 1 301.217 3.191 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CSC(C)C)C1 ZINC001714148133 1202802858 /nfs/dbraw/zinc/80/28/58/1202802858.db2.gz QEIAWZZHYPTWAG-ZIAGYGMSSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001714194898 1202826095 /nfs/dbraw/zinc/82/60/95/1202826095.db2.gz PMNVEUWZZLBAHD-JMLCCBQJSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001714261064 1202852805 /nfs/dbraw/zinc/85/28/05/1202852805.db2.gz CMWHYWKNWLEKOZ-NWDGAFQWSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001714263409 1202853792 /nfs/dbraw/zinc/85/37/92/1202853792.db2.gz BTUUNZULPQECIX-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001714275120 1202859859 /nfs/dbraw/zinc/85/98/59/1202859859.db2.gz QXVVEXPAYDYGQM-ZDUSSCGKSA-N 0 1 318.804 3.407 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001714281596 1202862114 /nfs/dbraw/zinc/86/21/14/1202862114.db2.gz RTTKXOWMWXHRTI-UNEWFSDZSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001714306529 1202874394 /nfs/dbraw/zinc/87/43/94/1202874394.db2.gz AJJCRQXZUDPGCC-KKUMJFAQSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](C)n2cccc2)CC1 ZINC001714324685 1202882681 /nfs/dbraw/zinc/88/26/81/1202882681.db2.gz AYBDJDXCSYXUKL-HNNXBMFYSA-N 0 1 323.868 3.020 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001714329566 1202885621 /nfs/dbraw/zinc/88/56/21/1202885621.db2.gz XRVAVUKZXFSJEP-ZFWWWQNUSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001714330146 1202885664 /nfs/dbraw/zinc/88/56/64/1202885664.db2.gz CTYMZVQEDQTLFU-CVEARBPZSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C(C2CC2)C2CC2)CC1 ZINC001714331011 1202886676 /nfs/dbraw/zinc/88/66/76/1202886676.db2.gz IMYLOXVHYQCALJ-UHFFFAOYSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001714442430 1202934872 /nfs/dbraw/zinc/93/48/72/1202934872.db2.gz XZSLFSBAXRHQLO-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C2(CC)CCCCC2)C1 ZINC001714483553 1202955793 /nfs/dbraw/zinc/95/57/93/1202955793.db2.gz OAJSXBKJKHYAIX-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](CC)C(C)(C)C)CC2 ZINC001714523684 1202977338 /nfs/dbraw/zinc/97/73/38/1202977338.db2.gz PFEXBIUAWUHVBD-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001714562260 1203005055 /nfs/dbraw/zinc/00/50/55/1203005055.db2.gz KLIKPBDDRCWJKG-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(Cl)c2occc21 ZINC001714595862 1203035119 /nfs/dbraw/zinc/03/51/19/1203035119.db2.gz BRNFVKXYISFUDC-UHFFFAOYSA-N 0 1 318.804 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc2scnc21 ZINC001714609483 1203047247 /nfs/dbraw/zinc/04/72/47/1203047247.db2.gz QKTNMMGOZQJZOW-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001714717239 1203107815 /nfs/dbraw/zinc/10/78/15/1203107815.db2.gz ICOFVCAUULTEDV-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(CC)CCC(F)(F)CC1 ZINC001714724381 1203110715 /nfs/dbraw/zinc/11/07/15/1203110715.db2.gz QKFDPWXFKDGSHL-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@@H](C)C(CC)CC ZINC001714727460 1203111696 /nfs/dbraw/zinc/11/16/96/1203111696.db2.gz IUZOGVMDSWDHPJ-LBPRGKRZSA-N 0 1 319.287 3.015 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001714743221 1203114864 /nfs/dbraw/zinc/11/48/64/1203114864.db2.gz KVOJOXKIPVDARS-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(OCC(C)C)cc1 ZINC001714784339 1203125972 /nfs/dbraw/zinc/12/59/72/1203125972.db2.gz GDFNZGXVRDYSHP-UHFFFAOYSA-N 0 1 324.852 3.136 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(Cc1ccns1)C(C)C ZINC001714826474 1203140758 /nfs/dbraw/zinc/14/07/58/1203140758.db2.gz AINAVAYXQDGNQV-UHFFFAOYSA-N 0 1 309.479 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC)CC1)c1ccc(C(C)C)cc1 ZINC001714836781 1203146094 /nfs/dbraw/zinc/14/60/94/1203146094.db2.gz YBEAYZUPPBAPPC-SFHVURJKSA-N 0 1 312.457 3.380 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1ccc(C)c(C)c1 ZINC001714844190 1203148370 /nfs/dbraw/zinc/14/83/70/1203148370.db2.gz RBXVPVGVSZIAGS-HNNXBMFYSA-N 0 1 308.853 3.035 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CCC=CCC1)c1ccccc1 ZINC001714844342 1203149154 /nfs/dbraw/zinc/14/91/54/1203149154.db2.gz YUYKPQPKTGYDTL-IBGZPJMESA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)cc1)c1ccccc1 ZINC001714844933 1203149452 /nfs/dbraw/zinc/14/94/52/1203149452.db2.gz GYYYXWGUMXHPQU-LJQANCHMSA-N 0 1 306.409 3.079 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001714853954 1203154386 /nfs/dbraw/zinc/15/43/86/1203154386.db2.gz BABLSQUGVABJOT-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001714863542 1203162492 /nfs/dbraw/zinc/16/24/92/1203162492.db2.gz UEOUECFEYZOUOC-SLFFLAALSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C3CC3)on2)C1 ZINC001714867289 1203164322 /nfs/dbraw/zinc/16/43/22/1203164322.db2.gz HXQVURUNKRNBSA-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)c2c[nH]c3ccc(C)cc32)C1 ZINC001714868934 1203166815 /nfs/dbraw/zinc/16/68/15/1203166815.db2.gz YIJYZDWJUSTFIC-MRXNPFEDSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CCN(CC=C(Cl)Cl)C1 ZINC001714872836 1203168755 /nfs/dbraw/zinc/16/87/55/1203168755.db2.gz CNBOPMVOSOXCKN-CYBMUJFWSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001714902900 1203188262 /nfs/dbraw/zinc/18/82/62/1203188262.db2.gz FYOOIDBSOQLQAP-FVQBIDKESA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1[nH]ccc1C)C1CCCCC1 ZINC001714953590 1203215629 /nfs/dbraw/zinc/21/56/29/1203215629.db2.gz BWPQMJYDFIHHBR-OAHLLOKOSA-N 0 1 323.868 3.344 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001715018808 1203240204 /nfs/dbraw/zinc/24/02/04/1203240204.db2.gz IVGCJYOEGKPSPC-MJGOQNOKSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001715042365 1203250672 /nfs/dbraw/zinc/25/06/72/1203250672.db2.gz ITROGLZQGONLRL-IRXDYDNUSA-N 0 1 315.461 3.074 20 30 DGEDMN CC[C@@H](CNC(=O)CC(C)(C)C)NCc1ccc(C#N)cc1F ZINC001715052126 1203256090 /nfs/dbraw/zinc/25/60/90/1203256090.db2.gz VACPYKBXNNEEML-HNNXBMFYSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001715183610 1203291528 /nfs/dbraw/zinc/29/15/28/1203291528.db2.gz QETBQOSOWMAXKB-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cc(C)co2)CC1 ZINC001715204764 1203295377 /nfs/dbraw/zinc/29/53/77/1203295377.db2.gz HRCHYTKVZJLROO-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=CCCC(=O)N1CCC2(CN(Cc3cccc(F)c3)C2)CC1 ZINC001715309491 1203312572 /nfs/dbraw/zinc/31/25/72/1203312572.db2.gz DEVGYAYEGRJOKM-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001715361452 1203324564 /nfs/dbraw/zinc/32/45/64/1203324564.db2.gz HDPJALDLXDCILX-CAOSSQGBSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001715363096 1203325100 /nfs/dbraw/zinc/32/51/00/1203325100.db2.gz SJUJOJSCPPQJFF-DNVCBOLYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2c(s1)CCC2 ZINC001715372036 1203326963 /nfs/dbraw/zinc/32/69/63/1203326963.db2.gz BGAIMCOIQKYIDI-NSHDSACASA-N 0 1 312.866 3.039 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@H](C)c1ccccc1 ZINC001715372299 1203327710 /nfs/dbraw/zinc/32/77/10/1203327710.db2.gz CSVOSDREIPQRLQ-ZFWWWQNUSA-N 0 1 308.853 3.369 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(F)s1 ZINC001715388487 1203331587 /nfs/dbraw/zinc/33/15/87/1203331587.db2.gz HHWMESMHOGTQFC-LLVKDONJSA-N 0 1 318.845 3.374 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1coc(C)c1 ZINC001715469162 1203346050 /nfs/dbraw/zinc/34/60/50/1203346050.db2.gz HFZOGZLYFKBAMR-NHYWBVRUSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1csc(C)n1)C(C)C ZINC001715495489 1203352310 /nfs/dbraw/zinc/35/23/10/1203352310.db2.gz ZDWIAZLIRKZBBP-HNNXBMFYSA-N 0 1 309.479 3.038 20 30 DGEDMN CC(C)(C)C#CC(=O)NC/C=C\CNCc1ccccc1Cl ZINC001715818115 1203395771 /nfs/dbraw/zinc/39/57/71/1203395771.db2.gz RQWQEBLFQGJKGF-SREVYHEPSA-N 0 1 318.848 3.152 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001715887161 1203400846 /nfs/dbraw/zinc/40/08/46/1203400846.db2.gz XJEAEXFVXBHUMM-LZCSOBMZSA-N 0 1 318.848 3.113 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2ccncc2Cl)CCC1 ZINC001715946987 1203408900 /nfs/dbraw/zinc/40/89/00/1203408900.db2.gz JVYJCRRZBBPLPW-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1ccccc1F ZINC001716347270 1203461061 /nfs/dbraw/zinc/46/10/61/1203461061.db2.gz FRTUEVOYSAWQHD-BQYQJAHWSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001716382596 1203463809 /nfs/dbraw/zinc/46/38/09/1203463809.db2.gz JBCZIRPKOBLYNF-DHCBQETCSA-N 0 1 324.827 3.019 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001716410797 1203468354 /nfs/dbraw/zinc/46/83/54/1203468354.db2.gz KLHSCIGJPZECNE-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(F)F)cc1 ZINC001716416448 1203469246 /nfs/dbraw/zinc/46/92/46/1203469246.db2.gz ILHAEASVXZHTDE-SNVBAGLBSA-N 0 1 302.752 3.085 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001716434187 1203473304 /nfs/dbraw/zinc/47/33/04/1203473304.db2.gz OJYKOBXNWSKDLD-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2ccccc2s1 ZINC001716445339 1203474912 /nfs/dbraw/zinc/47/49/12/1203474912.db2.gz YMXZSONJHSJLAY-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2cccc(Cl)c2F)C1 ZINC001716451870 1203476377 /nfs/dbraw/zinc/47/63/77/1203476377.db2.gz GOMBDAMIFQUVTF-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1nc(C)cs1)C1CC1 ZINC001716617703 1203507161 /nfs/dbraw/zinc/50/71/61/1203507161.db2.gz OLAWFLSRTDLOBH-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccccc1CC ZINC001716659390 1203515785 /nfs/dbraw/zinc/51/57/85/1203515785.db2.gz YLLXYOCEWIXUOW-SFHVURJKSA-N 0 1 314.473 3.194 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001716662290 1203517923 /nfs/dbraw/zinc/51/79/23/1203517923.db2.gz CWHJTGHKIIJXSC-PKOBYXMFSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001716691295 1203525736 /nfs/dbraw/zinc/52/57/36/1203525736.db2.gz GRCSPXOJESNEFP-CQSZACIVSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001716691299 1203525923 /nfs/dbraw/zinc/52/59/23/1203525923.db2.gz GTLVYTQAOVRQKA-NSHDSACASA-N 0 1 305.249 3.146 20 30 DGEDMN C[C@H]1CCCC[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716691538 1203526267 /nfs/dbraw/zinc/52/62/67/1203526267.db2.gz MXIYTLSYLZJHKW-PKOBYXMFSA-N 0 1 324.468 3.103 20 30 DGEDMN C[C@@H]1CCC[C@@H](CCN2CC(N(C)C(=O)C#CC(C)(C)C)C2)C1 ZINC001716740989 1203535526 /nfs/dbraw/zinc/53/55/26/1203535526.db2.gz IHADGLUBMWSQQM-SJORKVTESA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001716748234 1203536228 /nfs/dbraw/zinc/53/62/28/1203536228.db2.gz CSXYGBHSPMIWPF-SFHVURJKSA-N 0 1 314.473 3.364 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2csc(C)n2)C1 ZINC001716753122 1203537938 /nfs/dbraw/zinc/53/79/38/1203537938.db2.gz SWUVZDKTGYOXFU-UHFFFAOYSA-N 0 1 323.506 3.107 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C(F)=C1CCCC1 ZINC001716775417 1203540900 /nfs/dbraw/zinc/54/09/00/1203540900.db2.gz SYTPQYIOCFKRJY-ZDUSSCGKSA-N 0 1 300.805 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(F)ccc1CC ZINC001716779513 1203541409 /nfs/dbraw/zinc/54/14/09/1203541409.db2.gz BPCAQWSYDLHUPM-OAHLLOKOSA-N 0 1 324.827 3.335 20 30 DGEDMN C#CCN(C(=O)C1CC(C)(C)C1)C1CCN(C[C@H](F)CC)CC1 ZINC001716805207 1203545185 /nfs/dbraw/zinc/54/51/85/1203545185.db2.gz GQRWHAHHDDPLFM-MRXNPFEDSA-N 0 1 322.468 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)CSC)C1CCCC1 ZINC001716815479 1203547131 /nfs/dbraw/zinc/54/71/31/1203547131.db2.gz VMXLMKUQTWESNO-FZMZJTMJSA-N 0 1 318.914 3.003 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cccnc2C)C1 ZINC001716848242 1203554369 /nfs/dbraw/zinc/55/43/69/1203554369.db2.gz ZWTQXHKGMOHLIO-VQIMIIECSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)CCCC)C1 ZINC001716910974 1203567653 /nfs/dbraw/zinc/56/76/53/1203567653.db2.gz ONGCOUMYCUAJNK-GJZGRUSLSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C\Cl ZINC001717036231 1203580212 /nfs/dbraw/zinc/58/02/12/1203580212.db2.gz REQNIBJHBSAKRJ-DSKWWVGFSA-N 0 1 322.880 3.388 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(CC=C)CCC1 ZINC001717113137 1203586093 /nfs/dbraw/zinc/58/60/93/1203586093.db2.gz FNTFWTQMGRVAEO-UHFFFAOYSA-N 0 1 310.441 3.114 20 30 DGEDMN CC#CCCCC(=O)NCc1ccc([C@H](C)NCCF)cc1 ZINC001717116833 1203586832 /nfs/dbraw/zinc/58/68/32/1203586832.db2.gz YDAZKJFJIJSNMA-HNNXBMFYSA-N 0 1 304.409 3.117 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H]3C=CCC3)cccc2C1 ZINC001717142059 1203589788 /nfs/dbraw/zinc/58/97/88/1203589788.db2.gz AWPJQZKTRVCOKU-MRXNPFEDSA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc3c(c2)CCC3)CCC1 ZINC001717162488 1203594487 /nfs/dbraw/zinc/59/44/87/1203594487.db2.gz VYLBOJHTLOISNG-UHFFFAOYSA-N 0 1 318.848 3.170 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H](C)C1 ZINC001717334306 1203620024 /nfs/dbraw/zinc/62/00/24/1203620024.db2.gz RWNJMDIOOAHVEN-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@@H](C)C1 ZINC001717337700 1203620900 /nfs/dbraw/zinc/62/09/00/1203620900.db2.gz MPAJSZFBRPFJCN-GJZGRUSLSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C/Cl ZINC001717360835 1203625270 /nfs/dbraw/zinc/62/52/70/1203625270.db2.gz RBVUOQPHXAHTMA-JUCJDPDUSA-N 0 1 318.848 3.467 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](CC)c1ccccc1 ZINC001717375332 1203629859 /nfs/dbraw/zinc/62/98/59/1203629859.db2.gz WCGSRGYVUKTGML-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](CC)c1ccccc1 ZINC001717375330 1203630517 /nfs/dbraw/zinc/63/05/17/1203630517.db2.gz WCGSRGYVUKTGML-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)c1ccccc1C ZINC001717376378 1203631571 /nfs/dbraw/zinc/63/15/71/1203631571.db2.gz PPGZTRBBZXFGPC-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001717401390 1203636663 /nfs/dbraw/zinc/63/66/63/1203636663.db2.gz RFUTXPWOLWVFFV-PJWHXWNJSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001717423696 1203641353 /nfs/dbraw/zinc/64/13/53/1203641353.db2.gz WHZKADFGGYFHDT-NWDGAFQWSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1c(C)noc1CC ZINC001717423393 1203641356 /nfs/dbraw/zinc/64/13/56/1203641356.db2.gz RXSKFUDLHLVNRW-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C=CCC[C@H](C(=O)NC[C@H](C)N(C)CC#CC)c1ccccc1 ZINC001717501686 1203667703 /nfs/dbraw/zinc/66/77/03/1203667703.db2.gz SHZBHQVTZLTJHI-HKUYNNGSSA-N 0 1 312.457 3.196 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cccc(C)n1 ZINC001717529603 1203672681 /nfs/dbraw/zinc/67/26/81/1203672681.db2.gz XTWBAXHSJLONJQ-AWEZNQCLSA-N 0 1 309.841 3.021 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001717561155 1203682379 /nfs/dbraw/zinc/68/23/79/1203682379.db2.gz ZVGQTXZGHMRQDK-DLBZAZTESA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001717561158 1203682432 /nfs/dbraw/zinc/68/24/32/1203682432.db2.gz ZVGQTXZGHMRQDK-SJORKVTESA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C(CCC)CCC)C2)C1 ZINC001717628793 1203691255 /nfs/dbraw/zinc/69/12/55/1203691255.db2.gz DAHJDXUEKDPBQL-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(C)C)cn1 ZINC001717741741 1203707898 /nfs/dbraw/zinc/70/78/98/1203707898.db2.gz VVCTUULMJVRNQC-CYBMUJFWSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)CC[C@@H](C)CC ZINC001717747681 1203709387 /nfs/dbraw/zinc/70/93/87/1203709387.db2.gz QMOCRGOGRSWJNZ-AAEUAGOBSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2ccccc2n1 ZINC001717751219 1203709995 /nfs/dbraw/zinc/70/99/95/1203709995.db2.gz QQDNKMRLSRYJHX-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1ccccc1Cl ZINC001717754434 1203710597 /nfs/dbraw/zinc/71/05/97/1203710597.db2.gz QSVFOSRHCLWPLV-LBPRGKRZSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@]1(C)CCc2ccccc21 ZINC001717757228 1203711583 /nfs/dbraw/zinc/71/15/83/1203711583.db2.gz MAUVQBNRJQXCPJ-RDTXWAMCSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ccncc2Cl)C[C@H]1C ZINC001717822675 1203716408 /nfs/dbraw/zinc/71/64/08/1203716408.db2.gz VOGSOHKWAHQYOM-UKRRQHHQSA-N 0 1 321.852 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCCC(F)(F)F)C1 ZINC001717824897 1203716787 /nfs/dbraw/zinc/71/67/87/1203716787.db2.gz YBMVANYLBFZQMT-GHMZBOCLSA-N 0 1 312.763 3.051 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2c(C)noc2C)[C@@H]1C ZINC001717853721 1203722219 /nfs/dbraw/zinc/72/22/19/1203722219.db2.gz KWRDZLXEGKATEJ-RHSMWYFYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC12CCCC2)C(C)(C)C ZINC001717970315 1203738167 /nfs/dbraw/zinc/73/81/67/1203738167.db2.gz NJVOGFLMJKDUCN-KGLIPLIRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1nc(C)c(C)s1)C1CC1 ZINC001723300684 1203962556 /nfs/dbraw/zinc/96/25/56/1203962556.db2.gz KCGZBWKKNRMKNM-HNNXBMFYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1[C@@H](CC)c1ccc(F)cc1 ZINC001723405365 1203968260 /nfs/dbraw/zinc/96/82/60/1203968260.db2.gz DFWCRQXXIIBISF-IRXDYDNUSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC1CC(c2ccccc2)C1 ZINC001723438794 1203971248 /nfs/dbraw/zinc/97/12/48/1203971248.db2.gz MHGJJBZVSPTBCT-KVZIAJEVSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001723454084 1203974072 /nfs/dbraw/zinc/97/40/72/1203974072.db2.gz UZSLVHYSUGOGMT-AEFFLSMTSA-N 0 1 320.502 3.474 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cccc2Cl)CC1 ZINC001723554739 1203982387 /nfs/dbraw/zinc/98/23/87/1203982387.db2.gz VASBEEQETVQKKY-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001723554416 1203982417 /nfs/dbraw/zinc/98/24/17/1203982417.db2.gz RNDIXNUSHJJSBS-CYBMUJFWSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(C3CCCCC3)CC2)CC1 ZINC001723587341 1203983736 /nfs/dbraw/zinc/98/37/36/1203983736.db2.gz BEOGVTQJKIPQKA-UHFFFAOYSA-N 0 1 310.869 3.338 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCCC23CC3)C1 ZINC001723775378 1203992297 /nfs/dbraw/zinc/99/22/97/1203992297.db2.gz DCWTUTNMLQGBGE-QGZVFWFLSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2ccc(C)s2)C1 ZINC001723830869 1203995414 /nfs/dbraw/zinc/99/54/14/1203995414.db2.gz ZDCVWNHIYHPRQW-UHFFFAOYSA-N 0 1 320.502 3.488 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001724125984 1204006170 /nfs/dbraw/zinc/00/61/70/1204006170.db2.gz NBJCNSMJKUTSGB-LJQANCHMSA-N 0 1 322.468 3.429 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001724175260 1204012147 /nfs/dbraw/zinc/01/21/47/1204012147.db2.gz WWYVNUNNFVOWJT-KBXCAEBGSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001724342239 1204023818 /nfs/dbraw/zinc/02/38/18/1204023818.db2.gz GDDFWCPDONFKMF-LPHOPBHVSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001724341861 1204024050 /nfs/dbraw/zinc/02/40/50/1204024050.db2.gz ZUMULLGFXVXPAH-QWFCFKBJSA-N 0 1 318.505 3.300 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C[C@@H](C)CCC)C1 ZINC001724377293 1204025129 /nfs/dbraw/zinc/02/51/29/1204025129.db2.gz WHZZTTJXTWVWTI-GJZGRUSLSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCC(C)(F)F)C1 ZINC001724375023 1204025376 /nfs/dbraw/zinc/02/53/76/1204025376.db2.gz CRPKPALEVWMVFH-GFCCVEGCSA-N 0 1 324.362 3.071 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H](C)CC ZINC001724614974 1204033375 /nfs/dbraw/zinc/03/33/75/1204033375.db2.gz JHJVFLBKGNNZGK-CQSZACIVSA-N 0 1 308.853 3.403 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@]1(C)C=CCC1)C2 ZINC001724615180 1204033406 /nfs/dbraw/zinc/03/34/06/1204033406.db2.gz WUYWHSBFXLNPTK-DFGXFYAUSA-N 0 1 322.880 3.454 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001724725334 1204040032 /nfs/dbraw/zinc/04/00/32/1204040032.db2.gz VMDSQTBDDAGLCY-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccc(F)cc2F)CCC1 ZINC001724785956 1204047143 /nfs/dbraw/zinc/04/71/43/1204047143.db2.gz QCLWFOCRQOHNEK-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)CCCC(C)C)CO2 ZINC001724877554 1204061175 /nfs/dbraw/zinc/06/11/75/1204061175.db2.gz BQDBHHFSHFNJMS-DLBZAZTESA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H](C)C3CC3)cc2C1 ZINC001724923607 1204066058 /nfs/dbraw/zinc/06/60/58/1204066058.db2.gz NYGALGRAAZTPMP-OAHLLOKOSA-N 0 1 310.441 3.078 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(C/C=C\Cl)CC1(C)C ZINC001724970218 1204073700 /nfs/dbraw/zinc/07/37/00/1204073700.db2.gz JLVYLKXHRFXJBQ-MEODZPNASA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001725015124 1204079715 /nfs/dbraw/zinc/07/97/15/1204079715.db2.gz YUERRZMNUOBWJE-LJQANCHMSA-N 0 1 312.457 3.490 20 30 DGEDMN CC/C=C(\C)C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001725034769 1204082929 /nfs/dbraw/zinc/08/29/29/1204082929.db2.gz HGCHBPSFELVXSH-CYEGBWLXSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(C)C(C)(C)C1(C)C ZINC001725062939 1204088270 /nfs/dbraw/zinc/08/82/70/1204088270.db2.gz YMRGTHUTXAHPKL-HNNXBMFYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001725095767 1204093699 /nfs/dbraw/zinc/09/36/99/1204093699.db2.gz SFBKQLDATNKMEN-KGLIPLIRSA-N 0 1 317.408 3.036 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)C1=CCCC1 ZINC001725113701 1204097647 /nfs/dbraw/zinc/09/76/47/1204097647.db2.gz VYTXLBGELIYQPX-HXUWFJFHSA-N 0 1 322.452 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1nccc2ccccc21 ZINC001725118264 1204098347 /nfs/dbraw/zinc/09/83/47/1204098347.db2.gz UVIRKXPYWPGWTQ-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(OC(C)C)cc1 ZINC001725128338 1204099743 /nfs/dbraw/zinc/09/97/43/1204099743.db2.gz KWTNANBBCLPNLG-KBPBESRZSA-N 0 1 324.852 3.323 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCC[C@H](C)CC)C(C)(C)C1 ZINC001725287991 1204133445 /nfs/dbraw/zinc/13/34/45/1204133445.db2.gz WBTRASZIGNKPHZ-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(C)CCCCC3)[C@@H]2C1 ZINC001725308221 1204136113 /nfs/dbraw/zinc/13/61/13/1204136113.db2.gz HTBWRSZUWYPMBZ-QKDCVEJESA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1c(C)oc(C)c1C ZINC001725462907 1204153229 /nfs/dbraw/zinc/15/32/29/1204153229.db2.gz RKDKEYKXLXAXSP-HNNXBMFYSA-N 0 1 324.852 3.398 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1c(C)oc(C)c1C ZINC001725462943 1204153461 /nfs/dbraw/zinc/15/34/61/1204153461.db2.gz RKDKEYKXLXAXSP-OAHLLOKOSA-N 0 1 324.852 3.398 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C1CC1)C1CC1 ZINC001725470975 1204154493 /nfs/dbraw/zinc/15/44/93/1204154493.db2.gz RBXHDMQGZQAXHB-HNNXBMFYSA-N 0 1 310.869 3.002 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001725474913 1204155247 /nfs/dbraw/zinc/15/52/47/1204155247.db2.gz DETNSYLMWVPTPH-WBMJQRKESA-N 0 1 322.399 3.355 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(F)ccc1Cl ZINC001725511504 1204162483 /nfs/dbraw/zinc/16/24/83/1204162483.db2.gz MUXUKQRBRFUEIW-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001725510283 1204162672 /nfs/dbraw/zinc/16/26/72/1204162672.db2.gz FNPABDHUAAOKME-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(C)c1Cl ZINC001725515484 1204164077 /nfs/dbraw/zinc/16/40/77/1204164077.db2.gz HVRGBRFKMBVAJU-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)[C@H]1C ZINC001725566868 1204169218 /nfs/dbraw/zinc/16/92/18/1204169218.db2.gz LJNRKFCVWVJVSG-DYVFJYSZSA-N 0 1 322.399 3.400 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC2(C=C)CCCCC2)[C@@H]1C ZINC001725630952 1204186235 /nfs/dbraw/zinc/18/62/35/1204186235.db2.gz OCDDNHAAOHBLOS-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)[C@@H]1C ZINC001725632741 1204186819 /nfs/dbraw/zinc/18/68/19/1204186819.db2.gz OXIXLRLUQOWHNE-JKSUJKDBSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](CNCc2nc(C)oc2C)C1 ZINC001725661824 1204194421 /nfs/dbraw/zinc/19/44/21/1204194421.db2.gz RKPYHJSEWBJNOZ-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN CCCCC(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001725749629 1204211250 /nfs/dbraw/zinc/21/12/50/1204211250.db2.gz WEZYUCFFQGWEGZ-KRWDZBQOSA-N 0 1 315.461 3.369 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](CCC)CC2CCCC2)CC1 ZINC001725826790 1204228703 /nfs/dbraw/zinc/22/87/03/1204228703.db2.gz LEIZXSNSYATVPM-IBGZPJMESA-N 0 1 316.489 3.365 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC001753146377 1204323681 /nfs/dbraw/zinc/32/36/81/1204323681.db2.gz SQXPKEGKUJZDIZ-ZWKOTPCHSA-N 0 1 304.478 3.054 20 30 DGEDMN CCC(C)(C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001731243884 1204351008 /nfs/dbraw/zinc/35/10/08/1204351008.db2.gz SWAHKTVCJWUZMX-UHFFFAOYSA-N 0 1 321.490 3.384 20 30 DGEDMN C/C=C(\C)C(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001731331399 1204375371 /nfs/dbraw/zinc/37/53/71/1204375371.db2.gz UMVDPZRKRARXHU-QLKAYGNNSA-N 0 1 317.458 3.057 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001731421363 1204408010 /nfs/dbraw/zinc/40/80/10/1204408010.db2.gz JDGJFOBAQUMLSG-LZLYRXPVSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC/C=C\c1ccccc1 ZINC001731542053 1204452431 /nfs/dbraw/zinc/45/24/31/1204452431.db2.gz TZMGRVBBUSAMGT-YLKKANDBSA-N 0 1 310.441 3.084 20 30 DGEDMN CCCC[C@@H](CC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001731582654 1204471557 /nfs/dbraw/zinc/47/15/57/1204471557.db2.gz YELDAJFODZJXLR-IEBWSBKVSA-N 0 1 314.473 3.349 20 30 DGEDMN C[C@@H](CNC(=O)[C@H]1CCCC2(CC2)C1)NCC#Cc1ccccc1 ZINC001731591704 1204475371 /nfs/dbraw/zinc/47/53/71/1204475371.db2.gz IEAJSTNOWMZQST-HKUYNNGSSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001731612817 1204482093 /nfs/dbraw/zinc/48/20/93/1204482093.db2.gz XSTQTAOSJKWBSI-VXGBXAGGSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(OC)c(C2CC2)c1 ZINC001731628439 1204489170 /nfs/dbraw/zinc/48/91/70/1204489170.db2.gz KONDQTHSCBGENZ-GFCCVEGCSA-N 0 1 322.836 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C)c(C)c1Cl ZINC001731632227 1204491680 /nfs/dbraw/zinc/49/16/80/1204491680.db2.gz DFCQWGPOMZQHIE-NSHDSACASA-N 0 1 315.244 3.417 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@H](NCc2cc(C)on2)C1 ZINC001731687498 1204505562 /nfs/dbraw/zinc/50/55/62/1204505562.db2.gz KGZMRIOWIFFLPK-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@H](NCc2ncc(CC)o2)C1 ZINC001731694491 1204507996 /nfs/dbraw/zinc/50/79/96/1204507996.db2.gz KARYMRSZDKNPCA-LSDHHAIUSA-N 0 1 319.449 3.110 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1ccc(OC)c(Cl)c1 ZINC001731781868 1204552590 /nfs/dbraw/zinc/55/25/90/1204552590.db2.gz ILASKMHFQVYUMZ-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(C)c(Cl)cc1C ZINC001731808315 1204575081 /nfs/dbraw/zinc/57/50/81/1204575081.db2.gz HHROKWWKFDEUAZ-UHFFFAOYSA-N 0 1 306.837 3.032 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001731833807 1204593025 /nfs/dbraw/zinc/59/30/25/1204593025.db2.gz RENKQVDINYAQHL-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001731985079 1204640274 /nfs/dbraw/zinc/64/02/74/1204640274.db2.gz FNMGVWNPDOZYKB-UHFFFAOYSA-N 0 1 320.864 3.136 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](NC/C=C/Cl)c1ccccc1 ZINC001732021816 1204652606 /nfs/dbraw/zinc/65/26/06/1204652606.db2.gz IVEROWULSFAXLZ-JZUUQJBKSA-N 0 1 318.848 3.380 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)c1ccc(C)o1)c1ccccc1 ZINC001732026211 1204654009 /nfs/dbraw/zinc/65/40/09/1204654009.db2.gz UGYDOZLIPCHIKP-SJLPKXTDSA-N 0 1 324.424 3.162 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(F)c(F)c2)C1 ZINC001732037965 1204659859 /nfs/dbraw/zinc/65/98/59/1204659859.db2.gz ABVICPFUYRNRJJ-CQSZACIVSA-N 0 1 308.372 3.077 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccoc2CC)C1 ZINC001732044119 1204665175 /nfs/dbraw/zinc/66/51/75/1204665175.db2.gz AHPKFMJXOFQYOJ-ZDUSSCGKSA-N 0 1 310.825 3.036 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C)cc1 ZINC001732057797 1204672854 /nfs/dbraw/zinc/67/28/54/1204672854.db2.gz DOJMCEDMJKDXPA-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001732057665 1204673388 /nfs/dbraw/zinc/67/33/88/1204673388.db2.gz TVYISSGUXJIBJD-VNQPRFMTSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C1CCC(C(=O)N(CCC)[C@@H]2CCN(CC#CC)C2)CC1 ZINC001732073996 1204680683 /nfs/dbraw/zinc/68/06/83/1204680683.db2.gz HNVVNBKUCCJBOP-GOSISDBHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@H]2CCCC2(F)F)C1 ZINC001732074768 1204680964 /nfs/dbraw/zinc/68/09/64/1204680964.db2.gz KVTDEGLMKFCMFY-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C=C1CCC(C(=O)N(CCC)[C@H]2CCN(CC#CC)C2)CC1 ZINC001732073997 1204681276 /nfs/dbraw/zinc/68/12/76/1204681276.db2.gz HNVVNBKUCCJBOP-SFHVURJKSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CCC2CCCCCC2)C1 ZINC001732097956 1204692065 /nfs/dbraw/zinc/69/20/65/1204692065.db2.gz HPXMIDDPAMFLBA-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001732131145 1204706439 /nfs/dbraw/zinc/70/64/39/1204706439.db2.gz ITCQILMGPUKHLK-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001732135454 1204708113 /nfs/dbraw/zinc/70/81/13/1204708113.db2.gz ZWEQVHWYJNZGGA-UHFFFAOYSA-N 0 1 314.473 3.151 20 30 DGEDMN Cc1cccc([C@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)c1 ZINC001732143366 1204711693 /nfs/dbraw/zinc/71/16/93/1204711693.db2.gz VHPGHOMBWOTUQE-WDSOQIARSA-N 0 1 310.441 3.001 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)[C@H]1CCN(Cc2cccnc2)C1 ZINC001732183256 1204735705 /nfs/dbraw/zinc/73/57/05/1204735705.db2.gz VVHLLWIMAGPMSR-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001732185650 1204737458 /nfs/dbraw/zinc/73/74/58/1204737458.db2.gz HXWOWOBOKDHYAN-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001732189343 1204740274 /nfs/dbraw/zinc/74/02/74/1204740274.db2.gz ITAJWEXDSQRLMR-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2c(o1)CCCC2 ZINC001732201040 1204746734 /nfs/dbraw/zinc/74/67/34/1204746734.db2.gz FHXPXMNEZJEOLT-CYBMUJFWSA-N 0 1 310.825 3.009 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1C2CC3CC(C2)CC1C3 ZINC001732201891 1204747447 /nfs/dbraw/zinc/74/74/47/1204747447.db2.gz RZOORMMAPOMFOK-OBYDGYHJSA-N 0 1 324.896 3.296 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1c(F)cccc1F ZINC001732203703 1204747609 /nfs/dbraw/zinc/74/76/09/1204747609.db2.gz GDDUTXMXFICZAP-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1ccccc1C ZINC001732214569 1204753142 /nfs/dbraw/zinc/75/31/42/1204753142.db2.gz ZPRVNFWOTIDKMA-MRXNPFEDSA-N 0 1 308.853 3.165 20 30 DGEDMN C=CCCCC(=O)N1CCC(CCNCc2coc(C)n2)CC1 ZINC001732300670 1204782729 /nfs/dbraw/zinc/78/27/29/1204782729.db2.gz MQDRBRXGLXYRFT-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001732322779 1204790853 /nfs/dbraw/zinc/79/08/53/1204790853.db2.gz CNWXZYPDPFVWIE-ZACQAIPSSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001732383348 1204811939 /nfs/dbraw/zinc/81/19/39/1204811939.db2.gz DYDSVBFPYNUPNO-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001732395558 1204817800 /nfs/dbraw/zinc/81/78/00/1204817800.db2.gz SAVGHAWUDSNZPX-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C[C@@H](CNCc1cc(C#N)ccc1F)N(C)C(=O)CC(C)(C)C ZINC001732411778 1204827593 /nfs/dbraw/zinc/82/75/93/1204827593.db2.gz RNAZKNAEEVGAMW-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001732425359 1204834173 /nfs/dbraw/zinc/83/41/73/1204834173.db2.gz FBKUGWMIUYWOHA-ISZGNANSSA-N 0 1 324.827 3.418 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1conc1C)C1CCCCC1 ZINC001732475299 1204863572 /nfs/dbraw/zinc/86/35/72/1204863572.db2.gz KUZMLTBEBQVKKC-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)Cc2ccco2)CC1 ZINC001732551275 1204890818 /nfs/dbraw/zinc/89/08/18/1204890818.db2.gz VARGTYGSUJZODX-UHFFFAOYSA-N 0 1 324.852 3.135 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001732610275 1204921363 /nfs/dbraw/zinc/92/13/63/1204921363.db2.gz RZYARQOSQSJKKM-GJZGRUSLSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)c1ccccc1F ZINC001732800836 1204945974 /nfs/dbraw/zinc/94/59/74/1204945974.db2.gz BNEYEPGBIXFNTJ-ZDUSSCGKSA-N 0 1 312.816 3.120 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C(C)C)nc1C ZINC001732898850 1204956580 /nfs/dbraw/zinc/95/65/80/1204956580.db2.gz FGGNBPDMEPWKBF-WAYWQWQTSA-N 0 1 321.852 3.142 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1c(C)nsc1C ZINC001732903230 1204957298 /nfs/dbraw/zinc/95/72/98/1204957298.db2.gz WYOCFYDZPMHQEO-JJEJIETFSA-N 0 1 321.490 3.124 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CC)Cc1ccccc1 ZINC001732973903 1204970085 /nfs/dbraw/zinc/97/00/85/1204970085.db2.gz FNDODJDJIQYJBK-GDBMZVCRSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(OCC(C)C)c1 ZINC001732981589 1204972199 /nfs/dbraw/zinc/97/21/99/1204972199.db2.gz CQHXHTYUDTTXEC-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1cccc(F)c1Cl ZINC001733007784 1204979288 /nfs/dbraw/zinc/97/92/88/1204979288.db2.gz OINQDFNBIQMASO-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN(C)Cc2cc(F)cc(F)c2)C1 ZINC001733054790 1205005555 /nfs/dbraw/zinc/00/55/55/1205005555.db2.gz ZTMRDYUTZBMONU-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC(C)=C(C)C1)C1CC1 ZINC001733170711 1205032721 /nfs/dbraw/zinc/03/27/21/1205032721.db2.gz IVRAFMVQZNLSCI-HZPDHXFCSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1ccc(C)c(Cl)c1 ZINC001733220940 1205051226 /nfs/dbraw/zinc/05/12/26/1205051226.db2.gz KESJXBFTMXRPEA-INIZCTEOSA-N 0 1 320.864 3.348 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](CC)C(C)(C)C)CC1 ZINC001733263612 1205073730 /nfs/dbraw/zinc/07/37/30/1205073730.db2.gz ZYJXMHQEXMJIAT-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1[C@@H]1CCN(CC(=C)Cl)C1 ZINC001733269961 1205076776 /nfs/dbraw/zinc/07/67/76/1205076776.db2.gz UEEDICVCIALSRJ-SJORKVTESA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001733307873 1205089896 /nfs/dbraw/zinc/08/98/96/1205089896.db2.gz KYVXHZNOAOFAHQ-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(Cl)ccc2C)C1 ZINC001733316073 1205092976 /nfs/dbraw/zinc/09/29/76/1205092976.db2.gz YSYWFRWQNSEKNF-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C[C@H](C(=O)N(C)C1CN(CCC(C)C)C1)c1ccccc1 ZINC001733318155 1205093765 /nfs/dbraw/zinc/09/37/65/1205093765.db2.gz KQCZJTJXZVKEFY-SFHVURJKSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1coc(C(F)F)c1 ZINC001733349673 1205102085 /nfs/dbraw/zinc/10/20/85/1205102085.db2.gz YAGBABFSKJEQKH-NSHDSACASA-N 0 1 318.751 3.164 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1c(C)cccc1F ZINC001733354884 1205103751 /nfs/dbraw/zinc/10/37/51/1205103751.db2.gz SDRICIOANKAGDD-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(C)CCN(Cc2cncs2)CC1 ZINC001733449655 1205136827 /nfs/dbraw/zinc/13/68/27/1205136827.db2.gz BRDLYBFUSOFNSE-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001733464879 1205146823 /nfs/dbraw/zinc/14/68/23/1205146823.db2.gz IOVCMKJRLRMOPT-VQIMIIECSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(F)cc1)c1ccccc1CC ZINC001733513431 1205151132 /nfs/dbraw/zinc/15/11/32/1205151132.db2.gz LBFGMTSJLSUXBK-LJQANCHMSA-N 0 1 324.399 3.082 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001733563212 1205163377 /nfs/dbraw/zinc/16/33/77/1205163377.db2.gz FWCFYERMPZIRDM-MUJYYYPQSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CCC)c1ccccc1 ZINC001733604524 1205172592 /nfs/dbraw/zinc/17/25/92/1205172592.db2.gz XFTQFIIDSIKARH-SLFFLAALSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)c2ccco2)CCC1 ZINC001733726440 1205196592 /nfs/dbraw/zinc/19/65/92/1205196592.db2.gz NXBHGMBOLJDNCT-GFCCVEGCSA-N 0 1 310.825 3.154 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C(C)(C)C(C)C)CC1 ZINC001733759687 1205201065 /nfs/dbraw/zinc/20/10/65/1205201065.db2.gz HHUIWYSMDITFOT-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001733762761 1205202534 /nfs/dbraw/zinc/20/25/34/1205202534.db2.gz CXKUOLWIDFDWCY-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1ccc(F)cn1 ZINC001733777037 1205212530 /nfs/dbraw/zinc/21/25/30/1205212530.db2.gz FCHYPFKEZRSBIJ-UHFFFAOYSA-N 0 1 321.440 3.103 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)C(C)(C)CCC)CO2 ZINC001733802186 1205220680 /nfs/dbraw/zinc/22/06/80/1205220680.db2.gz NFGKRRCHIPMOTP-CVEARBPZSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)cc2C1 ZINC001733835586 1205226783 /nfs/dbraw/zinc/22/67/83/1205226783.db2.gz GCPPNYATQRBHQK-UWVAXJGDSA-N 0 1 322.452 3.078 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CN(C)CC#CC)CCCC1 ZINC001733942470 1205267681 /nfs/dbraw/zinc/26/76/81/1205267681.db2.gz CGXKJEJOMXXPQU-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2C[N@H+](C)CC#CC)CCCC1 ZINC001733942470 1205267678 /nfs/dbraw/zinc/26/76/78/1205267678.db2.gz CGXKJEJOMXXPQU-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C\Cl ZINC001733998031 1205283615 /nfs/dbraw/zinc/28/36/15/1205283615.db2.gz XGLAZPYTZLPRIS-UKOINSAQSA-N 0 1 307.265 3.391 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(F)cc1Cl ZINC001734004844 1205285766 /nfs/dbraw/zinc/28/57/66/1205285766.db2.gz RLIKXCBNJYAILZ-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(C)C(C)(C)C1(C)C ZINC001734005993 1205286306 /nfs/dbraw/zinc/28/63/06/1205286306.db2.gz OTIBYIIBUKRYKT-RYUDHWBXSA-N 0 1 300.874 3.294 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2cccc(C)c2s1 ZINC001734043535 1205301091 /nfs/dbraw/zinc/30/10/91/1205301091.db2.gz LQPPHNROHHUOFA-CQSZACIVSA-N 0 1 314.454 3.283 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001734050742 1205305607 /nfs/dbraw/zinc/30/56/07/1205305607.db2.gz GOFURWMYQSRGFQ-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001734064682 1205314044 /nfs/dbraw/zinc/31/40/44/1205314044.db2.gz DOTGKZHNIWDNBN-JKSUJKDBSA-N 0 1 300.446 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1scnc1C ZINC001734095098 1205320390 /nfs/dbraw/zinc/32/03/90/1205320390.db2.gz LOMRNNOHKXQWEL-GFCCVEGCSA-N 0 1 315.870 3.082 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1cnc(C)o1 ZINC001734104043 1205325378 /nfs/dbraw/zinc/32/53/78/1205325378.db2.gz PNJMGQFEHOKAAP-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(C1)CN(CCCCCC)CCO2 ZINC001734137641 1205332036 /nfs/dbraw/zinc/33/20/36/1205332036.db2.gz MKQZDDOLMJCNTL-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734155021 1205337830 /nfs/dbraw/zinc/33/78/30/1205337830.db2.gz WATHYYLSWPGMGH-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(C4CCC4)CCC3)[C@@H]2C1 ZINC001734165066 1205339102 /nfs/dbraw/zinc/33/91/02/1205339102.db2.gz JWXGGRZIQKCVPO-PHZGNYQRSA-N 0 1 322.880 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CC2CCC1CC2 ZINC001734310723 1205361748 /nfs/dbraw/zinc/36/17/48/1205361748.db2.gz ZJNVEJNWXSSBJL-GMGZGELVSA-N 0 1 324.896 3.392 20 30 DGEDMN CCCCCC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001734311634 1205362219 /nfs/dbraw/zinc/36/22/19/1205362219.db2.gz DDDDUFFUZSZQRK-HNNXBMFYSA-N 0 1 301.434 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(F)cc1C ZINC001734312601 1205362791 /nfs/dbraw/zinc/36/27/91/1205362791.db2.gz SYXCZIIUXHSXAF-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001734341380 1205367644 /nfs/dbraw/zinc/36/76/44/1205367644.db2.gz OTCSRKGWHIUVQN-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001734346722 1205368709 /nfs/dbraw/zinc/36/87/09/1205368709.db2.gz QICAPNPAFLBGRC-KYJSFNMBSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cccnc2C)[C@@H]1C ZINC001734457424 1205380954 /nfs/dbraw/zinc/38/09/54/1205380954.db2.gz JIXVLVNMWKMVSE-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CC)CCCCC)CC1 ZINC001734637513 1205408395 /nfs/dbraw/zinc/40/83/95/1205408395.db2.gz VIIGMGJBFOMDSU-SFHVURJKSA-N 0 1 304.478 3.365 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000318232189 950922298 /nfs/dbraw/zinc/92/22/98/950922298.db2.gz FCIZXSQXYQWXKI-MRXNPFEDSA-N 0 1 300.402 3.390 20 30 DGEDMN C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC001589308611 953776343 /nfs/dbraw/zinc/77/63/43/953776343.db2.gz WDYZVTVLMNPCSI-DZGCQCFKSA-N 0 1 300.402 3.173 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC001589308613 953777170 /nfs/dbraw/zinc/77/71/70/953777170.db2.gz WDYZVTVLMNPCSI-UKRRQHHQSA-N 0 1 300.402 3.173 20 30 DGEDMN C[C@@]([NH2+]CC#Cc1ccccc1Cl)(C(=O)[O-])c1ccccc1 ZINC001589122131 956131994 /nfs/dbraw/zinc/13/19/94/956131994.db2.gz DWWACRRDMKYMHP-SFHVURJKSA-N 0 1 313.784 3.281 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001603286233 972524269 /nfs/dbraw/zinc/52/42/69/972524269.db2.gz FTYUKAGIPBQMFX-MDASCCDHSA-N 0 1 320.392 3.419 20 30 DGEDMN CC(C)(C#N)CCC[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001573381548 963112740 /nfs/dbraw/zinc/11/27/40/963112740.db2.gz KIAHEEGLMLURLC-JKSUJKDBSA-N 0 1 300.402 3.117 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1ccc(C#N)c(OC)c1)CC1CC1 ZINC001594788337 981835266 /nfs/dbraw/zinc/83/52/66/981835266.db2.gz VFTZGCCKXZUOCM-GOSISDBHSA-N 0 1 316.401 3.032 20 30 DGEDMN C#C[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cc(Cl)cc(Cl)c2)C1 ZINC001588382991 983376332 /nfs/dbraw/zinc/37/63/32/983376332.db2.gz MEDYVIMWPBSCMR-QMTHXVAHSA-N 0 1 312.196 3.464 20 30 DGEDMN C=C[C@@H]([NH2+][C@@H](COCc1ccccc1)c1ccccc1)C(=O)[O-] ZINC001588695739 983901772 /nfs/dbraw/zinc/90/17/72/983901772.db2.gz KVFHARXXOOKQJF-MSOLQXFVSA-N 0 1 311.381 3.173 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](c2nc3ccccc3o2)C1 ZINC001588729079 983985753 /nfs/dbraw/zinc/98/57/53/983985753.db2.gz GYWLCKWQARVYTN-TZMCWYRMSA-N 0 1 300.358 3.037 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1cccc(OC(F)(F)F)c1 ZINC001588730195 983996225 /nfs/dbraw/zinc/99/62/25/983996225.db2.gz XCXGQWCJJIHPOP-LBPRGKRZSA-N 0 1 303.280 3.046 20 30 DGEDMN C[C@@H](C(=O)[O-])[N@@H+](Cc1cc(Cl)ccc1OCC#N)C1CCC1 ZINC001589486882 987038345 /nfs/dbraw/zinc/03/83/45/987038345.db2.gz NUQNTMHZDBPHPD-NSHDSACASA-N 0 1 322.792 3.070 20 30 DGEDMN CCc1[nH]c(C[N@@H+](Cc2ccc(C#N)cc2)C2CC2)cc1C(=O)[O-] ZINC001597384711 987590707 /nfs/dbraw/zinc/59/07/07/987590707.db2.gz OLZZNJGIDUZLEF-UHFFFAOYSA-N 0 1 323.396 3.312 20 30 DGEDMN CCc1oc(C[N@H+](C)Cc2cc(C#N)cs2)cc1C(=O)[O-] ZINC001597792687 989334727 /nfs/dbraw/zinc/33/47/27/989334727.db2.gz FGKMKJJRGFMMJH-UHFFFAOYSA-N 0 1 304.371 3.105 20 30 DGEDMN CCc1oc(C[N@@H+](C)Cc2cc(C#N)cs2)cc1C(=O)[O-] ZINC001597792687 989334730 /nfs/dbraw/zinc/33/47/30/989334730.db2.gz FGKMKJJRGFMMJH-UHFFFAOYSA-N 0 1 304.371 3.105 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+]1CCOc2c(cccc2C(=O)[O-])C1 ZINC001593699729 996171735 /nfs/dbraw/zinc/17/17/35/996171735.db2.gz WXXYIRSAUZUPLI-ZDUSSCGKSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@H+]1CCOc2c(cccc2C(=O)[O-])C1 ZINC001593699729 996171740 /nfs/dbraw/zinc/17/17/40/996171740.db2.gz WXXYIRSAUZUPLI-ZDUSSCGKSA-N 0 1 322.364 3.212 20 30 DGEDMN COc1ccc([C@H](C)[N@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001599507514 997236610 /nfs/dbraw/zinc/23/66/10/997236610.db2.gz QVIYOYVESOZRIN-ZDUSSCGKSA-N 0 1 324.380 3.458 20 30 DGEDMN COc1ccc([C@H](C)[N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001599507514 997236622 /nfs/dbraw/zinc/23/66/22/997236622.db2.gz QVIYOYVESOZRIN-ZDUSSCGKSA-N 0 1 324.380 3.458 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H](C)CCC)CC1 ZINC001267724859 1083284483 /nfs/dbraw/zinc/28/44/83/1083284483.db2.gz PJHDUAANNQBWTP-ZDUSSCGKSA-N 0 1 300.874 3.346 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2c(O)cc(Br)cc2F)C1 ZINC001233032526 1098208545 /nfs/dbraw/zinc/20/85/45/1098208545.db2.gz HUYOBLXEWOXNHV-ZDUSSCGKSA-N 0 1 313.170 3.029 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CCCCC(F)(F)F)C1 ZINC001316985386 1106848908 /nfs/dbraw/zinc/84/89/08/1106848908.db2.gz RUWCVSHARQVUBX-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1nnc(C)s1 ZINC001169705283 1081634212 /nfs/dbraw/zinc/63/42/12/1081634212.db2.gz IBYQAJAPBIJATD-OLZOCXBDSA-N 0 1 324.494 3.138 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1nnc(C)s1 ZINC001169705284 1081634329 /nfs/dbraw/zinc/63/43/29/1081634329.db2.gz IBYQAJAPBIJATD-QWHCGFSZSA-N 0 1 324.494 3.138 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2cc(C)sc2C)C1 ZINC001266323542 1081666210 /nfs/dbraw/zinc/66/62/10/1081666210.db2.gz GLTZGUHUZWHCJP-UHFFFAOYSA-N 0 1 306.475 3.269 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC001266386515 1081729503 /nfs/dbraw/zinc/72/95/03/1081729503.db2.gz IYUVFQICJOXEOH-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1nc(C)cs1)C1CC1 ZINC001266423568 1081783476 /nfs/dbraw/zinc/78/34/76/1081783476.db2.gz HSOVEVNTMFIMAM-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)OC1CCCCC1)C2 ZINC001109283054 1081794667 /nfs/dbraw/zinc/79/46/67/1081794667.db2.gz GFLRYDHGLSCWNS-UBDQQSCGSA-N 0 1 320.477 3.022 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1CCc1ccc(F)c(F)c1 ZINC001266450494 1081837598 /nfs/dbraw/zinc/83/75/98/1081837598.db2.gz ONSPIGZBJDEILA-OAHLLOKOSA-N 0 1 322.399 3.054 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1(C)CCCCC1)C2 ZINC001109509549 1081853135 /nfs/dbraw/zinc/85/31/35/1081853135.db2.gz IQPDXTNSCIIVAV-IKGGRYGDSA-N 0 1 302.462 3.092 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cnc(C)s1 ZINC001266479737 1081882593 /nfs/dbraw/zinc/88/25/93/1081882593.db2.gz BXEDLLASNSNIEA-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCN2Cc2ccsc2)C1 ZINC001266482641 1081885652 /nfs/dbraw/zinc/88/56/52/1081885652.db2.gz FTWAKIAAPFFNTP-HNNXBMFYSA-N 0 1 304.459 3.185 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCc1ccccc1)C2 ZINC001109663234 1081896751 /nfs/dbraw/zinc/89/67/51/1081896751.db2.gz LPADPAWJGKDGID-AABGKKOBSA-N 0 1 324.468 3.144 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109683639 1081898657 /nfs/dbraw/zinc/89/86/57/1081898657.db2.gz VTWYUNPXNXXGIR-OSFYFWSMSA-N 0 1 318.383 3.263 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](CC)c1ccc(C)cc1)C2 ZINC001109718672 1081903456 /nfs/dbraw/zinc/90/34/56/1081903456.db2.gz JRKYPPUTRINNNH-RNQOJCNYSA-N 0 1 324.468 3.233 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC)CC(C)C ZINC001266501547 1081905901 /nfs/dbraw/zinc/90/59/01/1081905901.db2.gz AXZIHFIJVSAMHP-KURKYZTESA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](c1ccccc1)C(C)C)C2 ZINC001109966283 1081942110 /nfs/dbraw/zinc/94/21/10/1081942110.db2.gz KXFFFXTZQTZOAH-ZRNYENFQSA-N 0 1 324.468 3.171 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)n2cccc2)CC1 ZINC001112767996 1081999230 /nfs/dbraw/zinc/99/92/30/1081999230.db2.gz MZJOFKSAHZLSFN-KRWDZBQOSA-N 0 1 305.466 3.164 20 30 DGEDMN C#CCCCCC(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001112775044 1082002284 /nfs/dbraw/zinc/00/22/84/1082002284.db2.gz DADLCJLFZZIWGH-UHFFFAOYSA-N 0 1 302.462 3.215 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(C)noc2CCC)CC1 ZINC001112842767 1082022529 /nfs/dbraw/zinc/02/25/29/1082022529.db2.gz APFVIVIFIHZDPY-CQSZACIVSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCN(CC/C=C/CC)CC1 ZINC001112850751 1082022874 /nfs/dbraw/zinc/02/28/74/1082022874.db2.gz DKBZQYYQDOKCJP-FNORWQNLSA-N 0 1 322.493 3.250 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCC(F)(F)C2)CC1 ZINC001112932092 1082055144 /nfs/dbraw/zinc/05/51/44/1082055144.db2.gz REECAUIATQCBJE-HNNXBMFYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(F)c(C)cc2F)CC1 ZINC001112987817 1082076979 /nfs/dbraw/zinc/07/69/79/1082076979.db2.gz YYKODCMWQCFRMV-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN C#CCN(CCNC(=O)[C@H](c1ccccc1)[C@H](C)CC)C1CC1 ZINC001266619637 1082084602 /nfs/dbraw/zinc/08/46/02/1082084602.db2.gz VSRSVBDDIREFLC-APWZRJJASA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCCc3ccccc32)CC1 ZINC001113019783 1082090361 /nfs/dbraw/zinc/09/03/61/1082090361.db2.gz YDISZYONYFGDAN-LJQANCHMSA-N 0 1 312.457 3.217 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001266628802 1082092907 /nfs/dbraw/zinc/09/29/07/1082092907.db2.gz RVCQKNFAQIAKNS-WBAXXEDZSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)sc2C)CC1 ZINC001113076059 1082109393 /nfs/dbraw/zinc/10/93/93/1082109393.db2.gz NPWZGYPMCNTLNO-ZDUSSCGKSA-N 0 1 306.475 3.477 20 30 DGEDMN C#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C=C2CCCCC2)C1 ZINC001086577341 1082113531 /nfs/dbraw/zinc/11/35/31/1082113531.db2.gz GMSFQRRRMAZOME-VQTJNVASSA-N 0 1 322.452 3.094 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccccc2CC)CC1 ZINC001113268202 1082156750 /nfs/dbraw/zinc/15/67/50/1082156750.db2.gz RQSNCFVLDDYGMO-MRXNPFEDSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001266708204 1082159604 /nfs/dbraw/zinc/15/96/04/1082159604.db2.gz OXBWHKZPCZMZIG-KFWWJZLASA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccoc2CC)C1 ZINC001086585961 1082167249 /nfs/dbraw/zinc/16/72/49/1082167249.db2.gz XZCQMISJNXQAJJ-ZWKOTPCHSA-N 0 1 324.424 3.226 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H](C)C(F)(F)F)CC1 ZINC001113289736 1082171494 /nfs/dbraw/zinc/17/14/94/1082171494.db2.gz DLUHHHNSZBYZJO-CQSZACIVSA-N 0 1 320.399 3.466 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCCc2ccccc2)CC1 ZINC001113289988 1082171814 /nfs/dbraw/zinc/17/18/14/1082171814.db2.gz QSTWNGWZJJOEJK-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc2c1CCCCC2 ZINC001266734200 1082177576 /nfs/dbraw/zinc/17/75/76/1082177576.db2.gz OJWCCYUTPAKQOO-UHFFFAOYSA-N 0 1 320.864 3.370 20 30 DGEDMN CCOc1cc(/C=C\C(=O)Nc2cc(C#N)ccc2O)ccc1O ZINC000588412970 1082177644 /nfs/dbraw/zinc/17/76/44/1082177644.db2.gz LNDSFKNAVSKUFX-YVMONPNESA-N 0 1 324.336 3.020 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H](C)c2cccc(F)c2)CC1 ZINC001113330971 1082179322 /nfs/dbraw/zinc/17/93/22/1082179322.db2.gz AOQPJXBPSKVMKJ-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)=C2CCC2)C1 ZINC001086587894 1082180983 /nfs/dbraw/zinc/18/09/83/1082180983.db2.gz STYNCBFVZQMMQD-VQTJNVASSA-N 0 1 322.452 3.094 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)c2cccs2)CC1 ZINC001113338718 1082181064 /nfs/dbraw/zinc/18/10/64/1082181064.db2.gz KBWKUGOJFQKYFI-LSDHHAIUSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccco2)CC1 ZINC001113367470 1082184514 /nfs/dbraw/zinc/18/45/14/1082184514.db2.gz SKSPVJDVPIVLQU-IAGOWNOFSA-N 0 1 316.445 3.274 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(C)cccc2C)CC1 ZINC001113370115 1082185435 /nfs/dbraw/zinc/18/54/35/1082185435.db2.gz RKMAKJCHYNRERT-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(C(F)(F)F)CC2)CC1 ZINC001113370213 1082185550 /nfs/dbraw/zinc/18/55/50/1082185550.db2.gz YCGXUDGZIYNZFN-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)Cc2cccs2)CC1 ZINC001113391444 1082194460 /nfs/dbraw/zinc/19/44/60/1082194460.db2.gz DEWVGTUNLPZQKP-HOTGVXAUSA-N 0 1 320.502 3.426 20 30 DGEDMN C=CC1(CC(=O)N2CCN(CCCCOC)CC2)CCCCC1 ZINC001113429288 1082209478 /nfs/dbraw/zinc/20/94/78/1082209478.db2.gz TWVHCQAKPGMNPN-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H]2CCc3ccccc32)CC1 ZINC001113443965 1082211196 /nfs/dbraw/zinc/21/11/96/1082211196.db2.gz JOTIPXSGEXXSRU-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2oc(CC)cc2C)CC1 ZINC001113543803 1082243595 /nfs/dbraw/zinc/24/35/95/1082243595.db2.gz FUQWFHJYSQVQIS-HNNXBMFYSA-N 0 1 304.434 3.263 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)c2cccnc2)CC1 ZINC001113550935 1082247639 /nfs/dbraw/zinc/24/76/39/1082247639.db2.gz VESKZCSVZHTAMV-QGZVFWFLSA-N 0 1 317.477 3.300 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CCCCOC)CC1 ZINC001113575676 1082255188 /nfs/dbraw/zinc/25/51/88/1082255188.db2.gz CYYRFEYFFXIHIM-UHFFFAOYSA-N 0 1 312.498 3.308 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3ncsc3c2)CC1 ZINC001113603422 1082263284 /nfs/dbraw/zinc/26/32/84/1082263284.db2.gz FIIDYAFWEMTHJG-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(c3ccccc3)CC2)CC1 ZINC001113610278 1082264135 /nfs/dbraw/zinc/26/41/35/1082264135.db2.gz SPRFWXVPSRYRLW-KRWDZBQOSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(C)CCCC2)C1 ZINC001086602148 1082267940 /nfs/dbraw/zinc/26/79/40/1082267940.db2.gz KFNMDRAOQYQVNP-ZWKOTPCHSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)CCc2cccc(F)c2)CC1 ZINC001113661321 1082272310 /nfs/dbraw/zinc/27/23/10/1082272310.db2.gz OQGQNHOHBAXEMY-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](F)Cc2ccccc2)CC1 ZINC001113667117 1082273457 /nfs/dbraw/zinc/27/34/57/1082273457.db2.gz OZISTXBLCOTMMG-AEFFLSMTSA-N 0 1 318.436 3.066 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CCC(=C)C)CC1)c1ccccc1 ZINC001113666994 1082273702 /nfs/dbraw/zinc/27/37/02/1082273702.db2.gz KCYPWONHDOCQMS-SFHVURJKSA-N 0 1 312.457 3.457 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cocc2C)C1 ZINC001086603608 1082274415 /nfs/dbraw/zinc/27/44/15/1082274415.db2.gz IZSYOIBLTZKLDY-PKOBYXMFSA-N 0 1 324.424 3.362 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)CCCc2ccccc2)CC1 ZINC001113698157 1082286759 /nfs/dbraw/zinc/28/67/59/1082286759.db2.gz ISZWNXXPFUIYQC-GOSISDBHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(CCC(F)(F)F)C1 ZINC001324641399 1082306247 /nfs/dbraw/zinc/30/62/47/1082306247.db2.gz KNNLUHYRBZODHG-OCCSQVGLSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cc(C)no2)C1 ZINC001266896198 1082314311 /nfs/dbraw/zinc/31/43/11/1082314311.db2.gz XUQZKVBQGBEXEI-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001266935063 1082357473 /nfs/dbraw/zinc/35/74/73/1082357473.db2.gz GEHBCVDMNYUVCF-HOTGVXAUSA-N 0 1 318.436 3.098 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001266963231 1082381489 /nfs/dbraw/zinc/38/14/89/1082381489.db2.gz BTTMREREMOPNOY-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1OC1CCC1 ZINC001266971021 1082389897 /nfs/dbraw/zinc/38/98/97/1082389897.db2.gz QJZZXJXDIQGFLW-ZDUSSCGKSA-N 0 1 322.836 3.078 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2sccc2Cl)C1 ZINC001266971176 1082389961 /nfs/dbraw/zinc/38/99/61/1082389961.db2.gz SKZHABDQVBDQTJ-LLVKDONJSA-N 0 1 312.866 3.352 20 30 DGEDMN CC(C)(C)c1nc2c(ncnc2NCC#Cc2ccccc2)[nH]1 ZINC001170425620 1082392584 /nfs/dbraw/zinc/39/25/84/1082392584.db2.gz BZBVSEAQEAWDBY-UHFFFAOYSA-N 0 1 305.385 3.114 20 30 DGEDMN CCCCCCC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001266987983 1082409565 /nfs/dbraw/zinc/40/95/65/1082409565.db2.gz APMFGTCAFNOUFO-INIZCTEOSA-N 0 1 318.436 3.242 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccc2ccccc2c1 ZINC001266992140 1082413995 /nfs/dbraw/zinc/41/39/95/1082413995.db2.gz ZTZSIPQFJFKACB-CQSZACIVSA-N 0 1 316.832 3.229 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2conc2C)CCCCC1 ZINC001266997263 1082418208 /nfs/dbraw/zinc/41/82/08/1082418208.db2.gz MWUHXABNYYQBTQ-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001267017281 1082437423 /nfs/dbraw/zinc/43/74/23/1082437423.db2.gz VETAWKPIXPITLP-HOCLYGCPSA-N 0 1 304.409 3.119 20 30 DGEDMN CCC(=CC(=O)NCCNCC#Cc1ccccc1Cl)CC ZINC001125570793 1082464940 /nfs/dbraw/zinc/46/49/40/1082464940.db2.gz AJQLJLVAOZWDRW-UHFFFAOYSA-N 0 1 318.848 3.144 20 30 DGEDMN C[C@@H](C(=O)NCCNCC#Cc1ccc(Cl)cc1)C(C)(C)C ZINC001130289576 1082563697 /nfs/dbraw/zinc/56/36/97/1082563697.db2.gz QRSHBAVNXPENRF-AWEZNQCLSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCCc1ccc(Cl)cc1 ZINC001130754126 1082611437 /nfs/dbraw/zinc/61/14/37/1082611437.db2.gz OSUFMWNPCNASLX-UHFFFAOYSA-N 0 1 315.244 3.121 20 30 DGEDMN CC1(CC(=O)NCCNCc2ccccc2C#N)CCCCC1 ZINC001131140761 1082646311 /nfs/dbraw/zinc/64/63/11/1082646311.db2.gz RJAFZQYBXLMYHX-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001267225527 1082662400 /nfs/dbraw/zinc/66/24/00/1082662400.db2.gz WCBZUDRRJSAOHZ-LJQANCHMSA-N 0 1 315.461 3.323 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001267225346 1082663293 /nfs/dbraw/zinc/66/32/93/1082663293.db2.gz UEQLXYIYUJGEOB-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)O[C@]2(CC[N@@H+](CC=C(C)C)C2)C1 ZINC001131677372 1082704979 /nfs/dbraw/zinc/70/49/79/1082704979.db2.gz MAZBOHTWCFEYCQ-MJGOQNOKSA-N 0 1 320.477 3.001 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccccc3OC3CC3)[nH]c2c1 ZINC001131674716 1082705108 /nfs/dbraw/zinc/70/51/08/1082705108.db2.gz UPRFWLXKXHQBRH-UHFFFAOYSA-N 0 1 318.336 3.228 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(OCC#N)cc3)sc2C1 ZINC001212605030 1082710107 /nfs/dbraw/zinc/71/01/07/1082710107.db2.gz IATMOMQGCGEBPX-UHFFFAOYSA-N 0 1 324.409 3.254 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1c2ccccc2oc1CC ZINC001267256985 1082711720 /nfs/dbraw/zinc/71/17/20/1082711720.db2.gz KOKDPSGPFHIERT-UHFFFAOYSA-N 0 1 312.413 3.070 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001267260056 1082717566 /nfs/dbraw/zinc/71/75/66/1082717566.db2.gz WIEJVNIYZPAULX-UHFFFAOYSA-N 0 1 310.441 3.329 20 30 DGEDMN CC(C)(C)/C=C/C(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001267287393 1082750737 /nfs/dbraw/zinc/75/07/37/1082750737.db2.gz IIDXSZOWCKWQBV-XSSIKURBSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccc(OC)cc2)C1 ZINC001131899509 1082758830 /nfs/dbraw/zinc/75/88/30/1082758830.db2.gz MYSQNVUBCKOQJF-NVXWUHKLSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccc(F)c2)C1 ZINC001131895193 1082762369 /nfs/dbraw/zinc/76/23/69/1082762369.db2.gz GXFZZFIGGSVVEB-WMLDXEAASA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cccc(OC)c2)C1 ZINC001131895529 1082763158 /nfs/dbraw/zinc/76/31/58/1082763158.db2.gz KDJRPTFQLKOTAC-RDJZCZTQSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2csc(C)c2)C1 ZINC001131896842 1082763604 /nfs/dbraw/zinc/76/36/04/1082763604.db2.gz WINSNFSVWLJQNV-XJKSGUPXSA-N 0 1 306.475 3.492 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1nocc1C)C(C)C ZINC001267308375 1082772939 /nfs/dbraw/zinc/77/29/39/1082772939.db2.gz VWVGGKBLSYSWGW-UHFFFAOYSA-N 0 1 321.465 3.302 20 30 DGEDMN C#CC[N@@H+](CCC)CCNC(=O)c1cc2ccc(F)cc2s1 ZINC001267315372 1082783141 /nfs/dbraw/zinc/78/31/41/1082783141.db2.gz VZAJEKBAEIIDEQ-UHFFFAOYSA-N 0 1 318.417 3.115 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccc(F)cc2)C1 ZINC001132003466 1082790935 /nfs/dbraw/zinc/79/09/35/1082790935.db2.gz WAAKESBZDUWIIK-YJBOKZPZSA-N 0 1 316.420 3.098 20 30 DGEDMN Cc1cc(CN2C[C@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cs1 ZINC001132021963 1082791957 /nfs/dbraw/zinc/79/19/57/1082791957.db2.gz SJBHXDNTRDHCKD-WMLDXEAASA-N 0 1 318.486 3.185 20 30 DGEDMN C=C(C)CCC(=O)NCCN(Cc1csc(C)c1)C1CC1 ZINC001267326577 1082799369 /nfs/dbraw/zinc/79/93/69/1082799369.db2.gz SPOIDMFFOSEOMA-UHFFFAOYSA-N 0 1 306.475 3.493 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132072910 1082808738 /nfs/dbraw/zinc/80/87/38/1082808738.db2.gz RKOGWHXMEZNRGG-OLZOCXBDSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132072820 1082808804 /nfs/dbraw/zinc/80/88/04/1082808804.db2.gz PFSCKGMSAOJWAX-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001267353460 1082827877 /nfs/dbraw/zinc/82/78/77/1082827877.db2.gz OLFZICCNGFHGAW-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)CC1CCCC1 ZINC001158549800 1082832817 /nfs/dbraw/zinc/83/28/17/1082832817.db2.gz KFFRXYRWBCJAMP-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001267367716 1082838104 /nfs/dbraw/zinc/83/81/04/1082838104.db2.gz HCICLSCPSNUWHG-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1CC(C)(C)C1)c1ccccc1 ZINC001267371023 1082839956 /nfs/dbraw/zinc/83/99/56/1082839956.db2.gz VPOAODHIEAIOGP-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2cncc(C)c2)C1 ZINC001132218176 1082844284 /nfs/dbraw/zinc/84/42/84/1082844284.db2.gz NIBYVNDYFKMDFH-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132255784 1082865081 /nfs/dbraw/zinc/86/50/81/1082865081.db2.gz FMHFEDFJBFURMM-CHWSQXEVSA-N 0 1 306.372 3.264 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC/C=C\c2ccccc2)CC[C@@H]1C ZINC001132296529 1082874695 /nfs/dbraw/zinc/87/46/95/1082874695.db2.gz RXCVLNJXUXHVJT-ARXNCCBWSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C)c1ccccc1 ZINC001267436712 1082882609 /nfs/dbraw/zinc/88/26/09/1082882609.db2.gz NLANFBCZMRAPPR-OTWHNJEPSA-N 0 1 310.441 3.208 20 30 DGEDMN COc1cccc(/C=N\C[C@@H](C)[N@H+]2CCc3ccccc3C2)c1O ZINC000255663365 1082907303 /nfs/dbraw/zinc/90/73/03/1082907303.db2.gz RLESPWUNPNCXSQ-PJMHOZITSA-N 0 1 324.424 3.267 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCCC2CCCCC2)CC[C@@H]1C ZINC001132461531 1082917553 /nfs/dbraw/zinc/91/75/53/1082917553.db2.gz QJOSYFBPHMMXEB-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCc2cccs2)CC[C@@H]1C ZINC001132469770 1082920644 /nfs/dbraw/zinc/92/06/44/1082920644.db2.gz WHEGFZPABLHJQN-HOTGVXAUSA-N 0 1 318.486 3.063 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](CC)C(C)C)C1 ZINC001267488715 1082946092 /nfs/dbraw/zinc/94/60/92/1082946092.db2.gz OLCWIXSMSYGVHM-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3c(c2)CCC3)C1 ZINC001267496206 1082954348 /nfs/dbraw/zinc/95/43/48/1082954348.db2.gz OYBRPIKCQKYDTC-IBGZPJMESA-N 0 1 312.457 3.288 20 30 DGEDMN CC#CCCCC(=O)NCCN[C@@H](C)c1ccccc1Cl ZINC001132605439 1082954739 /nfs/dbraw/zinc/95/47/39/1082954739.db2.gz PTMAAVGNROXBIV-AWEZNQCLSA-N 0 1 306.837 3.300 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2CCc1ccccc1F ZINC001267527591 1082989944 /nfs/dbraw/zinc/98/99/44/1082989944.db2.gz CCJNONUQCGOWAX-CALCHBBNSA-N 0 1 316.420 3.010 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(F)c2)C1 ZINC001267544270 1083012950 /nfs/dbraw/zinc/01/29/50/1083012950.db2.gz OXWOWTSUOKXFLO-INIZCTEOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccccc2CC)C1 ZINC001267587734 1083108112 /nfs/dbraw/zinc/10/81/12/1083108112.db2.gz CBUUJVDAENGTAD-HNNXBMFYSA-N 0 1 320.864 3.395 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001133378358 1083109117 /nfs/dbraw/zinc/10/91/17/1083109117.db2.gz DHQBULFCJSISBM-INIZCTEOSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCCC1(C(=O)NCCN[C@H](C)c2ccccc2F)CC1 ZINC001133378367 1083109327 /nfs/dbraw/zinc/10/93/27/1083109327.db2.gz DNEGWEXIDQYKJV-CQSZACIVSA-N 0 1 304.409 3.339 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001133383248 1083111578 /nfs/dbraw/zinc/11/15/78/1083111578.db2.gz MCNLPNJYUWLJPU-SJKOYZFVSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C1CCC(C(=O)NCCN[C@H](C)c2cc(F)ccc2F)CC1 ZINC001133375997 1083112262 /nfs/dbraw/zinc/11/22/62/1083112262.db2.gz RAZLTKJBTZKGPJ-CYBMUJFWSA-N 0 1 322.399 3.478 20 30 DGEDMN C#CCCCC(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC001133387746 1083112384 /nfs/dbraw/zinc/11/23/84/1083112384.db2.gz CMQQRCQUUIAHIC-UHFFFAOYSA-N 0 1 306.163 3.067 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cc(Cl)ccc2F)CCC1 ZINC001133392182 1083114637 /nfs/dbraw/zinc/11/46/37/1083114637.db2.gz LBGBCEWZYPPHON-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cc(F)ccc1C ZINC001481052116 1083135964 /nfs/dbraw/zinc/13/59/64/1083135964.db2.gz KLJQQMWXSXZJBU-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4cc(F)cc(F)c4C3)CCC2S1 ZINC001170690217 1083136416 /nfs/dbraw/zinc/13/64/16/1083136416.db2.gz PWDJMUKPNDUNGX-CZUORRHYSA-N 0 1 321.392 3.096 20 30 DGEDMN C[C@H](Nc1cc(O[C@@H]2CCOC2)ccn1)c1cccc(C#N)c1 ZINC001167709354 1083143818 /nfs/dbraw/zinc/14/38/18/1083143818.db2.gz MTXPUEPSXKDYDY-SUMWQHHRSA-N 0 1 309.369 3.294 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(c2ccccc2F)CCC1 ZINC001481062397 1083145257 /nfs/dbraw/zinc/14/52/57/1083145257.db2.gz BZIXEVJVODUCHT-HNNXBMFYSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(OCCCC)cc1 ZINC001481070059 1083149158 /nfs/dbraw/zinc/14/91/58/1083149158.db2.gz LRJSZSSILGUSLB-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@@H](C)c2ccc(F)cc2F)C1 ZINC001133571224 1083153728 /nfs/dbraw/zinc/15/37/28/1083153728.db2.gz KSOPTSWIUZAENN-LBPRGKRZSA-N 0 1 308.372 3.088 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@@H](C)c2ccccc2Cl)C1 ZINC001133571331 1083153879 /nfs/dbraw/zinc/15/38/79/1083153879.db2.gz NJOTTYYMGXDFLD-ZDUSSCGKSA-N 0 1 306.837 3.463 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001267614018 1083202031 /nfs/dbraw/zinc/20/20/31/1083202031.db2.gz ACYHNHHTBBEBCJ-AEFFLSMTSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001133889340 1083208176 /nfs/dbraw/zinc/20/81/76/1083208176.db2.gz UZKRRLAOMSQLCL-ZIAGYGMSSA-N 0 1 321.465 3.311 20 30 DGEDMN Cc1cc(Nc2ccc(OCC#N)cc2)n(-c2ccncc2)n1 ZINC001212607373 1083220552 /nfs/dbraw/zinc/22/05/52/1083220552.db2.gz ZTIFMAJOLHGLPV-UHFFFAOYSA-N 0 1 305.341 3.222 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H]1CCCCC1(C)C)C1CC1 ZINC001481198441 1083241215 /nfs/dbraw/zinc/24/12/15/1083241215.db2.gz FZQQVVDBFZENCW-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NC1CN(CCC2CCCCC2)C1)OCC ZINC001267648172 1083245394 /nfs/dbraw/zinc/24/53/94/1083245394.db2.gz SFNIUKDRBOUSHQ-GOSISDBHSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC(C2CC2)C2CC2)C1 ZINC001267708952 1083275802 /nfs/dbraw/zinc/27/58/02/1083275802.db2.gz SBVLVEWRHMTOBZ-AWEZNQCLSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC[C@H](C)c1ccccc1 ZINC001267713579 1083278312 /nfs/dbraw/zinc/27/83/12/1083278312.db2.gz QGXATYAHEVRVTH-WDSOQIARSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCCCCC[N@H+]1CC[C@H](NC(=O)c2ocnc2C2CC2)C1 ZINC001267728840 1083287935 /nfs/dbraw/zinc/28/79/35/1083287935.db2.gz NMLCFJFETNMTOF-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CN(C)Cc2ccns2)CC1 ZINC001267730063 1083288828 /nfs/dbraw/zinc/28/88/28/1083288828.db2.gz MIOUSCFUEGPYEG-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C2CC2)o1 ZINC001134470213 1083294182 /nfs/dbraw/zinc/29/41/82/1083294182.db2.gz OQMHNEGZUBEOSE-KBPBESRZSA-N 0 1 319.449 3.281 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001267765084 1083329236 /nfs/dbraw/zinc/32/92/36/1083329236.db2.gz UTGCMBNPBPDRBC-QGZVFWFLSA-N 0 1 318.486 3.283 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001267777079 1083340326 /nfs/dbraw/zinc/34/03/26/1083340326.db2.gz PJGJQJMTEFXVAF-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1sccc1Cl ZINC001481266264 1083343568 /nfs/dbraw/zinc/34/35/68/1083343568.db2.gz DDJDRAFDONBPJD-UHFFFAOYSA-N 0 1 300.855 3.162 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cncc2sccc21 ZINC001267814611 1083367092 /nfs/dbraw/zinc/36/70/92/1083367092.db2.gz HPTOIOHWRDKAMI-NSHDSACASA-N 0 1 323.849 3.147 20 30 DGEDMN CCCCC(=O)N1CC[C@@H](NCC#Cc2cccc(Cl)c2)C1 ZINC001181050887 1083391195 /nfs/dbraw/zinc/39/11/95/1083391195.db2.gz YTHOGSVXVNUHEH-QGZVFWFLSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C[C@@H]1[C@H](C(=O)OC)C1(F)F ZINC001181410520 1083460100 /nfs/dbraw/zinc/46/01/00/1083460100.db2.gz NPMPGSIQEGVTIM-HUUCEWRRSA-N 0 1 309.356 3.119 20 30 DGEDMN C=C(C)CN(CC)C(=O)C12CC(C1)CCN2Cc1ccccc1 ZINC001181490910 1083475671 /nfs/dbraw/zinc/47/56/71/1083475671.db2.gz QRVJRZFIYGZNFM-UHFFFAOYSA-N 0 1 312.457 3.466 20 30 DGEDMN O=C(C1CCCCCC1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001181488146 1083476368 /nfs/dbraw/zinc/47/63/68/1083476368.db2.gz PVDFWOSRIWCYIK-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN COc1ccc(C#CC(=O)Nc2cc3n[nH]c(C)c3c(F)c2)cc1 ZINC001182048197 1083616604 /nfs/dbraw/zinc/61/66/04/1083616604.db2.gz HFDSUIHBGLVEMH-UHFFFAOYSA-N 0 1 323.327 3.009 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)Cc1n[nH]c3ccccc31)CCC2 ZINC001182058048 1083617706 /nfs/dbraw/zinc/61/77/06/1083617706.db2.gz TWUFGRNVJYYYJK-UHFFFAOYSA-N 0 1 322.393 3.166 20 30 DGEDMN C#C[C@H](NC1CC(c2nc(C)no2)C1)c1ccc(Cl)cc1 ZINC001182161043 1083635751 /nfs/dbraw/zinc/63/57/51/1083635751.db2.gz MKCABWPMKMAVGR-ZALBZXLWSA-N 0 1 301.777 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001267969803 1083672520 /nfs/dbraw/zinc/67/25/20/1083672520.db2.gz NNFIIIDTMNDTKG-RBUKOAKNSA-N 0 1 314.473 3.412 20 30 DGEDMN COc1ccc(N(C)CCN(C)CC#Cc2ccccc2)cc1 ZINC001182726004 1083789163 /nfs/dbraw/zinc/78/91/63/1083789163.db2.gz OJVRUWVIJXTAEK-UHFFFAOYSA-N 0 1 308.425 3.115 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)[C@@H](C)c3ccc(C#N)cc3)ccc12 ZINC001182797759 1083796903 /nfs/dbraw/zinc/79/69/03/1083796903.db2.gz JPYBNECGLXAZNT-NSHDSACASA-N 0 1 304.353 3.485 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCCC(F)(F)F)c1ccccc1 ZINC001481359605 1083814281 /nfs/dbraw/zinc/81/42/81/1083814281.db2.gz FLCZUDZYOGUDLI-CQSZACIVSA-N 0 1 314.351 3.352 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)C)c1ccc(C(C)C)cc1 ZINC001481359338 1083816414 /nfs/dbraw/zinc/81/64/14/1083816414.db2.gz IEFXFEZMVIYSBC-QGZVFWFLSA-N 0 1 300.446 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)C=C)c1ccc(C(C)C)cc1 ZINC001481360679 1083819560 /nfs/dbraw/zinc/81/95/60/1083819560.db2.gz RSUYNTIARSKVAO-GOSISDBHSA-N 0 1 312.457 3.402 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001182932266 1083847987 /nfs/dbraw/zinc/84/79/87/1083847987.db2.gz IWCBZDQMQREIGK-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN CCCN(C(=O)C(C)C)[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001481389499 1083851057 /nfs/dbraw/zinc/85/10/57/1083851057.db2.gz IHJGIRMDVPCMTE-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN CCCN(C(=O)C(C)C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481389499 1083851065 /nfs/dbraw/zinc/85/10/65/1083851065.db2.gz IHJGIRMDVPCMTE-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)C(CC)CC)C1 ZINC001481401817 1083869711 /nfs/dbraw/zinc/86/97/11/1083869711.db2.gz PNTJSRFZYDXRAP-WMZOPIPTSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccc(F)c(F)c2)C1 ZINC001481406172 1083876878 /nfs/dbraw/zinc/87/68/78/1083876878.db2.gz QKWVYZZBSSTBHU-OAHLLOKOSA-N 0 1 322.399 3.006 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001481407570 1083881000 /nfs/dbraw/zinc/88/10/00/1083881000.db2.gz UEOUECFEYZOUOC-ZCNNSNEGSA-N 0 1 324.468 3.126 20 30 DGEDMN CC(C)CC1(C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CC1 ZINC001183162235 1083896031 /nfs/dbraw/zinc/89/60/31/1083896031.db2.gz LSWZABROJKXLKL-LJQANCHMSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC001481424043 1083899739 /nfs/dbraw/zinc/89/97/39/1083899739.db2.gz MYHRJMJXDMLYPZ-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2ncoc2C(C)C)C1 ZINC001481425225 1083902360 /nfs/dbraw/zinc/90/23/60/1083902360.db2.gz VKIQOLPPXUUSFR-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CC[C@@H](CC)NS(=O)(=O)c1cc(C(F)(F)F)ccc1O ZINC001211679733 1083922994 /nfs/dbraw/zinc/92/29/94/1083922994.db2.gz QTVHYVUNYRXZGY-SNVBAGLBSA-N 0 1 323.336 3.044 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3nccs3)CCCC2)C1 ZINC001268038009 1083936181 /nfs/dbraw/zinc/93/61/81/1083936181.db2.gz PPGGYDISGPCIHH-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@]2(C)CC=CCC2)C1 ZINC001481484609 1083998868 /nfs/dbraw/zinc/99/88/68/1083998868.db2.gz ARTXRGLXAUUUSZ-DOTOQJQBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001268063547 1084079679 /nfs/dbraw/zinc/07/96/79/1084079679.db2.gz BIVSSSODXGRWSP-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cc(C)ccc2OC)C1 ZINC001481532662 1084092455 /nfs/dbraw/zinc/09/24/55/1084092455.db2.gz PSWTZIMSPROVLL-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CC(C)(C)CC(F)F ZINC001268075272 1084103692 /nfs/dbraw/zinc/10/36/92/1084103692.db2.gz QWGLISCEAYSHES-NSHDSACASA-N 0 1 310.816 3.247 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001268081443 1084120189 /nfs/dbraw/zinc/12/01/89/1084120189.db2.gz PUNZWDFOOQYEIH-IUODEOHRSA-N 0 1 323.506 3.299 20 30 DGEDMN C#CCCCC(=O)NC1CN(CC2CCC(C(C)(C)C)CC2)C1 ZINC001481556016 1084146473 /nfs/dbraw/zinc/14/64/73/1084146473.db2.gz QLXQDKGMIGRCQB-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)CC1CC(F)(F)C1 ZINC001268108319 1084179050 /nfs/dbraw/zinc/17/90/50/1084179050.db2.gz OPDOHBAGJNWKNJ-ZDUSSCGKSA-N 0 1 322.827 3.295 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1cccc(Cl)c1C#N)C2 ZINC001139689982 1084211276 /nfs/dbraw/zinc/21/12/76/1084211276.db2.gz ZWKGKEDILVPBMC-PBHICJAKSA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001185048527 1084333765 /nfs/dbraw/zinc/33/37/65/1084333765.db2.gz FIWNJHGABDNHKV-DZGCQCFKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001087438716 1084456552 /nfs/dbraw/zinc/45/65/52/1084456552.db2.gz MJFLDCJJBVSCKR-GUDVDZBRSA-N 0 1 324.468 3.337 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)/C=C(/C)C1CC1 ZINC001496471136 1084493589 /nfs/dbraw/zinc/49/35/89/1084493589.db2.gz XUCCVHCATURMFR-PQEKSWJGSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001481705960 1084498770 /nfs/dbraw/zinc/49/87/70/1084498770.db2.gz DCGITZBPEQDVEB-QQNBDIPXSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CCc1ccc(C(C)C)cc1 ZINC001492994102 1084503506 /nfs/dbraw/zinc/50/35/06/1084503506.db2.gz XVDZDKMUEONIIC-UHFFFAOYSA-N 0 1 314.473 3.204 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001273508007 1084505117 /nfs/dbraw/zinc/50/51/17/1084505117.db2.gz SQSZNOSARJXVFZ-ISLYRVAYSA-N 0 1 312.457 3.175 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](CCCCC(F)(F)F)C1 ZINC001481735214 1084550761 /nfs/dbraw/zinc/55/07/61/1084550761.db2.gz LQYPMKHDHYHXGI-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001481740050 1084556917 /nfs/dbraw/zinc/55/69/17/1084556917.db2.gz JYCXQMSJVUDXBC-GXTWGEPZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CCC)c2ccccc2)C1 ZINC001481750267 1084574609 /nfs/dbraw/zinc/57/46/09/1084574609.db2.gz JUDGXHYSHNKFHH-ZWKOTPCHSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001481750264 1084574910 /nfs/dbraw/zinc/57/49/10/1084574910.db2.gz JUDGXHYSHNKFHH-MSOLQXFVSA-N 0 1 300.446 3.289 20 30 DGEDMN C/C=C(/C)C(=O)N(CC)CCNCC#Cc1cccc(Cl)c1 ZINC001268441976 1084600455 /nfs/dbraw/zinc/60/04/55/1084600455.db2.gz JZQADBTZCNUVST-TVPGTPATSA-N 0 1 318.848 3.096 20 30 DGEDMN CCC(=CC(=O)N(CC)CCNCc1ccccc1C#N)CC ZINC001268442655 1084600720 /nfs/dbraw/zinc/60/07/20/1084600720.db2.gz BJKNMFYQUHVFHC-UHFFFAOYSA-N 0 1 313.445 3.243 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1ccccc1Cl ZINC001268448244 1084602694 /nfs/dbraw/zinc/60/26/94/1084602694.db2.gz PENPMOCRQDDUBU-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001268453118 1084625074 /nfs/dbraw/zinc/62/50/74/1084625074.db2.gz GRZPJVIDAQIYLN-ZDUSSCGKSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001481777477 1084628517 /nfs/dbraw/zinc/62/85/17/1084628517.db2.gz OAVPSFOLOSWRMR-QFBILLFUSA-N 0 1 314.473 3.461 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001481778233 1084630529 /nfs/dbraw/zinc/63/05/29/1084630529.db2.gz LXTMJQLJEULEOQ-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C2CCC2)C1 ZINC001087452033 1084661058 /nfs/dbraw/zinc/66/10/58/1084661058.db2.gz XYKGMQUTDLYJCE-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCc2ccccc2C1 ZINC001481855328 1084671482 /nfs/dbraw/zinc/67/14/82/1084671482.db2.gz AMCDCJBKPPUNGQ-SJORKVTESA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnoc1C(C)C ZINC001481877771 1084684273 /nfs/dbraw/zinc/68/42/73/1084684273.db2.gz DYGMGOFHRIFQJF-CABCVRRESA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001187107266 1084751879 /nfs/dbraw/zinc/75/18/79/1084751879.db2.gz ZJYIVYCPUPTZIS-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@H](NCc2cnc(C)o2)C1 ZINC001187340692 1084793574 /nfs/dbraw/zinc/79/35/74/1084793574.db2.gz ANBBPBJPLVBZJW-MRXNPFEDSA-N 0 1 321.465 3.424 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)sc1C ZINC001482059489 1084810241 /nfs/dbraw/zinc/81/02/41/1084810241.db2.gz HRCRIUDIOXZYIW-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(OC(C)C)cc1 ZINC001268618600 1084897004 /nfs/dbraw/zinc/89/70/04/1084897004.db2.gz HACSOJLGYSRXBO-PLNGDYQASA-N 0 1 322.836 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001268651781 1084917158 /nfs/dbraw/zinc/91/71/58/1084917158.db2.gz YHYRUWYNJCKJPK-DJIMGWMZSA-N 0 1 320.864 3.417 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001268666815 1084929090 /nfs/dbraw/zinc/92/90/90/1084929090.db2.gz GPJXPFVQHPFLRJ-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001268683217 1084944113 /nfs/dbraw/zinc/94/41/13/1084944113.db2.gz QJWAMHLONYKJGV-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1c(F)cccc1Cl ZINC001268700077 1084955713 /nfs/dbraw/zinc/95/57/13/1084955713.db2.gz VVXHHMUHDCRLCI-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001268704232 1084958006 /nfs/dbraw/zinc/95/80/06/1084958006.db2.gz ZHTJPSHZEKPSTA-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001268736655 1085001224 /nfs/dbraw/zinc/00/12/24/1085001224.db2.gz SCZFXPQWVACXOY-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001268749382 1085025688 /nfs/dbraw/zinc/02/56/88/1085025688.db2.gz WFUUXAVUSJFYLK-PKOBYXMFSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC)Cc1ccccc1C ZINC001268753693 1085027455 /nfs/dbraw/zinc/02/74/55/1085027455.db2.gz WURNCVNIZTUPFZ-MSOLQXFVSA-N 0 1 314.473 3.024 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2csc(C)n2)CCC1 ZINC001268836920 1085064866 /nfs/dbraw/zinc/06/48/66/1085064866.db2.gz XCONEDDTLGGSPV-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc4cc[nH]c4c3)C2)C1 ZINC001268878656 1085074563 /nfs/dbraw/zinc/07/45/63/1085074563.db2.gz BCOGGIRQRDIBAM-UHFFFAOYSA-N 0 1 323.440 3.072 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(C)cc1 ZINC001268935370 1085084672 /nfs/dbraw/zinc/08/46/72/1085084672.db2.gz VLLMWNOLYSEXRJ-PMACEKPBSA-N 0 1 324.468 3.016 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001269051546 1085106920 /nfs/dbraw/zinc/10/69/20/1085106920.db2.gz AVRHVIPHGCNPPD-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001269134749 1085146841 /nfs/dbraw/zinc/14/68/41/1085146841.db2.gz SLIZXVKEECVMLX-QWHCGFSZSA-N 0 1 319.276 3.117 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001269266719 1085249645 /nfs/dbraw/zinc/24/96/45/1085249645.db2.gz ISFAAKLJSQZNBZ-QYZOEREBSA-N 0 1 312.457 3.022 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001269271787 1085255638 /nfs/dbraw/zinc/25/56/38/1085255638.db2.gz DBTJHGIHYFJAFI-KRWDZBQOSA-N 0 1 300.446 3.206 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2ncc(C)s2)CCC1 ZINC001269466186 1085400487 /nfs/dbraw/zinc/40/04/87/1085400487.db2.gz GVDRNTSTKYTTSL-AWEZNQCLSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2occc2s1 ZINC001269466271 1085400616 /nfs/dbraw/zinc/40/06/16/1085400616.db2.gz IGYPNBNIMYZTAY-SNVBAGLBSA-N 0 1 312.822 3.345 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)c2cccc(F)c2)CC1 ZINC001269489871 1085418733 /nfs/dbraw/zinc/41/87/33/1085418733.db2.gz YUNUYAARZZXESG-LBPRGKRZSA-N 0 1 324.827 3.310 20 30 DGEDMN CCC[C@H](CC)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269513067 1085433442 /nfs/dbraw/zinc/43/34/42/1085433442.db2.gz MYZBVQGPLQIPMI-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN CC[C@H](C)CCC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269509238 1085435421 /nfs/dbraw/zinc/43/54/21/1085435421.db2.gz WOWJZNWPDSDPRB-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(F)c2occc21 ZINC001269509805 1085436535 /nfs/dbraw/zinc/43/65/35/1085436535.db2.gz LZSGCNZCDOUMKZ-LLVKDONJSA-N 0 1 324.783 3.422 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)CCCCC ZINC001269601812 1085489100 /nfs/dbraw/zinc/48/91/00/1085489100.db2.gz PFWAOABEUAUBSJ-SJORKVTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCCCC(=O)N(C)C1CN(CCCc2ccc(C)cc2)C1 ZINC001269839775 1085581873 /nfs/dbraw/zinc/58/18/73/1085581873.db2.gz SPMSLRONNMFERI-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001269875663 1085595729 /nfs/dbraw/zinc/59/57/29/1085595729.db2.gz MCUUJEOPYAOTKG-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCOC(=O)[C@@H](Nc1ccc2[nH]cnc2c1C)[C@@H](C)CC ZINC001167960424 1085673762 /nfs/dbraw/zinc/67/37/62/1085673762.db2.gz ZDNWBXAJHFJDGE-NHYWBVRUSA-N 0 1 301.390 3.427 20 30 DGEDMN CC(C)CC(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001270200632 1085731101 /nfs/dbraw/zinc/73/11/01/1085731101.db2.gz LHDLWMDMESIFNM-UHFFFAOYSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc(OCC)c1 ZINC001270268783 1085756717 /nfs/dbraw/zinc/75/67/17/1085756717.db2.gz NTYKJZITIRYDHG-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@H](C)CC)CC2 ZINC001270329540 1085774939 /nfs/dbraw/zinc/77/49/39/1085774939.db2.gz WGEAFHIMIKYNGG-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCN(C(=O)[C@@H]1C[C@H]1CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001270338839 1085776485 /nfs/dbraw/zinc/77/64/85/1085776485.db2.gz SVHYFGHTOLSEIO-SOLBZPMBSA-N 0 1 322.468 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001482299093 1085876862 /nfs/dbraw/zinc/87/68/62/1085876862.db2.gz LHWVXMBSSWOFJJ-FPMFFAJLSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)[C@@H](C)C(C)(C)C ZINC001482299655 1085879953 /nfs/dbraw/zinc/87/99/53/1085879953.db2.gz SDRRNJKCVXMMKN-NWDGAFQWSA-N 0 1 319.287 3.014 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2nc(C)sc2C)C1 ZINC001482329969 1086018497 /nfs/dbraw/zinc/01/84/97/1086018497.db2.gz ORQJDGLEKQXLLT-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C13CC4CC(CC(C4)C1)C3)C2 ZINC001098267062 1086022895 /nfs/dbraw/zinc/02/28/95/1086022895.db2.gz BVTAUWJPOFJKBF-LRVOWSSKSA-N 0 1 314.473 3.110 20 30 DGEDMN N#Cc1ncc(N(C(=N)N)c2ccc(F)cc2)cc1C(F)(F)F ZINC001167991111 1086054337 /nfs/dbraw/zinc/05/43/37/1086054337.db2.gz FBLRWLFUUQXLPA-UHFFFAOYSA-N 0 1 323.253 3.143 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C(C)(C)CC(C)(C)C)CC2 ZINC001270870075 1086098497 /nfs/dbraw/zinc/09/84/97/1086098497.db2.gz IRJFAAQOMSEOFI-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H](CNCc1ccccc1C#N)CC(C)C ZINC001482377347 1086099165 /nfs/dbraw/zinc/09/91/65/1086099165.db2.gz FDRGGGYLWNXWSA-QAPCUYQASA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1ocnc1C)CC(C)C ZINC001482390772 1086115707 /nfs/dbraw/zinc/11/57/07/1086115707.db2.gz MBZBWDANHKVWPH-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H](C)C(F)(F)F ZINC001482392242 1086121347 /nfs/dbraw/zinc/12/13/47/1086121347.db2.gz XBZKALJXACDBIG-GHMZBOCLSA-N 0 1 314.779 3.058 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001270922994 1086193124 /nfs/dbraw/zinc/19/31/24/1086193124.db2.gz YIBZIEWFDBKINV-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001270975779 1086228707 /nfs/dbraw/zinc/22/87/07/1086228707.db2.gz IDBHZJMBPPFXMG-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc(C(C)C)c2)C1 ZINC001270998719 1086238202 /nfs/dbraw/zinc/23/82/02/1086238202.db2.gz IBTCALPNWCTDEQ-HXUWFJFHSA-N 0 1 312.457 3.275 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc3ccccc3c2)C1 ZINC001271006802 1086245895 /nfs/dbraw/zinc/24/58/95/1086245895.db2.gz BODPZQSQNQOMOQ-NRFANRHFSA-N 0 1 320.436 3.305 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@]1(C)CCCN(CCF)C1)c1ccccc1 ZINC001271016550 1086252364 /nfs/dbraw/zinc/25/23/64/1086252364.db2.gz HUENENFFONSBPC-PKOBYXMFSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@]1(C)CCCN(CCF)C1)c1ccccc1 ZINC001271016549 1086252766 /nfs/dbraw/zinc/25/27/66/1086252766.db2.gz HUENENFFONSBPC-MJGOQNOKSA-N 0 1 318.436 3.144 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3CCC3)cc2)[C@H]1CC ZINC001087593933 1086321011 /nfs/dbraw/zinc/32/10/11/1086321011.db2.gz CFWGANIPJCXHQD-RBUKOAKNSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)[C@H]1CC ZINC001087711578 1086378186 /nfs/dbraw/zinc/37/81/86/1086378186.db2.gz LZYNGVJOHKLUII-XOKHGSTOSA-N 0 1 318.848 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)[C@H]1CC ZINC001087749118 1086395687 /nfs/dbraw/zinc/39/56/87/1086395687.db2.gz UDNYREZEYRHKSK-LJCCNALRSA-N 0 1 318.505 3.441 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2scnc2C2CC2)[C@H]1CC ZINC001087754368 1086396022 /nfs/dbraw/zinc/39/60/22/1086396022.db2.gz CEDQOYQLZCJASD-UONOGXRCSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)[C@H]1CC ZINC001087754225 1086396932 /nfs/dbraw/zinc/39/69/32/1086396932.db2.gz UHVBAJNZSUZAGK-ZGXWSNOMSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(s2)CCC3)[C@H]1CC ZINC001087819336 1086427089 /nfs/dbraw/zinc/42/70/89/1086427089.db2.gz RLSIBZVFVBNXKR-UONOGXRCSA-N 0 1 304.459 3.006 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2sccc2C2CC2)[C@H]1CC ZINC001087819290 1086427867 /nfs/dbraw/zinc/42/78/67/1086427867.db2.gz OZKYZLNUAKMWNF-JKSUJKDBSA-N 0 1 316.470 3.232 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2sccc2C(C)C)[C@H]1CC ZINC001087839876 1086438830 /nfs/dbraw/zinc/43/88/30/1086438830.db2.gz YFDHFXGQZPSXOE-JKSUJKDBSA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)[C@H]1CC ZINC001087851837 1086447421 /nfs/dbraw/zinc/44/74/21/1086447421.db2.gz SKSXDFOYARZYAS-YESZJQIVSA-N 0 1 304.478 3.195 20 30 DGEDMN C[C@@H]1CC[C@H](NCc2ccc(C#N)o2)CN1C(=O)OC(C)(C)C ZINC000690948627 1086461020 /nfs/dbraw/zinc/46/10/20/1086461020.db2.gz WMWSJFOMJMCBFJ-OLZOCXBDSA-N 0 1 319.405 3.029 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2cnc(C)o2)CCCC1 ZINC001271239867 1086553728 /nfs/dbraw/zinc/55/37/28/1086553728.db2.gz YWKYAAPTBDGQBI-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](CNC/C(Cl)=C\Cl)CC(C)C ZINC001271261395 1086559395 /nfs/dbraw/zinc/55/93/95/1086559395.db2.gz JUKZBDIGVLKURP-CZAWJFPGSA-N 0 1 319.276 3.085 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H](C)c1ccco1 ZINC001271295261 1086565017 /nfs/dbraw/zinc/56/50/17/1086565017.db2.gz ULMATXHQLMJNCK-KGLIPLIRSA-N 0 1 312.841 3.256 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cccc(OC)c1 ZINC001271311789 1086573478 /nfs/dbraw/zinc/57/34/78/1086573478.db2.gz SANQBBRLVONJTL-HNNXBMFYSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001088093608 1086596810 /nfs/dbraw/zinc/59/68/10/1086596810.db2.gz DLGKJGNHYVDVNV-NNMHUELZSA-N 0 1 310.869 3.122 20 30 DGEDMN CCc1ccccc1[C@@H](CNC(C)=O)NCC#Cc1ccccc1 ZINC001271439863 1086630509 /nfs/dbraw/zinc/63/05/09/1086630509.db2.gz VABCSHLTUXMLMH-OAQYLSRUSA-N 0 1 320.436 3.068 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CCNCc1coc(C)n1 ZINC001271542782 1086677636 /nfs/dbraw/zinc/67/76/36/1086677636.db2.gz BXIPHUKQPUVFKJ-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1nc(C)c(C)s1)C(C)C ZINC001271846474 1086791961 /nfs/dbraw/zinc/79/19/61/1086791961.db2.gz QRFFDRRVKUPDLN-OAHLLOKOSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NC/C(Cl)=C/Cl)C(C)C)C1 ZINC001482555576 1086883682 /nfs/dbraw/zinc/88/36/82/1086883682.db2.gz VZGKEICOKSVZSB-OTAKNEKHSA-N 0 1 319.276 3.392 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001482592356 1087017306 /nfs/dbraw/zinc/01/73/06/1087017306.db2.gz WMJISYQUIWKXIS-CQSZACIVSA-N 0 1 319.424 3.024 20 30 DGEDMN C=CC1(CC(=O)NCCCNCc2nccs2)CCCCC1 ZINC001168182921 1087028458 /nfs/dbraw/zinc/02/84/58/1087028458.db2.gz SRXDFPTUHIPXMO-UHFFFAOYSA-N 0 1 321.490 3.266 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@H]1C ZINC001088587804 1087111525 /nfs/dbraw/zinc/11/15/25/1087111525.db2.gz AUYVWCYCWXNVPZ-NEPJUHHUSA-N 0 1 312.866 3.479 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1ccc(C)cc1Cl ZINC001482921978 1087188960 /nfs/dbraw/zinc/18/89/60/1087188960.db2.gz VPVDBXQKGNOYTK-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)CCNCc1nc(C)c(C)s1 ZINC001482929496 1087190200 /nfs/dbraw/zinc/19/02/00/1087190200.db2.gz ZCPRLZCNHXDVDD-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C)C(=O)[C@@H](C)OC1CCCCCC1 ZINC001272105760 1087203731 /nfs/dbraw/zinc/20/37/31/1087203731.db2.gz PIQWMWDKUKHZQO-MRXNPFEDSA-N 0 1 310.482 3.081 20 30 DGEDMN CCCC#CC(=O)N1CC2(CC[N@@H+]2C[C@H](CC)CCCC)C1 ZINC001272109716 1087207359 /nfs/dbraw/zinc/20/73/59/1087207359.db2.gz RMFAYTFFSUHHMX-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCC#CC(=O)N1CC2(CCN2C[C@H](CC)CCCC)C1 ZINC001272109716 1087207365 /nfs/dbraw/zinc/20/73/65/1087207365.db2.gz RMFAYTFFSUHHMX-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2c(s1)CCCCC2 ZINC001272164799 1087224563 /nfs/dbraw/zinc/22/45/63/1087224563.db2.gz GUFHYCBBEZJSIZ-UHFFFAOYSA-N 0 1 318.486 3.044 20 30 DGEDMN O=C(C[C@@H]1CC=CCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001483042866 1087272407 /nfs/dbraw/zinc/27/24/07/1087272407.db2.gz BYSDXJCOWPHQKO-WHXUGTBJSA-N 0 1 322.452 3.047 20 30 DGEDMN C[C@@H]1CCC[C@H](C(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001483054917 1087306005 /nfs/dbraw/zinc/30/60/05/1087306005.db2.gz QMWDBBAMBGOYQG-XZZFYQAUSA-N 0 1 324.468 3.126 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001483077449 1087332530 /nfs/dbraw/zinc/33/25/30/1087332530.db2.gz SLSLPIWXZFHZMV-LAWSMPECSA-N 0 1 319.474 3.064 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(C(F)F)c1 ZINC001483086121 1087336334 /nfs/dbraw/zinc/33/63/34/1087336334.db2.gz IDSOYNZZQDMNFK-NSCUHMNNSA-N 0 1 314.763 3.252 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2csc3ccccc23)[C@H]1C ZINC001088779352 1087351236 /nfs/dbraw/zinc/35/12/36/1087351236.db2.gz ANVCLOWPRBFOLW-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCC[C@H](C)N1CC(OC2CCN(C(=O)CCCC)CC2)C1 ZINC001105690267 1087426415 /nfs/dbraw/zinc/42/64/15/1087426415.db2.gz ISFBDRUPEWXBAI-INIZCTEOSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)/C(C)=C\CC)CC2)C1 ZINC001105695190 1087435639 /nfs/dbraw/zinc/43/56/39/1087435639.db2.gz GIGOZBNHHYMRQH-PXNMLYILSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccsc1C(F)F ZINC001483289134 1087459146 /nfs/dbraw/zinc/45/91/46/1087459146.db2.gz NYPSPWOXWBKHAQ-QMMMGPOBSA-N 0 1 308.781 3.146 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(OC2CN(CCC(C)C)C2)CC1 ZINC001105709603 1087476274 /nfs/dbraw/zinc/47/62/74/1087476274.db2.gz QEQKDFMWQJQMNZ-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2ccccc2cc1F ZINC001483361101 1087480488 /nfs/dbraw/zinc/48/04/88/1087480488.db2.gz ALYJCPWJYDZIQW-AWEZNQCLSA-N 0 1 312.388 3.052 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc(-c2ccoc2)c1 ZINC001483402375 1087492389 /nfs/dbraw/zinc/49/23/89/1087492389.db2.gz FPTKIYAQAMNQJN-HNNXBMFYSA-N 0 1 310.397 3.020 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@H](C)CN(C)CC#CC)c1ccccc1 ZINC001483427186 1087499081 /nfs/dbraw/zinc/49/90/81/1087499081.db2.gz YUKILOCDLMKWJE-IEBWSBKVSA-N 0 1 312.457 3.196 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1CNCc1ccon1 ZINC001483435942 1087502622 /nfs/dbraw/zinc/50/26/22/1087502622.db2.gz YTNUARURMPCFEZ-GOEBONIOSA-N 0 1 319.449 3.042 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(OC2CN(CCCCC)C2)CC1 ZINC001105720020 1087542778 /nfs/dbraw/zinc/54/27/78/1087542778.db2.gz SXNNZWGLYSCXED-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC[C@H](F)CC)C1 ZINC001112109596 1087562070 /nfs/dbraw/zinc/56/20/70/1087562070.db2.gz YCRXYOMUKMKESD-JHJVBQTASA-N 0 1 324.362 3.070 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1cc(C)sn1 ZINC001483645873 1087601380 /nfs/dbraw/zinc/60/13/80/1087601380.db2.gz RSNWMVJOTFHAQD-AWEZNQCLSA-N 0 1 307.463 3.002 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C2CCCCC2)[C@H]1C ZINC001088908496 1087601753 /nfs/dbraw/zinc/60/17/53/1087601753.db2.gz BCXSOJAYQMXACB-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001483652915 1087605275 /nfs/dbraw/zinc/60/52/75/1087605275.db2.gz KCECGUNGCCSVGH-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)[C@H]1C ZINC001088968551 1087612367 /nfs/dbraw/zinc/61/23/67/1087612367.db2.gz BRJOYVABPODIIB-UXLLHSPISA-N 0 1 324.424 3.139 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCCc3ccccc32)[C@H]1C ZINC001089066088 1087630385 /nfs/dbraw/zinc/63/03/85/1087630385.db2.gz PVNMAOBKYZSFKF-UXPWSPDFSA-N 0 1 324.468 3.099 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1cccc(F)c1F ZINC001493684304 1087651048 /nfs/dbraw/zinc/65/10/48/1087651048.db2.gz HVNMATHTQYMBAZ-QGZVFWFLSA-N 0 1 310.388 3.115 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COc2ccc(F)c(Cl)c2)c1 ZINC000176396678 1087722444 /nfs/dbraw/zinc/72/24/44/1087722444.db2.gz HAJMPAOVZUTCTP-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN CCCCCCCCCN1CC2(C1)COCC(=O)N2[C@H](C)CC ZINC001272478928 1087726644 /nfs/dbraw/zinc/72/66/44/1087726644.db2.gz SNTDXECFMNVMKS-QGZVFWFLSA-N 0 1 324.509 3.449 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@H]2c2cc(F)ccc2F)c1 ZINC000176407126 1087726820 /nfs/dbraw/zinc/72/68/20/1087726820.db2.gz WMEOHJQJKBJPQX-WCQYABFASA-N 0 1 314.291 3.284 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1ccccc1 ZINC001151654567 1087751051 /nfs/dbraw/zinc/75/10/51/1087751051.db2.gz QVRQVLUJPRBTSV-GOSISDBHSA-N 0 1 320.436 3.179 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001158322152 1087773422 /nfs/dbraw/zinc/77/34/22/1087773422.db2.gz QVFPTOZQZFMQLI-SXLOBPIMSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1cccs1 ZINC001158480198 1087855095 /nfs/dbraw/zinc/85/50/95/1087855095.db2.gz IBMQOMGSASCMCO-JKSUJKDBSA-N 0 1 318.486 3.188 20 30 DGEDMN CCCc1cc(C#N)c(N2CCN(C(C)C)[C@@H](C)C2)nc1Cl ZINC001158495084 1087868996 /nfs/dbraw/zinc/86/89/96/1087868996.db2.gz FULPWEXRSOVLHJ-ZDUSSCGKSA-N 0 1 320.868 3.478 20 30 DGEDMN C[C@@H]1CN(c2nccc(F)c2C#N)[C@H](C)CN1Cc1ccccc1 ZINC001158523225 1087888331 /nfs/dbraw/zinc/88/83/31/1087888331.db2.gz JFDBGFYEGBSFCB-HUUCEWRRSA-N 0 1 324.403 3.192 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1ccc(C)s1 ZINC001158744897 1088004602 /nfs/dbraw/zinc/00/46/02/1088004602.db2.gz WAYOOMMUBXFSRB-HZPDHXFCSA-N 0 1 318.486 3.106 20 30 DGEDMN CC(C)Oc1ccnc(N2CC(Oc3ccccc3C#N)C2)c1 ZINC001158772772 1088022839 /nfs/dbraw/zinc/02/28/39/1088022839.db2.gz JUYWQRDCCZCCDD-UHFFFAOYSA-N 0 1 309.369 3.008 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1CCC(C2CC2)CC1 ZINC001158811945 1088042868 /nfs/dbraw/zinc/04/28/68/1088042868.db2.gz VIWJLEIVCABEPU-CTWPCTMYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCCc1cc(C)sc1C ZINC001152716195 1088059444 /nfs/dbraw/zinc/05/94/44/1088059444.db2.gz FKCDGGRKRJCOFZ-UHFFFAOYSA-N 0 1 314.882 3.146 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1sccc1CC ZINC001158908876 1088094366 /nfs/dbraw/zinc/09/43/66/1088094366.db2.gz BPKXIOJEPPBQCR-MRXNPFEDSA-N 0 1 318.486 3.260 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C2CC2)cc1 ZINC001158913969 1088095560 /nfs/dbraw/zinc/09/55/60/1088095560.db2.gz IEACJHHMZXZZPV-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CCC)CC1 ZINC001099225461 1088103489 /nfs/dbraw/zinc/10/34/89/1088103489.db2.gz VVFVUCDZTRMPQL-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1ccccc1Cl)CC2 ZINC001272600162 1088109585 /nfs/dbraw/zinc/10/95/85/1088109585.db2.gz CCIZVLQVBZVLMY-HNNXBMFYSA-N 0 1 318.848 3.244 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccc(C)c(F)c1 ZINC001153025110 1088144232 /nfs/dbraw/zinc/14/42/32/1088144232.db2.gz QBLLYIILOFJLTI-MRXNPFEDSA-N 0 1 318.436 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001153593709 1088295129 /nfs/dbraw/zinc/29/51/29/1088295129.db2.gz FZXJLQIKSIYDLP-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001153641556 1088315363 /nfs/dbraw/zinc/31/53/63/1088315363.db2.gz BMTSZHATWJMUQP-CQSZACIVSA-N 0 1 319.474 3.303 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001153642541 1088319063 /nfs/dbraw/zinc/31/90/63/1088319063.db2.gz ZLBZUYLQRFWPPD-CYBMUJFWSA-N 0 1 309.479 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099252261 1088340154 /nfs/dbraw/zinc/34/01/54/1088340154.db2.gz STKJDIRZHDKISO-MRXNPFEDSA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)C1CCCC1 ZINC001099296380 1088496016 /nfs/dbraw/zinc/49/60/16/1088496016.db2.gz AGZDFXZDQSMEOJ-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2CC2(C)C)CC(C)(C)C1 ZINC001089364151 1088515757 /nfs/dbraw/zinc/51/57/57/1088515757.db2.gz WQQBJVWMZPQUBA-UONOGXRCSA-N 0 1 312.885 3.392 20 30 DGEDMN O=C(NCCCS)c1cccc2nc(-c3ccccc3)[nH]c21 ZINC001154490303 1088627262 /nfs/dbraw/zinc/62/72/62/1088627262.db2.gz XIBPINOMFVUDBF-UHFFFAOYSA-N 0 1 311.410 3.280 20 30 DGEDMN Cc1cc(C)c(NC2(C#N)CCN(Cc3ccccc3)CC2)nn1 ZINC001160100383 1088638991 /nfs/dbraw/zinc/63/89/91/1088638991.db2.gz ZQEOOINOBSFKPI-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN Cc1coc(NC(=O)c2c(O)cnc3ccc(C)cc32)c1C#N ZINC001154529266 1088645422 /nfs/dbraw/zinc/64/54/22/1088645422.db2.gz GRKVAGRFHKZCJJ-UHFFFAOYSA-N 0 1 307.309 3.274 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(CCF)CC(C)(C)C2)CC1 ZINC001089484630 1088679809 /nfs/dbraw/zinc/67/98/09/1088679809.db2.gz YTYIGAMMMXEYAO-MRXNPFEDSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)CC(C)(C)C1 ZINC001089513992 1088707010 /nfs/dbraw/zinc/70/70/10/1088707010.db2.gz HNXRFBZVHXMEBB-OWCLPIDISA-N 0 1 324.896 3.392 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C1CCC1 ZINC001272669467 1088723647 /nfs/dbraw/zinc/72/36/47/1088723647.db2.gz DAYBAFKBVJAYRY-INIZCTEOSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001154751064 1088742867 /nfs/dbraw/zinc/74/28/67/1088742867.db2.gz LMUYDRILSCHSMQ-CABCVRRESA-N 0 1 321.440 3.150 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccsc1C ZINC001089634994 1088855843 /nfs/dbraw/zinc/85/58/43/1088855843.db2.gz JZIDLLUPPKDQCL-OAHLLOKOSA-N 0 1 318.486 3.300 20 30 DGEDMN C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC2(CC2)C1 ZINC001089642016 1088871706 /nfs/dbraw/zinc/87/17/06/1088871706.db2.gz ZDDIEWYXPGOXFE-IAGOWNOFSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC2(CC2)C1 ZINC001089642016 1088871734 /nfs/dbraw/zinc/87/17/34/1088871734.db2.gz ZDDIEWYXPGOXFE-IAGOWNOFSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001089645933 1088879578 /nfs/dbraw/zinc/87/95/78/1088879578.db2.gz DOHSCQSXROZHBC-ZWKOTPCHSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001483792203 1088886529 /nfs/dbraw/zinc/88/65/29/1088886529.db2.gz JEDVALZPVHQATC-UHFFFAOYSA-N 0 1 320.864 3.490 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@@H]1CCN(CC(=C)Cl)C1 ZINC001483801592 1088902323 /nfs/dbraw/zinc/90/23/23/1088902323.db2.gz JTMSMVFWBYPSSZ-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(CC)n1 ZINC001089652122 1088948943 /nfs/dbraw/zinc/94/89/43/1088948943.db2.gz XINYWIFREJYIQO-INIZCTEOSA-N 0 1 315.461 3.050 20 30 DGEDMN C=CCCC(=O)N(C)C1CN(CCCc2cccc(C)c2)C1 ZINC001483855636 1088956764 /nfs/dbraw/zinc/95/67/64/1088956764.db2.gz BAPPSVHGHONZRV-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN CCCCCCCCCC(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001155134123 1088966437 /nfs/dbraw/zinc/96/64/37/1088966437.db2.gz BMYQIZHTLDUMJU-OAHLLOKOSA-N 0 1 321.469 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)Cc2ncccc2C)C1 ZINC001155132799 1088967428 /nfs/dbraw/zinc/96/74/28/1088967428.db2.gz YSMAWKBOVZSLQI-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3c(c2)CCC3)C1 ZINC001483889368 1088990177 /nfs/dbraw/zinc/99/01/77/1088990177.db2.gz GBYVWEIJQDDQMT-OAHLLOKOSA-N 0 1 312.457 3.286 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001483940851 1089022724 /nfs/dbraw/zinc/02/27/24/1089022724.db2.gz HKTUDTAVBOIHNL-RPHSKFLZSA-N 0 1 319.276 3.488 20 30 DGEDMN CCC[C@H](C)C(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001483925984 1089007452 /nfs/dbraw/zinc/00/74/52/1089007452.db2.gz UZWJRMWQMKEBEJ-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1nc(C)sc1C ZINC001089656910 1089015487 /nfs/dbraw/zinc/01/54/87/1089015487.db2.gz PDECQJNAZPIPLE-AWEZNQCLSA-N 0 1 321.490 3.166 20 30 DGEDMN C=CCN1CCC[C@H]1CNc1nc2ccccc2nc1Cl ZINC001160953191 1089036333 /nfs/dbraw/zinc/03/63/33/1089036333.db2.gz VVRQFTDAKUKKKX-LBPRGKRZSA-N 0 1 302.809 3.346 20 30 DGEDMN C=CCN1CCC[C@H]1CNc1nc(Cl)cc(C)c1[N+](=O)[O-] ZINC001160952821 1089039649 /nfs/dbraw/zinc/03/96/49/1089039649.db2.gz CMEIMHOJUFOLFH-NSHDSACASA-N 0 1 310.785 3.014 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C(C)(C)c1ccccc1 ZINC001483954617 1089046718 /nfs/dbraw/zinc/04/67/18/1089046718.db2.gz NBNYTDGAVRPCQR-INIZCTEOSA-N 0 1 320.864 3.297 20 30 DGEDMN C#CCCCC(=O)NC1(C)CCN(Cc2cccc(F)c2)CC1 ZINC001483965514 1089057199 /nfs/dbraw/zinc/05/71/99/1089057199.db2.gz LHFCCYIVDOHUHS-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN C#CCN(C(=O)CCC(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001483978565 1089064478 /nfs/dbraw/zinc/06/44/78/1089064478.db2.gz BOXOAERAPKZKFZ-MRXNPFEDSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001483985286 1089070041 /nfs/dbraw/zinc/07/00/41/1089070041.db2.gz RBNWCBHIWIDGIE-YFHOEESVSA-N 0 1 324.896 3.491 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CCC2(CC2)CC1 ZINC001089670499 1089085378 /nfs/dbraw/zinc/08/53/78/1089085378.db2.gz FUZKMTFQGOSYEB-KRWDZBQOSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(CCC)cc2)CC1 ZINC001161045569 1089091933 /nfs/dbraw/zinc/09/19/33/1089091933.db2.gz SSOPXEKLXUYMPV-UHFFFAOYSA-N 0 1 310.441 3.024 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC1(C)C ZINC001089683937 1089160129 /nfs/dbraw/zinc/16/01/29/1089160129.db2.gz KPXXISWPFZBIFE-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1CC ZINC001089687062 1089164276 /nfs/dbraw/zinc/16/42/76/1089164276.db2.gz DJRKXGOCTXWYGU-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN CCON(CC1CCCCC1)C(=O)c1ccc(C#N)c(O)c1 ZINC001161350113 1089204931 /nfs/dbraw/zinc/20/49/31/1089204931.db2.gz MIJSMHILKKGHBM-UHFFFAOYSA-N 0 1 302.374 3.238 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)c1cccc2cncn21 ZINC001155786639 1089247465 /nfs/dbraw/zinc/24/74/65/1089247465.db2.gz CPEVVXRROOEELV-UHFFFAOYSA-N 0 1 302.293 3.204 20 30 DGEDMN C#C[C@](C)(N)C(=O)N1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC001161455441 1089252483 /nfs/dbraw/zinc/25/24/83/1089252483.db2.gz GBLBFKODBKGGBN-HOCLYGCPSA-N 0 1 324.346 3.110 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CC2CCCCCC2)C1 ZINC001484170247 1089265662 /nfs/dbraw/zinc/26/56/62/1089265662.db2.gz MJKUMIWTJIMEMV-IBGZPJMESA-N 0 1 304.478 3.198 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1csc(C)c1 ZINC001089710109 1089290209 /nfs/dbraw/zinc/29/02/09/1089290209.db2.gz BETYRAVTLINUTO-HNNXBMFYSA-N 0 1 306.475 3.463 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1csc(C)c1 ZINC001089710109 1089290217 /nfs/dbraw/zinc/29/02/17/1089290217.db2.gz BETYRAVTLINUTO-HNNXBMFYSA-N 0 1 306.475 3.463 20 30 DGEDMN COc1ccc(OC)c(-c2ccc(N=C(C)CC(C)=O)nn2)c1 ZINC001155892473 1089290623 /nfs/dbraw/zinc/29/06/23/1089290623.db2.gz OFGARLMGKITRCK-LUAWRHEFSA-N 0 1 313.357 3.066 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001089722808 1089298848 /nfs/dbraw/zinc/29/88/48/1089298848.db2.gz DSBNOJBQWKTLRM-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(Cl)cc1 ZINC001089723459 1089300621 /nfs/dbraw/zinc/30/06/21/1089300621.db2.gz KCQZIIUGRUBCES-MRXNPFEDSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(C)c1C ZINC001089729622 1089308517 /nfs/dbraw/zinc/30/85/17/1089308517.db2.gz RGRVVUPBJVLUKM-KRWDZBQOSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C12CCC(CC1)CC2 ZINC001089733914 1089311945 /nfs/dbraw/zinc/31/19/45/1089311945.db2.gz CHFLJEDYNZTPMG-GNZRSQJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CC2CCC1CC2 ZINC001089734885 1089316309 /nfs/dbraw/zinc/31/63/09/1089316309.db2.gz ZSVUNVIIIOQOSL-FOIPXRHGSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)c1ccccc1CC ZINC001484323447 1089334892 /nfs/dbraw/zinc/33/48/92/1089334892.db2.gz NPLYWEQOYVCKHF-YSIASYRMSA-N 0 1 324.468 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)c2ccon2)cc1 ZINC001272682072 1089373383 /nfs/dbraw/zinc/37/33/83/1089373383.db2.gz GZPFHSJEJZEFCD-GFCCVEGCSA-N 0 1 319.792 3.008 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](CC)CC(C)C)C[C@@H]2C1 ZINC001484413941 1089412573 /nfs/dbraw/zinc/41/25/73/1089412573.db2.gz XCRGAKKTWDIPGG-HRCADAONSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC=C)CCCC1 ZINC001484467549 1089435774 /nfs/dbraw/zinc/43/57/74/1089435774.db2.gz LARXXELZUPUKSL-IRXDYDNUSA-N 0 1 302.462 3.374 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001484473316 1089440764 /nfs/dbraw/zinc/44/07/64/1089440764.db2.gz PQJQSIROLGIAFL-VESHTZQJSA-N 0 1 322.880 3.245 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@@H]1C ZINC001161914579 1089466602 /nfs/dbraw/zinc/46/66/02/1089466602.db2.gz VZMPBZMZDBEMFX-XUJVJEKNSA-N 0 1 323.400 3.354 20 30 DGEDMN C=C(Cl)C[N@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)CC1CCC1 ZINC001089793262 1089471568 /nfs/dbraw/zinc/47/15/68/1089471568.db2.gz UMAOQGSYZSBSSF-NXOAAHMSSA-N 0 1 322.880 3.098 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C2(CC)CCCCC2)CC1 ZINC001161924653 1089475120 /nfs/dbraw/zinc/47/51/20/1089475120.db2.gz IBJIREYFKVASER-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(CC)CC ZINC001484554885 1089490283 /nfs/dbraw/zinc/49/02/83/1089490283.db2.gz COODXNAACLJLAM-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)c2cnco2)cc1 ZINC001484562140 1089496850 /nfs/dbraw/zinc/49/68/50/1089496850.db2.gz WNXGKMJWTARZJC-GFCCVEGCSA-N 0 1 319.792 3.008 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccc(F)cc2)CCC1 ZINC001484643160 1089557309 /nfs/dbraw/zinc/55/73/09/1089557309.db2.gz MTGNARQXCDRLOV-UHFFFAOYSA-N 0 1 324.827 3.139 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc[nH]c2C(C)C)CCC1 ZINC001484648073 1089575222 /nfs/dbraw/zinc/57/52/22/1089575222.db2.gz SCYQVGUTMDMHNR-UHFFFAOYSA-N 0 1 309.841 3.133 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccco2)CC1 ZINC001484727089 1089620048 /nfs/dbraw/zinc/62/00/48/1089620048.db2.gz YIZQSPHZFOCLLK-UKRRQHHQSA-N 0 1 324.852 3.181 20 30 DGEDMN CC(C)c1cc(NC2(C#N)CCN(C)CC2)nc(C(C)(C)C)n1 ZINC001162230804 1089622902 /nfs/dbraw/zinc/62/29/02/1089622902.db2.gz FAWQLAQXRXDOSE-UHFFFAOYSA-N 0 1 315.465 3.297 20 30 DGEDMN [NH3+][C@@H]1CCC[C@@H](Nc2cc(/C=N/[O-])cc(C(F)(F)F)c2)C1 ZINC001162401247 1089717598 /nfs/dbraw/zinc/71/75/98/1089717598.db2.gz KQNQCHSJVVPXHQ-CBROBIOFSA-N 0 1 301.312 3.195 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(C)(NCc2nc(C)c(C)o2)CC1 ZINC001484916975 1089737673 /nfs/dbraw/zinc/73/76/73/1089737673.db2.gz LJICFGXLKCRYMV-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC[N@@H+]1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC001156939348 1089759291 /nfs/dbraw/zinc/75/92/91/1089759291.db2.gz ZHQLMVPSOOYRCY-MOPGFXCFSA-N 0 1 324.468 3.399 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCN1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC001156939348 1089759303 /nfs/dbraw/zinc/75/93/03/1089759303.db2.gz ZHQLMVPSOOYRCY-MOPGFXCFSA-N 0 1 324.468 3.399 20 30 DGEDMN C=C(C)CCc1ccccc1-n1cnc(CN)c1C(=O)OCC ZINC001162537282 1089777302 /nfs/dbraw/zinc/77/73/02/1089777302.db2.gz ITSYHBMROFZIPS-UHFFFAOYSA-N 0 1 313.401 3.016 20 30 DGEDMN COC(=O)c1cc(Cl)cc(CN2CCC(CC#N)CC2)c1 ZINC001236771647 1089836843 /nfs/dbraw/zinc/83/68/43/1089836843.db2.gz KAOLOMSBMFRVAG-UHFFFAOYSA-N 0 1 306.793 3.252 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001485003458 1089841809 /nfs/dbraw/zinc/84/18/09/1089841809.db2.gz AJDPBDFPPZJWCK-QGZVFWFLSA-N 0 1 300.446 3.324 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)c3cccc4c(C#N)c[nH]c43)ccc12 ZINC001157168250 1089851551 /nfs/dbraw/zinc/85/15/51/1089851551.db2.gz VFUVXFSTTYAWNN-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCC(C)(C)C1 ZINC001485044684 1089864283 /nfs/dbraw/zinc/86/42/83/1089864283.db2.gz WWRJJEXSVMTHQB-BHIYHBOVSA-N 0 1 324.468 3.208 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC001157194070 1089868017 /nfs/dbraw/zinc/86/80/17/1089868017.db2.gz XAKNEUUMXJXTOG-HJCTWCACSA-N 0 1 320.396 3.357 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001485069338 1089903284 /nfs/dbraw/zinc/90/32/84/1089903284.db2.gz NQVCEUGYGMSNGT-ZFWWWQNUSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H]1CN(C)C/C=C/Cl ZINC001485058791 1089909403 /nfs/dbraw/zinc/90/94/03/1089909403.db2.gz VFEXMCQYEPDCNK-AYAUWGRQSA-N 0 1 310.869 3.430 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001485061964 1089914785 /nfs/dbraw/zinc/91/47/85/1089914785.db2.gz YHNOBDDQCPWKHQ-GUDVDZBRSA-N 0 1 316.489 3.149 20 30 DGEDMN CC(C)(C)OC(=O)c1ccc(CNc2ccnc(CC#N)c2)cc1 ZINC001162840282 1089984230 /nfs/dbraw/zinc/98/42/30/1089984230.db2.gz ZSKVXXSWYXXVOI-UHFFFAOYSA-N 0 1 323.396 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(F)c1Cl ZINC001485201939 1090016928 /nfs/dbraw/zinc/01/69/28/1090016928.db2.gz NNQYRXMQEVLPDY-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC001485141654 1089993537 /nfs/dbraw/zinc/99/35/37/1089993537.db2.gz GWLFBJIGPGCQHS-CHWSQXEVSA-N 0 1 324.827 3.265 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2conc2C)CCCC1 ZINC001485234374 1090041482 /nfs/dbraw/zinc/04/14/82/1090041482.db2.gz KRKAALIPEXHKPA-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCN(c1ncnc2[nH]c(C(=O)OC)cc21)C1CCCCC1 ZINC001157847958 1090130545 /nfs/dbraw/zinc/13/05/45/1090130545.db2.gz KNDPCGQIAQOMGH-UHFFFAOYSA-N 0 1 314.389 3.021 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@@H](C)C(F)(F)F ZINC001485469195 1090170293 /nfs/dbraw/zinc/17/02/93/1090170293.db2.gz PRNUFGCZAQOUQE-GHMZBOCLSA-N 0 1 314.779 3.202 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCCCN2C[C@@H](F)CC)C1 ZINC001485481549 1090186777 /nfs/dbraw/zinc/18/67/77/1090186777.db2.gz DPUBCSUSTJUTRT-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CC[C@@H](C)CC)C2)C1 ZINC001485554918 1090207666 /nfs/dbraw/zinc/20/76/66/1090207666.db2.gz FTKKXCFGLNMWCA-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN Cc1nc2ccc(NC(=[NH2+])c3ccc(C(F)(F)F)cc3)[n-]c-2n1 ZINC001163683991 1090233077 /nfs/dbraw/zinc/23/30/77/1090233077.db2.gz MHFKBJALXKGLSV-UHFFFAOYSA-N 0 1 319.290 3.322 20 30 DGEDMN Cc1nc2nc(NC(=N)c3ccc(C(F)(F)F)cc3)ccc2[nH]1 ZINC001163683991 1090233084 /nfs/dbraw/zinc/23/30/84/1090233084.db2.gz MHFKBJALXKGLSV-UHFFFAOYSA-N 0 1 319.290 3.322 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCCN(C[C@H](F)CC)C3)CCC1 ZINC001485664946 1090234105 /nfs/dbraw/zinc/23/41/05/1090234105.db2.gz SFKWUOJQSSWONA-MRXNPFEDSA-N 0 1 322.468 3.405 20 30 DGEDMN COC(=O)c1cc(-c2ccccc2)cnc1N=C(C)CC(C)=O ZINC001163737274 1090246226 /nfs/dbraw/zinc/24/62/26/1090246226.db2.gz SWHRCWINJKNKSH-XFXZXTDPSA-N 0 1 310.353 3.440 20 30 DGEDMN ONC(=Nc1ccc(O)cc1)c1ccc(OC(F)(F)F)cc1 ZINC001163769360 1090254507 /nfs/dbraw/zinc/25/45/07/1090254507.db2.gz XAFXERUPQKBCMK-UHFFFAOYSA-N 0 1 312.247 3.348 20 30 DGEDMN CC(C)[C@@H](C)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001124687365 1090319433 /nfs/dbraw/zinc/31/94/33/1090319433.db2.gz UOYYENUENSOTKB-HNNXBMFYSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001164222134 1090376878 /nfs/dbraw/zinc/37/68/78/1090376878.db2.gz IQXSDKDHYIBFNG-KBPBESRZSA-N 0 1 323.506 3.150 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H](F)C(C)C)CCC[C@@H]12 ZINC001107510472 1090452229 /nfs/dbraw/zinc/45/22/29/1090452229.db2.gz ZPOCKKJWNCGNQO-IIAWOOMASA-N 0 1 316.848 3.094 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)CCCC)C1 ZINC001107748969 1090496678 /nfs/dbraw/zinc/49/66/78/1090496678.db2.gz WHDFVUOQJRTTKJ-SFHVURJKSA-N 0 1 312.498 3.354 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)CC2CCC2)C1 ZINC001107844227 1090514161 /nfs/dbraw/zinc/51/41/61/1090514161.db2.gz PWWPMYYOPSCZPH-IBGZPJMESA-N 0 1 324.509 3.354 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C(C)=C2CCCC2)C1 ZINC001107859728 1090518545 /nfs/dbraw/zinc/51/85/45/1090518545.db2.gz CAIRLBQHNRIWAA-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C2=CCCC2)C1 ZINC001107866569 1090521585 /nfs/dbraw/zinc/52/15/85/1090521585.db2.gz QAVKCQMLHTUBNW-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCOc1ccc(CC(=O)NOc2ccc(F)cc2)cc1 ZINC001165800753 1090549545 /nfs/dbraw/zinc/54/95/45/1090549545.db2.gz QWZMZVUJDATNJE-UHFFFAOYSA-N 0 1 301.317 3.043 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CN(CCC2CCCC2)CCO1 ZINC001107976302 1090606656 /nfs/dbraw/zinc/60/66/56/1090606656.db2.gz IVOIZCZPEFRKAR-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1ncc(Cl)s1 ZINC001272812927 1090624600 /nfs/dbraw/zinc/62/46/00/1090624600.db2.gz FWINQSMWUCTBCS-NSHDSACASA-N 0 1 315.870 3.137 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCN[C@@H](C)c1ccccc1F ZINC001128088381 1090647757 /nfs/dbraw/zinc/64/77/57/1090647757.db2.gz VRGITLIWSDPHSF-AWEZNQCLSA-N 0 1 304.409 3.361 20 30 DGEDMN CC(C)=CCN1CC[C@@]2(CCCN2Cc2ccc(C#N)cc2)C1=O ZINC001272908518 1090677796 /nfs/dbraw/zinc/67/77/96/1090677796.db2.gz XSXAOSMCRAWXSP-FQEVSTJZSA-N 0 1 323.440 3.091 20 30 DGEDMN N#CCCCN1C[C@]2(CC1=O)CCCCN2Cc1ccsc1 ZINC001272937084 1090705713 /nfs/dbraw/zinc/70/57/13/1090705713.db2.gz XNANKLPECUNLGK-QGZVFWFLSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1ccccc1F)C2 ZINC001203352566 1090724144 /nfs/dbraw/zinc/72/41/44/1090724144.db2.gz HOACQRMWSKKDRE-SJLPKXTDSA-N 0 1 305.393 3.152 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H](NCc2sccc2Cl)C[C@H]1C ZINC001486108766 1090751797 /nfs/dbraw/zinc/75/17/97/1090751797.db2.gz KFBOOXBQKNXSQG-CHWSQXEVSA-N 0 1 324.877 3.140 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(CCCCC(F)(F)F)C1 ZINC001276413565 1090762703 /nfs/dbraw/zinc/76/27/03/1090762703.db2.gz GEZCROXVSUOKFS-GFCCVEGCSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001486233352 1090801389 /nfs/dbraw/zinc/80/13/89/1090801389.db2.gz JSMODSSCAXOYME-GFCCVEGCSA-N 0 1 319.276 3.488 20 30 DGEDMN COc1ccccc1O[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001203760524 1090798843 /nfs/dbraw/zinc/79/88/43/1090798843.db2.gz LGOMOVDVSCHZSC-KRWDZBQOSA-N 0 1 308.381 3.220 20 30 DGEDMN CCCc1noc(C2CCN(Cc3cccc(C#N)c3)CC2)n1 ZINC001203764587 1090800763 /nfs/dbraw/zinc/80/07/63/1090800763.db2.gz VAVXHUWWUBGTRC-UHFFFAOYSA-N 0 1 310.401 3.273 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1oc(CC)nc1C)C(C)(C)C ZINC001486390021 1090821058 /nfs/dbraw/zinc/82/10/58/1090821058.db2.gz TWHPRFOWZWOEJK-HNNXBMFYSA-N 0 1 321.465 3.132 20 30 DGEDMN C=CC[N@H+](CCNC(=O)C1CC(C)(C)CC(C)(C)C1)CCOC ZINC001486430210 1090834304 /nfs/dbraw/zinc/83/43/04/1090834304.db2.gz PYVGTHDZNVTAHI-UHFFFAOYSA-N 0 1 324.509 3.090 20 30 DGEDMN C=CCN(CCNC(=O)C1CC(C)(C)CC(C)(C)C1)CCOC ZINC001486430210 1090834309 /nfs/dbraw/zinc/83/43/09/1090834309.db2.gz PYVGTHDZNVTAHI-UHFFFAOYSA-N 0 1 324.509 3.090 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2cnc(C)o2)CC1 ZINC001486448033 1090838096 /nfs/dbraw/zinc/83/80/96/1090838096.db2.gz AGLBIRGOVLHJAW-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCC[C@@H](C)CC)CC1 ZINC001486510219 1090862244 /nfs/dbraw/zinc/86/22/44/1090862244.db2.gz VILSHULRWMUHJR-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCN[C@H](C)c1ccccc1F ZINC001128199670 1090901382 /nfs/dbraw/zinc/90/13/82/1090901382.db2.gz MTFBEFFJCRUOCQ-LLVKDONJSA-N 0 1 312.816 3.371 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1ccc(F)c(F)c1)CC2 ZINC001204223446 1090927442 /nfs/dbraw/zinc/92/74/42/1090927442.db2.gz FLPFOJLVWMIHNL-UHFFFAOYSA-N 0 1 320.383 3.108 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1cc(F)cc(F)c1)CC2 ZINC001204229593 1090929023 /nfs/dbraw/zinc/92/90/23/1090929023.db2.gz JMLXFCZFTMVXOT-UHFFFAOYSA-N 0 1 320.383 3.108 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@]3(C2)CC(F)(F)CO3)cc1 ZINC001204303984 1090944895 /nfs/dbraw/zinc/94/48/95/1090944895.db2.gz XCODZIUALCBWLK-MRXNPFEDSA-N 0 1 309.356 3.252 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1ccc(CC)o1)C2 ZINC001204393200 1090960760 /nfs/dbraw/zinc/96/07/60/1090960760.db2.gz MFGHQQLTCCWKCH-ROUUACIJSA-N 0 1 305.418 3.168 20 30 DGEDMN C=CCN1CCC2(CCN(Cc3ccc(Cl)s3)CC2)C1=O ZINC001272998178 1090977527 /nfs/dbraw/zinc/97/75/27/1090977527.db2.gz BZRIHDXQMXQGET-UHFFFAOYSA-N 0 1 324.877 3.402 20 30 DGEDMN CC(C)(C)OC(=O)NCC1(CN(CCC#N)CC2CC2)CCC1 ZINC001204498335 1090983426 /nfs/dbraw/zinc/98/34/26/1090983426.db2.gz ZOHZMZOIOPCEKQ-UHFFFAOYSA-N 0 1 321.465 3.307 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(CC)c(Cl)c2)CC1 ZINC001204920856 1091063302 /nfs/dbraw/zinc/06/33/02/1091063302.db2.gz PFDLOXOGVXTFOC-UHFFFAOYSA-N 0 1 319.836 3.122 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C(C)(C)C=C)C1 ZINC001108119549 1091100506 /nfs/dbraw/zinc/10/05/06/1091100506.db2.gz PEDGLOUAEXLJCF-IBGZPJMESA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccc(O)c2)cc1OC ZINC001138343802 1091146772 /nfs/dbraw/zinc/14/67/72/1091146772.db2.gz QWHASPORKCITTM-UHFFFAOYSA-N 0 1 311.381 3.045 20 30 DGEDMN C#CCOc1ccc(CN2CCc3ccsc3C2)cc1OC ZINC001138345690 1091148420 /nfs/dbraw/zinc/14/84/20/1091148420.db2.gz MRQYDFBEVBWHQP-UHFFFAOYSA-N 0 1 313.422 3.327 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108147876 1091153594 /nfs/dbraw/zinc/15/35/94/1091153594.db2.gz FOMXJMJUPBOTNK-DLBUCANVSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC001108168503 1091256604 /nfs/dbraw/zinc/25/66/04/1091256604.db2.gz BOLAKWOAJKNKQO-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cccc(Oc3ncccn3)c2)CC1 ZINC001138622796 1091261359 /nfs/dbraw/zinc/26/13/59/1091261359.db2.gz VIPWUIDLWLKWFW-OAHLLOKOSA-N 0 1 308.385 3.395 20 30 DGEDMN O/N=C/c1cccc(-c2ccc(CCN3CCOCC3)cc2)c1 ZINC001205663733 1091304202 /nfs/dbraw/zinc/30/42/02/1091304202.db2.gz NAPHRNCOOOHYMH-HMMYKYKNSA-N 0 1 310.397 3.036 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(OC(F)(F)F)cc2OC)C1 ZINC001138850505 1091354637 /nfs/dbraw/zinc/35/46/37/1091354637.db2.gz GANLQGWOQZSKRG-LBPRGKRZSA-N 0 1 313.319 3.439 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@]2(C)CN(CCCCC)CCO2)CC1 ZINC001108185979 1091360013 /nfs/dbraw/zinc/36/00/13/1091360013.db2.gz HOOGDRCIXVSCFG-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108188647 1091374565 /nfs/dbraw/zinc/37/45/65/1091374565.db2.gz WJPBTEZUBMGNFL-RTBURBONSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108190272 1091375922 /nfs/dbraw/zinc/37/59/22/1091375922.db2.gz SQMVIDQRKXCKSE-RTBURBONSA-N 0 1 324.509 3.376 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC1CCCC1 ZINC001098874049 1091411849 /nfs/dbraw/zinc/41/18/49/1091411849.db2.gz VGCQCYYRYAHCEK-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(-c3cccs3)s2)CCC1=O ZINC001139021950 1091420920 /nfs/dbraw/zinc/42/09/20/1091420920.db2.gz CHDJKAZBCADSER-LLVKDONJSA-N 0 1 302.424 3.391 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2CC(C(C)(C)C)C2)CCC1 ZINC001273207561 1091424804 /nfs/dbraw/zinc/42/48/04/1091424804.db2.gz BEMDGQMMCXXLSM-UHFFFAOYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3coc4c3cccc4C)[C@@H]2C1 ZINC001084411349 1091489450 /nfs/dbraw/zinc/48/94/50/1091489450.db2.gz SFSFKLURGLENCB-RHSMWYFYSA-N 0 1 310.397 3.074 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(c4cccc(C)c4)CCC3)[C@@H]2C1 ZINC001084565138 1091504335 /nfs/dbraw/zinc/50/43/35/1091504335.db2.gz TVBATUQQUBDAHE-IEBWSBKVSA-N 0 1 324.468 3.135 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc4c(ccc(C)c4C)[nH]3)[C@@H]2C1 ZINC001084572468 1091504833 /nfs/dbraw/zinc/50/48/33/1091504833.db2.gz JNKKDBDQICTCMU-DNVCBOLYSA-N 0 1 323.440 3.117 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc4ccc(C)cc4[nH]3)[C@@H]2C1 ZINC001084555781 1091504855 /nfs/dbraw/zinc/50/48/55/1091504855.db2.gz PRUDKKKZYURESD-VQIMIIECSA-N 0 1 323.440 3.199 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc4c(cccc4C)o3)[C@@H]2C1 ZINC001084859789 1091597928 /nfs/dbraw/zinc/59/79/28/1091597928.db2.gz LRSYNKCIPIGPCH-NVXWUHKLSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001085024448 1091642893 /nfs/dbraw/zinc/64/28/93/1091642893.db2.gz XKPCRADUDQRHSA-VERIRKINSA-N 0 1 310.869 3.264 20 30 DGEDMN N#Cc1cccc2c(CN3CCN(c4ccccc4)CC3)c[nH]c21 ZINC001139702290 1091647224 /nfs/dbraw/zinc/64/72/24/1091647224.db2.gz YYMPAIBYWFTMSF-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN Cc1ccc(CN2CC[C@@](O)(C(F)(F)F)[C@H](C)C2)cc1C#N ZINC001139723274 1091655011 /nfs/dbraw/zinc/65/50/11/1091655011.db2.gz YLWKVGDXBJQBPK-DOMZBBRYSA-N 0 1 312.335 3.002 20 30 DGEDMN C=CCn1cc(CN2CCC(Sc3ccncc3)CC2)cn1 ZINC001139772150 1091668913 /nfs/dbraw/zinc/66/89/13/1091668913.db2.gz AVDKWDCRJAKDKT-UHFFFAOYSA-N 0 1 314.458 3.221 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CC[C@H]1CC1(Cl)Cl ZINC001231168735 1091680404 /nfs/dbraw/zinc/68/04/04/1091680404.db2.gz ABCWMJVYFKEVKT-STQMWFEESA-N 0 1 319.276 3.069 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3ccccc3C#N)C2)nc1 ZINC001139840443 1091688164 /nfs/dbraw/zinc/68/81/64/1091688164.db2.gz REUMZTSTYBEKNW-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1cc(F)cc(C#N)c1 ZINC001139875737 1091699515 /nfs/dbraw/zinc/69/95/15/1091699515.db2.gz CEOBUFLBGQRYCS-INIZCTEOSA-N 0 1 318.392 3.394 20 30 DGEDMN N#Cc1cc([N+](=O)[O-])ccc1NCC1CN(Cc2ccccc2)C1 ZINC000716223221 1091764597 /nfs/dbraw/zinc/76/45/97/1091764597.db2.gz QYCYWZGOOUXPOY-UHFFFAOYSA-N 0 1 322.368 3.010 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1c([O-])cccc1Br ZINC001140100907 1091769986 /nfs/dbraw/zinc/76/99/86/1091769986.db2.gz FYYQOKHHNHCJOG-CNDDSTCGSA-N 0 1 321.218 3.421 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1c([O-])cccc1Br ZINC001140100907 1091769990 /nfs/dbraw/zinc/76/99/90/1091769990.db2.gz FYYQOKHHNHCJOG-CNDDSTCGSA-N 0 1 321.218 3.421 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c(F)c1 ZINC001140139175 1091781438 /nfs/dbraw/zinc/78/14/38/1091781438.db2.gz KKVJVNSZRXBSDH-OALUTQOASA-N 0 1 321.399 3.156 20 30 DGEDMN Cc1ncc(CN2CCC[C@@H](Oc3ccccc3C#N)C2)s1 ZINC001207061814 1091833676 /nfs/dbraw/zinc/83/36/76/1091833676.db2.gz NHYSCCPDEYGSIR-OAHLLOKOSA-N 0 1 313.426 3.367 20 30 DGEDMN CCc1nccc(CN2CCC(Cc3ccc(C#N)cc3)CC2)n1 ZINC001207087859 1091840924 /nfs/dbraw/zinc/84/09/24/1091840924.db2.gz FNWQLKDEYFGHEJ-UHFFFAOYSA-N 0 1 320.440 3.365 20 30 DGEDMN Cn1cc(CN2CCC3(CCc4ccccc4O3)CC2)cc1C#N ZINC001207109996 1091847769 /nfs/dbraw/zinc/84/77/69/1091847769.db2.gz AYVFDAZARJYHFT-UHFFFAOYSA-N 0 1 321.424 3.257 20 30 DGEDMN C#CCCCC(=O)N(CC)CCN[C@H](C)c1ccc(F)cc1F ZINC001273347957 1091848971 /nfs/dbraw/zinc/84/89/71/1091848971.db2.gz IZUXNIIOIJQBLT-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc3c(c2)CCC3)C1 ZINC001203035961 1091863699 /nfs/dbraw/zinc/86/36/99/1091863699.db2.gz OAKAZJUMOOHTHK-SFHVURJKSA-N 0 1 314.429 3.052 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001207180173 1091877708 /nfs/dbraw/zinc/87/77/08/1091877708.db2.gz VIVLBAHZQGKCSJ-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1coc2cc(F)ccc21 ZINC001085681938 1091882438 /nfs/dbraw/zinc/88/24/38/1091882438.db2.gz JOGNZMPSYCDGAN-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc2ccccc2cc1F ZINC001085717010 1091899132 /nfs/dbraw/zinc/89/91/32/1091899132.db2.gz BWLIZVUHNJNKFO-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN COc1cccc(O[C@@H]2CCCN(Cc3ccc(C#N)nc3)C2)c1 ZINC001140542678 1091902665 /nfs/dbraw/zinc/90/26/65/1091902665.db2.gz DPMDWYALWZCKTL-LJQANCHMSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1ccccc1C1CCN(Cc2ccc(C#N)nc2)CC1 ZINC001140544500 1091903666 /nfs/dbraw/zinc/90/36/66/1091903666.db2.gz RLTCYTKJBRTBIP-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN Cc1nn(C)c(C)c1CN1CCC(C#N)(c2ccccc2)CC1 ZINC001203049515 1091919790 /nfs/dbraw/zinc/91/97/90/1091919790.db2.gz XLTQXJREJOSPHV-UHFFFAOYSA-N 0 1 308.429 3.094 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1ccc(OC(F)F)c(O)c1 ZINC001140629674 1091938053 /nfs/dbraw/zinc/93/80/53/1091938053.db2.gz DQNNZHNCYIJVFW-XYYAHUGASA-N 0 1 308.328 3.260 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccccc1C1(C)CC1 ZINC001085815171 1091951724 /nfs/dbraw/zinc/95/17/24/1091951724.db2.gz PQQRBNVOYGPXKX-MRXNPFEDSA-N 0 1 312.457 3.461 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H](CO)c1ccc(Cl)cc1 ZINC001207424259 1091964195 /nfs/dbraw/zinc/96/41/95/1091964195.db2.gz PIXAGDJVTGBZEQ-AWEZNQCLSA-N 0 1 318.779 3.216 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(OCC)c(C)c1 ZINC001085850304 1091978431 /nfs/dbraw/zinc/97/84/31/1091978431.db2.gz SZDRVUBRFMGELB-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2cccc(C#N)c2Cl)C1 ZINC001140812747 1092013185 /nfs/dbraw/zinc/01/31/85/1092013185.db2.gz IPRUEESKPLDYJO-HNNXBMFYSA-N 0 1 320.820 3.377 20 30 DGEDMN CC(=O)N1CC[C@H](N(Cc2cccc(C#N)c2Cl)C(C)C)C1 ZINC001140812603 1092014321 /nfs/dbraw/zinc/01/43/21/1092014321.db2.gz CIAHKOJWVILVKQ-INIZCTEOSA-N 0 1 319.836 3.043 20 30 DGEDMN C=CC[NH+]1CCN(Cc2cc(Cl)c([O-])c(Cl)c2)CC1 ZINC001140831396 1092022183 /nfs/dbraw/zinc/02/21/83/1092022183.db2.gz NCHRPODIPJLHCL-UHFFFAOYSA-N 0 1 301.217 3.003 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1cnc(C2CC2)s1 ZINC001085936692 1092022467 /nfs/dbraw/zinc/02/24/67/1092022467.db2.gz KTWIGBJGIGRQFZ-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1sccc1C(F)F ZINC001085950564 1092037454 /nfs/dbraw/zinc/03/74/54/1092037454.db2.gz JDAVRMBCUTWECP-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](NCc2cc(O)ccc2Cl)C1 ZINC001207653942 1092059152 /nfs/dbraw/zinc/05/91/52/1092059152.db2.gz LUYRWONWTQJCDN-AWEZNQCLSA-N 0 1 322.836 3.092 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C(C)C)c1C ZINC001085964739 1092064453 /nfs/dbraw/zinc/06/44/53/1092064453.db2.gz PMKMOKQPAUIIEC-QGZVFWFLSA-N 0 1 317.477 3.408 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1oc2c(cccc2C)c1C ZINC001085988463 1092072824 /nfs/dbraw/zinc/07/28/24/1092072824.db2.gz JLWNOIOIKUFKDI-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001207717439 1092079076 /nfs/dbraw/zinc/07/90/76/1092079076.db2.gz HWHYHFBDTRHCQL-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(C)(CN2CC[C@@](C)(C#N)C2)CC1 ZINC001207815743 1092108124 /nfs/dbraw/zinc/10/81/24/1092108124.db2.gz DJUMEUHSZCNOEN-SFHVURJKSA-N 0 1 321.465 3.259 20 30 DGEDMN C=CCN(C(=O)c1n[nH]c2c1cccc2OC)c1ccccc1 ZINC001298805501 1092108320 /nfs/dbraw/zinc/10/83/20/1092108320.db2.gz BWEQYEFCOPPYAJ-UHFFFAOYSA-N 0 1 307.353 3.404 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001108238626 1092120638 /nfs/dbraw/zinc/12/06/38/1092120638.db2.gz RCEKNJXQLOZFKX-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)C[C@@H]1c1ccccc1 ZINC001141164625 1092157737 /nfs/dbraw/zinc/15/77/37/1092157737.db2.gz CWAYLDYITPFKJC-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(C[C@H]2CCCCO2)CC1 ZINC001207965115 1092162464 /nfs/dbraw/zinc/16/24/64/1092162464.db2.gz TVTRWISXOKOKCM-OAHLLOKOSA-N 0 1 302.393 3.252 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1ccc(C)cc1F)CC2 ZINC001141193055 1092168713 /nfs/dbraw/zinc/16/87/13/1092168713.db2.gz SSPDDFXAXKZGRW-UHFFFAOYSA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCCCCC(C)C)C1 ZINC001108260397 1092204640 /nfs/dbraw/zinc/20/46/40/1092204640.db2.gz MEMOGBNPBVHHTI-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(C)(C)C)C1 ZINC001108260403 1092205709 /nfs/dbraw/zinc/20/57/09/1092205709.db2.gz MFTKCXKLQUHQDC-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001491721661 1092213251 /nfs/dbraw/zinc/21/32/51/1092213251.db2.gz XUUTZMSZBVCMHK-ZFWWWQNUSA-N 0 1 320.383 3.020 20 30 DGEDMN C=CCCCCCCNC(=O)Nc1cc(CN(C)C)ccn1 ZINC001208159708 1092227829 /nfs/dbraw/zinc/22/78/29/1092227829.db2.gz QVEXJLLPVKAMMO-UHFFFAOYSA-N 0 1 304.438 3.401 20 30 DGEDMN CC(=O)CC(C)=Nc1nc(Cl)ccc1C(=O)NC(C)(C)C ZINC001155890625 1092267065 /nfs/dbraw/zinc/26/70/65/1092267065.db2.gz WHTFQTDVINXDRN-HJWRWDBZSA-N 0 1 309.797 3.168 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001208256078 1092295179 /nfs/dbraw/zinc/29/51/79/1092295179.db2.gz YENQCGKWLLRMKT-RPHSKFLZSA-N 0 1 305.249 3.242 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N(C)CCC(F)(F)F)CC1 ZINC001329541410 1092313742 /nfs/dbraw/zinc/31/37/42/1092313742.db2.gz PUAMNZOCTOWJLY-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@H](CC)CC(C)C)C1 ZINC001108328076 1092332450 /nfs/dbraw/zinc/33/24/50/1092332450.db2.gz ANSRPRDYXBFQBL-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208391471 1092338656 /nfs/dbraw/zinc/33/86/56/1092338656.db2.gz PBOZNWXSKXKVHA-IIAWOOMASA-N 0 1 306.475 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208393672 1092341469 /nfs/dbraw/zinc/34/14/69/1092341469.db2.gz WNSTTZGHSBYKED-IJEWVQPXSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350241 1092350615 /nfs/dbraw/zinc/35/06/15/1092350615.db2.gz VVAOWKNRZISMKL-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350241 1092350622 /nfs/dbraw/zinc/35/06/22/1092350622.db2.gz VVAOWKNRZISMKL-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2ccc(C)c(F)c2)C[C@H]1C ZINC001208458536 1092359467 /nfs/dbraw/zinc/35/94/67/1092359467.db2.gz MVZLYEDXVJNHBF-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CCCCCC)C1 ZINC001108378976 1092362561 /nfs/dbraw/zinc/36/25/61/1092362561.db2.gz QZRDEQCQQNOHKZ-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN CSc1nc(CN2CCC[C@H]2Cc2ccccn2)ccc1C#N ZINC001141939369 1092365758 /nfs/dbraw/zinc/36/57/58/1092365758.db2.gz ORXGQVJWEZGPCD-KRWDZBQOSA-N 0 1 324.453 3.277 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C[C@H]1O ZINC001141972589 1092371671 /nfs/dbraw/zinc/37/16/71/1092371671.db2.gz MSLMJAWZQOGBKN-DNVCBOLYSA-N 0 1 311.450 3.351 20 30 DGEDMN OC[C@@H]1CCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C1 ZINC001141972930 1092372024 /nfs/dbraw/zinc/37/20/24/1092372024.db2.gz VXCZGOLWJIPJMY-QGZVFWFLSA-N 0 1 311.450 3.352 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208509204 1092381199 /nfs/dbraw/zinc/38/11/99/1092381199.db2.gz WIXAUZYOARZJQA-LSBZLQRGSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)c1cccc2nc[nH]c21 ZINC001142075713 1092403494 /nfs/dbraw/zinc/40/34/94/1092403494.db2.gz MOQCDLDLMJSHNA-HNNXBMFYSA-N 0 1 305.381 3.480 20 30 DGEDMN C=CCC1(C(=O)NC[C@@]2(C)CN(C(C)C)CCO2)CCCCC1 ZINC001108416781 1092429494 /nfs/dbraw/zinc/42/94/94/1092429494.db2.gz WIKFEGAHYUKVCP-SFHVURJKSA-N 0 1 322.493 3.129 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cccc2nc[nH]c21 ZINC001142197516 1092434703 /nfs/dbraw/zinc/43/47/03/1092434703.db2.gz MEVAWBMJFSXEFC-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001108424223 1092445290 /nfs/dbraw/zinc/44/52/90/1092445290.db2.gz HPJOYWWFKMTTHV-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](CCC)CC(C)C)C1 ZINC001108431004 1092460519 /nfs/dbraw/zinc/46/05/19/1092460519.db2.gz SQDKPLNJMPIIAJ-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCCCCCCN1CCN(c2nccc(C(C)C)n2)CC1 ZINC001168958689 1092462872 /nfs/dbraw/zinc/46/28/72/1092462872.db2.gz YMPYSXGRBZZCAU-UHFFFAOYSA-N 0 1 314.477 3.306 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001492369489 1092485847 /nfs/dbraw/zinc/48/58/47/1092485847.db2.gz HSWKPIWLUHYXDV-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1cccc2[nH]cnc21 ZINC001142772902 1092548696 /nfs/dbraw/zinc/54/86/96/1092548696.db2.gz DQJBLHJONKYMEE-LBPRGKRZSA-N 0 1 304.353 3.268 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N(C)CCCc1ccc(C#N)cc1 ZINC001208864125 1092550045 /nfs/dbraw/zinc/55/00/45/1092550045.db2.gz IVFAGQRPICYKBY-YOEHRIQHSA-N 0 1 302.418 3.010 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2cccc3nonc32)CC1 ZINC001203124620 1092560094 /nfs/dbraw/zinc/56/00/94/1092560094.db2.gz RSBUVYHCYRIUEE-UHFFFAOYSA-N 0 1 318.380 3.280 20 30 DGEDMN C=C(C)CN(CC)Cc1cn2c(cccc2Br)n1 ZINC001143088168 1092612449 /nfs/dbraw/zinc/61/24/49/1092612449.db2.gz IVEHBMAYMDQHAC-UHFFFAOYSA-N 0 1 308.223 3.495 20 30 DGEDMN Cc1ccc(CN2CCC(C#Cc3ccccc3)CC2)c(N)n1 ZINC001143205941 1092633679 /nfs/dbraw/zinc/63/36/79/1092633679.db2.gz AHYIYZHJEHMYFO-UHFFFAOYSA-N 0 1 305.425 3.236 20 30 DGEDMN CCC(CC)CC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001169361476 1092653623 /nfs/dbraw/zinc/65/36/23/1092653623.db2.gz ROFHIFIHYIUGSB-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001209116813 1092658549 /nfs/dbraw/zinc/65/85/49/1092658549.db2.gz WXCZBBFVJYFUTC-BHIYHBOVSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001209182936 1092684165 /nfs/dbraw/zinc/68/41/65/1092684165.db2.gz KECYZPGYOWFXIR-XWJOTYRJSA-N 0 1 319.276 3.488 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001209208546 1092696125 /nfs/dbraw/zinc/69/61/25/1092696125.db2.gz JHNUEBGWYAALCG-ZHALLVOQSA-N 0 1 312.457 3.030 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc3cccc(O)c3cc2O)ccc1O ZINC001149651297 1092719286 /nfs/dbraw/zinc/71/92/86/1092719286.db2.gz JBESOYBYTUWFDB-UHFFFAOYSA-N 0 1 320.304 3.081 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCCCC1(F)F)C1CC1 ZINC001276633475 1092736819 /nfs/dbraw/zinc/73/68/19/1092736819.db2.gz HFPFAHNAJGBKGV-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2cc(F)ccc2F)C1 ZINC001209336571 1092738029 /nfs/dbraw/zinc/73/80/29/1092738029.db2.gz ZBXCSCGIHOXPDA-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@]2(CCCN(C(=O)C(C)C)C2)C1 ZINC001209423607 1092769831 /nfs/dbraw/zinc/76/98/31/1092769831.db2.gz ALYRIWIEKXEPMX-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCCN(C(=O)C(C)C)C2)C1 ZINC001209423607 1092769838 /nfs/dbraw/zinc/76/98/38/1092769838.db2.gz ALYRIWIEKXEPMX-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCCN1CC2CC1(C(=O)NCc1ccco1)C2 ZINC001209437777 1092775585 /nfs/dbraw/zinc/77/55/85/1092775585.db2.gz UETWEIUXJJJBJD-UHFFFAOYSA-N 0 1 316.445 3.497 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)CCCC2CCCCC2)C1 ZINC001150676907 1092808490 /nfs/dbraw/zinc/80/84/90/1092808490.db2.gz BMWHJMDAAOEWLE-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CC[C@@H](NC(=O)CN(C)CCc1ccccc1)c1ccccc1 ZINC001151315094 1092886095 /nfs/dbraw/zinc/88/60/95/1092886095.db2.gz WTKVWAQQSVANQS-HXUWFJFHSA-N 0 1 320.436 3.042 20 30 DGEDMN N#Cc1c[nH]c2cc(NC(=O)c3cc4cccnc4[nH]3)ccc12 ZINC001151547896 1092919311 /nfs/dbraw/zinc/91/93/11/1092919311.db2.gz VXOHLQAZJGNQQV-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001209866672 1092955960 /nfs/dbraw/zinc/95/59/60/1092955960.db2.gz UHVVPDQKVUOMFQ-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CNC(=O)c1cc(C#N)cc(C)c1Nc1cc2cn[nH]c2cc1C ZINC001210106121 1093044329 /nfs/dbraw/zinc/04/43/29/1093044329.db2.gz OYZSSXRVKQQFSI-UHFFFAOYSA-N 0 1 319.368 3.155 20 30 DGEDMN Cc1cc(C#N)ccc1Nc1ccccc1N1CCN(C)CC1 ZINC001210376133 1093144279 /nfs/dbraw/zinc/14/42/79/1093144279.db2.gz MYXOSADVQCKBFC-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN Cc1cc(C#N)cc(Nc2cccc(N3CCN(C)CC3)c2)c1 ZINC001210389488 1093150857 /nfs/dbraw/zinc/15/08/57/1093150857.db2.gz HYJWOKCKHFJOKQ-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CSc1cncc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001211069250 1093371138 /nfs/dbraw/zinc/37/11/38/1093371138.db2.gz OIWPOLZQYCOARA-UHFFFAOYSA-N 0 1 316.455 3.468 20 30 DGEDMN CC(C)(C)OC(=O)N1CC=C(Nc2c(F)ccc(F)c2C#N)C1 ZINC001211317238 1093457153 /nfs/dbraw/zinc/45/71/53/1093457153.db2.gz AHTPCDBIKJSQMB-UHFFFAOYSA-N 0 1 321.327 3.383 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](CC/C=C/CCC)C[C@H]1OC ZINC001213320229 1093565978 /nfs/dbraw/zinc/56/59/78/1093565978.db2.gz PVRNUXQTYBUYCT-APKOXFAHSA-N 0 1 322.493 3.151 20 30 DGEDMN C=CCOc1ccc(-c2noc(-c3cc(Cl)[nH]n3)n2)c(F)c1 ZINC001213501051 1093598596 /nfs/dbraw/zinc/59/85/96/1093598596.db2.gz ZEHYQEXFRPYDPA-UHFFFAOYSA-N 0 1 320.711 3.484 20 30 DGEDMN COCOc1ccc(C)c(Nc2cc(C#N)c(F)cc2O)c1 ZINC001214370573 1093763042 /nfs/dbraw/zinc/76/30/42/1093763042.db2.gz AKJAALYMLOWXLE-UHFFFAOYSA-N 0 1 302.305 3.438 20 30 DGEDMN C=C[C@H](C(=O)N[C@H](CNCC(=C)Cl)C1CC1)c1ccccc1 ZINC001276712314 1093792200 /nfs/dbraw/zinc/79/22/00/1093792200.db2.gz SYUVJJJLOVYTRN-DLBZAZTESA-N 0 1 318.848 3.193 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)Cc1ccccc1)C1CC1 ZINC001276712333 1093792224 /nfs/dbraw/zinc/79/22/24/1093792224.db2.gz UEXPFBOQZILJLN-DYVFJYSZSA-N 0 1 320.864 3.102 20 30 DGEDMN C=CCn1cnc2c1ncnc2Nc1ccc(Cl)c(O)c1F ZINC001214796445 1093854299 /nfs/dbraw/zinc/85/42/99/1093854299.db2.gz WBJHUKQYEJSDCN-UHFFFAOYSA-N 0 1 319.727 3.254 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215298655 1093953508 /nfs/dbraw/zinc/95/35/08/1093953508.db2.gz ATVQRILALYWJTQ-URFGDBDFSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C2)C1 ZINC001215503800 1093991983 /nfs/dbraw/zinc/99/19/83/1093991983.db2.gz ZAUGGLOIPZJPCZ-URFGDBDFSA-N 0 1 317.260 3.098 20 30 DGEDMN Cc1c(C#N)cccc1Nc1ccccc1CN1CCN(C)CC1 ZINC001216177286 1094138750 /nfs/dbraw/zinc/13/87/50/1094138750.db2.gz GLZWZOIHCKTKQX-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN COc1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c(C)c1 ZINC001216969898 1094285227 /nfs/dbraw/zinc/28/52/27/1094285227.db2.gz UGRXZQJOXLHAJZ-UHFFFAOYSA-N 0 1 307.309 3.298 20 30 DGEDMN CC(=O)c1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)cc1 ZINC001216969607 1094286137 /nfs/dbraw/zinc/28/61/37/1094286137.db2.gz IRXATDRUIDMCHG-UHFFFAOYSA-N 0 1 305.293 3.183 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H](C)[C@H](NCC(=C)Cl)C2)CCCC1 ZINC001217106094 1094307183 /nfs/dbraw/zinc/30/71/83/1094307183.db2.gz NCALSTGCHLCENW-UKRRQHHQSA-N 0 1 310.869 3.312 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3ccn4cncc4c3)n2)c1 ZINC001217330222 1094377385 /nfs/dbraw/zinc/37/73/85/1094377385.db2.gz HEKUNVSBTNOTKJ-UHFFFAOYSA-N 0 1 305.272 3.062 20 30 DGEDMN Cc1cc(-c2noc(-c3cnc4ccc[nH]c3-4)n2)ccc1C#N ZINC001217399127 1094399244 /nfs/dbraw/zinc/39/92/44/1094399244.db2.gz PAOOXGUWIQBPJM-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=C(C)CCC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccc(F)cc1 ZINC001120686695 1094492285 /nfs/dbraw/zinc/49/22/85/1094492285.db2.gz ANIWNUBMAXWDBD-SFHVURJKSA-N 0 1 313.376 3.379 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2cc(C)on2)CC1 ZINC001135383734 1094511937 /nfs/dbraw/zinc/51/19/37/1094511937.db2.gz VVXSVBBGRKAXJS-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN CC#CCCCC(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001120936441 1094558424 /nfs/dbraw/zinc/55/84/24/1094558424.db2.gz OZXOOOHLZVVUHJ-UHFFFAOYSA-N 0 1 302.765 3.257 20 30 DGEDMN Cc1ccc(O)c(Cc2cc(C)ccc2O[C@@H]2CNC[C@@H]2C#N)c1 ZINC001218200276 1094654393 /nfs/dbraw/zinc/65/43/93/1094654393.db2.gz FWKZZGRIWJCPQO-FXAWDEMLSA-N 0 1 322.408 3.090 20 30 DGEDMN CC(C)(C)c1ccc(O[C@@H]2CNC[C@@H]2C#N)c(Br)c1 ZINC001218200493 1094654665 /nfs/dbraw/zinc/65/46/65/1094654665.db2.gz MJJJCJBFKQHIOU-IINYFYTJSA-N 0 1 323.234 3.237 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1c2ccccc2c(O)c2ccccc21 ZINC001218199567 1094654824 /nfs/dbraw/zinc/65/48/24/1094654824.db2.gz FZLHZWUAXBGURX-YVEFUNNKSA-N 0 1 304.349 3.189 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(C(F)(F)F)cc1C(F)(F)F ZINC001218199999 1094654905 /nfs/dbraw/zinc/65/49/05/1094654905.db2.gz CTMBROHUNLZOJU-WRWORJQWSA-N 0 1 324.224 3.215 20 30 DGEDMN Cc1ccc2nc(C(F)(F)F)cc(O[C@H]3CNC[C@@H]3C#N)c2c1 ZINC001218199825 1094655023 /nfs/dbraw/zinc/65/50/23/1094655023.db2.gz HNRUXVARNGDIIA-HZMBPMFUSA-N 0 1 321.302 3.052 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1cc(O)cc(/C=C/c2ccccc2)c1 ZINC001218204133 1094658189 /nfs/dbraw/zinc/65/81/89/1094658189.db2.gz VBTHSZHVQKYRQM-HVMFBIMJSA-N 0 1 306.365 3.053 20 30 DGEDMN C=C1CC[NH2+]C[C@@H]1Oc1cc([O-])ccc1C(=O)Cc1ccccc1 ZINC001218207570 1094660037 /nfs/dbraw/zinc/66/00/37/1094660037.db2.gz RDFBKBZQIKXICF-FQEVSTJZSA-N 0 1 323.392 3.115 20 30 DGEDMN C=C1CC[NH2+]C[C@H]1Oc1cc([O-])ccc1C(=O)Cc1ccccc1 ZINC001218207572 1094660247 /nfs/dbraw/zinc/66/02/47/1094660247.db2.gz RDFBKBZQIKXICF-HXUWFJFHSA-N 0 1 323.392 3.115 20 30 DGEDMN C=C1CCC(C(=O)Nc2n[nH]c(C(=O)OCC)c2C2CC2)CC1 ZINC001336681900 1094694695 /nfs/dbraw/zinc/69/46/95/1094694695.db2.gz RRRSCJSGKWQJML-UHFFFAOYSA-N 0 1 317.389 3.149 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001135843099 1094708743 /nfs/dbraw/zinc/70/87/43/1094708743.db2.gz KXVVVHCQZZCLLG-SFHVURJKSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)Cc1ccccc1C ZINC001121610808 1094725954 /nfs/dbraw/zinc/72/59/54/1094725954.db2.gz URRQPWSRMCCBND-UHFFFAOYSA-N 0 1 322.416 3.107 20 30 DGEDMN C=C(C)C[C@@H](Oc1ccc(C(=O)N2CCNCC2)cc1)C(=C)C ZINC001218437171 1094773350 /nfs/dbraw/zinc/77/33/50/1094773350.db2.gz WPXDUWHJMIHDAU-GOSISDBHSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CC[C@H]1[NH2+]CCC[C@H]1Oc1cc([O-])ccc1C(=O)CCC ZINC001218457149 1094787850 /nfs/dbraw/zinc/78/78/50/1094787850.db2.gz GLEVTPKXSNAPMC-NVXWUHKLSA-N 0 1 303.402 3.451 20 30 DGEDMN Cc1cc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c(C)s1 ZINC001649146985 1094893430 /nfs/dbraw/zinc/89/34/30/1094893430.db2.gz WPWWTQYWUHUFFG-UHFFFAOYSA-N 0 1 323.381 3.274 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218949774 1094910790 /nfs/dbraw/zinc/91/07/90/1094910790.db2.gz LUJALPIGWSTHCD-SFHVURJKSA-N 0 1 321.424 3.192 20 30 DGEDMN C=CCCCCCN1CCN(c2ccc(C(=O)OC)s2)CC1 ZINC001170906017 1094912863 /nfs/dbraw/zinc/91/28/63/1094912863.db2.gz QUEHGIFXURURFP-UHFFFAOYSA-N 0 1 322.474 3.403 20 30 DGEDMN N#Cc1ccc(NC(=O)[C@@H]2CCC[C@H](c3ccccc3)[NH2+]2)c([O-])c1 ZINC001218992438 1094923672 /nfs/dbraw/zinc/92/36/72/1094923672.db2.gz LLKAPDYVMGLSNJ-WBVHZDCISA-N 0 1 321.380 3.086 20 30 DGEDMN C=C1CCC(CNC(=O)[C@H](N)Cc2cc3ccccc3o2)CC1 ZINC001122269573 1094940562 /nfs/dbraw/zinc/94/05/62/1094940562.db2.gz BVGUPARCWOIUJA-QGZVFWFLSA-N 0 1 312.413 3.165 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111848922 1094990403 /nfs/dbraw/zinc/99/04/03/1094990403.db2.gz XXHBFEFZJKIVMS-PGHMXAGPSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1cc(-c2ccccc2O)[nH]n1 ZINC001337488133 1094994482 /nfs/dbraw/zinc/99/44/82/1094994482.db2.gz JZZJCRUMLCGIIS-ZDUSSCGKSA-N 0 1 311.385 3.353 20 30 DGEDMN C#CCOc1ccc(COC(=O)c2ccc3cncn3c2C)cc1 ZINC001337986461 1095154866 /nfs/dbraw/zinc/15/48/66/1095154866.db2.gz DOGRQQCQISNNOL-UHFFFAOYSA-N 0 1 320.348 3.012 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1cscn1 ZINC001171044217 1095184112 /nfs/dbraw/zinc/18/41/12/1095184112.db2.gz WFFAOPXCWXAIJO-CQSZACIVSA-N 0 1 309.479 3.264 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)Sc1ccc(F)cc1 ZINC001123234602 1095197182 /nfs/dbraw/zinc/19/71/82/1095197182.db2.gz YDBJSZLYHPMEFT-SMDDNHRTSA-N 0 1 317.389 3.399 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)Sc1ccc(F)cc1 ZINC001123234599 1095197356 /nfs/dbraw/zinc/19/73/56/1095197356.db2.gz YDBJSZLYHPMEFT-BXUZGUMPSA-N 0 1 317.389 3.399 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001171054738 1095211440 /nfs/dbraw/zinc/21/14/40/1095211440.db2.gz ZGMCJSBILMKYHC-CQSZACIVSA-N 0 1 307.438 3.104 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2nc(C)cs2)CCCCC1 ZINC001115441490 1095221951 /nfs/dbraw/zinc/22/19/51/1095221951.db2.gz HYLDPOIHUOGTED-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccccc3CC)C[C@H]21 ZINC001221424982 1095262075 /nfs/dbraw/zinc/26/20/75/1095262075.db2.gz TWVROGNGYXULBF-RTBURBONSA-N 0 1 312.457 3.248 20 30 DGEDMN C=CCCCCCN1CC[C@@H]2CN(C(=O)c3ccns3)[C@@H]2C1 ZINC001221583052 1095308297 /nfs/dbraw/zinc/30/82/97/1095308297.db2.gz CDAICZDRZOCGRN-HUUCEWRRSA-N 0 1 319.474 3.036 20 30 DGEDMN CC(C)[C@@H](C(=O)C(C#N)c1ncc(F)cc1F)N1CCCCC1 ZINC001123475947 1095313295 /nfs/dbraw/zinc/31/32/95/1095313295.db2.gz HZRXWQASXLKZRS-BBRMVZONSA-N 0 1 321.371 3.047 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221762030 1095349054 /nfs/dbraw/zinc/34/90/54/1095349054.db2.gz LDRSLNIUCYOIKQ-DJIMGWMZSA-N 0 1 318.486 3.383 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1ncccc1C ZINC001276825111 1095352402 /nfs/dbraw/zinc/35/24/02/1095352402.db2.gz XVMKGDJSJVQTDZ-INIZCTEOSA-N 0 1 303.450 3.165 20 30 DGEDMN CO[C@H]1CCC[C@@H]2CN(Cc3c(Cl)cccc3C#N)C[C@@H]21 ZINC001143723628 1095357851 /nfs/dbraw/zinc/35/78/51/1095357851.db2.gz RHZLCHQXRZKVCP-KMFMINBZSA-N 0 1 304.821 3.459 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001221824339 1095366100 /nfs/dbraw/zinc/36/61/00/1095366100.db2.gz NUCOBAUFKKQQPU-KZNAEPCWSA-N 0 1 304.478 3.478 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H](F)CCCCCC)[C@@H]2C1 ZINC001221949722 1095396387 /nfs/dbraw/zinc/39/63/87/1095396387.db2.gz FYVLYWAPKJMMPU-IXDOHACOSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C=C)c3ccccc3)[C@@H]2C1 ZINC001222038123 1095414451 /nfs/dbraw/zinc/41/44/51/1095414451.db2.gz NCKNWFKUJPYFCD-BHIYHBOVSA-N 0 1 310.441 3.065 20 30 DGEDMN C=CC[C@H](C)C(=O)Nc1n[nH]c2nc(C(F)(F)F)cc(C)c12 ZINC001144013217 1095474207 /nfs/dbraw/zinc/47/42/07/1095474207.db2.gz ONUKGWFKVMFROA-ZETCQYMHSA-N 0 1 312.295 3.436 20 30 DGEDMN COC1(c2ccccc2)CCN(Cc2cncc(C#N)c2)CC1 ZINC001144083413 1095504233 /nfs/dbraw/zinc/50/42/33/1095504233.db2.gz GAPDKLYIBZISGW-UHFFFAOYSA-N 0 1 307.397 3.091 20 30 DGEDMN COc1cccc([C@@H]2CCCN(Cc3cncc(C#N)c3)C2)c1 ZINC001144084350 1095506152 /nfs/dbraw/zinc/50/61/52/1095506152.db2.gz AKGBMPPIOMYMEY-GOSISDBHSA-N 0 1 307.397 3.341 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2cc(Br)c(F)cc2[O-])C1 ZINC001144306741 1095600241 /nfs/dbraw/zinc/60/02/41/1095600241.db2.gz OHFAIQHRRCWASJ-JTQLQIEISA-N 0 1 312.182 3.139 20 30 DGEDMN CC/C=C(/C)C(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001222524833 1095650549 /nfs/dbraw/zinc/65/05/49/1095650549.db2.gz NSGUAJVWBMOTTH-LSCVHKIXSA-N 0 1 324.468 3.223 20 30 DGEDMN Cn1ccc2ncc(NC(=N)c3ccc4cc(O)ccc4c3)cc21 ZINC001171182412 1095661528 /nfs/dbraw/zinc/66/15/28/1095661528.db2.gz NITQXRWUBKMZOT-UHFFFAOYSA-N 0 1 316.364 3.469 20 30 DGEDMN Cc1nc2ccc(NC(=[NH2+])c3ccc4cc(O)ccc4c3)[n-]c-2n1 ZINC001171182616 1095661825 /nfs/dbraw/zinc/66/18/25/1095661825.db2.gz QNZFCOMUVLOHIO-UHFFFAOYSA-N 0 1 317.352 3.162 20 30 DGEDMN Cc1nc2nc(NC(=N)c3ccc4cc(O)ccc4c3)ccc2[nH]1 ZINC001171182616 1095661828 /nfs/dbraw/zinc/66/18/28/1095661828.db2.gz QNZFCOMUVLOHIO-UHFFFAOYSA-N 0 1 317.352 3.162 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)NC1(c2cccc(F)c2)COC1 ZINC001171184146 1095663408 /nfs/dbraw/zinc/66/34/08/1095663408.db2.gz KJYQBTCDXHMTGA-AWEZNQCLSA-N 0 1 310.372 3.144 20 30 DGEDMN CCc1nc([C@@H](C)Nc2ccc(C#N)c(Br)c2)n[nH]1 ZINC001339645257 1095685231 /nfs/dbraw/zinc/68/52/31/1095685231.db2.gz PVAJRKSGWOQPTB-MRVPVSSYSA-N 0 1 320.194 3.174 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)NC1(c2ccc(F)cc2)COC1 ZINC001171194279 1095689764 /nfs/dbraw/zinc/68/97/64/1095689764.db2.gz IUYJVHFKQAGPLW-CQSZACIVSA-N 0 1 310.372 3.144 20 30 DGEDMN N#C[C@H]1CCCN(Cc2[nH]nc3ccc(Br)cc32)C1 ZINC001139658730 1095709694 /nfs/dbraw/zinc/70/96/94/1095709694.db2.gz PLWRDEOKDKGLNY-SNVBAGLBSA-N 0 1 319.206 3.061 20 30 DGEDMN C#Cc1ccc(CN2CC[C@H]3[C@H]2CC(=O)N3c2ccsc2)cc1 ZINC001144571744 1095721447 /nfs/dbraw/zinc/72/14/47/1095721447.db2.gz GZZQYNLQFWUYNP-ZWKOTPCHSA-N 0 1 322.433 3.109 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CC[N@@H+](C/C=C/Cl)[C@H]2C1 ZINC001222763418 1095745194 /nfs/dbraw/zinc/74/51/94/1095745194.db2.gz PKLVUFIGMNMGCK-ZRJDUIELSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001222763418 1095745204 /nfs/dbraw/zinc/74/52/04/1095745204.db2.gz PKLVUFIGMNMGCK-ZRJDUIELSA-N 0 1 310.869 3.264 20 30 DGEDMN CC[N@H+]1CC[C@@H](OC(F)(F)c2ccccc2/C(Cl)=N/[O-])C1 ZINC001222940259 1095797446 /nfs/dbraw/zinc/79/74/46/1095797446.db2.gz PCULPUPCSQVSCL-IQRLKCEISA-N 0 1 318.751 3.221 20 30 DGEDMN C=CC[C@@H]1N(c2nnc(-c3[nH]cnc3C)n2C)CCCC1(C)C ZINC001340036927 1095829590 /nfs/dbraw/zinc/82/95/90/1095829590.db2.gz BKBQIEAVFSLNPD-ZDUSSCGKSA-N 0 1 314.437 3.085 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@@H](C)Cc1cccc(C#N)c1 ZINC001171228774 1095847464 /nfs/dbraw/zinc/84/74/64/1095847464.db2.gz ITHCPVBZCRNHGF-ZQIUZPCESA-N 0 1 302.418 3.009 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CC[N@H+](C[C@@H](F)CC)[C@H]2C1 ZINC001223272014 1095919525 /nfs/dbraw/zinc/91/95/25/1095919525.db2.gz SHWRWMMNXQOSME-SQNIBIBYSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CC[N@H+](C[C@H](F)CC)[C@H]2C1 ZINC001223272013 1095919670 /nfs/dbraw/zinc/91/96/70/1095919670.db2.gz SHWRWMMNXQOSME-KURKYZTESA-N 0 1 322.468 3.241 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001223342218 1095942091 /nfs/dbraw/zinc/94/20/91/1095942091.db2.gz RAHUZZZZUITQMR-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2cc(C#N)ccn2)C1 ZINC001223370168 1095953270 /nfs/dbraw/zinc/95/32/70/1095953270.db2.gz NXNPZEIBBYKFQH-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)C1 ZINC001118368390 1095989401 /nfs/dbraw/zinc/98/94/01/1095989401.db2.gz LJXNWCZKSDROHD-LJQANCHMSA-N 0 1 324.424 3.438 20 30 DGEDMN CC(C)CCOC(=O)[C@H](C)O/C(=N\O)c1ccc(Cl)cc1 ZINC001223594093 1096010350 /nfs/dbraw/zinc/01/03/50/1096010350.db2.gz RYODKYJQAATVSL-KFZGLNKMSA-N 0 1 313.781 3.470 20 30 DGEDMN Cc1oc(C(C)C)cc1C(=O)NCCNCC#Cc1ccccc1 ZINC001146350151 1096026736 /nfs/dbraw/zinc/02/67/36/1096026736.db2.gz SCBOGOMIGZYMGM-UHFFFAOYSA-N 0 1 324.424 3.083 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H](C)CC(C)(C)OC)c1 ZINC001223891753 1096086950 /nfs/dbraw/zinc/08/69/50/1096086950.db2.gz RXZXTLUUYZZNDQ-PTGFTAGUSA-N 0 1 311.378 3.060 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H](C)CC(C)(C)S)c1 ZINC001223891645 1096088222 /nfs/dbraw/zinc/08/82/22/1096088222.db2.gz PAZADLLDTNAHLF-UKNJIDDLSA-N 0 1 313.419 3.343 20 30 DGEDMN C#C[C@@H](CCCCC)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223893669 1096089217 /nfs/dbraw/zinc/08/92/17/1096089217.db2.gz YNSIANGVWBGWMD-FKEVTRRJSA-N 0 1 305.374 3.438 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](O[C@H]2Cc3ccccc32)C1 ZINC001224062740 1096127686 /nfs/dbraw/zinc/12/76/86/1096127686.db2.gz PYEWQTUXVHUETJ-ICSRJNTNSA-N 0 1 304.393 3.447 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2cncc(C#N)c2)C1 ZINC001224139311 1096143781 /nfs/dbraw/zinc/14/37/81/1096143781.db2.gz UBSAUJVSSIRTIS-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN Cc1nc(C2C[C@H]3CC[C@@H](C2)N3Cc2ccc(C#N)s2)no1 ZINC001119260629 1096147473 /nfs/dbraw/zinc/14/74/73/1096147473.db2.gz GOIOJTSUWZOXEZ-YHWZYXNKSA-N 0 1 314.414 3.222 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224204567 1096162528 /nfs/dbraw/zinc/16/25/28/1096162528.db2.gz SDCXTWUCQFJYHW-JTQLQIEISA-N 0 1 313.309 3.484 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(CC(C)C)CC(C)C)C[C@@H]21 ZINC001224256210 1096173900 /nfs/dbraw/zinc/17/39/00/1096173900.db2.gz CXSGAGXDHSWJEJ-MJGOQNOKSA-N 0 1 318.505 3.251 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CCC)CC(C)C)C[C@@H]21 ZINC001224264152 1096175445 /nfs/dbraw/zinc/17/54/45/1096175445.db2.gz ZWZJYHLMVLVSNF-KURKYZTESA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCN(Cc1cccc(Cl)c1)C2 ZINC001147166300 1096177255 /nfs/dbraw/zinc/17/72/55/1096177255.db2.gz WQYRVQVSTHZKMG-UHFFFAOYSA-N 0 1 318.848 3.341 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCN(Cc1cc(C)ccc1F)C2 ZINC001147183046 1096183867 /nfs/dbraw/zinc/18/38/67/1096183867.db2.gz ZFNOLIGWYYUIJJ-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN Cc1cc(Br)c(/C=C2\C(=O)c3ncnn3C2C)s1 ZINC001119554824 1096225019 /nfs/dbraw/zinc/22/50/19/1096225019.db2.gz FCNAFDZDAFSSCD-UDGZVJEDSA-N 0 1 324.203 3.251 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001147428108 1096216861 /nfs/dbraw/zinc/21/68/61/1096216861.db2.gz ANFGHXGLMUFGTB-INIZCTEOSA-N 0 1 308.853 3.275 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](OCCc2ccsc2)C1 ZINC001224535669 1096234779 /nfs/dbraw/zinc/23/47/79/1096234779.db2.gz RSRFFHWXPZCCND-SFHVURJKSA-N 0 1 312.438 3.453 20 30 DGEDMN C=CC[C@@H](OCCN1CCN(C)CC1)c1ccc(Cl)cc1 ZINC001224550241 1096236715 /nfs/dbraw/zinc/23/67/15/1096236715.db2.gz SHUBATFACLCCED-QGZVFWFLSA-N 0 1 308.853 3.221 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC)CCCCCC)C2)C1 ZINC001148031236 1096305442 /nfs/dbraw/zinc/30/54/42/1096305442.db2.gz BEYWEPKGDHGVKA-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN CC[C@@H]1OC(C)=C(OC[C@H](C)COCc2ccccc2)C1=O ZINC001225003457 1096332709 /nfs/dbraw/zinc/33/27/09/1096332709.db2.gz JCEMRNVTUUJCFU-CJNGLKHVSA-N 0 1 304.386 3.465 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2nc3ccc(OC)cc3[nH]2)cc1 ZINC001148457983 1096371239 /nfs/dbraw/zinc/37/12/39/1096371239.db2.gz JPPJMSUORWNWQR-UHFFFAOYSA-N 0 1 323.352 3.389 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@@H](C)CCCCCC)C2)C1 ZINC001148599898 1096400730 /nfs/dbraw/zinc/40/07/30/1096400730.db2.gz QLKRWRAUGSCHNT-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001148795756 1096448586 /nfs/dbraw/zinc/44/85/86/1096448586.db2.gz BGPDJKACEAPIBZ-UHFFFAOYSA-N 0 1 307.608 3.065 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cncs1 ZINC001148820592 1096453686 /nfs/dbraw/zinc/45/36/86/1096453686.db2.gz KAGMEWUSVWGHCU-UHFFFAOYSA-N 0 1 311.495 3.490 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001091910886 1096546918 /nfs/dbraw/zinc/54/69/18/1096546918.db2.gz IGWDNKPDFPWDMY-PALPZSCYSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001091981050 1096564570 /nfs/dbraw/zinc/56/45/70/1096564570.db2.gz KHZNDCYITALVSH-FCTQOEHPSA-N 0 1 310.869 3.122 20 30 DGEDMN C#C[C@H](COc1cccc(Cl)c1)Oc1cccc2[nH]nnc21 ZINC001225992231 1096583342 /nfs/dbraw/zinc/58/33/42/1096583342.db2.gz QWQMTJWBHFZOTI-GFCCVEGCSA-N 0 1 313.744 3.071 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2cnc3[nH]ccc3c2)C1 ZINC001226089701 1096609359 /nfs/dbraw/zinc/60/93/59/1096609359.db2.gz VTCQZWDEMJLRDI-KRWDZBQOSA-N 0 1 318.380 3.039 20 30 DGEDMN C=CC[C@@H](C)Oc1[nH]c(=O)nc2ccc(Br)cc21 ZINC001226292843 1096654408 /nfs/dbraw/zinc/65/44/08/1096654408.db2.gz QKJUGNZGCVXJBW-MRVPVSSYSA-N 0 1 309.163 3.441 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(Cl)cc1C(=O)OC ZINC001226311727 1096659426 /nfs/dbraw/zinc/65/94/26/1096659426.db2.gz UYFXZWZXGXFITB-LLVKDONJSA-N 0 1 310.733 3.115 20 30 DGEDMN N#C[C@@H]1CC[C@@H](Oc2[nH]c(=O)nc3cc(-c4ccccc4)[nH]c32)C1 ZINC001226377744 1096675632 /nfs/dbraw/zinc/67/56/32/1096675632.db2.gz GXJWXCJSGPMNSF-DGCLKSJQSA-N 0 1 320.352 3.402 20 30 DGEDMN C=CCCC(=O)NC1CCN([C@H](C)c2ccccc2F)CC1 ZINC001226518092 1096711755 /nfs/dbraw/zinc/71/17/55/1096711755.db2.gz BLCDWFDMYNUQLD-CQSZACIVSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001226523547 1096713300 /nfs/dbraw/zinc/71/33/00/1096713300.db2.gz ZFPPIDYNELPQLN-HUUCEWRRSA-N 0 1 307.463 3.016 20 30 DGEDMN Cc1ccc(C#N)cc1O[C@@H]1CC[N@H+]([C@@H](C)c2ccccn2)C1 ZINC001226876979 1096802313 /nfs/dbraw/zinc/80/23/13/1096802313.db2.gz HETFDCUNBGTJEV-DOTOQJQBSA-N 0 1 307.397 3.476 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3[nH]cnc3c2)C1 ZINC001226927720 1096819332 /nfs/dbraw/zinc/81/93/32/1096819332.db2.gz ABKDQGHYRRAKFD-QGZVFWFLSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)CCC[C@@H]12 ZINC001094490807 1096832599 /nfs/dbraw/zinc/83/25/99/1096832599.db2.gz KRCQJXPKZWNIRF-QYXWGXCQSA-N 0 1 322.880 3.146 20 30 DGEDMN N#CC1(c2ccc(Nc3nccnc3CN)cc2)CCCCC1 ZINC001171447731 1096849362 /nfs/dbraw/zinc/84/93/62/1096849362.db2.gz MELGMHKFMUIJJL-UHFFFAOYSA-N 0 1 307.401 3.404 20 30 DGEDMN C=CC[C@@H](Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21)C(C)C ZINC001227097259 1096867246 /nfs/dbraw/zinc/86/72/46/1096867246.db2.gz YYDWAHSUVLCJTQ-CYBMUJFWSA-N 0 1 303.318 3.223 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN([C@H](C)c2ccccc2F)CC1 ZINC001227219333 1096897599 /nfs/dbraw/zinc/89/75/99/1096897599.db2.gz BKTKKMDLTFZKOA-OAHLLOKOSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](CC(=O)NCc2ccc(F)cc2)C1 ZINC001171544837 1096912484 /nfs/dbraw/zinc/91/24/84/1096912484.db2.gz CQIHCUWPLWGDJO-HNNXBMFYSA-N 0 1 324.827 3.297 20 30 DGEDMN C=CCOC(=O)N1CCN([C@H](C)CCc2ccc(C)cc2)CC1 ZINC001171682480 1096946933 /nfs/dbraw/zinc/94/69/33/1096946933.db2.gz WLYGENCXOZZECA-QGZVFWFLSA-N 0 1 316.445 3.256 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001227562794 1096952293 /nfs/dbraw/zinc/95/22/93/1096952293.db2.gz YIPJWHNHZHOICN-UHFFFAOYSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228124439 1097056602 /nfs/dbraw/zinc/05/66/02/1097056602.db2.gz XMUZOKWKSYFHDB-NUEKZKHPSA-N 0 1 321.490 3.262 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)c(C#N)c2)C1 ZINC001228257112 1097083008 /nfs/dbraw/zinc/08/30/08/1097083008.db2.gz ALOLTZFVEDMPKO-RDTXWAMCSA-N 0 1 318.380 3.039 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228278704 1097087499 /nfs/dbraw/zinc/08/74/99/1097087499.db2.gz SWHTZJTUXVLGHA-HUUCEWRRSA-N 0 1 307.463 3.016 20 30 DGEDMN Cc1ccc(C2(N[C@@H](C)Cc3ccc(C#N)cc3)COC2)cc1 ZINC001172303433 1097094972 /nfs/dbraw/zinc/09/49/72/1097094972.db2.gz XYVDSAYXGZQJBJ-INIZCTEOSA-N 0 1 306.409 3.313 20 30 DGEDMN CCCCCCC[C@H](CC)NC1(C(=O)OC)CCN(C)CC1 ZINC001172351781 1097122238 /nfs/dbraw/zinc/12/22/38/1097122238.db2.gz VHTFVRJQPFYAAP-INIZCTEOSA-N 0 1 312.498 3.353 20 30 DGEDMN C=CC[C@@H](CCCC)Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-] ZINC001228610756 1097152651 /nfs/dbraw/zinc/15/26/51/1097152651.db2.gz ZAYGECLRYULZMP-NSHDSACASA-N 0 1 307.350 3.482 20 30 DGEDMN CC[C@H]1CN([C@H](C)CCCC#N)CCN1C(=O)OC(C)(C)C ZINC001172506762 1097174203 /nfs/dbraw/zinc/17/42/03/1097174203.db2.gz ITNHPHMWRSMHBF-CABCVRRESA-N 0 1 309.454 3.400 20 30 DGEDMN C=CCOC(=O)N1CCN([C@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC001172570935 1097183681 /nfs/dbraw/zinc/18/36/81/1097183681.db2.gz ZHKOSSBKGGOIMQ-MSOLQXFVSA-N 0 1 314.429 3.263 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001228772376 1097187599 /nfs/dbraw/zinc/18/75/99/1097187599.db2.gz WDVLYUDCDXFPNV-INIZCTEOSA-N 0 1 323.352 3.120 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001228786365 1097190451 /nfs/dbraw/zinc/19/04/51/1097190451.db2.gz HIEOPFRRVJNPBQ-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC[C@@H](Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-])C(C)C ZINC001228814168 1097196560 /nfs/dbraw/zinc/19/65/60/1097196560.db2.gz JSKGELPDOBLDBX-CYBMUJFWSA-N 0 1 309.318 3.067 20 30 DGEDMN C=CC[C@H](CCC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228813384 1097196777 /nfs/dbraw/zinc/19/67/77/1097196777.db2.gz BUDOMHLBJRYALP-SNVBAGLBSA-N 0 1 309.318 3.211 20 30 DGEDMN N#Cc1ccc([N+](=O)[O-])cc1O[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001228926747 1097219328 /nfs/dbraw/zinc/21/93/28/1097219328.db2.gz PZXUOPQNGFEJQS-KRWDZBQOSA-N 0 1 323.352 3.120 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001229048638 1097245056 /nfs/dbraw/zinc/24/50/56/1097245056.db2.gz JNPLTWPNCYDNKZ-AWEZNQCLSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC(C2CCC2)C2CCC2)CC1 ZINC001229215319 1097277795 /nfs/dbraw/zinc/27/77/95/1097277795.db2.gz PSSHEEHTTLGKLH-UHFFFAOYSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](C)CC2CCCCC2)CC1 ZINC001229445422 1097322317 /nfs/dbraw/zinc/32/23/17/1097322317.db2.gz OXNOCRHBHSZENR-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(Br)ccc(F)c1F ZINC001229613297 1097353513 /nfs/dbraw/zinc/35/35/13/1097353513.db2.gz XWOQIEHKMUYNSF-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN COc1ccc(Nc2cccc(N3CCN(C)CC3)c2)cc1C#N ZINC001212713786 1097371034 /nfs/dbraw/zinc/37/10/34/1097371034.db2.gz JPJDIWBIPIUGMV-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccccc3CC#N)c2)CC1 ZINC001212714511 1097377736 /nfs/dbraw/zinc/37/77/36/1097377736.db2.gz AMZIUYNJMFYSMD-UHFFFAOYSA-N 0 1 306.413 3.248 20 30 DGEDMN Cc1cccc(C#N)c1Nc1cccc(N2CCN(C)CC2)c1 ZINC001212714745 1097379322 /nfs/dbraw/zinc/37/93/22/1097379322.db2.gz OELLOFULBZOYLM-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C[C@@H](CS)Oc1ccc2c(c1)oc(=O)c1cc(O)ccc21 ZINC001229797578 1097389688 /nfs/dbraw/zinc/38/96/88/1097389688.db2.gz HFTLJZWTUOLRCL-VIFPVBQESA-N 0 1 302.351 3.349 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1CC[C@@H](CC)C1)CC2 ZINC001173274783 1097391793 /nfs/dbraw/zinc/39/17/93/1097391793.db2.gz FVGFSOFOJASHGC-CABCVRRESA-N 0 1 303.450 3.060 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)c(Br)cc1F ZINC001229849365 1097398567 /nfs/dbraw/zinc/39/85/67/1097398567.db2.gz KSXJTCXXROOYGZ-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001229919380 1097411340 /nfs/dbraw/zinc/41/13/40/1097411340.db2.gz LDMHIZQZLPVVLN-JTQLQIEISA-N 0 1 304.342 3.240 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(CCN2C2CCC(CC#N)CC2)C1 ZINC001173332260 1097419998 /nfs/dbraw/zinc/41/99/98/1097419998.db2.gz YFFLXWQXJBDGFI-UHFFFAOYSA-N 0 1 319.449 3.154 20 30 DGEDMN CSc1ncc2c(n1)CN(C1CCC(CC#N)CC1)CC2 ZINC001173336784 1097420442 /nfs/dbraw/zinc/42/04/42/1097420442.db2.gz LKPRNPFRANSOOO-UHFFFAOYSA-N 0 1 302.447 3.029 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001277436791 1097423472 /nfs/dbraw/zinc/42/34/72/1097423472.db2.gz YELRTGSLWYAMGR-FJIDUMEYSA-N 0 1 322.493 3.079 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(C)(C#N)CC2)[C@@H]2C[C@@H]21 ZINC001173594148 1097467181 /nfs/dbraw/zinc/46/71/81/1097467181.db2.gz SZGLFFZQWRBEMH-DGSCNEQVSA-N 0 1 319.449 3.152 20 30 DGEDMN CC1(C#N)CCC(N2CCN(c3ncccc3Cl)CC2)CC1 ZINC001173599115 1097469293 /nfs/dbraw/zinc/46/92/93/1097469293.db2.gz ZTDLDGJQBVJBNG-UHFFFAOYSA-N 0 1 318.852 3.329 20 30 DGEDMN C=CCC1(C(=O)NC2CCN(C[C@@H](F)CC)CC2)CCCC1 ZINC001230475968 1097495365 /nfs/dbraw/zinc/49/53/65/1097495365.db2.gz XOZHURRMYMBNDB-HNNXBMFYSA-N 0 1 310.457 3.452 20 30 DGEDMN N#C[C@H]1CN(C2CCC3(CCc4ccccc43)CC2)CCC1=O ZINC001173688932 1097496594 /nfs/dbraw/zinc/49/65/94/1097496594.db2.gz BQDKQHAUJBTKFM-VXESANQCSA-N 0 1 308.425 3.228 20 30 DGEDMN CC#CCN1CCC(NC(=O)C/C=C\c2ccc(C)cc2)CC1 ZINC001230496875 1097498309 /nfs/dbraw/zinc/49/83/09/1097498309.db2.gz NAXUAOPBZKEFMK-WAYWQWQTSA-N 0 1 310.441 3.002 20 30 DGEDMN CCCCN(CCC#N)[C@H](C)Cc1ccc(C(=O)OC)cc1 ZINC001173725624 1097508484 /nfs/dbraw/zinc/50/84/84/1097508484.db2.gz SQTGJBYUNGMPRJ-OAHLLOKOSA-N 0 1 302.418 3.420 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)cc1F ZINC001230567707 1097515324 /nfs/dbraw/zinc/51/53/24/1097515324.db2.gz SVEYUIZBIWUGIY-ZCFIWIBFSA-N 0 1 306.211 3.322 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)cc1F ZINC001230567706 1097515527 /nfs/dbraw/zinc/51/55/27/1097515527.db2.gz SVEYUIZBIWUGIY-LURJTMIESA-N 0 1 306.211 3.322 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccccc3F)cc2C#N)CC1 ZINC001173847280 1097518574 /nfs/dbraw/zinc/51/85/74/1097518574.db2.gz WCMINJSTJYJVPT-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN N#C[C@H](Oc1cc2[nH]ncc2cc1[N+](=O)[O-])c1ccccc1F ZINC001230601273 1097527651 /nfs/dbraw/zinc/52/76/51/1097527651.db2.gz LPLYFKHBHPUFFJ-HNNXBMFYSA-N 0 1 312.260 3.254 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)[C@](C)(F)CCCC)C2)C1 ZINC001277465326 1097575584 /nfs/dbraw/zinc/57/55/84/1097575584.db2.gz OIIDAFDICPJSIO-RTBURBONSA-N 0 1 322.468 3.243 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)CCCC(C)=O ZINC001230766917 1097578954 /nfs/dbraw/zinc/57/89/54/1097578954.db2.gz YPRFOPMEVZQAHE-KRWDZBQOSA-N 0 1 308.466 3.025 20 30 DGEDMN COCc1ccccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001174102295 1097603376 /nfs/dbraw/zinc/60/33/76/1097603376.db2.gz OQGXZLPXRDDDCT-UHFFFAOYSA-N 0 1 313.426 3.498 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)[C@@H](C)CC)Cc1cccnc1 ZINC001230883993 1097613846 /nfs/dbraw/zinc/61/38/46/1097613846.db2.gz ZIWIVZOABNMDJW-IRXDYDNUSA-N 0 1 317.477 3.258 20 30 DGEDMN C=C[C@@H](CC(=O)OC(C)(C)C)Oc1cc2[nH]ncc2c(F)c1 ZINC001230931696 1097625748 /nfs/dbraw/zinc/62/57/48/1097625748.db2.gz QHBTYXVWTVKNIO-JTQLQIEISA-N 0 1 306.337 3.367 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1cnc(C)s1 ZINC001231069399 1097660774 /nfs/dbraw/zinc/66/07/74/1097660774.db2.gz CRDFVYIOBYVCGD-HNNXBMFYSA-N 0 1 321.490 3.344 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CCCC(C)(C)C ZINC001231094446 1097666759 /nfs/dbraw/zinc/66/67/59/1097666759.db2.gz GRNZYTBQYCSXNV-SJORKVTESA-N 0 1 324.509 3.327 20 30 DGEDMN N#Cc1cc(O)ccc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174394596 1097689241 /nfs/dbraw/zinc/68/92/41/1097689241.db2.gz LCWLXOPNVRUKIS-UHFFFAOYSA-N 0 1 305.381 3.466 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cnc4[nH]ccc4c3)sc2C1 ZINC001174393982 1097689635 /nfs/dbraw/zinc/68/96/35/1097689635.db2.gz CEFMUDHVHYUEHT-UHFFFAOYSA-N 0 1 309.398 3.179 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc(O)c(C(=O)OC)c1 ZINC001231420055 1097745767 /nfs/dbraw/zinc/74/57/67/1097745767.db2.gz JCYKHVIGHIXQGW-UHFFFAOYSA-N 0 1 311.381 3.367 20 30 DGEDMN C=CCCN(Cc1ccccc1)Cc1cccc(C(=O)OC)n1 ZINC001231427019 1097747524 /nfs/dbraw/zinc/74/75/24/1097747524.db2.gz VCIUQIGFMTUVAV-UHFFFAOYSA-N 0 1 310.397 3.447 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(OC(=O)C(C)C)c(OC)c1 ZINC001231531041 1097775249 /nfs/dbraw/zinc/77/52/49/1097775249.db2.gz HYQXFBMMRPIILT-UHFFFAOYSA-N 0 1 303.402 3.431 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccccc2C#Cc2ccccc2)CCC1=O ZINC001231578687 1097782918 /nfs/dbraw/zinc/78/29/18/1097782918.db2.gz QQAVUACXSAFXKG-HXUWFJFHSA-N 0 1 314.388 3.001 20 30 DGEDMN N#C[C@H]1CC[C@H](NC(=O)c2cc3c(Cl)[nH]ccc-3n2)CC1 ZINC001174599331 1097789544 /nfs/dbraw/zinc/78/95/44/1097789544.db2.gz BUBJBCZVAXUHKL-MGCOHNPYSA-N 0 1 302.765 3.028 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@@H](Oc2cccnc2)C1 ZINC001231618292 1097793665 /nfs/dbraw/zinc/79/36/65/1097793665.db2.gz FNQAGZLIHMOWNV-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN CC(C)C[C@](C)(C#N)NC(=O)c1cc2c(Cl)[nH]ccc-2n1 ZINC001174616146 1097795079 /nfs/dbraw/zinc/79/50/79/1097795079.db2.gz BNRCKENRJQXRPY-OAHLLOKOSA-N 0 1 304.781 3.274 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCN[C@@H](C)c1csnn1 ZINC001174682009 1097799676 /nfs/dbraw/zinc/79/96/76/1097799676.db2.gz IKWUGZZXSVCASL-OLZOCXBDSA-N 0 1 324.494 3.076 20 30 DGEDMN C#CCCCCCC(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001174693927 1097828118 /nfs/dbraw/zinc/82/81/18/1097828118.db2.gz FTGHYFLDVUZSKG-MRXNPFEDSA-N 0 1 319.449 3.023 20 30 DGEDMN C#Cc1cc(Nc2ccc(N3CCN(C)CC3)cc2)ccc1F ZINC001174815211 1097845558 /nfs/dbraw/zinc/84/55/58/1097845558.db2.gz NDSUJDLWJUPQIC-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN COc1cc(C#N)cc(Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC001174816802 1097846884 /nfs/dbraw/zinc/84/68/84/1097846884.db2.gz AIHHSKSJYIPHLB-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C#Cc1ccc(Nc2ccc(N3CCN(C)CC3)cc2)cc1F ZINC001174816799 1097847496 /nfs/dbraw/zinc/84/74/96/1097847496.db2.gz AGOVJBBUROXJQU-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001174833660 1097852741 /nfs/dbraw/zinc/85/27/41/1097852741.db2.gz QTRHYRWRPHQXOP-CABCVRRESA-N 0 1 307.438 3.042 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1cscn1 ZINC001174977975 1097893651 /nfs/dbraw/zinc/89/36/51/1097893651.db2.gz GFQQRPAJVXXQJS-CQSZACIVSA-N 0 1 309.479 3.264 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001175082473 1097942210 /nfs/dbraw/zinc/94/22/10/1097942210.db2.gz OIICXNNDWNNHBP-CRAIPNDOSA-N 0 1 321.465 3.350 20 30 DGEDMN CC(=O)Nc1ccc(CN2CCc3ccc(C#N)cc3C2)c(C)c1 ZINC001232181708 1097959737 /nfs/dbraw/zinc/95/97/37/1097959737.db2.gz MSRDEIWRRKMNAY-UHFFFAOYSA-N 0 1 319.408 3.383 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1ccns1)[C@H]2CC ZINC001232190189 1097960622 /nfs/dbraw/zinc/96/06/22/1097960622.db2.gz NPDOKVSJGZLLJE-RDJZCZTQSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCCNCc2cscn2)C1 ZINC001175169405 1097968038 /nfs/dbraw/zinc/96/80/38/1097968038.db2.gz XRCCQRFMBWRPAW-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1ccc(O)c3ncccc13)CC2 ZINC001232273061 1097988796 /nfs/dbraw/zinc/98/87/96/1097988796.db2.gz UHXYYAPHQZBBLR-UHFFFAOYSA-N 0 1 315.376 3.370 20 30 DGEDMN COc1cc(Nc2sc3c(c2C#N)CCN(C)C3)ccc1F ZINC001175395057 1098034018 /nfs/dbraw/zinc/03/40/18/1098034018.db2.gz KJDSNEWVYYZFRT-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN Cc1ccc(CCN2CCN(c3ccc(F)cc3C#N)CC2)cc1 ZINC001175454714 1098068055 /nfs/dbraw/zinc/06/80/55/1098068055.db2.gz WLSQIISESGUJSR-UHFFFAOYSA-N 0 1 323.415 3.371 20 30 DGEDMN CC(=O)Nc1ccc(Nc2c3cn[nH]c3ccc2C)cc1C#N ZINC001175526884 1098082973 /nfs/dbraw/zinc/08/29/73/1098082973.db2.gz ZCCPCYCOQFVSLR-UHFFFAOYSA-N 0 1 305.341 3.445 20 30 DGEDMN CN1CCC=C(Nc2ccc(Br)c(F)c2C#N)C1 ZINC001175578073 1098103312 /nfs/dbraw/zinc/10/33/12/1098103312.db2.gz HEQNPBHBLQYVED-UHFFFAOYSA-N 0 1 310.170 3.091 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCC[C@H](OCc3ccccc3)C2)c1 ZINC001232677196 1098112131 /nfs/dbraw/zinc/11/21/31/1098112131.db2.gz CDOSPCURJVKUCX-IBGZPJMESA-N 0 1 322.408 3.445 20 30 DGEDMN COC(=O)c1ccc(Nc2ccc3n[nH]c(C)c3c2)c(C#N)c1 ZINC001175702776 1098126789 /nfs/dbraw/zinc/12/67/89/1098126789.db2.gz PZYUQKFNQLZRHE-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN Cc1ccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c(C#N)c1 ZINC001232966110 1098185127 /nfs/dbraw/zinc/18/51/27/1098185127.db2.gz GLJXXYDLTFDWPC-SFTDATJTSA-N 0 1 317.436 3.325 20 30 DGEDMN CN(C)c1ccc(CN2CC[C@H](c3ccccn3)C2)c(F)c1C#N ZINC001233100202 1098227084 /nfs/dbraw/zinc/22/70/84/1098227084.db2.gz CDVRWUZPGPFXHK-AWEZNQCLSA-N 0 1 324.403 3.148 20 30 DGEDMN C=CC[NH+](CC=C)Cc1cc(Br)c(OC)cc1[O-] ZINC001233140265 1098236724 /nfs/dbraw/zinc/23/67/24/1098236724.db2.gz BNAIAEPLJZYAEG-UHFFFAOYSA-N 0 1 312.207 3.337 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1sccc1Cl ZINC001277770376 1098237723 /nfs/dbraw/zinc/23/77/23/1098237723.db2.gz BZQBUTHXINSOPU-NSHDSACASA-N 0 1 300.855 3.304 20 30 DGEDMN Cc1cc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)sn1 ZINC001233312925 1098282101 /nfs/dbraw/zinc/28/21/01/1098282101.db2.gz UOFWIRBCEXKHSP-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(Cc3ccccc3)cc2)CCC1=O ZINC001233331356 1098290457 /nfs/dbraw/zinc/29/04/57/1098290457.db2.gz RQBQDDAXTZCIQW-IBGZPJMESA-N 0 1 304.393 3.192 20 30 DGEDMN Cc1cccc(C#N)c1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176383198 1098326570 /nfs/dbraw/zinc/32/65/70/1098326570.db2.gz QXUBNLJLQLMRBT-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN Cc1cc(F)ccc1[C@@H](O)CN(C)Cc1cc(C#N)cs1 ZINC001176556576 1098385966 /nfs/dbraw/zinc/38/59/66/1098385966.db2.gz ZNGNVXFVBVRYAH-INIZCTEOSA-N 0 1 304.390 3.233 20 30 DGEDMN CN1CCN(c2ccccc2Nc2ccc(F)c(C#N)c2)CC1 ZINC001176615742 1098392641 /nfs/dbraw/zinc/39/26/41/1098392641.db2.gz OLDULKUHCDOWHL-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2cc(C)on2)CCCCC1 ZINC001176785425 1098430133 /nfs/dbraw/zinc/43/01/33/1098430133.db2.gz DZBPCRKTQJTQCL-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1nc(CC)c(C)s1 ZINC001176771927 1098436701 /nfs/dbraw/zinc/43/67/01/1098436701.db2.gz XZPRKXGRFUQCMP-CYBMUJFWSA-N 0 1 307.463 3.383 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)CCCCCCCC)C1 ZINC001150626022 1098439817 /nfs/dbraw/zinc/43/98/17/1098439817.db2.gz RYJQMFWUXPOQKY-QGZVFWFLSA-N 0 1 310.482 3.130 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)[C@H](C)CCCC ZINC001277812189 1098442166 /nfs/dbraw/zinc/44/21/66/1098442166.db2.gz WGBCFHMSWXFDTO-YPMHNXCESA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2OCCN(CCCC(C)(C)C)[C@@H]2C1 ZINC001176954892 1098456802 /nfs/dbraw/zinc/45/68/02/1098456802.db2.gz KIRHIUNHEZZQQU-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CCO[C@H]2CCN(C(=O)C=C(CC)CC)C[C@@H]21 ZINC001176982891 1098458632 /nfs/dbraw/zinc/45/86/32/1098458632.db2.gz KKYFQWLGJFAOGP-ROUUACIJSA-N 0 1 320.477 3.001 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001233948552 1098464817 /nfs/dbraw/zinc/46/48/17/1098464817.db2.gz YBWHLUZWASYSSV-LJQANCHMSA-N 0 1 312.457 3.085 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(OC)ccc1C(F)(F)F ZINC001234055320 1098486367 /nfs/dbraw/zinc/48/63/67/1098486367.db2.gz ROKHNZZDSQGMHD-SSDOTTSWSA-N 0 1 302.248 3.312 20 30 DGEDMN CCc1cc(NC(=O)[C@H]2CCc3[nH]cnc3C2)cc(CC)c1C#N ZINC001177177791 1098492155 /nfs/dbraw/zinc/49/21/55/1098492155.db2.gz FWHJERFZKKYGEA-AWEZNQCLSA-N 0 1 322.412 3.150 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001277834252 1098503076 /nfs/dbraw/zinc/50/30/76/1098503076.db2.gz DKNVMLUVQMXLHX-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN Cc1cccc([C@H](C)N2CC[C@H]2CN(C)C(=O)C#CC2CC2)c1 ZINC001234196453 1098511678 /nfs/dbraw/zinc/51/16/78/1098511678.db2.gz UECPAIWTFMYXOP-LPHOPBHVSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](CC)OC1CCCCC1 ZINC001234295826 1098531479 /nfs/dbraw/zinc/53/14/79/1098531479.db2.gz HTCNORNYDPQDSI-WMZOPIPTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2nc(C)oc2C)CCCC1 ZINC001177547691 1098553786 /nfs/dbraw/zinc/55/37/86/1098553786.db2.gz AWAKFOLOJAEFLA-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN C[C@H](Cc1ccccc1)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234512058 1098575502 /nfs/dbraw/zinc/57/55/02/1098575502.db2.gz ZPZHAXVIGAVICX-GFCCVEGCSA-N 0 1 305.337 3.217 20 30 DGEDMN N#Cc1ccc2nc(=O)[nH]c(OC3CCC(F)(F)CC3)c2c1 ZINC001234515129 1098575648 /nfs/dbraw/zinc/57/56/48/1098575648.db2.gz FAZSRVCFPSMNHF-UHFFFAOYSA-N 0 1 305.284 3.164 20 30 DGEDMN C[C@H](CC(C)(C)S)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234513498 1098575769 /nfs/dbraw/zinc/57/57/69/1098575769.db2.gz MKSISBFANFPMTB-SECBINFHSA-N 0 1 303.387 3.073 20 30 DGEDMN C=CC[C@H](CCC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234570776 1098604215 /nfs/dbraw/zinc/60/42/15/1098604215.db2.gz AHVGRLVTDZPRPL-SECBINFHSA-N 0 1 301.184 3.377 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1nccc2ccccc21 ZINC001177916235 1098647856 /nfs/dbraw/zinc/64/78/56/1098647856.db2.gz ULELRHVNGINXHI-AWEZNQCLSA-N 0 1 321.405 3.295 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)[C@@H](C#N)Cc1cccs1 ZINC001177918007 1098647998 /nfs/dbraw/zinc/64/79/98/1098647998.db2.gz ZAJFYRKNSJIUGS-SNVBAGLBSA-N 0 1 317.320 3.219 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CCCC(F)(F)C1 ZINC001177917013 1098648574 /nfs/dbraw/zinc/64/85/74/1098648574.db2.gz BUPCETJWGBLKJI-NWDGAFQWSA-N 0 1 312.385 3.372 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1cc(Br)cc(F)c1O ZINC001235069756 1098783085 /nfs/dbraw/zinc/78/30/85/1098783085.db2.gz WUNHNTYZCDSNBN-LLVKDONJSA-N 0 1 313.170 3.172 20 30 DGEDMN CCCCN(CC#N)Cc1cc(Br)cc(F)c1O ZINC001235068488 1098783372 /nfs/dbraw/zinc/78/33/72/1098783372.db2.gz JDGJEFIDDDKTHP-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001178503458 1098863585 /nfs/dbraw/zinc/86/35/85/1098863585.db2.gz ARGHEMOOQINOGM-UJWMVZFISA-N 0 1 317.260 3.078 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2cc(F)cc(Br)c2[O-])C1 ZINC001235304348 1098950772 /nfs/dbraw/zinc/95/07/72/1098950772.db2.gz XGKACDXEHAQKHR-JTQLQIEISA-N 0 1 312.182 3.139 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2c(F)cccc2F)[C@@H]1C ZINC001178802292 1098935109 /nfs/dbraw/zinc/93/51/09/1098935109.db2.gz FYJAFPRDIADVIG-GUYCJALGSA-N 0 1 322.399 3.400 20 30 DGEDMN COc1cc(CNCc2ccc(C#N)cn2)cc2ccccc21 ZINC001178855245 1098953179 /nfs/dbraw/zinc/95/31/79/1098953179.db2.gz HUZMPUPYZMSBJE-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN C#CCCCCCC(=O)NCCCCCCNCc1ccon1 ZINC001179235264 1099044580 /nfs/dbraw/zinc/04/45/80/1099044580.db2.gz MFVIMNTZHLGNJM-UHFFFAOYSA-N 0 1 319.449 3.025 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCCCCNCc1nocc1C ZINC001179306771 1099058899 /nfs/dbraw/zinc/05/88/99/1099058899.db2.gz MCGQRFSYBRUPSJ-GOEBONIOSA-N 0 1 321.465 3.207 20 30 DGEDMN C[N@@H+]1CCN(Cc2ccccc2CC#N)C[C@H]1c1ccccc1 ZINC001235523328 1099072149 /nfs/dbraw/zinc/07/21/49/1099072149.db2.gz QVGXQKIYEXGQSE-FQEVSTJZSA-N 0 1 305.425 3.241 20 30 DGEDMN CC(C)[C@H](C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001235528254 1099074971 /nfs/dbraw/zinc/07/49/71/1099074971.db2.gz WCHRXENLLKAJLC-GOSISDBHSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179482373 1099113442 /nfs/dbraw/zinc/11/34/42/1099113442.db2.gz VDOBOHFBGCPTDG-GOSISDBHSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCCCCNCc2nocc2C)C1 ZINC001179532877 1099119464 /nfs/dbraw/zinc/11/94/64/1099119464.db2.gz AFWFIULOARXPMK-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN N#CCCN(Cc1nc2ccc(F)cc2[nH]1)Cc1cccnc1 ZINC001235685436 1099126750 /nfs/dbraw/zinc/12/67/50/1099126750.db2.gz ZSKWWILAXCUQDE-UHFFFAOYSA-N 0 1 309.348 3.013 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3csc(C#N)c3)CC2)c1 ZINC001180413861 1099413375 /nfs/dbraw/zinc/41/33/75/1099413375.db2.gz ZVYSQPBJAFFDCI-UHFFFAOYSA-N 0 1 311.454 3.246 20 30 DGEDMN CN(CC(=O)Nc1c(F)cc(C#N)cc1Cl)C1CCCC1 ZINC001180629448 1099478131 /nfs/dbraw/zinc/47/81/31/1099478131.db2.gz NVGXSQOTSHXZAR-UHFFFAOYSA-N 0 1 309.772 3.164 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@@H](C)n2cccc2)CC1 ZINC001273468144 1099516182 /nfs/dbraw/zinc/51/61/82/1099516182.db2.gz XHMWDOKHWOBARN-CVEARBPZSA-N 0 1 323.868 3.162 20 30 DGEDMN Cc1ncc(C#N)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236470388 1099630125 /nfs/dbraw/zinc/63/01/25/1099630125.db2.gz VQCXYUNZHOXJNV-MOPGFXCFSA-N 0 1 316.408 3.477 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1nccnc1C1CC1 ZINC001187993342 1099651642 /nfs/dbraw/zinc/65/16/42/1099651642.db2.gz URVWRPGBFGFJTE-UHFFFAOYSA-N 0 1 311.451 3.456 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1c(C)noc1C ZINC001273553621 1099683603 /nfs/dbraw/zinc/68/36/03/1099683603.db2.gz DJGVCIYIHVUSJR-SFHVURJKSA-N 0 1 321.465 3.174 20 30 DGEDMN Cc1nc(C)c(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)o1 ZINC001236595420 1099693690 /nfs/dbraw/zinc/69/36/90/1099693690.db2.gz RPUJVJLDAHEHEP-QGZVFWFLSA-N 0 1 311.385 3.207 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1cc(C)cc(F)c1 ZINC001273556290 1099724304 /nfs/dbraw/zinc/72/43/04/1099724304.db2.gz AAHBKFWZMGJDOR-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=CC[C@@H](NC(=O)c1ncc(C(F)(F)F)[nH]1)c1ccccc1 ZINC001188297129 1099729365 /nfs/dbraw/zinc/72/93/65/1099729365.db2.gz ZFWRJMAZBGIWJF-LLVKDONJSA-N 0 1 309.291 3.476 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cccc3occc32)C1 ZINC001236746224 1099769831 /nfs/dbraw/zinc/76/98/31/1099769831.db2.gz QKMKTVBGMCIMIC-OAHLLOKOSA-N 0 1 314.385 3.309 20 30 DGEDMN C#CC1CCN(Cc2cc(OC)c(Br)cc2O)CC1 ZINC001236757413 1099771254 /nfs/dbraw/zinc/77/12/54/1099771254.db2.gz SZKJNUKZYNYYEK-UHFFFAOYSA-N 0 1 324.218 3.009 20 30 DGEDMN CCCC(=O)N1CC[C@H](N(C)CC#Cc2ccc(Cl)cc2)C1 ZINC001188626088 1099815631 /nfs/dbraw/zinc/81/56/31/1099815631.db2.gz MGPGQOWGHFRAQI-KRWDZBQOSA-N 0 1 318.848 3.024 20 30 DGEDMN C#CC1CC[NH+](Cc2c([O-])cc(OC)cc2Br)CC1 ZINC001237071161 1099956131 /nfs/dbraw/zinc/95/61/31/1099956131.db2.gz RPKIPRWOGYTHJY-UHFFFAOYSA-N 0 1 324.218 3.009 20 30 DGEDMN C#CCN(C)Cc1ccc(OC(F)(F)F)c(Br)c1 ZINC001237162618 1100011717 /nfs/dbraw/zinc/01/17/17/1100011717.db2.gz QIDBTAQOKPYSDZ-UHFFFAOYSA-N 0 1 322.124 3.413 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)c2cc(-c3ccncc3)n[nH]2)cc1 ZINC001189325536 1100027785 /nfs/dbraw/zinc/02/77/85/1100027785.db2.gz YAVPFCANJWMQMU-GFCCVEGCSA-N 0 1 317.352 3.351 20 30 DGEDMN Cc1[nH]c(NC(=O)c2cn[nH]c2-c2ccccc2)c(C#N)c1C ZINC001189685491 1100108099 /nfs/dbraw/zinc/10/80/99/1100108099.db2.gz OXTFHRDBHBWWAU-UHFFFAOYSA-N 0 1 305.341 3.146 20 30 DGEDMN Cn1cc(C[N@H+]2CC[C@@H]3OCCC[C@H]3C2)c2cc(C#N)ccc21 ZINC001237464219 1100132371 /nfs/dbraw/zinc/13/23/71/1100132371.db2.gz CWKMDTKKEAWLRT-KXBFYZLASA-N 0 1 309.413 3.051 20 30 DGEDMN CC[C@H](C)CC(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189893940 1100156562 /nfs/dbraw/zinc/15/65/62/1100156562.db2.gz RCSZGFICYLZYGZ-UONOGXRCSA-N 0 1 319.474 3.089 20 30 DGEDMN N#Cc1ccc2nc(CN3CC[C@@H](c4ccc(F)cc4)C3)cn2c1 ZINC001237607265 1100185870 /nfs/dbraw/zinc/18/58/70/1100185870.db2.gz VBMGIPSBPREXAP-MRXNPFEDSA-N 0 1 320.371 3.335 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001190396483 1100259978 /nfs/dbraw/zinc/25/99/78/1100259978.db2.gz FAFFVOLKNCLGCK-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(CC(C)C)s2)C1 ZINC001190698287 1100318677 /nfs/dbraw/zinc/31/86/77/1100318677.db2.gz VKLFRWDDKPJEPA-OAHLLOKOSA-N 0 1 318.486 3.116 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc3c2CCCCC3)C1 ZINC001190801967 1100340816 /nfs/dbraw/zinc/34/08/16/1100340816.db2.gz RWJONKUCCIQAHL-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001190889066 1100359406 /nfs/dbraw/zinc/35/94/06/1100359406.db2.gz HUVXSAIOUDQXTG-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001191038672 1100384044 /nfs/dbraw/zinc/38/40/44/1100384044.db2.gz BAUWPUPCMBBPBS-LYBXBRPPSA-N 0 1 324.468 3.126 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2ccc(Cl)c(C#N)c2)CC1 ZINC001238192414 1100386344 /nfs/dbraw/zinc/38/63/44/1100386344.db2.gz RUUOIMVCNIPQNW-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN C#Cc1ccccc1C(=O)N(Cc1cnc[nH]1)Cc1ccccc1 ZINC001191120726 1100404700 /nfs/dbraw/zinc/40/47/00/1100404700.db2.gz VKHOIVKPLPNQQL-UHFFFAOYSA-N 0 1 315.376 3.234 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(Cl)c1C ZINC001281939593 1100420806 /nfs/dbraw/zinc/42/08/06/1100420806.db2.gz JLLUPUCENCNIOJ-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H](N(C)CCC(F)(F)F)C2)CC1 ZINC001192198140 1100584410 /nfs/dbraw/zinc/58/44/10/1100584410.db2.gz QIZQOCZSYXXFOP-AWEZNQCLSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](N(C)CCC(F)(F)F)C2)CCC1 ZINC001192227705 1100593353 /nfs/dbraw/zinc/59/33/53/1100593353.db2.gz TTZONQQJCIBPFH-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN COc1cc(C#N)ccc1C(=O)Nc1cc2n[nH]c(C)c2c(F)c1 ZINC001192263889 1100595425 /nfs/dbraw/zinc/59/54/25/1100595425.db2.gz QHVGSZDFFPRBQF-UHFFFAOYSA-N 0 1 324.315 3.143 20 30 DGEDMN CCCCCCCCCCCCNC(=O)N[C@@]1(C#N)CCNC1 ZINC001239222436 1100603729 /nfs/dbraw/zinc/60/37/29/1100603729.db2.gz TYCNZSIZQRRFJS-GOSISDBHSA-N 0 1 322.497 3.462 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cnc(Cl)cc2C)cc1C#N ZINC001192356062 1100610088 /nfs/dbraw/zinc/61/00/88/1100610088.db2.gz NXBDWLOGFIYGOQ-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN COC(=O)c1ccc(O)c(C(=O)Nc2ccc([C@@H](C)C#N)cc2)c1 ZINC001192397329 1100617506 /nfs/dbraw/zinc/61/75/06/1100617506.db2.gz RTCHJFCUVYPKHE-NSHDSACASA-N 0 1 324.336 3.058 20 30 DGEDMN CCCCCCCNC(=O)N1CCNC[C@H]1c1ccc(F)cc1 ZINC001239293476 1100623953 /nfs/dbraw/zinc/62/39/53/1100623953.db2.gz OJPRHXVMGSMWTQ-KRWDZBQOSA-N 0 1 321.440 3.452 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cnccc2C)C1 ZINC001192585990 1100639019 /nfs/dbraw/zinc/63/90/19/1100639019.db2.gz GULZZKBOLZTHNS-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001192585990 1100639022 /nfs/dbraw/zinc/63/90/22/1100639022.db2.gz GULZZKBOLZTHNS-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccn1)c1cccc(N2CCCC2)c1 ZINC001192626362 1100646484 /nfs/dbraw/zinc/64/64/84/1100646484.db2.gz JUUVRXQRNFWPTN-OAHLLOKOSA-N 0 1 306.413 3.404 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)CC2CCCCC2)C1 ZINC001192640646 1100647489 /nfs/dbraw/zinc/64/74/89/1100647489.db2.gz DPSIBTHSRONLKM-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001192680301 1100650099 /nfs/dbraw/zinc/65/00/99/1100650099.db2.gz OZQMURKOZYUNMM-FCEWJHQRSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)CCCCCC(C)C)C1 ZINC001192705178 1100656036 /nfs/dbraw/zinc/65/60/36/1100656036.db2.gz WQRMLKURUWZSSF-SFHVURJKSA-N 0 1 324.509 3.328 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cc(CC)ccc2OC)cc1 ZINC001192816074 1100665885 /nfs/dbraw/zinc/66/58/85/1100665885.db2.gz IJVFUTBGBXUFOB-UHFFFAOYSA-N 0 1 315.394 3.040 20 30 DGEDMN Cc1cnc([C@H](NC[C@H](O)c2cccc(C#N)c2)C2CC2)s1 ZINC001193240007 1100719770 /nfs/dbraw/zinc/71/97/70/1100719770.db2.gz SACYWLJLMQSFMY-JKSUJKDBSA-N 0 1 313.426 3.098 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001193340158 1100729661 /nfs/dbraw/zinc/72/96/61/1100729661.db2.gz ZNNXHTNNVOJBOI-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(-c3ccncn3)cs2)ccc1O ZINC001193499432 1100752843 /nfs/dbraw/zinc/75/28/43/1100752843.db2.gz RGPXNAZHGUQVGX-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN N#Cc1cccc(C(=O)N2CCCC3(CC(F)C3)CC2)c1O ZINC001193646316 1100782683 /nfs/dbraw/zinc/78/26/83/1100782683.db2.gz GMPOPHFKHNDSFO-UHFFFAOYSA-N 0 1 302.349 3.008 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@]23CCN(C2CCCC2)C3=O)cc1 ZINC001273672042 1100883709 /nfs/dbraw/zinc/88/37/09/1100883709.db2.gz WTRMKVBSYSPZPH-OAQYLSRUSA-N 0 1 322.452 3.177 20 30 DGEDMN O/N=C/c1cccc(-c2cccc(CCN3CCOCC3)c2)c1 ZINC001240270410 1100917679 /nfs/dbraw/zinc/91/76/79/1100917679.db2.gz GOHWOJGDYHLSKP-HMMYKYKNSA-N 0 1 310.397 3.036 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001194337151 1100926291 /nfs/dbraw/zinc/92/62/91/1100926291.db2.gz PJNFTKPOTBFRLM-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN Cc1cccc(C)c1S(=O)(=O)Nc1c(F)cc(F)cc1C#N ZINC001194644961 1100979832 /nfs/dbraw/zinc/97/98/32/1100979832.db2.gz VHWCWMDHNSFHSH-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN C=CCN(C(=O)c1cnc(C(F)(F)F)[nH]1)C1CCCCC1 ZINC001194781712 1101001728 /nfs/dbraw/zinc/00/17/28/1101001728.db2.gz FJDNKCQGEPVGKV-UHFFFAOYSA-N 0 1 301.312 3.389 20 30 DGEDMN COc1ccc([C@@H](C)NCc2cc(C#N)ccn2)c(C)c1OC ZINC001194772654 1101011557 /nfs/dbraw/zinc/01/15/57/1101011557.db2.gz QSSANCNNWKPAGA-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1nc(C#N)ccc1NS(=O)(=O)c1cccc(C(C)C)c1 ZINC001195120160 1101076498 /nfs/dbraw/zinc/07/64/98/1101076498.db2.gz KZXKFAVECWDESQ-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@@H]2CCc3c2cccc3F)CC1 ZINC001195232799 1101094902 /nfs/dbraw/zinc/09/49/02/1101094902.db2.gz OFICSXTUDXFXLS-GOSISDBHSA-N 0 1 316.420 3.314 20 30 DGEDMN Cc1ccc(O)c(C(=O)Nc2c(C#N)cccc2C(F)(F)F)n1 ZINC001195326458 1101114352 /nfs/dbraw/zinc/11/43/52/1101114352.db2.gz QBPFOWSDHLRFBK-UHFFFAOYSA-N 0 1 321.258 3.238 20 30 DGEDMN CCC#C[C@@H](C)N1CC[C@H](Oc2cncc(Br)c2)C1 ZINC001195577612 1101157351 /nfs/dbraw/zinc/15/73/51/1101157351.db2.gz OOCNPCSYSAAFOT-OCCSQVGLSA-N 0 1 323.234 3.099 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc4c(C#N)c[nH]c4c3)cc2)CC1 ZINC001240855512 1101160187 /nfs/dbraw/zinc/16/01/87/1101160187.db2.gz TZKMUMPJEAOFBB-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(CC#N)ccc3F)cc2)CC1 ZINC001240855386 1101160436 /nfs/dbraw/zinc/16/04/36/1101160436.db2.gz NNFYVHGCDGHEAP-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN Cc1cc(C)cc(OC[C@@H](C)NC(=O)c2ccc(C#N)cc2O)c1 ZINC001195758733 1101190956 /nfs/dbraw/zinc/19/09/56/1101190956.db2.gz QXPVYOXMXJFVGK-CQSZACIVSA-N 0 1 324.380 3.078 20 30 DGEDMN Cc1[nH]c2ccc(CNC(=O)c3ccc(C#N)cc3O)cc2c1C ZINC001195764953 1101192930 /nfs/dbraw/zinc/19/29/30/1101192930.db2.gz UQTBIUBIYZFBGX-UHFFFAOYSA-N 0 1 319.364 3.292 20 30 DGEDMN CC[C@@H](NC(=O)c1ccc(C#N)cc1O)c1ccc(F)c(F)c1 ZINC001195768501 1101193485 /nfs/dbraw/zinc/19/34/85/1101193485.db2.gz MGHMHDJQMWDELR-OAHLLOKOSA-N 0 1 316.307 3.423 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCC[N@H+](CC=C(C)C)CC1 ZINC001195839506 1101212066 /nfs/dbraw/zinc/21/20/66/1101212066.db2.gz PVRJXDZQLXSYJX-UHFFFAOYSA-N 0 1 322.493 3.250 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCCCC(C)(C)C)CC1 ZINC001195958167 1101232822 /nfs/dbraw/zinc/23/28/22/1101232822.db2.gz PSVXGKZBDLGSDB-UHFFFAOYSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)CCc2ccc(F)cc2)CC1 ZINC001196092889 1101249025 /nfs/dbraw/zinc/24/90/25/1101249025.db2.gz OAIPXGDICOTCFP-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cc(Cl)ccc2C#N)cc1O ZINC001196679777 1101355887 /nfs/dbraw/zinc/35/58/87/1101355887.db2.gz LMUWVIYRRYYPDY-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC001196852907 1101418361 /nfs/dbraw/zinc/41/83/61/1101418361.db2.gz FAMGPCKDIGYLJU-SJORKVTESA-N 0 1 300.446 3.179 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCN(Cc2ccsc2)CC1 ZINC001196895562 1101429803 /nfs/dbraw/zinc/42/98/03/1101429803.db2.gz MDORKCRGVRRZFA-OAHLLOKOSA-N 0 1 306.475 3.385 20 30 DGEDMN N#CC(C#N)c1nc2ccccc2nc1-c1ccc2[nH]cnc2c1 ZINC001241692229 1101638518 /nfs/dbraw/zinc/63/85/18/1101638518.db2.gz INGLBTPPEGYEKL-UHFFFAOYSA-N 0 1 310.320 3.304 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2cc(F)c(O)c(F)c2)c1 ZINC001197711798 1101648124 /nfs/dbraw/zinc/64/81/24/1101648124.db2.gz BRZNNLKNHUTFNJ-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC001197713385 1101649762 /nfs/dbraw/zinc/64/97/62/1101649762.db2.gz FVUBDQCYDRQGGS-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)CCC(C)(C)C)CC1 ZINC001197842039 1101675232 /nfs/dbraw/zinc/67/52/32/1101675232.db2.gz DBXABEXOAROLKY-QGZVFWFLSA-N 0 1 324.509 3.186 20 30 DGEDMN CCCCCCCCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001198096467 1101752531 /nfs/dbraw/zinc/75/25/31/1101752531.db2.gz MKQVIOOZUXWHHZ-QZTJIDSGSA-N 0 1 324.509 3.255 20 30 DGEDMN CCCC[C@@H](C(=O)OC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001198210444 1101780551 /nfs/dbraw/zinc/78/05/51/1101780551.db2.gz IZAIHTWWZYSJQN-SFHVURJKSA-N 0 1 314.429 3.469 20 30 DGEDMN C#CCCCCCCN1CCOc2c(cccc2C(=O)OC)C1 ZINC001198289990 1101805769 /nfs/dbraw/zinc/80/57/69/1101805769.db2.gz YFUGGZGJEUEXPR-UHFFFAOYSA-N 0 1 315.413 3.251 20 30 DGEDMN COc1ccc(N2CCN(Cc3ccc(C#N)cc3)CC2)c(C)c1 ZINC001203906254 1101855867 /nfs/dbraw/zinc/85/58/67/1101855867.db2.gz KYCBCUFBJKVTLL-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC001198491811 1101875998 /nfs/dbraw/zinc/87/59/98/1101875998.db2.gz VHSDKCHVUNWRGH-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN[C@@H](CNC(=O)/C=C/C(C)(C)C)c1ccccc1OC ZINC001198616119 1101929268 /nfs/dbraw/zinc/92/92/68/1101929268.db2.gz DUOKLIVLNURIQN-PCUGXKRQSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@@H](C)CC)c1ccccc1OC ZINC001198694113 1101947069 /nfs/dbraw/zinc/94/70/69/1101947069.db2.gz GMZUSBYZCOCVMH-GOEBONIOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C[C@@H](C)CC)c1ccccc1OC ZINC001198695044 1101948106 /nfs/dbraw/zinc/94/81/06/1101948106.db2.gz NJXMDVUZJSBXOL-RDJZCZTQSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H]1CC1(C)C)c1ccccc1OC ZINC001198668554 1101953158 /nfs/dbraw/zinc/95/31/58/1101953158.db2.gz SUMLVCSXQONMBR-HOTGVXAUSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)/C=C(/C)CC)c1ccccc1OC ZINC001198714510 1101971404 /nfs/dbraw/zinc/97/14/04/1101971404.db2.gz XWLAWKMOZSIGDE-JBXOJTMHSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H](F)C(C)C)c1ccccc1OC ZINC001198844013 1101998128 /nfs/dbraw/zinc/99/81/28/1101998128.db2.gz YZDPIMIPFSZSBE-WBVHZDCISA-N 0 1 322.424 3.012 20 30 DGEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@](C)(CC)CCCCCC)C1 ZINC001198848135 1101998944 /nfs/dbraw/zinc/99/89/44/1101998944.db2.gz KNPDRDIJKBTRHF-ZHALLVOQSA-N 0 1 324.509 3.111 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](CC)CCC)c1ccccc1OC ZINC001198944956 1102040651 /nfs/dbraw/zinc/04/06/51/1102040651.db2.gz IXMAFAMRKGCJDI-WBVHZDCISA-N 0 1 318.461 3.454 20 30 DGEDMN CCN(CC)c1nc(-c2ccc(O)c(C#N)c2)nc2cccnc21 ZINC001242563024 1102211377 /nfs/dbraw/zinc/21/13/77/1102211377.db2.gz PWQMLOPAOWLRQZ-UHFFFAOYSA-N 0 1 319.368 3.115 20 30 DGEDMN N#Cc1cc(-c2ccc(OCC[NH+]3CCCCC3)nc2)ccc1[O-] ZINC001242566306 1102213431 /nfs/dbraw/zinc/21/34/31/1102213431.db2.gz SBRSSFKOXTYFNB-UHFFFAOYSA-N 0 1 323.396 3.191 20 30 DGEDMN CCn1cc(-c2ccc(O)c(C#N)c2)c2sc(C#N)cc2c1=O ZINC001242565824 1102214033 /nfs/dbraw/zinc/21/40/33/1102214033.db2.gz DIHSVCJCNOPEAB-UHFFFAOYSA-N 0 1 321.361 3.199 20 30 DGEDMN Cc1cc(-c2ccc(CCN3CCOCC3)cc2)ccc1C#N ZINC001242768238 1102330977 /nfs/dbraw/zinc/33/09/77/1102330977.db2.gz SKCFHSDVPKFKQL-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C/C=C(/C)C=C ZINC001273826355 1102345464 /nfs/dbraw/zinc/34/54/64/1102345464.db2.gz XYCLEQORSYPKBL-ZZODWALSSA-N 0 1 322.452 3.075 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc3cccnc32)cc1C#N ZINC001201287989 1102536520 /nfs/dbraw/zinc/53/65/20/1102536520.db2.gz RNRLWOUFEPCHLJ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc3ccccc3cn2)cc1C#N ZINC001201292589 1102540452 /nfs/dbraw/zinc/54/04/52/1102540452.db2.gz FNOLMOBAYRMZER-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ncccc2C(C)C)cc1C#N ZINC001201296567 1102547065 /nfs/dbraw/zinc/54/70/65/1102547065.db2.gz NMWVHEYWQNAWIX-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN CSc1cc(F)c(-c2cc3nc[nH]c3c(C#N)n2)c(F)c1 ZINC001243218124 1102596991 /nfs/dbraw/zinc/59/69/91/1102596991.db2.gz PLYZULLBZMFYAD-UHFFFAOYSA-N 0 1 302.309 3.497 20 30 DGEDMN CCCCCCCCCCCCN1CCN(CC(N)=O)CC1 ZINC001201631048 1102665536 /nfs/dbraw/zinc/66/55/36/1102665536.db2.gz LOHWBTCTGHIZRQ-UHFFFAOYSA-N 0 1 311.514 3.010 20 30 DGEDMN CCCCCCCN1CCn2ncc(Br)c2C1 ZINC001201804870 1102731839 /nfs/dbraw/zinc/73/18/39/1102731839.db2.gz VZDHFWLWAJTXME-UHFFFAOYSA-N 0 1 300.244 3.432 20 30 DGEDMN C=CCOC[C@H]1c2c(ncn2C)CCN1CCCCCCC ZINC001201776808 1102733914 /nfs/dbraw/zinc/73/39/14/1102733914.db2.gz MDYMEUDCHJZBAJ-KRWDZBQOSA-N 0 1 305.466 3.492 20 30 DGEDMN CC(=O)n1ncc2ccc(-c3nccc4[nH]cc(C#N)c43)cc21 ZINC001243478547 1102745348 /nfs/dbraw/zinc/74/53/48/1102745348.db2.gz PUHXUKCNAXBQBT-UHFFFAOYSA-N 0 1 301.309 3.111 20 30 DGEDMN CCCCCCCCCCN1CCN(c2ncncn2)CC1 ZINC001201875059 1102750783 /nfs/dbraw/zinc/75/07/83/1102750783.db2.gz OJOPMOVQVCDJGE-UHFFFAOYSA-N 0 1 305.470 3.134 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(CC#N)c(F)c3)c2)CC1 ZINC001243668641 1102901205 /nfs/dbraw/zinc/90/12/05/1102901205.db2.gz KFVPKFIWEKNGJR-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN C=CCCCC(=O)N1CCC(NCc2ncoc2C(C)C)CC1 ZINC001202267132 1102903562 /nfs/dbraw/zinc/90/35/62/1102903562.db2.gz VUWVIZMYEXVBHY-UHFFFAOYSA-N 0 1 319.449 3.235 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(F)c(C#N)c3F)c2)CC1 ZINC001243670391 1102904132 /nfs/dbraw/zinc/90/41/32/1102904132.db2.gz DHJKIYHFKRGHAI-UHFFFAOYSA-N 0 1 313.351 3.255 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001111072092 1103034588 /nfs/dbraw/zinc/03/45/88/1103034588.db2.gz ATOQWLPEKPBCNT-ZACQAIPSSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](c1ccccc1)C(C)C)C2 ZINC001111070308 1103034850 /nfs/dbraw/zinc/03/48/50/1103034850.db2.gz BGNWXOMEZYHVRB-AKHDSKFASA-N 0 1 312.457 3.334 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cc(NC2=CC[C@@H](C#N)CC2)ncn1 ZINC001212856594 1103070812 /nfs/dbraw/zinc/07/08/12/1103070812.db2.gz PUYREBWDPIPDPI-LLVKDONJSA-N 0 1 315.377 3.443 20 30 DGEDMN CN1CCN(c2ccc(F)cc2NC2=CC[C@H](C#N)CC2)CC1 ZINC001212862485 1103111381 /nfs/dbraw/zinc/11/13/81/1103111381.db2.gz LPMAJNQNTMCDRC-AWEZNQCLSA-N 0 1 314.408 3.197 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)CCC=C(C)C ZINC001488663296 1103119845 /nfs/dbraw/zinc/11/98/45/1103119845.db2.gz OHBDUBSONVIAGP-QZTJIDSGSA-N 0 1 304.478 3.315 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C2 ZINC001111278692 1103168968 /nfs/dbraw/zinc/16/89/68/1103168968.db2.gz MMGYDSWXPLDCTQ-VQHPVUNQSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](F)CC1CCCCC1)C2 ZINC001111282517 1103171541 /nfs/dbraw/zinc/17/15/41/1103171541.db2.gz UDYGLZOJXIGXRO-TWMKSMIVSA-N 0 1 308.441 3.202 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001488830130 1103182778 /nfs/dbraw/zinc/18/27/78/1103182778.db2.gz JBMRQWPFFJSFHW-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NCc2ncc(C(C)C)o2)C1 ZINC001488897414 1103195058 /nfs/dbraw/zinc/19/50/58/1103195058.db2.gz DRAQLODBBKIDDL-LSDHHAIUSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCc1sccc1C)C2 ZINC001111327802 1103215974 /nfs/dbraw/zinc/21/59/74/1103215974.db2.gz SLGLPNOACZYECQ-OAGGEKHMSA-N 0 1 318.486 3.287 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C[C@H]1C ZINC001278377383 1103237982 /nfs/dbraw/zinc/23/79/82/1103237982.db2.gz FNLHTOFJLJTFQO-CJNGLKHVSA-N 0 1 322.399 3.400 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2nccc3sccc32)n1C ZINC001326235381 1103241913 /nfs/dbraw/zinc/24/19/13/1103241913.db2.gz YIOGVHMNKSBLDT-UHFFFAOYSA-N 0 1 321.793 3.138 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC[C@@H](C)CC)C2 ZINC001110597606 1103305767 /nfs/dbraw/zinc/30/57/67/1103305767.db2.gz JAWVCRKYKNOFCF-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001489283281 1103340552 /nfs/dbraw/zinc/34/05/52/1103340552.db2.gz YIHMETPJWDVYST-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001326878637 1103467794 /nfs/dbraw/zinc/46/77/94/1103467794.db2.gz XUGZRHGIDRXGDT-KRWDZBQOSA-N 0 1 319.449 3.022 20 30 DGEDMN C/C=C(\C)C(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001490177060 1103504813 /nfs/dbraw/zinc/50/48/13/1103504813.db2.gz WHRLZEGLTJPNAC-SYZQJQIISA-N 0 1 318.848 3.096 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](CC=C)c1ccccc1 ZINC001276332820 1103505570 /nfs/dbraw/zinc/50/55/70/1103505570.db2.gz AECUZZIREWEUBK-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)/C=C/c2ccco2)CC1 ZINC001327094509 1103517414 /nfs/dbraw/zinc/51/74/14/1103517414.db2.gz KOCDKUSMQFUPJX-UZYOAWRESA-N 0 1 308.809 3.016 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(CC)Cc1oc(C)nc1C ZINC001490341753 1103577258 /nfs/dbraw/zinc/57/72/58/1103577258.db2.gz WEOAGMQVJJVGFU-UHFFFAOYSA-N 0 1 321.465 3.222 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001490558178 1103655865 /nfs/dbraw/zinc/65/58/65/1103655865.db2.gz BPHWYXFKGMOXCK-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)CC ZINC001327508056 1103665488 /nfs/dbraw/zinc/66/54/88/1103665488.db2.gz NICBQEJMPDJBQY-CRAIPNDOSA-N 0 1 300.446 3.152 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001490616107 1103680485 /nfs/dbraw/zinc/68/04/85/1103680485.db2.gz BKDGHSHVFHWWKC-HKUYNNGSSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)c(C)c1)C2 ZINC001095961186 1103714644 /nfs/dbraw/zinc/71/46/44/1103714644.db2.gz AMUMTEZSMGWXIG-KBMXLJTQSA-N 0 1 304.821 3.170 20 30 DGEDMN CCC/C=C\CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001490792630 1103746040 /nfs/dbraw/zinc/74/60/40/1103746040.db2.gz KLOXJIVBWAOQOD-UNWHRZBZSA-N 0 1 316.489 3.458 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)ccc1F)C2 ZINC001096066755 1103746752 /nfs/dbraw/zinc/74/67/52/1103746752.db2.gz YAOPTLYXTXTZTJ-COXVUDFISA-N 0 1 302.393 3.045 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C/C=C\Cc1ccccc1 ZINC001490793922 1103747901 /nfs/dbraw/zinc/74/79/01/1103747901.db2.gz QYLIDHOMNQWCGQ-IHBUEBGLSA-N 0 1 324.468 3.427 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(F)F)cc1F)C2 ZINC001096239466 1103789999 /nfs/dbraw/zinc/78/99/99/1103789999.db2.gz HFJRWEBRHFJOAJ-UGFHNGPFSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\c1ccccc1F)C2 ZINC001110710168 1103802273 /nfs/dbraw/zinc/80/22/73/1103802273.db2.gz ZEZWLAUESYXCLN-AZQZEAAQSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\c1ccc(F)cc1)C2 ZINC001110714049 1103804126 /nfs/dbraw/zinc/80/41/26/1103804126.db2.gz ZAVWRONFNHTTTF-LXEGMVBOSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccc(C)s1)C2 ZINC001096344101 1103807036 /nfs/dbraw/zinc/80/70/36/1103807036.db2.gz HGICHHJKUQOWTF-KBXIAJHMSA-N 0 1 304.459 3.068 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(c1)CCC=C3)C2 ZINC001096364692 1103810998 /nfs/dbraw/zinc/81/09/98/1103810998.db2.gz WKPOHOGBVHINAS-QYZOEREBSA-N 0 1 308.425 3.167 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cnoc2C)CC1(C)C ZINC001328081266 1103835337 /nfs/dbraw/zinc/83/53/37/1103835337.db2.gz JTJPKKPQZFVRFC-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC[C@H](O)CNC1(c2ccccc2Br)CC1 ZINC001252621124 1103891289 /nfs/dbraw/zinc/89/12/89/1103891289.db2.gz WUESSJMJBVYHOR-LBPRGKRZSA-N 0 1 310.235 3.355 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCCCC1(C)C)C2 ZINC001096673895 1103899159 /nfs/dbraw/zinc/89/91/59/1103899159.db2.gz BUHXUCJFPRZICZ-BDXSIMOUSA-N 0 1 316.489 3.338 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001111435635 1103902030 /nfs/dbraw/zinc/90/20/30/1103902030.db2.gz WKMLMCLIFPRFAB-TVFCKZIOSA-N 0 1 324.509 3.087 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001111436378 1103908201 /nfs/dbraw/zinc/90/82/01/1103908201.db2.gz DMERUZDNTKEAJG-WCIQWLHISA-N 0 1 322.452 3.091 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)c1ccn(-c2ccncc2)n1 ZINC001328373261 1103923450 /nfs/dbraw/zinc/92/34/50/1103923450.db2.gz IXODPUJBGHLXJS-GOEBONIOSA-N 0 1 310.401 3.132 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccn(-c2ccncc2)n1 ZINC001328373264 1103923839 /nfs/dbraw/zinc/92/38/39/1103923839.db2.gz IXODPUJBGHLXJS-ZBFHGGJFSA-N 0 1 310.401 3.132 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CC[C@@](F)(CO)C1 ZINC001252979323 1103979982 /nfs/dbraw/zinc/97/99/82/1103979982.db2.gz KCUUGKIOLLSGNN-IRXDYDNUSA-N 0 1 303.462 3.284 20 30 DGEDMN N#CCOc1ccc(CN2CCC(Oc3ccccn3)CC2)cc1 ZINC001137119277 1103993385 /nfs/dbraw/zinc/99/33/85/1103993385.db2.gz CHBVQXXQORCYBN-UHFFFAOYSA-N 0 1 323.396 3.027 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC1CCCCC1 ZINC001328673990 1104017932 /nfs/dbraw/zinc/01/79/32/1104017932.db2.gz JWMGFLSIUPAYOU-TZIWHRDSSA-N 0 1 324.468 3.352 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc2c1CCCCC2 ZINC001328732217 1104042076 /nfs/dbraw/zinc/04/20/76/1104042076.db2.gz CPAHDDVKPSTYFD-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001328733382 1104044560 /nfs/dbraw/zinc/04/45/60/1104044560.db2.gz PCPZZPINCYUIGX-NDDJGDGRSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001328733382 1104044571 /nfs/dbraw/zinc/04/45/71/1104044571.db2.gz PCPZZPINCYUIGX-NDDJGDGRSA-N 0 1 324.468 3.122 20 30 DGEDMN N#Cc1ccc2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc2n1 ZINC001245429018 1104051326 /nfs/dbraw/zinc/05/13/26/1104051326.db2.gz URXPGHHKLQYZCF-LJQANCHMSA-N 0 1 319.408 3.375 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001328751724 1104056058 /nfs/dbraw/zinc/05/60/58/1104056058.db2.gz AIEJPBQTFRJOIM-KRWDZBQOSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001328778601 1104067197 /nfs/dbraw/zinc/06/71/97/1104067197.db2.gz AZDAGRFUYCBQMJ-GUDVDZBRSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CN[C@@H](C)c1ccccc1Cl ZINC001273981351 1104082044 /nfs/dbraw/zinc/08/20/44/1104082044.db2.gz CZBVHMUYBOOKTG-HVHJFMEUSA-N 0 1 318.848 3.467 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001202694897 1104122497 /nfs/dbraw/zinc/12/24/97/1104122497.db2.gz VHKCVVMQDNYUHS-CQSZACIVSA-N 0 1 319.449 3.227 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(Cl)cc1F ZINC001329077980 1104145533 /nfs/dbraw/zinc/14/55/33/1104145533.db2.gz YHMWPEONWDNWIN-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccccc1CCC ZINC001329083934 1104146407 /nfs/dbraw/zinc/14/64/07/1104146407.db2.gz AYPTYPSLNOTXCE-KGLIPLIRSA-N 0 1 308.853 3.488 20 30 DGEDMN COC(=O)c1ccc(-c2cc(O)c(F)c(F)c2F)cc1CC#N ZINC001245639422 1104171529 /nfs/dbraw/zinc/17/15/29/1104171529.db2.gz QJAHHWGFMRVMNL-UHFFFAOYSA-N 0 1 321.254 3.329 20 30 DGEDMN N#Cc1cccc(-c2noc(-c3cc(-c4ccccn4)n[nH]3)n2)c1 ZINC001212810137 1104184444 /nfs/dbraw/zinc/18/44/44/1104184444.db2.gz ANZQQGLRPCQGHR-UHFFFAOYSA-N 0 1 314.308 3.060 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c[nH]c2ccc(C)cc21 ZINC001329201804 1104186803 /nfs/dbraw/zinc/18/68/03/1104186803.db2.gz FYOQTWMMRGGKHA-OLZOCXBDSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cnc(C(C)C)s1 ZINC001329215159 1104190876 /nfs/dbraw/zinc/19/08/76/1104190876.db2.gz RQHFAYJXIAREGH-WDEREUQCSA-N 0 1 315.870 3.115 20 30 DGEDMN COc1cc(-c2ccc(/C=N/O)c(F)c2)c(Cl)c(OC)n1 ZINC001245754674 1104221235 /nfs/dbraw/zinc/22/12/35/1104221235.db2.gz LWBVHVNDYQAPPC-REZTVBANSA-N 0 1 310.712 3.366 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CCC[C@@H]3C)cc2C1 ZINC001274027312 1104226769 /nfs/dbraw/zinc/22/67/69/1104226769.db2.gz FGVKAZALSIVDFV-WMZOPIPTSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCc1ccnc(-c2ccc3cncn3c2)c1C(OC)OC ZINC001245895441 1104292350 /nfs/dbraw/zinc/29/23/50/1104292350.db2.gz VQEDFBDOBBJTAP-UHFFFAOYSA-N 0 1 309.369 3.416 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccc(C)cc1C)C2 ZINC001110873446 1104329935 /nfs/dbraw/zinc/32/99/35/1104329935.db2.gz ZNYBDMSHRIKGNY-QRVBRYPASA-N 0 1 312.457 3.144 20 30 DGEDMN C#CCCc1cc(=O)n(-c2cc(Br)ccc2F)[nH]1 ZINC001245952293 1104339000 /nfs/dbraw/zinc/33/90/00/1104339000.db2.gz WQCKVYBMJBVRFI-UHFFFAOYSA-N 0 1 309.138 3.045 20 30 DGEDMN C#CCCc1cc(=O)n(-c2ccc(C)cc2Br)[nH]1 ZINC001245951986 1104339151 /nfs/dbraw/zinc/33/91/51/1104339151.db2.gz MOFXGHAQFUYDQX-UHFFFAOYSA-N 0 1 305.175 3.215 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cc(C)cc(Cl)c2)C1=O ZINC001274350310 1104359182 /nfs/dbraw/zinc/35/91/82/1104359182.db2.gz PYRTYFXEEXCQRD-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN CC[C@H](C)CCC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001274457697 1104390627 /nfs/dbraw/zinc/39/06/27/1104390627.db2.gz QFVPBFGGPCIAHE-ZEVQVBBLSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCC[C@@H](CNCc1ccccc1C#N)NC(=O)C1(C)CC1 ZINC001329875078 1104404194 /nfs/dbraw/zinc/40/41/94/1104404194.db2.gz DXICWZZWVNWUEA-KRWDZBQOSA-N 0 1 313.445 3.123 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(c2ccccc2)CCC1 ZINC001329922523 1104425574 /nfs/dbraw/zinc/42/55/74/1104425574.db2.gz CSPMMWPKQGEURW-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CC(C)(C)C ZINC001274848535 1104518228 /nfs/dbraw/zinc/51/82/28/1104518228.db2.gz WECHORQWFUIEHL-SFHVURJKSA-N 0 1 312.457 3.162 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@](C)(C=C)CC ZINC001274855457 1104520538 /nfs/dbraw/zinc/52/05/38/1104520538.db2.gz DZTMEMLEOPDNNK-CTNGQTDRSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C[C@@H](COC)NCc1cc2cc(Br)ccc2o1 ZINC001330298670 1104533770 /nfs/dbraw/zinc/53/37/70/1104533770.db2.gz NGYYVSGMNPWCSF-LBPRGKRZSA-N 0 1 310.191 3.486 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001274938229 1104547470 /nfs/dbraw/zinc/54/74/70/1104547470.db2.gz JOAOLFFAEHYYHS-CYBMUJFWSA-N 0 1 321.465 3.303 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@](C)(CC)CCC)C2)C1 ZINC001330511648 1104563597 /nfs/dbraw/zinc/56/35/97/1104563597.db2.gz CSQGNPXVYBOAHM-SFHVURJKSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@]3(C)CN(CCF)C[C@@]3(C)C2)CCCC1 ZINC001330539736 1104571295 /nfs/dbraw/zinc/57/12/95/1104571295.db2.gz XKGWBCUMHLXJIQ-HDICACEKSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C/C=C/c1ccc(C)cc1 ZINC001275051702 1104595979 /nfs/dbraw/zinc/59/59/79/1104595979.db2.gz DFEBCBCKZDADFF-MBVDDHJVSA-N 0 1 306.837 3.245 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C/C=C\c1ccc(C)cc1 ZINC001275051701 1104596365 /nfs/dbraw/zinc/59/63/65/1104596365.db2.gz DFEBCBCKZDADFF-FOSCPCJNSA-N 0 1 306.837 3.245 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(CF)cc1 ZINC001275054494 1104598289 /nfs/dbraw/zinc/59/82/89/1104598289.db2.gz WKEIFCMCPBEQFM-INIZCTEOSA-N 0 1 324.827 3.493 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001275072242 1104606870 /nfs/dbraw/zinc/60/68/70/1104606870.db2.gz MVVGQNGCDQVWAW-NCXUSEDFSA-N 0 1 324.468 3.064 20 30 DGEDMN C=CCCCC(=O)N[C@]12CCC[C@H]1N(Cc1ccns1)CC2 ZINC001278460316 1104649393 /nfs/dbraw/zinc/64/93/93/1104649393.db2.gz QOVBVVAFMDINDV-WBVHZDCISA-N 0 1 319.474 3.113 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(C(F)F)cc1 ZINC001275151278 1104653568 /nfs/dbraw/zinc/65/35/68/1104653568.db2.gz YWQUYMGWMJQWDN-HNNXBMFYSA-N 0 1 308.372 3.347 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001275168676 1104663684 /nfs/dbraw/zinc/66/36/84/1104663684.db2.gz RLPZSOQWVPFORR-ZDUSSCGKSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2ccncc2c1 ZINC001275530025 1104795242 /nfs/dbraw/zinc/79/52/42/1104795242.db2.gz SPXKSHCSTSAUJE-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2ccccc2n1 ZINC001275552159 1104804247 /nfs/dbraw/zinc/80/42/47/1104804247.db2.gz JLRPRKYZTZPTEC-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001275565904 1104812741 /nfs/dbraw/zinc/81/27/41/1104812741.db2.gz KHIQKSWYZSSALV-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CCC)CC1CCCC1 ZINC001275666054 1104855804 /nfs/dbraw/zinc/85/58/04/1104855804.db2.gz RHQFMPIMJXCPDO-FUHWJXTLSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001275920807 1104957169 /nfs/dbraw/zinc/95/71/69/1104957169.db2.gz NVSRWKREHYGTOJ-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001275970968 1104973107 /nfs/dbraw/zinc/97/31/07/1104973107.db2.gz UYFNLHQGMOYPLR-HKUYNNGSSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCCCNC(=S)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001247768634 1104978227 /nfs/dbraw/zinc/97/82/27/1104978227.db2.gz RIQIXPGPLBTOIJ-HNNXBMFYSA-N 0 1 319.474 3.324 20 30 DGEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)c1cc2cc(F)ccc2s1 ZINC001275994476 1104981552 /nfs/dbraw/zinc/98/15/52/1104981552.db2.gz URZLXCSDFGGJAW-NSHDSACASA-N 0 1 306.406 3.277 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(C)=C(C)C1)C2 ZINC001095456281 1105014606 /nfs/dbraw/zinc/01/46/06/1105014606.db2.gz PBHNBNGUWKNRPN-MHORFTMASA-N 0 1 302.462 3.421 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3ccccc31)C2 ZINC001095621075 1105091486 /nfs/dbraw/zinc/09/14/86/1105091486.db2.gz NRTMQKXMONHTOQ-YSHGAJCASA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)ccc1F)C2 ZINC001095716768 1105100193 /nfs/dbraw/zinc/10/01/93/1105100193.db2.gz KGUDPRIKPAEKBW-UGFHNGPFSA-N 0 1 308.784 3.000 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001110986462 1105134568 /nfs/dbraw/zinc/13/45/68/1105134568.db2.gz UYISBPBWDGAJJQ-VXNCWWDNSA-N 0 1 322.493 3.127 20 30 DGEDMN N#CCCN(CCC#N)Cc1n[nH]c2cc(C(F)(F)F)ccc21 ZINC001248847483 1105178818 /nfs/dbraw/zinc/17/88/18/1105178818.db2.gz QGIQZDZOSLYWLN-UHFFFAOYSA-N 0 1 321.306 3.211 20 30 DGEDMN C[C@H]1c2ccccc2CCN1Cc1cnn2ccc(C#N)cc12 ZINC001249082132 1105222797 /nfs/dbraw/zinc/22/27/97/1105222797.db2.gz IMNVQEORMDDOGN-AWEZNQCLSA-N 0 1 302.381 3.325 20 30 DGEDMN CN(Cc1cnn2ccc(C#N)cc12)Cc1ccc(Cl)cc1 ZINC001249107318 1105226575 /nfs/dbraw/zinc/22/65/75/1105226575.db2.gz VAMCTFHXDZTPKN-UHFFFAOYSA-N 0 1 310.788 3.491 20 30 DGEDMN N#Cc1ccc2cc(CN(CCO)Cc3ccccc3)[nH]c2c1 ZINC001249426009 1105285201 /nfs/dbraw/zinc/28/52/01/1105285201.db2.gz AKCZRCQUXPRLJD-UHFFFAOYSA-N 0 1 305.381 3.034 20 30 DGEDMN N#Cc1ccc2cc(CN(CCO)Cc3cccs3)[nH]c2c1 ZINC001249429234 1105285486 /nfs/dbraw/zinc/28/54/86/1105285486.db2.gz SRNBCZUKTDAPNA-UHFFFAOYSA-N 0 1 311.410 3.096 20 30 DGEDMN Cc1nc([C@@H]2CCCN(Cc3cc4ccc(C#N)cc4[nH]3)C2)no1 ZINC001249427290 1105285639 /nfs/dbraw/zinc/28/56/39/1105285639.db2.gz XXQZZJJCDDVSEN-OAHLLOKOSA-N 0 1 321.384 3.111 20 30 DGEDMN CSc1ccccc1NC(=S)NCc1ccc(C#N)nc1 ZINC001249715121 1105363337 /nfs/dbraw/zinc/36/33/37/1105363337.db2.gz PDPZJHPXFKPPSD-UHFFFAOYSA-N 0 1 314.439 3.162 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc3cc(F)ccc13)C2 ZINC001097392666 1105389282 /nfs/dbraw/zinc/38/92/82/1105389282.db2.gz XDLBRPLMGVNXSY-WQVCFCJDSA-N 0 1 314.360 3.093 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](CNCc2ncoc2C(C)C)C1 ZINC001278550995 1105437197 /nfs/dbraw/zinc/43/71/97/1105437197.db2.gz BRDSAYZHOOLJQB-CABCVRRESA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccc(Cl)cc1)C2 ZINC001097773130 1105440991 /nfs/dbraw/zinc/44/09/91/1105440991.db2.gz SCZZOTVMWDEXLE-YYQUZTFQSA-N 0 1 318.848 3.351 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1cccc(C)c1)C2 ZINC001098015265 1105502108 /nfs/dbraw/zinc/50/21/08/1105502108.db2.gz LDDCYUQORJQOOF-ZRSRNVLSSA-N 0 1 310.441 3.006 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](CNCc2nc(C)c(C)o2)C1 ZINC001278565897 1105508339 /nfs/dbraw/zinc/50/83/39/1105508339.db2.gz RIHMDJCCXNANQL-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN N#CCCN(C[C@@H](O)COc1ccc(Cl)cc1)C1CCCC1 ZINC001250670005 1105558016 /nfs/dbraw/zinc/55/80/16/1105558016.db2.gz UMJXGAINHMOFPR-MRXNPFEDSA-N 0 1 322.836 3.238 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccc3ccccc31)C2 ZINC001098264165 1105565731 /nfs/dbraw/zinc/56/57/31/1105565731.db2.gz JYYORDDWTDKUOD-RLLQIKCJSA-N 0 1 320.436 3.290 20 30 DGEDMN COc1cc(C#N)ccc1-c1nc2ccc(NC(C)=O)cc2[nH]1 ZINC001251030064 1105622217 /nfs/dbraw/zinc/62/22/17/1105622217.db2.gz WIYMIZFFHPITSW-UHFFFAOYSA-N 0 1 306.325 3.069 20 30 DGEDMN CC[C@H](NC(=O)NCC#CCN(C)C)c1cccc2ccccc21 ZINC001251113809 1105629673 /nfs/dbraw/zinc/62/96/73/1105629673.db2.gz RANDLVMEWIXUDE-IBGZPJMESA-N 0 1 323.440 3.155 20 30 DGEDMN CC[C@H](F)CN1CC(C)(C)CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001099070273 1105638911 /nfs/dbraw/zinc/63/89/11/1105638911.db2.gz VBBTWOLTXWXWKS-JKSUJKDBSA-N 0 1 324.484 3.391 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCC(C)(F)F ZINC001099126626 1105645822 /nfs/dbraw/zinc/64/58/22/1105645822.db2.gz XEGIOGVCJXQDJN-CQSZACIVSA-N 0 1 314.420 3.052 20 30 DGEDMN CCOc1ccc2nc(-c3cnn4ccc(C#N)cc34)[nH]c2c1 ZINC001251809821 1105698969 /nfs/dbraw/zinc/69/89/69/1105698969.db2.gz PFJMWUKQYQIEOE-UHFFFAOYSA-N 0 1 303.325 3.148 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)Cc1ccc(C)cc1)C2 ZINC001111475988 1105705260 /nfs/dbraw/zinc/70/52/60/1105705260.db2.gz MZKCEZIJHFEVJC-AITUJVMLSA-N 0 1 312.457 3.081 20 30 DGEDMN CC(C)(C)c1ccc(S(=O)(=O)Nc2cncc(C#N)c2)cc1 ZINC001251986163 1105719896 /nfs/dbraw/zinc/71/98/96/1105719896.db2.gz GFGSYSLXWVZPQL-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN C#CCCCCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001490975277 1105729654 /nfs/dbraw/zinc/72/96/54/1105729654.db2.gz TZGKANNPVFHKQB-ALOPSCKCSA-N 0 1 322.880 3.434 20 30 DGEDMN CCCC[C@@H](O)CN1CCC(C#N)(c2ccccc2F)CC1 ZINC001252099112 1105739165 /nfs/dbraw/zinc/73/91/65/1105739165.db2.gz JMWAQSYMFZECQS-OAHLLOKOSA-N 0 1 304.409 3.234 20 30 DGEDMN CCCCCCCC[C@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001252119826 1105743476 /nfs/dbraw/zinc/74/34/76/1105743476.db2.gz GZVJCMJHMQMLRA-CVEARBPZSA-N 0 1 318.465 3.491 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN(Cc2cnc(C)s2)C1 ZINC001491121346 1105756741 /nfs/dbraw/zinc/75/67/41/1105756741.db2.gz XEVSYLVPSZERRD-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC001491207750 1105773001 /nfs/dbraw/zinc/77/30/01/1105773001.db2.gz MTOFVTNIKPUKMV-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001491229696 1105778386 /nfs/dbraw/zinc/77/83/86/1105778386.db2.gz KAONBXOJXGPXAG-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1cnccc1C ZINC001491219951 1105778546 /nfs/dbraw/zinc/77/85/46/1105778546.db2.gz ZPBVWAJIINQPPJ-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001491229694 1105779174 /nfs/dbraw/zinc/77/91/74/1105779174.db2.gz KAONBXOJXGPXAG-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1CCC(F)(F)F ZINC001491226254 1105780922 /nfs/dbraw/zinc/78/09/22/1105780922.db2.gz ULHOMNAYQMDDAS-ZDUSSCGKSA-N 0 1 306.372 3.266 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001491244208 1105785769 /nfs/dbraw/zinc/78/57/69/1105785769.db2.gz FQGQVRIGATXYDS-WCVJEAGWSA-N 0 1 310.869 3.146 20 30 DGEDMN CCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC001491280674 1105795458 /nfs/dbraw/zinc/79/54/58/1105795458.db2.gz OJOZGJWJZLHAPU-KGLIPLIRSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CCC[C@@H](O)CNCc1cc(F)ccc1Br ZINC001252616908 1105806085 /nfs/dbraw/zinc/80/60/85/1105806085.db2.gz VLQQLFBOMZEKNM-GFCCVEGCSA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCC[C@H](O)CNCc1cn(Cc2ccccc2Cl)cn1 ZINC001252623757 1105807909 /nfs/dbraw/zinc/80/79/09/1105807909.db2.gz QMUMAXBKLHAYKE-INIZCTEOSA-N 0 1 319.836 3.002 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C(F)F)ccc1F ZINC001491456954 1105829251 /nfs/dbraw/zinc/82/92/51/1105829251.db2.gz LQMPXNMPGHWFCB-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001491468446 1105832148 /nfs/dbraw/zinc/83/21/48/1105832148.db2.gz GGHQXZSOCLOITC-OAHLLOKOSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2ccccc2s1 ZINC001491516697 1105837610 /nfs/dbraw/zinc/83/76/10/1105837610.db2.gz MCRZIXAPCASKJE-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CC[C@](F)(CO)C1 ZINC001252979322 1105864659 /nfs/dbraw/zinc/86/46/59/1105864659.db2.gz KCUUGKIOLLSGNN-IAGOWNOFSA-N 0 1 303.462 3.284 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCCN(C)C(=O)C1 ZINC001252984385 1105865224 /nfs/dbraw/zinc/86/52/24/1105865224.db2.gz HNWDBDZHNBIERE-KRWDZBQOSA-N 0 1 312.498 3.042 20 30 DGEDMN CCCC[C@H](CC)COC[C@H](O)CNCc1ccccc1C#N ZINC001253020956 1105878159 /nfs/dbraw/zinc/87/81/59/1105878159.db2.gz YUGQHLGNGKNBTK-QFBILLFUSA-N 0 1 318.461 3.242 20 30 DGEDMN C=CCCCCCC[C@H](O)CNc1nc(Cl)nc2[nH]cnc21 ZINC001253233104 1105906107 /nfs/dbraw/zinc/90/61/07/1105906107.db2.gz ZOBZKNUELPLLMP-NSHDSACASA-N 0 1 323.828 3.257 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101377236 1105915238 /nfs/dbraw/zinc/91/52/38/1105915238.db2.gz VJIIEQRMSOUANU-YUUGALJTSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCC(C)C)C1 ZINC001278733168 1105965880 /nfs/dbraw/zinc/96/58/80/1105965880.db2.gz CEQAUHFQKSJIRA-CQSZACIVSA-N 0 1 302.409 3.072 20 30 DGEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1O)c1ccc(F)cc1F ZINC001253713370 1105972668 /nfs/dbraw/zinc/97/26/68/1105972668.db2.gz WGAAGMGDJDSVPQ-VIFPVBQESA-N 0 1 302.280 3.284 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2cc(F)ccc2F)C[C@H]1C ZINC001101805075 1105979979 /nfs/dbraw/zinc/97/99/79/1105979979.db2.gz GORVATHKAPVODX-UKRRQHHQSA-N 0 1 322.399 3.115 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)=C2CCCC2)[C@H](C)C1 ZINC001101868772 1105988287 /nfs/dbraw/zinc/98/82/87/1105988287.db2.gz MQYFQDFIHNYNTF-MLGOLLRUSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](CCC)C(C)C)[C@H](C)C1 ZINC001102418167 1106057694 /nfs/dbraw/zinc/05/76/94/1106057694.db2.gz QGYCFIPTWFUHNR-BMFZPTHFSA-N 0 1 314.901 3.495 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC(CC)CC)[C@H](C)C1 ZINC001102484093 1106064230 /nfs/dbraw/zinc/06/42/30/1106064230.db2.gz UOWGJHNIVIWIBD-IUODEOHRSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H](CC)C(C)C)[C@H](C)C1 ZINC001102520124 1106068185 /nfs/dbraw/zinc/06/81/85/1106068185.db2.gz XJRBLLBASUABLM-VNQPRFMTSA-N 0 1 314.901 3.495 20 30 DGEDMN CC(C)c1ncc(C(=O)N(Cc2ccc(C#N)cc2)C2CC2)[nH]1 ZINC001254636256 1106117783 /nfs/dbraw/zinc/11/77/83/1106117783.db2.gz HXQBMTMOGPOHMR-UHFFFAOYSA-N 0 1 308.385 3.210 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CCCCN2[C@H]1CC[C@H](C#N)C1 ZINC001254658490 1106125012 /nfs/dbraw/zinc/12/50/12/1106125012.db2.gz IOUWWIYFCFBIHP-GJZGRUSLSA-N 0 1 319.449 3.154 20 30 DGEDMN Cc1cc2ccccc2nc1N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001254670673 1106129265 /nfs/dbraw/zinc/12/92/65/1106129265.db2.gz LAYXIKLGNDIDGN-FUHWJXTLSA-N 0 1 320.440 3.358 20 30 DGEDMN CC[C@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@H](C#N)C1 ZINC001254674324 1106131879 /nfs/dbraw/zinc/13/18/79/1106131879.db2.gz XKRPFAKDQWTDDK-KKUMJFAQSA-N 0 1 307.438 3.010 20 30 DGEDMN CCN(CCC#N)[C@@H]1CCC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001254782008 1106141047 /nfs/dbraw/zinc/14/10/47/1106141047.db2.gz QPPWJLCPJXUVNQ-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN CCN(CCC#N)[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)OC(C)(C)C)C2 ZINC001254983660 1106162786 /nfs/dbraw/zinc/16/27/86/1106162786.db2.gz UOLABZAKWAXXAZ-ZNMIVQPWSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@H](CC#N)NCCc1cc(Br)ccc1OC ZINC001255151988 1106191868 /nfs/dbraw/zinc/19/18/68/1106191868.db2.gz KHOHEUSBNROXQS-CYBMUJFWSA-N 0 1 311.223 3.282 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H]1CC12CCN(C(=O)OC(C)(C)C)CC2 ZINC001255151972 1106192136 /nfs/dbraw/zinc/19/21/36/1106192136.db2.gz JWSXBLZYIMXKTF-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H]1CN(C(=O)OC(C)(C)C)CCC1(C)C ZINC001255158569 1106193867 /nfs/dbraw/zinc/19/38/67/1106193867.db2.gz KZGXDUDTDNCSOR-UONOGXRCSA-N 0 1 309.454 3.304 20 30 DGEDMN C=CCOC(=O)N1CCC2(CC1)CCN([C@@H](CC)CC#N)CC2 ZINC001255164622 1106196612 /nfs/dbraw/zinc/19/66/12/1106196612.db2.gz MDVHBGASFUFDQX-INIZCTEOSA-N 0 1 319.449 3.179 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(C1)CCN(C(=O)OC(C)(C)C)CC2 ZINC001255166346 1106197695 /nfs/dbraw/zinc/19/76/95/1106197695.db2.gz JKDOYMBVGLZHNI-HNNXBMFYSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)N1C[C@@H](CNC(=O)OC(C)(C)C)CC[C@@H]1C ZINC001255165782 1106197701 /nfs/dbraw/zinc/19/77/01/1106197701.db2.gz DBWNSADRPUYDNC-RRFJBIMHSA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(CN(C)C(=O)OC(C)(C)C)CC1 ZINC001255171897 1106198607 /nfs/dbraw/zinc/19/86/07/1106198607.db2.gz DJBQUMAETKIQDV-HNNXBMFYSA-N 0 1 309.454 3.258 20 30 DGEDMN CC[C@H](CC#N)N1CCC(COc2ccccc2OC)CC1 ZINC001255173510 1106199522 /nfs/dbraw/zinc/19/95/22/1106199522.db2.gz BJYKDPXATGYGLP-MRXNPFEDSA-N 0 1 302.418 3.478 20 30 DGEDMN CC[C@H](CC#N)N1CCC(COc2cccc(OC)c2)CC1 ZINC001255174700 1106199531 /nfs/dbraw/zinc/19/95/31/1106199531.db2.gz IIUCITRWTSCPPH-MRXNPFEDSA-N 0 1 302.418 3.478 20 30 DGEDMN CC[C@@H](CC#N)N(C(C)C)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255177312 1106200330 /nfs/dbraw/zinc/20/03/30/1106200330.db2.gz PVCIEFXUFNXIID-GJZGRUSLSA-N 0 1 309.454 3.398 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN(C1CCC(C)(C)CC1)CC2 ZINC001255305075 1106219151 /nfs/dbraw/zinc/21/91/51/1106219151.db2.gz XJRKLXWGENIRBD-UHFFFAOYSA-N 0 1 317.477 3.450 20 30 DGEDMN C=C[C@@H](COC)N[C@H](C)c1ccc(Br)cc1F ZINC001255454468 1106252593 /nfs/dbraw/zinc/25/25/93/1106252593.db2.gz PWCULPKPDMIWHX-KOLCDFICSA-N 0 1 302.187 3.440 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H](C)CC(F)(F)F)CCC2 ZINC001255653775 1106286342 /nfs/dbraw/zinc/28/63/42/1106286342.db2.gz VUPPMQHPLDJNOP-LBPRGKRZSA-N 0 1 317.355 3.132 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(-c2ccoc2)c1)C(C)C ZINC001278926666 1106400903 /nfs/dbraw/zinc/40/09/03/1106400903.db2.gz UPNUZLBMZYKWNA-UHFFFAOYSA-N 0 1 324.424 3.362 20 30 DGEDMN COc1ccc(NS(=O)(=O)c2ccccc2Cl)cc1C#N ZINC001256306889 1106402762 /nfs/dbraw/zinc/40/27/62/1106402762.db2.gz OZRVYSONSMVPBQ-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN FC(F)(F)c1cc(C=NNCc2ccccc2Cl)[nH]n1 ZINC001256574805 1106448322 /nfs/dbraw/zinc/44/83/22/1106448322.db2.gz DOWAIBQVMJDUJT-UHFFFAOYSA-N 0 1 302.687 3.206 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001278968608 1106496674 /nfs/dbraw/zinc/49/66/74/1106496674.db2.gz XQWYEFAFRRHJCD-CQSZACIVSA-N 0 1 323.506 3.428 20 30 DGEDMN N#CC1CCC(N2CCCn3cnc(Cn4cccc4)c3C2)CC1 ZINC001256968093 1106517695 /nfs/dbraw/zinc/51/76/95/1106517695.db2.gz PYMJFIFDPLWNDQ-UHFFFAOYSA-N 0 1 323.444 3.021 20 30 DGEDMN CC(=O)c1ccc(N2CCN(C3CCC(C#N)CC3)CC2)cc1 ZINC001256969327 1106517844 /nfs/dbraw/zinc/51/78/44/1106517844.db2.gz DXLKYVLHDHEWDQ-UHFFFAOYSA-N 0 1 311.429 3.094 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2CC[C@H]1C[N@H+]2C1CCC(C#N)CC1 ZINC001256971111 1106518064 /nfs/dbraw/zinc/51/80/64/1106518064.db2.gz CFPNJKOPICEYCQ-CKUJCDMFSA-N 0 1 319.449 3.152 20 30 DGEDMN N#Cc1ccc(C2CCC([N@H+]3CCc4ncncc4C3)CC2)cc1 ZINC001257021356 1106525587 /nfs/dbraw/zinc/52/55/87/1106525587.db2.gz UNCRNFZZNHLECD-UHFFFAOYSA-N 0 1 318.424 3.433 20 30 DGEDMN N#Cc1ccc(C2CCC(N3CCc4ncncc4C3)CC2)cc1 ZINC001257021356 1106525590 /nfs/dbraw/zinc/52/55/90/1106525590.db2.gz UNCRNFZZNHLECD-UHFFFAOYSA-N 0 1 318.424 3.433 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)C1CC1)C(C)(C)C ZINC001278996637 1106547221 /nfs/dbraw/zinc/54/72/21/1106547221.db2.gz ZZOOVAZMWKAZHM-CYBMUJFWSA-N 0 1 300.874 3.296 20 30 DGEDMN N#CC1(N[C@@H]2CCCSC2)CCN(Cc2ccccc2)CC1 ZINC001257664927 1106617193 /nfs/dbraw/zinc/61/71/93/1106617193.db2.gz SANBQRBYYXKQMG-QGZVFWFLSA-N 0 1 315.486 3.030 20 30 DGEDMN C=C1C[C@@H]2CC(NCc3ncc(Br)cn3)C[C@H](C1)C2 ZINC001257888097 1106641007 /nfs/dbraw/zinc/64/10/07/1106641007.db2.gz XAMYVDADDYZPMY-ONXXMXGDSA-N 0 1 322.250 3.464 20 30 DGEDMN C=C1C[C@@H]2CC(N[C@@H](C(=O)OCC)C3CCOCC3)C[C@H](C1)C2 ZINC001257887517 1106641083 /nfs/dbraw/zinc/64/10/83/1106641083.db2.gz BXFZMRAXIKUJPY-HLVPYZJISA-N 0 1 321.461 3.069 20 30 DGEDMN C[C@@H](Cc1ccco1)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001258179940 1106689262 /nfs/dbraw/zinc/68/92/62/1106689262.db2.gz WIIZLDXAHFUXTK-KRWDZBQOSA-N 0 1 323.440 3.359 20 30 DGEDMN Cc1ccc2cc(NS(=O)(=O)c3ccccc3C#N)ccc2n1 ZINC001258266705 1106706179 /nfs/dbraw/zinc/70/61/79/1106706179.db2.gz UXMLDDLTMQXDIH-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2cnoc2C)CC1 ZINC001279097166 1106734690 /nfs/dbraw/zinc/73/46/90/1106734690.db2.gz MTCBVIJJWNPQQR-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001319991718 1106769518 /nfs/dbraw/zinc/76/95/18/1106769518.db2.gz SDRDALBRLSGWNL-AWEZNQCLSA-N 0 1 322.399 3.220 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2scnc2C)CC1 ZINC001316932392 1106778454 /nfs/dbraw/zinc/77/84/54/1106778454.db2.gz BPEAHZCAVMAKPI-UHFFFAOYSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC001316946027 1106801779 /nfs/dbraw/zinc/80/17/79/1106801779.db2.gz WFAWJNCOWPUYRV-GOSISDBHSA-N 0 1 315.461 3.135 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC[C@@H](C#N)C2)CC1(C)C ZINC001258562380 1106822917 /nfs/dbraw/zinc/82/29/17/1106822917.db2.gz HUEVMVSACRKNSD-KBPBESRZSA-N 0 1 307.438 3.010 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CCC[C@H](C#N)C2)CC1(C)C ZINC001258561705 1106823792 /nfs/dbraw/zinc/82/37/92/1106823792.db2.gz BXZVETCTKMJMDO-CABCVRRESA-N 0 1 321.465 3.400 20 30 DGEDMN CN(CCN(C)C(=O)CCC1(C)CC1)Cc1cccc(C#N)c1 ZINC001320066524 1106835428 /nfs/dbraw/zinc/83/54/28/1106835428.db2.gz GVNUUYUPUQUSOI-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCc1cc(CNCc2ncccc2C)cc(OC)c1OC ZINC001320103726 1106876853 /nfs/dbraw/zinc/87/68/53/1106876853.db2.gz MVDUOZAOUBVHNR-UHFFFAOYSA-N 0 1 312.413 3.426 20 30 DGEDMN C[C@H](NCc1cc(OCC(F)F)ccn1)c1ccc(C#N)cc1 ZINC001320160727 1106907308 /nfs/dbraw/zinc/90/73/08/1106907308.db2.gz YGYNOALKBKGDPC-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)Cc1cc(C)ccc1C)C1CC1 ZINC001317022606 1106910459 /nfs/dbraw/zinc/91/04/59/1106910459.db2.gz IIQMJAACSRRVFB-QGZVFWFLSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccccc2F)C1 ZINC001317031910 1106923522 /nfs/dbraw/zinc/92/35/22/1106923522.db2.gz NNDVAYKNNVUUDQ-CQSZACIVSA-N 0 1 324.827 3.362 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CSC(C)C)C1 ZINC001317035088 1106928738 /nfs/dbraw/zinc/92/87/38/1106928738.db2.gz HNXQYTINFZGIBK-CQSZACIVSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H]2[C@@H](Oc3cccnc3)CC[C@@H]21 ZINC001258728266 1106934165 /nfs/dbraw/zinc/93/41/65/1106934165.db2.gz NRKJBMXZYHDUKL-LAVFITLUSA-N 0 1 302.418 3.047 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC)c1ccccc1 ZINC001317052062 1106953668 /nfs/dbraw/zinc/95/36/68/1106953668.db2.gz SMFXLUVYRQCOST-HSALFYBXSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1CCC[C@H](C)C1)CC2 ZINC001258820740 1107000376 /nfs/dbraw/zinc/00/03/76/1107000376.db2.gz OBWXEJXPXPJZPN-GJZGRUSLSA-N 0 1 303.450 3.060 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CCC[C@H](C)C1)CC2 ZINC001258820742 1107002915 /nfs/dbraw/zinc/00/29/15/1107002915.db2.gz OBWXEJXPXPJZPN-LSDHHAIUSA-N 0 1 303.450 3.060 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2ccc(F)cc2Cl)c1 ZINC001258917439 1107056674 /nfs/dbraw/zinc/05/66/74/1107056674.db2.gz HCTUDQSMDJYGBC-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(C(C)(C)C)CC1)c1ccccc1 ZINC001320596915 1107066006 /nfs/dbraw/zinc/06/60/06/1107066006.db2.gz HEYDIMYMRGCLEG-KRWDZBQOSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCCN1Cc1cccnc1 ZINC001317174103 1107086978 /nfs/dbraw/zinc/08/69/78/1107086978.db2.gz PGBULZJMXRIFCS-FUHWJXTLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cncs2)C1 ZINC001317211231 1107132404 /nfs/dbraw/zinc/13/24/04/1107132404.db2.gz XLUVBRDWITUMKN-UONOGXRCSA-N 0 1 321.490 3.072 20 30 DGEDMN Cc1cccc(CS(=O)(=O)Nc2c(Cl)cccc2C#N)c1 ZINC001259747938 1107188621 /nfs/dbraw/zinc/18/86/21/1107188621.db2.gz AQBVXAAIYUOSGD-UHFFFAOYSA-N 0 1 320.801 3.462 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cscc1C(F)F ZINC001321062758 1107236740 /nfs/dbraw/zinc/23/67/40/1107236740.db2.gz CNQOADWAVQTSAB-IHWYPQMZSA-N 0 1 320.792 3.314 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C#N)c(F)c2F)s1 ZINC001260684795 1107294265 /nfs/dbraw/zinc/29/42/65/1107294265.db2.gz VUEIQKBZJJWMKW-UHFFFAOYSA-N 0 1 314.338 3.007 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](N(C)C[C@H](F)CC)C2)CCC1 ZINC001317383626 1107294248 /nfs/dbraw/zinc/29/42/48/1107294248.db2.gz NOLKFDFCMYMQFA-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](N(C)CCF)C2)CCCCC1 ZINC001317386035 1107298919 /nfs/dbraw/zinc/29/89/19/1107298919.db2.gz WGAJIFMGRMAPRM-MRXNPFEDSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H](C)c1ccccc1F ZINC001321294133 1107315297 /nfs/dbraw/zinc/31/52/97/1107315297.db2.gz LWXLFPWQAMOKCG-GFUIURDCSA-N 0 1 324.827 3.334 20 30 DGEDMN Nc1ccc(CN=Nc2cccc(F)c2[N+](=O)[O-])cc1Cl ZINC001321429421 1107346136 /nfs/dbraw/zinc/34/61/36/1107346136.db2.gz IJXATWTVDYAZNX-UHFFFAOYSA-N 0 1 308.700 3.416 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001321608552 1107391205 /nfs/dbraw/zinc/39/12/05/1107391205.db2.gz CINNBFLLXKENOQ-CYBMUJFWSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001321702986 1107414339 /nfs/dbraw/zinc/41/43/39/1107414339.db2.gz KCWDOMUUIYASFV-KGLIPLIRSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2sc(C)nc2C)C1 ZINC001317486482 1107444865 /nfs/dbraw/zinc/44/48/65/1107444865.db2.gz XKCZJFPXYJBTGO-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001321950487 1107470773 /nfs/dbraw/zinc/47/07/73/1107470773.db2.gz YLOMVCXDBAWRJA-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN C[C@@H](NC[C@H](O)CC(C)(C)C#N)c1nc(C(C)(C)C)cs1 ZINC001261762232 1107520459 /nfs/dbraw/zinc/52/04/59/1107520459.db2.gz LBFVDMWLZWCPJN-VXGBXAGGSA-N 0 1 309.479 3.392 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001322189310 1107560788 /nfs/dbraw/zinc/56/07/88/1107560788.db2.gz MIESPLUOCHFHMX-LJQANCHMSA-N 0 1 324.424 3.438 20 30 DGEDMN CCC[C@](C)(CC)C(=O)N(C)CCNCc1ccccc1C#N ZINC001317645508 1107578139 /nfs/dbraw/zinc/57/81/39/1107578139.db2.gz MBXJLKGATGAAFZ-IBGZPJMESA-N 0 1 315.461 3.323 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1coc2ccc(Cl)cc21 ZINC001322285660 1107612775 /nfs/dbraw/zinc/61/27/75/1107612775.db2.gz NWFVDBZDGAEELM-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccoc1C)c1ccc(C(C)C)cc1 ZINC001317711374 1107614973 /nfs/dbraw/zinc/61/49/73/1107614973.db2.gz NKONTKJCWVKHBI-LJQANCHMSA-N 0 1 324.424 3.405 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](CC)CCC)c1ccccc1 ZINC001317726786 1107624564 /nfs/dbraw/zinc/62/45/64/1107624564.db2.gz CETTXKCJLDVWGK-WMZOPIPTSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](CC)CCC)c1ccccc1 ZINC001317726783 1107624776 /nfs/dbraw/zinc/62/47/76/1107624776.db2.gz CETTXKCJLDVWGK-AEFFLSMTSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc3c(s2)CCC3)C1 ZINC001317769588 1107653666 /nfs/dbraw/zinc/65/36/66/1107653666.db2.gz GMBOYDHZMJMTCO-OAHLLOKOSA-N 0 1 318.486 3.349 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccccc2Cl)C1 ZINC001317769605 1107654210 /nfs/dbraw/zinc/65/42/10/1107654210.db2.gz GOIQMYGYVQUUAC-HNNXBMFYSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cnc(CC)s2)C1 ZINC001317773133 1107658087 /nfs/dbraw/zinc/65/80/87/1107658087.db2.gz OQFMZTUREZQGJX-AWEZNQCLSA-N 0 1 321.490 3.208 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC[C@H](C)CC)C1 ZINC001317804915 1107681330 /nfs/dbraw/zinc/68/13/30/1107681330.db2.gz FZJNKVUYNSQDMD-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN COC(=O)c1cccc(CN=Nc2cccc(C(F)(F)F)n2)c1 ZINC001322546639 1107701341 /nfs/dbraw/zinc/70/13/41/1107701341.db2.gz NLIFWAGRLAAQFX-UHFFFAOYSA-N 0 1 323.274 3.333 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001317879482 1107731102 /nfs/dbraw/zinc/73/11/02/1107731102.db2.gz FHUVBTPLJKCQRD-AWEZNQCLSA-N 0 1 324.852 3.306 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCNC/C(Cl)=C/Cl ZINC001322677416 1107733362 /nfs/dbraw/zinc/73/33/62/1107733362.db2.gz BCUPGDXEVRHRSR-HCYCVZQXSA-N 0 1 307.265 3.346 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3ccc(Cl)cc3)o2)CC1 ZINC001322677110 1107733445 /nfs/dbraw/zinc/73/34/45/1107733445.db2.gz ORYNCJDSCZCSMN-UHFFFAOYSA-N 0 1 301.777 3.203 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001317879679 1107736057 /nfs/dbraw/zinc/73/60/57/1107736057.db2.gz JKAVFNKEGGTAEZ-HZPDHXFCSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001318031411 1107802497 /nfs/dbraw/zinc/80/24/97/1107802497.db2.gz KGVZKOKATKQKDM-HNNXBMFYSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001318041093 1107805058 /nfs/dbraw/zinc/80/50/58/1107805058.db2.gz HDBWLHCDOXAKIF-PBHICJAKSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)c(C)s1)C1CC1 ZINC001323108034 1107837863 /nfs/dbraw/zinc/83/78/63/1107837863.db2.gz HVGNIWBFPPKKIR-CYBMUJFWSA-N 0 1 312.866 3.215 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ncc(C)o2)[C@@H](CC)C1 ZINC001323147067 1107851758 /nfs/dbraw/zinc/85/17/58/1107851758.db2.gz FCRIBABMOYHUQT-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCN1[C@@H](C)c1ccc2c(c1)CCC2 ZINC001323232426 1107896757 /nfs/dbraw/zinc/89/67/57/1107896757.db2.gz QFOQOOSUWVTMPI-JXFKEZNVSA-N 0 1 324.468 3.086 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC[C@H]1CC ZINC001262989096 1107897913 /nfs/dbraw/zinc/89/79/13/1107897913.db2.gz KJQZXXBGUYNOGF-CQSZACIVSA-N 0 1 322.416 3.386 20 30 DGEDMN C=CCCC[N@H+]1CC[C@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001323245514 1107902959 /nfs/dbraw/zinc/90/29/59/1107902959.db2.gz GHNYUEZTNSMLGO-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1ccc(Cl)cc1 ZINC001323275927 1107913795 /nfs/dbraw/zinc/91/37/95/1107913795.db2.gz AZJMVEZSKXAMFC-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001323287363 1107917837 /nfs/dbraw/zinc/91/78/37/1107917837.db2.gz OZIHGZDQPNNQOU-FUHWJXTLSA-N 0 1 300.446 3.288 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001318400533 1107982962 /nfs/dbraw/zinc/98/29/62/1107982962.db2.gz QZCORUYTZBFMJJ-QAPCUYQASA-N 0 1 313.445 3.027 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001318425090 1107994892 /nfs/dbraw/zinc/99/48/92/1107994892.db2.gz NLJZADPSRJCCPZ-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001318425091 1107995560 /nfs/dbraw/zinc/99/55/60/1107995560.db2.gz NLJZADPSRJCCPZ-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001318485939 1108046850 /nfs/dbraw/zinc/04/68/50/1108046850.db2.gz VCVHSONIGMXINA-OLZOCXBDSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN1CCC(NC(=O)C2CCC(CCCC)CC2)CC1 ZINC000586047364 1108066910 /nfs/dbraw/zinc/06/69/10/1108066910.db2.gz BBJXFCZCQAOVAS-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1c(F)cccc1Cl ZINC001318509174 1108069610 /nfs/dbraw/zinc/06/96/10/1108069610.db2.gz YPTLDIKLVIKRLS-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2oc(C)nc2C)CCC1 ZINC001318570582 1108102671 /nfs/dbraw/zinc/10/26/71/1108102671.db2.gz PMFKGSHMVPCGKN-HNNXBMFYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1ccccc1F ZINC001318582598 1108108817 /nfs/dbraw/zinc/10/88/17/1108108817.db2.gz KSOQKOMSYYAOSG-CHWSQXEVSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1ccccc1C ZINC001318607072 1108121937 /nfs/dbraw/zinc/12/19/37/1108121937.db2.gz NHPDGSYIKSTVCJ-HUUCEWRRSA-N 0 1 308.853 3.335 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001323929889 1108122338 /nfs/dbraw/zinc/12/23/38/1108122338.db2.gz BIQZFYJSUUXUAF-WBVHZDCISA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1ccccc1C ZINC001318607069 1108122585 /nfs/dbraw/zinc/12/25/85/1108122585.db2.gz NHPDGSYIKSTVCJ-CABCVRRESA-N 0 1 308.853 3.335 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001324003626 1108143672 /nfs/dbraw/zinc/14/36/72/1108143672.db2.gz HSXVSADDZXJRIU-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCCCC(=O)N1CC2(C[C@@H]1C)CCN(CC(=C)Cl)CC2 ZINC001263937019 1108303511 /nfs/dbraw/zinc/30/35/11/1108303511.db2.gz RGNPAOIAWJYNTF-INIZCTEOSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1cccc(F)c1F ZINC001319074514 1108304488 /nfs/dbraw/zinc/30/44/88/1108304488.db2.gz CFRNTACQIYDCAY-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001324656161 1108406045 /nfs/dbraw/zinc/40/60/45/1108406045.db2.gz KXCPUWWMGZHPQT-VQIMIIECSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc2c(c1)CCCC2 ZINC001264187323 1108424354 /nfs/dbraw/zinc/42/43/54/1108424354.db2.gz OHPYWYNEWBSGTD-FQEVSTJZSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001319372431 1108433830 /nfs/dbraw/zinc/43/38/30/1108433830.db2.gz KYPOAQKRPKGUDG-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001264296966 1108457108 /nfs/dbraw/zinc/45/71/08/1108457108.db2.gz LVHNPPOQJXCMRK-MRXNPFEDSA-N 0 1 315.461 3.288 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1Cl ZINC001319447633 1108472673 /nfs/dbraw/zinc/47/26/73/1108472673.db2.gz BNBWILHVNBPNMM-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C#CCN(Cc1cc(Br)ccc1OC)CC1CC1 ZINC001319459127 1108476761 /nfs/dbraw/zinc/47/67/61/1108476761.db2.gz XXNXESLUDCFAKK-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN C[S@](=O)c1ccc(CN=Nc2cc(F)c(F)cc2F)cc1 ZINC001319488875 1108490119 /nfs/dbraw/zinc/49/01/19/1108490119.db2.gz HPGSEIMNICGAON-NRFANRHFSA-N 0 1 312.316 3.287 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl ZINC001319512961 1108501906 /nfs/dbraw/zinc/50/19/06/1108501906.db2.gz XQCKCJKSJQXQDO-ZWIPKHHJSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl ZINC001319516284 1108503228 /nfs/dbraw/zinc/50/32/28/1108503228.db2.gz WJDQXFLZAFHINW-WJOSTPCTSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl)CC1 ZINC001319525345 1108506762 /nfs/dbraw/zinc/50/67/62/1108506762.db2.gz NMSBEFSDLFLMQZ-QENILXLESA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCCCCC(=O)NC[C@@]1(C)CCCN(C[C@@H](F)CC)C1 ZINC001324925818 1108516016 /nfs/dbraw/zinc/51/60/16/1108516016.db2.gz FHNRGCTXECQFNV-FUHWJXTLSA-N 0 1 310.457 3.146 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCCC[C@@H](C)CC)C1 ZINC001324935118 1108520342 /nfs/dbraw/zinc/52/03/42/1108520342.db2.gz BEOYDDIWCODWCR-HKUYNNGSSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1ccc(C)o1 ZINC001319610973 1108554637 /nfs/dbraw/zinc/55/46/37/1108554637.db2.gz ZFSDGLLLMWQXTJ-CQSZACIVSA-N 0 1 312.841 3.004 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC/C=C\c2ccccc2)[C@H]1CC ZINC001264618391 1108594859 /nfs/dbraw/zinc/59/48/59/1108594859.db2.gz CUPKZKMAVQZWGO-WETGWRSWSA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H](C)C2CCCCC2)[C@H]1C ZINC001264722340 1108632033 /nfs/dbraw/zinc/63/20/33/1108632033.db2.gz MTYOMKDUQLKCPW-NUJGCVRESA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@]12C[C@H]1CCC2)c1ccccc1CC ZINC001325364534 1108635076 /nfs/dbraw/zinc/63/50/76/1108635076.db2.gz BVFDLHRHQNOPLQ-SLYNCCJLSA-N 0 1 324.468 3.209 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)Cc1ccc(C)o1 ZINC001319842844 1108660204 /nfs/dbraw/zinc/66/02/04/1108660204.db2.gz DEMLZIGLGPNRFM-LRDDRELGSA-N 0 1 324.852 3.100 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1ncc(C)s1)C(C)C ZINC001319914391 1108693070 /nfs/dbraw/zinc/69/30/70/1108693070.db2.gz CYASVTZAWARFOX-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN CC(C)c1ncc(C(=O)N2CC=C(c3ccc(C#N)cc3)CC2)[nH]1 ZINC001319924774 1108696562 /nfs/dbraw/zinc/69/65/62/1108696562.db2.gz NAKPSYSSIMDODQ-UHFFFAOYSA-N 0 1 320.396 3.334 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(Cc1cnc(C)s1)C1CC1 ZINC001265171024 1108757139 /nfs/dbraw/zinc/75/71/39/1108757139.db2.gz INOCMLMVWAYBMH-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001265199301 1108771521 /nfs/dbraw/zinc/77/15/21/1108771521.db2.gz RTHQDTHBNZZPMS-QGZVFWFLSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001265223383 1108788341 /nfs/dbraw/zinc/78/83/41/1108788341.db2.gz VPYIBQVVGQYGFZ-CWUFLNSKSA-N 0 1 324.468 3.474 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001265297786 1108811111 /nfs/dbraw/zinc/81/11/11/1108811111.db2.gz XVZYJUPTFCYFHW-MZEUMTGBSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001265302176 1108813254 /nfs/dbraw/zinc/81/32/54/1108813254.db2.gz YVJHMCXELKKWDM-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccsc2)CC1 ZINC001265336235 1108831741 /nfs/dbraw/zinc/83/17/41/1108831741.db2.gz UFAJKVJVDJMICA-UHFFFAOYSA-N 0 1 304.459 3.088 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1csc(Cl)c1)C1CC1 ZINC001265373968 1108848598 /nfs/dbraw/zinc/84/85/98/1108848598.db2.gz CPDHAAQZJONSSZ-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](NCC(=C)Cl)C2CC2)CCCC1 ZINC001265381949 1108852132 /nfs/dbraw/zinc/85/21/32/1108852132.db2.gz FJRIKBOYUWOACL-HNNXBMFYSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2coc(C)c2)C1 ZINC001265388819 1108858500 /nfs/dbraw/zinc/85/85/00/1108858500.db2.gz MJQQIMDYRJWCAT-AWEZNQCLSA-N 0 1 310.825 3.125 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCCC)C(C)C ZINC001265416421 1108867571 /nfs/dbraw/zinc/86/75/71/1108867571.db2.gz ZTQPOOUSAZLSIZ-FGTMMUONSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001265611549 1108933480 /nfs/dbraw/zinc/93/34/80/1108933480.db2.gz RSHYYGWQHYPCLA-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCCCC1(C)C ZINC001265660031 1108955642 /nfs/dbraw/zinc/95/56/42/1108955642.db2.gz MDPALOSCEFTGGC-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(C)c(F)c1 ZINC001265675466 1108961356 /nfs/dbraw/zinc/96/13/56/1108961356.db2.gz IIMIVZFHNVNYTG-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001265684575 1108964671 /nfs/dbraw/zinc/96/46/71/1108964671.db2.gz YCWQZIQQWHEFIH-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2ccon2)CCCCC1 ZINC001266189414 1109120833 /nfs/dbraw/zinc/12/08/33/1109120833.db2.gz RFXAKQCQDJGOFZ-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CCN(C/C=C\Cl)CC2)CCC1 ZINC001266190441 1109121329 /nfs/dbraw/zinc/12/13/29/1109121329.db2.gz YALQCDJZXIMXOV-WZUFQYTHSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cccc(OC)c2C)C1 ZINC001266209174 1109127772 /nfs/dbraw/zinc/12/77/72/1109127772.db2.gz KJUQHJXBRGSSKW-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001266240462 1109137409 /nfs/dbraw/zinc/13/74/09/1109137409.db2.gz VVWNTBQCTJFYRG-ROUUACIJSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001316600679 1109172669 /nfs/dbraw/zinc/17/26/69/1109172669.db2.gz AVGPUZVPEBFAPL-LPHOPBHVSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cccc(F)c2F)CC1 ZINC001280706508 1109241876 /nfs/dbraw/zinc/24/18/76/1109241876.db2.gz DDKDYLSJDUACMF-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2c(C)nsc2C)[C@@H](C)C1 ZINC001281650677 1109388479 /nfs/dbraw/zinc/38/84/79/1109388479.db2.gz FOXDIQNNQKXYGK-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cnc(C)s2)[C@H](C)C1 ZINC001281677793 1109394443 /nfs/dbraw/zinc/39/44/43/1109394443.db2.gz XJHQBJHZLWDAKI-UKRRQHHQSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001281776623 1109406646 /nfs/dbraw/zinc/40/66/46/1109406646.db2.gz OGSLZZHCKVGABZ-PKOBYXMFSA-N 0 1 300.446 3.324 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@H]1CC=CCC1 ZINC001281905200 1109414348 /nfs/dbraw/zinc/41/43/48/1109414348.db2.gz GZBSUZDQENUFQJ-LDQXTDLNSA-N 0 1 322.452 3.128 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC001281953390 1109421078 /nfs/dbraw/zinc/42/10/78/1109421078.db2.gz USPVDUBAPGGOKL-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](C)c1ccccc1C ZINC001281954993 1109421340 /nfs/dbraw/zinc/42/13/40/1109421340.db2.gz HZPRTGAJULTVMZ-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(OCCC)c1 ZINC001282134309 1109455651 /nfs/dbraw/zinc/45/56/51/1109455651.db2.gz SMNVASISHJZWDZ-ZIAGYGMSSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(OCCC)c1 ZINC001282134306 1109455885 /nfs/dbraw/zinc/45/58/85/1109455885.db2.gz SMNVASISHJZWDZ-KBPBESRZSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C)nc1C(C)C ZINC001282173052 1109462714 /nfs/dbraw/zinc/46/27/14/1109462714.db2.gz HJGZSTMAKTZOEV-KGLIPLIRSA-N 0 1 323.868 3.362 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@H](C)c1ccccc1F ZINC001282378268 1109497531 /nfs/dbraw/zinc/49/75/31/1109497531.db2.gz MWCICKWIJQHHGE-HUUCEWRRSA-N 0 1 304.409 3.127 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001282693354 1109553095 /nfs/dbraw/zinc/55/30/95/1109553095.db2.gz OAOAZVPXHFPLIX-KGLIPLIRSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001282734478 1109558079 /nfs/dbraw/zinc/55/80/79/1109558079.db2.gz DUJZDQXCTHYUMF-HNNXBMFYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001282741135 1109560360 /nfs/dbraw/zinc/56/03/60/1109560360.db2.gz QVVMEJOVQYPQNI-LPHOPBHVSA-N 0 1 314.473 3.439 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@]2(C1)CN(CCCC(C)C)CCO2 ZINC001282771996 1109563013 /nfs/dbraw/zinc/56/30/13/1109563013.db2.gz DVVOOZQJDFWNMC-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)[C@H]1C ZINC001283881734 1109693209 /nfs/dbraw/zinc/69/32/09/1109693209.db2.gz OHVQEPJNECYTCH-CZUORRHYSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@@H]1N(C/C=C/Cl)CC2 ZINC001284058420 1109725851 /nfs/dbraw/zinc/72/58/51/1109725851.db2.gz QNIDBHXHYFGUBZ-MZQMQNLQSA-N 0 1 310.869 3.454 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)[C@H]1C ZINC001284119382 1109739987 /nfs/dbraw/zinc/73/99/87/1109739987.db2.gz CKXZDVFAYLBCAP-NGNRUMAMSA-N 0 1 324.468 3.328 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2(c3ccccc3)CC2)[C@H]1C ZINC001284127899 1109742143 /nfs/dbraw/zinc/74/21/43/1109742143.db2.gz GSAXIBCVZWXHJV-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C1CCC1)C(C)(C)C ZINC001284602234 1109822734 /nfs/dbraw/zinc/82/27/34/1109822734.db2.gz BQVMGKOTSHOCMB-OCCSQVGLSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](C(F)(F)F)[C@H](NC/C=C/Cl)C1 ZINC001284731950 1109851830 /nfs/dbraw/zinc/85/18/30/1109851830.db2.gz UDMZEFVLRRIVCU-BLWPOKMGSA-N 0 1 324.774 3.074 20 30 DGEDMN C#CCN1CC=C(CNC(=O)CCCCc2ccc(C)cc2)CC1 ZINC001284995956 1109889746 /nfs/dbraw/zinc/88/97/46/1109889746.db2.gz SZFIOVGBBZDABJ-UHFFFAOYSA-N 0 1 324.468 3.089 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C(C)=C2CCC2)c(F)c1 ZINC001285003487 1109891308 /nfs/dbraw/zinc/89/13/08/1109891308.db2.gz AFWBRRDXODUUDW-UHFFFAOYSA-N 0 1 314.404 3.055 20 30 DGEDMN Cc1c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)ccn1C(C)C ZINC001287395080 1110111674 /nfs/dbraw/zinc/11/16/74/1110111674.db2.gz NMYOQRNARRFOSH-UHFFFAOYSA-N 0 1 307.357 3.378 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001289643702 1110217161 /nfs/dbraw/zinc/21/71/61/1110217161.db2.gz IJCKIBNXRRQBIB-NSHDSACASA-N 0 1 315.373 3.280 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@@H]1CC ZINC001316732088 1111169815 /nfs/dbraw/zinc/16/98/15/1111169815.db2.gz CCFLINSAXGQOEA-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H](N(C)C/C=C\Cl)C1 ZINC001317377590 1111192424 /nfs/dbraw/zinc/19/24/24/1111192424.db2.gz UJYKIWLSLIBJFZ-UBAGTECSSA-N 0 1 310.869 3.430 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2Nc1ccncc1C(F)(F)F ZINC001307112655 1111266867 /nfs/dbraw/zinc/26/68/67/1111266867.db2.gz IMTPNQNOWBCHBY-AWEZNQCLSA-N 0 1 303.287 3.493 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CCN(c2ccnc(C#N)c2)CC1 ZINC001307202855 1111278457 /nfs/dbraw/zinc/27/84/57/1111278457.db2.gz KIZLSCVJTXGSBU-IBGZPJMESA-N 0 1 324.403 3.366 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CCc3cc(F)ccc3C2)cc1 ZINC001307591849 1111317862 /nfs/dbraw/zinc/31/78/62/1111317862.db2.gz ULACRGQYPXISOA-UHFFFAOYSA-N 0 1 322.383 3.194 20 30 DGEDMN C#CCN(C)Cc1cccc2c1CCN(C(=O)OC(C)(C)C)C2 ZINC001307741824 1111333665 /nfs/dbraw/zinc/33/36/65/1111333665.db2.gz QCUFFKDOXNKRMZ-UHFFFAOYSA-N 0 1 314.429 3.045 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cncc(Cl)c1 ZINC001307810645 1111342075 /nfs/dbraw/zinc/34/20/75/1111342075.db2.gz FKGQNKXNYXIPCL-UHFFFAOYSA-N 0 1 315.804 3.255 20 30 DGEDMN N#Cc1ccc(NC(=O)C[N@H+]2CC[C@@H]3CCCC[C@@H]32)cc1Cl ZINC001307875120 1111349393 /nfs/dbraw/zinc/34/93/93/1111349393.db2.gz WBQFBHFOEFQYOF-LRDDRELGSA-N 0 1 317.820 3.415 20 30 DGEDMN CCOC(=O)[C@@]1(F)CN(CCC2(C#N)CCCCC2)C[C@@H]1CC ZINC001308066801 1111373404 /nfs/dbraw/zinc/37/34/04/1111373404.db2.gz YDRKJTSHHQXSJF-MAUKXSAKSA-N 0 1 324.440 3.464 20 30 DGEDMN C=CCC[C@@H]1CCC[N@@H+]1Cc1csc(-c2ccn(C)n2)n1 ZINC001308137683 1111383048 /nfs/dbraw/zinc/38/30/48/1111383048.db2.gz TUCPONVJIAWEFQ-CQSZACIVSA-N 0 1 302.447 3.474 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@]2(CO)c2ccccc2)c1F ZINC001308164947 1111387995 /nfs/dbraw/zinc/38/79/95/1111387995.db2.gz WCLRBWFGYLOSCE-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001308510841 1111437199 /nfs/dbraw/zinc/43/71/99/1111437199.db2.gz YFGFBKCEGKFHHF-JKIFEVAISA-N 0 1 319.832 3.399 20 30 DGEDMN CC[C@](C)(C(=O)OC)N(Cc1ccc(C#N)c(C)c1)CC1CC1 ZINC001308828428 1111480781 /nfs/dbraw/zinc/48/07/81/1111480781.db2.gz QWJVBEKTORFYOT-LJQANCHMSA-N 0 1 314.429 3.420 20 30 DGEDMN OC[C@@H](NCC#Cc1ccccc1)c1cccc(C(F)(F)F)c1 ZINC001308899821 1111490702 /nfs/dbraw/zinc/49/07/02/1111490702.db2.gz MBNZOVIYUIEYPE-QGZVFWFLSA-N 0 1 319.326 3.380 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2cccnc2)CCCC1 ZINC001317492407 1111563321 /nfs/dbraw/zinc/56/33/21/1111563321.db2.gz SXUBISFCYVYMJW-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)CC(C)C)CCN1CC#Cc1ccccc1 ZINC001316723199 1111743022 /nfs/dbraw/zinc/74/30/22/1111743022.db2.gz OPMJKJQDDSVUBR-RBUKOAKNSA-N 0 1 312.457 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001316805634 1111772833 /nfs/dbraw/zinc/77/28/33/1111772833.db2.gz CKUWNCFHQWMHDK-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H](F)CC)CC(C)(C)C1 ZINC001316809618 1111774989 /nfs/dbraw/zinc/77/49/89/1111774989.db2.gz DKJVSTJUOROQAQ-OLZOCXBDSA-N 0 1 304.837 3.094 20 30 DGEDMN C=CC[C@H]1N(C(=O)NCCCc2cn[nH]c2C)CCCC1(C)C ZINC000278354798 214110351 /nfs/dbraw/zinc/11/03/51/214110351.db2.gz WNEGHOHJDJAHKB-MRXNPFEDSA-N 0 1 318.465 3.427 20 30 DGEDMN N#CC1CCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)CC1 ZINC000061585056 432002453 /nfs/dbraw/zinc/00/24/53/432002453.db2.gz YMLJIEJXVCXLFP-UHFFFAOYSA-N 0 1 314.776 3.106 20 30 DGEDMN C[C@H](c1ccccc1Cl)N(C)CCC(=O)N(C)CCC#N ZINC000062014222 432002977 /nfs/dbraw/zinc/00/29/77/432002977.db2.gz RCIJWALALYROOP-CYBMUJFWSA-N 0 1 307.825 3.095 20 30 DGEDMN CCc1c(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)ccn1C ZINC000355165997 529491301 /nfs/dbraw/zinc/49/13/01/529491301.db2.gz FSDWAMVMMDEFLH-CYBMUJFWSA-N 0 1 306.369 3.262 20 30 DGEDMN C=CC[C@@H]1N(C(=O)CCSc2nnc(C)[nH]2)CCCC1(C)C ZINC000278206781 214023859 /nfs/dbraw/zinc/02/38/59/214023859.db2.gz SPIXBJOWGIBHEK-ZDUSSCGKSA-N 0 1 322.478 3.189 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](CCCC)c1ccc(F)cc1 ZINC000272802276 210204196 /nfs/dbraw/zinc/20/41/96/210204196.db2.gz BKYIUMIUEZOIQS-INIZCTEOSA-N 0 1 313.438 3.247 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000353286776 529842885 /nfs/dbraw/zinc/84/28/85/529842885.db2.gz FULFWCKWZZITTA-UHFFFAOYSA-N 0 1 316.792 3.352 20 30 DGEDMN N#CCSCC(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC000065575883 432012528 /nfs/dbraw/zinc/01/25/28/432012528.db2.gz ADXLIYIACPPCNY-UHFFFAOYSA-N 0 1 312.354 3.018 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)cc2F)cc1OC ZINC000066608912 432018486 /nfs/dbraw/zinc/01/84/86/432018486.db2.gz MYJSAVOHSMBHPK-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000181987475 199372272 /nfs/dbraw/zinc/37/22/72/199372272.db2.gz WSZYJLXNQXXQLQ-MRXNPFEDSA-N 0 1 321.424 3.258 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)CCCCc1cn[nH]n1 ZINC000279817911 215171694 /nfs/dbraw/zinc/17/16/94/215171694.db2.gz DKRQMWDDMIJFBN-UHFFFAOYSA-N 0 1 312.417 3.122 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)C=Cc2ccc(C#N)cc2)n[nH]1 ZINC000277174497 213344600 /nfs/dbraw/zinc/34/46/00/213344600.db2.gz YHKQFMQKKZZZBU-GBYBRZQVSA-N 0 1 323.400 3.080 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2snc(Cl)c2C#N)n[nH]1 ZINC000277172951 213344394 /nfs/dbraw/zinc/34/43/94/213344394.db2.gz HYFYWHHGWVMMCH-NKWVEPMBSA-N 0 1 310.814 3.473 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)C=Cc2cccc(C#N)c2)n[nH]1 ZINC000277079695 213280503 /nfs/dbraw/zinc/28/05/03/213280503.db2.gz OHFCFBNHZPZAFG-TYDXBBDOSA-N 0 1 323.400 3.080 20 30 DGEDMN CCN(CC)CC(=O)Nc1n[nH]c(-c2ccccc2Cl)n1 ZINC000068084204 432049407 /nfs/dbraw/zinc/04/94/07/432049407.db2.gz HNBQILCQXGFPRQ-UHFFFAOYSA-N 0 1 307.785 3.055 20 30 DGEDMN N#Cc1ccc(CN(C[C@@H]2CCCO2)[C@@H]2CCSC2)c(F)c1 ZINC000179285219 432052045 /nfs/dbraw/zinc/05/20/45/432052045.db2.gz VEFFGFSPAYBYLB-CVEARBPZSA-N 0 1 320.433 3.184 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1cccc2c1OCCO2 ZINC000341685116 283195173 /nfs/dbraw/zinc/19/51/73/283195173.db2.gz QQXZNLYRZVFYOC-GOSISDBHSA-N 0 1 320.392 3.497 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2nc(C(F)(F)F)ccc2C#N)[nH]n1 ZINC000133510080 432065269 /nfs/dbraw/zinc/06/52/69/432065269.db2.gz XHXRSLFSYOPKKT-QMMMGPOBSA-N 0 1 309.295 3.047 20 30 DGEDMN C#Cc1cccc(NC(=O)N[C@@H](C)c2n[nH]c([C@@H](C)CC)n2)c1 ZINC000276737991 213077195 /nfs/dbraw/zinc/07/71/95/213077195.db2.gz AMQZRIDKZRDNKN-RYUDHWBXSA-N 0 1 311.389 3.182 20 30 DGEDMN CCOc1cccc([C@@H](C)NCC(=O)Nc2cccc(C#N)c2)c1 ZINC000180668263 199193403 /nfs/dbraw/zinc/19/34/03/199193403.db2.gz DJRFKUZTRCUWRH-CQSZACIVSA-N 0 1 323.396 3.246 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(Cc1ccccn1)C1CC1 ZINC000180872279 199221688 /nfs/dbraw/zinc/22/16/88/199221688.db2.gz DHZSZPDBCDPUDL-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN C[C@@H](O)[C@@H]1CCCCN1Cc1ccc(C#N)cc1Br ZINC000181103805 199252680 /nfs/dbraw/zinc/25/26/80/199252680.db2.gz UJASJXHRFWUHSQ-ABAIWWIYSA-N 0 1 323.234 3.056 20 30 DGEDMN C[C@H](O)[C@@H]1CCCCN1Cc1ccc(C#N)cc1Br ZINC000181103789 199252852 /nfs/dbraw/zinc/25/28/52/199252852.db2.gz UJASJXHRFWUHSQ-NHYWBVRUSA-N 0 1 323.234 3.056 20 30 DGEDMN Cc1cc(C)cc([C@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])c1 ZINC000417558011 237620201 /nfs/dbraw/zinc/62/02/01/237620201.db2.gz DIEJAOLEJWMZNT-HNNXBMFYSA-N 0 1 300.383 3.152 20 30 DGEDMN N#Cc1ccc(O[C@H]2CC[N@H+](Cc3cccc(Cl)c3)C2)nc1 ZINC000428807330 238031827 /nfs/dbraw/zinc/03/18/27/238031827.db2.gz LKJCYVFKUNQVGH-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3cccc(Cl)c3)C2)nc1 ZINC000428807330 238031828 /nfs/dbraw/zinc/03/18/28/238031828.db2.gz LKJCYVFKUNQVGH-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(C/C=C/c3ccc(F)cc3)C2)nc1 ZINC000430175603 238070010 /nfs/dbraw/zinc/07/00/10/238070010.db2.gz CWHILZRKLLVBJU-KROLTMCQSA-N 0 1 323.371 3.259 20 30 DGEDMN Cc1ccc(F)c(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)c1 ZINC000430186252 238070395 /nfs/dbraw/zinc/07/03/95/238070395.db2.gz MLRYKXWHIICPSN-INIZCTEOSA-N 0 1 311.360 3.054 20 30 DGEDMN N#CCSc1cccc(C(=O)Nc2ccc3nc[nH]c3c2)c1 ZINC000431025801 238092685 /nfs/dbraw/zinc/09/26/85/238092685.db2.gz CBEGSOPURSYIOC-UHFFFAOYSA-N 0 1 308.366 3.431 20 30 DGEDMN CC(=Cc1cnc(C(C)(C)C)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000193614453 201087667 /nfs/dbraw/zinc/08/76/67/201087667.db2.gz APCZBXPKIKZDGZ-RMKNXTFCSA-N 0 1 314.414 3.265 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC000442709350 239113908 /nfs/dbraw/zinc/11/39/08/239113908.db2.gz ABWYOOABQNXURY-GJZGRUSLSA-N 0 1 306.413 3.143 20 30 DGEDMN N#C[C@@H]1CC[C@@H](Nc2ccccc2CN2CCSCC2)C1 ZINC000442863544 239139348 /nfs/dbraw/zinc/13/93/48/239139348.db2.gz XQRBIHOVOVVQBS-GDBMZVCRSA-N 0 1 301.459 3.340 20 30 DGEDMN C[C@@H](N[C@H]1CCN(Cc2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000271832321 209253607 /nfs/dbraw/zinc/25/36/07/209253607.db2.gz RAQUHWTUKURQDS-BEFAXECRSA-N 0 1 319.408 3.010 20 30 DGEDMN Cc1cccc2sc(NC(=O)c3ccc(C#N)c(O)c3)nc21 ZINC000189932465 432106089 /nfs/dbraw/zinc/10/60/89/432106089.db2.gz DXOVOZQVCWFBGQ-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000189975593 432108096 /nfs/dbraw/zinc/10/80/96/432108096.db2.gz CLHMSSYITOUUKE-IBGZPJMESA-N 0 1 300.446 3.323 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000271749289 209180785 /nfs/dbraw/zinc/18/07/85/209180785.db2.gz KHCVIKYZQFYLAY-ZDUSSCGKSA-N 0 1 324.371 3.139 20 30 DGEDMN CC#CCCCC(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000466865472 243948163 /nfs/dbraw/zinc/94/81/63/243948163.db2.gz YSXBHYQJJACVNN-UHFFFAOYSA-N 0 1 320.190 3.458 20 30 DGEDMN C[C@H]1C[C@H](c2ccccc2)CN1CC(=O)Nc1ccc(C#N)cc1 ZINC000115552572 284114054 /nfs/dbraw/zinc/11/40/54/284114054.db2.gz DGVAUFWLOUCHBM-YJBOKZPZSA-N 0 1 319.408 3.375 20 30 DGEDMN C=CCSc1ccccc1C(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000489336682 245294527 /nfs/dbraw/zinc/29/45/27/245294527.db2.gz QHXCPEUTLZSQMM-MRXNPFEDSA-N 0 1 318.486 3.377 20 30 DGEDMN COc1cc(CN2CCC(O)(c3ccccc3)CC2)ccc1C#N ZINC000282462201 217013411 /nfs/dbraw/zinc/01/34/11/217013411.db2.gz VRRPNUYXWSLVGP-UHFFFAOYSA-N 0 1 322.408 3.050 20 30 DGEDMN CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000125338818 284273463 /nfs/dbraw/zinc/27/34/63/284273463.db2.gz ASHNPVJQUMCZDZ-RYUDHWBXSA-N 0 1 305.809 3.271 20 30 DGEDMN C#CCN[C@@H](C)c1cccc(NC(=O)Cc2ccccc2F)c1 ZINC000490915952 245443843 /nfs/dbraw/zinc/44/38/43/245443843.db2.gz QUAIYQFAOUXDJR-AWEZNQCLSA-N 0 1 310.372 3.291 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@H](C)[C@H]1C)c1ccc(C#N)cc1 ZINC000271689689 209127348 /nfs/dbraw/zinc/12/73/48/209127348.db2.gz RGZIJLCXCQTMIO-JTOWHCCKSA-N 0 1 313.445 3.150 20 30 DGEDMN CC[C@H](NCc1ccc(C#N)o1)[C@@H](O)c1c(F)cccc1F ZINC000266312435 205256263 /nfs/dbraw/zinc/25/62/63/205256263.db2.gz PZQIKZLOBBZGMQ-GOEBONIOSA-N 0 1 306.312 3.031 20 30 DGEDMN C=CCN1CCC(Nc2nnc(-c3ccccc3)s2)CC1 ZINC000266466416 205367455 /nfs/dbraw/zinc/36/74/55/205367455.db2.gz ZKSUDYTXFUTGIP-UHFFFAOYSA-N 0 1 300.431 3.267 20 30 DGEDMN C[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccc(C#N)cc1 ZINC000266506207 205394438 /nfs/dbraw/zinc/39/44/38/205394438.db2.gz WJZAJGPDTXMDSF-AWEZNQCLSA-N 0 1 307.397 3.111 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000494243078 246125492 /nfs/dbraw/zinc/12/54/92/246125492.db2.gz XOWSJVBJWYCXFB-IRXDYDNUSA-N 0 1 319.424 3.179 20 30 DGEDMN CCCN(CC1(C#N)CC1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000494609400 246171685 /nfs/dbraw/zinc/17/16/85/246171685.db2.gz ZYFGUXGDSSNKRA-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(CC(C)C)CC1)c1ccccc1 ZINC000494915871 246209941 /nfs/dbraw/zinc/20/99/41/246209941.db2.gz FXFRXKKRKRHWGX-GOSISDBHSA-N 0 1 300.446 3.147 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2sccc2C#N)C1=O)c1ccccc1 ZINC000267737233 206249992 /nfs/dbraw/zinc/24/99/92/206249992.db2.gz YDLIXRZTLPNVCE-WFASDCNBSA-N 0 1 311.410 3.076 20 30 DGEDMN N#Cc1c(CN2CCC3(CCOCC3)CC2)cn2ccccc12 ZINC000284814770 218190094 /nfs/dbraw/zinc/19/00/94/218190094.db2.gz QOMGMOLSNWHCRD-UHFFFAOYSA-N 0 1 309.413 3.204 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000109347064 194259783 /nfs/dbraw/zinc/25/97/83/194259783.db2.gz IJAKKPBXNFIYFP-KBXCAEBGSA-N 0 1 305.381 3.014 20 30 DGEDMN CCOc1ccc(C#N)cc1NS(=O)(=O)c1ccc(C)cc1 ZINC000057125703 184027457 /nfs/dbraw/zinc/02/74/57/184027457.db2.gz DAQHEDOIJIUYNE-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)c3ccc(C#N)[nH]3)[nH]c2c1 ZINC000119703527 195022141 /nfs/dbraw/zinc/02/21/41/195022141.db2.gz XIEOGBMJHAMADM-INIZCTEOSA-N 0 1 319.368 3.048 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2ncc(C#N)cc2Cl)n[nH]1 ZINC000277478111 252840870 /nfs/dbraw/zinc/84/08/70/252840870.db2.gz SGDYYBRNWBDIGU-IUCAKERBSA-N 0 1 304.785 3.411 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NC[C@@H](c1ccco1)N(CC)CC)OCC ZINC000270041527 208095593 /nfs/dbraw/zinc/09/55/93/208095593.db2.gz JYKDWQAHNIFYJS-DOTOQJQBSA-N 0 1 322.449 3.150 20 30 DGEDMN C[N@@H+](CCOc1ccccc1C#N)C[C@H]1OCCc2ccccc21 ZINC000337636634 253150834 /nfs/dbraw/zinc/15/08/34/253150834.db2.gz CETMJDYSJUJTBX-HXUWFJFHSA-N 0 1 322.408 3.183 20 30 DGEDMN CN(CCOc1ccccc1C#N)C[C@H]1OCCc2ccccc21 ZINC000337636634 253150836 /nfs/dbraw/zinc/15/08/36/253150836.db2.gz CETMJDYSJUJTBX-HXUWFJFHSA-N 0 1 322.408 3.183 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)N[C@@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000338122018 253226868 /nfs/dbraw/zinc/22/68/68/253226868.db2.gz XKNYYKIXOZZPID-RTBURBONSA-N 0 1 319.408 3.042 20 30 DGEDMN C[C@@H](NCC(=O)N(C)CC1CCCCC1)c1ccc(C#N)cc1 ZINC000338349667 253270847 /nfs/dbraw/zinc/27/08/47/253270847.db2.gz PHBZZWLOXSVXGF-OAHLLOKOSA-N 0 1 313.445 3.248 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000338683110 253334359 /nfs/dbraw/zinc/33/43/59/253334359.db2.gz FTOMLJVLHOCRKA-UHFFFAOYSA-N 0 1 320.352 3.394 20 30 DGEDMN COCC1(C#N)CCN(Cc2c[nH]nc2-c2cccc(C)c2)CC1 ZINC000342844597 533110684 /nfs/dbraw/zinc/11/06/84/533110684.db2.gz ZWCOQUZSKYPARX-UHFFFAOYSA-N 0 1 324.428 3.137 20 30 DGEDMN C[C@@H]1CCC[C@@H](C)N1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000342684497 254032356 /nfs/dbraw/zinc/03/23/56/254032356.db2.gz GCFASBOFHCIMBZ-VXGBXAGGSA-N 0 1 305.809 3.413 20 30 DGEDMN CCc1nnc(NCc2nc3ccc(C)cc3[nH]2)c(C#N)c1CC ZINC000343886909 254200434 /nfs/dbraw/zinc/20/04/34/254200434.db2.gz UCUBVHUNKNAWID-UHFFFAOYSA-N 0 1 320.400 3.270 20 30 DGEDMN N#Cc1ccc(CNC[C@@H]2CCC[N@@H+]2Cc2ccccc2)c(F)c1 ZINC000344406135 254267166 /nfs/dbraw/zinc/26/71/66/254267166.db2.gz BJGZTNJMZQOEFQ-IBGZPJMESA-N 0 1 323.415 3.452 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3cc(F)ccc3C#N)CC2)c1 ZINC000345771030 254395842 /nfs/dbraw/zinc/39/58/42/254395842.db2.gz MPCPHOYHELACNE-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C#N)c(C)c1)O[C@H](C)C2 ZINC000443989931 533161047 /nfs/dbraw/zinc/16/10/47/533161047.db2.gz MXFYKKJNKORIBJ-CQSZACIVSA-N 0 1 322.408 3.489 20 30 DGEDMN O=C(CN1CCCC[C@H]1Cc1ccccc1)NC(=O)NC1CC1 ZINC000328882316 285230939 /nfs/dbraw/zinc/23/09/39/285230939.db2.gz XAFCLTVPKSPULP-INIZCTEOSA-N 0 1 315.417 3.117 20 30 DGEDMN COc1ccc(-n2ccc(CN[C@H]3CCC[C@H](C#N)C3)n2)cc1 ZINC000185821428 533215905 /nfs/dbraw/zinc/21/59/05/533215905.db2.gz GZODQUGDPAGPFU-GJZGRUSLSA-N 0 1 310.401 3.053 20 30 DGEDMN Cc1cc(C)c2ncc(C#N)c(NCc3n[nH]c(C4CC4)n3)c2c1 ZINC000294912625 224306101 /nfs/dbraw/zinc/30/61/01/224306101.db2.gz IKBGRUVNVXFBGK-UHFFFAOYSA-N 0 1 318.384 3.331 20 30 DGEDMN Cc1cccnc1[C@@H](NCC(=O)Nc1ccc(C#N)cc1)C(C)C ZINC000120965703 195273706 /nfs/dbraw/zinc/27/37/06/195273706.db2.gz OYUZQDFTOXRDMQ-SFHVURJKSA-N 0 1 322.412 3.187 20 30 DGEDMN Cc1cccc(OC2CCN(Cc3ccc(C#N)cc3)CC2)n1 ZINC000121810342 195352616 /nfs/dbraw/zinc/35/26/16/195352616.db2.gz OCURIALSUGUVOV-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCOCc1ccccc1 ZINC000156829435 533267749 /nfs/dbraw/zinc/26/77/49/533267749.db2.gz YPQMUXBEFWQMAX-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN COc1ccc(Br)c(CNCC2(CC#N)CC2)c1 ZINC000430021376 533259918 /nfs/dbraw/zinc/25/99/18/533259918.db2.gz JAZCSHVGLXBVCA-UHFFFAOYSA-N 0 1 309.207 3.241 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)[C@H](C)C1 ZINC000441379360 533416422 /nfs/dbraw/zinc/41/64/22/533416422.db2.gz PCMAWXMNFONGRX-BXUZGUMPSA-N 0 1 310.344 3.159 20 30 DGEDMN COc1cccc(N2CCN(Cc3ccc(CC#N)cc3)CC2)c1 ZINC000342701433 533578704 /nfs/dbraw/zinc/57/87/04/533578704.db2.gz YQKBSCQBNRYGMT-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](NCc1cccnc1N1CCCC1)CC2 ZINC000595069137 400097176 /nfs/dbraw/zinc/09/71/76/400097176.db2.gz MTZYXUQWXADKOI-IBGZPJMESA-N 0 1 318.424 3.331 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(CC(F)(F)F)CC1 ZINC000584830181 400111740 /nfs/dbraw/zinc/11/17/40/400111740.db2.gz MYNYTWVEQPTSAQ-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN N#CC1(CC(=O)N2CCNC[C@@H]2c2ccccc2)CCCCC1 ZINC000595251464 400113872 /nfs/dbraw/zinc/11/38/72/400113872.db2.gz WEQQJEHJHXBWOB-QGZVFWFLSA-N 0 1 311.429 3.024 20 30 DGEDMN N#Cc1ccc(CCN2CCN(c3ccccc3F)CC2)cc1 ZINC000595291836 400119197 /nfs/dbraw/zinc/11/91/97/400119197.db2.gz SKHBFMCAIQEMGD-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN Cc1cc(C[C@@H](C)NC[C@@H](C#N)CCC#N)n(-c2ccccc2)n1 ZINC000581721342 400082225 /nfs/dbraw/zinc/08/22/25/400082225.db2.gz QKCBOTUGYXNSJQ-NVXWUHKLSA-N 0 1 321.428 3.145 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)Cn1c2ccccc2c2ccccc21 ZINC000581734449 400082590 /nfs/dbraw/zinc/08/25/90/400082590.db2.gz LNGPBIUNTCDQJN-JKSUJKDBSA-N 0 1 321.424 3.437 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@@H]2C2(O)CC2)c(C(F)(F)F)c1 ZINC000595530134 400177520 /nfs/dbraw/zinc/17/75/20/400177520.db2.gz NYYGMSXNECYVNT-OAHLLOKOSA-N 0 1 324.346 3.456 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@H]2C2(O)CC2)c(C(F)(F)F)c1 ZINC000595530125 400178369 /nfs/dbraw/zinc/17/83/69/400178369.db2.gz NYYGMSXNECYVNT-HNNXBMFYSA-N 0 1 324.346 3.456 20 30 DGEDMN C[C@@H](CN(C)CC(=O)Nc1cccc(C#N)c1)c1ccccc1 ZINC000066854478 400126121 /nfs/dbraw/zinc/12/61/21/400126121.db2.gz BACLMEJMAUWNEP-HNNXBMFYSA-N 0 1 307.397 3.232 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@H]2c2ccccc2)c1 ZINC000010311030 400133411 /nfs/dbraw/zinc/13/34/11/400133411.db2.gz OHOKOHNVOVETTF-SFHVURJKSA-N 0 1 305.381 3.334 20 30 DGEDMN COc1cc(CNc2ccc(F)c(-c3nc[nH]n3)c2)ccc1C#N ZINC000600847916 400168514 /nfs/dbraw/zinc/16/85/14/400168514.db2.gz YUBYYFBJRYYINW-UHFFFAOYSA-N 0 1 323.331 3.103 20 30 DGEDMN CN(C)[C@@H](CNC(=O)Nc1ccc(C#N)cc1)c1cccs1 ZINC000024566683 400220319 /nfs/dbraw/zinc/22/03/19/400220319.db2.gz WVXXFKVQWHXNNT-AWEZNQCLSA-N 0 1 314.414 3.044 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000595658706 400220772 /nfs/dbraw/zinc/22/07/72/400220772.db2.gz HUKDICBROWLUEZ-KBPBESRZSA-N 0 1 317.389 3.210 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2csc(CC(=O)OC(C)C)n2)C1 ZINC000595659527 400221204 /nfs/dbraw/zinc/22/12/04/400221204.db2.gz NWZCTAYTPDETTN-CQSZACIVSA-N 0 1 322.474 3.425 20 30 DGEDMN CCCCN1C(=O)N=NC1S[C@H](C#N)Cc1ccc(C)cc1 ZINC000024846961 400226386 /nfs/dbraw/zinc/22/63/86/400226386.db2.gz OVLQXLMDTHKTSK-AWEZNQCLSA-N 0 1 316.430 3.319 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](c1ccccc1F)C(C)C ZINC000595685991 400227579 /nfs/dbraw/zinc/22/75/79/400227579.db2.gz MIWMSYPPHAEFTK-RDTXWAMCSA-N 0 1 319.424 3.121 20 30 DGEDMN CN(C(=O)c1cc(-c2ccccc2)n[nH]1)c1cccc(C#N)c1 ZINC000068129593 400235430 /nfs/dbraw/zinc/23/54/30/400235430.db2.gz PWBNGLVUTGZMGT-UHFFFAOYSA-N 0 1 302.337 3.225 20 30 DGEDMN CC[C@@H](O)CN(Cc1cc(C#N)cs1)Cc1ccccc1 ZINC000093688199 400253011 /nfs/dbraw/zinc/25/30/11/400253011.db2.gz BEAHYDZUDMXHBI-MRXNPFEDSA-N 0 1 300.427 3.393 20 30 DGEDMN C=CCN(Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1)C(C)(C)C ZINC000595763616 400253023 /nfs/dbraw/zinc/25/30/23/400253023.db2.gz RQSGNJPBPYNRKD-UHFFFAOYSA-N 0 1 316.361 3.431 20 30 DGEDMN C[C@@H](C#N)Sc1nc(-c2ccc(Br)cc2)n[nH]1 ZINC000021261707 400193067 /nfs/dbraw/zinc/19/30/67/400193067.db2.gz NBKPLRCOJPUHFV-ZETCQYMHSA-N 0 1 309.192 3.238 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(CC#N)C2CCCC2)o1 ZINC000609978115 400205048 /nfs/dbraw/zinc/20/50/48/400205048.db2.gz CWZASGTXAUCQAZ-UHFFFAOYSA-N 0 1 303.406 3.030 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(C#N)cc3F)CC2)c1 ZINC000022920604 400206564 /nfs/dbraw/zinc/20/65/64/400206564.db2.gz FMVKFQWGTPHOBM-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN CN(C)[C@H](CNC(=O)CCCCCC#N)c1ccc(F)cc1 ZINC000588300389 400209624 /nfs/dbraw/zinc/20/96/24/400209624.db2.gz RAKIXTIHIZQNNX-MRXNPFEDSA-N 0 1 305.397 3.019 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CC[C@H]2c2cccc(F)c2)c1 ZINC000610505272 400266531 /nfs/dbraw/zinc/26/65/31/400266531.db2.gz DWTSQTSHHKROFT-SFHVURJKSA-N 0 1 323.371 3.473 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CCC[C@@H](C2CCC2)C1 ZINC000595839498 400277526 /nfs/dbraw/zinc/27/75/26/400277526.db2.gz ZICTXGWGOVTFMB-WMLDXEAASA-N 0 1 311.429 3.397 20 30 DGEDMN N#Cc1ccc(F)c(CN2C[C@]34COC[C@@]3(C2)CCCC4)c1 ZINC000595905287 400292114 /nfs/dbraw/zinc/29/21/14/400292114.db2.gz SPYCTVZAWPNESK-HDICACEKSA-N 0 1 300.377 3.090 20 30 DGEDMN CC(C)c1ccc(CN2CCN(c3ccc(C#N)cn3)CC2)cc1 ZINC000028740579 400292924 /nfs/dbraw/zinc/29/29/24/400292924.db2.gz BPAFZRIBLQCSCL-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C[C@@H]1[C@@H](C)N(Cc2ccccc2)[C@@H](C)CN1C(=O)C(C)(C)C#N ZINC000404384859 400295223 /nfs/dbraw/zinc/29/52/23/400295223.db2.gz VLJMYSGMAVRYHS-ARFHVFGLSA-N 0 1 313.445 3.046 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H]1c1ccccc1OC ZINC000069086573 400309792 /nfs/dbraw/zinc/30/97/92/400309792.db2.gz UIYIERYRIIIDIB-QGZVFWFLSA-N 0 1 314.429 3.033 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H](C)c1ccc(C#N)cc1 ZINC000610436178 400259069 /nfs/dbraw/zinc/25/90/69/400259069.db2.gz SYFAGDNSMYCZIM-QMMMGPOBSA-N 0 1 322.290 3.100 20 30 DGEDMN C=CC(C)(C)CNCc1ncc(I)s1 ZINC000384913581 400261106 /nfs/dbraw/zinc/26/11/06/400261106.db2.gz CMZQVYZLPBRELR-UHFFFAOYSA-N 0 1 322.215 3.050 20 30 DGEDMN N#CCCCCCc1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000589121857 400262376 /nfs/dbraw/zinc/26/23/76/400262376.db2.gz KWUQKUCUGGSUBJ-UHFFFAOYSA-N 0 1 322.372 3.072 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)c(C(F)(F)F)c2)c(=O)c1CCC#N ZINC000601938079 400381135 /nfs/dbraw/zinc/38/11/35/400381135.db2.gz GSMHXPWTMVZSJF-LBPRGKRZSA-N 0 1 320.274 3.220 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CC[C@@H](C3CC3)C2)c1C#N ZINC000611349533 400382850 /nfs/dbraw/zinc/38/28/50/400382850.db2.gz DZNHTSAJFDORKG-MRXNPFEDSA-N 0 1 306.413 3.284 20 30 DGEDMN COC(=O)c1ccc(CN[C@H](C)c2cccc(C#N)c2)cc1F ZINC000611387104 400388846 /nfs/dbraw/zinc/38/88/46/400388846.db2.gz ZIBFJXWYGKYWDW-GFCCVEGCSA-N 0 1 312.344 3.335 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611387833 400390357 /nfs/dbraw/zinc/39/03/57/400390357.db2.gz UBAIHYZXYKISRN-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN CCN(Cc1cc(C#N)ccc1Br)C[C@H]1CCOC1 ZINC000617196168 400326843 /nfs/dbraw/zinc/32/68/43/400326843.db2.gz ZMEOEGGLXMHLHC-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN N#CC1(CNC(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)CCC1 ZINC000578978870 400333987 /nfs/dbraw/zinc/33/39/87/400333987.db2.gz OFYNQHOPARUZQZ-UHFFFAOYSA-N 0 1 319.315 3.316 20 30 DGEDMN COC(=O)c1cccc(CN2CCC(CC#N)CC2)c1Cl ZINC000579436075 400339188 /nfs/dbraw/zinc/33/91/88/400339188.db2.gz WZAYAUHVEHBJDI-UHFFFAOYSA-N 0 1 306.793 3.252 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)c(F)c1 ZINC000589945593 400340347 /nfs/dbraw/zinc/34/03/47/400340347.db2.gz KZFILWXOTGYNRR-MRXNPFEDSA-N 0 1 313.380 3.227 20 30 DGEDMN C=CCN(CC(=O)Nc1c(C)nn(C)c1C)CC1CCCCC1 ZINC000069860218 400347318 /nfs/dbraw/zinc/34/73/18/400347318.db2.gz LJFGTUAPFWKZSI-UHFFFAOYSA-N 0 1 318.465 3.044 20 30 DGEDMN CC(C)(CN1CCN(c2cnccc2C#N)CC1)c1ccccc1 ZINC000590053270 400350895 /nfs/dbraw/zinc/35/08/95/400350895.db2.gz KQLFXLPSVDLTHF-UHFFFAOYSA-N 0 1 320.440 3.053 20 30 DGEDMN CN(CC(=O)N(CCC#N)c1cccc(Cl)c1)C(C)(C)C ZINC000581249148 400352570 /nfs/dbraw/zinc/35/25/70/400352570.db2.gz QKQYLISHRYZINL-UHFFFAOYSA-N 0 1 307.825 3.317 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2N[C@@H](CO)c1cccc(F)c1 ZINC000611394952 400392868 /nfs/dbraw/zinc/39/28/68/400392868.db2.gz XQNUESFMBJNOJY-MOPGFXCFSA-N 0 1 310.372 3.398 20 30 DGEDMN Cc1ccc(C#N)nc1N1CCCN(Cc2ccc(F)cc2)CC1 ZINC000611399030 400393658 /nfs/dbraw/zinc/39/36/58/400393658.db2.gz VRDJTFLVTAPMDF-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN Cc1cnc([C@H](C)N2CCCN(Cc3ccc(C#N)cc3)CC2)o1 ZINC000611301922 400376532 /nfs/dbraw/zinc/37/65/32/400376532.db2.gz FWCHAVFNCDWVIS-INIZCTEOSA-N 0 1 324.428 3.124 20 30 DGEDMN CCN1C[C@@H](CN(C)CCC(C)(C)C#N)Oc2ccccc21 ZINC000611462436 400404502 /nfs/dbraw/zinc/40/45/02/400404502.db2.gz USXYHJDJIIKZIM-OAHLLOKOSA-N 0 1 301.434 3.146 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000602095301 400412256 /nfs/dbraw/zinc/41/22/56/400412256.db2.gz GVJLCIWSFRXTBN-SNVBAGLBSA-N 0 1 300.387 3.222 20 30 DGEDMN N#CC1(CNc2nc(C(F)(F)F)nc3nc[nH]c32)CCCCC1 ZINC000596499871 400430641 /nfs/dbraw/zinc/43/06/41/400430641.db2.gz UZPGSZVVTQHYMQ-UHFFFAOYSA-N 0 1 324.310 3.209 20 30 DGEDMN C[C@@H](CC#N)C(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000596796059 400500817 /nfs/dbraw/zinc/50/08/17/400500817.db2.gz HRCOBUWMFPRWLC-BBRMVZONSA-N 0 1 319.836 3.143 20 30 DGEDMN C[C@@H](CC#N)C(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000596796062 400500891 /nfs/dbraw/zinc/50/08/91/400500891.db2.gz HRCOBUWMFPRWLC-XJKSGUPXSA-N 0 1 319.836 3.143 20 30 DGEDMN N#Cc1ccccc1CN(CCCO)[C@H]1CCc2ccccc21 ZINC000074312906 400503586 /nfs/dbraw/zinc/50/35/86/400503586.db2.gz YTZYWHIREAIIKI-FQEVSTJZSA-N 0 1 306.409 3.430 20 30 DGEDMN COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000127521441 400503888 /nfs/dbraw/zinc/50/38/88/400503888.db2.gz ZBAQTARVKYDVBZ-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN N#Cc1cccc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)n1 ZINC000602583852 400504981 /nfs/dbraw/zinc/50/49/81/400504981.db2.gz ZRJRRJTYKNUHDP-KXBFYZLASA-N 0 1 307.397 3.211 20 30 DGEDMN CSc1ccccc1NC(=O)CN(C)C[C@@H](C)CCC#N ZINC000602434766 400460879 /nfs/dbraw/zinc/46/08/79/400460879.db2.gz RCGHSMLJPUPEJG-ZDUSSCGKSA-N 0 1 305.447 3.219 20 30 DGEDMN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1cccc(C#N)n1 ZINC000602607553 400511083 /nfs/dbraw/zinc/51/10/83/400511083.db2.gz YLIWCGOBZLAVJG-SJKOYZFVSA-N 0 1 315.804 3.472 20 30 DGEDMN N#Cc1cc(-c2ccc(CCN3CCOCC3)cc2)ccc1F ZINC000596635339 400466635 /nfs/dbraw/zinc/46/66/35/400466635.db2.gz YKRDEUCPKGHBOS-UHFFFAOYSA-N 0 1 310.372 3.239 20 30 DGEDMN N#Cc1cccc(CNc2ccccc2CN2CCSCC2)n1 ZINC000602489604 400478099 /nfs/dbraw/zinc/47/80/99/400478099.db2.gz AUDWZSDOHRUNFJ-UHFFFAOYSA-N 0 1 324.453 3.114 20 30 DGEDMN CC(C)Oc1ncccc1CN[C@H](C)CC1(C#N)CCOCC1 ZINC000602500193 400480575 /nfs/dbraw/zinc/48/05/75/400480575.db2.gz PIBGZLHREOOKHW-OAHLLOKOSA-N 0 1 317.433 3.057 20 30 DGEDMN COc1cc(C)c([C@H](C)NCc2cccc(C#N)n2)cc1OC ZINC000602515704 400484973 /nfs/dbraw/zinc/48/49/73/400484973.db2.gz SBKHCVAIHLJNHD-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN CC(C)(C)c1nc(CNc2nc(C(C)(C)C)ccc2C#N)n[nH]1 ZINC000591177581 400497741 /nfs/dbraw/zinc/49/77/41/400497741.db2.gz PLHSOEZDMVWTQJ-UHFFFAOYSA-N 0 1 312.421 3.278 20 30 DGEDMN CN1CCC(C#N)(NC(=O)c2cccc(C3CCCC3)c2)CC1 ZINC000597163849 400575116 /nfs/dbraw/zinc/57/51/16/400575116.db2.gz BHMBQWJCOPGWIK-UHFFFAOYSA-N 0 1 311.429 3.062 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000602631079 400518143 /nfs/dbraw/zinc/51/81/43/400518143.db2.gz DFBMHVJRRKTXAU-CQSZACIVSA-N 0 1 316.836 3.274 20 30 DGEDMN CC[C@H]1CCCCN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000045310734 400518852 /nfs/dbraw/zinc/51/88/52/400518852.db2.gz JZFFYCBNLIXIFG-AWEZNQCLSA-N 0 1 305.809 3.415 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000045405665 400521018 /nfs/dbraw/zinc/52/10/18/400521018.db2.gz SAYUPYUAOBMDCS-UHFFFAOYSA-N 0 1 308.385 3.211 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(NC[C@H](C)CCC#N)c1 ZINC000602663494 400529589 /nfs/dbraw/zinc/52/95/89/400529589.db2.gz UNPCTHTYELJNIS-OAHLLOKOSA-N 0 1 316.449 3.319 20 30 DGEDMN C[C@]1(C2CC2)CN(Cc2cccc(OCCCC#N)c2)CCO1 ZINC000597180967 400579518 /nfs/dbraw/zinc/57/95/18/400579518.db2.gz XZQOPENNCOHJHA-LJQANCHMSA-N 0 1 314.429 3.370 20 30 DGEDMN COc1ccc(F)c(CN[C@H](C)CC2(C#N)CCOCC2)c1 ZINC000602713857 400549580 /nfs/dbraw/zinc/54/95/80/400549580.db2.gz DXYBHGSZAFRDGS-CYBMUJFWSA-N 0 1 306.381 3.023 20 30 DGEDMN CC(C)(C#N)CNC[C@@H](O)Cn1c2ccccc2c2ccccc21 ZINC000591759592 400553782 /nfs/dbraw/zinc/55/37/82/400553782.db2.gz OTMLNQTYTAAJIZ-OAHLLOKOSA-N 0 1 321.424 3.295 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2ncc3c(c2C#N)CCC3)C1 ZINC000591821813 400562923 /nfs/dbraw/zinc/56/29/23/400562923.db2.gz BCBIXLFIVKCAQP-SFHVURJKSA-N 0 1 304.397 3.041 20 30 DGEDMN CN(CCC(C)(C)C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000592145444 400614841 /nfs/dbraw/zinc/61/48/41/400614841.db2.gz VEMRLMQPNCKIDJ-ZDUSSCGKSA-N 0 1 305.447 3.093 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC000592150212 400615034 /nfs/dbraw/zinc/61/50/34/400615034.db2.gz AZJKRHQPYVMJEC-LJQANCHMSA-N 0 1 308.381 3.329 20 30 DGEDMN Cc1cccc([C@@H](C)N2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000075636998 400600105 /nfs/dbraw/zinc/60/01/05/400600105.db2.gz KVRUOSCPVFDAEO-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN C=CCCOCC(=O)Nc1ccccc1-c1nnc(C(C)C)[nH]1 ZINC000148261003 400654807 /nfs/dbraw/zinc/65/48/07/400654807.db2.gz JORRGACXGUOGIT-UHFFFAOYSA-N 0 1 314.389 3.126 20 30 DGEDMN Cn1nc(CN[C@H]2CCc3cc(C#N)ccc32)c2ccccc21 ZINC000618742580 400680029 /nfs/dbraw/zinc/68/00/29/400680029.db2.gz ZKGQRFCDYBKFQO-KRWDZBQOSA-N 0 1 302.381 3.222 20 30 DGEDMN Cn1nc(CN[C@@H]2CCc3cc(C#N)ccc32)c2ccccc21 ZINC000618742585 400680161 /nfs/dbraw/zinc/68/01/61/400680161.db2.gz ZKGQRFCDYBKFQO-QGZVFWFLSA-N 0 1 302.381 3.222 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000597863773 400682067 /nfs/dbraw/zinc/68/20/67/400682067.db2.gz IGHHLIJWNVSWQS-ABAIWWIYSA-N 0 1 304.346 3.165 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000597863775 400682275 /nfs/dbraw/zinc/68/22/75/400682275.db2.gz IGHHLIJWNVSWQS-NHYWBVRUSA-N 0 1 304.346 3.165 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCCc2sccc2C1 ZINC000592981538 400725322 /nfs/dbraw/zinc/72/53/22/400725322.db2.gz CFRXTUPUCQROJA-CQSZACIVSA-N 0 1 304.459 3.085 20 30 DGEDMN CC/C=C\CCN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000613477122 400731211 /nfs/dbraw/zinc/73/12/11/400731211.db2.gz CUUODWZJPIMRIT-JXCVUKRWSA-N 0 1 301.409 3.364 20 30 DGEDMN C[C@@H](C#N)N(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)C1CC1 ZINC000598125666 400745136 /nfs/dbraw/zinc/74/51/36/400745136.db2.gz NTKVAUYJQDFJCA-JTQLQIEISA-N 0 1 314.776 3.247 20 30 DGEDMN N#CC[C@H]1CCCN(C(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC000597907304 400692694 /nfs/dbraw/zinc/69/26/94/400692694.db2.gz ZQZFOLPRQOBLMZ-SECBINFHSA-N 0 1 313.184 3.465 20 30 DGEDMN COC(=O)c1cc(CN[C@H]2CCc3cc(C#N)ccc32)oc1C ZINC000618831269 400695161 /nfs/dbraw/zinc/69/51/61/400695161.db2.gz DXHJZFPOTAYXCM-KRWDZBQOSA-N 0 1 310.353 3.023 20 30 DGEDMN N#Cc1cnc2ccccc2c1N1CCN([C@@H]2C=CCCC2)CC1 ZINC000171735668 400805756 /nfs/dbraw/zinc/80/57/56/400805756.db2.gz SDVAWYKKHPOWJC-QGZVFWFLSA-N 0 1 318.424 3.337 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CC[C@](C)(C#N)C3)n[nH]2)cc1C ZINC000598416043 400808860 /nfs/dbraw/zinc/80/88/60/400808860.db2.gz YYNYPKLWMTXWLH-GOSISDBHSA-N 0 1 308.385 3.069 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C[C@@H]1COc2ccccc2O1 ZINC000604488223 400780098 /nfs/dbraw/zinc/78/00/98/400780098.db2.gz QGZFAMDEQRQMQS-GOSISDBHSA-N 0 1 320.392 3.386 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC1(c2cccc(Cl)c2)CC1 ZINC000179670797 400879688 /nfs/dbraw/zinc/87/96/88/400879688.db2.gz KUDFEVLIPIBRCZ-UHFFFAOYSA-N 0 1 306.837 3.343 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000180029504 400881765 /nfs/dbraw/zinc/88/17/65/400881765.db2.gz SBJDHWZVPZWNAR-ZDUSSCGKSA-N 0 1 300.427 3.368 20 30 DGEDMN CC(C)CCC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593353376 400826297 /nfs/dbraw/zinc/82/62/97/400826297.db2.gz RLAYIWPNSDXMID-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C[C@@H](NC[C@@H](O)CC(C)(C)C#N)c1ncc(-c2ccccc2)o1 ZINC000598612398 400842865 /nfs/dbraw/zinc/84/28/65/400842865.db2.gz OGKRUDGMCNCQBH-HIFRSBDPSA-N 0 1 313.401 3.293 20 30 DGEDMN C[C@H]1c2ccccc2CN1C[C@H](O)COc1ccc(CC#N)cc1 ZINC000598650796 400850440 /nfs/dbraw/zinc/85/04/40/400850440.db2.gz ZXZDKMMRDLNKNB-YJBOKZPZSA-N 0 1 322.408 3.069 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN(CCSC2CCCCC2)CC1 ZINC000619719763 400893494 /nfs/dbraw/zinc/89/34/94/400893494.db2.gz BJPIRGPQWYMTJT-QGZVFWFLSA-N 0 1 309.523 3.218 20 30 DGEDMN CC(=O)Nc1cccc([C@@H](C)NCC#Cc2ccc(F)cc2)c1 ZINC000182530272 400893516 /nfs/dbraw/zinc/89/35/16/400893516.db2.gz TZYMEJCOXQWDIB-CQSZACIVSA-N 0 1 310.372 3.486 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000614403861 400894861 /nfs/dbraw/zinc/89/48/61/400894861.db2.gz SRYAANIHTNPEDB-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN Cc1cccc2ncc(CNCc3ccc(C#N)c(Cl)c3)n21 ZINC000619748291 400898195 /nfs/dbraw/zinc/89/81/95/400898195.db2.gz GVSFCCHYFLUOLG-UHFFFAOYSA-N 0 1 310.788 3.458 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000183501709 400901315 /nfs/dbraw/zinc/90/13/15/400901315.db2.gz CTKARSTYFYHICQ-JKSUJKDBSA-N 0 1 319.449 3.461 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CC(C)(C)N(Cc2ccccc2)CC1(C)C ZINC000598913040 400908551 /nfs/dbraw/zinc/90/85/51/400908551.db2.gz ODHMMWLQWBNVTC-HNNXBMFYSA-N 0 1 313.445 3.048 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2ccccc2)c(F)c1 ZINC000237708904 400992497 /nfs/dbraw/zinc/99/24/97/400992497.db2.gz CWYASJOHRXBCFI-UHFFFAOYSA-N 0 1 306.344 3.377 20 30 DGEDMN C=CCCOCC(=O)Nc1ccc2nc(C(C)(C)C)[nH]c2c1 ZINC000620174871 400999198 /nfs/dbraw/zinc/99/91/98/400999198.db2.gz MFYAYQJMZWCSRW-UHFFFAOYSA-N 0 1 301.390 3.392 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)NC[C@@H](C)[N@H+]1CCCC[C@H]1C ZINC000058452392 401052657 /nfs/dbraw/zinc/05/26/57/401052657.db2.gz XTLZSJZCLUFPGK-HUUCEWRRSA-N 0 1 314.433 3.251 20 30 DGEDMN CCC(C)(C)N1CCN(C(=O)c2ccc(Cl)c(C#N)c2)CC1 ZINC000594388689 401054926 /nfs/dbraw/zinc/05/49/26/401054926.db2.gz AHQAQNDBNMWUHD-UHFFFAOYSA-N 0 1 319.836 3.158 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC3(CCCC3)[C@@H]2c2ccco2)CC1 ZINC000599465248 401055581 /nfs/dbraw/zinc/05/55/81/401055581.db2.gz DJRABINPMWKMFC-HOCLYGCPSA-N 0 1 300.402 3.251 20 30 DGEDMN COC(=O)[C@H]1CN([C@H](C)c2ccc(C#N)cc2)Cc2ccccc21 ZINC000599667112 401106977 /nfs/dbraw/zinc/10/69/77/401106977.db2.gz CFUZYPIUGATFJC-KUHUBIRLSA-N 0 1 320.392 3.392 20 30 DGEDMN C[C@H](NC(=O)c1[nH]nc2c1CCCCC2)c1cccc(C#N)c1 ZINC000616070116 401204743 /nfs/dbraw/zinc/20/47/43/401204743.db2.gz FYSKXKQZFBFZOX-LBPRGKRZSA-N 0 1 308.385 3.041 20 30 DGEDMN C=CCCn1cc(CNCCSCc2ccc(C)cc2)nn1 ZINC000594917822 401188030 /nfs/dbraw/zinc/18/80/30/401188030.db2.gz KPYWKQGWCXNNOQ-UHFFFAOYSA-N 0 1 316.474 3.186 20 30 DGEDMN C=CCCCCCN(C)c1nnc(Cc2cc(C)n[nH]2)n1CC ZINC000634352116 401269038 /nfs/dbraw/zinc/26/90/38/401269038.db2.gz NYDFIOMAZFBYTP-UHFFFAOYSA-N 0 1 316.453 3.103 20 30 DGEDMN C=CCOc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1OC ZINC000631264149 401441402 /nfs/dbraw/zinc/44/14/02/401441402.db2.gz ZRTXYTQHABCGKQ-VXGBXAGGSA-N 0 1 301.308 3.300 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1n[nH]c(C)c1-c1ccc(F)cc1 ZINC000629354472 401679243 /nfs/dbraw/zinc/67/92/43/401679243.db2.gz OSIPOLIIFBJURO-UHFFFAOYSA-N 0 1 323.393 3.232 20 30 DGEDMN Cc1ccc([C@H]2CCCN2[C@H](C)C(=O)NC2(C#N)CCC2)s1 ZINC000346271615 292267761 /nfs/dbraw/zinc/26/77/61/292267761.db2.gz GOXQQFWZZVFBFZ-ZIAGYGMSSA-N 0 1 317.458 3.144 20 30 DGEDMN COc1ccc2c(c1NC(=O)c1ccc(C#N)c(O)c1)CCC2 ZINC000190810758 165217440 /nfs/dbraw/zinc/21/74/40/165217440.db2.gz SLKSLHFQVIDUNY-UHFFFAOYSA-N 0 1 308.337 3.013 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)cc1F ZINC000192070633 165281083 /nfs/dbraw/zinc/28/10/83/165281083.db2.gz ZLALVWMFIGDUBV-WBVHZDCISA-N 0 1 314.360 3.378 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@@H](C[C@@H]3CCOC3)C2)cc1F ZINC000365267485 287116233 /nfs/dbraw/zinc/11/62/33/287116233.db2.gz XJHASHXXMYUDTP-HOCLYGCPSA-N 0 1 302.393 3.336 20 30 DGEDMN N#Cc1ccc([C@@H](O)CNC2(c3nccs3)CCCC2)cc1 ZINC000129230673 196031470 /nfs/dbraw/zinc/03/14/70/196031470.db2.gz MALCMCSRFZQHIP-HNNXBMFYSA-N 0 1 313.426 3.107 20 30 DGEDMN C[C@H](NCC(=O)N1CCCc2ccccc21)c1cccc(C#N)c1 ZINC000181976156 432153319 /nfs/dbraw/zinc/15/33/19/432153319.db2.gz SIYLWSVBLOENRC-HNNXBMFYSA-N 0 1 319.408 3.188 20 30 DGEDMN Cc1ccc(C)c(NS(=O)(=O)c2cccc(C)c2C#N)c1 ZINC000137182971 432171827 /nfs/dbraw/zinc/17/18/27/432171827.db2.gz ZBEYBSANRFHVAM-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2cc(F)ccc2C)c1C#N ZINC000137194676 432173626 /nfs/dbraw/zinc/17/36/26/432173626.db2.gz QPLAJKKGHBCAFQ-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3c(c2)OCCCO3)c(F)c1 ZINC000269450138 432174064 /nfs/dbraw/zinc/17/40/64/432174064.db2.gz VPWNPWKUMYUFDP-UHFFFAOYSA-N 0 1 312.344 3.148 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000151066556 432216119 /nfs/dbraw/zinc/21/61/19/432216119.db2.gz GBVFIZFRXDERGF-UHFFFAOYSA-N 0 1 301.365 3.129 20 30 DGEDMN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271641559 209086386 /nfs/dbraw/zinc/08/63/86/209086386.db2.gz HAYRASYIBUTUSR-CABCVRRESA-N 0 1 301.434 3.102 20 30 DGEDMN N#CCCCN1CCCN(C(=O)Nc2cccc(Cl)c2)CC1 ZINC000133970501 227040437 /nfs/dbraw/zinc/04/04/37/227040437.db2.gz SJSOAKRSDDGSCF-UHFFFAOYSA-N 0 1 320.824 3.183 20 30 DGEDMN N#Cc1ccccc1CN1CCC[C@](CO)(Cc2ccccc2)C1 ZINC000291165701 222187312 /nfs/dbraw/zinc/18/73/12/222187312.db2.gz DBEVPYRBORSPHH-NRFANRHFSA-N 0 1 320.436 3.375 20 30 DGEDMN Cc1cc(F)ccc1NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160762722 228248060 /nfs/dbraw/zinc/24/80/60/228248060.db2.gz GRQXSJVCXQRKOL-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C[C@H](N(C)CC(=O)Nc1ccccc1SCC#N)C1(C)CC1 ZINC000177218994 228328002 /nfs/dbraw/zinc/32/80/02/228328002.db2.gz LQOISXZGBLNDKE-ZDUSSCGKSA-N 0 1 317.458 3.361 20 30 DGEDMN C[C@H]1[C@H](c2ccccc2)CC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000177543670 228332454 /nfs/dbraw/zinc/33/24/54/228332454.db2.gz URXFMBIOLVENFO-HNAYVOBHSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@H]1[C@H](c2ccccc2)CCN1CC(=O)Nc1cccc(C#N)c1 ZINC000177543670 228332460 /nfs/dbraw/zinc/33/24/60/228332460.db2.gz URXFMBIOLVENFO-HNAYVOBHSA-N 0 1 319.408 3.375 20 30 DGEDMN N#CCCN(Cc1cccnc1)Cc1cccc(Cl)c1O ZINC000183476858 228374278 /nfs/dbraw/zinc/37/42/78/228374278.db2.gz NMNYSWLALWBPBL-UHFFFAOYSA-N 0 1 301.777 3.356 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccccc2OCC(C)C)CC1 ZINC000341980082 229105167 /nfs/dbraw/zinc/10/51/67/229105167.db2.gz PGQMMSOXLIXPNQ-UHFFFAOYSA-N 0 1 316.445 3.474 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc2nc(-c3cccc(F)c3)[nH]c2c1 ZINC000340334705 229088526 /nfs/dbraw/zinc/08/85/26/229088526.db2.gz WVCVMGLFGMQPGE-SNVBAGLBSA-N 0 1 308.316 3.467 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)n1C ZINC000345396093 229152338 /nfs/dbraw/zinc/15/23/38/229152338.db2.gz LXXMIBGNWZYXKT-AWEZNQCLSA-N 0 1 306.369 3.317 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc4c(c3)COC4)[nH]c21 ZINC000345405975 229152345 /nfs/dbraw/zinc/15/23/45/229152345.db2.gz WYJKOAMWUDHUSM-OAHLLOKOSA-N 0 1 317.348 3.392 20 30 DGEDMN Cc1c(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cnn1C(C)C ZINC000356885472 229341808 /nfs/dbraw/zinc/34/18/08/229341808.db2.gz XKSCXGPOZWXREI-ZDUSSCGKSA-N 0 1 321.384 3.447 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000171805047 533684803 /nfs/dbraw/zinc/68/48/03/533684803.db2.gz LPSHPYCEOGLEHG-SNVBAGLBSA-N 0 1 300.387 3.365 20 30 DGEDMN CCc1cc(C(=O)N(Cc2ccc(C#N)cc2)CC(C)C)n[nH]1 ZINC000346838790 264106604 /nfs/dbraw/zinc/10/66/04/264106604.db2.gz GBXYFYSMWOYBCO-UHFFFAOYSA-N 0 1 310.401 3.142 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@@H]1CCCC[C@@H]1O ZINC000347203412 264175563 /nfs/dbraw/zinc/17/55/63/264175563.db2.gz PMYLANUVRTYZCM-CABCVRRESA-N 0 1 323.234 3.056 20 30 DGEDMN N#Cc1cccc(COc2cccc(CN3CCCOCC3)c2)c1 ZINC000362370618 270004755 /nfs/dbraw/zinc/00/47/55/270004755.db2.gz YWNRHVSKOJAQMD-UHFFFAOYSA-N 0 1 322.408 3.360 20 30 DGEDMN CC(=O)N1CC[C@H](NCc2cc(C#N)cs2)c2ccccc21 ZINC000534512608 270004942 /nfs/dbraw/zinc/00/49/42/270004942.db2.gz DTTKBJFYNVJCQS-INIZCTEOSA-N 0 1 311.410 3.207 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)C(F)(F)c1c(F)cccc1F ZINC000270227177 432229817 /nfs/dbraw/zinc/22/98/17/432229817.db2.gz OHBCRIAKVLEMRP-ZDUSSCGKSA-N 0 1 302.271 3.111 20 30 DGEDMN CC(C)CN(C(=O)CN1CCC(C)CC1)c1ccc(C#N)cc1 ZINC000358794933 265794990 /nfs/dbraw/zinc/79/49/90/265794990.db2.gz YPERYTSFQRSARZ-UHFFFAOYSA-N 0 1 313.445 3.279 20 30 DGEDMN CCCc1cccc(CN2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000360819014 266085389 /nfs/dbraw/zinc/08/53/89/266085389.db2.gz GRLDWCIWUWMXGY-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN CCNC(=O)c1cccc(CN[C@@H]2CCc3ccc(C#N)cc32)c1 ZINC000353258589 266170867 /nfs/dbraw/zinc/17/08/67/266170867.db2.gz CNUNECWMLWLUKS-LJQANCHMSA-N 0 1 319.408 3.085 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Cn3cccn3)cc2)c(F)c1 ZINC000355822867 266200416 /nfs/dbraw/zinc/20/04/16/266200416.db2.gz XFDCKEHKAIPPBB-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN Cc1cn(-c2ccccc2)nc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000615428120 425090908 /nfs/dbraw/zinc/09/09/08/425090908.db2.gz AUQQTIVLMMKFLD-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)c1cccc(C#N)c1 ZINC000360181949 267136962 /nfs/dbraw/zinc/13/69/62/267136962.db2.gz FSETUQROXSLIHM-LBPRGKRZSA-N 0 1 317.352 3.086 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1cc(C#N)cc(N(C)C)c1 ZINC000349749265 267366892 /nfs/dbraw/zinc/36/68/92/267366892.db2.gz PIWIUXRRIQRAHP-UHFFFAOYSA-N 0 1 319.368 3.061 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)[C@H](C)c1cccc(C#N)c1 ZINC000360458392 267368294 /nfs/dbraw/zinc/36/82/94/267368294.db2.gz YQNDELKPONMWJG-GFCCVEGCSA-N 0 1 304.353 3.485 20 30 DGEDMN N#Cc1cccc(F)c1CC(=O)Nc1cc(F)cc(Cl)c1O ZINC000354754145 268258817 /nfs/dbraw/zinc/25/88/17/268258817.db2.gz IBVISSDCZIBEHX-UHFFFAOYSA-N 0 1 322.698 3.377 20 30 DGEDMN Cn1cc(CN2CCC[C@H]2c2cccc3c2OCCO3)cc1C#N ZINC000191308575 432247810 /nfs/dbraw/zinc/24/78/10/432247810.db2.gz TVZNQOUZKQECKF-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C)c1ccc(Cl)s1 ZINC000183019826 432249660 /nfs/dbraw/zinc/24/96/60/432249660.db2.gz GYOZMTDVQUAUHH-GHMZBOCLSA-N 0 1 313.854 3.060 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CC[C@@H](N4CC=CC4)C3)nc21 ZINC000428150529 273580742 /nfs/dbraw/zinc/58/07/42/273580742.db2.gz KNWUIFBGJAAJBJ-QGZVFWFLSA-N 0 1 322.456 3.018 20 30 DGEDMN C=CCOc1ccc(CNC[C@@](C)(O)C(F)(F)F)cc1Cl ZINC000270552792 432258535 /nfs/dbraw/zinc/25/85/35/432258535.db2.gz MVNYOURSXCZAKD-CYBMUJFWSA-N 0 1 323.742 3.308 20 30 DGEDMN CO[C@@H](CN1CCN(c2ccccc2C#N)CC1)c1ccccc1 ZINC000338545698 274059799 /nfs/dbraw/zinc/05/97/99/274059799.db2.gz IUOHSIUEJNVSES-FQEVSTJZSA-N 0 1 321.424 3.068 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](C)CC[C@H]2c2ccccc2)CC1 ZINC000288284419 275382244 /nfs/dbraw/zinc/38/22/44/275382244.db2.gz HKOCLLDMSRLIFC-XLIONFOSSA-N 0 1 324.468 3.331 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000496066421 276876848 /nfs/dbraw/zinc/87/68/48/276876848.db2.gz NFNAXUVIBYIPAA-UHFFFAOYSA-N 0 1 300.830 3.072 20 30 DGEDMN CC(C)(C)[C@@H]1C[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)CCO1 ZINC000328948544 277242316 /nfs/dbraw/zinc/24/23/16/277242316.db2.gz KOABNOVCPJRHFT-XUJVJEKNSA-N 0 1 305.422 3.380 20 30 DGEDMN CC1(C)C[C@H](NC(=O)c2cc3[nH]cnc3cc2F)C(C)(C)O1 ZINC000329213389 278375377 /nfs/dbraw/zinc/37/53/77/278375377.db2.gz JILXSWQSLWGLOD-ZDUSSCGKSA-N 0 1 305.353 3.353 20 30 DGEDMN CC(C)(C)OC(=O)N(CCN1CCC(C#N)CC1)C(C)(C)C ZINC000496877191 278377790 /nfs/dbraw/zinc/37/77/90/278377790.db2.gz UEAQUXDXLGUTRW-UHFFFAOYSA-N 0 1 309.454 3.258 20 30 DGEDMN C[C@@H]1C[C@]1(C#N)C(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000450189682 279010279 /nfs/dbraw/zinc/01/02/79/279010279.db2.gz ALVZPQLAAXFJCB-FUXBKTLASA-N 0 1 308.263 3.070 20 30 DGEDMN Cc1ccccc1CN(C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000069057091 431156507 /nfs/dbraw/zinc/15/65/07/431156507.db2.gz FTCJYCDUQFUVKL-MRXNPFEDSA-N 0 1 313.445 3.158 20 30 DGEDMN C=CC1CCN(CC(=O)N2CCc3sccc3[C@@H]2CC)CC1 ZINC000111258628 431204378 /nfs/dbraw/zinc/20/43/78/431204378.db2.gz WEDWDRLFMCNBCF-INIZCTEOSA-N 0 1 318.486 3.482 20 30 DGEDMN COc1ccc(Cl)c(S(=O)(=O)Nc2cccc(C#N)c2)c1 ZINC000112266096 431260919 /nfs/dbraw/zinc/26/09/19/431260919.db2.gz UZHKUBIPXARLLR-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN N#C[C@H](NC(=O)c1n[nH]c2ccccc21)c1ccc(Cl)cc1 ZINC000113179207 431331439 /nfs/dbraw/zinc/33/14/39/431331439.db2.gz MFKTZJDBDCIRDQ-AWEZNQCLSA-N 0 1 310.744 3.211 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)[C@@H](C)c1ccccc1OC ZINC000114712581 431408027 /nfs/dbraw/zinc/40/80/27/431408027.db2.gz OOGAPWCEYGXCAO-HNNXBMFYSA-N 0 1 304.434 3.113 20 30 DGEDMN CC(C)N(CC(=O)Nc1cccc(C#N)c1)Cc1ccccc1 ZINC000010928476 431469429 /nfs/dbraw/zinc/46/94/29/431469429.db2.gz XVPLUMTXORSFNN-UHFFFAOYSA-N 0 1 307.397 3.407 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000075672494 431492066 /nfs/dbraw/zinc/49/20/66/431492066.db2.gz WOGSIAFCOWIMJH-QWRGUYRKSA-N 0 1 300.324 3.047 20 30 DGEDMN CC[C@]1(C)CCCN([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000075998822 431514755 /nfs/dbraw/zinc/51/47/55/431514755.db2.gz VEXFEVVSCWSSSX-DOTOQJQBSA-N 0 1 305.466 3.230 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@H]1c1ccc[nH]1 ZINC000077266768 431579239 /nfs/dbraw/zinc/57/92/39/431579239.db2.gz HKDOFXNYTLAZNF-INIZCTEOSA-N 0 1 311.385 3.111 20 30 DGEDMN C[C@@H]1CN([C@@H]2CC[C@@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC000495796510 288250067 /nfs/dbraw/zinc/25/00/67/288250067.db2.gz VZGRSHWHWFHXCF-LXTVHRRPSA-N 0 1 307.438 3.008 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000121256085 431761744 /nfs/dbraw/zinc/76/17/44/431761744.db2.gz AVVJTNWBXQPLMO-INIZCTEOSA-N 0 1 302.418 3.301 20 30 DGEDMN COc1ccc2cc(C(=O)C(C#N)c3ccccn3)c(C)nc2c1 ZINC000121997687 431808377 /nfs/dbraw/zinc/80/83/77/431808377.db2.gz RJZRWQNEEMIKFM-INIZCTEOSA-N 0 1 317.348 3.437 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(OC)c(O)c2)c(O)c1 ZINC000033376564 431812704 /nfs/dbraw/zinc/81/27/04/431812704.db2.gz PXZWGNIMAQEYSE-CLTKARDFSA-N 0 1 300.310 3.011 20 30 DGEDMN C[C@H](NCC(=O)NC1(C#N)CCCCC1)c1cccc(Cl)c1 ZINC000123179595 431857683 /nfs/dbraw/zinc/85/76/83/431857683.db2.gz ISSUSATWUSVTJX-ZDUSSCGKSA-N 0 1 319.836 3.333 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)cc1C#N ZINC000124937562 431909323 /nfs/dbraw/zinc/90/93/23/431909323.db2.gz LVCDLTAZSFIFJA-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN COc1ccc(CN2CCN(C)C[C@H]2c2ccccc2)cc1C#N ZINC000125011783 431911262 /nfs/dbraw/zinc/91/12/62/431911262.db2.gz RPUVPYSYOHDSKT-IBGZPJMESA-N 0 1 321.424 3.056 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2ccc(C#N)cc2F)CC1 ZINC000092612943 431911257 /nfs/dbraw/zinc/91/12/57/431911257.db2.gz RCAIDFKQAOIQCG-UHFFFAOYSA-N 0 1 314.408 3.149 20 30 DGEDMN COc1ccc(CN2CCC[C@H]2c2c(C)n[nH]c2C)cc1C#N ZINC000091081091 431901484 /nfs/dbraw/zinc/90/14/84/431901484.db2.gz HPYXGMCMNIVMGW-INIZCTEOSA-N 0 1 310.401 3.244 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CCc3c(Cl)cccc3C2)cc1 ZINC000127532541 431974905 /nfs/dbraw/zinc/97/49/05/431974905.db2.gz JMEWKMHMAJWHHE-SFHVURJKSA-N 0 1 312.800 3.303 20 30 DGEDMN CC(=O)c1cc(C(=O)[C@@H](C#N)c2ccc3ccccc3n2)n(C)c1 ZINC000048852137 431933124 /nfs/dbraw/zinc/93/31/24/431933124.db2.gz DHPHEPUSZMEVND-HNNXBMFYSA-N 0 1 317.348 3.266 20 30 DGEDMN C=C(C)COCCNCc1cc(Br)ccc1F ZINC000125778989 431935663 /nfs/dbraw/zinc/93/56/63/431935663.db2.gz BDSISLVHUWDUFK-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@@H](C)C(=O)Nc1ccc2c(c1)OCCCO2 ZINC000125939062 431938960 /nfs/dbraw/zinc/93/89/60/431938960.db2.gz GBZCSFLANZMGTH-AWEZNQCLSA-N 0 1 318.417 3.073 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)Nc1ccc2c(c1)OCCCO2 ZINC000125939062 431938963 /nfs/dbraw/zinc/93/89/63/431938963.db2.gz GBZCSFLANZMGTH-AWEZNQCLSA-N 0 1 318.417 3.073 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCCC[C@@H]2C)c1C ZINC000051559807 431951580 /nfs/dbraw/zinc/95/15/80/431951580.db2.gz JVASYJUORYYUIP-INIZCTEOSA-N 0 1 313.445 3.425 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)COc1ccc(F)cc1 ZINC000053420124 431963314 /nfs/dbraw/zinc/96/33/14/431963314.db2.gz ZZJHHTABRUGCAP-OAHLLOKOSA-N 0 1 321.417 3.315 20 30 DGEDMN CCN(CC(=O)N[C@H](C)C(C)C)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340674 431969515 /nfs/dbraw/zinc/96/95/15/431969515.db2.gz WGEANXHCMAWRHD-CABCVRRESA-N 0 1 301.434 3.102 20 30 DGEDMN Cn1cc(CN2CC[C@H](c3c(F)cccc3F)C2)cc1C#N ZINC000191503569 432271214 /nfs/dbraw/zinc/27/12/14/432271214.db2.gz SYAHQNRJPXBKTC-ZDUSSCGKSA-N 0 1 301.340 3.164 20 30 DGEDMN Cc1oc(NC(=O)CN2C[C@H](C)[C@@H]3CCCC[C@H]32)c(C#N)c1C ZINC000248418895 432288422 /nfs/dbraw/zinc/28/84/22/432288422.db2.gz KRXVAJSVSXQALI-HZUKXOBISA-N 0 1 315.417 3.217 20 30 DGEDMN Cc1oc(NC(=O)CN2C[C@H](C)[C@H]3CCCC[C@H]32)c(C#N)c1C ZINC000248418897 432289296 /nfs/dbraw/zinc/28/92/96/432289296.db2.gz KRXVAJSVSXQALI-SGIREYDYSA-N 0 1 315.417 3.217 20 30 DGEDMN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1sccc1C#N ZINC000173707639 432294120 /nfs/dbraw/zinc/29/41/20/432294120.db2.gz LNTLNGITJLKMFI-OCCSQVGLSA-N 0 1 305.447 3.459 20 30 DGEDMN C#CCOc1ccc(CNCc2cccc3c2OCCCO3)cc1 ZINC000128498141 431995237 /nfs/dbraw/zinc/99/52/37/431995237.db2.gz UZAGHDMABGRBHJ-UHFFFAOYSA-N 0 1 323.392 3.150 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)NCC[N@H+](C)Cc1ccccc1 ZINC000058451757 431996658 /nfs/dbraw/zinc/99/66/58/431996658.db2.gz LVFXLJANYWSDLU-UHFFFAOYSA-N 0 1 322.412 3.120 20 30 DGEDMN C=CCOc1ccc(CN(Cc2cnnn2C)C(C)(C)C)cc1 ZINC000271019017 432316009 /nfs/dbraw/zinc/31/60/09/432316009.db2.gz AZPNVARPRFLRLE-UHFFFAOYSA-N 0 1 314.433 3.181 20 30 DGEDMN Fc1ccc([C@H]2OCC[C@H]2NCC#Cc2ccccc2)cc1F ZINC000192115306 432334273 /nfs/dbraw/zinc/33/42/73/432334273.db2.gz PNOXHWNPIXXBHW-RTBURBONSA-N 0 1 313.347 3.436 20 30 DGEDMN CC(C)(O)[C@H]1CCCN1Cc1cc(C#N)ccc1Br ZINC000191814796 432304722 /nfs/dbraw/zinc/30/47/22/432304722.db2.gz YENSBNSKTLGTSN-CQSZACIVSA-N 0 1 323.234 3.056 20 30 DGEDMN Cc1ccc2nc(CN[C@H](C)c3ccc(OCC#N)cc3)cn2c1 ZINC000271802561 432425220 /nfs/dbraw/zinc/42/52/20/432425220.db2.gz KJGNEVODQWPUDK-OAHLLOKOSA-N 0 1 320.396 3.396 20 30 DGEDMN CC(C)c1nc([C@@H](C)N[C@H](C)c2ccc(OCC#N)cc2)n[nH]1 ZINC000271879032 432426832 /nfs/dbraw/zinc/42/68/32/432426832.db2.gz PAFBEPLCNQUPBN-CHWSQXEVSA-N 0 1 313.405 3.242 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cccc(CC#N)c3)n2)cc1 ZINC000193488772 432477287 /nfs/dbraw/zinc/47/72/87/432477287.db2.gz MLJOGFNQIAQAQU-UHFFFAOYSA-N 0 1 317.352 3.099 20 30 DGEDMN CCN(CC)c1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1 ZINC000193612828 432484367 /nfs/dbraw/zinc/48/43/67/432484367.db2.gz UYPCCCMCOAABIN-ACCUITESSA-N 0 1 322.412 3.357 20 30 DGEDMN OCCN(CC#Cc1ccc(F)cc1)[C@H]1CCc2ccccc21 ZINC000154605369 432510005 /nfs/dbraw/zinc/51/00/05/432510005.db2.gz WUELQRJUHRXKIK-FQEVSTJZSA-N 0 1 309.384 3.159 20 30 DGEDMN CCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000154054755 432467806 /nfs/dbraw/zinc/46/78/06/432467806.db2.gz RQIWZBNYGQZOMU-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN N#CCCCNCc1cc(Br)ccc1OC(F)F ZINC000273096040 432549188 /nfs/dbraw/zinc/54/91/88/432549188.db2.gz UQHBAQNBGONQBF-UHFFFAOYSA-N 0 1 319.149 3.444 20 30 DGEDMN N#CC(C(=O)c1cc(Br)cs1)c1ccccn1 ZINC000194587536 432560794 /nfs/dbraw/zinc/56/07/94/432560794.db2.gz FZHXMEPNGMSFRU-VIFPVBQESA-N 0 1 307.172 3.396 20 30 DGEDMN N#CC(C(=O)c1cc(Br)cs1)c1ccccn1 ZINC000194587525 432561180 /nfs/dbraw/zinc/56/11/80/432561180.db2.gz FZHXMEPNGMSFRU-SECBINFHSA-N 0 1 307.172 3.396 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cccc2c[nH]nc21 ZINC000154702326 432516166 /nfs/dbraw/zinc/51/61/66/432516166.db2.gz IZMIDDYCUFWLCE-UHFFFAOYSA-N 0 1 321.380 3.400 20 30 DGEDMN CN(CCCc1ccccc1)CC(=O)Nc1ccc(C#N)cc1 ZINC000194258627 432536015 /nfs/dbraw/zinc/53/60/15/432536015.db2.gz RGDREABZAJSKOR-UHFFFAOYSA-N 0 1 307.397 3.061 20 30 DGEDMN Cc1oc(NC(=O)CN2[C@H](C)C[C@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000156967776 432612734 /nfs/dbraw/zinc/61/27/34/432612734.db2.gz AWDYQNZGOSEMQS-XFJVYGCCSA-N 0 1 315.417 3.360 20 30 DGEDMN N#Cc1ccccc1OCCN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186633133 432615790 /nfs/dbraw/zinc/61/57/90/432615790.db2.gz AHHPCKPUHVTQNI-FQEVSTJZSA-N 0 1 320.392 3.068 20 30 DGEDMN C=CCCOCC[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000186186271 432565848 /nfs/dbraw/zinc/56/58/48/432565848.db2.gz SWDMARFEKTXLGC-NSHDSACASA-N 0 1 314.223 3.398 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(C#N)cc1C ZINC000186189612 432566145 /nfs/dbraw/zinc/56/61/45/432566145.db2.gz QWMVEQQCGUQXRJ-UHFFFAOYSA-N 0 1 319.408 3.387 20 30 DGEDMN N#CC(C(N)=O)c1nc(-c2ccc(Cl)cc2Cl)cs1 ZINC000155687096 432567901 /nfs/dbraw/zinc/56/79/01/432567901.db2.gz HSTMKFUJMXKVFL-MRVPVSSYSA-N 0 1 312.181 3.209 20 30 DGEDMN CCCNC(=O)c1cccc(CNCc2ccc(C#N)s2)c1 ZINC000176949171 432568787 /nfs/dbraw/zinc/56/87/87/432568787.db2.gz DOPXIPYTMVCMHN-UHFFFAOYSA-N 0 1 313.426 3.049 20 30 DGEDMN C[C@H](NCC#Cc1ccc(OC(F)(F)F)cc1)c1cnn(C)c1 ZINC000273245578 432571927 /nfs/dbraw/zinc/57/19/27/432571927.db2.gz BCGZSBZXMZFWKC-LBPRGKRZSA-N 0 1 323.318 3.021 20 30 DGEDMN Cc1sc(NC(=O)CN(C)[C@H](C)C2(C)CC2)c(C#N)c1C ZINC000177249760 432603958 /nfs/dbraw/zinc/60/39/58/432603958.db2.gz VTUFFNODKPQZSN-GFCCVEGCSA-N 0 1 305.447 3.296 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)CCC1 ZINC000265567863 432710881 /nfs/dbraw/zinc/71/08/81/432710881.db2.gz RYMFTSSECMNPBF-AWEZNQCLSA-N 0 1 310.357 3.047 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1cccc(C#N)c1 ZINC000188261909 432714811 /nfs/dbraw/zinc/71/48/11/432714811.db2.gz ZIXAGFCYZZFSTF-UHFFFAOYSA-N 0 1 304.396 3.081 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1 ZINC000187726297 432679254 /nfs/dbraw/zinc/67/92/54/432679254.db2.gz DKXJPEXUBIYYAV-LLVKDONJSA-N 0 1 324.409 3.049 20 30 DGEDMN Cc1ccc([C@@H](CNc2nc(C)ccc2C#N)N2CCCC2)o1 ZINC000161079075 432758101 /nfs/dbraw/zinc/75/81/01/432758101.db2.gz RPNCYGMVUFILAI-MRXNPFEDSA-N 0 1 310.401 3.412 20 30 DGEDMN CC(C)(C)OC(=O)NCC(F)(F)CNCC(C)(C)CCC#N ZINC000189278840 432775539 /nfs/dbraw/zinc/77/55/39/432775539.db2.gz UIOVIVWUUXYPDK-UHFFFAOYSA-N 0 1 319.396 3.066 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@H]2CCC[N@H+]2Cc2ccccc2)n1 ZINC000161451354 432779708 /nfs/dbraw/zinc/77/97/08/432779708.db2.gz UPXDBSMZMOFNFQ-GOSISDBHSA-N 0 1 306.413 3.338 20 30 DGEDMN CN(CCC#N)c1ccc(CNCc2cccc3c2OCO3)cc1 ZINC000237205688 432781275 /nfs/dbraw/zinc/78/12/75/432781275.db2.gz ASFDWKVTQKVCRF-UHFFFAOYSA-N 0 1 323.396 3.055 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2)CCCN1c1ccc(C#N)cn1 ZINC000265833486 432738156 /nfs/dbraw/zinc/73/81/56/432738156.db2.gz LIGQPIPKSISKNW-MRXNPFEDSA-N 0 1 306.413 3.054 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2c(F)cccc2NC2CC2)cc1O ZINC000188745063 432743674 /nfs/dbraw/zinc/74/36/74/432743674.db2.gz RVCBXVNODRPISA-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN C=CCC[C@H](NC(=O)CN(C)[C@@H]1CCSC1)c1ccccc1 ZINC000161777338 432796528 /nfs/dbraw/zinc/79/65/28/432796528.db2.gz DHMSPLHXAPUAEJ-SJORKVTESA-N 0 1 318.486 3.247 20 30 DGEDMN C=CCC1(C(=O)Nc2nc3cccc(C(=O)OC)c3[nH]2)CCC1 ZINC000189621899 432804266 /nfs/dbraw/zinc/80/42/66/432804266.db2.gz KSNMWBFDWYENKR-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN CCc1ccccc1NC(=O)CN(C)CC(C)(C)CCC#N ZINC000161966009 432806162 /nfs/dbraw/zinc/80/61/62/432806162.db2.gz IYCPBOIDXOXYDQ-UHFFFAOYSA-N 0 1 301.434 3.449 20 30 DGEDMN Cc1ncc(C(=O)NCCN2CC=C(C(C)(C)C)CC2)c(C)n1 ZINC000330159706 432895582 /nfs/dbraw/zinc/89/55/82/432895582.db2.gz RFUKPLPERSDHFD-UHFFFAOYSA-N 0 1 316.449 3.076 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cnc4ccsc4c3)no2)CC1 ZINC000277782342 432875666 /nfs/dbraw/zinc/87/56/66/432875666.db2.gz FGFVPDMFVVDNKR-UHFFFAOYSA-N 0 1 324.409 3.159 20 30 DGEDMN CC(C)CC[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329937034 432883166 /nfs/dbraw/zinc/88/31/66/432883166.db2.gz RVAXBGXUNWFNCT-HOTGVXAUSA-N 0 1 318.465 3.472 20 30 DGEDMN Cc1ccc([C@@H](CNc2snc(C)c2C#N)N2CCCC2)o1 ZINC000288022342 432883345 /nfs/dbraw/zinc/88/33/45/432883345.db2.gz SSSKNJQKYORQMC-CQSZACIVSA-N 0 1 316.430 3.474 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1O[C@@H]1CCOC1 ZINC000329944365 432884180 /nfs/dbraw/zinc/88/41/80/432884180.db2.gz IIWPNHRXMPNCAZ-QLFBSQMISA-N 0 1 318.417 3.315 20 30 DGEDMN CC1=CCN(CCNC(=O)[C@H]2CCCc3c(O)cccc32)CC1 ZINC000330016786 432888880 /nfs/dbraw/zinc/88/88/80/432888880.db2.gz QCOPRHJPSRCMEA-KRWDZBQOSA-N 0 1 314.429 3.421 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2ccc(C#N)cc2[N+](=O)[O-])n[nH]1 ZINC000277469790 432852917 /nfs/dbraw/zinc/85/29/17/432852917.db2.gz RKAVHFMZHZZMQG-VHSXEESVSA-N 0 1 314.349 3.271 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000245650466 432852971 /nfs/dbraw/zinc/85/29/71/432852971.db2.gz HEYFWZJNGJEHGG-LJQANCHMSA-N 0 1 321.424 3.056 20 30 DGEDMN CC1(C)CCCN(C(=O)c2c[nH]c3ccccc3c2=O)[C@H]1C#N ZINC000277525837 432858026 /nfs/dbraw/zinc/85/80/26/432858026.db2.gz OLQRFRGBNXDHAT-HNNXBMFYSA-N 0 1 309.369 3.095 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)N2CC3(CCC3)[C@H]2C(C)C)n[nH]1 ZINC000330215920 432898223 /nfs/dbraw/zinc/89/82/23/432898223.db2.gz MTCSKWFQPPQPHH-SWLSCSKDSA-N 0 1 304.438 3.074 20 30 DGEDMN CS[C@@H]1CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000296355510 432899848 /nfs/dbraw/zinc/89/98/48/432899848.db2.gz QPMHIZNMGCCNEX-GFCCVEGCSA-N 0 1 311.248 3.258 20 30 DGEDMN Cn1c(CN[C@H]2CCC[C@@H](C#N)C2)nc2cc(Cl)ccc21 ZINC000278770961 432900488 /nfs/dbraw/zinc/90/04/88/432900488.db2.gz PDGKDMAMXPOOMB-YPMHNXCESA-N 0 1 302.809 3.399 20 30 DGEDMN CCn1nccc1C1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000278812762 432903550 /nfs/dbraw/zinc/90/35/50/432903550.db2.gz GTQYBRKUAFWOTD-UHFFFAOYSA-N 0 1 312.392 3.293 20 30 DGEDMN CC(C)CN1CCO[C@@H](CNC(=O)c2occc2C(C)C)C1 ZINC000330468966 432911875 /nfs/dbraw/zinc/91/18/75/432911875.db2.gz KUQFXBWQMDZPAG-AWEZNQCLSA-N 0 1 308.422 3.064 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](c3ccc(C)cc3)C[C@@H]2C)CC1 ZINC000278913120 432916643 /nfs/dbraw/zinc/91/66/43/432916643.db2.gz KWJADSAMKCJPOB-PXNSSMCTSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN1CCC(C(=O)N(C(C)C)[C@@H](C)c2ccccc2)CC1 ZINC000297634259 433000759 /nfs/dbraw/zinc/00/07/59/433000759.db2.gz AIGRDCZCHZUPSB-KRWDZBQOSA-N 0 1 312.457 3.330 20 30 DGEDMN C[C@H](C#N)CN(C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000494454869 289238950 /nfs/dbraw/zinc/23/89/50/289238950.db2.gz QJSOXXWTXDAQHL-HUUCEWRRSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CC(C)(C)[C@H]2[C@H]2CCCO2)cc1 ZINC000367965180 289240368 /nfs/dbraw/zinc/24/03/68/289240368.db2.gz LISPMUIDLNZVDD-ZTFGCOKTSA-N 0 1 314.429 3.367 20 30 DGEDMN C=CCN1CCC(Nc2ccc(C(=O)CC)cc2[N+](=O)[O-])CC1 ZINC000280679395 433133804 /nfs/dbraw/zinc/13/38/04/433133804.db2.gz IEYIZFFQUUXPDJ-UHFFFAOYSA-N 0 1 317.389 3.250 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1C)c1ccc(C#N)cc1 ZINC000298432932 433136547 /nfs/dbraw/zinc/13/65/47/433136547.db2.gz WUUJRIQJPIFRRG-ZXFNITATSA-N 0 1 313.445 3.292 20 30 DGEDMN N#Cc1ccc(CNC(=O)CN2CCC[C@H]2c2ccccc2)cc1 ZINC000298481783 433151399 /nfs/dbraw/zinc/15/13/99/433151399.db2.gz VBKSCUYBVOKZHL-IBGZPJMESA-N 0 1 319.408 3.012 20 30 DGEDMN Cn1c(CN[C@H]2CCC[C@H](C#N)C2)nc2cccc(Cl)c21 ZINC000446804265 433104494 /nfs/dbraw/zinc/10/44/94/433104494.db2.gz QMFVESJWSCMKCS-RYUDHWBXSA-N 0 1 302.809 3.399 20 30 DGEDMN N#CCCCC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000290922059 433207879 /nfs/dbraw/zinc/20/78/79/433207879.db2.gz HTRGGLWNFSEJSX-UHFFFAOYSA-N 0 1 319.836 3.114 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(OCCC(C)C)CC1 ZINC000298575904 433187395 /nfs/dbraw/zinc/18/73/95/433187395.db2.gz KGFLRMAJRGCMDI-KRWDZBQOSA-N 0 1 322.493 3.103 20 30 DGEDMN CN(C)Cc1cc(-c2noc(-c3ccc(C#N)o3)n2)ccc1F ZINC000350506967 433190204 /nfs/dbraw/zinc/19/02/04/433190204.db2.gz FGIJMBVWKGZNAB-UHFFFAOYSA-N 0 1 312.304 3.069 20 30 DGEDMN CC[N@@H+](CC1CCC1)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000361480156 433197322 /nfs/dbraw/zinc/19/73/22/433197322.db2.gz BTDCHRZDSOJYMK-INIZCTEOSA-N 0 1 313.445 3.444 20 30 DGEDMN Cc1[nH]nc2ncc(CN(C)[C@@H](C)c3cccc(C#N)c3)cc12 ZINC000425367357 433206447 /nfs/dbraw/zinc/20/64/47/433206447.db2.gz SPKWSHSWMBVISY-ZDUSSCGKSA-N 0 1 305.385 3.331 20 30 DGEDMN COc1ccc2c(c1)C[C@@H](C)N(Cc1cc(C#N)n(C)c1)[C@H]2C ZINC000361582587 433258441 /nfs/dbraw/zinc/25/84/41/433258441.db2.gz JWQCIKMWGAKTEY-KGLIPLIRSA-N 0 1 309.413 3.413 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2N1C[C@H](C)N(C)[C@@H](C)C1 ZINC000338785987 433323604 /nfs/dbraw/zinc/32/36/04/433323604.db2.gz CWRSGAZKYMYQDB-STQMWFEESA-N 0 1 312.392 3.083 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)Cc1cccs1)c1ccccc1 ZINC000298879686 433271693 /nfs/dbraw/zinc/27/16/93/433271693.db2.gz KAZHPGQNEWFEJT-KRWDZBQOSA-N 0 1 312.438 3.061 20 30 DGEDMN CC(C)(CCC#N)CN1CC(n2cc(-c3ccccc3)nn2)C1 ZINC000374784235 433276153 /nfs/dbraw/zinc/27/61/53/433276153.db2.gz RZERUHZQZQBFDC-UHFFFAOYSA-N 0 1 309.417 3.132 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2cc3ccccc3s2)C1=O ZINC000281311047 433278993 /nfs/dbraw/zinc/27/89/93/433278993.db2.gz QKEFHBLMDMRMAA-HNNXBMFYSA-N 0 1 300.427 3.120 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000425530361 433283191 /nfs/dbraw/zinc/28/31/91/433283191.db2.gz DZPRVYAJMDBCGC-LBPRGKRZSA-N 0 1 308.385 3.124 20 30 DGEDMN CCCNc1ccc(C#N)cc1NS(=O)(=O)c1ccsc1 ZINC000350804207 433293031 /nfs/dbraw/zinc/29/30/31/433293031.db2.gz XCOLONLHCLMZBK-UHFFFAOYSA-N 0 1 321.427 3.242 20 30 DGEDMN N#Cc1cccc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)c1F ZINC000425558208 433300126 /nfs/dbraw/zinc/30/01/26/433300126.db2.gz XIXRGYWQESSSRI-SFHVURJKSA-N 0 1 314.404 3.478 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(C#N)c(F)c2)cc(OC)c1 ZINC000361698016 433345945 /nfs/dbraw/zinc/34/59/45/433345945.db2.gz ABDZEGWQBJCSQT-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN COc1cc(CNCc2ccc(OCC3CC3)nc2)ccc1C#N ZINC000281716253 433354971 /nfs/dbraw/zinc/35/49/71/433354971.db2.gz OYXCHKQAIPHHCU-UHFFFAOYSA-N 0 1 323.396 3.040 20 30 DGEDMN COc1cc(CNCc2cccc3c2OCCCO3)ccc1C#N ZINC000281734353 433363052 /nfs/dbraw/zinc/36/30/52/433363052.db2.gz QAEXYHRAPNTPPI-UHFFFAOYSA-N 0 1 324.380 3.018 20 30 DGEDMN CC(C)(C)OCCCCN1CCN(c2ccccc2C#N)CC1 ZINC000354875310 433333579 /nfs/dbraw/zinc/33/35/79/433333579.db2.gz GWWJYFBHUOMDDP-UHFFFAOYSA-N 0 1 315.461 3.276 20 30 DGEDMN N#CC(C(=O)c1cc(F)ccc1-c1ccoc1)c1ccncn1 ZINC000361792197 433392199 /nfs/dbraw/zinc/39/21/99/433392199.db2.gz KNRFCZDMONMEFM-OAHLLOKOSA-N 0 1 307.284 3.366 20 30 DGEDMN CC(C)c1nc([C@@H]2CCCCN2C(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000291990023 433421548 /nfs/dbraw/zinc/42/15/48/433421548.db2.gz NCORFPIZJKMFSG-HNNXBMFYSA-N 0 1 323.400 3.167 20 30 DGEDMN CC(C)c1nc([C@@H]2CCCCN2C(=O)c2cccc(C#N)c2)n[nH]1 ZINC000292055752 433443888 /nfs/dbraw/zinc/44/38/88/433443888.db2.gz RTLRLJFYZXSHQV-HNNXBMFYSA-N 0 1 323.400 3.167 20 30 DGEDMN N#CCCCC(=O)Nc1cc(Cl)cc(Br)c1O ZINC000292068067 433448230 /nfs/dbraw/zinc/44/82/30/433448230.db2.gz HZTMQJDYTSUQEC-UHFFFAOYSA-N 0 1 317.570 3.440 20 30 DGEDMN COc1cccc([C@@H](C)N2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC000301217308 433456897 /nfs/dbraw/zinc/45/68/97/433456897.db2.gz ZYPNCMYGQCZXSR-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN C[C@H](c1ccc(F)cc1F)N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000278757467 290232537 /nfs/dbraw/zinc/23/25/37/290232537.db2.gz XMXNNFXOYRSQCZ-YCPHGPKFSA-N 0 1 319.399 3.336 20 30 DGEDMN C=CCOc1ccc(C[N@H+](CCO)Cc2cccc(F)c2)cc1 ZINC000426372840 433477126 /nfs/dbraw/zinc/47/71/26/433477126.db2.gz QBEDMOSPZVBGIR-UHFFFAOYSA-N 0 1 315.388 3.385 20 30 DGEDMN C=CCOc1ccc(CN(CCO)Cc2cccc(F)c2)cc1 ZINC000426372840 433477136 /nfs/dbraw/zinc/47/71/36/433477136.db2.gz QBEDMOSPZVBGIR-UHFFFAOYSA-N 0 1 315.388 3.385 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000292225663 433515685 /nfs/dbraw/zinc/51/56/85/433515685.db2.gz MVRRYIQEHSLAEI-UHFFFAOYSA-N 0 1 300.446 3.323 20 30 DGEDMN C=CCN1CCC[C@@H](c2n[nH]cc2NC(=O)c2ccccc2)C1 ZINC000355214313 433541142 /nfs/dbraw/zinc/54/11/42/433541142.db2.gz IIZCTMUQZTUNJR-OAHLLOKOSA-N 0 1 310.401 3.027 20 30 DGEDMN CCn1cc(CCN2CCC[C@H]2[C@@H](C#N)c2ccccc2)cn1 ZINC000375696311 433529864 /nfs/dbraw/zinc/52/98/64/433529864.db2.gz GEMYYVURFWNNOR-OALUTQOASA-N 0 1 308.429 3.217 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](CCC)c2ccc(F)cc2F)C1=O ZINC000282103158 433495824 /nfs/dbraw/zinc/49/58/24/433495824.db2.gz WFHCTNVUUBBZEV-JKSUJKDBSA-N 0 1 308.372 3.183 20 30 DGEDMN CC[C@H](C(=O)NCc1ccc(C#N)cc1)N(C)Cc1ccccc1 ZINC000339283257 433496312 /nfs/dbraw/zinc/49/63/12/433496312.db2.gz DTSTTXKJKYQFEC-LJQANCHMSA-N 0 1 321.424 3.085 20 30 DGEDMN C=CCN1CCC(Nc2nc(-c3cccc(Cl)c3)no2)CC1 ZINC000301407113 433542018 /nfs/dbraw/zinc/54/20/18/433542018.db2.gz VNYZRTBNGHDCKA-UHFFFAOYSA-N 0 1 318.808 3.452 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CCc1cccc(F)c1)C1CC1 ZINC000356574994 433617926 /nfs/dbraw/zinc/61/79/26/433617926.db2.gz JZTCQBOWPDCFFX-UHFFFAOYSA-N 0 1 316.420 3.033 20 30 DGEDMN Cc1cc(Br)cc(C(=O)C(C#N)c2ccncn2)c1 ZINC000362283745 433619227 /nfs/dbraw/zinc/61/92/27/433619227.db2.gz DBXPOAXCEUDORS-LBPRGKRZSA-N 0 1 316.158 3.038 20 30 DGEDMN CC[C@@]1(C)CCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000362384810 433677239 /nfs/dbraw/zinc/67/72/39/433677239.db2.gz MANGGBORAGAWKS-IBGZPJMESA-N 0 1 313.445 3.445 20 30 DGEDMN N#CCCn1cc(C(=O)CN2CC[C@H](C3CC3)C2)c2ccccc21 ZINC000362414504 433700820 /nfs/dbraw/zinc/70/08/20/433700820.db2.gz WGUDUKNUXRFJPL-INIZCTEOSA-N 0 1 321.424 3.470 20 30 DGEDMN N#CCCn1cc(C(=O)CN2CC[C@@H](C3CC3)C2)c2ccccc21 ZINC000362414505 433701856 /nfs/dbraw/zinc/70/18/56/433701856.db2.gz WGUDUKNUXRFJPL-MRXNPFEDSA-N 0 1 321.424 3.470 20 30 DGEDMN C=CCCCCCN(C)C(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000427474084 433715452 /nfs/dbraw/zinc/71/54/52/433715452.db2.gz ZTGFQERZIARRPT-UHFFFAOYSA-N 0 1 315.421 3.112 20 30 DGEDMN C=CCOc1cc(OC)ccc1-c1nc([C@@H](N)CC(C)C)no1 ZINC000414614960 433748715 /nfs/dbraw/zinc/74/87/15/433748715.db2.gz HXLLRFUOAHKPCU-AWEZNQCLSA-N 0 1 317.389 3.356 20 30 DGEDMN CC(C)C[C@@H](N)c1noc(CSc2ccc(C#N)cc2)n1 ZINC000414625457 433757154 /nfs/dbraw/zinc/75/71/54/433757154.db2.gz WVUHDOWVTWHTTF-CYBMUJFWSA-N 0 1 302.403 3.279 20 30 DGEDMN N#Cc1cccc(Sc2nc(-c3ccc4c(c3)CCC4)n[nH]2)n1 ZINC000301866298 433766168 /nfs/dbraw/zinc/76/61/68/433766168.db2.gz VGZMORDSFITJPW-UHFFFAOYSA-N 0 1 319.393 3.378 20 30 DGEDMN Cn1cc(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)cc1C#N ZINC000414560368 433725216 /nfs/dbraw/zinc/72/52/16/433725216.db2.gz QYHMZQRWASRSNA-IBGZPJMESA-N 0 1 321.424 3.250 20 30 DGEDMN N#Cc1ccc(CN2CCC(F)(c3cccnc3)CC2)s1 ZINC000375302666 293509191 /nfs/dbraw/zinc/50/91/91/293509191.db2.gz MNGDHPDQYVSKJA-UHFFFAOYSA-N 0 1 301.390 3.476 20 30 DGEDMN CN1CCN(CC#Cc2ccc(F)cc2)C[C@@H]1Cc1ccccc1 ZINC000283969139 433805051 /nfs/dbraw/zinc/80/50/51/433805051.db2.gz CGFWUHMUAJZRSP-NRFANRHFSA-N 0 1 322.427 3.036 20 30 DGEDMN Cc1ccnc(N2C[C@@H](C)N(Cc3ccccc3)C[C@@H]2C)c1C#N ZINC000343502422 433811955 /nfs/dbraw/zinc/81/19/55/433811955.db2.gz DOZKVHPDEQFKKH-SJORKVTESA-N 0 1 320.440 3.361 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CC=C(C(F)(F)F)CC2)c1 ZINC000339831156 433812673 /nfs/dbraw/zinc/81/26/73/433812673.db2.gz MGKNICOODLKLGW-UHFFFAOYSA-N 0 1 323.318 3.081 20 30 DGEDMN C=C(C)[C@@H](Nc1nnc(C(F)F)o1)c1ccc(F)c(F)c1 ZINC000432140959 433821280 /nfs/dbraw/zinc/82/12/80/433821280.db2.gz BURMTFKIOKKOHI-SNVBAGLBSA-N 0 1 301.243 4.015 20 30 DGEDMN CN(C)[C@H](CNc1cc(C#N)ccn1)c1ccccc1Cl ZINC000339969817 433875303 /nfs/dbraw/zinc/87/53/03/433875303.db2.gz UUCMDGSPMWLWJH-OAHLLOKOSA-N 0 1 300.793 3.321 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2ccc3cncn3c2)C2CC2)cc1 ZINC000339877991 433837592 /nfs/dbraw/zinc/83/75/92/433837592.db2.gz BLFFDIZTUHGXIR-UHFFFAOYSA-N 0 1 316.364 3.011 20 30 DGEDMN CC(C)(C)[C@@H]1CCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000344143903 433853789 /nfs/dbraw/zinc/85/37/89/433853789.db2.gz BFMFRPFFMSUEKY-KGLIPLIRSA-N 0 1 317.458 3.093 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1nc2cccc(Br)c2[nH]1 ZINC000457017861 433935500 /nfs/dbraw/zinc/93/55/00/433935500.db2.gz BFLHYWLBHJNJTD-UHFFFAOYSA-N 0 1 322.206 3.154 20 30 DGEDMN Cc1ccc(C)c(CN2CCN(c3ccccc3C#N)CC2)c1 ZINC000303117105 433921131 /nfs/dbraw/zinc/92/11/31/433921131.db2.gz LMMDOBUYVSXTCX-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C[C@H](O)Cc1ccccc1 ZINC000363287853 434013179 /nfs/dbraw/zinc/01/31/79/434013179.db2.gz IYYAINDZJJONOI-NJYVYQBISA-N 0 1 320.436 3.362 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353179478 434070686 /nfs/dbraw/zinc/07/06/86/434070686.db2.gz JDZKQCNDFCTXPX-LBPRGKRZSA-N 0 1 306.369 3.294 20 30 DGEDMN CCCCCCCC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000363626665 434099120 /nfs/dbraw/zinc/09/91/20/434099120.db2.gz DNXQBHQHBZWLHW-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN CCOc1cc(C(=O)Nc2ccccc2SCCC#N)on1 ZINC000294476293 434115422 /nfs/dbraw/zinc/11/54/22/434115422.db2.gz DCYALQJGTNCTEG-UHFFFAOYSA-N 0 1 317.370 3.331 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](c1cccc(OC)c1)N1CCCC1 ZINC000294481302 434116041 /nfs/dbraw/zinc/11/60/41/434116041.db2.gz VSMIWZHMYPRNAV-QGZVFWFLSA-N 0 1 316.445 3.161 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)c2cnn(CC)c2)cc1OC ZINC000294804509 434145920 /nfs/dbraw/zinc/14/59/20/434145920.db2.gz IQYXJOJTXOLZIC-CQSZACIVSA-N 0 1 315.417 3.327 20 30 DGEDMN CO[C@@H]1[C@H]2C[C@H]3CN(Cc4ccc(Cl)cc4C#N)[C@H]1[C@H]3C2 ZINC000286435489 434266546 /nfs/dbraw/zinc/26/65/46/434266546.db2.gz JAPCDZFBLSXOCZ-MUMJOVGASA-N 0 1 302.805 3.067 20 30 DGEDMN CC(C)N1CCc2nc(CN(C[C@@H](C)C#N)C3CC3)sc2C1 ZINC000346231679 434221399 /nfs/dbraw/zinc/22/13/99/434221399.db2.gz XYYITFLMTGXTDE-ZDUSSCGKSA-N 0 1 318.490 3.034 20 30 DGEDMN CC[C@H](O)[C@@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000437151982 434223721 /nfs/dbraw/zinc/22/37/21/434223721.db2.gz DYUODTAAHPGLNU-GJZGRUSLSA-N 0 1 324.371 3.285 20 30 DGEDMN N#Cc1cc(C[N@H+]2CC[C@H](n3ncc4ccccc43)C2)ccc1F ZINC000364693199 434281576 /nfs/dbraw/zinc/28/15/76/434281576.db2.gz GJKNLVLNUYWSQR-KRWDZBQOSA-N 0 1 320.371 3.494 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](n3ncc4ccccc43)C2)ccc1F ZINC000364693199 434281583 /nfs/dbraw/zinc/28/15/83/434281583.db2.gz GJKNLVLNUYWSQR-KRWDZBQOSA-N 0 1 320.371 3.494 20 30 DGEDMN CCOC(CN1CC=C(c2ccc(C#N)cc2)CC1)OCC ZINC000364912251 434285706 /nfs/dbraw/zinc/28/57/06/434285706.db2.gz JTBJVEMLRNVZLP-UHFFFAOYSA-N 0 1 300.402 3.046 20 30 DGEDMN [O-]C(N[C@H]1C[C@H](c2ccccc2)C1)=[NH+][C@@H]1COc2ccccc2C1 ZINC000328601086 434288582 /nfs/dbraw/zinc/28/85/82/434288582.db2.gz DXUNRCOQNMSGTM-BZSNNMDCSA-N 0 1 322.408 3.440 20 30 DGEDMN [O-]C(N[C@@H]1COc2ccccc2C1)=[NH+][C@H]1C[C@H](c2ccccc2)C1 ZINC000328601086 434288589 /nfs/dbraw/zinc/28/85/89/434288589.db2.gz DXUNRCOQNMSGTM-BZSNNMDCSA-N 0 1 322.408 3.440 20 30 DGEDMN COCCN(Cc1ccccc1)Cc1ccc(C#N)c(OC)c1 ZINC000384402294 434290753 /nfs/dbraw/zinc/29/07/53/434290753.db2.gz AKGANVRZMCBIQO-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@@H]3c3ccccc3)C2)n[nH]1 ZINC000328760762 434309393 /nfs/dbraw/zinc/30/93/93/434309393.db2.gz XMLRIFMDMMGYCW-JFIYKMOQSA-N 0 1 324.428 3.368 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@@H]3c3ccccc3)C2)[nH]n1 ZINC000328760762 434309403 /nfs/dbraw/zinc/30/94/03/434309403.db2.gz XMLRIFMDMMGYCW-JFIYKMOQSA-N 0 1 324.428 3.368 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)NCCc2nc3ccc(F)cc3[nH]2)CCC1=O ZINC000328927521 434330608 /nfs/dbraw/zinc/33/06/08/434330608.db2.gz FXICQJLQHRBDKO-MNOVXSKESA-N 0 1 317.364 3.206 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)Nc1cc(Cl)c(O)cc1F ZINC000286595976 434277768 /nfs/dbraw/zinc/27/77/68/434277768.db2.gz BATXCSAHULOZJV-CQSZACIVSA-N 0 1 301.745 3.352 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCC3(C#N)CCC3)n2)cc1 ZINC000450695408 434347440 /nfs/dbraw/zinc/34/74/40/434347440.db2.gz FGHBQOHPQBYEAE-UHFFFAOYSA-N 0 1 300.387 3.266 20 30 DGEDMN CC[C@@H](NC([O-])=[NH+]C1(C)Cc2ccccc2C1)C1CCOCC1 ZINC000329089670 434358311 /nfs/dbraw/zinc/35/83/11/434358311.db2.gz WGZNCZKKGOVPIQ-QGZVFWFLSA-N 0 1 316.445 3.253 20 30 DGEDMN Cc1[nH+]ccn1CCNC(=O)N[C@H]1CCCCC12CCCC2 ZINC000329609493 434445635 /nfs/dbraw/zinc/44/56/35/434445635.db2.gz IZGWKSVFCXZQIL-HNNXBMFYSA-N 0 1 304.438 3.198 20 30 DGEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCCc2cn(C3CCCC3)nc21 ZINC000329348162 434398099 /nfs/dbraw/zinc/39/80/99/434398099.db2.gz SCMGDTQONSXSFZ-HZPDHXFCSA-N 0 1 316.449 3.426 20 30 DGEDMN O=C(CCC1CC1)Nc1ccc2nc(N3CCOCC3)[nH]c2c1 ZINC000329462844 434415383 /nfs/dbraw/zinc/41/53/83/434415383.db2.gz QHUPRXBXWKAHNJ-UHFFFAOYSA-N 0 1 314.389 3.178 20 30 DGEDMN [O-]C(N[C@H]1CCc2[nH]cnc2C1)=[NH+]c1cccc2c1CCCC2 ZINC000329724888 434468290 /nfs/dbraw/zinc/46/82/90/434468290.db2.gz BRFOSQXDKFEBNH-ZDUSSCGKSA-N 0 1 310.401 3.172 20 30 DGEDMN CCC[C@]1(C)CCCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329749923 434471258 /nfs/dbraw/zinc/47/12/58/434471258.db2.gz UQUZBTHEFBXXSO-CXAGYDPISA-N 0 1 304.438 3.083 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCCC[C@@H]1c1ccccc1 ZINC000329746628 434472030 /nfs/dbraw/zinc/47/20/30/434472030.db2.gz RXCNZWMSLZMDKH-CRAIPNDOSA-N 0 1 324.428 3.408 20 30 DGEDMN CC(C)c1nnc(CNC(=O)[C@@H](C)N2CCCCCC2)s1 ZINC000329790795 434481229 /nfs/dbraw/zinc/48/12/29/434481229.db2.gz DAPOFPRHMTVUJA-GFCCVEGCSA-N 0 1 310.467 3.383 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)N1CC[C@H](n2cccn2)C1 ZINC000329839545 434491845 /nfs/dbraw/zinc/49/18/45/434491845.db2.gz WAVNJVWQNJJZOZ-NRKLIOEPSA-N 0 1 318.465 3.300 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(C#N)o2)c(Cl)cc1F ZINC000340958371 434454582 /nfs/dbraw/zinc/45/45/82/434454582.db2.gz CLRRJHXKOHBFSP-UHFFFAOYSA-N 0 1 314.725 3.053 20 30 DGEDMN CCc1c(C(=O)NCCN2CC=C(C)CC2)cnn1C(C)(C)C ZINC000329666292 434458041 /nfs/dbraw/zinc/45/80/41/434458041.db2.gz KYAUEBBHGZYYSZ-UHFFFAOYSA-N 0 1 318.465 3.157 20 30 DGEDMN CN(C)c1ccc(CN2CCC(C#N)(c3ccccn3)CC2)cc1 ZINC000367056750 434537928 /nfs/dbraw/zinc/53/79/28/434537928.db2.gz BLYBIEXIISBMOY-UHFFFAOYSA-N 0 1 320.440 3.205 20 30 DGEDMN N#Cc1ccc(CN[C@]2(CO)CCCc3ccccc32)cc1F ZINC000367109311 434540995 /nfs/dbraw/zinc/54/09/95/434540995.db2.gz UGFNTTIJBIQHSU-IBGZPJMESA-N 0 1 310.372 3.011 20 30 DGEDMN C[C@@H]1CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC1(C)C ZINC000354024644 434585546 /nfs/dbraw/zinc/58/55/46/434585546.db2.gz RNIXPPHAXBSTGD-OAHLLOKOSA-N 0 1 305.466 3.039 20 30 DGEDMN Cc1cccc2nc(CNC(=O)[C@H](C)c3cccc(C#N)c3)[nH]c21 ZINC000360392875 434594747 /nfs/dbraw/zinc/59/47/47/434594747.db2.gz DVSCPBNCDNHHEW-CYBMUJFWSA-N 0 1 318.380 3.163 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2cccc(C#N)c2F)C1 ZINC000459562745 434610214 /nfs/dbraw/zinc/61/02/14/434610214.db2.gz OYIIVQLBSFZKOJ-SFHVURJKSA-N 0 1 318.392 3.251 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000459571804 434612184 /nfs/dbraw/zinc/61/21/84/434612184.db2.gz CXLBFCGUWVMLNX-GOSISDBHSA-N 0 1 318.392 3.251 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@@H](C)C(=O)Nc1cc(C)no1 ZINC000411255592 434752114 /nfs/dbraw/zinc/75/21/14/434752114.db2.gz HABSYGVMBGMREV-AWEZNQCLSA-N 0 1 305.422 3.378 20 30 DGEDMN N#CC1(CCN2CCN(Cc3cccnc3)CC2)CCCCC1 ZINC000411420515 434778065 /nfs/dbraw/zinc/77/80/65/434778065.db2.gz QPNRZQCFBLRGBV-UHFFFAOYSA-N 0 1 312.461 3.063 20 30 DGEDMN COC(=O)c1cc(CN[C@@H](C)c2ccc(C#N)cc2)cs1 ZINC000411448516 434780793 /nfs/dbraw/zinc/78/07/93/434780793.db2.gz LDQVVRMNVSVACM-NSHDSACASA-N 0 1 300.383 3.257 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N(C)c1ccccc1 ZINC000411444506 434781484 /nfs/dbraw/zinc/78/14/84/434781484.db2.gz DUEDTQFXJBOHHZ-GJZGRUSLSA-N 0 1 307.397 3.260 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2cncc(-c3ccc(Cl)cc3)c2)=NO1 ZINC000372327844 434820984 /nfs/dbraw/zinc/82/09/84/434820984.db2.gz YVGXVBCREFOXES-SNVBAGLBSA-N 0 1 315.760 3.254 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN([C@@H]3C[C@H]3c3cccc(F)c3)C2)nc1 ZINC000411756095 434826620 /nfs/dbraw/zinc/82/66/20/434826620.db2.gz JWWIVAAJHNXQHW-OKZBNKHCSA-N 0 1 323.371 3.102 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN([C@@H]3C[C@H]3c3cccc(F)c3)C2)nc1 ZINC000411756092 434826939 /nfs/dbraw/zinc/82/69/39/434826939.db2.gz JWWIVAAJHNXQHW-FGTMMUONSA-N 0 1 323.371 3.102 20 30 DGEDMN CC[C@@H](CC1CCCCC1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000573058577 434870777 /nfs/dbraw/zinc/87/07/77/434870777.db2.gz SRTLDTZDKKWNRG-INIZCTEOSA-N 0 1 305.466 3.087 20 30 DGEDMN CC[N@@H+](CC(C)(C)C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000463370624 434954903 /nfs/dbraw/zinc/95/49/03/434954903.db2.gz SIXGSSWPDJTZSN-QGZVFWFLSA-N 0 1 313.445 3.422 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@H](C)CN(CC)C[C@H]1C)c1ccccc1 ZINC000574482690 434981495 /nfs/dbraw/zinc/98/14/95/434981495.db2.gz UFFWKRYFIGJJHQ-BRWVUGGUSA-N 0 1 300.446 3.287 20 30 DGEDMN Cc1ccc(NC(=O)C[C@H](C)NCC2(C#N)CC2)c(Cl)c1 ZINC000555708276 434984105 /nfs/dbraw/zinc/98/41/05/434984105.db2.gz BEFAILCVYNCMSJ-LBPRGKRZSA-N 0 1 305.809 3.259 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)C=Cc3ccc(C#N)cc3)C2)n[nH]1 ZINC000492897940 435068582 /nfs/dbraw/zinc/06/85/82/435068582.db2.gz KJQMGGVJVNYFFI-XZVRFQMRSA-N 0 1 320.396 3.009 20 30 DGEDMN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NC[C@H](C#N)CCC#N ZINC000556884052 435034824 /nfs/dbraw/zinc/03/48/24/435034824.db2.gz CEPVEMQDJRGMEV-WZGPROSRSA-N 0 1 311.429 3.371 20 30 DGEDMN Cc1ccc([C@H](C)N(C)Cc2cnc3c(C#N)cnn3c2)c(C)c1 ZINC000579953406 435195606 /nfs/dbraw/zinc/19/56/06/435195606.db2.gz BDZQGXLSBSHGCG-HNNXBMFYSA-N 0 1 319.412 3.411 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C2CCC1CC2 ZINC000532916355 435198518 /nfs/dbraw/zinc/19/85/18/435198518.db2.gz HDNORZAYJKXZIE-GWMXKSKGSA-N 0 1 303.793 3.165 20 30 DGEDMN N#Cc1ccc(NC(=O)CC[N@H+]2CC[C@@H]2Cc2ccccc2)cc1 ZINC000533024051 435205110 /nfs/dbraw/zinc/20/51/10/435205110.db2.gz XDSCTXXJJBYIRA-LJQANCHMSA-N 0 1 319.408 3.204 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)C=Cc3cccc(C#N)c3)C2)n[nH]1 ZINC000493394479 435163141 /nfs/dbraw/zinc/16/31/41/435163141.db2.gz COXOLPDCGLXJCB-ZPUOCTKUSA-N 0 1 320.396 3.009 20 30 DGEDMN C[C@H]([N@@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C ZINC000580275633 435216587 /nfs/dbraw/zinc/21/65/87/435216587.db2.gz MRSSXNXKTJMCDB-YOEHRIQHSA-N 0 1 313.445 3.420 20 30 DGEDMN C=CCC[C@H](O)CN1CCCC[C@H]1c1nc2ccccc2n1C ZINC000533065334 435209428 /nfs/dbraw/zinc/20/94/28/435209428.db2.gz KHSGYUDOKNOIEX-YJBOKZPZSA-N 0 1 313.445 3.427 20 30 DGEDMN CC[C@H](CC#N)NCCC(=O)Nc1ccccc1C(F)(F)F ZINC000582309719 435239049 /nfs/dbraw/zinc/23/90/49/435239049.db2.gz NEEPQEYPPAKDAR-LLVKDONJSA-N 0 1 313.323 3.316 20 30 DGEDMN N#CC(C(=O)c1conc1C1CC1)c1ccc(C(F)(F)F)cn1 ZINC000562166794 435255868 /nfs/dbraw/zinc/25/58/68/435255868.db2.gz MAWGBODQWDFQFM-JTQLQIEISA-N 0 1 321.258 3.456 20 30 DGEDMN Cc1ccc(CNC(=O)[C@H](C)N2CCC(CCC#N)CC2)cc1 ZINC000566174307 435285321 /nfs/dbraw/zinc/28/53/21/435285321.db2.gz RDJTUCMDMULAOW-INIZCTEOSA-N 0 1 313.445 3.016 20 30 DGEDMN C=CCCCC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000479677654 435346805 /nfs/dbraw/zinc/34/68/05/435346805.db2.gz ZAMFRWUIZWPEQQ-UHFFFAOYSA-N 0 1 300.446 3.466 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@@H](c1cccs1)c1ccccc1 ZINC000481256299 435417867 /nfs/dbraw/zinc/41/78/67/435417867.db2.gz MFGOYDURFVYHQP-GOSISDBHSA-N 0 1 314.454 3.462 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@H]1c1ccccc1 ZINC000513275300 435381949 /nfs/dbraw/zinc/38/19/49/435381949.db2.gz CZNBLQMXKZGDKA-AYBZRNKSSA-N 0 1 313.445 3.124 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@H](c2cccnc2)C1 ZINC000639697170 435394482 /nfs/dbraw/zinc/39/44/82/435394482.db2.gz CGOKOTVFNFQXQC-WMZOPIPTSA-N 0 1 315.461 3.074 20 30 DGEDMN C[N@@H+](CCOCc1ccc(C#N)cc1)Cc1cccc2c[nH]nc21 ZINC000514928256 435448850 /nfs/dbraw/zinc/44/88/50/435448850.db2.gz PTGOAAWRISHTQR-UHFFFAOYSA-N 0 1 320.396 3.083 20 30 DGEDMN N#CCCN(C(=O)Cc1n[nH]c2ccccc21)c1ccc(F)cc1 ZINC000568784793 435471652 /nfs/dbraw/zinc/47/16/52/435471652.db2.gz PRMUAVVITFOOGJ-UHFFFAOYSA-N 0 1 322.343 3.191 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000637620235 435485736 /nfs/dbraw/zinc/48/57/36/435485736.db2.gz AMTMKIJZJHOMNB-GFCCVEGCSA-N 0 1 307.442 3.281 20 30 DGEDMN Cc1c(Cl)cccc1NC(=O)CCNC[C@H](C#N)CCC#N ZINC000569836890 435565956 /nfs/dbraw/zinc/56/59/56/435565956.db2.gz BTCAFSGCGLYXED-ZDUSSCGKSA-N 0 1 318.808 3.010 20 30 DGEDMN C=CCCC[N@H+](C)[C@H](C(=O)[O-])c1cccc(Br)c1 ZINC000570638931 435637268 /nfs/dbraw/zinc/63/72/68/435637268.db2.gz MFFWTPULZNZKRZ-ZDUSSCGKSA-N 0 1 312.207 3.473 20 30 DGEDMN COCC#CCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000649613138 435644457 /nfs/dbraw/zinc/64/44/57/435644457.db2.gz XPTYVTGGWNKEPL-OAHLLOKOSA-N 0 1 303.793 3.003 20 30 DGEDMN Cc1csc(NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)c1C#N ZINC000518558325 435644772 /nfs/dbraw/zinc/64/47/72/435644772.db2.gz NTCNFDJIELMWDB-SECBINFHSA-N 0 1 318.406 3.052 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000570824410 435654128 /nfs/dbraw/zinc/65/41/28/435654128.db2.gz YLQJLZDYHMPLRL-QAPCUYQASA-N 0 1 310.401 3.475 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cccc(C(F)(F)F)c1O ZINC000519178856 435673929 /nfs/dbraw/zinc/67/39/29/435673929.db2.gz PRYIKGXELAYGNR-VIFPVBQESA-N 0 1 300.280 3.033 20 30 DGEDMN CC(C)CN(CC(=O)NC1CCCC1)Cc1ccc(C#N)cc1 ZINC000520174068 435726951 /nfs/dbraw/zinc/72/69/51/435726951.db2.gz QYLFVFXPGVVVBX-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC1CCN(C(=O)c2cccc(Br)c2O)CC1 ZINC000520393600 435743558 /nfs/dbraw/zinc/74/35/58/435743558.db2.gz PNDXUWAHLKPKKX-UHFFFAOYSA-N 0 1 310.191 3.193 20 30 DGEDMN C#C[C@@H](NC1CC(CC(=O)OC)C1)c1ccc(Cl)cc1F ZINC000491485732 435756868 /nfs/dbraw/zinc/75/68/68/435756868.db2.gz QRRPPJFQHOPNEG-MBRGGSNBSA-N 0 1 309.768 3.085 20 30 DGEDMN C[C@@H](C(=O)NCC1CCCCC1)N1CCC(CCC#N)CC1 ZINC000519772706 435708097 /nfs/dbraw/zinc/70/80/97/435708097.db2.gz SGYAJCYUKVYKPJ-HNNXBMFYSA-N 0 1 305.466 3.087 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)c2ccccc2Cl)CC1 ZINC000491191614 435712861 /nfs/dbraw/zinc/71/28/61/435712861.db2.gz FZSQMPQUVRWNIU-UHFFFAOYSA-N 0 1 304.821 3.038 20 30 DGEDMN C=C(C)CN(CCO[C@H]1CC1(F)F)Cc1cnn(C(C)C)c1 ZINC000660537767 435796139 /nfs/dbraw/zinc/79/61/39/435796139.db2.gz DERSOSDOTOPBFG-HNNXBMFYSA-N 0 1 313.392 3.266 20 30 DGEDMN C[C@H]1CCCC[C@H]1N(C)C(=O)CN1CCC(CCC#N)CC1 ZINC000572239263 435796721 /nfs/dbraw/zinc/79/67/21/435796721.db2.gz RFACNPLMKOROKZ-DOTOQJQBSA-N 0 1 305.466 3.039 20 30 DGEDMN C=CC[C@H](CO)NCc1oc2ccccc2c1Br ZINC000655759593 435781490 /nfs/dbraw/zinc/78/14/90/435781490.db2.gz CZIYPTLQUSQHJM-SNVBAGLBSA-N 0 1 310.191 3.222 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)c1 ZINC000646747902 435915181 /nfs/dbraw/zinc/91/51/81/435915181.db2.gz ABWKPQXYOFHCQI-BLLLJJGKSA-N 0 1 311.385 3.279 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000665390519 435966769 /nfs/dbraw/zinc/96/67/69/435966769.db2.gz HXEGBILRMSPCMS-MGPQQGTHSA-N 0 1 319.453 3.423 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)NCc1ccc2cncn2c1 ZINC000661305749 436027063 /nfs/dbraw/zinc/02/70/63/436027063.db2.gz YJDNKAQLSUQDCY-NVXWUHKLSA-N 0 1 312.417 3.268 20 30 DGEDMN N#CC(C(=O)c1cnn2ccccc12)c1nc(C2CC2)cs1 ZINC000647378070 436036119 /nfs/dbraw/zinc/03/61/19/436036119.db2.gz OWEMTWSUHMUHDG-LLVKDONJSA-N 0 1 308.366 3.158 20 30 DGEDMN CC(C)c1cc(C(=O)C(C#N)c2nc(C3CC3)cs2)n(C)n1 ZINC000647378408 436036211 /nfs/dbraw/zinc/03/62/11/436036211.db2.gz WQRJRIIHMZXCRE-LLVKDONJSA-N 0 1 314.414 3.367 20 30 DGEDMN C=CC[C@@H]1CCCN(CCCS(=O)(=O)c2ccccc2)C1 ZINC000661378203 436050182 /nfs/dbraw/zinc/05/01/82/436050182.db2.gz AHHAJMFBCYPJLF-MRXNPFEDSA-N 0 1 307.459 3.139 20 30 DGEDMN C=CCCNC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000661571810 436109674 /nfs/dbraw/zinc/10/96/74/436109674.db2.gz OBBZHPBUIXQGPE-UHFFFAOYSA-N 0 1 321.852 3.180 20 30 DGEDMN C=CCCn1cc(CN[C@@H](C)CSc2ccc(C)cc2)nn1 ZINC000657439066 436202906 /nfs/dbraw/zinc/20/29/06/436202906.db2.gz SNKQCRLMBNGHNM-HNNXBMFYSA-N 0 1 316.474 3.433 20 30 DGEDMN C=CCCn1cc(CNC2(Cc3cccc(F)c3)CCC2)nn1 ZINC000657439497 436203477 /nfs/dbraw/zinc/20/34/77/436203477.db2.gz IMKCXGPFCWPCMJ-UHFFFAOYSA-N 0 1 314.408 3.248 20 30 DGEDMN C=CCCn1cc(CN(CCC)CCc2cccc(F)c2)nn1 ZINC000653556938 436204299 /nfs/dbraw/zinc/20/42/99/436204299.db2.gz RDDTUZKHSNSDTG-UHFFFAOYSA-N 0 1 316.424 3.448 20 30 DGEDMN C=CCCn1cc(CN2CCc3ccc(F)cc3[C@@H](C)C2)nn1 ZINC000653779856 436265664 /nfs/dbraw/zinc/26/56/64/436265664.db2.gz GPXMMPKOJNXHKB-AWEZNQCLSA-N 0 1 314.408 3.155 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(CC)Cc1cccc(F)c1 ZINC000662077925 436266107 /nfs/dbraw/zinc/26/61/07/436266107.db2.gz GELSOKXREOFCNE-HDICACEKSA-N 0 1 316.420 3.357 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H](CC)c1ccccc1F ZINC000653792752 436266917 /nfs/dbraw/zinc/26/69/17/436266917.db2.gz WMGPYPADIBUILI-RHSMWYFYSA-N 0 1 304.409 3.456 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H](OC)[C@H]1CCOC1 ZINC000659818124 436533098 /nfs/dbraw/zinc/53/30/98/436533098.db2.gz SPAVQMLJSRZZPB-JSGCOSHPSA-N 0 1 315.866 3.441 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)[C@H](C)c1n[nH]c(CC)n1 ZINC000659848915 436543431 /nfs/dbraw/zinc/54/34/31/436543431.db2.gz JWNNDALKBWJAKO-CYBMUJFWSA-N 0 1 300.406 3.125 20 30 DGEDMN Cc1ccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)cc1F ZINC000551713461 314572268 /nfs/dbraw/zinc/57/22/68/314572268.db2.gz ATFMXGSFXILFAE-UHFFFAOYSA-N 0 1 323.371 3.223 20 30 DGEDMN Cc1csc(NC(=O)N2CCN(C3CCCC3)CC2)c1C#N ZINC000559636990 314888503 /nfs/dbraw/zinc/88/85/03/314888503.db2.gz GRGJRDNHKDFFDB-UHFFFAOYSA-N 0 1 318.446 3.020 20 30 DGEDMN Cn1cc(NC(=O)c2ccc(C#N)c(O)c2)c(C2CCCCC2)n1 ZINC000562555101 315029822 /nfs/dbraw/zinc/02/98/22/315029822.db2.gz YPZVGFWREIHELM-UHFFFAOYSA-N 0 1 324.384 3.297 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CC[C@@H](CC4CC4)C3)o2)c1 ZINC000565672383 315279394 /nfs/dbraw/zinc/27/93/94/315279394.db2.gz ZLYDQDFCTLRPLW-HNNXBMFYSA-N 0 1 308.385 3.230 20 30 DGEDMN C[C@@H](NCc1cnc2c(C#N)cnn2c1)c1ccc(C2CC2)cc1 ZINC000566243277 315325668 /nfs/dbraw/zinc/32/56/68/315325668.db2.gz RZPAGZQJWXXPSE-CYBMUJFWSA-N 0 1 317.396 3.329 20 30 DGEDMN N#Cc1nccnc1S[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000575742954 316057709 /nfs/dbraw/zinc/05/77/09/316057709.db2.gz MEQIRZZGLKUQNZ-OAHLLOKOSA-N 0 1 310.426 3.105 20 30 DGEDMN CCC[N@@H+](CC1CC1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000577883567 316281767 /nfs/dbraw/zinc/28/17/67/316281767.db2.gz BTLLHZPRAXTMDD-SFHVURJKSA-N 0 1 311.429 3.176 20 30 DGEDMN COC[C@H](Cc1ccccc1)N(C)Cc1cc(C#N)ccc1F ZINC000182026045 323280300 /nfs/dbraw/zinc/28/03/00/323280300.db2.gz ZHKIKRLPMDXCSI-SFHVURJKSA-N 0 1 312.388 3.387 20 30 DGEDMN C[C@H]1CCC[C@H](CO)N1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000181392637 332192917 /nfs/dbraw/zinc/19/29/17/332192917.db2.gz MQUANYIBXFQMRT-XJKSGUPXSA-N 0 1 311.347 3.292 20 30 DGEDMN C[C@H]1CCC[C@@H](CO)N1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000181392674 332192989 /nfs/dbraw/zinc/19/29/89/332192989.db2.gz MQUANYIBXFQMRT-BBRMVZONSA-N 0 1 311.347 3.292 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000292913339 335097819 /nfs/dbraw/zinc/09/78/19/335097819.db2.gz YNSPLMARFQMEDX-HNNXBMFYSA-N 0 1 308.372 3.151 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccnn3CC(C)C)[nH]c21 ZINC000564959005 332295637 /nfs/dbraw/zinc/29/56/37/332295637.db2.gz UFRCNLDUAXLZPV-CYBMUJFWSA-N 0 1 321.384 3.214 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2cc(F)ccc2O)c(-c2ccncc2)n1 ZINC000156676693 332479537 /nfs/dbraw/zinc/47/95/37/332479537.db2.gz MLUKBHLZTDWZFM-DUXPYHPUSA-N 0 1 323.327 3.223 20 30 DGEDMN C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1C)N1CCC(CCC#N)CC1 ZINC000566852673 332589582 /nfs/dbraw/zinc/58/95/82/332589582.db2.gz LJIXCZTZVHCOIV-HLLBOEOZSA-N 0 1 305.466 3.086 20 30 DGEDMN N#CC1(NC(=O)CN(C2CC2)[C@@H]2CCCc3ccccc32)CCC1 ZINC000525886100 335141082 /nfs/dbraw/zinc/14/10/82/335141082.db2.gz ZKEAQDHUHVWBJX-GOSISDBHSA-N 0 1 323.440 3.091 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCN(c3ccc4ccccc4n3)CC2)C1 ZINC000293763017 334202160 /nfs/dbraw/zinc/20/21/60/334202160.db2.gz KCGMBNFDQMEZHP-DOTOQJQBSA-N 0 1 306.413 3.049 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(Cc1cccc(F)c1)C(C)C ZINC000299116473 334253282 /nfs/dbraw/zinc/25/32/82/334253282.db2.gz DEZVIZBXQREGAF-HNNXBMFYSA-N 0 1 319.424 3.044 20 30 DGEDMN CCN(CCC(=O)Nc1ccccc1SC)C[C@@H](C)C#N ZINC000103469619 336241135 /nfs/dbraw/zinc/24/11/35/336241135.db2.gz XRRULUUHQAAMBZ-ZDUSSCGKSA-N 0 1 305.447 3.219 20 30 DGEDMN C#CCCOc1ccc(CNCc2ccc(OC)c(O)c2)cc1 ZINC000172117792 337089820 /nfs/dbraw/zinc/08/98/20/337089820.db2.gz AJTQSJICBOYWLW-UHFFFAOYSA-N 0 1 311.381 3.093 20 30 DGEDMN CC(C)(C)c1ccc(S(=O)(=O)Nc2cccc(C#N)n2)cc1 ZINC000584411491 337321201 /nfs/dbraw/zinc/32/12/01/337321201.db2.gz LIQAZZFSAKLQKH-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2c(O)cccc2F)c1 ZINC000120193111 337871443 /nfs/dbraw/zinc/87/14/43/337871443.db2.gz PVOBGSNGEQQZQC-UHFFFAOYSA-N 0 1 314.316 3.466 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](c2ccc(F)cc2F)C(C)(C)C)C1=O ZINC000499603918 340040569 /nfs/dbraw/zinc/04/05/69/340040569.db2.gz AXWIGEUSWVHOJM-CVEARBPZSA-N 0 1 322.399 3.429 20 30 DGEDMN Cc1ccc(-c2cccc(CN3CCN(CC#N)CC3)c2)cc1 ZINC000513111717 340393827 /nfs/dbraw/zinc/39/38/27/340393827.db2.gz KZSSKDMKVYHXRQ-UHFFFAOYSA-N 0 1 305.425 3.303 20 30 DGEDMN Cc1nc(NC(=O)c2ccc(F)cc2O)sc1SCC#N ZINC000123439197 340779002 /nfs/dbraw/zinc/77/90/02/340779002.db2.gz QKOPZQJSBHYBHJ-UHFFFAOYSA-N 0 1 323.374 3.164 20 30 DGEDMN COC(=O)c1ccc(-c2ccc(CN3CCC(C#N)CC3)o2)cc1 ZINC000132257076 341119533 /nfs/dbraw/zinc/11/95/33/341119533.db2.gz FRFPTGYBJOZYEO-UHFFFAOYSA-N 0 1 324.380 3.469 20 30 DGEDMN Cn1cc(C(C)(C)NCC#Cc2ccc(C(F)(F)F)cc2)cn1 ZINC000545292605 341119565 /nfs/dbraw/zinc/11/95/65/341119565.db2.gz JYVLCWQIUMQJNU-UHFFFAOYSA-N 0 1 321.346 3.315 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnc3nccnc3c2)n1 ZINC000545451911 341125522 /nfs/dbraw/zinc/12/55/22/341125522.db2.gz IJJYVUJHOGBGBI-NSHDSACASA-N 0 1 323.381 3.095 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CC)[C@@H](C)Cc1ccsc1 ZINC000547649326 341224968 /nfs/dbraw/zinc/22/49/68/341224968.db2.gz KXVLETDCESJIHU-HNNXBMFYSA-N 0 1 308.491 3.426 20 30 DGEDMN Cc1nc(S(=O)(=O)CCC(C)(C)C#N)[nH]c1-c1ccccc1 ZINC000548299126 341254789 /nfs/dbraw/zinc/25/47/89/341254789.db2.gz CYXMASAJBFMKOU-UHFFFAOYSA-N 0 1 317.414 3.099 20 30 DGEDMN O=C(NCC(F)(F)C(F)F)c1cccc(C(F)(F)F)c1O ZINC000564346448 341255838 /nfs/dbraw/zinc/25/58/38/341255838.db2.gz FFOLCWXMRDCVGG-UHFFFAOYSA-N 0 1 319.176 3.041 20 30 DGEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)Cc1ccsc1 ZINC000249547507 341399163 /nfs/dbraw/zinc/39/91/63/341399163.db2.gz GPGQTLPSINOBBC-PBHICJAKSA-N 0 1 321.490 3.055 20 30 DGEDMN C=CCN[C@H](c1ccc(C)cc1)c1cccc(S(C)(=O)=O)c1 ZINC000089117372 341402378 /nfs/dbraw/zinc/40/23/78/341402378.db2.gz DUORQOPDPWRTHF-GOSISDBHSA-N 0 1 315.438 3.264 20 30 DGEDMN COc1ccc(NC(=O)CCN(C)CC(C)(C)C#N)cc1Cl ZINC000433323787 534063441 /nfs/dbraw/zinc/06/34/41/534063441.db2.gz YVHQONPUDYOAAL-UHFFFAOYSA-N 0 1 323.824 3.159 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)Cc3ccc(C#N)cc3)c2C)o1 ZINC000178561680 534200955 /nfs/dbraw/zinc/20/09/55/534200955.db2.gz BXOKRQNOVJSKLB-UHFFFAOYSA-N 0 1 320.352 3.339 20 30 DGEDMN N#CC1(F)CCN(CCCc2nc(-c3cccs3)no2)CC1 ZINC000352484957 534567431 /nfs/dbraw/zinc/56/74/31/534567431.db2.gz VTHIXBYDGSNBCM-UHFFFAOYSA-N 0 1 320.393 3.058 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(F)ccc1Br ZINC000449520226 534608586 /nfs/dbraw/zinc/60/85/86/534608586.db2.gz OVHWDRDRFZLBNP-OLZOCXBDSA-N 0 1 300.171 3.021 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H](C#N)c3ccccc3F)c2[nH]1 ZINC000338535324 534667861 /nfs/dbraw/zinc/66/78/61/534667861.db2.gz GTLPFHFXLMFWQB-HNNXBMFYSA-N 0 1 308.316 3.005 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(CC)Cc1cccc(F)c1 ZINC000489439669 534693499 /nfs/dbraw/zinc/69/34/99/534693499.db2.gz ZJSVMUQMAOBQCQ-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCOCCCN[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000470771900 534875321 /nfs/dbraw/zinc/87/53/21/534875321.db2.gz HQFQWNMJJOSTKA-CYBMUJFWSA-N 0 1 319.380 3.427 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1c1n[nH]c(C(C)C)n1 ZINC000292168259 526290700 /nfs/dbraw/zinc/29/07/00/526290700.db2.gz ZVMDAYJATDRZTG-AWEZNQCLSA-N 0 1 302.422 3.175 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000184978229 526299483 /nfs/dbraw/zinc/29/94/83/526299483.db2.gz COIXNGKCBGVQPO-MRXNPFEDSA-N 0 1 302.418 3.116 20 30 DGEDMN C=CCN(CCOC)Cc1cc(Cl)c(OC)c(OCC)c1 ZINC000191760890 526300027 /nfs/dbraw/zinc/30/00/27/526300027.db2.gz YDAVJPOHJFABQJ-UHFFFAOYSA-N 0 1 313.825 3.382 20 30 DGEDMN CC(C)(C)NC(=O)C(C#N)c1nc(-c2ccc(C#N)cc2)cs1 ZINC000155734042 526321839 /nfs/dbraw/zinc/32/18/39/526321839.db2.gz LUKCSUIDWPENED-ZDUSSCGKSA-N 0 1 324.409 3.204 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CCC(CF)CC1 ZINC000295095393 526374443 /nfs/dbraw/zinc/37/44/43/526374443.db2.gz GJMSJCKAKPNDIT-AWEZNQCLSA-N 0 1 324.465 3.333 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(OCCCC)cc2)C1=O ZINC000343612779 526471197 /nfs/dbraw/zinc/47/11/97/526471197.db2.gz HNXQDCOIZWPVBI-GOSISDBHSA-N 0 1 316.445 3.084 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)Cc2ccc3ccccc3c2)C1=O ZINC000337124300 526473795 /nfs/dbraw/zinc/47/37/95/526473795.db2.gz DBTRENWHFCWXPG-LJQANCHMSA-N 0 1 308.425 3.449 20 30 DGEDMN C=CCN1CC[C@H](N(Cc2ccccc2F)CC(C)C)C1=O ZINC000337141465 526504824 /nfs/dbraw/zinc/50/48/24/526504824.db2.gz SEMDKILDJFZLSJ-KRWDZBQOSA-N 0 1 304.409 3.071 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC(OCC2CCCC2)CC1 ZINC000177498156 526521358 /nfs/dbraw/zinc/52/13/58/526521358.db2.gz ZQGCZLXQKKEJFY-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](C)c1cc(C)ccc1OC ZINC000161539860 526527241 /nfs/dbraw/zinc/52/72/41/526527241.db2.gz PZWMJDMDNNKOEI-OAHLLOKOSA-N 0 1 304.434 3.079 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000180037848 526572417 /nfs/dbraw/zinc/57/24/17/526572417.db2.gz VGHYGTNADYVXGA-LBPRGKRZSA-N 0 1 315.442 3.071 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(-c2csc(C)n2)cc1 ZINC000358713290 526572914 /nfs/dbraw/zinc/57/29/14/526572914.db2.gz GAOPJHWNAMEBTK-GFCCVEGCSA-N 0 1 315.442 3.071 20 30 DGEDMN C=CCNC(=O)CN[C@H](c1ccc(Cl)c(Cl)c1)C1CC1 ZINC000180028582 526573846 /nfs/dbraw/zinc/57/38/46/526573846.db2.gz MVSUXIAEKMPEEP-HNNXBMFYSA-N 0 1 313.228 3.336 20 30 DGEDMN C=CCOCCCN1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000348057039 526751067 /nfs/dbraw/zinc/75/10/67/526751067.db2.gz RWSJYPCJZANSFE-UHFFFAOYSA-N 0 1 305.262 3.396 20 30 DGEDMN C=CCOCCCN1CCN(Cc2ccc(Cl)s2)CC1 ZINC000347968188 526755170 /nfs/dbraw/zinc/75/51/70/526755170.db2.gz QAYPJKXKPKRVNM-UHFFFAOYSA-N 0 1 314.882 3.112 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2ccc([N+](=O)[O-])[nH]2)s1 ZINC000430585873 526827419 /nfs/dbraw/zinc/82/74/19/526827419.db2.gz RQERYUUONMZJKS-QMMMGPOBSA-N 0 1 318.358 3.167 20 30 DGEDMN CC(C)(C)c1nc(CN2CC=C(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000432776471 526839925 /nfs/dbraw/zinc/83/99/25/526839925.db2.gz OHMIFLOXTKHXRC-UHFFFAOYSA-N 0 1 321.428 3.263 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2C[C@@H](C)N(CC)C[C@@H]2C)c1 ZINC000346643567 526913589 /nfs/dbraw/zinc/91/35/89/526913589.db2.gz YDWIAUQSTXKHTG-CABCVRRESA-N 0 1 317.433 3.198 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccc(C)c(C)c2)C2CC2)CC1 ZINC000127167217 526916882 /nfs/dbraw/zinc/91/68/82/526916882.db2.gz FVUCHPWLPUYUPJ-UHFFFAOYSA-N 0 1 324.468 3.140 20 30 DGEDMN C=CC1CCN([C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000343656532 526983209 /nfs/dbraw/zinc/98/32/09/526983209.db2.gz GJUTUUNOGHMLGR-KRWDZBQOSA-N 0 1 302.393 3.219 20 30 DGEDMN C=CCSCCN[C@H](C)c1ncc(Br)s1 ZINC000129364123 527006562 /nfs/dbraw/zinc/00/65/62/527006562.db2.gz VSCKIQJNGFFTFI-MRVPVSSYSA-N 0 1 307.282 3.475 20 30 DGEDMN C=CCC(F)(F)c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000340609208 527039142 /nfs/dbraw/zinc/03/91/42/527039142.db2.gz JGKQZLVGQGRRHT-UHFFFAOYSA-N 0 1 317.299 3.113 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cc(Cl)ccc2O)C1 ZINC000158082040 527077899 /nfs/dbraw/zinc/07/78/99/527077899.db2.gz SCIRZMHIOYQFKL-HNNXBMFYSA-N 0 1 322.836 3.092 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000353030385 527154323 /nfs/dbraw/zinc/15/43/23/527154323.db2.gz DFPFYJADBCATPQ-LSDHHAIUSA-N 0 1 307.438 3.316 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000352904454 527154783 /nfs/dbraw/zinc/15/47/83/527154783.db2.gz YZQPENNNPZEKQY-JKSUJKDBSA-N 0 1 319.449 3.379 20 30 DGEDMN C=CCCCCNC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000351712947 527156246 /nfs/dbraw/zinc/15/62/46/527156246.db2.gz RGUKXEOCDRJMMU-MRXNPFEDSA-N 0 1 315.461 3.089 20 30 DGEDMN C=CCCCCNC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000351676886 527158016 /nfs/dbraw/zinc/15/80/16/527158016.db2.gz CHHAJECHONIAOW-MRXNPFEDSA-N 0 1 319.449 3.380 20 30 DGEDMN C=CC[C@H](CO)CN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000346630354 527190300 /nfs/dbraw/zinc/19/03/00/527190300.db2.gz VKICVOGKLJLRAW-KBXCAEBGSA-N 0 1 300.377 3.084 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCC(c2cc(C)[nH]n2)CC1 ZINC000354433359 527328670 /nfs/dbraw/zinc/32/86/70/527328670.db2.gz OESCVEVNVLTRFW-UHFFFAOYSA-N 0 1 319.449 3.187 20 30 DGEDMN C=CCn1c(SCC(=O)c2ccc(CCC)cc2)n[nH]c1=O ZINC000348122476 527331427 /nfs/dbraw/zinc/33/14/27/527331427.db2.gz PNUMYXQCIZRPOD-UHFFFAOYSA-N 0 1 317.414 3.097 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCCOc1cc(C)ccc1C ZINC000348132467 527331888 /nfs/dbraw/zinc/33/18/88/527331888.db2.gz KWXSGIWZDLHXIR-UHFFFAOYSA-N 0 1 319.430 3.348 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1ccc(Cl)cc1OC ZINC000356055923 527333814 /nfs/dbraw/zinc/33/38/14/527333814.db2.gz HZQCYPQIBGEYMU-UHFFFAOYSA-N 0 1 311.794 3.124 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1nc(-c2ccc(C)o2)n[nH]1 ZINC000344725634 527337499 /nfs/dbraw/zinc/33/74/99/527337499.db2.gz PQZONAUJGYXZQB-UHFFFAOYSA-N 0 1 318.377 3.075 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1[nH]nc(-c2ccc(C)o2)c1C ZINC000161514405 527402854 /nfs/dbraw/zinc/40/28/54/527402854.db2.gz SWWQPBQKZBJNBG-GFCCVEGCSA-N 0 1 303.362 3.206 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000174834322 527463861 /nfs/dbraw/zinc/46/38/61/527463861.db2.gz RUDWZKMQGMABLK-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN CC(C)(C#N)CCCO/N=C/c1ccc(N2CCOCC2)cc1 ZINC000135476252 527464917 /nfs/dbraw/zinc/46/49/17/527464917.db2.gz RERGGKABMYWALU-XSFVSMFZSA-N 0 1 315.417 3.204 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)[C@H](CC)N(C)Cc1ccccc1 ZINC000356053649 527602909 /nfs/dbraw/zinc/60/29/09/527602909.db2.gz HYXQEVJJVNYDIB-ROUUACIJSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1cc2cccc(F)c2o1 ZINC000355733528 527616409 /nfs/dbraw/zinc/61/64/09/527616409.db2.gz LKVUEFLIBQCLFG-ZDUSSCGKSA-N 0 1 316.376 3.423 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1ccc(OCC)cc1 ZINC000346589811 527624199 /nfs/dbraw/zinc/62/41/99/527624199.db2.gz ZKUCWJRIKUNAEK-MRXNPFEDSA-N 0 1 316.445 3.106 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@@H](C)C1 ZINC000344422000 527927360 /nfs/dbraw/zinc/92/73/60/527927360.db2.gz LKUZQEAJVMVNQC-GASCZTMLSA-N 0 1 313.445 3.042 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@H]1C ZINC000356627369 527970029 /nfs/dbraw/zinc/97/00/29/527970029.db2.gz SJYTZTRMUMVEKN-CABCVRRESA-N 0 1 313.445 3.042 20 30 DGEDMN CCC[N@@H+](CC)Cc1ccc(C([O-])=C(C#N)c2ccncn2)cc1 ZINC000347867364 528003591 /nfs/dbraw/zinc/00/35/91/528003591.db2.gz SDRVRXFDVHDSOP-KRWDZBQOSA-N 0 1 322.412 3.199 20 30 DGEDMN CC(C)CN1CCO[C@@H](CNC(=O)c2ccoc2C(C)C)C1 ZINC000331070683 528011953 /nfs/dbraw/zinc/01/19/53/528011953.db2.gz CQXCTVNDIKKDOF-AWEZNQCLSA-N 0 1 308.422 3.064 20 30 DGEDMN CCCCCC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000299533503 528108470 /nfs/dbraw/zinc/10/84/70/528108470.db2.gz HHEHXTIXNSQOBN-UHFFFAOYSA-N 0 1 313.445 3.219 20 30 DGEDMN CCOC(=O)c1coc(CN[C@@H]2CCCc3cc(C#N)ccc32)c1 ZINC000418145239 528326410 /nfs/dbraw/zinc/32/64/10/528326410.db2.gz MSMXADDXKNCNEP-GOSISDBHSA-N 0 1 324.380 3.495 20 30 DGEDMN CC(C)n1cc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2n1 ZINC000442649921 528584311 /nfs/dbraw/zinc/58/43/11/528584311.db2.gz MVGUMQRUYQVQCE-UHFFFAOYSA-N 0 1 320.352 3.447 20 30 DGEDMN CCCOc1cccc(CN2CCC(C#N)(COC)CC2)c1 ZINC000342837154 528752069 /nfs/dbraw/zinc/75/20/69/528752069.db2.gz LBFZCTAYOSMGPU-UHFFFAOYSA-N 0 1 302.418 3.228 20 30 DGEDMN CCN(Cc1cccs1)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343261810 528854765 /nfs/dbraw/zinc/85/47/65/528854765.db2.gz UOQXIQUWCRKKBK-CJNGLKHVSA-N 0 1 307.463 3.013 20 30 DGEDMN Cc1nc(C(F)(F)F)ccc1C(=O)[C@@H](C#N)c1ccccn1 ZINC000073970069 545720372 /nfs/dbraw/zinc/72/03/72/545720372.db2.gz YJTAFMDAOHZLLY-NSHDSACASA-N 0 1 305.259 3.294 20 30 DGEDMN Cc1cccc(O[C@@H](C)C(=O)Nc2nc(-c3ccco3)n[nH]2)c1 ZINC000078868601 545754502 /nfs/dbraw/zinc/75/45/02/545754502.db2.gz BCUUMEDAHZGKKC-NSHDSACASA-N 0 1 312.329 3.429 20 30 DGEDMN O=C(CN1CCCC[C@@H]1Cc1ccccc1)NC(=O)NC1CC1 ZINC000328882318 546482120 /nfs/dbraw/zinc/48/21/20/546482120.db2.gz XAFCLTVPKSPULP-MRXNPFEDSA-N 0 1 315.417 3.117 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(OCCC)cc2C)C1=O ZINC000668100470 547383449 /nfs/dbraw/zinc/38/34/49/547383449.db2.gz NNSOSGRISHVBOK-GOSISDBHSA-N 0 1 316.445 3.003 20 30 DGEDMN C=CCC[C@H](O)CN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000675491536 548225434 /nfs/dbraw/zinc/22/54/34/548225434.db2.gz LCBQBVGNKCZPPF-MXWKQRLJSA-N 0 1 319.289 3.376 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 ZINC000678049130 548600715 /nfs/dbraw/zinc/60/07/15/548600715.db2.gz FGSVTORAYVMFKG-GHMZBOCLSA-N 0 1 320.380 3.278 20 30 DGEDMN COCC#CCN[C@H](COCc1ccccc1)c1ccccc1 ZINC000678781885 548763192 /nfs/dbraw/zinc/76/31/92/548763192.db2.gz CARZATLISHCFST-HXUWFJFHSA-N 0 1 309.409 3.184 20 30 DGEDMN C=CCOCCN[C@H](C)c1ccc(OC)cc1Br ZINC000679576895 548921292 /nfs/dbraw/zinc/92/12/92/548921292.db2.gz XRCNAKYHSXDAKC-LLVKDONJSA-N 0 1 314.223 3.311 20 30 DGEDMN N#Cc1cc(O)cc(C(=O)Nc2ccc3c(c2)C(=O)CCC3)c1 ZINC000681583790 549225767 /nfs/dbraw/zinc/22/57/67/549225767.db2.gz JDTKPWUBZNDEPE-UHFFFAOYSA-N 0 1 306.321 3.035 20 30 DGEDMN CCC#C[C@H](C)N[C@@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)cc1 ZINC000683147612 549439419 /nfs/dbraw/zinc/43/94/19/549439419.db2.gz YYJBZGKPDYPOII-MJEQTWJJSA-N 0 1 304.821 3.003 20 30 DGEDMN C=CCCCCCN1CCN(c2nccc(C(C)C)n2)CC1 ZINC000683785772 549537220 /nfs/dbraw/zinc/53/72/20/549537220.db2.gz ILNVUZZPJPASHP-UHFFFAOYSA-N 0 1 302.466 3.468 20 30 DGEDMN CC1(C)Cc2occc2C(=NNc2ccc(-n3cccn3)nn2)C1 ZINC000731486334 574550623 /nfs/dbraw/zinc/55/06/23/574550623.db2.gz IWPORONXFNUGQZ-UHFFFAOYSA-N 0 1 322.372 3.044 20 30 DGEDMN C[C@H]1C[C@@H]1c1ccc(CN=Nc2ccccc2S(C)(=O)=O)o1 ZINC000731932634 574562561 /nfs/dbraw/zinc/56/25/61/574562561.db2.gz DMENZWJGFAVPPG-AAEUAGOBSA-N 0 1 318.398 3.253 20 30 DGEDMN CS(=O)(=O)c1ccccc1N=NCc1cc2ccccc2o1 ZINC000731933078 574562788 /nfs/dbraw/zinc/56/27/88/574562788.db2.gz GEUIZVCYMLPAPE-UHFFFAOYSA-N 0 1 314.366 3.282 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCc3ccccc3C2)nc(C)n1 ZINC000732593878 574576475 /nfs/dbraw/zinc/57/64/75/574576475.db2.gz VEJPARZDNGMUFT-MAUKXSAKSA-N 0 1 319.408 3.465 20 30 DGEDMN C#CCCOc1ccc(CNCc2cccc3c2OCCO3)cc1 ZINC000733331347 574617099 /nfs/dbraw/zinc/61/70/99/574617099.db2.gz CLULWTQAFKHJLY-UHFFFAOYSA-N 0 1 323.392 3.150 20 30 DGEDMN COCCOc1cccc(CN=Nc2cnnc3ccccc23)c1 ZINC000733748259 574636625 /nfs/dbraw/zinc/63/66/25/574636625.db2.gz NHSYTMBTYLBAKR-UHFFFAOYSA-N 0 1 322.368 3.101 20 30 DGEDMN COc1ccc(O)c(C(C)N=Nc2cnnc3ccccc23)c1 ZINC000733750034 574636912 /nfs/dbraw/zinc/63/69/12/574636912.db2.gz JZDWRDFESDUEPP-UHFFFAOYSA-N 0 1 308.341 3.180 20 30 DGEDMN C#CCOc1ccccc1CNCC1=Cc2ccccc2OC1 ZINC000734159490 574653353 /nfs/dbraw/zinc/65/33/53/574653353.db2.gz PFSZVWSSERNDES-UHFFFAOYSA-N 0 1 305.377 3.264 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(O[C@H](C)C#N)cc1 ZINC000734159898 574653516 /nfs/dbraw/zinc/65/35/16/574653516.db2.gz ZUFJSCRONRUPTD-MRXNPFEDSA-N 0 1 320.392 3.279 20 30 DGEDMN Fc1cc(F)c(NN=Cc2nccn2CC(F)(F)F)cc1F ZINC000734302708 574655541 /nfs/dbraw/zinc/65/55/41/574655541.db2.gz KUZJXLNQIFBCHA-UHFFFAOYSA-N 0 1 322.212 3.309 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CCOC[C@@H]1CCCO1 ZINC000734303362 574655604 /nfs/dbraw/zinc/65/56/04/574655604.db2.gz MHKCXASIFHPMJD-OYZNXWOWSA-N 0 1 318.200 3.314 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Cc3ccc(F)cc3)[nH]c21 ZINC000735790945 574715048 /nfs/dbraw/zinc/71/50/48/574715048.db2.gz GKCZSLSKOTXSBK-CQSZACIVSA-N 0 1 307.328 3.429 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Cc3ccc(C#N)cc3)[nH]c21 ZINC000735791955 574715743 /nfs/dbraw/zinc/71/57/43/574715743.db2.gz DVMJWCBRJUMJFK-HNNXBMFYSA-N 0 1 314.348 3.162 20 30 DGEDMN C=C(Cl)CNC(=O)[C@@H](C)N1CCC(Cc2ccccc2)CC1 ZINC000736237862 574737262 /nfs/dbraw/zinc/73/72/62/574737262.db2.gz ANRSPXVXQRQFPQ-OAHLLOKOSA-N 0 1 320.864 3.198 20 30 DGEDMN C[C@H]([NH2+][C@H](C(=O)[O-])c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000736662716 574802033 /nfs/dbraw/zinc/80/20/33/574802033.db2.gz SEHGITAIINNAMI-QFYYESIMSA-N 0 1 316.307 3.313 20 30 DGEDMN C[C@@H]1c2ccccc2OCC[N@H+]1[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000736839543 574808559 /nfs/dbraw/zinc/80/85/59/574808559.db2.gz BOERRMFEKDUZRO-FZKQIMNGSA-N 0 1 322.364 3.140 20 30 DGEDMN CC(=NN=c1[nH]c2cc(F)c(F)cc2[nH]1)c1cncc(F)c1 ZINC000737429253 574842876 /nfs/dbraw/zinc/84/28/76/574842876.db2.gz PXGZPRZLSOXMPO-UHFFFAOYSA-N 0 1 305.263 3.211 20 30 DGEDMN Oc1ccc2c(c1)OCC2=NNc1cccc(C(F)(F)F)n1 ZINC000739422392 574903006 /nfs/dbraw/zinc/90/30/06/574903006.db2.gz ZAQNXCCETPJOSK-UHFFFAOYSA-N 0 1 309.247 3.015 20 30 DGEDMN CCO[C@H]1CC(=NNCCN2CCCCC2)C12CCCCC2 ZINC000741461632 574958708 /nfs/dbraw/zinc/95/87/08/574958708.db2.gz MYWBVRSEWIXEPA-KRWDZBQOSA-N 0 1 307.482 3.177 20 30 DGEDMN COc1ccc(C2(NCc3ccc(OC)c(C#N)c3)CC2)cc1 ZINC000742369635 574992850 /nfs/dbraw/zinc/99/28/50/574992850.db2.gz AVNJMWSERTZYQV-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN CCc1cc(NN=Cc2ccc(C#N)o2)nc(-c2ccccn2)n1 ZINC000743440023 575051182 /nfs/dbraw/zinc/05/11/82/575051182.db2.gz VSVVVVRJIRZWLX-UHFFFAOYSA-N 0 1 318.340 3.012 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc2c(c1)COC2 ZINC000743440488 575051483 /nfs/dbraw/zinc/05/14/83/575051483.db2.gz QKBNNMSOZWEWOL-UHFFFAOYSA-N 0 1 304.353 3.496 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@@]23CCc2ccccc23)nc(C)n1 ZINC000744026252 575069233 /nfs/dbraw/zinc/06/92/33/575069233.db2.gz LRHQEPHSUWBTSD-RIFZZMRRSA-N 0 1 317.392 3.174 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C(C)C(C)(C)C)n1 ZINC000744071916 575071110 /nfs/dbraw/zinc/07/11/10/575071110.db2.gz NTTGRNQKHFUULI-HUYFXPKMSA-N 0 1 320.414 3.488 20 30 DGEDMN CC(C)OCCCN(C)Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000740856312 575225781 /nfs/dbraw/zinc/22/57/81/575225781.db2.gz CWOZIMKCFWGKSY-UHFFFAOYSA-N 0 1 312.417 3.126 20 30 DGEDMN C=CCOCC(=O)C(C#N)c1nc(-c2ccc(C#N)cc2)cs1 ZINC000729892698 575265927 /nfs/dbraw/zinc/26/59/27/575265927.db2.gz HMOLPVAYPHQJJO-AWEZNQCLSA-N 0 1 323.377 3.061 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1ccc(O)cc1F ZINC000729932616 575267048 /nfs/dbraw/zinc/26/70/48/575267048.db2.gz HMNMISMWSJBALE-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN Cc1nn(-c2ccc(F)cc2)c(C)c1CN=Nc1ccccn1 ZINC000744683541 575352218 /nfs/dbraw/zinc/35/22/18/575352218.db2.gz KDYOCVVFKNPXTF-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN Cc1ccc2nc(CNC(=S)Nc3ccccc3C#N)[nH]c2c1 ZINC000745180375 575383244 /nfs/dbraw/zinc/38/32/44/575383244.db2.gz NGAVYHKPTQYISP-UHFFFAOYSA-N 0 1 321.409 3.230 20 30 DGEDMN Cc1ccc2nc(CNc3snc(Cl)c3C#N)[nH]c2c1 ZINC000745975469 575425625 /nfs/dbraw/zinc/42/56/25/575425625.db2.gz PSCABSBEXRXYQN-UHFFFAOYSA-N 0 1 303.778 3.465 20 30 DGEDMN CCOc1ccc(C=NNc2ccc([N+](=O)[O-])cc2OC)cc1 ZINC000746679445 575469227 /nfs/dbraw/zinc/46/92/27/575469227.db2.gz GYCDNWYHRBXXSJ-UHFFFAOYSA-N 0 1 315.329 3.448 20 30 DGEDMN C=C(Br)CN1CCN(Cc2ccccc2)CC[C@H]1C ZINC000747531396 575523914 /nfs/dbraw/zinc/52/39/14/575523914.db2.gz KAWYTBGUFPESCJ-OAHLLOKOSA-N 0 1 323.278 3.491 20 30 DGEDMN Cc1nc2ccc(C(=O)Nc3cc(C#N)ccc3O)cc2nc1C ZINC000748005464 575555804 /nfs/dbraw/zinc/55/58/04/575555804.db2.gz MOTLBTRPSNYZQT-UHFFFAOYSA-N 0 1 318.336 3.076 20 30 DGEDMN Cc1ccn(-c2ccc(C(=O)Nc3cc(C#N)ccc3O)cc2)n1 ZINC000748410980 575585099 /nfs/dbraw/zinc/58/50/99/575585099.db2.gz QYQWSKQDRGQEBC-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN COCCON=Cc1cc2ccccc2nc1N1CCCCC1 ZINC000748790036 575606947 /nfs/dbraw/zinc/60/69/47/575606947.db2.gz YGCXJMLJIHAVEE-UHFFFAOYSA-N 0 1 313.401 3.222 20 30 DGEDMN N#CCCCCOC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000748897690 575614293 /nfs/dbraw/zinc/61/42/93/575614293.db2.gz MZTJTLVUJPCPDV-UHFFFAOYSA-N 0 1 310.357 3.200 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=Cc1cc(F)c(O)c(F)c1 ZINC000749855123 575683538 /nfs/dbraw/zinc/68/35/38/575683538.db2.gz DCNJVIXJYHETCJ-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN C[C@@H]1CCC[C@@H](CC(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000750668665 575744579 /nfs/dbraw/zinc/74/45/79/575744579.db2.gz VBSAYIFURUEFBY-OIISXLGYSA-N 0 1 312.413 3.228 20 30 DGEDMN Cc1ncc(C(=O)[C@@H](C#N)C(=O)Nc2ccccc2Cl)s1 ZINC000750668688 575744720 /nfs/dbraw/zinc/74/47/20/575744720.db2.gz VQIKFYOLWBWQEE-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)s1 ZINC000750668688 575744722 /nfs/dbraw/zinc/74/47/22/575744722.db2.gz VQIKFYOLWBWQEE-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN C[C@@H]1CN(C)CC1N=Nc1c(F)cc(F)cc1Br ZINC000752166646 575825674 /nfs/dbraw/zinc/82/56/74/575825674.db2.gz XTVAACKPGNNLST-SSDOTTSWSA-N 0 1 318.165 3.077 20 30 DGEDMN CCc1ccc(C=Nn2c(=O)c3ccccc3[nH]c2=S)cc1 ZINC000725860189 575936119 /nfs/dbraw/zinc/93/61/19/575936119.db2.gz YLERKRPCEJADJI-UHFFFAOYSA-N 0 1 309.394 3.130 20 30 DGEDMN Cc1cc2cn[nH]c2c(C(=O)Nc2cccc(OCC#N)c2)c1 ZINC000726524429 576030080 /nfs/dbraw/zinc/03/00/80/576030080.db2.gz GKDKPDCKPGLALE-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN CN(C)c1ccc(C=[NH+]Nc2ccccc2C(=O)[O-])cc1F ZINC000727648993 576136308 /nfs/dbraw/zinc/13/63/08/576136308.db2.gz ZZACQTWMEVRORN-UHFFFAOYSA-N 0 1 301.321 3.036 20 30 DGEDMN N#Cc1cc(F)ccc1COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000728619923 576191480 /nfs/dbraw/zinc/19/14/80/576191480.db2.gz TWWARQLJMOTPAW-UHFFFAOYSA-N 0 1 311.272 3.037 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc2c(c1)OCCO2 ZINC000728776017 576200995 /nfs/dbraw/zinc/20/09/95/576200995.db2.gz GXRHXOBHWFMWIF-UHFFFAOYSA-N 0 1 322.368 3.181 20 30 DGEDMN CN(CC1=CCSC1)Cc1cc(Cl)ccc1OCC#N ZINC000852747850 620645587 /nfs/dbraw/zinc/64/55/87/620645587.db2.gz ZEWZLUNTHQHWRO-UHFFFAOYSA-N 0 1 308.834 3.347 20 30 DGEDMN N#CC(C(=O)CC1CC1)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000916946013 620650385 /nfs/dbraw/zinc/65/03/85/620650385.db2.gz IFFRVVOJIBAQGP-LBPRGKRZSA-N 0 1 311.168 3.441 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2ccnn2CC2CC2)nc1 ZINC000853262842 620682879 /nfs/dbraw/zinc/68/28/79/620682879.db2.gz MPLLIMGJRHHYOP-UHFFFAOYSA-N 0 1 309.295 3.153 20 30 DGEDMN CC(C)(C)n1cc(C=NNc2ccc([N+](=O)[O-])cc2F)cn1 ZINC000853397047 620692611 /nfs/dbraw/zinc/69/26/11/620692611.db2.gz UOBNWQGNJYYVJN-UHFFFAOYSA-N 0 1 305.313 3.131 20 30 DGEDMN C=CC[C@H]1CCCN(CN2C[C@H](c3ccccc3F)CC2=O)C1 ZINC000853536369 620708175 /nfs/dbraw/zinc/70/81/75/620708175.db2.gz BJFLKCQXAIIZEO-JKSUJKDBSA-N 0 1 316.420 3.387 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3coc(C#N)c3)c2C1 ZINC000866839389 617603973 /nfs/dbraw/zinc/60/39/73/617603973.db2.gz VXZWEGQIQFSVSS-UHFFFAOYSA-N 0 1 309.369 3.375 20 30 DGEDMN C=CC[C@H](CO)N[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926152803 617794525 /nfs/dbraw/zinc/79/45/25/617794525.db2.gz KUHWMOCKZFGBQL-WCBMZHEXSA-N 0 1 308.731 3.341 20 30 DGEDMN COC(=O)CCN(Cc1csc(C#N)c1)Cc1ccccc1 ZINC000891642679 617858379 /nfs/dbraw/zinc/85/83/79/617858379.db2.gz BVHLJJSOIOJSHH-UHFFFAOYSA-N 0 1 314.410 3.185 20 30 DGEDMN CCCCN(C)C(=O)C1CCN(Cc2csc(C#N)c2)CC1 ZINC000891738470 617886318 /nfs/dbraw/zinc/88/63/18/617886318.db2.gz IXPAQBCNDLZXSV-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN CCCCNC(=O)[C@H]1CC[C@H](C)N(Cc2csc(C#N)c2)C1 ZINC000891782564 617896659 /nfs/dbraw/zinc/89/66/59/617896659.db2.gz UVDFBOWAGDBFQT-ZFWWWQNUSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CCN(Cc1c2c(nn1C)CCOC2)CC1CCCCC1 ZINC000892036037 617948918 /nfs/dbraw/zinc/94/89/18/617948918.db2.gz QKJMCKNSGZQVLF-UHFFFAOYSA-N 0 1 303.450 3.061 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@H]1CCC2(CCC2)O1 ZINC000892420618 618062249 /nfs/dbraw/zinc/06/22/49/618062249.db2.gz UMBOTPOTUHQUCV-KGLIPLIRSA-N 0 1 323.396 3.241 20 30 DGEDMN N#CC(C(=O)CCCCc1ccccc1)C(=O)NC1CCCC1 ZINC000892530502 618100696 /nfs/dbraw/zinc/10/06/96/618100696.db2.gz PPORWZCXQADUBV-KRWDZBQOSA-N 0 1 312.413 3.167 20 30 DGEDMN N#CC(C(=O)CCCCc1ccccc1)C(=O)NC1CCCC1 ZINC000892530503 618100782 /nfs/dbraw/zinc/10/07/82/618100782.db2.gz PPORWZCXQADUBV-QGZVFWFLSA-N 0 1 312.413 3.167 20 30 DGEDMN CCCN1CCC([NH2+][C@@H](CC)c2cccc(C#N)c2[O-])CC1 ZINC000924578046 618117608 /nfs/dbraw/zinc/11/76/08/618117608.db2.gz NXNCAFXAQAXUPC-KRWDZBQOSA-N 0 1 301.434 3.179 20 30 DGEDMN C#CCSCC(=O)O[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000892683341 618138121 /nfs/dbraw/zinc/13/81/21/618138121.db2.gz SMIXBXZZJNFGMW-VIFPVBQESA-N 0 1 308.790 3.187 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000854246728 620788219 /nfs/dbraw/zinc/78/82/19/620788219.db2.gz NORUDTASZNOTLI-INIZCTEOSA-N 0 1 318.486 3.297 20 30 DGEDMN Cn1c(NN=Cc2csc(C3CC3)n2)nnc1-c1ccccc1 ZINC000920373912 620797685 /nfs/dbraw/zinc/79/76/85/620797685.db2.gz NGKHGEGXTFJUHU-UHFFFAOYSA-N 0 1 324.413 3.262 20 30 DGEDMN CN(Cc1csc(C#N)c1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000894705765 618431437 /nfs/dbraw/zinc/43/14/37/618431437.db2.gz HWSYWTAHOZTYPI-UHFFFAOYSA-N 0 1 321.446 3.107 20 30 DGEDMN N#CCC[C@H](N[C@@H]1CCC2(C[C@@H]1F)OCCO2)c1ccccc1 ZINC000893194748 618469490 /nfs/dbraw/zinc/46/94/90/618469490.db2.gz KSNNEDFAGPCKBD-YESZJQIVSA-N 0 1 318.392 3.255 20 30 DGEDMN C#C[C@H](N[C@H]1CC[C@H](C#N)C1)c1ccc(Br)cc1 ZINC000894869642 618483549 /nfs/dbraw/zinc/48/35/49/618483549.db2.gz BCKGKKHSVPSYLQ-CQDKDKBSSA-N 0 1 303.203 3.405 20 30 DGEDMN C#C[C@H](N[C@H]1CC[C@@H](C#N)C1)c1ccc(Br)cc1 ZINC000894869645 618483585 /nfs/dbraw/zinc/48/35/85/618483585.db2.gz BCKGKKHSVPSYLQ-UGFHNGPFSA-N 0 1 303.203 3.405 20 30 DGEDMN COc1nccc(CN[C@@H]2CCCc3cc(C#N)ccc32)c1F ZINC000894964757 618504127 /nfs/dbraw/zinc/50/41/27/618504127.db2.gz XPRUVCNTNKVQNS-MRXNPFEDSA-N 0 1 311.360 3.268 20 30 DGEDMN COCCOc1ccc(CN(C)[C@H](C)c2cccc(C#N)c2)o1 ZINC000895018316 618514708 /nfs/dbraw/zinc/51/47/08/618514708.db2.gz NDBNHXBFDPTIGW-CQSZACIVSA-N 0 1 314.385 3.369 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2cccc(COc3ccccc3)c2)C1 ZINC000895109281 618538995 /nfs/dbraw/zinc/53/89/95/618538995.db2.gz STDVMTZMNVGVBV-FQEVSTJZSA-N 0 1 322.408 3.116 20 30 DGEDMN O=C1[C@H](NCc2ccc(-c3ccc(F)cc3)s2)CCCN1O ZINC000895164673 618561427 /nfs/dbraw/zinc/56/14/27/618561427.db2.gz GDUIFOMRPSYRDI-CQSZACIVSA-N 0 1 320.389 3.024 20 30 DGEDMN C=CCOc1c(Cl)cc(CNC[C@@H]2CC(C)=NO2)cc1OC ZINC000895302725 618591795 /nfs/dbraw/zinc/59/17/95/618591795.db2.gz VTXJKUVINCQJLT-ZDUSSCGKSA-N 0 1 324.808 3.168 20 30 DGEDMN C#CC1(O)CCN(Cc2ccc(C)c(Br)c2C)CC1 ZINC000895385797 618777748 /nfs/dbraw/zinc/77/77/48/618777748.db2.gz KTYNJDCUJSXABQ-UHFFFAOYSA-N 0 1 322.246 3.026 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3cccc(C#N)c3)C2)cc1 ZINC000895495531 618792611 /nfs/dbraw/zinc/79/26/11/618792611.db2.gz RNSWGUNDRPAOSV-SFHVURJKSA-N 0 1 305.381 3.150 20 30 DGEDMN COc1ccc([C@@H](NC(C)C)C(=O)Nc2cccc(C#N)c2)cc1 ZINC000895546033 618797368 /nfs/dbraw/zinc/79/73/68/618797368.db2.gz XMSWRVPXZVBKFI-GOSISDBHSA-N 0 1 323.396 3.245 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2ccc(C)cc2Cl)c1 ZINC000025368958 618818313 /nfs/dbraw/zinc/81/83/13/618818313.db2.gz XPHQRTWMBCWFDE-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccnn2-c2ccccc2C)CC1 ZINC000895833913 618839621 /nfs/dbraw/zinc/83/96/21/618839621.db2.gz FQCGLORJLMBKIF-UHFFFAOYSA-N 0 1 311.429 3.084 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H](c2ccco2)N(CC)CC)cc1 ZINC000895936227 618851640 /nfs/dbraw/zinc/85/16/40/618851640.db2.gz LQIRRYGNMQHBJN-QGZVFWFLSA-N 0 1 310.397 3.074 20 30 DGEDMN C=C1CC(C)(c2noc(-c3cc(-c4c(C)nn(C)c4C)n[nH]3)n2)C1 ZINC000896914083 618984074 /nfs/dbraw/zinc/98/40/74/618984074.db2.gz PMLZEBFDTGBUQS-UHFFFAOYSA-N 0 1 324.388 3.085 20 30 DGEDMN COC(=O)[C@H]1C[C@@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000840712578 619016145 /nfs/dbraw/zinc/01/61/45/619016145.db2.gz JRUGYAXAQRLVCZ-VFANJQDASA-N 0 1 302.157 3.070 20 30 DGEDMN O=C1C=COC2(CCN(CCc3cccc(Cl)c3)CC2)C1 ZINC000897599557 619165085 /nfs/dbraw/zinc/16/50/85/619165085.db2.gz QDGNVUAJMGKNBW-UHFFFAOYSA-N 0 1 305.805 3.220 20 30 DGEDMN O=C1C=COC2(CCN(C/C=C\c3ccc(F)c(F)c3)CC2)C1 ZINC000897599144 619165132 /nfs/dbraw/zinc/16/51/32/619165132.db2.gz COYSIXKLJXIWTL-UPHRSURJSA-N 0 1 319.351 3.316 20 30 DGEDMN Cc1ccc2cc(CN3CCC4(CC3)CC(=O)C=CO4)[nH]c2c1 ZINC000897599459 619165138 /nfs/dbraw/zinc/16/51/38/619165138.db2.gz LVYORWLIMDJAFS-UHFFFAOYSA-N 0 1 310.397 3.314 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2cccc3c2OCC[C@@H]3N(C)C)cc1 ZINC000897604784 619165719 /nfs/dbraw/zinc/16/57/19/619165719.db2.gz XYSOAEBVCQYWAU-SFHVURJKSA-N 0 1 320.392 3.305 20 30 DGEDMN C[C@H](NCc1csc(C#N)c1)c1cnn(CC2CCC2)c1 ZINC000898183082 619224167 /nfs/dbraw/zinc/22/41/67/619224167.db2.gz HERJPNWCUANPEW-LBPRGKRZSA-N 0 1 300.431 3.467 20 30 DGEDMN C=CC(C)(C)NCc1cc(Br)c([N+](=O)[O-])s1 ZINC000898460582 619277327 /nfs/dbraw/zinc/27/73/27/619277327.db2.gz OWQWVVFGUBVTSP-UHFFFAOYSA-N 0 1 305.197 3.473 20 30 DGEDMN C[C@H]([NH2+]CCNc1ccc(C#N)cn1)c1ccc(Cl)cc1[O-] ZINC000927166962 619311495 /nfs/dbraw/zinc/31/14/95/619311495.db2.gz DKNNYXYLKJFRPW-NSHDSACASA-N 0 1 316.792 3.075 20 30 DGEDMN COC(=O)c1ccc(N=NC2CCCc3c[nH]nc32)cc1Cl ZINC000789036614 625335010 /nfs/dbraw/zinc/33/50/10/625335010.db2.gz HIOFUHMPUJUEGL-UHFFFAOYSA-N 0 1 318.764 3.002 20 30 DGEDMN CC(C)N(Cc1cn([C@H](C)c2ccc(C#N)cc2)nn1)C(C)C ZINC000900547909 619434799 /nfs/dbraw/zinc/43/47/99/619434799.db2.gz YEARUTYWFUDXJX-OAHLLOKOSA-N 0 1 311.433 3.378 20 30 DGEDMN CC(C)N(Cc1cn(CCc2ccc(C#N)cc2)nn1)C(C)C ZINC000900568780 619437445 /nfs/dbraw/zinc/43/74/45/619437445.db2.gz HFKKBAMJXWJLAT-UHFFFAOYSA-N 0 1 311.433 3.011 20 30 DGEDMN CC(C)N(Cc1cn([C@H](C)c2cccc(C#N)c2)nn1)C(C)C ZINC000900558689 619438578 /nfs/dbraw/zinc/43/85/78/619438578.db2.gz QASSMQIEYVDLEH-OAHLLOKOSA-N 0 1 311.433 3.378 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCC[C@@H](CCC(=O)[O-])C2)c1 ZINC000901553777 619514944 /nfs/dbraw/zinc/51/49/44/619514944.db2.gz OVEDKHSIBKPWCK-HNNXBMFYSA-N 0 1 303.402 3.328 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+]2CCC[C@@H](CCC(=O)[O-])C2)cc1 ZINC000901553749 619515077 /nfs/dbraw/zinc/51/50/77/619515077.db2.gz OBQFZQWZGWMNHB-INIZCTEOSA-N 0 1 315.413 3.166 20 30 DGEDMN C=CCOc1ccccc1C[N@@H+]1CCC[C@H](CCC(=O)[O-])C1 ZINC000901553955 619515102 /nfs/dbraw/zinc/51/51/02/619515102.db2.gz SRAWKSKVLKRBGQ-OAHLLOKOSA-N 0 1 303.402 3.328 20 30 DGEDMN C=C(C)COc1cc(C[N@H+](CC(=O)[O-])CC(C)C)ccc1OC ZINC000901589485 619519573 /nfs/dbraw/zinc/51/95/73/619519573.db2.gz SLMMXHMYGMEDCP-UHFFFAOYSA-N 0 1 321.417 3.193 20 30 DGEDMN C=CCCCN(CC)CN1C(=O)C2(CCC2)[C@@H]1c1cccnc1 ZINC000902668432 619662859 /nfs/dbraw/zinc/66/28/59/619662859.db2.gz AJOUCIAGPHUPKQ-KRWDZBQOSA-N 0 1 313.445 3.381 20 30 DGEDMN C[C@H](NCCOCc1ccc(Cl)cc1)c1cc(C#N)ccn1 ZINC000902888125 619689033 /nfs/dbraw/zinc/68/90/33/619689033.db2.gz QHWFUZOCKXSFRN-ZDUSSCGKSA-N 0 1 315.804 3.474 20 30 DGEDMN N#CCN(C(=O)c1ccc(CN2CCCCC2)o1)c1ccccc1 ZINC000902982667 619699446 /nfs/dbraw/zinc/69/94/46/619699446.db2.gz DNHOAVIKYCGCLR-UHFFFAOYSA-N 0 1 323.396 3.436 20 30 DGEDMN CC[C@@H](NCc1ccc(OC)nc1OC)c1cccc(C#N)c1 ZINC000902996027 619702738 /nfs/dbraw/zinc/70/27/38/619702738.db2.gz HUVSUHLZGLGWIO-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN C=CCC[C@@H](NCc1nn(C)cc1Cl)[C@@]1(C)CCCCO1 ZINC000903008765 619703994 /nfs/dbraw/zinc/70/39/94/619703994.db2.gz TZHACVXGURRZLW-HZPDHXFCSA-N 0 1 311.857 3.457 20 30 DGEDMN C=CCC[C@@H](NCc1nn(C)cc1Cl)[C@]1(C)CCCCO1 ZINC000903008763 619704071 /nfs/dbraw/zinc/70/40/71/619704071.db2.gz TZHACVXGURRZLW-CVEARBPZSA-N 0 1 311.857 3.457 20 30 DGEDMN C=CCC[C@H](NCc1nn(C)cc1Cl)[C@@]1(C)CCCCO1 ZINC000903008766 619704181 /nfs/dbraw/zinc/70/41/81/619704181.db2.gz TZHACVXGURRZLW-JKSUJKDBSA-N 0 1 311.857 3.457 20 30 DGEDMN COc1ccc([C@@H](N[C@H](C)c2cc(C#N)ccn2)C2CC2)cn1 ZINC000903074808 619711417 /nfs/dbraw/zinc/71/14/17/619711417.db2.gz YEYJMRXUSVMCHK-XIKOKIGWSA-N 0 1 308.385 3.159 20 30 DGEDMN C[C@H](NCc1ccc(C2(C)OCCO2)cc1)c1cc(C#N)ccn1 ZINC000903070634 619714842 /nfs/dbraw/zinc/71/48/42/619714842.db2.gz BIJOJZUFLVIXGX-AWEZNQCLSA-N 0 1 323.396 3.024 20 30 DGEDMN C=CCC[C@@H](NCc1n[nH]c(COC)n1)c1cccc(Cl)c1 ZINC000903199328 619735139 /nfs/dbraw/zinc/73/51/39/619735139.db2.gz JZIIOLHVMSYAEH-CQSZACIVSA-N 0 1 320.824 3.402 20 30 DGEDMN C[C@H](NCC1(C#N)CCCCC1)C1(NC(=O)OC(C)(C)C)CC1 ZINC000903200015 619735446 /nfs/dbraw/zinc/73/54/46/619735446.db2.gz KNKWMODFLZZSPX-AWEZNQCLSA-N 0 1 321.465 3.496 20 30 DGEDMN Oc1cc(Cl)c(C=NNCCc2ccccn2)cc1Cl ZINC000789128377 625368523 /nfs/dbraw/zinc/36/85/23/625368523.db2.gz SSDIIQUTIZZHNM-UHFFFAOYSA-N 0 1 310.184 3.260 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cn3cc(C)ccc3n2)c(O)c1 ZINC000789142327 625372627 /nfs/dbraw/zinc/37/26/27/625372627.db2.gz LMTUGTCBGWWVGE-QPJJXVBHSA-N 0 1 308.337 3.253 20 30 DGEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N(O)Cc2ccccc2Cl)C1 ZINC000904193940 619895399 /nfs/dbraw/zinc/89/53/99/619895399.db2.gz ZCBSITQXXPXYKM-MFKMUULPSA-N 0 1 319.792 3.147 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cnn(CC(F)F)c2)c1 ZINC000905078469 619968119 /nfs/dbraw/zinc/96/81/19/619968119.db2.gz SCUFAZPPWYKTJD-VOTSOKGWSA-N 0 1 319.355 3.106 20 30 DGEDMN O=C(/C=C/c1ccc(O)c(F)c1F)c1cn2c(n1)CCCC2 ZINC000905074840 619970875 /nfs/dbraw/zinc/97/08/75/619970875.db2.gz POUWGZGRXVKNAF-GQCTYLIASA-N 0 1 304.296 3.099 20 30 DGEDMN C[C@](O)(CNCc1cc(F)ccc1F)C(F)(F)C(F)(F)F ZINC000905096795 619973422 /nfs/dbraw/zinc/97/34/22/619973422.db2.gz YAWQNLFCLOJVJJ-JTQLQIEISA-N 0 1 319.220 3.003 20 30 DGEDMN C(CN1CCCCC1)NN=C1CCC[C@@H](C2CCOCC2)C1 ZINC000905435546 619999361 /nfs/dbraw/zinc/99/93/61/619999361.db2.gz DEHFNIVINZABEZ-QGZVFWFLSA-N 0 1 307.482 3.035 20 30 DGEDMN CCOCc1nc(C)cc(N=NCc2ccsc2Cl)n1 ZINC000905499390 620002303 /nfs/dbraw/zinc/00/23/03/620002303.db2.gz OFJQFNRCTDBKPK-UHFFFAOYSA-N 0 1 310.810 3.482 20 30 DGEDMN CCOCc1nc(C)cc(N=NCc2cc(F)ccc2F)n1 ZINC000905506902 620002871 /nfs/dbraw/zinc/00/28/71/620002871.db2.gz PIPQGAFEHOWYDO-UHFFFAOYSA-N 0 1 306.316 3.046 20 30 DGEDMN C#CCN1CCC(NC(=S)Nc2ccc(C(C)C)cc2)CC1 ZINC000905656832 620014160 /nfs/dbraw/zinc/01/41/60/620014160.db2.gz SOPXZIXRADZGFJ-UHFFFAOYSA-N 0 1 315.486 3.194 20 30 DGEDMN C#CCCCCCC[N@@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC000905752818 620026974 /nfs/dbraw/zinc/02/69/74/620026974.db2.gz WAKVQWAXRXSCRP-CYBMUJFWSA-N 0 1 306.431 3.214 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C(F)(F)F)CCN(CC)CC1 ZINC000905824552 620034693 /nfs/dbraw/zinc/03/46/93/620034693.db2.gz FZQFIYZVIVKFAX-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN N#CC1(C[C@H](O)CSc2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000905887140 620038869 /nfs/dbraw/zinc/03/88/69/620038869.db2.gz OKKHJLJYJKFZDR-ZDUSSCGKSA-N 0 1 314.414 3.009 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000881466850 625395588 /nfs/dbraw/zinc/39/55/88/625395588.db2.gz LFDQFEZPEXLZTJ-ABKXIKBNSA-N 0 1 312.348 3.034 20 30 DGEDMN N#C[C@@]1(C(=O)Nc2cccc(-c3nnc[nH]3)c2)CC2CCC1CC2 ZINC000906684558 620113224 /nfs/dbraw/zinc/11/32/24/620113224.db2.gz CIEFVHPAVZJMBZ-WFZFXDGQSA-N 0 1 321.384 3.130 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3c3ccnc(C#N)c3)[nH]c2c1 ZINC000911982098 620357627 /nfs/dbraw/zinc/35/76/27/620357627.db2.gz IIQRVXWZGAJGFF-QGZVFWFLSA-N 0 1 303.369 3.480 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cc(-c3c[nH]c4ccccc34)[nH]n2)C1 ZINC000912046079 620362169 /nfs/dbraw/zinc/36/21/69/620362169.db2.gz DIQPHSQSIZGIDO-CYBMUJFWSA-N 0 1 318.380 3.043 20 30 DGEDMN Cc1cccc2sc(C(=O)NCC#CCN(C)C)c(Cl)c21 ZINC000913516144 620447527 /nfs/dbraw/zinc/44/75/27/620447527.db2.gz CSHNDRLDQNSSLJ-UHFFFAOYSA-N 0 1 320.845 3.158 20 30 DGEDMN COCCN(CCC(=O)C(C)(C)C)Cc1ccc(C#N)cc1 ZINC000753711145 620460183 /nfs/dbraw/zinc/46/01/83/620460183.db2.gz WVIKCJBIMMFPTQ-UHFFFAOYSA-N 0 1 302.418 3.012 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)C(C)(C)N1CCCCC1 ZINC000913817525 620483292 /nfs/dbraw/zinc/48/32/92/620483292.db2.gz UAHVYAPLLDARHM-UHFFFAOYSA-N 0 1 303.381 3.209 20 30 DGEDMN CC1(C)CCC(CC(=O)C(C#N)C(=O)Nc2ccccn2)CC1 ZINC000152698045 620518641 /nfs/dbraw/zinc/51/86/41/620518641.db2.gz LKXWBILHKXYWQS-AWEZNQCLSA-N 0 1 313.401 3.335 20 30 DGEDMN COc1cccc(O)c1/C=N/C[C@@H](c1ccsc1)N(C)C ZINC000914557489 620541907 /nfs/dbraw/zinc/54/19/07/620541907.db2.gz GRGOGONCQGWYHR-VEJKBTLKSA-N 0 1 304.415 3.184 20 30 DGEDMN O=[N+]([O-])c1cccc(C=NNc2ncccc2C(F)(F)F)c1 ZINC000915050966 620565782 /nfs/dbraw/zinc/56/57/82/620565782.db2.gz IAOJHDXCOBDJCJ-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN C[C@H](C/N=C\c1ccccc1O)N1CCN(c2ccccc2)CC1 ZINC000915271289 620577350 /nfs/dbraw/zinc/57/73/50/620577350.db2.gz RQNMBESIPMYQRV-RRMQHPPMSA-N 0 1 323.440 3.022 20 30 DGEDMN CC(N=Nc1ccc(Br)cc1F)c1ccnn1C ZINC000766020041 620599736 /nfs/dbraw/zinc/59/97/36/620599736.db2.gz KFURDFLEQIRSSX-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN CC(N=Nc1ccc([N+](=O)[O-])cc1)c1ccc2c(c1)CCC(=O)N2 ZINC000915932768 620608294 /nfs/dbraw/zinc/60/82/94/620608294.db2.gz AFLJEUDIOYFJII-UHFFFAOYSA-N 0 1 324.340 3.316 20 30 DGEDMN Cc1nn(C)c(Cl)c1CN=Nc1nccc2sccc21 ZINC000915978839 620610688 /nfs/dbraw/zinc/61/06/88/620610688.db2.gz ARXQIAOFXYBTJP-UHFFFAOYSA-N 0 1 305.794 3.438 20 30 DGEDMN COc1cc(C=NNc2ccccc2[N+](=O)[O-])cc(Cl)c1O ZINC000916249553 620622683 /nfs/dbraw/zinc/62/26/83/620622683.db2.gz XYNJLEDNSCIPJW-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN Cc1cc(C)c(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1C ZINC000916305179 620625370 /nfs/dbraw/zinc/62/53/70/620625370.db2.gz MACYXSJQWYMYLA-UHFFFAOYSA-N 0 1 314.336 3.393 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000852596864 620633405 /nfs/dbraw/zinc/63/34/05/620633405.db2.gz OYVBDQFHUFLNEN-MAUKXSAKSA-N 0 1 302.393 3.478 20 30 DGEDMN CC1(C)CC(=O)C(=CNc2cc(-c3ccccc3)[nH]n2)C(=O)C1 ZINC000920979753 620832340 /nfs/dbraw/zinc/83/23/40/620832340.db2.gz IBFKHBIUEBACJV-UHFFFAOYSA-N 0 1 309.369 3.331 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccc(F)cc2)nc(C)n1 ZINC000921130945 620838739 /nfs/dbraw/zinc/83/87/39/620838739.db2.gz DWANYNJDYMSTPO-BDJLRTHQSA-N 0 1 311.360 3.288 20 30 DGEDMN Cc1cc(C)cc(C=Nn2c(=O)c3ccccc3[nH]c2=S)c1 ZINC000921258379 620846190 /nfs/dbraw/zinc/84/61/90/620846190.db2.gz FFQZNAYZRIRQNT-UHFFFAOYSA-N 0 1 309.394 3.184 20 30 DGEDMN C[C@@H](NCc1csc(C#N)c1)c1ccc2c(c1)OCCO2 ZINC000921487781 620858215 /nfs/dbraw/zinc/85/82/15/620858215.db2.gz WVWPUSJYOZPKQX-LLVKDONJSA-N 0 1 300.383 3.242 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1nnc2ccccc2c1O ZINC000855169687 620876183 /nfs/dbraw/zinc/87/61/83/620876183.db2.gz BHFDCQFJEDHJRD-TZMCWYRMSA-N 0 1 311.385 3.200 20 30 DGEDMN Fc1cccc(NN=C2COc3ccc(Br)cc32)n1 ZINC000814927870 620881572 /nfs/dbraw/zinc/88/15/72/620881572.db2.gz LBZLIFLGPKQRIW-UHFFFAOYSA-N 0 1 322.137 3.192 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(Nc2ccncc2)cc1)C1CCCC1 ZINC000921542318 620905489 /nfs/dbraw/zinc/90/54/89/620905489.db2.gz GXRZGHPPSKZKLD-SFHVURJKSA-N 0 1 320.396 3.059 20 30 DGEDMN COc1ccc(CNCc2c(C)cc(C#N)cc2C)c(OC)c1 ZINC000921559169 620909599 /nfs/dbraw/zinc/90/95/99/620909599.db2.gz SXJWLWGQRFCUJQ-UHFFFAOYSA-N 0 1 310.397 3.482 20 30 DGEDMN C=CCCC[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000921645202 620926431 /nfs/dbraw/zinc/92/64/31/620926431.db2.gz MSGRYKHPXONDQM-JKSUJKDBSA-N 0 1 324.428 3.438 20 30 DGEDMN C[C@H](NCc1cnn(CC2CCC2)c1)c1ccc(OCC#N)cc1 ZINC000921693114 620935909 /nfs/dbraw/zinc/93/59/09/620935909.db2.gz IFHGCRSSCHOZSE-HNNXBMFYSA-N 0 1 324.428 3.436 20 30 DGEDMN C=CCCONC(=O)Cc1csc(-c2cccc(C)c2)n1 ZINC000856029456 620955081 /nfs/dbraw/zinc/95/50/81/620955081.db2.gz OHTCYDRHDALRHO-UHFFFAOYSA-N 0 1 302.399 3.285 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2CCCCC)CC1 ZINC000856270093 620978510 /nfs/dbraw/zinc/97/85/10/620978510.db2.gz HLKCAJPLVQGOHW-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN COc1cc(CNCc2cn(C)nc2C2CCCC2)ccc1C#N ZINC000922140713 620994229 /nfs/dbraw/zinc/99/42/29/620994229.db2.gz LIFGQHGMCURASM-UHFFFAOYSA-N 0 1 324.428 3.248 20 30 DGEDMN CC(C)[C@H](O)[C@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000922260788 621013929 /nfs/dbraw/zinc/01/39/29/621013929.db2.gz MQOJFILTYVEYCN-SJORKVTESA-N 0 1 300.427 3.468 20 30 DGEDMN CCc1ccc(NC(=O)[C@H](C#N)C(=O)c2coc(C3CC3)n2)cc1 ZINC000788080630 621118093 /nfs/dbraw/zinc/11/80/93/621118093.db2.gz GEDSXOIHCSDBEX-CQSZACIVSA-N 0 1 323.352 3.076 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2coc(C3CC3)n2)cc1 ZINC000788080630 621118097 /nfs/dbraw/zinc/11/80/97/621118097.db2.gz GEDSXOIHCSDBEX-CQSZACIVSA-N 0 1 323.352 3.076 20 30 DGEDMN C=CCc1cc(CNCc2c(C)noc2CC)cc(OC)c1O ZINC000922988491 621144413 /nfs/dbraw/zinc/14/44/13/621144413.db2.gz PCVUBEDEFWRDIO-UHFFFAOYSA-N 0 1 316.401 3.278 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc([C@@H](C)CC)cc1 ZINC000858403338 621186320 /nfs/dbraw/zinc/18/63/20/621186320.db2.gz CLGKTAQGNLBHEF-LSDHHAIUSA-N 0 1 309.475 3.452 20 30 DGEDMN C=CCN1CC[C@@H](C(F)(F)F)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000859602682 621298115 /nfs/dbraw/zinc/29/81/15/621298115.db2.gz CLBALVUWMRCQKA-VXGBXAGGSA-N 0 1 322.371 3.198 20 30 DGEDMN N#Cc1ccc(C2(NCC=C(Cl)Cl)CCOCC2)cc1 ZINC000859883056 621342627 /nfs/dbraw/zinc/34/26/27/621342627.db2.gz KTOMSLCGDVXYFA-UHFFFAOYSA-N 0 1 311.212 3.473 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2C=NNc2ccccc2[N+](=O)[O-])o1 ZINC000839384652 621365556 /nfs/dbraw/zinc/36/55/56/621365556.db2.gz YMJRMHQFJYWALN-UHFFFAOYSA-N 0 1 311.301 3.332 20 30 DGEDMN O=C(/C=C\c1ncc(C(F)(F)F)s1)c1ccc(O)cc1O ZINC000788356888 621386479 /nfs/dbraw/zinc/38/64/79/621386479.db2.gz WQMWREWWHYCAMN-ARJAWSKDSA-N 0 1 315.272 3.469 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2ccc(F)cc2F)nc(C)n1 ZINC000752479000 621395066 /nfs/dbraw/zinc/39/50/66/621395066.db2.gz UQBMTOSOGLMMQM-AWEZNQCLSA-N 0 1 315.323 3.181 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CC1 ZINC000860452337 621406456 /nfs/dbraw/zinc/40/64/56/621406456.db2.gz WPWGDKDDEHUJHP-NHYWBVRUSA-N 0 1 300.383 3.288 20 30 DGEDMN N#CC(C(=O)[C@H]1[C@@H]2c3ccc(Cl)cc3C[C@H]12)c1ccccn1 ZINC000860467098 621408081 /nfs/dbraw/zinc/40/80/81/621408081.db2.gz CRWLRNVIMSPVNS-XJNFMUPTSA-N 0 1 308.768 3.497 20 30 DGEDMN COC(=O)C[C@H](/N=C/c1cc(Cl)cc(Cl)c1O)C1CC1 ZINC000753562887 621448852 /nfs/dbraw/zinc/44/88/52/621448852.db2.gz FRDLNFKMNUAUGK-SMWBLXLWSA-N 0 1 316.184 3.460 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cccc(Cl)c1 ZINC000753939437 621472606 /nfs/dbraw/zinc/47/26/06/621472606.db2.gz OQMBHRJXLFMZJS-HUUCEWRRSA-N 0 1 304.825 3.314 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(C(F)(F)F)cc1 ZINC000753936283 621472886 /nfs/dbraw/zinc/47/28/86/621472886.db2.gz RJAPPHRBQLGGAS-OKILXGFUSA-N 0 1 324.350 3.289 20 30 DGEDMN Fc1cc(F)c(C=NNc2ccc(C(F)(F)F)nn2)cc1F ZINC000754708768 621526413 /nfs/dbraw/zinc/52/64/13/621526413.db2.gz HEXCMQJHGUXXOG-UHFFFAOYSA-N 0 1 320.196 3.359 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)/C=C/c2ccccc2C#N)cc1F ZINC000754872663 621538568 /nfs/dbraw/zinc/53/85/68/621538568.db2.gz SVSGEGWXIAKUHL-CSKARUKUSA-N 0 1 323.371 3.411 20 30 DGEDMN Fc1cccn2cc(C=NNc3cc(F)c(F)cc3F)nc12 ZINC000755730346 621588879 /nfs/dbraw/zinc/58/88/79/621588879.db2.gz JJWYNOHTJCIJNY-UHFFFAOYSA-N 0 1 308.238 3.337 20 30 DGEDMN O=c1[nH]c(N=NC[C@@H]2CCc3ccccc32)nc2ccccc21 ZINC000755766868 621592247 /nfs/dbraw/zinc/59/22/47/621592247.db2.gz UFTYNRFAJBQTSL-ZDUSSCGKSA-N 0 1 304.353 3.463 20 30 DGEDMN Cc1ccnc(N=NCc2cc3c(cc2OC(F)F)OCO3)c1 ZINC000755905812 621601953 /nfs/dbraw/zinc/60/19/53/621601953.db2.gz KEDGSSUMWNIWSK-UHFFFAOYSA-N 0 1 321.283 3.166 20 30 DGEDMN CCc1[nH]nc(Cl)c1CN=Nc1cccc(C(F)(F)F)n1 ZINC000863095543 621638452 /nfs/dbraw/zinc/63/84/52/621638452.db2.gz IMVHJKXCRHDZIE-UHFFFAOYSA-N 0 1 317.702 3.485 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)/C=C\c1ccc(Cl)s1 ZINC000756544578 621646384 /nfs/dbraw/zinc/64/63/84/621646384.db2.gz PMWWHCOVNVHIBR-XYLWRLHESA-N 0 1 309.818 3.056 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H](C)C3CCOCC3)[nH]c21 ZINC000756559515 621648853 /nfs/dbraw/zinc/64/88/53/621648853.db2.gz BAQPVLPOSWOBCI-JSGCOSHPSA-N 0 1 311.385 3.110 20 30 DGEDMN COCCC1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1 ZINC000863270807 621658129 /nfs/dbraw/zinc/65/81/29/621658129.db2.gz ORQUXPVIAVTFKZ-UHFFFAOYSA-N 0 1 324.428 3.128 20 30 DGEDMN COc1ccc(C(C)N=Nc2ccncc2Cl)cc1OC ZINC000756841928 621667532 /nfs/dbraw/zinc/66/75/32/621667532.db2.gz DAVJNEUNKOJWKZ-UHFFFAOYSA-N 0 1 305.765 3.010 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1ccncc1Cl ZINC000756842964 621667648 /nfs/dbraw/zinc/66/76/48/621667648.db2.gz ZPOHOBQTPSODFG-ZDUSSCGKSA-N 0 1 314.820 3.077 20 30 DGEDMN CCOc1ccc(O)c(/C=N/[C@H]2CN(C)Cc3ccccc32)c1 ZINC000756846839 621667969 /nfs/dbraw/zinc/66/79/69/621667969.db2.gz OKUHFCJJFFZHHT-PQFHHTBUSA-N 0 1 310.397 3.397 20 30 DGEDMN C=CC[C@H]1CC[N@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000863472083 621675966 /nfs/dbraw/zinc/67/59/66/621675966.db2.gz SUDZRVPOAUYGHQ-HWYHOQROSA-N 0 1 301.386 3.061 20 30 DGEDMN CCN(c1ccc(NC[C@H](O)CC2(C#N)CC2)cc1)C(C)C ZINC000863555216 621683092 /nfs/dbraw/zinc/68/30/92/621683092.db2.gz AMMZBXKUMQKWHQ-QGZVFWFLSA-N 0 1 301.434 3.388 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805128234 621702052 /nfs/dbraw/zinc/70/20/52/621702052.db2.gz LMDVHTNWCARASK-MRVPVSSYSA-N 0 1 303.189 3.463 20 30 DGEDMN O=C(/C=C\c1cnc2ccc(Cl)cn12)c1ccc(O)cc1O ZINC000757467191 621714084 /nfs/dbraw/zinc/71/40/84/621714084.db2.gz BSOVMGBSUHCXTN-DJWKRKHSSA-N 0 1 314.728 3.295 20 30 DGEDMN COC(=O)c1ccc(/C=C\C(=O)c2cc(F)ccc2O)cc1 ZINC000757469006 621714413 /nfs/dbraw/zinc/71/44/13/621714413.db2.gz YBJSHBFJTVQBKL-YWEYNIOJSA-N 0 1 300.285 3.214 20 30 DGEDMN CN(C)c1ccc(/C=C\C(=O)c2ccc(O)cc2O)cc1F ZINC000757472127 621714972 /nfs/dbraw/zinc/71/49/72/621714972.db2.gz LLDFRZFNAKQIBF-YWEYNIOJSA-N 0 1 301.317 3.199 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000757854010 621743235 /nfs/dbraw/zinc/74/32/35/621743235.db2.gz MLRHMGFSRIVJQO-KRWDZBQOSA-N 0 1 318.848 3.397 20 30 DGEDMN COc1ccc(CN2CC[C@@H]2C/N=C/c2ccccc2O)cc1 ZINC000864345124 621758695 /nfs/dbraw/zinc/75/86/95/621758695.db2.gz ORBLZUDAZMJZTC-XNHPLOEXSA-N 0 1 310.397 3.094 20 30 DGEDMN CC(=NN=Cc1cc(Cl)c(O)cc1Cl)c1cnccn1 ZINC000758117631 621758964 /nfs/dbraw/zinc/75/89/64/621758964.db2.gz LUFIHKLDGPWVBD-UHFFFAOYSA-N 0 1 309.156 3.332 20 30 DGEDMN COC(=O)c1ccc(N=NCc2ccc(F)c3cccnc23)nc1 ZINC000758146824 621761492 /nfs/dbraw/zinc/76/14/92/621761492.db2.gz ZIPGCVKLCXZGKK-UHFFFAOYSA-N 0 1 324.315 3.002 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(F)c(C#N)c1)N1CCCCC1 ZINC000758953013 621813908 /nfs/dbraw/zinc/81/39/08/621813908.db2.gz VUEUZASVLPKSLN-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN C[C@@H](C(=O)OCc1cc(F)ccc1C#N)N1[C@H](C)CC[C@H]1C ZINC000759363934 621850040 /nfs/dbraw/zinc/85/00/40/621850040.db2.gz ZUUVWYAWORKRBM-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2(C)CC2)cc1Cl ZINC000759431604 621858220 /nfs/dbraw/zinc/85/82/20/621858220.db2.gz LUQZCGMHZLUYOE-NSHDSACASA-N 0 1 320.776 3.186 20 30 DGEDMN CCCC1(C(=O)OCC(=O)[C@H](C#N)c2nc(C)cs2)CCC1 ZINC000759448228 621859237 /nfs/dbraw/zinc/85/92/37/621859237.db2.gz JYOAXHPGXUCGBT-LBPRGKRZSA-N 0 1 320.414 3.141 20 30 DGEDMN CCCC1(C(=O)OCC(=O)C(C#N)c2nc(C)cs2)CCC1 ZINC000759448228 621859244 /nfs/dbraw/zinc/85/92/44/621859244.db2.gz JYOAXHPGXUCGBT-LBPRGKRZSA-N 0 1 320.414 3.141 20 30 DGEDMN COc1ccccc1C(C)(C)C(=O)Nc1cc(C#N)ccc1O ZINC000759712642 621885032 /nfs/dbraw/zinc/88/50/32/621885032.db2.gz DUGSHADKHYSQJX-UHFFFAOYSA-N 0 1 310.353 3.189 20 30 DGEDMN C[C@@]1(C(=O)Nc2cc(C#N)ccc2O)CCCc2ccccc21 ZINC000759713249 621885205 /nfs/dbraw/zinc/88/52/05/621885205.db2.gz LDQSXCGORLNSPA-LJQANCHMSA-N 0 1 306.365 3.497 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(C3CCCCC3)[nH]n2)c1 ZINC000759720648 621885712 /nfs/dbraw/zinc/88/57/12/621885712.db2.gz QOQWYEBHRHEPTA-UHFFFAOYSA-N 0 1 310.357 3.287 20 30 DGEDMN N#CCc1cccc(C(=O)OC[C@@H]2CCCN2Cc2ccco2)c1 ZINC000760139946 621917000 /nfs/dbraw/zinc/91/70/00/621917000.db2.gz JODLETDAICAGCL-KRWDZBQOSA-N 0 1 324.380 3.167 20 30 DGEDMN CC(C)(C)O[C@H](C(=O)C(C#N)c1ccncn1)c1ccccc1 ZINC000760336585 621933170 /nfs/dbraw/zinc/93/31/70/621933170.db2.gz ZTYIEJHHWGMYIE-PBHICJAKSA-N 0 1 309.369 3.209 20 30 DGEDMN N#C[C@H](C(=O)Cc1ccc(Cl)c(Cl)c1)c1ccncn1 ZINC000760338671 621933299 /nfs/dbraw/zinc/93/32/99/621933299.db2.gz FLCLZGLDZYQQBZ-JTQLQIEISA-N 0 1 306.152 3.202 20 30 DGEDMN N#CC(C(=O)CCCc1c[nH]c2ccccc12)c1ccncn1 ZINC000760355555 621934724 /nfs/dbraw/zinc/93/47/24/621934724.db2.gz YYWLKDLCGSHPRK-HNNXBMFYSA-N 0 1 304.353 3.157 20 30 DGEDMN C=CCCSCCNCc1cccnc1Br ZINC000865623334 621964529 /nfs/dbraw/zinc/96/45/29/621964529.db2.gz NYNLZHHTLMYRFP-UHFFFAOYSA-N 0 1 301.253 3.243 20 30 DGEDMN CC(C)c1nc([C@@H](C)Nc2cc(C(F)(F)F)cc(C#N)n2)n[nH]1 ZINC000865649940 621971251 /nfs/dbraw/zinc/97/12/51/621971251.db2.gz XWBQLJQFBOFCQK-MRVPVSSYSA-N 0 1 324.310 3.387 20 30 DGEDMN Cc1nscc1C(=O)[C@H](C#N)C(=O)Nc1ccccc1Cl ZINC000761092690 621985714 /nfs/dbraw/zinc/98/57/14/621985714.db2.gz HSHPRSSSRBJSOL-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1nscc1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000761092690 621985718 /nfs/dbraw/zinc/98/57/18/621985718.db2.gz HSHPRSSSRBJSOL-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cc(N=NCc2cc(F)ccc2Br)nc(C)n1 ZINC000761259942 621997352 /nfs/dbraw/zinc/99/73/52/621997352.db2.gz BDQOWOSRNSSBGF-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN C=C(C)COc1cc(CN[C@@H](C)c2ccnn2C)ccc1OC ZINC000865839158 622005170 /nfs/dbraw/zinc/00/51/70/622005170.db2.gz IHRZDABUZQUJDH-AWEZNQCLSA-N 0 1 315.417 3.234 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@@H](NCc1occc1Br)C2 ZINC000865849570 622007874 /nfs/dbraw/zinc/00/78/74/622007874.db2.gz WBJNELGMEBRRBU-ZDUSSCGKSA-N 0 1 317.186 3.171 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000762027020 622046951 /nfs/dbraw/zinc/04/69/51/622046951.db2.gz UUIYRYXPUTTYRW-WBMJQRKESA-N 0 1 316.401 3.415 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cnc(-c3cccnc3)s2)c1 ZINC000762076749 622051336 /nfs/dbraw/zinc/05/13/36/622051336.db2.gz MQWSGNZSWFHYEQ-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CC(C)c1nc([C@@H](C)NCc2ccc(O[C@@H](C)C#N)cc2)n[nH]1 ZINC000762624383 622087671 /nfs/dbraw/zinc/08/76/71/622087671.db2.gz AXKFYLYNMMMTLK-QWHCGFSZSA-N 0 1 313.405 3.070 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(Cl)c(O)cc2F)ccc1F ZINC000805254637 622091387 /nfs/dbraw/zinc/09/13/87/622091387.db2.gz BOVZJAXNKDGOLI-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2ccc(-c3ccc(F)cc3)s2)NO1 ZINC000763259815 622133695 /nfs/dbraw/zinc/13/36/95/622133695.db2.gz MVBVEQHSAKQXEK-SECBINFHSA-N 0 1 304.346 3.406 20 30 DGEDMN Cc1ccc2nc(C3CC3)cc(C(=O)N=C3C[C@@H](C)ON3)c2c1 ZINC000763258052 622133877 /nfs/dbraw/zinc/13/38/77/622133877.db2.gz FDJWLIIHKMMIFZ-LLVKDONJSA-N 0 1 309.369 3.273 20 30 DGEDMN c1cc2c3c(c1)CCC[C@@H]3CC2=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000763369298 622141526 /nfs/dbraw/zinc/14/15/26/622141526.db2.gz DWGJGVZUAFQVIP-BMFZPTHFSA-N 0 1 308.429 3.074 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](N[C@@H]1c3ccccc3OC[C@H]1F)C2 ZINC000866615646 622147570 /nfs/dbraw/zinc/14/75/70/622147570.db2.gz HHFXKOUFPPTDEV-SZVBFZGTSA-N 0 1 308.356 3.087 20 30 DGEDMN CCC[C@@H](C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)C(C)C ZINC000763858606 622165723 /nfs/dbraw/zinc/16/57/23/622165723.db2.gz UVCIKCQEFSBMGW-OLZOCXBDSA-N 0 1 322.430 3.243 20 30 DGEDMN CCC[C@@H](C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000763858606 622165725 /nfs/dbraw/zinc/16/57/25/622165725.db2.gz UVCIKCQEFSBMGW-OLZOCXBDSA-N 0 1 322.430 3.243 20 30 DGEDMN CC#CC(=O)Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1 ZINC000764358051 622189578 /nfs/dbraw/zinc/18/95/78/622189578.db2.gz FTAOSMMIKWWJOU-UHFFFAOYSA-N 0 1 311.291 3.470 20 30 DGEDMN Cc1cccc(C)c1NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867944262 622196209 /nfs/dbraw/zinc/19/62/09/622196209.db2.gz BPNFZYWZKCTRPW-GOSISDBHSA-N 0 1 305.381 3.001 20 30 DGEDMN Cc1ccc(N(C)C(=O)[C@@H]2NCCc3cc(C#N)ccc32)cc1C ZINC000867955645 622196958 /nfs/dbraw/zinc/19/69/58/622196958.db2.gz DZPJTWOTWFTVHZ-LJQANCHMSA-N 0 1 319.408 3.025 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H](C)c2c(F)cccc2F)nc(C)n1 ZINC000764561398 622203158 /nfs/dbraw/zinc/20/31/58/622203158.db2.gz OCJQXRHFDYBNAK-PWSUYJOCSA-N 0 1 315.323 3.352 20 30 DGEDMN C#Cc1cccc(NC(=O)c2cc(-c3cccc(OC)c3)n[nH]2)c1 ZINC000764654804 622211044 /nfs/dbraw/zinc/21/10/44/622211044.db2.gz PHIUEPPTBKFZFA-UHFFFAOYSA-N 0 1 317.348 3.319 20 30 DGEDMN COc1cc(C(C)=NNc2ccc(F)cc2)cc([N+](=O)[O-])c1O ZINC000764659658 622211059 /nfs/dbraw/zinc/21/10/59/622211059.db2.gz XVVHLYBLTQGKEW-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN COc1ccsc1CN=Nc1ccc(Br)cn1 ZINC000764690022 622213644 /nfs/dbraw/zinc/21/36/44/622213644.db2.gz JSRWOFZVPQKGJI-UHFFFAOYSA-N 0 1 312.192 3.360 20 30 DGEDMN c1cc2ncnc(N=NCc3ccc(N4CCCC4)nc3)c2s1 ZINC000764835616 622224611 /nfs/dbraw/zinc/22/46/11/622224611.db2.gz OGAOGSVHQAPDHI-UHFFFAOYSA-N 0 1 324.413 3.133 20 30 DGEDMN Cc1nc(CN=Nc2ncnc3ccsc32)c2ccccn12 ZINC000764842130 622225538 /nfs/dbraw/zinc/22/55/38/622225538.db2.gz YFAVGQVGRHYFSK-UHFFFAOYSA-N 0 1 308.370 3.093 20 30 DGEDMN C#Cc1cccc(NC(=S)NCc2nc3cccc(C)c3[nH]2)c1 ZINC000765500848 622268744 /nfs/dbraw/zinc/26/87/44/622268744.db2.gz CJAFREVGGIKQOA-UHFFFAOYSA-N 0 1 320.421 3.339 20 30 DGEDMN CC[C@H](C(=O)OC[C@@H](C#N)Cc1cccs1)N(CC)CC ZINC000765965278 622297039 /nfs/dbraw/zinc/29/70/39/622297039.db2.gz KPEDROHETHIHKD-UKRRQHHQSA-N 0 1 308.447 3.094 20 30 DGEDMN C#Cc1cccc(NCc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000766445267 622330634 /nfs/dbraw/zinc/33/06/34/622330634.db2.gz ZKMNGNZKYWTEQI-UHFFFAOYSA-N 0 1 305.425 3.032 20 30 DGEDMN COc1ccc(NN=Cc2ccc(C#N)c(C)c2)c([N+](=O)[O-])c1 ZINC000766452448 622331257 /nfs/dbraw/zinc/33/12/57/622331257.db2.gz HRBRWPHRSQQJCJ-UHFFFAOYSA-N 0 1 310.313 3.230 20 30 DGEDMN CCC[C@H](C)[C@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634506 622344049 /nfs/dbraw/zinc/34/40/49/622344049.db2.gz XDGBQFXSMZEWAX-KBXCAEBGSA-N 0 1 313.445 3.067 20 30 DGEDMN FC(F)(F)C1CCC(C=NNCCN2CCCCC2)CC1 ZINC000767370483 622393899 /nfs/dbraw/zinc/39/38/99/622393899.db2.gz ITMKRCJUXQFLFO-UHFFFAOYSA-N 0 1 305.388 3.416 20 30 DGEDMN Cc1nc(C#N)c(N=NC2C[C@@H](C)CN(Cc3ccccc3)C2)o1 ZINC000767371374 622394094 /nfs/dbraw/zinc/39/40/94/622394094.db2.gz XAQMBIAAXMFXFK-CYBMUJFWSA-N 0 1 323.400 3.165 20 30 DGEDMN C[C@@H]1CC(=NO[C@H]2CCCCO2)CN(Cc2ccccc2)C1 ZINC000767388735 622395576 /nfs/dbraw/zinc/39/55/76/622395576.db2.gz WGGRKAHEELBQBB-QAPCUYQASA-N 0 1 302.418 3.428 20 30 DGEDMN O=[N+]([O-])c1cccc(NN=Cc2cc(-c3ccccc3)[nH]n2)c1 ZINC000767743653 622419910 /nfs/dbraw/zinc/41/99/10/622419910.db2.gz RKJBEVMFKNQIJB-UHFFFAOYSA-N 0 1 307.313 3.431 20 30 DGEDMN Fc1ccc(CNN=Cc2cc(-c3ccccc3)[nH]n2)c(F)c1 ZINC000767782773 622421832 /nfs/dbraw/zinc/42/18/32/622421832.db2.gz NJCJUYVAPLHXGO-UHFFFAOYSA-N 0 1 312.323 3.479 20 30 DGEDMN COc1ccc(N=NC(C)c2ccc(N)cc2)c([N+](=O)[O-])c1 ZINC000767803418 622422827 /nfs/dbraw/zinc/42/28/27/622422827.db2.gz OOHSJBKRKZNIOR-UHFFFAOYSA-N 0 1 300.318 3.022 20 30 DGEDMN COC(=O)c1cc(C(=O)C(C#N)c2nc(C)cs2)ccc1C ZINC000870117427 622434708 /nfs/dbraw/zinc/43/47/08/622434708.db2.gz LMIQGWWTTFCVFY-CYBMUJFWSA-N 0 1 314.366 3.037 20 30 DGEDMN CC[C@H]1CO[C@@H](C)CN1Cc1ccc(N(C)CCC#N)cc1 ZINC000768174066 622443288 /nfs/dbraw/zinc/44/32/88/622443288.db2.gz XBIJTKCYQCYADY-RDJZCZTQSA-N 0 1 301.434 3.036 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000870314925 622455836 /nfs/dbraw/zinc/45/58/36/622455836.db2.gz WIUUIXXTMHGQQF-KUHUBIRLSA-N 0 1 323.396 3.401 20 30 DGEDMN C#CCN(CC)Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000769104506 622498685 /nfs/dbraw/zinc/49/86/85/622498685.db2.gz IACVRLLNENZJPC-UHFFFAOYSA-N 0 1 301.390 3.040 20 30 DGEDMN N#CC(C(=O)[C@H]1CSCCS1)c1ccc2ccccc2n1 ZINC000769251345 622511328 /nfs/dbraw/zinc/51/13/28/622511328.db2.gz RLJUXGNRIVRCPQ-SWLSCSKDSA-N 0 1 314.435 3.260 20 30 DGEDMN C#CCOc1ccc(CN(CCOC)Cc2ccc(C)o2)cc1 ZINC000769501750 622535083 /nfs/dbraw/zinc/53/50/83/622535083.db2.gz OURGGVDFRLLFPZ-UHFFFAOYSA-N 0 1 313.397 3.249 20 30 DGEDMN CCCCS[C@@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071557 622543420 /nfs/dbraw/zinc/54/34/20/622543420.db2.gz ZIRMFGDWTXJFDK-JTQLQIEISA-N 0 1 302.403 3.295 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CC[C@H](C(F)(F)F)C3)[nH]c2c1 ZINC000871073070 622544201 /nfs/dbraw/zinc/54/42/01/622544201.db2.gz RLMMKJOPDZOQAP-ZJUUUORDSA-N 0 1 322.290 3.352 20 30 DGEDMN COc1cc(C=NNc2c(F)cccc2Cl)cc(O)c1O ZINC000769827438 622565789 /nfs/dbraw/zinc/56/57/89/622565789.db2.gz XXVCJGAWNPOAKB-UHFFFAOYSA-N 0 1 310.712 3.345 20 30 DGEDMN C[C@@H](N[C@@H](CO)c1ccsc1)c1ccc(OCC#N)cc1 ZINC000769983806 622585600 /nfs/dbraw/zinc/58/56/00/622585600.db2.gz AEISPDRPNIKPFT-WBMJQRKESA-N 0 1 302.399 3.035 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCC(=O)[C@@H](C#N)c2ccccc2)n1 ZINC000871644762 622656765 /nfs/dbraw/zinc/65/67/65/622656765.db2.gz MDAXPYQBSDKBME-LBPRGKRZSA-N 0 1 314.414 3.071 20 30 DGEDMN CON=Cc1ccc(C(=O)Nc2cc(F)cc(Cl)c2O)cc1 ZINC000771504246 622769391 /nfs/dbraw/zinc/76/93/91/622769391.db2.gz KHPKAYAGCNYHFE-UHFFFAOYSA-N 0 1 322.723 3.417 20 30 DGEDMN N#CCc1ccccc1CC(=O)Nc1cc(F)cc(Cl)c1O ZINC000771511620 622770090 /nfs/dbraw/zinc/77/00/90/622770090.db2.gz NWGAXNLZNPCNNH-UHFFFAOYSA-N 0 1 318.735 3.432 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccc(N3CCN(C)CC3)cc2)o1 ZINC000771517813 622771049 /nfs/dbraw/zinc/77/10/49/622771049.db2.gz KPBKAYAVFRHMRE-TWGQIWQCSA-N 0 1 310.397 3.236 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2ccc(CC(C)C)cc2)CC1 ZINC000772112951 622848544 /nfs/dbraw/zinc/84/85/44/622848544.db2.gz GYDAUZPGWBWXTG-UHFFFAOYSA-N 0 1 313.441 3.274 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H](CCNCCC#N)Cc1ccccc1 ZINC000872220365 622860692 /nfs/dbraw/zinc/86/06/92/622860692.db2.gz MQPLIPVFSWCISU-MRXNPFEDSA-N 0 1 317.433 3.016 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C1CCCc2ncccc21 ZINC000872346789 622894434 /nfs/dbraw/zinc/89/44/34/622894434.db2.gz KJZNDAVFDTZEPT-UHFFFAOYSA-N 0 1 312.329 3.151 20 30 DGEDMN CC(NNc1cc(Cl)nc2c1c(C)nn2C)=C1CC1(F)F ZINC000872422183 622912402 /nfs/dbraw/zinc/91/24/02/622912402.db2.gz HIQQPEYACPILPR-QMMMGPOBSA-N 0 1 313.739 3.373 20 30 DGEDMN C#CCN(Cc1cc(O)ccc1[N+](=O)[O-])[C@H]1CCc2ccccc21 ZINC000772598156 622916719 /nfs/dbraw/zinc/91/67/19/622916719.db2.gz IDDJQSQZMNECQW-IBGZPJMESA-N 0 1 322.364 3.423 20 30 DGEDMN C/C(=C/C(C)(C)C)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000772816865 622944733 /nfs/dbraw/zinc/94/47/33/622944733.db2.gz XRYGTWDVJXDSPJ-GYGFLDJKSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C/C(C)(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000772816865 622944738 /nfs/dbraw/zinc/94/47/38/622944738.db2.gz XRYGTWDVJXDSPJ-GYGFLDJKSA-N 0 1 320.414 3.163 20 30 DGEDMN C[C@H](O)c1ncc(C=NNc2ncc(Cl)cc2Cl)s1 ZINC000773027738 622970208 /nfs/dbraw/zinc/97/02/08/622970208.db2.gz LIMSTRYZEQUFIB-LURJTMIESA-N 0 1 317.201 3.344 20 30 DGEDMN C=C(Cl)CNC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872898942 622996651 /nfs/dbraw/zinc/99/66/51/622996651.db2.gz XBDUGONOWIYJTE-LBPRGKRZSA-N 0 1 310.829 3.175 20 30 DGEDMN Cc1cccnc1N=NCc1cc(Cl)c2c(c1)OCCO2 ZINC000790185655 625614433 /nfs/dbraw/zinc/61/44/33/625614433.db2.gz IUOLTMIPPFCVKA-UHFFFAOYSA-N 0 1 303.749 3.261 20 30 DGEDMN Cc1cccnc1N=NCC1(CN2CCOCC2)CCCCC1 ZINC000790193837 625614871 /nfs/dbraw/zinc/61/48/71/625614871.db2.gz OXCIMQFGHIHCHL-UHFFFAOYSA-N 0 1 316.449 3.070 20 30 DGEDMN N#Cc1c(F)cccc1CN[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000873097690 623035761 /nfs/dbraw/zinc/03/57/61/623035761.db2.gz VTGCTRNWSPYQPK-QLFBSQMISA-N 0 1 308.447 3.167 20 30 DGEDMN C=C(CC)COC(=O)CSc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000773536333 623043088 /nfs/dbraw/zinc/04/30/88/623043088.db2.gz QZFFVNADGYFFRC-UHFFFAOYSA-N 0 1 317.414 3.382 20 30 DGEDMN CC[C@H](CNCc1cccc(F)c1C#N)NC(=O)OC(C)(C)C ZINC000873131592 623043821 /nfs/dbraw/zinc/04/38/21/623043821.db2.gz PYXXEWOABREWKW-CYBMUJFWSA-N 0 1 321.396 3.090 20 30 DGEDMN C[C@@H](NCc1cccc(F)c1C#N)[C@H](C)NC(=O)OC(C)(C)C ZINC000873130833 623044083 /nfs/dbraw/zinc/04/40/83/623044083.db2.gz HHGXTKGCPDGNES-NEPJUHHUSA-N 0 1 321.396 3.089 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC2(C)C)cc1 ZINC000773978099 623095503 /nfs/dbraw/zinc/09/55/03/623095503.db2.gz RCLIEWYFXHURBW-GJZGRUSLSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC2(C)C)cc1 ZINC000773978100 623096112 /nfs/dbraw/zinc/09/61/12/623096112.db2.gz RCLIEWYFXHURBW-HUUCEWRRSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)C(=O)Nc2ccccc2Cl)ns1 ZINC000774051458 623102869 /nfs/dbraw/zinc/10/28/69/623102869.db2.gz IYIVATLRQRDTQP-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)ns1 ZINC000774051458 623102870 /nfs/dbraw/zinc/10/28/70/623102870.db2.gz IYIVATLRQRDTQP-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN CCc1cc(CNc2c(C#N)cnc3ccc(Cl)cc32)n[nH]1 ZINC000774529913 623157182 /nfs/dbraw/zinc/15/71/82/623157182.db2.gz NZSOPANXTXHXMB-UHFFFAOYSA-N 0 1 311.776 3.079 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000873495349 623159742 /nfs/dbraw/zinc/15/97/42/623159742.db2.gz KRLCUGJEFBKHPP-LLVKDONJSA-N 0 1 315.354 3.467 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000873499223 623160547 /nfs/dbraw/zinc/16/05/47/623160547.db2.gz ZZHIAHCVFWKVLQ-STQMWFEESA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000873523478 623167036 /nfs/dbraw/zinc/16/70/36/623167036.db2.gz RJGYTBYKLCWTJM-OLZOCXBDSA-N 0 1 322.355 3.116 20 30 DGEDMN CC(C)(C)OC(=O)NCCCN(CCC#N)CC1CCCC1 ZINC000774878621 623204197 /nfs/dbraw/zinc/20/41/97/623204197.db2.gz UNRLNKGGPKHMTH-UHFFFAOYSA-N 0 1 309.454 3.307 20 30 DGEDMN Cn1ccnc1NN=Cc1cn(-c2ccccc2)nc1C(C)(C)C ZINC000790300628 625631422 /nfs/dbraw/zinc/63/14/22/625631422.db2.gz XBWWGFXJADNSAF-UHFFFAOYSA-N 0 1 322.416 3.349 20 30 DGEDMN CC(=O)c1cc(F)cc(C[NH2+][C@@H](C)c2ccc(C#N)cc2)c1[O-] ZINC000775164531 623236601 /nfs/dbraw/zinc/23/66/01/623236601.db2.gz ZPYQUMNRTBQDKL-NSHDSACASA-N 0 1 312.344 3.456 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000775183020 623238393 /nfs/dbraw/zinc/23/83/93/623238393.db2.gz FYVRJXTVCAXBRW-CQSZACIVSA-N 0 1 301.390 3.039 20 30 DGEDMN C#C[C@@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@H]1CCCO1 ZINC000775345903 623257276 /nfs/dbraw/zinc/25/72/76/623257276.db2.gz ACQRDOUHNNPWBF-ZIAGYGMSSA-N 0 1 301.283 3.115 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCC=C)c(Cl)c1)[C@H]1CCCO1 ZINC000775352310 623258997 /nfs/dbraw/zinc/25/89/97/623258997.db2.gz WRZFICXJJUEEQA-NVXWUHKLSA-N 0 1 305.805 3.175 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H](C)CCCCC)c1ccccc1 ZINC000775398521 623267837 /nfs/dbraw/zinc/26/78/37/623267837.db2.gz JDNYSLHSMHYLKT-WMZOPIPTSA-N 0 1 300.446 3.426 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(C)c(F)c1)c1ccccc1 ZINC000775404977 623270511 /nfs/dbraw/zinc/27/05/11/623270511.db2.gz SGCGTBVNJPNIAX-SFHVURJKSA-N 0 1 310.372 3.427 20 30 DGEDMN COC1(C)CCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000775559400 623289764 /nfs/dbraw/zinc/28/97/64/623289764.db2.gz ZSCFFDOPUGBTOI-UHFFFAOYSA-N 0 1 308.809 3.243 20 30 DGEDMN C#C[C@H](N[C@@H]1CCc2c1cccc2Br)[C@@H]1CCCO1 ZINC000775572673 623291965 /nfs/dbraw/zinc/29/19/65/623291965.db2.gz SVGOPPYVOBSZDF-XHSDSOJGSA-N 0 1 320.230 3.207 20 30 DGEDMN C=C(Br)CN[C@H](CC)c1ccc2c(c1)OCCO2 ZINC000125058078 623295492 /nfs/dbraw/zinc/29/54/92/623295492.db2.gz AIYJHPOZBLFVFH-GFCCVEGCSA-N 0 1 312.207 3.407 20 30 DGEDMN CN(CCCNC(=O)OC(C)(C)C)Cc1ccc(C#N)cc1F ZINC000125138815 623336781 /nfs/dbraw/zinc/33/67/81/623336781.db2.gz VUSBCOPHZPCOCK-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@@H](C)c1ccc(N(C)C)cc1 ZINC000775835063 623339674 /nfs/dbraw/zinc/33/96/74/623339674.db2.gz DTLJHTONHPSUAC-AWEZNQCLSA-N 0 1 309.413 3.484 20 30 DGEDMN Cc1nc(-c2ccccc2C)sc1C(=O)NC1=NO[C@@H](C)C1 ZINC000775887011 623346308 /nfs/dbraw/zinc/34/63/08/623346308.db2.gz UUNZNZKCLHMUIW-JTQLQIEISA-N 0 1 315.398 3.279 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(CC#N)cc1)N1CCCCCC1 ZINC000776214834 623397700 /nfs/dbraw/zinc/39/77/00/623397700.db2.gz SSFKMAWOONWNRA-HNNXBMFYSA-N 0 1 300.402 3.060 20 30 DGEDMN O=C(/C=C/c1nc2c(s1)CCCC2)c1ccc(O)cc1O ZINC000776266893 623404132 /nfs/dbraw/zinc/40/41/32/623404132.db2.gz UNDLBTOBXMOAQS-BQYQJAHWSA-N 0 1 301.367 3.329 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@H](C(C)(C)C)C2)c1O ZINC000790414202 625651110 /nfs/dbraw/zinc/65/11/10/625651110.db2.gz NWGOXBPNSGEJQM-KRWDZBQOSA-N 0 1 319.445 3.376 20 30 DGEDMN COCCCC=Nn1cnnc1SCc1ccc(Cl)cc1 ZINC000777430472 623523207 /nfs/dbraw/zinc/52/32/07/623523207.db2.gz SNPYGZLUUBENOP-UHFFFAOYSA-N 0 1 324.837 3.484 20 30 DGEDMN COc1cc(C(C)=NOCCC(F)(F)F)cc([N+](=O)[O-])c1O ZINC000777462719 623529285 /nfs/dbraw/zinc/52/92/85/623529285.db2.gz JDDYUKDMSYHFAW-UHFFFAOYSA-N 0 1 322.239 3.002 20 30 DGEDMN COC[C@H](C)NN=C(C)c1ccc(N2CCCCC2)c(F)c1 ZINC000777894619 623581814 /nfs/dbraw/zinc/58/18/14/623581814.db2.gz MJHPUTKSEIZARN-ZDUSSCGKSA-N 0 1 307.413 3.165 20 30 DGEDMN C=CCCCN(C)Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1 ZINC000777954711 623590271 /nfs/dbraw/zinc/59/02/71/623590271.db2.gz BMWUCJSSZIMSDE-UHFFFAOYSA-N 0 1 300.362 3.314 20 30 DGEDMN C#C[C@H](NCc1ccc(OC(C)C)c(Cl)c1)[C@H]1CCCO1 ZINC000778149329 623616381 /nfs/dbraw/zinc/61/63/81/623616381.db2.gz DHCFJUFBJAFVAH-DOTOQJQBSA-N 0 1 307.821 3.398 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H](O)CC(C)(C)C ZINC000778169049 623619600 /nfs/dbraw/zinc/61/96/00/623619600.db2.gz KYNBHOPYVDCLMZ-CYBMUJFWSA-N 0 1 309.837 3.239 20 30 DGEDMN CC(C)(C)OCC(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000778307199 623637970 /nfs/dbraw/zinc/63/79/70/623637970.db2.gz OYRHULDTHDUCAG-JTQLQIEISA-N 0 1 306.362 3.427 20 30 DGEDMN COc1ccc2nc(N=NC(C)c3cnn(C)c3C)c(C)cc2c1 ZINC000778543681 623666203 /nfs/dbraw/zinc/66/62/03/623666203.db2.gz PDESDMGHYMVWEV-UHFFFAOYSA-N 0 1 323.400 3.430 20 30 DGEDMN CC1(C)Cc2occc2C(=NNc2cc(Cl)nc(N)n2)C1 ZINC000778546298 623666718 /nfs/dbraw/zinc/66/67/18/623666718.db2.gz CCPJMPHLSIKVSU-UHFFFAOYSA-N 0 1 305.769 3.094 20 30 DGEDMN CC(=NNc1cc(Cl)nc(N)n1)c1coc2ccccc12 ZINC000778549225 623667553 /nfs/dbraw/zinc/66/75/53/623667553.db2.gz VVWWKZXWHXEFPE-UHFFFAOYSA-N 0 1 301.737 3.295 20 30 DGEDMN C=CCc1cc(OC)ccc1OC(=O)[C@@H](CC)N(CC)CC ZINC000778602506 623676007 /nfs/dbraw/zinc/67/60/07/623676007.db2.gz ZJPDIMXAQRYPIO-MRXNPFEDSA-N 0 1 305.418 3.450 20 30 DGEDMN CC[C@@H](C(=O)OC[C@@H](C#N)Cc1ccccc1)N(CC)CC ZINC000778666248 623680655 /nfs/dbraw/zinc/68/06/55/623680655.db2.gz UMRVJNQASGBGMJ-SJORKVTESA-N 0 1 302.418 3.032 20 30 DGEDMN CC[C@H](C(=O)O[C@H](C#N)Cc1ccc(F)cc1F)N(CC)CC ZINC000778711367 623685981 /nfs/dbraw/zinc/68/59/81/623685981.db2.gz KFIBSKOITWODEV-GOEBONIOSA-N 0 1 324.371 3.063 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H]2C[C@H](O)c2cccs2)n1 ZINC000876803998 623710210 /nfs/dbraw/zinc/71/02/10/623710210.db2.gz LOMUDSWQTHBPFF-HOTGVXAUSA-N 0 1 313.426 3.103 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCc2cccc(F)c2)c1 ZINC000778938756 623713205 /nfs/dbraw/zinc/71/32/05/623713205.db2.gz GTMOFTFJRYYKIL-UHFFFAOYSA-N 0 1 324.399 3.310 20 30 DGEDMN Cc1cccc(O)c1CN1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000876815748 623714969 /nfs/dbraw/zinc/71/49/69/623714969.db2.gz COOQFDSHRBSYSI-LJQANCHMSA-N 0 1 308.381 3.146 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)CC1CCOCC1 ZINC000779157087 623736567 /nfs/dbraw/zinc/73/65/67/623736567.db2.gz LWNFHVLWNGMQRI-UHFFFAOYSA-N 0 1 307.821 3.210 20 30 DGEDMN Cc1ccccc1OCCNCc1cc(C#N)cnc1Cl ZINC000876957411 623754554 /nfs/dbraw/zinc/75/45/54/623754554.db2.gz VSXUHMNPPMYGOK-UHFFFAOYSA-N 0 1 301.777 3.084 20 30 DGEDMN CCO[C@@H]1C[C@H](NCc2cc(C#N)cnc2Cl)C12CCC2 ZINC000877043374 623774812 /nfs/dbraw/zinc/77/48/12/623774812.db2.gz HLMRYRPYEOKBKN-UONOGXRCSA-N 0 1 305.809 3.044 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cc(C)ns2)c1 ZINC000877046976 623775689 /nfs/dbraw/zinc/77/56/89/623775689.db2.gz VWXCPBRVTQLWFY-AWEZNQCLSA-N 0 1 301.415 3.422 20 30 DGEDMN O=C(/C=C/c1ccnn1-c1ccccc1)c1ccc(O)cc1O ZINC000790601520 625676319 /nfs/dbraw/zinc/67/63/19/625676319.db2.gz VRYQBKFQEJWQCX-RMKNXTFCSA-N 0 1 306.321 3.180 20 30 DGEDMN Cc1nn(C)c(/C=C/C(=O)c2ccccc2O)c1Br ZINC000790600774 625676406 /nfs/dbraw/zinc/67/64/06/625676406.db2.gz NQNMKVVDTOUELY-BQYQJAHWSA-N 0 1 321.174 3.093 20 30 DGEDMN C[C@H](C[C@@H](O)c1cccs1)NCc1cc(C#N)cnc1Cl ZINC000877130748 623799733 /nfs/dbraw/zinc/79/97/33/623799733.db2.gz SYUPQQJZHBFACE-ZWNOBZJWSA-N 0 1 321.833 3.270 20 30 DGEDMN CCCC(=NNC1=NC[C@@H](C)N1)c1ccc(Cl)c(Cl)c1 ZINC000779799105 623808859 /nfs/dbraw/zinc/80/88/59/623808859.db2.gz IYWUTQQGLURCBI-SECBINFHSA-N 0 1 313.232 3.435 20 30 DGEDMN CC(=NNC1=NC[C@@H](C)N1)c1ccc(SC2CCCC2)cc1 ZINC000779800503 623809705 /nfs/dbraw/zinc/80/97/05/623809705.db2.gz NVAMQPNYTSXHMW-GFCCVEGCSA-N 0 1 316.474 3.383 20 30 DGEDMN CCCCc1nc(Cl)c(CN=Nc2cccc(OC)n2)[nH]1 ZINC000779818601 623813584 /nfs/dbraw/zinc/81/35/84/623813584.db2.gz STIHFADBJXPXLH-UHFFFAOYSA-N 0 1 307.785 3.255 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1c[nH]nc1-c1ccsc1 ZINC000780187575 623874549 /nfs/dbraw/zinc/87/45/49/623874549.db2.gz XEUIRXBDFRZGLO-UHFFFAOYSA-N 0 1 313.342 3.492 20 30 DGEDMN Cn1ncc(Cl)c1C=NNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000780213227 623878153 /nfs/dbraw/zinc/87/81/53/623878153.db2.gz ZLHUSZXCMKUOJS-UHFFFAOYSA-N 0 1 314.132 3.081 20 30 DGEDMN CC(=NN[C@H]1CCCOC1)c1ccc(N2CCCCC2)c(F)c1 ZINC000780261281 623898938 /nfs/dbraw/zinc/89/89/38/623898938.db2.gz MBKUTEFGJMEDOF-INIZCTEOSA-N 0 1 319.424 3.309 20 30 DGEDMN C=CCOc1ccc(CN=Nc2ccc(OC)cn2)cc1OC ZINC000780266840 623900530 /nfs/dbraw/zinc/90/05/30/623900530.db2.gz DFAZHKXYGMUUTF-UHFFFAOYSA-N 0 1 313.357 3.110 20 30 DGEDMN C=CC[C@H]1N(Cc2cn(C[C@H]3CCCO3)nn2)CCCC1(C)C ZINC000877480569 623903719 /nfs/dbraw/zinc/90/37/19/623903719.db2.gz ZMUBZQZTDUFBCG-IAGOWNOFSA-N 0 1 318.465 3.024 20 30 DGEDMN C[C@H]1CN(C)CC1=NOCc1ccc(F)cc1Br ZINC000780288011 623905144 /nfs/dbraw/zinc/90/51/44/623905144.db2.gz RQIGFHIVVNWYSA-VIFPVBQESA-N 0 1 315.186 3.042 20 30 DGEDMN CC[C@@H]1C[C@@H](C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)CCO1 ZINC000780381553 623919648 /nfs/dbraw/zinc/91/96/48/623919648.db2.gz LDHDGVLHQGDVQL-BFHYXJOUSA-N 0 1 311.385 3.253 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@@H]2[C@H]2CCC[C@@H]2O)c1 ZINC000877563473 623944046 /nfs/dbraw/zinc/94/40/46/623944046.db2.gz NVLVBABLUUUBRW-OAGGEKHMSA-N 0 1 319.836 3.122 20 30 DGEDMN C=CCCC(=O)OCc1nc2ccc(Br)cc2[nH]1 ZINC000780529678 623945259 /nfs/dbraw/zinc/94/52/59/623945259.db2.gz LBPVDAKOFGNBCF-UHFFFAOYSA-N 0 1 309.163 3.335 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Cl)c3cccnc23)nc1 ZINC000780558247 623951430 /nfs/dbraw/zinc/95/14/30/623951430.db2.gz MYICOXLJTNQUIN-UHFFFAOYSA-N 0 1 308.772 3.445 20 30 DGEDMN C#CC[C@H]1CCN(Cc2c(C(F)(F)F)nc3n2CCCC3)C1 ZINC000877650555 623977871 /nfs/dbraw/zinc/97/78/71/623977871.db2.gz KWRQEPNLAFEHBU-LBPRGKRZSA-N 0 1 311.351 3.083 20 30 DGEDMN N#Cc1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])c(F)c1 ZINC000781109927 624023565 /nfs/dbraw/zinc/02/35/65/624023565.db2.gz CDILBWUTVLJNLE-QHHAFSJGSA-N 0 1 312.256 3.207 20 30 DGEDMN CC(C)(O)[C@@H]1CCN(Cc2ccc(C#N)cc2C(F)(F)F)C1 ZINC000877835448 624063841 /nfs/dbraw/zinc/06/38/41/624063841.db2.gz BPPWILDWUUNXGM-CYBMUJFWSA-N 0 1 312.335 3.170 20 30 DGEDMN CCCCCN(CC(=O)NCCC#N)Cc1ccc(Cl)cc1 ZINC000781419549 624068795 /nfs/dbraw/zinc/06/87/95/624068795.db2.gz LSKOYYITNGHXSW-UHFFFAOYSA-N 0 1 321.852 3.362 20 30 DGEDMN COC1CCC(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)CC1 ZINC000781479829 624077770 /nfs/dbraw/zinc/07/77/70/624077770.db2.gz KEMOVZSMIAXNNP-JXQTWKCFSA-N 0 1 311.385 3.253 20 30 DGEDMN C#CCCCCCN(C)[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000877891761 624079597 /nfs/dbraw/zinc/07/95/97/624079597.db2.gz FDVMARSPZPYCKF-HNNXBMFYSA-N 0 1 307.459 3.277 20 30 DGEDMN C=CC[C@H](C)N(C)CC(=O)Nc1ccccc1Br ZINC000877944038 624104346 /nfs/dbraw/zinc/10/43/46/624104346.db2.gz UBYSWIPDJUNFNO-NSHDSACASA-N 0 1 311.223 3.284 20 30 DGEDMN C=CC[C@H](C(=O)OC)N(C)C[C@H]1CCCO[C@H]1c1ccccc1 ZINC000878018878 624136677 /nfs/dbraw/zinc/13/66/77/624136677.db2.gz SSEGKAXNNQERHK-KURKYZTESA-N 0 1 317.429 3.204 20 30 DGEDMN COC1(C(=O)[C@@H](C#N)c2nc3cc(F)ccc3s2)CCC1 ZINC000781802553 624150575 /nfs/dbraw/zinc/15/05/75/624150575.db2.gz GHVXLWCOFLQSOO-SNVBAGLBSA-N 0 1 304.346 3.181 20 30 DGEDMN CC[C@H]1CN(CC[C@@](C#N)(C(C)=O)c2ccccc2)CCS1 ZINC000878152035 624190636 /nfs/dbraw/zinc/19/06/36/624190636.db2.gz UGWSOWCKHCJPRR-ZWKOTPCHSA-N 0 1 316.470 3.254 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc(Cl)c(F)c2)c1 ZINC000790841354 625706108 /nfs/dbraw/zinc/70/61/08/625706108.db2.gz PACPEVCGPLQATG-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCC(C(F)F)CC1)c1ccccc1 ZINC000878197409 624211590 /nfs/dbraw/zinc/21/15/90/624211590.db2.gz HIJQNBZJHRBKEX-SFHVURJKSA-N 0 1 320.383 3.404 20 30 DGEDMN COc1ccc(NN=Cc2cc(O)ccc2[N+](=O)[O-])cc1Cl ZINC000782232775 624224475 /nfs/dbraw/zinc/22/44/75/624224475.db2.gz XYZQUJHBOOBGDV-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@]2(CCN2CC2(CC#N)CC2)C1 ZINC000878506049 624293543 /nfs/dbraw/zinc/29/35/43/624293543.db2.gz BCVSDXKJCRDDOR-GOSISDBHSA-N 0 1 319.449 3.156 20 30 DGEDMN CCOCOc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000782958183 624294747 /nfs/dbraw/zinc/29/47/47/624294747.db2.gz CZXRWKUIXDCXBP-UHFFFAOYSA-N 0 1 314.360 3.360 20 30 DGEDMN CC1(C)CCC[C@@H](CN2CCC(C#N)(c3ccccn3)CC2)O1 ZINC000878557655 624307047 /nfs/dbraw/zinc/30/70/47/624307047.db2.gz LOLQJZPEHBWKDV-INIZCTEOSA-N 0 1 313.445 3.286 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000783120767 624312065 /nfs/dbraw/zinc/31/20/65/624312065.db2.gz PYGGQSGJCOUMRS-QAPCUYQASA-N 0 1 321.417 3.016 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H]1CCCC(C)(C)O1 ZINC000878607806 624320889 /nfs/dbraw/zinc/32/08/89/624320889.db2.gz ZDFXFBHCXMSEPY-INIZCTEOSA-N 0 1 307.434 3.498 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CCCC(C)(C)O1 ZINC000878607806 624320890 /nfs/dbraw/zinc/32/08/90/624320890.db2.gz ZDFXFBHCXMSEPY-INIZCTEOSA-N 0 1 307.434 3.498 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccccc1SCC ZINC000783537525 624363223 /nfs/dbraw/zinc/36/32/23/624363223.db2.gz VKCTYTACSRDKSY-ZDUSSCGKSA-N 0 1 313.488 3.050 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)Nc1ccc(CN(C)C)c(F)c1 ZINC000783657099 624380626 /nfs/dbraw/zinc/38/06/26/624380626.db2.gz QLUKPGSKULYCCK-QGZVFWFLSA-N 0 1 308.397 3.055 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNc1ccc(F)cc1F ZINC000783771087 624393816 /nfs/dbraw/zinc/39/38/16/624393816.db2.gz BKBYCOAOMWXJIX-UHFFFAOYSA-N 0 1 313.311 3.300 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNCc1cccc(C)c1 ZINC000783854317 624401379 /nfs/dbraw/zinc/40/13/79/624401379.db2.gz OJECVIIHVXPOMC-UHFFFAOYSA-N 0 1 305.385 3.008 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1csc(-c2ccn(C)n2)n1 ZINC000878992109 624403798 /nfs/dbraw/zinc/40/37/98/624403798.db2.gz SRBGVSWTKZOACJ-OKILXGFUSA-N 0 1 300.431 3.227 20 30 DGEDMN CC(C)(C(=O)NOCc1ccc(CC#N)cc1)c1ccccc1 ZINC000784052620 624429021 /nfs/dbraw/zinc/42/90/21/624429021.db2.gz JMJPHZWDZFJQTB-UHFFFAOYSA-N 0 1 308.381 3.278 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CCO)c2cccs2)c(Cl)c1 ZINC000784348417 624507466 /nfs/dbraw/zinc/50/74/66/624507466.db2.gz UJQFXVZESHTQBN-AWEZNQCLSA-N 0 1 306.818 3.486 20 30 DGEDMN N#Cc1ccc(CN[C@H](CCO)c2cccs2)c(Cl)c1 ZINC000784348420 624507591 /nfs/dbraw/zinc/50/75/91/624507591.db2.gz UJQFXVZESHTQBN-CQSZACIVSA-N 0 1 306.818 3.486 20 30 DGEDMN Cc1ccc(N2CCN(CCOc3ccc(C#N)cc3)CC2)cc1 ZINC000784394003 624518521 /nfs/dbraw/zinc/51/85/21/624518521.db2.gz WDHAVFFNCOPHBG-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN C[C@@H](OC(=O)c1ccc(-c2nnc[nH]2)cc1)c1cccc(C#N)c1 ZINC000784782998 624580633 /nfs/dbraw/zinc/58/06/33/624580633.db2.gz FWDQMLZCPGHMFU-GFCCVEGCSA-N 0 1 318.336 3.261 20 30 DGEDMN C[C@H](NCC#Cc1ccc(OC(F)(F)F)cc1)c1ccnn1C ZINC000879685293 624640180 /nfs/dbraw/zinc/64/01/80/624640180.db2.gz FWHUWILTIIMMHK-LBPRGKRZSA-N 0 1 323.318 3.021 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(CC#N)cc1)N1[C@H](C)CC[C@@H]1C ZINC000785332983 624654559 /nfs/dbraw/zinc/65/45/59/624654559.db2.gz LTQZINCHVCPRKG-QLFBSQMISA-N 0 1 300.402 3.057 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)OCC#Cc1ccc(Cl)cc1 ZINC000785744481 624723010 /nfs/dbraw/zinc/72/30/10/624723010.db2.gz VSJVRAKYMJEGDT-ZIAGYGMSSA-N 0 1 305.805 3.108 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880062952 624771924 /nfs/dbraw/zinc/77/19/24/624771924.db2.gz SPWGZQQEPRRHTH-WFASDCNBSA-N 0 1 312.335 3.408 20 30 DGEDMN CCC1(CC)CCCN1Cc1nc2ccccc2c(=O)n1CC#N ZINC000880095721 624785063 /nfs/dbraw/zinc/78/50/63/624785063.db2.gz MFNOUHACPDNUEE-UHFFFAOYSA-N 0 1 324.428 3.075 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCC[C@H](CF)C1 ZINC000880235655 624859813 /nfs/dbraw/zinc/85/98/13/624859813.db2.gz PGUWYZNKYBAWFP-JKSUJKDBSA-N 0 1 317.408 3.003 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1cc(F)ccc1C#N ZINC000786350396 624862605 /nfs/dbraw/zinc/86/26/05/624862605.db2.gz QOUUOZBKOWGTGI-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1)c1ccncn1 ZINC000786491656 624893053 /nfs/dbraw/zinc/89/30/53/624893053.db2.gz REQYWLWMIGQBMB-OPRDCNLKSA-N 0 1 303.774 3.171 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786533750 624913143 /nfs/dbraw/zinc/91/31/43/624913143.db2.gz HSFFXSQSDPLSSJ-CQSZACIVSA-N 0 1 320.820 3.491 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C2C(C)(C)C2(C)C)c1 ZINC000786551158 624920363 /nfs/dbraw/zinc/92/03/63/624920363.db2.gz VONRGOSJNNYHCA-AWEZNQCLSA-N 0 1 312.413 3.002 20 30 DGEDMN C#CCC1(O)CCN([C@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000880479735 624964960 /nfs/dbraw/zinc/96/49/60/624964960.db2.gz WFNYIMGYURSHBO-OAHLLOKOSA-N 0 1 310.397 3.253 20 30 DGEDMN C#CCC1(O)CCN(Cc2cccc(Br)c2C)CC1 ZINC000880481259 624965153 /nfs/dbraw/zinc/96/51/53/624965153.db2.gz PURFVXBJKSMRKW-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN C#CCC1(O)CCN([C@@H](C)c2ccccc2Br)CC1 ZINC000880479383 624965457 /nfs/dbraw/zinc/96/54/57/624965457.db2.gz OSXSEYVAMMYPSZ-ZDUSSCGKSA-N 0 1 322.246 3.360 20 30 DGEDMN CS(=O)(=O)c1ccccc1NN=Cc1cc2ccccc2[nH]1 ZINC000788429299 625169212 /nfs/dbraw/zinc/16/92/12/625169212.db2.gz HRVBIKVXCQCLEI-UHFFFAOYSA-N 0 1 313.382 3.017 20 30 DGEDMN N#Cc1cc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)ccc1C1CC1 ZINC000881123239 625250659 /nfs/dbraw/zinc/25/06/59/625250659.db2.gz WMBVFYHNKPEGGX-MRXNPFEDSA-N 0 1 321.384 3.358 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1ccccc1OCC#N ZINC000791051490 625744355 /nfs/dbraw/zinc/74/43/55/625744355.db2.gz ILRCNKVXRCXUMJ-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(C#N)c(F)c1)N1CCCCC1 ZINC000791393152 625790659 /nfs/dbraw/zinc/79/06/59/625790659.db2.gz UDGWXVBRYLEVNF-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc(F)cc2Cl)c1 ZINC000129883439 625795064 /nfs/dbraw/zinc/79/50/64/625795064.db2.gz IZJPCVVCWRWDKF-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN C#CCN(C)C[C@H](O)COc1cc(C)c(Cl)cc1C(C)C ZINC000792298827 625934759 /nfs/dbraw/zinc/93/47/59/625934759.db2.gz TZTKDBUBCXYFRD-AWEZNQCLSA-N 0 1 309.837 3.077 20 30 DGEDMN C#Cc1ccc(CNCc2ccccc2OCCCCO)cc1 ZINC000883132367 625938049 /nfs/dbraw/zinc/93/80/49/625938049.db2.gz PBYYENDFIUIYOE-UHFFFAOYSA-N 0 1 309.409 3.109 20 30 DGEDMN C=C(C)CN(CC[C@@H](O)c1ccccc1)Cc1ccc(CO)o1 ZINC000796380486 626045153 /nfs/dbraw/zinc/04/51/53/626045153.db2.gz IMRCFNFYLOALPU-LJQANCHMSA-N 0 1 315.413 3.274 20 30 DGEDMN CC[C@H](C)[C@H](OC)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000796630435 626067736 /nfs/dbraw/zinc/06/77/36/626067736.db2.gz DKHYLDMFQKFMNQ-ZBINZKHDSA-N 0 1 322.792 3.048 20 30 DGEDMN N#C[C@@H](C(=O)NC1CCCC1)C(=O)[C@H](F)CC1CCCCC1 ZINC000796652320 626070500 /nfs/dbraw/zinc/07/05/00/626070500.db2.gz UYLXGLMVXAHDPX-HUUCEWRRSA-N 0 1 308.397 3.063 20 30 DGEDMN C=CCC[C@H](NCc1ccc(-n2cncn2)nc1)c1ccco1 ZINC000796694412 626074073 /nfs/dbraw/zinc/07/40/73/626074073.db2.gz NEQIWIAFTBYDBF-HNNXBMFYSA-N 0 1 309.373 3.052 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000796693455 626074082 /nfs/dbraw/zinc/07/40/82/626074082.db2.gz RHIIUNRFQKREEV-IINYFYTJSA-N 0 1 304.346 3.037 20 30 DGEDMN C#CCCCOC(=O)[C@@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000796852009 626089693 /nfs/dbraw/zinc/08/96/93/626089693.db2.gz FYZDMJXJAHQVCY-INIZCTEOSA-N 0 1 321.367 3.100 20 30 DGEDMN Cc1cncc(CCC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000796914180 626095569 /nfs/dbraw/zinc/09/55/69/626095569.db2.gz XABHHQTXQNKAFE-OAHLLOKOSA-N 0 1 318.380 3.384 20 30 DGEDMN C[C@@H](NCC1(CC#N)CC1)c1cc(Br)ncc1F ZINC000797586990 626155547 /nfs/dbraw/zinc/15/55/47/626155547.db2.gz OSUAZQZYXXONFO-SECBINFHSA-N 0 1 312.186 3.328 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000798274659 626207260 /nfs/dbraw/zinc/20/72/60/626207260.db2.gz IFRMMSWSSDUQKN-FPCVCCKLSA-N 0 1 321.465 3.161 20 30 DGEDMN FC(F)(F)c1cc(C=NN=c2ccc3ccccc3[nH]2)n[nH]1 ZINC000799465778 626302971 /nfs/dbraw/zinc/30/29/71/626302971.db2.gz KZEIIHKREQUXQD-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN FC(F)(F)c1cc(C=NN=c2ccc3ccccc3[nH]2)[nH]n1 ZINC000799465778 626302974 /nfs/dbraw/zinc/30/29/74/626302974.db2.gz KZEIIHKREQUXQD-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2cccc3cnccc32)[nH]n1 ZINC000799479108 626304065 /nfs/dbraw/zinc/30/40/65/626304065.db2.gz WAHCSUIGMYUHIG-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccc(C)c(C#N)c3)n2)cc1 ZINC000799627841 626314218 /nfs/dbraw/zinc/31/42/18/626314218.db2.gz MMYZUFZJXLOXPU-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN CCCCCCCS(=O)(=O)NCc1nc2cccc(C)c2[nH]1 ZINC000799795801 626328900 /nfs/dbraw/zinc/32/89/00/626328900.db2.gz KMWVKFDXKCCOCD-UHFFFAOYSA-N 0 1 323.462 3.261 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)O1 ZINC000800392002 626355956 /nfs/dbraw/zinc/35/59/56/626355956.db2.gz QCVVEEBJJIARSW-IQXZMDMISA-N 0 1 318.373 3.425 20 30 DGEDMN Cc1[nH]c(SCCO[C@@H](C)C#N)nc1Cc1ccccc1 ZINC000801655136 626413480 /nfs/dbraw/zinc/41/34/80/626413480.db2.gz RRJHETNZBFAEDF-LBPRGKRZSA-N 0 1 301.415 3.330 20 30 DGEDMN CCOc1ccc(C2=CCN(CCO[C@H](C)C#N)CC2)cc1 ZINC000801940939 626427397 /nfs/dbraw/zinc/42/73/97/626427397.db2.gz VDQDZSKXAFBFTH-OAHLLOKOSA-N 0 1 300.402 3.103 20 30 DGEDMN C[C@@H](NCCO[C@@H](C)C#N)c1nc(-c2ccccc2)cs1 ZINC000801941487 626427472 /nfs/dbraw/zinc/42/74/72/626427472.db2.gz OUTLBMWTWMMLNT-QWHCGFSZSA-N 0 1 301.415 3.389 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1nc(-c2ccncc2)cs1 ZINC000802053784 626429784 /nfs/dbraw/zinc/42/97/84/626429784.db2.gz AJWFADVQGPLMGV-VQTKUKTRSA-N 0 1 320.377 3.423 20 30 DGEDMN N#CC(C(=O)C1=CCOCC1)c1nc(-c2ccccc2)cs1 ZINC000802044934 626429932 /nfs/dbraw/zinc/42/99/32/626429932.db2.gz HEGXMSWHFAFSGE-AWEZNQCLSA-N 0 1 310.378 3.333 20 30 DGEDMN COCCCn1nccc1CN=Nc1nc2ccccc2cc1C ZINC000802712803 626567259 /nfs/dbraw/zinc/56/72/59/626567259.db2.gz VHLGBSVRJWCSCK-UHFFFAOYSA-N 0 1 323.400 3.222 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C)s1)[C@@H]1Cc2ccccc2O1 ZINC000883215925 626611795 /nfs/dbraw/zinc/61/17/95/626611795.db2.gz IRTNWVMZSGUYDP-ZBFHGGJFSA-N 0 1 315.442 3.275 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)NCc1nnc(C)s1 ZINC000883215887 626611862 /nfs/dbraw/zinc/61/18/62/626611862.db2.gz IFIFSVBAFPAJDN-CQSZACIVSA-N 0 1 303.431 3.350 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C)s1)[C@@H]1Cc2ccccc2O1 ZINC000883215924 626612069 /nfs/dbraw/zinc/61/20/69/626612069.db2.gz IRTNWVMZSGUYDP-HOCLYGCPSA-N 0 1 315.442 3.275 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C2CC2)[nH]1)[C@H]1Cc2ccccc2O1 ZINC000883225492 626615233 /nfs/dbraw/zinc/61/52/33/626615233.db2.gz IFNSYIAFQFGRSS-DOTOQJQBSA-N 0 1 324.428 3.110 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)N[C@H]1C[C@H](C)n2ncnc21 ZINC000883234468 626618554 /nfs/dbraw/zinc/61/85/54/626618554.db2.gz GOEUQCMYTCFZDJ-UXLLHSPISA-N 0 1 312.417 3.287 20 30 DGEDMN C=C(C)C[C@@H](CO)[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000883391124 626670978 /nfs/dbraw/zinc/67/09/78/626670978.db2.gz CRNXXTZQKYQLRN-JQWIXIFHSA-N 0 1 314.223 3.132 20 30 DGEDMN C#C[C@H](NC(=O)CN1CCC(C)CC1)c1ccc(Cl)cc1F ZINC000804419487 626677749 /nfs/dbraw/zinc/67/77/49/626677749.db2.gz NZYWCFXCNDFQHJ-INIZCTEOSA-N 0 1 322.811 3.002 20 30 DGEDMN C=CCCCCCCNC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000807571905 626760978 /nfs/dbraw/zinc/76/09/78/626760978.db2.gz UGTUPYKXRGSCGP-ZDUSSCGKSA-N 0 1 307.442 3.425 20 30 DGEDMN N#Cc1ccc(CN2CCC(Oc3cccc(C#N)c3)CC2)cc1 ZINC000104715961 626768630 /nfs/dbraw/zinc/76/86/30/626768630.db2.gz GQHRPNMLPNDGIV-UHFFFAOYSA-N 0 1 317.392 3.473 20 30 DGEDMN CC1CC(C#N)(C(=O)NCc2cc(Cl)cc(Cl)c2O)C1 ZINC000807837872 626771220 /nfs/dbraw/zinc/77/12/20/626771220.db2.gz DUJRZWRMNGFNRS-UHFFFAOYSA-N 0 1 313.184 3.255 20 30 DGEDMN COc1ccc(CN=Nc2nc3ccccc3[nH]2)c(C)c1OC ZINC000807946355 626779113 /nfs/dbraw/zinc/77/91/13/626779113.db2.gz SKDPXYNMZACQNL-UHFFFAOYSA-N 0 1 310.357 3.335 20 30 DGEDMN Cn1c2ccccc2[nH]c1=NN=Cc1c[nH]c(C(F)(F)F)c1 ZINC000807963886 626780123 /nfs/dbraw/zinc/78/01/23/626780123.db2.gz OAVQQHMDWVENGU-UHFFFAOYSA-N 0 1 307.279 3.366 20 30 DGEDMN C=C(C)CN1CCC(Nc2cc([N+](=O)[O-])ccc2C(C)=O)CC1 ZINC000807966431 626780869 /nfs/dbraw/zinc/78/08/69/626780869.db2.gz KKNXFZKKQUYFHI-UHFFFAOYSA-N 0 1 317.389 3.250 20 30 DGEDMN Cc1c(N=NC(C)c2cn3c(n2)CCCC3)cccc1[N+](=O)[O-] ZINC000807969799 626780881 /nfs/dbraw/zinc/78/08/81/626780881.db2.gz YLFBQFCJLDHSOD-UHFFFAOYSA-N 0 1 313.361 3.272 20 30 DGEDMN CCC(N=Nc1ccc([N+](=O)[O-])cc1C)c1c(C)nn(C)c1C ZINC000807989250 626783197 /nfs/dbraw/zinc/78/31/97/626783197.db2.gz FCAYLVWKQRFRQQ-UHFFFAOYSA-N 0 1 315.377 3.480 20 30 DGEDMN COc1cccc2c(C(=O)OCc3ccc(C#N)cc3C)[nH]nc21 ZINC000808674556 626848018 /nfs/dbraw/zinc/84/80/18/626848018.db2.gz JMSRNDIXVGIRGC-UHFFFAOYSA-N 0 1 321.336 3.109 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC000884279831 626858926 /nfs/dbraw/zinc/85/89/26/626858926.db2.gz VZARULJCAAAQBM-OAHLLOKOSA-N 0 1 306.837 3.415 20 30 DGEDMN C#CCCN(CCc1ccc(Cl)cc1)C[C@@H](O)C(F)(F)F ZINC000808786774 626861714 /nfs/dbraw/zinc/86/17/14/626861714.db2.gz YIEPJSWOCXYZKS-CQSZACIVSA-N 0 1 319.754 3.131 20 30 DGEDMN N#CC(C(=O)CCSc1cccc(Cl)c1)c1ccncn1 ZINC000808999554 626875145 /nfs/dbraw/zinc/87/51/45/626875145.db2.gz LBOYJMGXEQVXIZ-CYBMUJFWSA-N 0 1 317.801 3.489 20 30 DGEDMN Oc1c(F)cc(Br)cc1C=NO[C@@H]1CCCCO1 ZINC000884442639 626881333 /nfs/dbraw/zinc/88/13/33/626881333.db2.gz LWOPLWISVDZJQQ-LLVKDONJSA-N 0 1 318.142 3.171 20 30 DGEDMN CN(Cn1cccc(C#N)c1=O)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000840181710 626922455 /nfs/dbraw/zinc/92/24/55/626922455.db2.gz MQEHUAPAAYGPBC-MOPGFXCFSA-N 0 1 321.424 3.336 20 30 DGEDMN Cc1nsc(N2CCC(c3nc(C)c(C)[nH]3)CC2)c1C#N ZINC000884706907 626932593 /nfs/dbraw/zinc/93/25/93/626932593.db2.gz RPKSIHVLYRLYMN-UHFFFAOYSA-N 0 1 301.419 3.047 20 30 DGEDMN C#C[C@H](C)NCc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000809683362 626935122 /nfs/dbraw/zinc/93/51/22/626935122.db2.gz AXARPAHDDQFUQL-LBPRGKRZSA-N 0 1 301.821 3.313 20 30 DGEDMN C#C[C@H](C)NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC000809683655 626935343 /nfs/dbraw/zinc/93/53/43/626935343.db2.gz IQHGVBXVXJZVHW-LBPRGKRZSA-N 0 1 307.319 3.062 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)OCCC1(C#N)CCCCC1 ZINC000885258982 626994635 /nfs/dbraw/zinc/99/46/35/626994635.db2.gz KITNQOABGYLHSM-BBRMVZONSA-N 0 1 315.417 3.483 20 30 DGEDMN CC1(C)COc2ccc(C(=O)Nc3cc(C#N)ccc3O)cc21 ZINC000810465919 627008719 /nfs/dbraw/zinc/00/87/19/627008719.db2.gz BRUWRNKARPSOBD-UHFFFAOYSA-N 0 1 308.337 3.186 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(Cl)c2F)nc(C)n1 ZINC000810528188 627012155 /nfs/dbraw/zinc/01/21/55/627012155.db2.gz QJSTZHIZMKXHEL-GFCCVEGCSA-N 0 1 317.751 3.305 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C2(F)CCCCC2)c1 ZINC000810529204 627012462 /nfs/dbraw/zinc/01/24/62/627012462.db2.gz BKIYYZNWZAUHNT-AWEZNQCLSA-N 0 1 302.349 3.315 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C1(F)CCCCC1 ZINC000810533229 627013060 /nfs/dbraw/zinc/01/30/60/627013060.db2.gz ZIUPFZUAJKWWQU-GFCCVEGCSA-N 0 1 318.348 3.015 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)[C@@H]3CCN3C3CCCC3)cc21 ZINC000885572514 627027378 /nfs/dbraw/zinc/02/73/78/627027378.db2.gz KAMTWSMCWULWCM-KRWDZBQOSA-N 0 1 324.428 3.178 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)c(Cl)c1 ZINC000885669432 627041298 /nfs/dbraw/zinc/04/12/98/627041298.db2.gz FFLAWGYUKNVUNH-QLJPJBMISA-N 0 1 313.788 3.349 20 30 DGEDMN N#CC(C(=O)C1CCC(F)(F)CC1)c1nnc2n1CCCCC2 ZINC000137089176 627105282 /nfs/dbraw/zinc/10/52/82/627105282.db2.gz GFVNPKZRBNATRT-GFCCVEGCSA-N 0 1 322.359 3.006 20 30 DGEDMN CC(C)COC[C@H](NC[C@@H](O)CC1(C#N)CCC1)c1ccco1 ZINC000886124786 627108768 /nfs/dbraw/zinc/10/87/68/627108768.db2.gz AKQXIXLYSONURI-HOTGVXAUSA-N 0 1 320.433 3.028 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCC[C@](F)(c3ccccc3)C2)CCC1 ZINC000886309262 627134722 /nfs/dbraw/zinc/13/47/22/627134722.db2.gz YQVYVFNETYRHPT-IEBWSBKVSA-N 0 1 316.420 3.392 20 30 DGEDMN C#CC[C@H]1CCN(CC(=O)N(Cc2ccco2)c2ccccc2)C1 ZINC000886353415 627141346 /nfs/dbraw/zinc/14/13/46/627141346.db2.gz IRGDUBNMRUIBHI-KRWDZBQOSA-N 0 1 322.408 3.158 20 30 DGEDMN C#CC[C@H]1CCN(CC(=O)Nc2ccc(SC(F)F)cc2)C1 ZINC000886360396 627142951 /nfs/dbraw/zinc/14/29/51/627142951.db2.gz VQVCGAGZDNGYMO-LBPRGKRZSA-N 0 1 324.396 3.285 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)N[C@H](C)c2ccc(C#N)cc2)c1 ZINC000886512437 627155242 /nfs/dbraw/zinc/15/52/42/627155242.db2.gz GCNLNXSHFRTKII-CHWSQXEVSA-N 0 1 309.369 3.152 20 30 DGEDMN COC(=O)c1cncc([C@H](C)N[C@H](C)c2cccc(C#N)c2)c1 ZINC000886534526 627158379 /nfs/dbraw/zinc/15/83/79/627158379.db2.gz KBNGUVDWVUTUKX-OLZOCXBDSA-N 0 1 309.369 3.152 20 30 DGEDMN N#Cc1ccc(Br)c(CN2C[C@@H]3CSC[C@@H]3C2)c1 ZINC000886570403 627166012 /nfs/dbraw/zinc/16/60/12/627166012.db2.gz JNTAJQHNJGTTDE-BETUJISGSA-N 0 1 323.259 3.116 20 30 DGEDMN C=CCC1(O)CCN(Cc2cc(C)ccc2OC(F)F)CC1 ZINC000886778763 627203850 /nfs/dbraw/zinc/20/38/50/627203850.db2.gz SLHBTBNNWCXUAR-UHFFFAOYSA-N 0 1 311.372 3.499 20 30 DGEDMN C#CCC1(NCc2csc(CCC(C)C)n2)CCOCC1 ZINC000887124590 627227088 /nfs/dbraw/zinc/22/70/88/627227088.db2.gz KSBQSZZLYFRAHP-UHFFFAOYSA-N 0 1 306.475 3.394 20 30 DGEDMN C[C@@H]1C(N=Nc2ncncc2Cl)CCN1Cc1ccccc1 ZINC000811647972 627299395 /nfs/dbraw/zinc/29/93/95/627299395.db2.gz BMSSPVMOENPNOF-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN CCN(CC)c1ccc(C=NNC[C@H]2CCSC2)cc1F ZINC000811657635 627300730 /nfs/dbraw/zinc/30/07/30/627300730.db2.gz CGBGATXKKFOSKY-CQSZACIVSA-N 0 1 309.454 3.349 20 30 DGEDMN CC(=NNC[C@H]1CCSC1)c1[nH]c(-c2ccccc2)nc1C ZINC000811657870 627300786 /nfs/dbraw/zinc/30/07/86/627300786.db2.gz FDOJODRUJRJVML-CQSZACIVSA-N 0 1 314.458 3.452 20 30 DGEDMN Cn1cc(CN=Nc2nccc3sccc32)c(C(F)F)n1 ZINC000812227003 627361530 /nfs/dbraw/zinc/36/15/30/627361530.db2.gz NXJXLPCQUNNYQV-UHFFFAOYSA-N 0 1 307.329 3.413 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOCCOC(C)C)ccc1[O-] ZINC000812238601 627363341 /nfs/dbraw/zinc/36/33/41/627363341.db2.gz AMFBTMDICJQPQM-UHFFFAOYSA-N 0 1 322.449 3.400 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCCC1CC1 ZINC000813060087 627444408 /nfs/dbraw/zinc/44/44/08/627444408.db2.gz PBCCPFYLRAPVPX-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1cc(C(F)(F)F)ccn1 ZINC000813216408 627468122 /nfs/dbraw/zinc/46/81/22/627468122.db2.gz QYOSBRPGXFKALS-PCAWENJQSA-N 0 1 305.259 3.318 20 30 DGEDMN N#CC(C(=O)C1CCSCC1)c1cc(C(F)(F)F)ccn1 ZINC000813218052 627468797 /nfs/dbraw/zinc/46/87/97/627468797.db2.gz RXVJVWQADNSDBN-LLVKDONJSA-N 0 1 314.332 3.420 20 30 DGEDMN C#Cc1ccccc1CC(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000813449510 627490649 /nfs/dbraw/zinc/49/06/49/627490649.db2.gz SECHJQYVCFJLON-UHFFFAOYSA-N 0 1 323.783 3.057 20 30 DGEDMN Cn1ncc(C=NN(Cc2ccccc2)Cc2ccccc2)c1N ZINC000814765517 627589236 /nfs/dbraw/zinc/58/92/36/627589236.db2.gz IRWQDKKHKOJOFW-UHFFFAOYSA-N 0 1 319.412 3.039 20 30 DGEDMN CC(=NNc1cc(C)c2cc(Cl)ccc2n1)c1cnnn1C ZINC000814767345 627589447 /nfs/dbraw/zinc/58/94/47/627589447.db2.gz ICPIIBKZEXXVFR-UHFFFAOYSA-N 0 1 314.780 3.161 20 30 DGEDMN CCc1nocc1C=NNc1ncnc2c3ccccc3oc21 ZINC000814773872 627590273 /nfs/dbraw/zinc/59/02/73/627590273.db2.gz PIBYKLCWGFAOGC-UHFFFAOYSA-N 0 1 307.313 3.372 20 30 DGEDMN Cn1c(NN=Cc2ccc3oncc3c2)nnc1-c1ccccc1 ZINC000814806082 627593216 /nfs/dbraw/zinc/59/32/16/627593216.db2.gz PDMBWKBYGDWCBO-UHFFFAOYSA-N 0 1 318.340 3.069 20 30 DGEDMN CC(C)(C)c1ncc(CN=Nc2cnc3ccccc3n2)cn1 ZINC000814829916 627596201 /nfs/dbraw/zinc/59/62/01/627596201.db2.gz OTWMFKUMMILARL-UHFFFAOYSA-N 0 1 306.373 3.163 20 30 DGEDMN Cc1c[nH]nc1C=NNc1c(F)cc(F)cc1Br ZINC000814857674 627599061 /nfs/dbraw/zinc/59/90/61/627599061.db2.gz RTGGHQXVYNJDKE-UHFFFAOYSA-N 0 1 315.121 3.205 20 30 DGEDMN CS(=O)(=O)c1ccccc1NN=C1CCCc2sccc21 ZINC000814879002 627601663 /nfs/dbraw/zinc/60/16/63/627601663.db2.gz NCOCURZRWPADAR-UHFFFAOYSA-N 0 1 320.439 3.304 20 30 DGEDMN Brc1cnn(N=C2CCCC[C@H]2[C@@H]2CCOC2)c1 ZINC000814884175 627602937 /nfs/dbraw/zinc/60/29/37/627602937.db2.gz FENLNFLMRRYTMB-PWSUYJOCSA-N 0 1 312.211 3.076 20 30 DGEDMN COCC(=NNc1cccc(OC)n1)c1ccc(Cl)cc1 ZINC000814900128 627604736 /nfs/dbraw/zinc/60/47/36/627604736.db2.gz FMALGHPOQZKZME-UHFFFAOYSA-N 0 1 305.765 3.206 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1nc2c(s1)CCC2 ZINC000814923026 627608144 /nfs/dbraw/zinc/60/81/44/627608144.db2.gz ICIDAVXUWRONKR-UHFFFAOYSA-N 0 1 319.361 3.004 20 30 DGEDMN COc1cc(CN=Nc2ccc(F)c(F)c2F)ccc1C#N ZINC000814945837 627612067 /nfs/dbraw/zinc/61/20/67/627612067.db2.gz SOHILDKNHVMKPL-UHFFFAOYSA-N 0 1 305.259 3.430 20 30 DGEDMN CCOc1ccc(NN=Cc2cc(O)ccc2[N+](=O)[O-])cc1 ZINC000814984763 627615867 /nfs/dbraw/zinc/61/58/67/627615867.db2.gz ULVBTVXEZXBZMV-UHFFFAOYSA-N 0 1 301.302 3.145 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C(C)(F)F ZINC000815907070 627711502 /nfs/dbraw/zinc/71/15/02/627711502.db2.gz QVFPEXBTKYWWQH-KWQFWETISA-N 0 1 314.719 3.279 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C(C)(F)F ZINC000815907609 627711568 /nfs/dbraw/zinc/71/15/68/627711568.db2.gz FVUFVELPGDGDIH-IUCAKERBSA-N 0 1 314.719 3.279 20 30 DGEDMN CC[C@@H](c1ccc(C#N)cc1)N1CCOc2ccc(N)cc2C1 ZINC000925755431 627789374 /nfs/dbraw/zinc/78/93/74/627789374.db2.gz VMWGSUZKWKZNFC-SFHVURJKSA-N 0 1 307.397 3.486 20 30 DGEDMN C[C@@H]1[C@@H](OC(=O)c2ccc(C#N)o2)CCCN1Cc1ccccc1 ZINC000816469775 627793323 /nfs/dbraw/zinc/79/33/23/627793323.db2.gz CWTVHFMEXVOWKH-PBHICJAKSA-N 0 1 324.380 3.361 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000816526086 627802352 /nfs/dbraw/zinc/80/23/52/627802352.db2.gz SYNBAQJUGFNDFR-ZDUSSCGKSA-N 0 1 310.344 3.222 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000817714279 627937612 /nfs/dbraw/zinc/93/76/12/627937612.db2.gz OXVWRQPAUZVUSM-OXIQGZBJSA-N 0 1 316.788 3.424 20 30 DGEDMN COc1cc([C@@H](C)N2CCN(CCC#N)CC2)cc2ccccc21 ZINC000926806728 627959067 /nfs/dbraw/zinc/95/90/67/627959067.db2.gz HKRUXPOQNHOJEH-MRXNPFEDSA-N 0 1 323.440 3.441 20 30 DGEDMN N#C[C@H]1CCCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000928228832 628127821 /nfs/dbraw/zinc/12/78/21/628127821.db2.gz JACBJADHFKXIEK-LLVKDONJSA-N 0 1 314.776 3.106 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CCC)CC2CCCC2)CC1 ZINC000928654862 628173184 /nfs/dbraw/zinc/17/31/84/628173184.db2.gz GIGZRKBSYDZWNC-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN Cc1ccc(NN=C2CC(C)(C)Oc3ccc(F)cc32)nn1 ZINC000819807427 628191903 /nfs/dbraw/zinc/19/19/03/628191903.db2.gz GHKQQUIRSCDYDT-UHFFFAOYSA-N 0 1 300.337 3.301 20 30 DGEDMN Cn1ncc2c1CCCC2N=Nc1cc(Cl)nc(Cl)c1 ZINC000819832982 628194446 /nfs/dbraw/zinc/19/44/46/628194446.db2.gz WDTYGVFDTKFZNQ-UHFFFAOYSA-N 0 1 310.188 3.274 20 30 DGEDMN CNC(=O)c1ccc(/C=C\C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000821021433 628327027 /nfs/dbraw/zinc/32/70/27/628327027.db2.gz FWLLNRBMLHTEEI-XFXZXTDPSA-N 0 1 322.408 3.004 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)C=Cc1ccc(N)nc1 ZINC000821038580 628329416 /nfs/dbraw/zinc/32/94/16/628329416.db2.gz JKESEVPQXJLFAR-CLFYSBASSA-N 0 1 304.353 3.258 20 30 DGEDMN CCCC1CCC(CN=Nc2oc(C)nc2-c2nn[nH]n2)CC1 ZINC000825253547 628743167 /nfs/dbraw/zinc/74/31/67/628743167.db2.gz NYGDZFFHBVNYQW-UHFFFAOYSA-N 0 1 317.397 3.167 20 30 DGEDMN C[C@@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000825256685 628743600 /nfs/dbraw/zinc/74/36/00/628743600.db2.gz ZIJQAOIKKRTHQT-UKRRQHHQSA-N 0 1 320.820 3.060 20 30 DGEDMN C[C@H](C#N)C[N@H+](C)[C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967716 628816337 /nfs/dbraw/zinc/81/63/37/628816337.db2.gz ZERHBTROYIZJKK-PELKAZGASA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@H](C#N)C[N@@H+](C)[C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967716 628816339 /nfs/dbraw/zinc/81/63/39/628816339.db2.gz ZERHBTROYIZJKK-PELKAZGASA-N 0 1 301.173 3.211 20 30 DGEDMN CC(C)C[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000826832958 628892405 /nfs/dbraw/zinc/89/24/05/628892405.db2.gz YYVBNNYCXCMNIK-DOTOQJQBSA-N 0 1 300.402 3.442 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccc(CSC(F)F)o1 ZINC000827822786 628994558 /nfs/dbraw/zinc/99/45/58/628994558.db2.gz FSQNOQMXTKLNMN-UHFFFAOYSA-N 0 1 303.374 3.207 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(CSC(F)F)o1 ZINC000827822786 628994562 /nfs/dbraw/zinc/99/45/62/628994562.db2.gz FSQNOQMXTKLNMN-UHFFFAOYSA-N 0 1 303.374 3.207 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2Cc3c[nH]nc3CC(C)(C)C2)cc1 ZINC000828014239 629014714 /nfs/dbraw/zinc/01/47/14/629014714.db2.gz YFVRUIRHUJCHTQ-AWEZNQCLSA-N 0 1 324.428 3.285 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@H]1[C@@H](O)c1ccccc1 ZINC000828049510 629018042 /nfs/dbraw/zinc/01/80/42/629018042.db2.gz XOBOIJNZKIRKML-OALUTQOASA-N 0 1 307.397 3.041 20 30 DGEDMN C[C@H]1c2ccccc2OCC[N@H+]1[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000828526809 629062570 /nfs/dbraw/zinc/06/25/70/629062570.db2.gz DPJZEBRIVJXWRX-SCLBCKFNSA-N 0 1 322.364 3.140 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCc3nc[nH]c3C23CCC3)cc1 ZINC000829099531 629129838 /nfs/dbraw/zinc/12/98/38/629129838.db2.gz LIJAWEJECAKVQR-UHFFFAOYSA-N 0 1 323.396 3.052 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000829774622 629190898 /nfs/dbraw/zinc/19/08/98/629190898.db2.gz RAALMPUAJJNHFT-LSDHHAIUSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccccc2C(F)(F)F)C1 ZINC000829778925 629191697 /nfs/dbraw/zinc/19/16/97/629191697.db2.gz KRBOLPYQOSWJLO-ZDUSSCGKSA-N 0 1 324.346 3.379 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000829789084 629192820 /nfs/dbraw/zinc/19/28/20/629192820.db2.gz NPZBVFQIFIMAFC-LBPRGKRZSA-N 0 1 317.339 3.250 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2c(CC)cccc2CC)CC1 ZINC000830316270 629252140 /nfs/dbraw/zinc/25/21/40/629252140.db2.gz KVRCHTFUSGDCRO-HNNXBMFYSA-N 0 1 312.457 3.484 20 30 DGEDMN C#CC1CCN(CC(=O)N(C)[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000830330169 629253702 /nfs/dbraw/zinc/25/37/02/629253702.db2.gz SBICMDXAVIWAHT-AWEZNQCLSA-N 0 1 318.848 3.205 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000830906406 629318927 /nfs/dbraw/zinc/31/89/27/629318927.db2.gz LCOQJTKYHYBKEG-HUUCEWRRSA-N 0 1 321.469 3.292 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831910623 629427354 /nfs/dbraw/zinc/42/73/54/629427354.db2.gz LBRCMFMZVLWYQJ-GJZGRUSLSA-N 0 1 319.449 3.220 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)c3ccc(C#N)cc3)C2)n[nH]1 ZINC000831925945 629428514 /nfs/dbraw/zinc/42/85/14/629428514.db2.gz ODEYRSVTHSFKCZ-INIZCTEOSA-N 0 1 322.412 3.425 20 30 DGEDMN C#CCCC[N@H+](C)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000833361821 629591479 /nfs/dbraw/zinc/59/14/79/629591479.db2.gz NPKARGFIMUMNHY-CYBMUJFWSA-N 0 1 300.185 3.464 20 30 DGEDMN C=C(C)COc1cc(C[N@H+]2CCC[C@@H]2CC(=O)[O-])ccc1OC ZINC000833369381 629593133 /nfs/dbraw/zinc/59/31/33/629593133.db2.gz TYITVMUPEBXTOI-OAHLLOKOSA-N 0 1 319.401 3.089 20 30 DGEDMN CC(C)C[N@@H+](Cc1c[nH]c(C(=O)[O-])c1)Cc1ccc(C#N)cc1 ZINC000833486933 629611106 /nfs/dbraw/zinc/61/11/06/629611106.db2.gz VMPNDQSNKXGVDB-UHFFFAOYSA-N 0 1 311.385 3.243 20 30 DGEDMN C[C@H](Oc1ccc(C[N@@H+](C)Cc2ccc(C#N)cc2)cc1)C(=O)[O-] ZINC000833536723 629616884 /nfs/dbraw/zinc/61/68/84/629616884.db2.gz DKOIOPYPHXOOEX-AWEZNQCLSA-N 0 1 324.380 3.042 20 30 DGEDMN Nc1ccc2c(c1)CCCC2=NNCCCOc1ccccc1 ZINC000834955877 629811979 /nfs/dbraw/zinc/81/19/79/629811979.db2.gz INUJMJBRFCVVLN-UHFFFAOYSA-N 0 1 309.413 3.368 20 30 DGEDMN CC(C)(C)n1cc(C=NNc2cc(C(F)(F)F)ccn2)cn1 ZINC000834983969 629817392 /nfs/dbraw/zinc/81/73/92/629817392.db2.gz JTHRYKJOLYQJFF-UHFFFAOYSA-N 0 1 311.311 3.498 20 30 DGEDMN Oc1ccc2c(c1)OC1(CCCCC1)CC2=NNc1ccncn1 ZINC000835000129 629819327 /nfs/dbraw/zinc/81/93/27/629819327.db2.gz AHYXWXZCJGBPFL-UHFFFAOYSA-N 0 1 324.384 3.484 20 30 DGEDMN C#CCOc1ccccc1CN(Cc1cnn(C)c1)CC(C)C ZINC000835076231 629845386 /nfs/dbraw/zinc/84/53/86/629845386.db2.gz FVADFCUGGQMAHN-UHFFFAOYSA-N 0 1 311.429 3.090 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=CC3(C)CCOCC3)[nH]c21 ZINC000835174087 629869453 /nfs/dbraw/zinc/86/94/53/629869453.db2.gz SNDDXNWYZMYADR-AFNCTOJWSA-N 0 1 323.396 3.421 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2CC(C)C)c1 ZINC000835339035 629909785 /nfs/dbraw/zinc/90/97/85/629909785.db2.gz DMAGSDSLPXTQBY-ZACQAIPSSA-N 0 1 312.413 3.002 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000837184034 630223433 /nfs/dbraw/zinc/22/34/33/630223433.db2.gz BPJOQKAICVSJGM-UHFFFAOYSA-N 0 1 318.383 3.055 20 30 DGEDMN CCCCCCCCC(=O)Nc1c(C(C)C)n[nH]c1C(N)=O ZINC000837187078 630224879 /nfs/dbraw/zinc/22/48/79/630224879.db2.gz CQCVSIXXWOIEBW-UHFFFAOYSA-N 0 1 308.426 3.321 20 30 DGEDMN CC(C)(C)C#CC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000837654089 630293435 /nfs/dbraw/zinc/29/34/35/630293435.db2.gz RGNQPQDDNORKAS-UHFFFAOYSA-N 0 1 300.185 3.365 20 30 DGEDMN CCOc1ccccc1CN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000841493538 630442237 /nfs/dbraw/zinc/44/22/37/630442237.db2.gz RMWOODNXNHIZDR-SFHVURJKSA-N 0 1 310.397 3.122 20 30 DGEDMN FC1(F)CC[C@H](CON=Cc2ccc(N3CCOCC3)cc2)C1 ZINC000842016602 630478130 /nfs/dbraw/zinc/47/81/30/630478130.db2.gz ACYHQLJIDHJVGO-HNNXBMFYSA-N 0 1 324.371 3.309 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H]2C[C@@]2(F)c2ccccc2)nc(C)n1 ZINC000842283539 630510758 /nfs/dbraw/zinc/51/07/58/630510758.db2.gz COKOYFBOPMDBSC-HDMKZQKVSA-N 0 1 309.344 3.155 20 30 DGEDMN CC[C@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000842291804 630511915 /nfs/dbraw/zinc/51/19/15/630511915.db2.gz OTVWTRDAUDOEOM-ZBFHGGJFSA-N 0 1 304.434 3.361 20 30 DGEDMN CCO[C@@H](CC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000842295014 630512458 /nfs/dbraw/zinc/51/24/58/630512458.db2.gz QXYPYUOLQSUJIG-JSGCOSHPSA-N 0 1 315.398 3.196 20 30 DGEDMN C#C[C@@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccccc1 ZINC000842391466 630526453 /nfs/dbraw/zinc/52/64/53/630526453.db2.gz OQZICGUQUGKLER-CRAIPNDOSA-N 0 1 303.377 3.117 20 30 DGEDMN CC(C)Cn1nccc1C=NNc1ccc(Br)cn1 ZINC000842526207 630544235 /nfs/dbraw/zinc/54/42/35/630544235.db2.gz OASYQRYBHUYSQH-UHFFFAOYSA-N 0 1 322.210 3.143 20 30 DGEDMN CC(C)Cn1nccc1C=NNc1cccc(C(F)(F)F)n1 ZINC000842527144 630544469 /nfs/dbraw/zinc/54/44/69/630544469.db2.gz JEVOURHPOUHRQQ-UHFFFAOYSA-N 0 1 311.311 3.399 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@@H](c3cccc(C)c3)CC2=O)C1 ZINC000842629476 630556358 /nfs/dbraw/zinc/55/63/58/630556358.db2.gz NQOSSBMUKDTLPW-MJGOQNOKSA-N 0 1 310.441 3.004 20 30 DGEDMN CC(C)(C)C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000842685374 630563268 /nfs/dbraw/zinc/56/32/68/630563268.db2.gz DMWXFVFOHOGVIL-CFVMTHIKSA-N 0 1 304.434 3.216 20 30 DGEDMN N#Cc1ccc(OCC(=O)C(C#N)c2nc(C3CC3)cs2)cc1 ZINC000842938043 630596036 /nfs/dbraw/zinc/59/60/36/630596036.db2.gz IKCUIMZYUPJFCV-AWEZNQCLSA-N 0 1 323.377 3.147 20 30 DGEDMN N#CC(C(=O)C=Cc1cncc(F)c1)c1nc(C2CC2)cs1 ZINC000842942542 630597096 /nfs/dbraw/zinc/59/70/96/630597096.db2.gz ZSBOKLIPEMRXLS-HJFNVNOESA-N 0 1 313.357 3.444 20 30 DGEDMN C=C(C)[C@H](NC[C@H]1CN(C2CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000844322382 630718967 /nfs/dbraw/zinc/71/89/67/630718967.db2.gz MDLOJINQXAICKE-BBRMVZONSA-N 0 1 322.355 3.155 20 30 DGEDMN CN(C[C@H](O)c1cccc(C#N)c1)C[C@]1(C)CC1(Cl)Cl ZINC000844370465 630722217 /nfs/dbraw/zinc/72/22/17/630722217.db2.gz HIDOUCJLICKWNW-KBPBESRZSA-N 0 1 313.228 3.107 20 30 DGEDMN COc1cc(F)c(C=NNc2nccnc2C(C)C)cc1OC ZINC000844593702 630741778 /nfs/dbraw/zinc/74/17/78/630741778.db2.gz NRSHGUPDYLGFDH-UHFFFAOYSA-N 0 1 318.352 3.202 20 30 DGEDMN CC(F)(F)CCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845836672 631198489 /nfs/dbraw/zinc/19/84/89/631198489.db2.gz BMCQBUIMAIDERC-ZDUSSCGKSA-N 0 1 305.324 3.432 20 30 DGEDMN N#CC(C(=O)[C@H]1Cc2ccccc21)=C(O)C1(c2ccccc2)CC1 ZINC000845840800 631198615 /nfs/dbraw/zinc/19/86/15/631198615.db2.gz FUIBJTHUAHLPRH-ROUUACIJSA-N 0 1 315.372 3.336 20 30 DGEDMN N#CC(C(=O)CCc1ccncc1)=C(O)C1(c2ccccc2)CC1 ZINC000845841730 631198668 /nfs/dbraw/zinc/19/86/68/631198668.db2.gz PNWLBKKQXQOIOT-QGZVFWFLSA-N 0 1 318.376 3.024 20 30 DGEDMN COCCC[C@@H](C)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845039 631198792 /nfs/dbraw/zinc/19/87/92/631198792.db2.gz LWPUMZFFCSVJFF-GDBMZVCRSA-N 0 1 313.397 3.059 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845845228 631198873 /nfs/dbraw/zinc/19/88/73/631198873.db2.gz MWPMLMBEWXTEKQ-TZMCWYRMSA-N 0 1 317.335 3.432 20 30 DGEDMN N#CC(C(=O)C1(c2ccccc2)CC1)=C(O)[C@@H]1CCCCS1 ZINC000845846523 631199021 /nfs/dbraw/zinc/19/90/21/631199021.db2.gz USSNNFWGNSCJSE-GJZGRUSLSA-N 0 1 313.422 3.282 20 30 DGEDMN N#CC(C(=O)C1(c2ccccc2)CC1)=C(O)[C@H]1CCCCS1 ZINC000845846525 631199093 /nfs/dbraw/zinc/19/90/93/631199093.db2.gz USSNNFWGNSCJSE-LSDHHAIUSA-N 0 1 313.422 3.282 20 30 DGEDMN C[C@@H]1C[C@H](NCC2(C#N)CCCC2)CN(C(=O)OC(C)(C)C)C1 ZINC000846010983 631205713 /nfs/dbraw/zinc/20/57/13/631205713.db2.gz PQQAHBOTIONZIM-CABCVRRESA-N 0 1 321.465 3.305 20 30 DGEDMN CC[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@@H](C)CO1 ZINC000846045366 631208006 /nfs/dbraw/zinc/20/80/06/631208006.db2.gz WSPJFTGMQVAELE-YJBOKZPZSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@H](CC(=O)Nc1cc(C#N)ccc1O)N1CCc2ccccc21 ZINC000847617089 631497355 /nfs/dbraw/zinc/49/73/55/631497355.db2.gz YPVXFJWLTSRSKY-CYBMUJFWSA-N 0 1 321.380 3.044 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)CCCCC#N)c1ccccc1 ZINC000847689501 631515218 /nfs/dbraw/zinc/51/52/18/631515218.db2.gz AMGHABVRPUNYHJ-CVEARBPZSA-N 0 1 311.385 3.047 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3c2cccc3[N+](=O)[O-])cc1C#N ZINC000848535620 631682950 /nfs/dbraw/zinc/68/29/50/631682950.db2.gz HXQJEONPQKCNSA-MRXNPFEDSA-N 0 1 323.352 3.252 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c(Cl)cccc2N2CCOCC2)C1 ZINC000848924519 631789995 /nfs/dbraw/zinc/78/99/95/631789995.db2.gz UPJJQEUTOHGPFR-OAHLLOKOSA-N 0 1 318.848 3.022 20 30 DGEDMN Cn1ncc(C2CCC2)c1/C=C/C(=O)c1ccc(O)c(F)c1 ZINC000849245050 631862380 /nfs/dbraw/zinc/86/23/80/631862380.db2.gz OIHOOKVDWZDLSN-SOFGYWHQSA-N 0 1 300.333 3.428 20 30 DGEDMN C=C(Br)CNCc1ccc(O[C@@H](C)COC)cc1 ZINC000850119283 632059776 /nfs/dbraw/zinc/05/97/76/632059776.db2.gz MVQLADDOGXGDSF-LBPRGKRZSA-N 0 1 314.223 3.099 20 30 DGEDMN CCCn1nccc1CNCc1cccc(O[C@@H](C#N)CC)c1 ZINC000850136743 632063638 /nfs/dbraw/zinc/06/36/38/632063638.db2.gz BRNKXLJSIHDJML-QGZVFWFLSA-N 0 1 312.417 3.264 20 30 DGEDMN C[C@@H](O)c1ncc(CNC(C)(C)c2cccc(C#N)c2)s1 ZINC000850357114 632124694 /nfs/dbraw/zinc/12/46/94/632124694.db2.gz YPNWRAHISPRTQQ-LLVKDONJSA-N 0 1 301.415 3.093 20 30 DGEDMN C[C@H](CN(C)C(=O)OC(C)(C)C)NCc1ccc(C#N)cc1F ZINC000850417146 632146166 /nfs/dbraw/zinc/14/61/66/632146166.db2.gz MQOQOVHTNQNOCM-GFCCVEGCSA-N 0 1 321.396 3.042 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C1CCC2(CC2)CC1 ZINC000850752854 632215137 /nfs/dbraw/zinc/21/51/37/632215137.db2.gz ULPCVKHUTQZTNN-OAHLLOKOSA-N 0 1 304.434 3.362 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1CCCC2(CC2)C1 ZINC000850752314 632215185 /nfs/dbraw/zinc/21/51/85/632215185.db2.gz MYODJAJNYBBIFD-GJZGRUSLSA-N 0 1 304.434 3.362 20 30 DGEDMN CC1(C)CC(C(=O)C(C#N)C(=O)N2CCCC2)CC(C)(C)C1 ZINC000850753377 632215652 /nfs/dbraw/zinc/21/56/52/632215652.db2.gz YITYFYUQZADQFD-CQSZACIVSA-N 0 1 304.434 3.170 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000850757975 632216714 /nfs/dbraw/zinc/21/67/14/632216714.db2.gz VVTUAYKLBROXCT-XWTMOSNGSA-N 0 1 324.424 3.228 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850759659 632218059 /nfs/dbraw/zinc/21/80/59/632218059.db2.gz LUCVPIJYGWGNEG-QCNOEVLYSA-N 0 1 302.761 3.033 20 30 DGEDMN C[C@H](Cc1ccco1)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000851109805 632313165 /nfs/dbraw/zinc/31/31/65/632313165.db2.gz MOEDZGRYCFMNPH-PBHICJAKSA-N 0 1 321.376 3.468 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC[C@H]2C[C@H]21)=C(O)C1(c2ccccc2)CC1 ZINC000851110045 632313234 /nfs/dbraw/zinc/31/32/34/632313234.db2.gz PLDVTNFOBIBUAX-RKTXRCNFSA-N 0 1 307.393 3.432 20 30 DGEDMN N#CCCCCCC(=O)OC[C@H]1CCN1Cc1ccccc1 ZINC000851470829 632420542 /nfs/dbraw/zinc/42/05/42/632420542.db2.gz VPMKOMUXMQZHHA-QGZVFWFLSA-N 0 1 300.402 3.278 20 30 DGEDMN C#CCOCCN(Cc1ccc(C#N)cc1)Cc1cccc(F)c1 ZINC000851824103 632503863 /nfs/dbraw/zinc/50/38/63/632503863.db2.gz CDEFTHMCQKOZGO-UHFFFAOYSA-N 0 1 322.383 3.349 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1cccc(Br)c1 ZINC000852099169 632554186 /nfs/dbraw/zinc/55/41/86/632554186.db2.gz FXQWLCRTGFGWGY-NHYWBVRUSA-N 0 1 323.234 3.168 20 30 DGEDMN N#Cc1sccc1N1CCN(CCc2cccs2)CC1 ZINC000852343843 632591822 /nfs/dbraw/zinc/59/18/22/632591822.db2.gz HSVPNFYOOONANR-UHFFFAOYSA-N 0 1 303.456 3.046 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2cc(C)c(C)cc12)[C@H](C)COC ZINC000852356354 632594728 /nfs/dbraw/zinc/59/47/28/632594728.db2.gz BVQVLYWOIUVRHL-OAHLLOKOSA-N 0 1 315.413 3.433 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001032178394 660210608 /nfs/dbraw/zinc/21/06/08/660210608.db2.gz GXDCAZBARANOIT-CYBMUJFWSA-N 0 1 318.486 3.111 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)oc2C)CC1(C)C ZINC000995449411 660209138 /nfs/dbraw/zinc/20/91/38/660209138.db2.gz RKGILYGPGWNZSR-CQSZACIVSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001032208332 660225536 /nfs/dbraw/zinc/22/55/36/660225536.db2.gz ULXFMTURYHKXDS-HNNXBMFYSA-N 0 1 300.446 3.438 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cscc2C)CC1(C)C ZINC000995630037 660231864 /nfs/dbraw/zinc/23/18/64/660231864.db2.gz BXVVNGDOZZGYCM-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2coc3c2cccc3C)[C@H]1C ZINC000993419039 659732885 /nfs/dbraw/zinc/73/28/85/659732885.db2.gz GTDQSBPHGHKUCU-CRAIPNDOSA-N 0 1 324.424 3.347 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN(C(C)C)C2CC2)cc1C ZINC000175094906 659915934 /nfs/dbraw/zinc/91/59/34/659915934.db2.gz GVTZVIXEBNKGJP-UHFFFAOYSA-N 0 1 313.445 3.423 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2oc(C)cc2C)CC1(C)C ZINC000995646861 660234494 /nfs/dbraw/zinc/23/44/94/660234494.db2.gz FCTJTTSIDFCMFF-CYBMUJFWSA-N 0 1 310.825 3.089 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H](C)C1 ZINC000945367014 660250289 /nfs/dbraw/zinc/25/02/89/660250289.db2.gz QCZPGEQAFLXLLD-ZNVHBHFFSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)[C@H](C)C1 ZINC000945368585 660250613 /nfs/dbraw/zinc/25/06/13/660250613.db2.gz XKJWGGKYKBKDPH-PBHICJAKSA-N 0 1 324.424 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(F)(F)C2)CC1(C)C ZINC000995890737 660272340 /nfs/dbraw/zinc/27/23/40/660272340.db2.gz HRSBKRURJUALLN-VXGBXAGGSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1(C)C ZINC000996033060 660274308 /nfs/dbraw/zinc/27/43/08/660274308.db2.gz HOHFCJGGANNFIP-MCIONIFRSA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C2CCC2)CC1(C)C ZINC000996089553 660275419 /nfs/dbraw/zinc/27/54/19/660275419.db2.gz JBHJNUXUNPGPSL-AWEZNQCLSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc[nH]c2CCC)CC1(C)C ZINC000996167770 660276884 /nfs/dbraw/zinc/27/68/84/660276884.db2.gz HCRAGEYOPJXKCO-OAHLLOKOSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C)c2F)CC1(C)C ZINC000995843105 660271312 /nfs/dbraw/zinc/27/13/12/660271312.db2.gz CDWYTTIVOQZTBP-CQSZACIVSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)cc(C)c2)CC1(C)C ZINC000996558791 660285625 /nfs/dbraw/zinc/28/56/25/660285625.db2.gz VJZFVWLJNDALCE-INIZCTEOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2csc(C)c2)CC1(C)C ZINC000996405260 660281996 /nfs/dbraw/zinc/28/19/96/660281996.db2.gz FCDNWGZWIQWPKQ-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001015962196 660284991 /nfs/dbraw/zinc/28/49/91/660284991.db2.gz FNBJZJONXAEZBX-VXGBXAGGSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(F)cc2C)CC1(C)C ZINC000996543254 660285365 /nfs/dbraw/zinc/28/53/65/660285365.db2.gz SEFQVBLXIKKZFK-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccn2C(C)C)CC1(C)C ZINC000996626164 660288182 /nfs/dbraw/zinc/28/81/82/660288182.db2.gz UUXNYDDAWBDNIK-HNNXBMFYSA-N 0 1 323.868 3.262 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(Cl)c1C ZINC001032417796 660316086 /nfs/dbraw/zinc/31/60/86/660316086.db2.gz DSAMAUQYSYMNNM-KBPBESRZSA-N 0 1 304.821 3.123 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1scc(C)c1Cl ZINC001032419481 660318029 /nfs/dbraw/zinc/31/80/29/660318029.db2.gz XTOSKLXQZVIRFY-RYUDHWBXSA-N 0 1 310.850 3.185 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1C(C)(C)CC ZINC001032466683 660322903 /nfs/dbraw/zinc/32/29/03/660322903.db2.gz UXDZFNMNRARVIN-IRXDYDNUSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)[C@H](C)C1 ZINC000946314637 660341791 /nfs/dbraw/zinc/34/17/91/660341791.db2.gz TWUSCNZOCODWSF-QAPCUYQASA-N 0 1 322.408 3.020 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(C)cc1 ZINC001032562236 660348971 /nfs/dbraw/zinc/34/89/71/660348971.db2.gz NVYVHUNHLFZKTM-ROUUACIJSA-N 0 1 312.457 3.035 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCCc1ccsc1 ZINC001032562396 660349259 /nfs/dbraw/zinc/34/92/59/660349259.db2.gz SNIXVZHHBDSGDM-HOTGVXAUSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@@H]2CC23CC3)CC1 ZINC000998143144 660372673 /nfs/dbraw/zinc/37/26/73/660372673.db2.gz OTVDEKDMLWYPNL-CABCVRRESA-N 0 1 310.869 3.242 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001032605656 660374306 /nfs/dbraw/zinc/37/43/06/660374306.db2.gz FISKJMYFKWYXHK-CMKODMSKSA-N 0 1 312.457 3.117 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H](C)N2CCC(C)CC2)cc1 ZINC000073602666 660382660 /nfs/dbraw/zinc/38/26/60/660382660.db2.gz ZFRBTEBGCSAERW-KRWDZBQOSA-N 0 1 313.445 3.362 20 30 DGEDMN CCC(CC)C(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC000998577771 660394296 /nfs/dbraw/zinc/39/42/96/660394296.db2.gz HRMPZUZFFLHJMX-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2sccc2Cl)C1 ZINC000999229522 660410246 /nfs/dbraw/zinc/41/02/46/660410246.db2.gz WTYNAAHOJUYDEW-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)(C)C)oc1C ZINC001032652578 660407416 /nfs/dbraw/zinc/40/74/16/660407416.db2.gz YMDUBCPCGIIUKS-GJZGRUSLSA-N 0 1 316.445 3.360 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc(C(C)C)n2)C1 ZINC000999614505 660423405 /nfs/dbraw/zinc/42/34/05/660423405.db2.gz NTXMFCAIVXIQHZ-CQSZACIVSA-N 0 1 321.852 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2cccc(Cl)n2)C1 ZINC000999901852 660430673 /nfs/dbraw/zinc/43/06/73/660430673.db2.gz WKYGBOVJIJKVJT-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1ccc(C)cc1 ZINC001032738979 660436252 /nfs/dbraw/zinc/43/62/52/660436252.db2.gz SHUSHKAGBDSSCI-ROUUACIJSA-N 0 1 312.457 3.134 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCc2ccccc21 ZINC001032745128 660437643 /nfs/dbraw/zinc/43/76/43/660437643.db2.gz GTEJKEVLXCWKDY-QYZOEREBSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCc2ccccc21 ZINC001032745128 660437644 /nfs/dbraw/zinc/43/76/44/660437644.db2.gz GTEJKEVLXCWKDY-QYZOEREBSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)o1 ZINC001032757686 660439425 /nfs/dbraw/zinc/43/94/25/660439425.db2.gz LNKYUYODFRVVJO-RYUDHWBXSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]cc1-c1ccccc1 ZINC001032782866 660444580 /nfs/dbraw/zinc/44/45/80/660444580.db2.gz LHEBLGJRYRCFDT-IRXDYDNUSA-N 0 1 321.424 3.157 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1CC ZINC001032798928 660449999 /nfs/dbraw/zinc/44/99/99/660449999.db2.gz NSFYNUXUTQPYJL-GJZGRUSLSA-N 0 1 304.459 3.175 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1ccccc1C ZINC001032818269 660456476 /nfs/dbraw/zinc/45/64/76/660456476.db2.gz FVYIJMSEMCMSNM-IRXDYDNUSA-N 0 1 312.457 3.134 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(C)(F)F)cc1 ZINC001032823603 660459350 /nfs/dbraw/zinc/45/93/50/660459350.db2.gz NCICRUWEYBNTGE-HOTGVXAUSA-N 0 1 320.383 3.273 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(C)(F)F)cc1 ZINC001032823603 660459351 /nfs/dbraw/zinc/45/93/51/660459351.db2.gz NCICRUWEYBNTGE-HOTGVXAUSA-N 0 1 320.383 3.273 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCCc3ccccc32)CC1 ZINC001004219076 660533902 /nfs/dbraw/zinc/53/39/02/660533902.db2.gz NXMPRWDRQPKTGG-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1ccccc1C#N ZINC001004684795 660567111 /nfs/dbraw/zinc/56/71/11/660567111.db2.gz LTVADIXMBLWJPI-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccccc2C(C)(C)C)C1 ZINC001033177432 660572669 /nfs/dbraw/zinc/57/26/69/660572669.db2.gz QQHSIKZUOSIQES-INIZCTEOSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001033204551 660589265 /nfs/dbraw/zinc/58/92/65/660589265.db2.gz XYIWMCWHUOCNGV-LBPRGKRZSA-N 0 1 310.800 3.120 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001033224539 660591597 /nfs/dbraw/zinc/59/15/97/660591597.db2.gz HMCXFACYMYLNPN-GFCCVEGCSA-N 0 1 317.820 3.154 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033254680 660599925 /nfs/dbraw/zinc/59/99/25/660599925.db2.gz UBOUXXWTFNRMPY-GOSISDBHSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3c2cccc3Cl)C1 ZINC001033269942 660601927 /nfs/dbraw/zinc/60/19/27/660601927.db2.gz WLGBQXXGZQDXCX-BBRMVZONSA-N 0 1 318.848 3.089 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001033292721 660604316 /nfs/dbraw/zinc/60/43/16/660604316.db2.gz GDQSAWZOUGUSNE-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC001033251882 660599314 /nfs/dbraw/zinc/59/93/14/660599314.db2.gz AUESXBQUPJQISB-KRWDZBQOSA-N 0 1 320.436 3.271 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001033327921 660609316 /nfs/dbraw/zinc/60/93/16/660609316.db2.gz LKPHRKTUKCYHCE-AWEZNQCLSA-N 0 1 306.837 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2c(Cl)oc3ccccc32)C1 ZINC001033353283 660614317 /nfs/dbraw/zinc/61/43/17/660614317.db2.gz GBMJMJBYPASYSI-LBPRGKRZSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001033428158 660621218 /nfs/dbraw/zinc/62/12/18/660621218.db2.gz PRVLUBLSMUEIEQ-OAHLLOKOSA-N 0 1 315.461 3.100 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(F)c3ccccc23)C1 ZINC001033453038 660623999 /nfs/dbraw/zinc/62/39/99/660623999.db2.gz LSKCGHJFGBEVOW-OAHLLOKOSA-N 0 1 324.399 3.149 20 30 DGEDMN CN(C(=O)CC1CCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005211231 660632589 /nfs/dbraw/zinc/63/25/89/660632589.db2.gz BPAKRXVRFSBZDI-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2C(F)(F)F)C1 ZINC001033607174 660638567 /nfs/dbraw/zinc/63/85/67/660638567.db2.gz NZTFYRWQZLNXNH-LBPRGKRZSA-N 0 1 312.335 3.038 20 30 DGEDMN CC1CC(C(=O)N(C)C2CCN(CC#Cc3ccccc3)CC2)C1 ZINC001005239445 660640868 /nfs/dbraw/zinc/64/08/68/660640868.db2.gz DKBMSVPYWSKNKS-UHFFFAOYSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(-c3cccs3)o2)C1 ZINC001033630873 660642091 /nfs/dbraw/zinc/64/20/91/660642091.db2.gz ICNBHFQQNKTLRW-ZDUSSCGKSA-N 0 1 316.426 3.340 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc3ccccc32)C1 ZINC001033634560 660642930 /nfs/dbraw/zinc/64/29/30/660642930.db2.gz RJEMULUATUXJHL-QGZVFWFLSA-N 0 1 306.409 3.009 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001033664003 660647430 /nfs/dbraw/zinc/64/74/30/660647430.db2.gz WEJKWVILGYUDNL-HIFRSBDPSA-N 0 1 318.486 3.205 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2oc3c(cccc3C)c2C)C1 ZINC001033688590 660648649 /nfs/dbraw/zinc/64/86/49/660648649.db2.gz RTXBIFSMRPTXCA-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3ccccc3nc2C)C1 ZINC001033846757 660669932 /nfs/dbraw/zinc/66/99/32/660669932.db2.gz SHJXMTREEFQUCQ-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C)cc(OC)c2C)C1 ZINC001033883036 660675032 /nfs/dbraw/zinc/67/50/32/660675032.db2.gz IWVUJSVYCANDFA-INIZCTEOSA-N 0 1 316.445 3.034 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)cc(OC)c2C)C1 ZINC001033883036 660675033 /nfs/dbraw/zinc/67/50/33/660675033.db2.gz IWVUJSVYCANDFA-INIZCTEOSA-N 0 1 316.445 3.034 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc(F)ccc2Cl)C1 ZINC001033965575 660686048 /nfs/dbraw/zinc/68/60/48/660686048.db2.gz SSNDGDDUZNSIJR-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001033985950 660689267 /nfs/dbraw/zinc/68/92/67/660689267.db2.gz KCUGIJVIRQGINS-YTQUADARSA-N 0 1 312.457 3.207 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001033985891 660689672 /nfs/dbraw/zinc/68/96/72/660689672.db2.gz SKIXVXASUHZSGG-FQEVSTJZSA-N 0 1 322.452 3.206 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001033981874 660690216 /nfs/dbraw/zinc/69/02/16/660690216.db2.gz MGWBMYLNPVUSLG-HNNXBMFYSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001033981874 660690217 /nfs/dbraw/zinc/69/02/17/660690217.db2.gz MGWBMYLNPVUSLG-HNNXBMFYSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001033984689 660690574 /nfs/dbraw/zinc/69/05/74/660690574.db2.gz YAQJQGLOCXRVPF-IBGZPJMESA-N 0 1 310.441 3.368 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(Cl)ccc2C)C1 ZINC001033992346 660690919 /nfs/dbraw/zinc/69/09/19/660690919.db2.gz RDDFCYJRAFZJDT-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc(Cl)ccc2C)C1 ZINC001033991273 660691070 /nfs/dbraw/zinc/69/10/70/660691070.db2.gz HKBHIXFDLYUIMW-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C3CC3)s2)C1 ZINC001034012254 660693339 /nfs/dbraw/zinc/69/33/39/660693339.db2.gz DJNXNQIEYQZOJK-AWEZNQCLSA-N 0 1 304.459 3.348 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001034040427 660698587 /nfs/dbraw/zinc/69/85/87/660698587.db2.gz VSCYFPHRJNKAFT-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001034040427 660698589 /nfs/dbraw/zinc/69/85/89/660698589.db2.gz VSCYFPHRJNKAFT-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C2CCC(C(F)F)CC2)C1 ZINC001034034515 660697537 /nfs/dbraw/zinc/69/75/37/660697537.db2.gz FSUPWEWVVVGYEP-NRXISQOPSA-N 0 1 314.420 3.167 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@H](NC(=O)C3=CCCC3)C2)c1 ZINC001034160925 660720758 /nfs/dbraw/zinc/72/07/58/660720758.db2.gz RVKDHKMWONNKSU-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccnn2C(CC)CC)C1 ZINC001034250696 660727961 /nfs/dbraw/zinc/72/79/61/660727961.db2.gz RROXKJUNWBEOHS-HNNXBMFYSA-N 0 1 318.465 3.015 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001034258010 660729316 /nfs/dbraw/zinc/72/93/16/660729316.db2.gz PJYDXZZTQKGFPH-MRXNPFEDSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001034278472 660732096 /nfs/dbraw/zinc/73/20/96/660732096.db2.gz JQIZFEIVKJJVHT-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001034305316 660734995 /nfs/dbraw/zinc/73/49/95/660734995.db2.gz ZTFQCBNXSOVUSQ-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001034333027 660737885 /nfs/dbraw/zinc/73/78/85/660737885.db2.gz BRLAIKFZBLWDJS-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001034325848 660739681 /nfs/dbraw/zinc/73/96/81/660739681.db2.gz DZEVQUFYGSRWAK-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC001034446134 660752371 /nfs/dbraw/zinc/75/23/71/660752371.db2.gz LYRKKBSEAZQWRH-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3occc3s2)C1 ZINC001034390029 660746429 /nfs/dbraw/zinc/74/64/29/660746429.db2.gz BDLDSPPIMVLYSL-LBPRGKRZSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001034424713 660748517 /nfs/dbraw/zinc/74/85/17/660748517.db2.gz VBQRPLNCTJKLMD-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001034411546 660749649 /nfs/dbraw/zinc/74/96/49/660749649.db2.gz RYXTWIJKOMIINK-HNNXBMFYSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC001034413468 660750265 /nfs/dbraw/zinc/75/02/65/660750265.db2.gz IWEARLJRGUSPLH-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001034420360 660751676 /nfs/dbraw/zinc/75/16/76/660751676.db2.gz HIFSDFPFOIAUFF-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001034447701 660752931 /nfs/dbraw/zinc/75/29/31/660752931.db2.gz JETXQMHDOJBOBH-JKSUJKDBSA-N 0 1 318.436 3.476 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC001034507564 660763504 /nfs/dbraw/zinc/76/35/04/660763504.db2.gz QUZRAACFNLEDFA-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC001034507564 660763505 /nfs/dbraw/zinc/76/35/05/660763505.db2.gz QUZRAACFNLEDFA-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001034448683 660754364 /nfs/dbraw/zinc/75/43/64/660754364.db2.gz OOLJGQQCSMJRIN-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001034442937 660755112 /nfs/dbraw/zinc/75/51/12/660755112.db2.gz AGBFYUGIBVHEIS-ZDUSSCGKSA-N 0 1 322.836 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001034455392 660756347 /nfs/dbraw/zinc/75/63/47/660756347.db2.gz RJRYKRPUUUWBID-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001034476517 660757302 /nfs/dbraw/zinc/75/73/02/660757302.db2.gz DRFYSLOQPVNQFD-WBVHZDCISA-N 0 1 324.424 3.140 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC001034498951 660760701 /nfs/dbraw/zinc/76/07/01/660760701.db2.gz RBFOZZXJJODPLJ-MRXNPFEDSA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC001034507563 660763363 /nfs/dbraw/zinc/76/33/63/660763363.db2.gz QUZRAACFNLEDFA-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC001034507563 660763367 /nfs/dbraw/zinc/76/33/67/660763367.db2.gz QUZRAACFNLEDFA-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001034543476 660771149 /nfs/dbraw/zinc/77/11/49/660771149.db2.gz ISRKIAXCNOKLRO-APWZRJJASA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001034553906 660773720 /nfs/dbraw/zinc/77/37/20/660773720.db2.gz PTUXUZMROBUUJQ-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC[C@H]2CCC)CC1 ZINC001005627905 660783600 /nfs/dbraw/zinc/78/36/00/660783600.db2.gz FBMSFHVPPKHIDS-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC[C@@H]2CCC)CC1 ZINC001005627904 660783624 /nfs/dbraw/zinc/78/36/24/660783624.db2.gz FBMSFHVPPKHIDS-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C=C\C1CC1)CC2 ZINC001035171012 660821176 /nfs/dbraw/zinc/82/11/76/660821176.db2.gz YKLNHJXVVHBTEC-PLNGDYQASA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H](F)C(C)C)CC2 ZINC001035215370 660830731 /nfs/dbraw/zinc/83/07/31/660830731.db2.gz LZKNITBXOSCARY-AWEZNQCLSA-N 0 1 316.848 3.048 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC=CCCC1)CC2 ZINC001035730217 660847574 /nfs/dbraw/zinc/84/75/74/660847574.db2.gz MQISZBMAIFIQIE-KRWDZBQOSA-N 0 1 302.462 3.233 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(C)ccc1C1CC1)CC2 ZINC001035755574 660849255 /nfs/dbraw/zinc/84/92/55/660849255.db2.gz PYMWKIFQLUECHB-UHFFFAOYSA-N 0 1 322.452 3.044 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C(C)(C)C(C)(C)C)CC2 ZINC001035769222 660850097 /nfs/dbraw/zinc/85/00/97/660850097.db2.gz XVPSRFCOVDXJBD-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccccc1CCC)CC2 ZINC001035749314 660851072 /nfs/dbraw/zinc/85/10/72/660851072.db2.gz KUPZKDPDHORCNS-UHFFFAOYSA-N 0 1 324.468 3.200 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(C)cc1Cl)CC2 ZINC001035803939 660854198 /nfs/dbraw/zinc/85/41/98/660854198.db2.gz QLOZAAKOXHLKOX-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1cccc(C)c1)CC2 ZINC001035808758 660855011 /nfs/dbraw/zinc/85/50/11/660855011.db2.gz YEUTZQGIOUGNBZ-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc3c(c1)C=CCC3)CC2 ZINC001035815438 660855898 /nfs/dbraw/zinc/85/58/98/660855898.db2.gz KFGMAXNWLBVUQI-UHFFFAOYSA-N 0 1 322.452 3.370 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(C(C)C)s1)CC2 ZINC001035858196 660860483 /nfs/dbraw/zinc/86/04/83/660860483.db2.gz DUCIBNJHRHNGOU-UHFFFAOYSA-N 0 1 316.470 3.043 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H](C)C[C@H](C)C1)CC2 ZINC001035859639 660860865 /nfs/dbraw/zinc/86/08/65/660860865.db2.gz XVIDCMKZHZXMHA-PIIMJCKOSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCCC1(C)C)CC2 ZINC001035860996 660861193 /nfs/dbraw/zinc/86/11/93/660861193.db2.gz YKOSANXVZBPIJR-INIZCTEOSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1ccccc1C#N ZINC001036495279 660912248 /nfs/dbraw/zinc/91/22/48/660912248.db2.gz OGBWWCUGGSGACE-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3oc(C)cc3C)CC[C@H]21 ZINC001036680797 660945530 /nfs/dbraw/zinc/94/55/30/660945530.db2.gz CNVLYFYXDGMNNE-HUUCEWRRSA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001005907182 660948210 /nfs/dbraw/zinc/94/82/10/660948210.db2.gz RJGQRGKCQFEUMM-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C(F)F)cc2)CC1 ZINC001006144103 660965505 /nfs/dbraw/zinc/96/55/05/660965505.db2.gz FGBWPFWTWOEQJL-UHFFFAOYSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccoc3CC)CC[C@H]21 ZINC001036705339 660957759 /nfs/dbraw/zinc/95/77/59/660957759.db2.gz HGGZIIRRCTXEAO-DZGCQCFKSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cc(C)cs3)CC[C@@H]21 ZINC001036709532 660960829 /nfs/dbraw/zinc/96/08/29/660960829.db2.gz XCBOERYHHRSMLF-KGLIPLIRSA-N 0 1 324.877 3.345 20 30 DGEDMN CN(C(=O)C(C)(C)C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001006327426 660974803 /nfs/dbraw/zinc/97/48/03/660974803.db2.gz QHUKIDVUMOMBRS-UHFFFAOYSA-N 0 1 312.457 3.007 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc3ccccc3c2)CC1 ZINC001006327994 660975493 /nfs/dbraw/zinc/97/54/93/660975493.db2.gz RQRNZVXBYIMDAO-UHFFFAOYSA-N 0 1 320.436 3.400 20 30 DGEDMN O=C(/C=C/c1cnnn1Cc1ccccc1)c1ccc(O)c(F)c1 ZINC000792883148 660991430 /nfs/dbraw/zinc/99/14/30/660991430.db2.gz KIPWCFLNPWECEZ-VQHVLOKHSA-N 0 1 323.327 3.067 20 30 DGEDMN C[C@H]1CCC[C@@H](N(C)Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793484663 661037975 /nfs/dbraw/zinc/03/79/75/661037975.db2.gz UEJUUEBLHMAIOL-GOEBONIOSA-N 0 1 313.445 3.067 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000793734806 661054744 /nfs/dbraw/zinc/05/47/44/661054744.db2.gz FPNXDBNPVLOJPV-UHFFFAOYSA-N 0 1 305.337 3.317 20 30 DGEDMN C#Cc1cccc(N(Cc2cccs2)Cc2n[nH]c(C)n2)c1 ZINC000794413345 661083239 /nfs/dbraw/zinc/08/32/39/661083239.db2.gz VMOUJWMHSWJGFA-UHFFFAOYSA-N 0 1 308.410 3.363 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C)O[C@H](C3CC3)C2)c1O ZINC000794648275 661095682 /nfs/dbraw/zinc/09/56/82/661095682.db2.gz YTFOADRPEWWAPL-ACJLOTCBSA-N 0 1 317.429 3.129 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C3CC3)O[C@@H](C)C2)c(O)c(OC)c1 ZINC000794655481 661096575 /nfs/dbraw/zinc/09/65/75/661096575.db2.gz RNPOWJGPAKICJQ-UGSOOPFHSA-N 0 1 317.429 3.129 20 30 DGEDMN C#C[C@H](N[C@H]1CCCc2nn(-c3ccccc3)cc21)[C@H]1CCCO1 ZINC000794812786 661106670 /nfs/dbraw/zinc/10/66/70/661106670.db2.gz GIYKRWJAIRVIMZ-CMKODMSKSA-N 0 1 321.424 3.020 20 30 DGEDMN Cc1nn(-c2ccccc2)c(N)c1C=NNCc1cccc(F)c1 ZINC000794894068 661112120 /nfs/dbraw/zinc/11/21/20/661112120.db2.gz CLQGPWCSVVMXQK-UHFFFAOYSA-N 0 1 323.375 3.026 20 30 DGEDMN C[C@H](CC#N)NN=Cc1ccc2c(c1)CCN2Cc1ccccc1 ZINC000794920557 661113658 /nfs/dbraw/zinc/11/36/58/661113658.db2.gz NYYQIFDVLGALKL-MRXNPFEDSA-N 0 1 318.424 3.475 20 30 DGEDMN O=[N+]([O-])c1ccccc1-c1ccc(CN=Nc2cncnc2)o1 ZINC000794976614 661118037 /nfs/dbraw/zinc/11/80/37/661118037.db2.gz ODKRKYFDZMMJDL-UHFFFAOYSA-N 0 1 309.285 3.091 20 30 DGEDMN FC(F)(F)c1cnc2c(c1)C(=NNc1cncnc1)CCC2 ZINC000794978718 661118349 /nfs/dbraw/zinc/11/83/49/661118349.db2.gz QRMXVVGWZPMHBG-UHFFFAOYSA-N 0 1 307.279 3.043 20 30 DGEDMN O=[N+]([O-])c1ccc(-c2ccc(CN=Nc3cncnc3)o2)cc1 ZINC000794979237 661118614 /nfs/dbraw/zinc/11/86/14/661118614.db2.gz TVLRRBQEQRZCSK-UHFFFAOYSA-N 0 1 309.285 3.091 20 30 DGEDMN COc1ccc(C=NNc2cccc(F)n2)c(Br)c1 ZINC000795005566 661121043 /nfs/dbraw/zinc/12/10/43/661121043.db2.gz BCBWYDZWAVNAAS-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN COc1ccc(C=NNc2cccc(F)n2)c(Cl)c1OC ZINC000795008251 661121373 /nfs/dbraw/zinc/12/13/73/661121373.db2.gz DZWFLVHAHPHSAE-UHFFFAOYSA-N 0 1 309.728 3.337 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969130311 655526755 /nfs/dbraw/zinc/52/67/55/655526755.db2.gz JSMGFGWPRLOLFH-GFCCVEGCSA-N 0 1 312.360 3.378 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969130311 655526756 /nfs/dbraw/zinc/52/67/56/655526756.db2.gz JSMGFGWPRLOLFH-GFCCVEGCSA-N 0 1 312.360 3.378 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc(C)ccc1C ZINC000969130598 655526814 /nfs/dbraw/zinc/52/68/14/655526814.db2.gz MTBLWSQXQXTSSD-QGZVFWFLSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC000969137227 655527102 /nfs/dbraw/zinc/52/71/02/655527102.db2.gz WYSWAYHLPVGPLR-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1oc2ccccc2c1C ZINC000969140078 655527827 /nfs/dbraw/zinc/52/78/27/655527827.db2.gz WXOLCISMAWDMQT-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C12CCC(CC1)CC2 ZINC000969153563 655528620 /nfs/dbraw/zinc/52/86/20/655528620.db2.gz JZZFDUFMVVRCFL-QPIRBTGLSA-N 0 1 316.489 3.341 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc2c(cccc2C)o1 ZINC000969158930 655529242 /nfs/dbraw/zinc/52/92/42/655529242.db2.gz XCIRQPLQYXCMJF-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1CC(c2ccccc2)C1 ZINC000969160876 655529763 /nfs/dbraw/zinc/52/97/63/655529763.db2.gz MMPCCHPBWBOJPG-MHJFOBGBSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC000969801290 655557935 /nfs/dbraw/zinc/55/79/35/655557935.db2.gz NOYHDYICKQLRIO-OAHLLOKOSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC000970049149 655570296 /nfs/dbraw/zinc/57/02/96/655570296.db2.gz XTEPMDXAWXHXNQ-LLVKDONJSA-N 0 1 317.820 3.057 20 30 DGEDMN Cc1nn(C)c2ncc(/C=C\C(=O)c3ccc(O)c(F)c3)cc12 ZINC000255039722 655570988 /nfs/dbraw/zinc/57/09/88/655570988.db2.gz POKVCONOQJOJSS-HYXAFXHYSA-N 0 1 311.316 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC000970084937 655573429 /nfs/dbraw/zinc/57/34/29/655573429.db2.gz FELPHCTUDFRYHW-WCVJEAGWSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3ccccc3cc2F)C1 ZINC000970132515 655579184 /nfs/dbraw/zinc/57/91/84/655579184.db2.gz XLYKRJGWRPFDNG-CYBMUJFWSA-N 0 1 312.388 3.215 20 30 DGEDMN COCCCN1Cc2ccc(N=Cc3ccccc3O)cc2C1 ZINC000255052996 655579378 /nfs/dbraw/zinc/57/93/78/655579378.db2.gz ZQTCTQGQSKCNBS-UHFFFAOYSA-N 0 1 310.397 3.495 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC000970221947 655589631 /nfs/dbraw/zinc/58/96/31/655589631.db2.gz CAZHUEHZMNFMQF-AWEZNQCLSA-N 0 1 311.429 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC000970273680 655591357 /nfs/dbraw/zinc/59/13/57/655591357.db2.gz HUTWEAKPLDYFNJ-RHSMWYFYSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3ccsc32)C1 ZINC000970412252 655598582 /nfs/dbraw/zinc/59/85/82/655598582.db2.gz RVRQBDIQFCYYIV-CYBMUJFWSA-N 0 1 320.845 3.458 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)c2ccc(C)s2)C1 ZINC000970475379 655601732 /nfs/dbraw/zinc/60/17/32/655601732.db2.gz PMYBMNUTJVKSJL-CHWSQXEVSA-N 0 1 312.866 3.103 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccccc2C2CCC2)C1 ZINC000970805093 655622999 /nfs/dbraw/zinc/62/29/99/655622999.db2.gz BDESTJIPWLKHQG-OAHLLOKOSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C=C/C2CC2)C1 ZINC001021539478 655626266 /nfs/dbraw/zinc/62/62/66/655626266.db2.gz BCZDPILOKRMVKB-YCZSJOHXSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000970948852 655641774 /nfs/dbraw/zinc/64/17/74/655641774.db2.gz URRLZHNRMHTKQV-AWEZNQCLSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)cs1 ZINC001039414918 655703527 /nfs/dbraw/zinc/70/35/27/655703527.db2.gz RXWYCXXNPYYQSB-KGLIPLIRSA-N 0 1 320.458 3.012 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccc(C)cc2C)CC1 ZINC000949545661 655710135 /nfs/dbraw/zinc/71/01/35/655710135.db2.gz OCYLKGNQBZWKJH-UHFFFAOYSA-N 0 1 314.473 3.346 20 30 DGEDMN N#CC1(CCN2CCOC[C@H]2C[C@H]2CCCO2)CCCCC1 ZINC000933683062 655730063 /nfs/dbraw/zinc/73/00/63/655730063.db2.gz GRHKZWANRMYJEN-IAGOWNOFSA-N 0 1 306.450 3.120 20 30 DGEDMN N#Cc1ccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)c(C2CC2)c1 ZINC000933846779 655735736 /nfs/dbraw/zinc/73/57/36/655735736.db2.gz ZDBMUGGTVYSUAY-MRXNPFEDSA-N 0 1 321.384 3.358 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC000971889788 655744173 /nfs/dbraw/zinc/74/41/73/655744173.db2.gz NBDXUHKNVNIKLA-AWEZNQCLSA-N 0 1 318.804 3.419 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC000972074207 655762357 /nfs/dbraw/zinc/76/23/57/655762357.db2.gz XZXDKXOUFIFRJQ-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN COc1ccc([C@H]2CCCN2Cc2ccc(CC#N)cc2)nc1 ZINC000934341220 655769498 /nfs/dbraw/zinc/76/94/98/655769498.db2.gz IYWJPQSDILOOBZ-LJQANCHMSA-N 0 1 307.397 3.493 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)c2ccc(Cl)o2)c1 ZINC000127575391 655775616 /nfs/dbraw/zinc/77/56/16/655775616.db2.gz QGSZSNRWQYPDNK-LLVKDONJSA-N 0 1 302.717 3.203 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCCO1)c1nc2ccccc2n1C(F)F ZINC000051656175 655776111 /nfs/dbraw/zinc/77/61/11/655776111.db2.gz BSWWKDOPUHDBMD-MNOVXSKESA-N 0 1 319.311 3.177 20 30 DGEDMN C#C[C@@H](NCc1ccccc1I)C(C)C ZINC000308826992 655778984 /nfs/dbraw/zinc/77/89/84/655778984.db2.gz DVOGMYSWHGEATP-CYBMUJFWSA-N 0 1 313.182 3.039 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC000972245092 655782035 /nfs/dbraw/zinc/78/20/35/655782035.db2.gz JSIPNWAEHJKMTL-HKUYNNGSSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1sc(C2CC2)nc1C ZINC001038241283 655791370 /nfs/dbraw/zinc/79/13/70/655791370.db2.gz NCIJTXPPKNAATH-CQSZACIVSA-N 0 1 319.474 3.099 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3sccc3Cl)C[C@@H]21 ZINC001042066753 655818061 /nfs/dbraw/zinc/81/80/61/655818061.db2.gz JHTCEROOBWVLFC-YPMHNXCESA-N 0 1 310.850 3.124 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3sccc3Cl)C[C@@H]21 ZINC001042066753 655818063 /nfs/dbraw/zinc/81/80/63/655818063.db2.gz JHTCEROOBWVLFC-YPMHNXCESA-N 0 1 310.850 3.124 20 30 DGEDMN CCN(CC)c1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176822296 655848533 /nfs/dbraw/zinc/84/85/33/655848533.db2.gz YPPJXZOIJHDOIA-UHFFFAOYSA-N 0 1 309.369 3.362 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001024137203 655889375 /nfs/dbraw/zinc/88/93/75/655889375.db2.gz KOUZACWGOXZWLB-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(O)cc2O)cc(Cl)c1O ZINC000255685047 655889991 /nfs/dbraw/zinc/88/99/91/655889991.db2.gz FVSDKIKEYAUBHV-DJWKRKHSSA-N 0 1 320.728 3.362 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2nccc(C)c2c1 ZINC001024455770 655907100 /nfs/dbraw/zinc/90/71/00/655907100.db2.gz OUCKBGGPBYCWMZ-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2cc(C)cn2c1 ZINC001024475449 655909375 /nfs/dbraw/zinc/90/93/75/655909375.db2.gz OPKIQNIAWPTBOV-GOSISDBHSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1nccc2ccsc21 ZINC001024492595 655910316 /nfs/dbraw/zinc/91/03/16/655910316.db2.gz NODWBVOQXCUWEN-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001024508373 655911519 /nfs/dbraw/zinc/91/15/19/655911519.db2.gz IWBHLYJLJVCSCY-UYAOXDASSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001024508809 655912376 /nfs/dbraw/zinc/91/23/76/655912376.db2.gz MFOGYQYSEHYKCY-IEBWSBKVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C3CC3)CC[C@H]21 ZINC001036796927 661202893 /nfs/dbraw/zinc/20/28/93/661202893.db2.gz KZCIXHLFPGFUOY-DZGCQCFKSA-N 0 1 310.869 3.098 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C2(C)CCC2)CCN1CC#Cc1ccccc1 ZINC000947620688 655918561 /nfs/dbraw/zinc/91/85/61/655918561.db2.gz OXWFAKVAKRUGSM-PKOBYXMFSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1cccc2ncccc21 ZINC001024581442 655920382 /nfs/dbraw/zinc/92/03/82/655920382.db2.gz JRRBLPDBPILZRN-HNNXBMFYSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)cc1Cl ZINC001024669986 655928972 /nfs/dbraw/zinc/92/89/72/655928972.db2.gz LASTTYCJKMEEFE-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1scnc1C1CC1 ZINC001024697425 655931331 /nfs/dbraw/zinc/93/13/31/655931331.db2.gz YXIFIKXSXSEXDB-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(C)c1Cl ZINC001024716499 655932959 /nfs/dbraw/zinc/93/29/59/655932959.db2.gz XBPLRAZOVGKBDX-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001024720157 655933213 /nfs/dbraw/zinc/93/32/13/655933213.db2.gz GCLWXBXRGJFXAI-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001024762628 655936329 /nfs/dbraw/zinc/93/63/29/655936329.db2.gz TYKSPMAKVMJILP-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccsc1C(F)F ZINC001024780688 655938136 /nfs/dbraw/zinc/93/81/36/655938136.db2.gz AYLLJPFDEOYODH-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1coc2ccc(F)cc12 ZINC001024777506 655938216 /nfs/dbraw/zinc/93/82/16/655938216.db2.gz KMRPISNCIQRLCR-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1CCC(C2CC2)CC1 ZINC001024782526 655938263 /nfs/dbraw/zinc/93/82/63/655938263.db2.gz IZGYLVRCQYUFMX-ABHNRTSZSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC001024790384 655939291 /nfs/dbraw/zinc/93/92/91/655939291.db2.gz HNRVORWPXRMTOP-IBGZPJMESA-N 0 1 312.457 3.336 20 30 DGEDMN N#Cc1cncc(CN2CCC(OCc3ccccc3)CC2)c1 ZINC000721604166 655941225 /nfs/dbraw/zinc/94/12/25/655941225.db2.gz QMFSQDZVOKAMQC-UHFFFAOYSA-N 0 1 307.397 3.134 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC001024816438 655942244 /nfs/dbraw/zinc/94/22/44/655942244.db2.gz KTHIHABBMJBVMB-MRXNPFEDSA-N 0 1 312.457 3.254 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001025037957 655958082 /nfs/dbraw/zinc/95/80/82/655958082.db2.gz PGDBJBJXKPVUHO-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C(C)C)CC3)CC[C@@H]21 ZINC001036816167 661208404 /nfs/dbraw/zinc/20/84/04/661208404.db2.gz QSVJFLSSYNQSCL-CABCVRRESA-N 0 1 310.869 3.098 20 30 DGEDMN COc1cc(/C=C2\CCCC(C)(C)C2=O)cc([N+](=O)[O-])c1O ZINC000255917311 655966153 /nfs/dbraw/zinc/96/61/53/655966153.db2.gz DWQGQQDPFYUQHX-YRNVUSSQSA-N 0 1 305.330 3.472 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1sccc1C ZINC001025184498 655973548 /nfs/dbraw/zinc/97/35/48/655973548.db2.gz POCRZDFTIRWLAX-JKSUJKDBSA-N 0 1 316.470 3.149 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1occc1Cl ZINC001025264456 655986136 /nfs/dbraw/zinc/98/61/36/655986136.db2.gz AJVYEKAQIRXHCB-GJZGRUSLSA-N 0 1 320.820 3.025 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cncc(-c2ccccc2)c1 ZINC001038326203 656000017 /nfs/dbraw/zinc/00/00/17/656000017.db2.gz GDVMJRSNNAENDF-IBGZPJMESA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(C)cc3)CC[C@@H]21 ZINC001036878566 661220336 /nfs/dbraw/zinc/22/03/36/661220336.db2.gz YSIIXXMJHUFIAQ-SJORKVTESA-N 0 1 318.848 3.284 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC(CCCC)CC1 ZINC001026144916 656084218 /nfs/dbraw/zinc/08/42/18/656084218.db2.gz SJYHUZYKUNDGDU-GGFCQSDPSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001008268537 656135125 /nfs/dbraw/zinc/13/51/25/656135125.db2.gz LYAIUNSMSXQSKL-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C3CCC3)cc2)C(C)(C)C1 ZINC000974657498 656219573 /nfs/dbraw/zinc/21/95/73/656219573.db2.gz OOBDZSBIOCVGSY-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2ccc(C)nc2C(C)C)C(C)(C)C1 ZINC000974890534 656239475 /nfs/dbraw/zinc/23/94/75/656239475.db2.gz BVNODWKXEZBGQP-INIZCTEOSA-N 0 1 315.461 3.140 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)nc2C(C)C)C(C)(C)C1 ZINC000974890534 656239476 /nfs/dbraw/zinc/23/94/76/656239476.db2.gz BVNODWKXEZBGQP-INIZCTEOSA-N 0 1 315.461 3.140 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000974999227 656247358 /nfs/dbraw/zinc/24/73/58/656247358.db2.gz UBGQCMMRZLWSOE-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000974999227 656247360 /nfs/dbraw/zinc/24/73/60/656247360.db2.gz UBGQCMMRZLWSOE-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2c(F)ccc(C)c2F)C(C)(C)C1 ZINC000974998219 656247630 /nfs/dbraw/zinc/24/76/30/656247630.db2.gz UFIAGHGFWVKMSC-AWEZNQCLSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)ccc(C)c2F)C(C)(C)C1 ZINC000974998219 656247633 /nfs/dbraw/zinc/24/76/33/656247633.db2.gz UFIAGHGFWVKMSC-AWEZNQCLSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CC[N@H+]1C[C@H](NC(=O)Cc2ccc(F)cc2Cl)C(C)(C)C1 ZINC000974990991 656248549 /nfs/dbraw/zinc/24/85/49/656248549.db2.gz AHUJVZVXJLNBGB-HNNXBMFYSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@H](F)CC)CC2(C)C)CC1 ZINC000975008930 656249937 /nfs/dbraw/zinc/24/99/37/656249937.db2.gz FSUJCXZUKXIGTM-HZPDHXFCSA-N 0 1 310.457 3.308 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C(C)(C)C1 ZINC000977246539 656318318 /nfs/dbraw/zinc/31/83/18/656318318.db2.gz BYVDMNRTNVEALU-LFDOHDQPSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2scnc2C(C)C)C(C)(C)C1 ZINC000977270980 656319888 /nfs/dbraw/zinc/31/98/88/656319888.db2.gz CZLYAAMIFBSIKC-ZDUSSCGKSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C(C)(C)C1 ZINC000977326975 656322834 /nfs/dbraw/zinc/32/28/34/656322834.db2.gz MRABRFWJINHTKM-IBGZPJMESA-N 0 1 322.452 3.110 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)c(CC)o2)C(C)(C)C1 ZINC000977444000 656330319 /nfs/dbraw/zinc/33/03/19/656330319.db2.gz LZUQUJJPNDXKJQ-MRXNPFEDSA-N 0 1 304.434 3.167 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)C(C)(C)C1 ZINC000977502348 656337291 /nfs/dbraw/zinc/33/72/91/656337291.db2.gz UHKCDXUCOVMXBU-MRXNPFEDSA-N 0 1 304.434 3.031 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3ccccc32)C(C)(C)C1 ZINC000977553763 656342243 /nfs/dbraw/zinc/34/22/43/656342243.db2.gz PUPQFAMWOPSCEH-GOSISDBHSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(CC)c(C)s2)C(C)(C)C1 ZINC000977570967 656346306 /nfs/dbraw/zinc/34/63/06/656346306.db2.gz ASBBTCJJPPTLHS-OAHLLOKOSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)c3occc32)C(C)(C)C1 ZINC000977620140 656349391 /nfs/dbraw/zinc/34/93/91/656349391.db2.gz VWOCTRFDKIAFIA-HNNXBMFYSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977646682 656352356 /nfs/dbraw/zinc/35/23/56/656352356.db2.gz VIWCQINZRMWVDL-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2CCC(C)(C)CC2)C(C)(C)C1 ZINC000977667201 656354978 /nfs/dbraw/zinc/35/49/78/656354978.db2.gz ACVVUCRHWOCQRZ-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN N#Cc1ccccc1CN1CCC[C@H](NC(=O)C2=CCCC2)CC1 ZINC001052305059 656426848 /nfs/dbraw/zinc/42/68/48/656426848.db2.gz RKOQUPFFIJNQDG-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2CC3(CCC3)C2)CC1 ZINC001052631371 656451107 /nfs/dbraw/zinc/45/11/07/656451107.db2.gz SUIZGJJYIQDPLE-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1scc(C)c1Cl ZINC001027905244 656474252 /nfs/dbraw/zinc/47/42/52/656474252.db2.gz VJBIZKOYTYFTCL-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)c2ccc(-c3cccs3)o2)C1 ZINC000980294104 656480452 /nfs/dbraw/zinc/48/04/52/656480452.db2.gz VDWTZGXBNABVKR-ZDUSSCGKSA-N 0 1 316.426 3.340 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(F)cc1F ZINC001039392561 656492244 /nfs/dbraw/zinc/49/22/44/656492244.db2.gz UURZIOLIBWXBLW-KGLIPLIRSA-N 0 1 320.383 3.138 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C1CCCC1 ZINC001039387567 656492307 /nfs/dbraw/zinc/49/23/07/656492307.db2.gz XWAKQMISFCRGEO-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CCCC)cc1 ZINC001039402303 656493973 /nfs/dbraw/zinc/49/39/73/656493973.db2.gz QWCLTHUKXAJMQA-UXHICEINSA-N 0 1 324.468 3.341 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001039404796 656495149 /nfs/dbraw/zinc/49/51/49/656495149.db2.gz VGLJUPXISCQNOX-AABGKKOBSA-N 0 1 324.468 3.432 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)CCCCC1 ZINC001039429706 656500054 /nfs/dbraw/zinc/50/00/54/656500054.db2.gz YMWPLPICTUDYBK-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccccc2c1 ZINC001039443185 656502315 /nfs/dbraw/zinc/50/23/15/656502315.db2.gz LHHTVRJCJOJMIG-MSOLQXFVSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1cccs1 ZINC001039446157 656504234 /nfs/dbraw/zinc/50/42/34/656504234.db2.gz VJEOFGJLLUHHLG-OAGGEKHMSA-N 0 1 318.486 3.178 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C2CCC2)c1 ZINC001039458654 656506202 /nfs/dbraw/zinc/50/62/02/656506202.db2.gz IHPPUQPMZUMVEO-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cocc1C)C2 ZINC001048552311 656510427 /nfs/dbraw/zinc/51/04/27/656510427.db2.gz KZXFLMBRNDYOMB-QDMKHBRRSA-N 0 1 322.836 3.313 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccccc1C ZINC001039473401 656510674 /nfs/dbraw/zinc/51/06/74/656510674.db2.gz KZCITNDZNKOWEO-KURKYZTESA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C2CC2)c1 ZINC001039475305 656511320 /nfs/dbraw/zinc/51/13/20/656511320.db2.gz NYUUFUCCJFMCSK-MOPGFXCFSA-N 0 1 310.441 3.429 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1C[C@@H]1C(C)C)C2 ZINC001048578552 656517340 /nfs/dbraw/zinc/51/73/40/656517340.db2.gz RBPJEWZZDPZBSJ-ZHCJQAHYSA-N 0 1 324.896 3.390 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCCC4(CC4)C3)C[C@@H]2C1 ZINC001048818667 656540275 /nfs/dbraw/zinc/54/02/75/656540275.db2.gz SBQRWKNONCGRRB-HRCADAONSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@]3(C)CCC[C@@H]3CC)C[C@@H]2C1 ZINC001048892350 656545041 /nfs/dbraw/zinc/54/50/41/656545041.db2.gz YUUJRPHQMMKPEL-DFGXFYAUSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3cc(C)sc3C)C[C@@H]2C1 ZINC001048923374 656546888 /nfs/dbraw/zinc/54/68/88/656546888.db2.gz TVDMTGRXIFLLIK-OKILXGFUSA-N 0 1 324.877 3.121 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCCC[C@H]3CC)C[C@@H]2C1 ZINC001049141279 656564271 /nfs/dbraw/zinc/56/42/71/656564271.db2.gz MFOUOTKRYNXOPQ-NCOADZHNSA-N 0 1 324.896 3.346 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c(C)c(F)ccc1Cl ZINC001027980591 656566584 /nfs/dbraw/zinc/56/65/84/656566584.db2.gz XCKKOCCAMGZYFW-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(CC)CCCCC3)C[C@@H]2C1 ZINC001049230519 656575019 /nfs/dbraw/zinc/57/50/19/656575019.db2.gz YFXUKJBYONMHAL-IYBDPMFKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@]3(C2)CCCN(CCF)C3)CC1 ZINC001040370208 656582510 /nfs/dbraw/zinc/58/25/10/656582510.db2.gz ZBUVCKIODVCKCZ-GOSISDBHSA-N 0 1 308.441 3.017 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001049290964 656591977 /nfs/dbraw/zinc/59/19/77/656591977.db2.gz HATPSWSHIRYEIH-RTBURBONSA-N 0 1 323.440 3.090 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049292445 656593115 /nfs/dbraw/zinc/59/31/15/656593115.db2.gz NZBXCXOQRTWPNK-PMACEKPBSA-N 0 1 322.452 3.070 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2occc2c1 ZINC001049491212 656629403 /nfs/dbraw/zinc/62/94/03/656629403.db2.gz MNKALVFMPUKYHY-MSOLQXFVSA-N 0 1 322.408 3.135 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1c(C)oc2ccccc21 ZINC001049496669 656631397 /nfs/dbraw/zinc/63/13/97/656631397.db2.gz CWFJNSJEIGCXLY-SJORKVTESA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1C(C)(C)C ZINC001049544932 656637814 /nfs/dbraw/zinc/63/78/14/656637814.db2.gz QJKIEEVQFIDGSL-OALUTQOASA-N 0 1 324.468 3.296 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2cscc2s1 ZINC001028034976 656638231 /nfs/dbraw/zinc/63/82/31/656638231.db2.gz UYUOTAKQQTZGES-LBPRGKRZSA-N 0 1 306.456 3.343 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)sc1C ZINC001049574992 656643292 /nfs/dbraw/zinc/64/32/92/656643292.db2.gz FGTXMBXOOKUYQU-DLBZAZTESA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)ccc1C1CC1 ZINC001049612819 656649885 /nfs/dbraw/zinc/64/98/85/656649885.db2.gz CMXPOKVTPZHFTA-PMACEKPBSA-N 0 1 322.452 3.185 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3cccc(Cl)c3)CC2)CC1 ZINC000981283436 656669504 /nfs/dbraw/zinc/66/95/04/656669504.db2.gz WOOOGNMSWVEIBG-UHFFFAOYSA-N 0 1 318.848 3.092 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCC[C@H](NCc3cscn3)C2)C1 ZINC000981324295 656677850 /nfs/dbraw/zinc/67/78/50/656677850.db2.gz UZHHIMAUJFWYQD-UONOGXRCSA-N 0 1 319.474 3.016 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2cc3ccncc3s2)CC1 ZINC000981336839 656680003 /nfs/dbraw/zinc/68/00/03/656680003.db2.gz CSORNRGCBLUIPE-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2coc3c2cccc3C)CC1 ZINC000981343217 656681792 /nfs/dbraw/zinc/68/17/92/656681792.db2.gz WLDHADOYIMTULM-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)CC1CCCCC1 ZINC001049761811 656681954 /nfs/dbraw/zinc/68/19/54/656681954.db2.gz LYYUPGRWQYQXJG-YTQUADARSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc(C(C)(C)C)c1 ZINC001049792075 656687650 /nfs/dbraw/zinc/68/76/50/656687650.db2.gz FPGXEAZMWUXGLB-RBUKOAKNSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C2CCCCC2)CC1 ZINC001049868755 656705428 /nfs/dbraw/zinc/70/54/28/656705428.db2.gz XEOMYVPSZDTTGR-ZWKOTPCHSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(CC)c(C)s1 ZINC001049886321 656714154 /nfs/dbraw/zinc/71/41/54/656714154.db2.gz SRSWKLYFEGXECI-HZPDHXFCSA-N 0 1 318.486 3.484 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912928 656720280 /nfs/dbraw/zinc/72/02/80/656720280.db2.gz QWXMWBWWFIRKSZ-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2cc(C)ccc2o1 ZINC001049913861 656720699 /nfs/dbraw/zinc/72/06/99/656720699.db2.gz IUCYFZJXQFYVCF-SJORKVTESA-N 0 1 322.408 3.053 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912960 656720889 /nfs/dbraw/zinc/72/08/89/656720889.db2.gz RKFZTYAJJQKJTF-ZWKOTPCHSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2cc(C)ccc2o1 ZINC001049913860 656721251 /nfs/dbraw/zinc/72/12/51/656721251.db2.gz IUCYFZJXQFYVCF-IRXDYDNUSA-N 0 1 322.408 3.053 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2ccc(CC(C)C)cc2)CC1 ZINC000981573177 656723756 /nfs/dbraw/zinc/72/37/56/656723756.db2.gz DKGGYGFMHMZIEJ-UHFFFAOYSA-N 0 1 314.473 3.148 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(CC)s3)C[C@H]21 ZINC001042138129 656725278 /nfs/dbraw/zinc/72/52/78/656725278.db2.gz LFDQFLLLTBPLNX-UKRRQHHQSA-N 0 1 304.459 3.033 20 30 DGEDMN N#CC1(CCCCN2CCN(c3ccccc3O)CC2)CCC1 ZINC000929241440 661290749 /nfs/dbraw/zinc/29/07/49/661290749.db2.gz APVVZNIANKGZTE-UHFFFAOYSA-N 0 1 313.445 3.378 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001049979981 656739891 /nfs/dbraw/zinc/73/98/91/656739891.db2.gz XDSRIMGROWWAMF-YZGWKJHDSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2CCC(=C)CC2)CC1 ZINC001052682831 656747933 /nfs/dbraw/zinc/74/79/33/656747933.db2.gz ZUPJXVCXOIVNTK-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)Cc2cc3ccccc3o2)CC1 ZINC000981721720 656754790 /nfs/dbraw/zinc/75/47/90/656754790.db2.gz LHIHKDBBLYBOKK-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCC[N@@H+](CC3=CCCCC3)CC2)cc1 ZINC000981732495 656755270 /nfs/dbraw/zinc/75/52/70/656755270.db2.gz YAWDJEWGONADLB-UHFFFAOYSA-N 0 1 322.452 3.316 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@@H+](Cc2ccc(CF)cc2)CC1 ZINC000981751831 656757674 /nfs/dbraw/zinc/75/76/74/656757674.db2.gz YMQLQPJEBGZCLA-UHFFFAOYSA-N 0 1 318.436 3.403 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2ccc(CF)cc2)CC1 ZINC000981751831 656757678 /nfs/dbraw/zinc/75/76/78/656757678.db2.gz YMQLQPJEBGZCLA-UHFFFAOYSA-N 0 1 318.436 3.403 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(CC(C)C)cc3)C[C@@H]21 ZINC001042347794 656762401 /nfs/dbraw/zinc/76/24/01/656762401.db2.gz BXQQDNCGQDCXMA-QUCCMNQESA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2(C)CC(F)(F)C2)CC1 ZINC001052855591 656777966 /nfs/dbraw/zinc/77/79/66/656777966.db2.gz RVKJSQSMFCTEDS-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CC[N@H+]1CCCN(C(=O)c2ccoc2C2CC2)CC1 ZINC000981824030 656778581 /nfs/dbraw/zinc/77/85/81/656778581.db2.gz AJYYMQGRNUHYKO-UHFFFAOYSA-N 0 1 302.418 3.271 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(CF)cc2)CC1 ZINC001052964826 656799007 /nfs/dbraw/zinc/79/90/07/656799007.db2.gz KGJVUWPFARZUMI-MRXNPFEDSA-N 0 1 324.827 3.493 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@]2(C)[C@H]3Cc4ccccc4[C@H]32)C1 ZINC001043166485 656816999 /nfs/dbraw/zinc/81/69/99/656816999.db2.gz ZUBLXJJFVROALG-QKNQBKEWSA-N 0 1 324.468 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCN(C(=O)C(C)C)C2)C1 ZINC001053011013 656817446 /nfs/dbraw/zinc/81/74/46/656817446.db2.gz IZLLDOXKFFTFGI-CVEARBPZSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccccc1O[C@@H](C)CC ZINC001028171797 656832466 /nfs/dbraw/zinc/83/24/66/656832466.db2.gz JGHGDKNKKAESHD-HOTGVXAUSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1scnc1C(C)(C)C ZINC001028188911 656850420 /nfs/dbraw/zinc/85/04/20/656850420.db2.gz MLVKJLLICMJRDJ-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccccc2O[C@H](C)CC)CC1 ZINC000982046787 656875382 /nfs/dbraw/zinc/87/53/82/656875382.db2.gz HBBDTBLMOVUWFL-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)CC3CCCC3)C2)C1 ZINC000982050979 656875866 /nfs/dbraw/zinc/87/58/66/656875866.db2.gz MAFCEBSTAYRUTC-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)Cc2cccs2)CC1 ZINC000982056646 656877774 /nfs/dbraw/zinc/87/77/74/656877774.db2.gz NHJFONKJKHEJIR-OAHLLOKOSA-N 0 1 306.475 3.037 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2coc3ccccc23)C1 ZINC001044089454 656879893 /nfs/dbraw/zinc/87/98/93/656879893.db2.gz KMLKVCLKWJWYKB-UHFFFAOYSA-N 0 1 312.413 3.084 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1ccco1 ZINC001054028918 656882031 /nfs/dbraw/zinc/88/20/31/656882031.db2.gz AEPUGDLEKUWPON-KRWDZBQOSA-N 0 1 310.397 3.012 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCCC[C@@H]3CC)C2)C1 ZINC000982099046 656893747 /nfs/dbraw/zinc/89/37/47/656893747.db2.gz VRRPRHJGSOKGTE-ROUUACIJSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CC(C)(C)C)C2 ZINC001054081501 656905608 /nfs/dbraw/zinc/90/56/08/656905608.db2.gz UBOYGWDGYXJJAN-QGZVFWFLSA-N 0 1 312.885 3.490 20 30 DGEDMN CC(C)C(=O)N1CCC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001054089780 656909157 /nfs/dbraw/zinc/90/91/57/656909157.db2.gz OKCKDPSICXPXTP-OAQYLSRUSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CC1CCC1)C2 ZINC001054109360 656911644 /nfs/dbraw/zinc/91/16/44/656911644.db2.gz LWJPZHBVKLJYJI-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2cc(C)oc2C)CC1 ZINC000982222352 656920257 /nfs/dbraw/zinc/92/02/57/656920257.db2.gz RUDCNMIHZORTKO-HNNXBMFYSA-N 0 1 304.434 3.110 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H](F)C(C)C)C2 ZINC001054180199 656926451 /nfs/dbraw/zinc/92/64/51/656926451.db2.gz OMVMFHYSLIBSNV-GDBMZVCRSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H](C)C(C)C)C2 ZINC001054206902 656938675 /nfs/dbraw/zinc/93/86/75/656938675.db2.gz OGSLZCSQCWOSRX-RDJZCZTQSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3sccc3C)cc2C1 ZINC001054251066 656949854 /nfs/dbraw/zinc/94/98/54/656949854.db2.gz QCZLJPZTWYOXLE-UHFFFAOYSA-N 0 1 324.449 3.325 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCCC34CC4)cc2C1 ZINC001054269655 656955777 /nfs/dbraw/zinc/95/57/77/656955777.db2.gz JHEJKELVQHHGHW-LJQANCHMSA-N 0 1 322.452 3.222 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CC[C@H]4C[C@H]4C3)cc2C1 ZINC001054271714 656956979 /nfs/dbraw/zinc/95/69/79/656956979.db2.gz WGBAEQRTMANXIN-SCTDSRPQSA-N 0 1 322.452 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC[C@@H](C)C3)cc2C1 ZINC001054278895 656958881 /nfs/dbraw/zinc/95/88/81/656958881.db2.gz QMGCHHNFJYANOM-SJLPKXTDSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cccnc3C)cc2C1 ZINC001054279977 656960079 /nfs/dbraw/zinc/96/00/79/656960079.db2.gz URDXQENOVSUBAN-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC[C@@H]3CC)cc2C1 ZINC001054279427 656960252 /nfs/dbraw/zinc/96/02/52/656960252.db2.gz FPQIPROIKRCJEO-FXAWDEMLSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3CCC=CCC3)cc2C1 ZINC001054291644 656964451 /nfs/dbraw/zinc/96/44/51/656964451.db2.gz AJNNWMIVZOGKJX-UHFFFAOYSA-N 0 1 322.452 3.388 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001028283294 656969700 /nfs/dbraw/zinc/96/97/00/656969700.db2.gz BWNSYOHOISCYKL-CQSZACIVSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)c(F)cc1Cl ZINC001028287564 656972673 /nfs/dbraw/zinc/97/26/73/656972673.db2.gz OVIMMADVDAHFGT-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2c(s1)CC[C@H](C)C2 ZINC001028307235 656989134 /nfs/dbraw/zinc/98/91/34/656989134.db2.gz YLNPHCUOBJZRDG-DZGCQCFKSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001028324155 656992466 /nfs/dbraw/zinc/99/24/66/656992466.db2.gz MDDVTGWETNJIRK-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001028328765 656995277 /nfs/dbraw/zinc/99/52/77/656995277.db2.gz XNSBGCASARLJRE-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001045181962 656999254 /nfs/dbraw/zinc/99/92/54/656999254.db2.gz HSYBGLJLXCZFTO-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@H]3CCCC[C@H]3C)C[C@@]2(C)C1 ZINC000982492737 657015963 /nfs/dbraw/zinc/01/59/63/657015963.db2.gz VSXYKMWZCTXGFC-BWPNAZKDSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(C(C)C)n2)C[C@H]1C ZINC001054867388 657016781 /nfs/dbraw/zinc/01/67/81/657016781.db2.gz WLJIBFATJFXBCV-WBMJQRKESA-N 0 1 321.852 3.008 20 30 DGEDMN CC1(NC(=O)C2=CCCC2)CCN(CC#Cc2ccccc2)CC1 ZINC001045406457 657021117 /nfs/dbraw/zinc/02/11/17/657021117.db2.gz BISUTUBJUFBPIP-UHFFFAOYSA-N 0 1 322.452 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccn(C(C)C)c2C)C[C@H]1C ZINC001054998364 657031017 /nfs/dbraw/zinc/03/10/17/657031017.db2.gz ACMJGOLEJUWRIZ-WBMJQRKESA-N 0 1 323.868 3.180 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2CC)C[C@H]1C ZINC001055020970 657032776 /nfs/dbraw/zinc/03/27/76/657032776.db2.gz VYBOBTZWBAWBQT-WBMJQRKESA-N 0 1 306.837 3.052 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2[nH]c3ccc(C)cc3c2C)CC1 ZINC001045605849 657041506 /nfs/dbraw/zinc/04/15/06/657041506.db2.gz HJVVCESOYQCWDX-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001045635859 657046809 /nfs/dbraw/zinc/04/68/09/657046809.db2.gz WXCRRJBWASBWCB-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C/C=C(/C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001045747023 657060937 /nfs/dbraw/zinc/06/09/37/657060937.db2.gz GYLJKQNAMQFDLY-IUBOQSRQSA-N 0 1 323.440 3.090 20 30 DGEDMN CCC(=O)N1CCCC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001045902838 657081391 /nfs/dbraw/zinc/08/13/91/657081391.db2.gz GWQVCGXGICMPJY-NRFANRHFSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001000164902 657099983 /nfs/dbraw/zinc/09/99/83/657099983.db2.gz GEIHRFZTQPZQQM-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc3[nH]ccc32)C1 ZINC001000280630 657108257 /nfs/dbraw/zinc/10/82/57/657108257.db2.gz ZRWLWNDLGSDJSO-ZDUSSCGKSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](F)c2ccccc2)C1 ZINC001000328941 657114636 /nfs/dbraw/zinc/11/46/36/657114636.db2.gz LZMAAXBAUXVKDX-LSDHHAIUSA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001000719649 657145987 /nfs/dbraw/zinc/14/59/87/657145987.db2.gz ZUFHGWPRCCWPGT-HNNXBMFYSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(F)cc2C)C1 ZINC001000748418 657148641 /nfs/dbraw/zinc/14/86/41/657148641.db2.gz QFCFTMRQDQBMDY-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C)cc2C)C1 ZINC001000832269 657157041 /nfs/dbraw/zinc/15/70/41/657157041.db2.gz QIPWASJOWDBVDF-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc3c2CCCCC3)C1 ZINC001046401753 657157489 /nfs/dbraw/zinc/15/74/89/657157489.db2.gz COCLKEFLCKEAPA-OAQYLSRUSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)c2ccccc2)C1 ZINC001000873184 657161070 /nfs/dbraw/zinc/16/10/70/657161070.db2.gz QBIBNLHESHWBSY-INIZCTEOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001046443796 657161800 /nfs/dbraw/zinc/16/18/00/657161800.db2.gz KDGOCCAHCDODMX-QGZVFWFLSA-N 0 1 317.820 3.202 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccccc2CCC)CC1 ZINC001000930690 657164909 /nfs/dbraw/zinc/16/49/09/657164909.db2.gz BIVXFBOAOKMWPG-UHFFFAOYSA-N 0 1 310.441 3.024 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001046533547 657173906 /nfs/dbraw/zinc/17/39/06/657173906.db2.gz PRRLQXPCXDHYRK-SFHVURJKSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(CCC)cc2)CC1 ZINC001001108139 657184883 /nfs/dbraw/zinc/18/48/83/657184883.db2.gz ZVFIPASASXIWEX-UHFFFAOYSA-N 0 1 310.441 3.024 20 30 DGEDMN C=C1CCC(C(=O)NCC2=CCN(C[C@H](F)CC)CC2)CC1 ZINC001001117076 657187022 /nfs/dbraw/zinc/18/70/22/657187022.db2.gz VGZAXKYMSBQGRO-QGZVFWFLSA-N 0 1 308.441 3.229 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC001001288347 657203884 /nfs/dbraw/zinc/20/38/84/657203884.db2.gz NMRDYWVLIAWUDP-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001046720077 657208579 /nfs/dbraw/zinc/20/85/79/657208579.db2.gz SDONYLNODSCNQP-HXUWFJFHSA-N 0 1 312.457 3.202 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001046752921 657213303 /nfs/dbraw/zinc/21/33/03/657213303.db2.gz FBFWSDKBDSIOML-HNAYVOBHSA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2sccc2C2CC2)CC1 ZINC001001427847 657219060 /nfs/dbraw/zinc/21/90/60/657219060.db2.gz SVLGYEBIHWCIDK-UHFFFAOYSA-N 0 1 314.454 3.011 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2sccc2C(F)F)C1 ZINC001046804014 657223854 /nfs/dbraw/zinc/22/38/54/657223854.db2.gz BHXYFATZVRJLIQ-HNNXBMFYSA-N 0 1 314.401 3.456 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001046827442 657232297 /nfs/dbraw/zinc/23/22/97/657232297.db2.gz SBHXGGRKDGVOGT-SCLBCKFNSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001046830214 657232954 /nfs/dbraw/zinc/23/29/54/657232954.db2.gz PVMCXBIGBJMCBV-LJQANCHMSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001046830213 657233233 /nfs/dbraw/zinc/23/32/33/657233233.db2.gz PVMCXBIGBJMCBV-IBGZPJMESA-N 0 1 311.429 3.165 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c3ccccc3oc2CC)C1 ZINC001046854484 657236173 /nfs/dbraw/zinc/23/61/73/657236173.db2.gz PWCIBQFWHIHOER-HXUWFJFHSA-N 0 1 324.424 3.213 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001046889686 657243390 /nfs/dbraw/zinc/24/33/90/657243390.db2.gz MGXCFYQFRFUPAI-HXUWFJFHSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@@]23CCc2ccccc23)CC1 ZINC000983409232 657246255 /nfs/dbraw/zinc/24/62/55/657246255.db2.gz AZZBQCBLXWEOFT-PZJWPPBQSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCC2(C)C)C1 ZINC001046963785 657247151 /nfs/dbraw/zinc/24/71/51/657247151.db2.gz JUQKRLHDXYFWMG-KBPBESRZSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2c(C)cccc2C)C1 ZINC001046973780 657249053 /nfs/dbraw/zinc/24/90/53/657249053.db2.gz VQPCQANDDZHIFO-OAHLLOKOSA-N 0 1 322.399 3.176 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]3CN(C[C@@H](F)CC)C[C@H]32)CC1 ZINC001050131503 657256109 /nfs/dbraw/zinc/25/61/09/657256109.db2.gz XUZLIUQUXOMHPM-OKZBNKHCSA-N 0 1 322.468 3.404 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)CC3CC3)C2)CC1 ZINC001047215559 657279384 /nfs/dbraw/zinc/27/93/84/657279384.db2.gz MJUAOPRAECKXMC-KRWDZBQOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001047249975 657286814 /nfs/dbraw/zinc/28/68/14/657286814.db2.gz YDYXTTOAWIWEQD-JQFCIGGWSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC[C@@H]3CC)C2)CC1 ZINC001050651230 657338203 /nfs/dbraw/zinc/33/82/03/657338203.db2.gz KAWALCCLRKTWEY-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@]34C[C@@H]3CCCC4)C2)CC1 ZINC001050657783 657339677 /nfs/dbraw/zinc/33/96/77/657339677.db2.gz RHXUTBVIKKFSDZ-YJBOKZPZSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@]34C[C@H]3CCCC4)C2)CC1 ZINC001050657775 657339803 /nfs/dbraw/zinc/33/98/03/657339803.db2.gz RHXUTBVIKKFSDZ-CRAIPNDOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@@]3(C)C(C)C)C2)CC1 ZINC001050715764 657347192 /nfs/dbraw/zinc/34/71/92/657347192.db2.gz UMKOFSRFYPUXBH-RDJZCZTQSA-N 0 1 324.896 3.346 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3=CCCCCC3)cccc2C1 ZINC001051286512 657382139 /nfs/dbraw/zinc/38/21/39/657382139.db2.gz JBYWXRWCVUZUQJ-UHFFFAOYSA-N 0 1 322.452 3.185 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccoc3CC)cccc2C1 ZINC001051288190 657382804 /nfs/dbraw/zinc/38/28/04/657382804.db2.gz NGNLENZQBKTFCT-UHFFFAOYSA-N 0 1 324.424 3.316 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CCC3(C)C)cccc2C1 ZINC001051298294 657383760 /nfs/dbraw/zinc/38/37/60/657383760.db2.gz KFYBLGKFGPTUIS-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCC[C@H](C)C3)cccc2C1 ZINC001051298578 657383812 /nfs/dbraw/zinc/38/38/12/657383812.db2.gz XORBUCYRPIABEF-DLBZAZTESA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353966 657391978 /nfs/dbraw/zinc/39/19/78/657391978.db2.gz KURRAIZUMRFVPN-BXUZGUMPSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(OCC)c(C)c2)C1 ZINC000968372518 657398338 /nfs/dbraw/zinc/39/83/38/657398338.db2.gz ABQRUWXJXZNSQB-YOEHRIQHSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2sc(C)cc2OC)C1 ZINC000968387122 657402669 /nfs/dbraw/zinc/40/26/69/657402669.db2.gz VLFKWZDZDPYKFW-TZMCWYRMSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2scnc2C2CC2)C1 ZINC000968400256 657404438 /nfs/dbraw/zinc/40/44/38/657404438.db2.gz ODCJKRWSQJFMFZ-OCCSQVGLSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2sc(CC)nc2C)C1 ZINC000968404876 657407552 /nfs/dbraw/zinc/40/75/52/657407552.db2.gz HIWUPHYSLDUMBH-OCCSQVGLSA-N 0 1 321.490 3.030 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC000968413954 657409005 /nfs/dbraw/zinc/40/90/05/657409005.db2.gz NSGCJSVKQWEBQE-KBPBESRZSA-N 0 1 321.490 3.283 20 30 DGEDMN COc1ccc(CNCc2cccc(OCCCC#N)c2)cn1 ZINC000237244116 657415403 /nfs/dbraw/zinc/41/54/03/657415403.db2.gz CCWLXPOZFSPMLO-UHFFFAOYSA-N 0 1 311.385 3.063 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2oc(CC)cc2C)C1 ZINC000968549334 657424360 /nfs/dbraw/zinc/42/43/60/657424360.db2.gz POASRNOAQMIJGT-BBRMVZONSA-N 0 1 304.434 3.167 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548027 657424377 /nfs/dbraw/zinc/42/43/77/657424377.db2.gz CHRWFRALWAGGNZ-UKRRQHHQSA-N 0 1 304.434 3.031 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC000968565115 657427473 /nfs/dbraw/zinc/42/74/73/657427473.db2.gz DPXYPPNIJNCGKU-WBVHZDCISA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968582611 657433089 /nfs/dbraw/zinc/43/30/89/657433089.db2.gz AOMGOBGRUQMRLK-LPHOPBHVSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968592013 657435334 /nfs/dbraw/zinc/43/53/34/657435334.db2.gz JHBAQPSMVFHLPA-WCQYABFASA-N 0 1 324.877 3.173 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607106 657440663 /nfs/dbraw/zinc/44/06/63/657440663.db2.gz OUXJGABCBHSGOY-HNAYVOBHSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607106 657440668 /nfs/dbraw/zinc/44/06/68/657440668.db2.gz OUXJGABCBHSGOY-HNAYVOBHSA-N 0 1 308.425 3.466 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(C)c2)C1 ZINC000968607421 657440798 /nfs/dbraw/zinc/44/07/98/657440798.db2.gz TZQQJRSBOXZITM-MAUKXSAKSA-N 0 1 300.446 3.320 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc3[nH]c(C)c(C)c3c2)CC1 ZINC000957615616 657441353 /nfs/dbraw/zinc/44/13/53/657441353.db2.gz WXJQBNNJLLRFCX-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622955 657446655 /nfs/dbraw/zinc/44/66/55/657446655.db2.gz DAKUQVVDRKZAFY-DOMZBBRYSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622955 657446657 /nfs/dbraw/zinc/44/66/57/657446657.db2.gz DAKUQVVDRKZAFY-DOMZBBRYSA-N 0 1 306.475 3.381 20 30 DGEDMN Fc1ccccc1CON=Cc1ccc(N2CCOCC2)cc1 ZINC000250084136 657447896 /nfs/dbraw/zinc/44/78/96/657447896.db2.gz NMTUJIBBMLKQTC-UHFFFAOYSA-N 0 1 314.360 3.213 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968681628 657456513 /nfs/dbraw/zinc/45/65/13/657456513.db2.gz KUNDDGOYRNRUHR-HOCLYGCPSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2c(C)cccc2F)C1 ZINC000968695036 657462493 /nfs/dbraw/zinc/46/24/93/657462493.db2.gz GFQJLKVHBSFWRD-CZUORRHYSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)CC1 ZINC000957853326 657469917 /nfs/dbraw/zinc/46/99/17/657469917.db2.gz VZBJGBWCXWRVSB-ZWKOTPCHSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)Cc2ccc(F)cc2)CC1 ZINC000957879482 657470819 /nfs/dbraw/zinc/47/08/19/657470819.db2.gz DMPLGTUNKPKUMH-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2cccc(F)c2F)CC1 ZINC000957923878 657472975 /nfs/dbraw/zinc/47/29/75/657472975.db2.gz JVLDCDCNANXOPW-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(CC)c(CC)c2)CC1 ZINC000957979837 657475916 /nfs/dbraw/zinc/47/59/16/657475916.db2.gz FVTAIBOZQKDAER-UHFFFAOYSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC000968794970 657488235 /nfs/dbraw/zinc/48/82/35/657488235.db2.gz AAZGSEWFGOLBFV-CQSZACIVSA-N 0 1 324.827 3.295 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC000968870774 657506499 /nfs/dbraw/zinc/50/64/99/657506499.db2.gz BSAAOMUQMVZMEA-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1sc(C)cc1C ZINC000968876925 657508384 /nfs/dbraw/zinc/50/83/84/657508384.db2.gz KGOCHXIOQMSJNZ-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1c(C)noc1C(C)C ZINC000968896578 657511302 /nfs/dbraw/zinc/51/13/02/657511302.db2.gz VJCZCCUPQWIRSK-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1CCCCCCC1 ZINC000968944225 657520152 /nfs/dbraw/zinc/52/01/52/657520152.db2.gz HXIBXOHUHAVTGX-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000969044300 657541732 /nfs/dbraw/zinc/54/17/32/657541732.db2.gz MEKPFXYYCWEMNU-YRXWBPOGSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001007347098 657596387 /nfs/dbraw/zinc/59/63/87/657596387.db2.gz QGHHOHQDRXDGSB-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001007395410 657604424 /nfs/dbraw/zinc/60/44/24/657604424.db2.gz HZJBSOOWABENPF-KRWDZBQOSA-N 0 1 316.445 3.082 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001007479858 657612480 /nfs/dbraw/zinc/61/24/80/657612480.db2.gz AVSXVYSOBLMRLI-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(C)c(C)o2)CC1 ZINC000985377081 657615220 /nfs/dbraw/zinc/61/52/20/657615220.db2.gz NHQIXEBLGGUUMA-UHFFFAOYSA-N 0 1 310.825 3.185 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC001007866212 657643330 /nfs/dbraw/zinc/64/33/30/657643330.db2.gz CLVVJODBSDYGKI-KRWDZBQOSA-N 0 1 320.436 3.319 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001007950573 657647498 /nfs/dbraw/zinc/64/74/98/657647498.db2.gz LVAHVKWAKHGYSJ-UONOGXRCSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001008011989 657653136 /nfs/dbraw/zinc/65/31/36/657653136.db2.gz YEWDPZGKAQOOAE-WSTZPKSXSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC000985449629 657677495 /nfs/dbraw/zinc/67/74/95/657677495.db2.gz AYWBCCITRUTBHF-UKRRQHHQSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC001008341530 657683793 /nfs/dbraw/zinc/68/37/93/657683793.db2.gz JHGWAQYAEGHFQL-YTQUADARSA-N 0 1 312.457 3.119 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC000985550365 657745512 /nfs/dbraw/zinc/74/55/12/657745512.db2.gz KHAHNHLMDWAIBT-XOIINTDNSA-N 0 1 310.869 3.098 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001009168835 657753416 /nfs/dbraw/zinc/75/34/16/657753416.db2.gz RAAKPSIOGTUOIN-INIZCTEOSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)c2ccc(C)s2)C1 ZINC001009196798 657755347 /nfs/dbraw/zinc/75/53/47/657755347.db2.gz SHUTZLSQOXHYEO-HUUCEWRRSA-N 0 1 306.475 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(C)cccn3c2)C1 ZINC001009191034 657755691 /nfs/dbraw/zinc/75/56/91/657755691.db2.gz URNRNEGPIFBPDF-QGZVFWFLSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(N(C)[C@H](C)c2ncc(C)o2)CC1 ZINC000985575446 657766777 /nfs/dbraw/zinc/76/67/77/657766777.db2.gz YZQRMNGHFMDAJH-CQSZACIVSA-N 0 1 319.449 3.179 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cc2cccc(C)c2)CC1 ZINC000985618388 657785947 /nfs/dbraw/zinc/78/59/47/657785947.db2.gz TVOYIIYIEDBMON-UHFFFAOYSA-N 0 1 320.864 3.213 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(CC(C)C)n2)C1 ZINC001009719750 657811937 /nfs/dbraw/zinc/81/19/37/657811937.db2.gz XJDMOADCIJTNOI-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccc1C(C)(C)CC ZINC000960464177 657822187 /nfs/dbraw/zinc/82/21/87/657822187.db2.gz NYAVYRQUUPJUPB-DZFIZOCASA-N 0 1 324.468 3.058 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@H]2C2CCCC2)CC1 ZINC000985736058 657827263 /nfs/dbraw/zinc/82/72/63/657827263.db2.gz QUAAJMKIVNSMBK-DLBZAZTESA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C)(CC)CC)CC1 ZINC000985798934 657859605 /nfs/dbraw/zinc/85/96/05/657859605.db2.gz KDYUNZGRYOYMGA-UHFFFAOYSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Cl)C[N@H+](C)C1CCN(C(=O)c2cccn2C(C)C)CC1 ZINC000985798100 657859838 /nfs/dbraw/zinc/85/98/38/657859838.db2.gz WIBSPABHUGZUFG-UHFFFAOYSA-N 0 1 323.868 3.358 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cccn2C(C)C)CC1 ZINC000985798100 657859849 /nfs/dbraw/zinc/85/98/49/657859849.db2.gz WIBSPABHUGZUFG-UHFFFAOYSA-N 0 1 323.868 3.358 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1cnc(C)s1 ZINC000960793158 657876116 /nfs/dbraw/zinc/87/61/16/657876116.db2.gz YUOPPTMWLFMIJL-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C=C(C)C)C2)C1 ZINC000961106666 657950732 /nfs/dbraw/zinc/95/07/32/657950732.db2.gz CUPSVSKXBVVYNX-HZPDHXFCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C2CCC2)C1 ZINC001029917508 657995489 /nfs/dbraw/zinc/99/54/89/657995489.db2.gz MBYCVEYBUWSQQG-IBGZPJMESA-N 0 1 312.457 3.466 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001014479714 658019878 /nfs/dbraw/zinc/01/98/78/658019878.db2.gz TXQSQWJJDHQVMM-NSHDSACASA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2Cl)[C@H]1C ZINC000986304249 658035097 /nfs/dbraw/zinc/03/50/97/658035097.db2.gz SDMKJAXAPUATHE-GXSJLCMTSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sc(C)cc2C)[C@@H]1C ZINC000986332058 658038972 /nfs/dbraw/zinc/03/89/72/658038972.db2.gz FKRYIUKSQBDZOT-CHWSQXEVSA-N 0 1 312.866 3.310 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001014860454 658056449 /nfs/dbraw/zinc/05/64/49/658056449.db2.gz RYSDBQPWQSAQSG-QGZVFWFLSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c3ccccc23)[C@@H]1C ZINC000986501704 658057063 /nfs/dbraw/zinc/05/70/63/658057063.db2.gz RCWVKAMHXXGWGL-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)oc(C)c2C)[C@@H]1C ZINC000986512784 658058896 /nfs/dbraw/zinc/05/88/96/658058896.db2.gz BVNGJTQWBMOLRT-BXUZGUMPSA-N 0 1 310.825 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)c(F)c2)[C@H]1C ZINC000986520329 658059723 /nfs/dbraw/zinc/05/97/23/658059723.db2.gz OPYDDELAJIMVAO-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2cc3cccc(C)c3s2)C1 ZINC001014986225 658075097 /nfs/dbraw/zinc/07/50/97/658075097.db2.gz BZCPRLJRMZCXEP-HNNXBMFYSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001015090915 658083047 /nfs/dbraw/zinc/08/30/47/658083047.db2.gz SIVGANXHSBVEAM-NSHDSACASA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccn(C(C)C)c2C)[C@@H]1C ZINC000986694428 658089951 /nfs/dbraw/zinc/08/99/51/658089951.db2.gz PDWKZRRNVQFXRW-ZBFHGGJFSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001015338926 658112340 /nfs/dbraw/zinc/11/23/40/658112340.db2.gz BINSOFGMEKDXCU-HNNXBMFYSA-N 0 1 314.429 3.415 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001015347499 658113792 /nfs/dbraw/zinc/11/37/92/658113792.db2.gz CGHWZMXHZYQYHM-AWEZNQCLSA-N 0 1 300.402 3.025 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001015371337 658115902 /nfs/dbraw/zinc/11/59/02/658115902.db2.gz CCPPNVLTBUWZSJ-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=Cc1ccc(C(=O)N2CC[C@@H](NCC(=C)Cl)[C@H]2C)cc1 ZINC000987041347 658143036 /nfs/dbraw/zinc/14/30/36/658143036.db2.gz PSSWGEDUYGFHEN-CZUORRHYSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)ccc2F)[C@@H]1C ZINC000987059820 658150327 /nfs/dbraw/zinc/15/03/27/658150327.db2.gz SUIPERMSVZBKQU-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(Cc3csc(C)c3)C2)CC1 ZINC001015659953 658158848 /nfs/dbraw/zinc/15/88/48/658158848.db2.gz OVQKYEIKNHOMMI-QGZVFWFLSA-N 0 1 318.486 3.493 20 30 DGEDMN C=C(Cl)C[N@H+]1CCC[C@H]1[C@@H]1CCCN(C(=O)CC2CC2)C1 ZINC000963249172 658169400 /nfs/dbraw/zinc/16/94/00/658169400.db2.gz VDPULNOMQUHMDR-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(CC(C)C)c[nH]2)[C@H]1C ZINC000987365087 658194295 /nfs/dbraw/zinc/19/42/95/658194295.db2.gz GXIOAZLQSLWGGP-DZGCQCFKSA-N 0 1 323.868 3.158 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(CC(C)C)c[nH]2)[C@@H]1C ZINC000987365089 658194403 /nfs/dbraw/zinc/19/44/03/658194403.db2.gz GXIOAZLQSLWGGP-HIFRSBDPSA-N 0 1 323.868 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(CC)c(CC)o2)[C@H]1C ZINC000987359912 658195441 /nfs/dbraw/zinc/19/54/41/658195441.db2.gz RFBGHVSRPFHLOR-GXTWGEPZSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccccc2OCC(C)C)C1 ZINC001015863064 658196676 /nfs/dbraw/zinc/19/66/76/658196676.db2.gz UGMCWCPTBMIQKC-INIZCTEOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(F)F)o2)[C@H]1C ZINC000987428343 658209048 /nfs/dbraw/zinc/20/90/48/658209048.db2.gz NTIPSOWCFWGHCQ-VHSXEESVSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001015958097 658210959 /nfs/dbraw/zinc/21/09/59/658210959.db2.gz IFBBTLXHZFVNCK-GOSISDBHSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(C2CC2)C2CC2)[C@@H]1C ZINC000987584738 658236942 /nfs/dbraw/zinc/23/69/42/658236942.db2.gz KGXJLAXRKJMHCQ-WBMJQRKESA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3c2CCC3)[C@H]1C ZINC000987595958 658239928 /nfs/dbraw/zinc/23/99/28/658239928.db2.gz SIJRWGDUNPZUKW-SUMWQHHRSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001016425960 658280078 /nfs/dbraw/zinc/28/00/78/658280078.db2.gz CJTMGJIXLLCRSN-KRWDZBQOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@@H]2CC=CCC2)CC1 ZINC001016768213 658325742 /nfs/dbraw/zinc/32/57/42/658325742.db2.gz DXJWWVHKFVWVPK-CVEARBPZSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@H]2CC=CCC2)CC1 ZINC001016768218 658326332 /nfs/dbraw/zinc/32/63/32/658326332.db2.gz DXJWWVHKFVWVPK-JKSUJKDBSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@]2(C)C=CCC2)CC1 ZINC001016776513 658327671 /nfs/dbraw/zinc/32/76/71/658327671.db2.gz WRRBPIJTFCOHTH-GDBMZVCRSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2csc(C)c2)CC1 ZINC001016878112 658346966 /nfs/dbraw/zinc/34/69/66/658346966.db2.gz PXRHCSWLLYTEHS-AWEZNQCLSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2c(C)cccc2C)C1 ZINC000988907389 658415016 /nfs/dbraw/zinc/41/50/16/658415016.db2.gz VWRADCGEHKNNJZ-HOTGVXAUSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cscc2Cl)C1 ZINC000989007095 658424322 /nfs/dbraw/zinc/42/43/22/658424322.db2.gz OFXKFPYCWOQSTF-UWVGGRQHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1Cl ZINC001017511272 658435947 /nfs/dbraw/zinc/43/59/47/658435947.db2.gz CISRLDUXBCKQAK-BETUJISGSA-N 0 1 308.809 3.188 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2c[nH]c3ccccc23)C1 ZINC000989124090 658440168 /nfs/dbraw/zinc/44/01/68/658440168.db2.gz JDJFJNNDQKMDLK-QWHCGFSZSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1F ZINC001017620500 658444264 /nfs/dbraw/zinc/44/42/64/658444264.db2.gz NBTHXKKHVIOTFI-GASCZTMLSA-N 0 1 320.383 3.220 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)sc1C ZINC001017651669 658447890 /nfs/dbraw/zinc/44/78/90/658447890.db2.gz NHKKKHLWVDZLLK-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC000966166852 658451764 /nfs/dbraw/zinc/45/17/64/658451764.db2.gz KULXKRUQIMGLTN-ZWNOBZJWSA-N 0 1 314.401 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2sccc2Cl)C1 ZINC000966268501 658460597 /nfs/dbraw/zinc/46/05/97/658460597.db2.gz TZSRYYWDPIITQU-YPMHNXCESA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966292129 658463399 /nfs/dbraw/zinc/46/33/99/658463399.db2.gz BNFMHJZHEKDSAI-KXBFYZLASA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966325110 658467104 /nfs/dbraw/zinc/46/71/04/658467104.db2.gz LBYZYIXSHZCIIS-XJKSGUPXSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(F)c(C)c2)C1 ZINC000989378082 658470956 /nfs/dbraw/zinc/47/09/56/658470956.db2.gz AJXQSELUVBLMJV-TZMCWYRMSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC000966494484 658478581 /nfs/dbraw/zinc/47/85/81/658478581.db2.gz XNVKBCYDZGESMG-KUHUBIRLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000989445456 658487215 /nfs/dbraw/zinc/48/72/15/658487215.db2.gz ABZJZDVGVVTMMO-HNNXBMFYSA-N 0 1 312.413 3.257 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(C)c(C)c2Cl)CC1 ZINC000989480348 658496964 /nfs/dbraw/zinc/49/69/64/658496964.db2.gz FYCNKSDWWVXZIW-UHFFFAOYSA-N 0 1 318.848 3.128 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnc2c1 ZINC001018076698 658500735 /nfs/dbraw/zinc/50/07/35/658500735.db2.gz MHPUNPINOSKHAD-HDICACEKSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc(OCC)c2)C1 ZINC000989493727 658501996 /nfs/dbraw/zinc/50/19/96/658501996.db2.gz VTIDQHDZRXKMJM-ZFWWWQNUSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc(OCC)c2)C1 ZINC000989493725 658502190 /nfs/dbraw/zinc/50/21/90/658502190.db2.gz VTIDQHDZRXKMJM-UKRRQHHQSA-N 0 1 322.836 3.030 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC(C)C)c[nH]1 ZINC001018177320 658511178 /nfs/dbraw/zinc/51/11/78/658511178.db2.gz ASXYCNGPMFOPPM-CALCHBBNSA-N 0 1 315.461 3.078 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2[nH]ccc2s1 ZINC001018214277 658517858 /nfs/dbraw/zinc/51/78/58/658517858.db2.gz DDXKJXITJSMLLA-BETUJISGSA-N 0 1 315.442 3.094 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1C1CC1 ZINC001018237379 658522539 /nfs/dbraw/zinc/52/25/39/658522539.db2.gz NDAVOWWEQMKRGP-GASCZTMLSA-N 0 1 316.470 3.490 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)c(C)s1 ZINC001018249085 658525618 /nfs/dbraw/zinc/52/56/18/658525618.db2.gz KJRZLIQSNAYVCI-IYBDPMFKSA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001018298401 658532200 /nfs/dbraw/zinc/53/22/00/658532200.db2.gz IZLQNWUUPHNLEM-SCTDSRPQSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3sccc3[nH]2)C1 ZINC000989643079 658533910 /nfs/dbraw/zinc/53/39/10/658533910.db2.gz PUEQJEIHNZEOLD-QWRGUYRKSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC000967086944 658538182 /nfs/dbraw/zinc/53/81/82/658538182.db2.gz MVWLUKZMVJWTNB-MLGOLLRUSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2cc(C)ccc2F)C1 ZINC000989703452 658546526 /nfs/dbraw/zinc/54/65/26/658546526.db2.gz MKOQCBVGCDLHRT-DZGCQCFKSA-N 0 1 324.827 3.008 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(Cl)cc2)C1 ZINC000989703149 658546572 /nfs/dbraw/zinc/54/65/72/658546572.db2.gz HLGDTNKJHCSNJX-FZMZJTMJSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)cc(C)c2)C1 ZINC000989724139 658549108 /nfs/dbraw/zinc/54/91/08/658549108.db2.gz WKCXVFCNQIWAPU-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC000967231231 658551922 /nfs/dbraw/zinc/55/19/22/658551922.db2.gz OZSXVJBTTYFRMS-APWZRJJASA-N 0 1 314.473 3.365 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2occ3c2CCCC3)C1 ZINC000989726014 658557142 /nfs/dbraw/zinc/55/71/42/658557142.db2.gz LXOGCNNQSVWCAQ-GXTWGEPZSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C)cc2C)C1 ZINC000989744579 658559072 /nfs/dbraw/zinc/55/90/72/658559072.db2.gz HZEAZZBVZNHHOY-CABCVRRESA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)c(C)s2)C1 ZINC000989765481 658566971 /nfs/dbraw/zinc/56/69/71/658566971.db2.gz IFYOVNDZFSZUQL-YPMHNXCESA-N 0 1 312.866 3.310 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccccc2CC(C)C)CC1 ZINC000989766265 658567544 /nfs/dbraw/zinc/56/75/44/658567544.db2.gz VIIUOAWCMDMUKR-UHFFFAOYSA-N 0 1 312.457 3.056 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](c2ccc(F)cc2)C(C)C)CC1 ZINC000989752884 658570928 /nfs/dbraw/zinc/57/09/28/658570928.db2.gz XAAVPLOLFDJYKK-GOSISDBHSA-N 0 1 318.436 3.286 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397113 658576355 /nfs/dbraw/zinc/57/63/55/658576355.db2.gz MDFGGENHWGUPNT-PBHICJAKSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC000967419416 658579621 /nfs/dbraw/zinc/57/96/21/658579621.db2.gz KUEOVIRSVRBVFG-FZMZJTMJSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(CC)c(CC)c2)CC1 ZINC000989817909 658583008 /nfs/dbraw/zinc/58/30/08/658583008.db2.gz BLFCVMIFDUUUEK-UHFFFAOYSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc3c2CCC3)C1 ZINC000989846954 658594348 /nfs/dbraw/zinc/59/43/48/658594348.db2.gz BHEXOVIHBGIPPC-DZGCQCFKSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001019145175 658610498 /nfs/dbraw/zinc/61/04/98/658610498.db2.gz XYFCWZFSJOROMN-DLBZAZTESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)nc2C(C)C)C1 ZINC001019203480 658615892 /nfs/dbraw/zinc/61/58/92/658615892.db2.gz SUQTWMZEPJOHEA-AWEZNQCLSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001019332758 658634042 /nfs/dbraw/zinc/63/40/42/658634042.db2.gz XGBNQHRMQNJBEB-WMLDXEAASA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001019438044 658645581 /nfs/dbraw/zinc/64/55/81/658645581.db2.gz DUXUTKDXUIFTPD-IRXDYDNUSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001019439348 658645951 /nfs/dbraw/zinc/64/59/51/658645951.db2.gz GLINNJIRUVUYRX-ZDUSSCGKSA-N 0 1 324.852 3.442 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001019454176 658647852 /nfs/dbraw/zinc/64/78/52/658647852.db2.gz UOCRBMXKVZIEIE-SJORKVTESA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(F)F)cc2)C1 ZINC001019516989 658652820 /nfs/dbraw/zinc/65/28/20/658652820.db2.gz WJDQOZPOVCSRLJ-ZDUSSCGKSA-N 0 1 314.763 3.181 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(F)cccc2Cl)C1 ZINC001019694872 658664022 /nfs/dbraw/zinc/66/40/22/658664022.db2.gz UIIWHCIDYADREQ-JTQLQIEISA-N 0 1 317.191 3.036 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC000968083767 658666233 /nfs/dbraw/zinc/66/62/33/658666233.db2.gz XAHRYTGVEHKROW-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2C(F)F)C1 ZINC001019717499 658667616 /nfs/dbraw/zinc/66/76/16/658667616.db2.gz JTQLTLYGGBZVTR-VIFPVBQESA-N 0 1 320.792 3.242 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)c2ccccc2)C1 ZINC001019737074 658670284 /nfs/dbraw/zinc/67/02/84/658670284.db2.gz UESPOIWQDYNPON-BBRMVZONSA-N 0 1 306.837 3.123 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321440 658696675 /nfs/dbraw/zinc/69/66/75/658696675.db2.gz KMAYUSBEOHLPTM-QFBILLFUSA-N 0 1 324.468 3.202 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cccc(C(F)F)c1F ZINC001038251323 658735967 /nfs/dbraw/zinc/73/59/67/658735967.db2.gz FSNJXRDDDZFUMA-NSHDSACASA-N 0 1 312.335 3.144 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ccc(Cl)o2)cc1 ZINC000128425701 658741560 /nfs/dbraw/zinc/74/15/60/658741560.db2.gz CSCOEBMYWDGROH-GFCCVEGCSA-N 0 1 316.744 3.457 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3c(C)oc4ccccc43)CCC[C@H]12 ZINC000990874944 658773945 /nfs/dbraw/zinc/77/39/45/658773945.db2.gz XKFRZIMVWSKKFX-FXAWDEMLSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(CCC)c(C)s1 ZINC001038997103 658976729 /nfs/dbraw/zinc/97/67/29/658976729.db2.gz RISXUHDIYVHFLP-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2cc(F)ccc2s1 ZINC001039014304 658980570 /nfs/dbraw/zinc/98/05/70/658980570.db2.gz XHBYYYRRGHDWPR-CQSZACIVSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1sc(CCC)nc1C ZINC001039015947 658982693 /nfs/dbraw/zinc/98/26/93/658982693.db2.gz TXGIIWFVIRIJMB-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cnc(CC(C)C)s1 ZINC001039075881 658993529 /nfs/dbraw/zinc/99/35/29/658993529.db2.gz CWVLEVAGKHIBQK-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)c2cc[nH]c2)C1 ZINC001039201430 659036485 /nfs/dbraw/zinc/03/64/85/659036485.db2.gz CHBPPGNZTLNLFL-JKSUJKDBSA-N 0 1 321.852 3.084 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccc(CC)s3)CCC[C@@H]12 ZINC000991676559 659120665 /nfs/dbraw/zinc/12/06/65/659120665.db2.gz CJCGYAIULOJQEN-SJLPKXTDSA-N 0 1 316.470 3.061 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CCCC)cc3)CCC[C@H]12 ZINC000991712635 659147010 /nfs/dbraw/zinc/14/70/10/659147010.db2.gz QPEWRRBPIVNFBT-PZJWPPBQSA-N 0 1 324.468 3.389 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000129919835 659201798 /nfs/dbraw/zinc/20/17/98/659201798.db2.gz WVFWYBZRTVDBKC-ZDUSSCGKSA-N 0 1 302.374 3.313 20 30 DGEDMN CNC(=O)C(C#N)C(=O)CCCCc1ccc(C(C)(C)C)cc1 ZINC000170709805 659226256 /nfs/dbraw/zinc/22/62/56/659226256.db2.gz JYHJCXNYIQAGLK-MRXNPFEDSA-N 0 1 314.429 3.152 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C)c1 ZINC000171035769 659255667 /nfs/dbraw/zinc/25/56/67/659255667.db2.gz FGSUTEQOAVYELJ-CZUORRHYSA-N 0 1 316.401 3.415 20 30 DGEDMN CCS[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1)C(C)C ZINC000171365444 659277404 /nfs/dbraw/zinc/27/74/04/659277404.db2.gz JUVWKXNEPJJAKW-ZFWWWQNUSA-N 0 1 304.415 3.112 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)C[C@H](O)c1cccc(F)c1 ZINC000063300074 659376401 /nfs/dbraw/zinc/37/64/01/659376401.db2.gz XEILYIUORRFLIK-IBGZPJMESA-N 0 1 310.372 3.419 20 30 DGEDMN CCCc1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2)s1 ZINC000173966160 659406096 /nfs/dbraw/zinc/40/60/96/659406096.db2.gz LCVAKKDVIXDOEJ-LBPRGKRZSA-N 0 1 313.382 3.057 20 30 DGEDMN CCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000173980328 659407154 /nfs/dbraw/zinc/40/71/54/659407154.db2.gz BLOAJACSMVLPOH-JQWIXIFHSA-N 0 1 322.792 3.432 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cccc(Cl)c3F)CCC[C@@H]12 ZINC000992153315 659474304 /nfs/dbraw/zinc/47/43/04/659474304.db2.gz LQJGPTDCAGMLSA-PBHICJAKSA-N 0 1 322.811 3.392 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3csc(Cl)c3)CCC[C@H]12 ZINC000992296626 659516173 /nfs/dbraw/zinc/51/61/73/659516173.db2.gz IPGSYSVHOUUSSK-BBRMVZONSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4c(c3)C=CCC4)CCC[C@H]12 ZINC000992313347 659521987 /nfs/dbraw/zinc/52/19/87/659521987.db2.gz CZWNYJJNCXZAOI-FPOVZHCZSA-N 0 1 320.436 3.006 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccsc3C(F)F)CCC[C@@H]12 ZINC000992452220 659553068 /nfs/dbraw/zinc/55/30/68/659553068.db2.gz ZKKSQGUNZVSTHW-MLGOLLRUSA-N 0 1 324.396 3.046 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3nccc(C)c3c2)[C@@H]1C ZINC000993367815 659721925 /nfs/dbraw/zinc/72/19/25/659721925.db2.gz CEKZVNPMJAOGBW-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccnn2C(CC)CC)[C@@H]1C ZINC000993410796 659728846 /nfs/dbraw/zinc/72/88/46/659728846.db2.gz GZVAKDSFGCKWSS-HOCLYGCPSA-N 0 1 318.465 3.013 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cc(C)cn3c2)[C@H]1C ZINC000993414358 659729973 /nfs/dbraw/zinc/72/99/73/659729973.db2.gz WJIFQNKYIBWGRR-CRAIPNDOSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)c(C)cc2F)[C@H]1C ZINC000993478979 659746217 /nfs/dbraw/zinc/74/62/17/659746217.db2.gz QHZUGCUDBUMPTO-DYVFJYSZSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nccc3ccsc32)[C@H]1C ZINC000993466921 659749878 /nfs/dbraw/zinc/74/98/78/659749878.db2.gz RXUPQCLSCLSVBC-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@H]1C ZINC000993461895 659749896 /nfs/dbraw/zinc/74/98/96/659749896.db2.gz DKFDLKPWEXQAAE-MLGOLLRUSA-N 0 1 308.372 3.042 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccccc2C(C)(C)C)[C@H]1C ZINC000993490177 659754778 /nfs/dbraw/zinc/75/47/78/659754778.db2.gz PWGKDPKFLUIENW-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@@H]2CCCN(CC=C)[C@H]2C)cc1 ZINC000993528029 659756671 /nfs/dbraw/zinc/75/66/71/659756671.db2.gz ZUZDOIZHGXQMOA-MAUKXSAKSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(-n3cccc3)c2)[C@@H]1C ZINC000993555278 659761906 /nfs/dbraw/zinc/76/19/06/659761906.db2.gz KAJBCXOQUOSPTF-LPHOPBHVSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)[C@@H]1C ZINC000993609937 659765717 /nfs/dbraw/zinc/76/57/17/659765717.db2.gz DZUVGSIMNCHBAE-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)[C@@H]1C ZINC000993614850 659766804 /nfs/dbraw/zinc/76/68/04/659766804.db2.gz NJJQGCLXKZBMOS-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@@H]1C ZINC000993632465 659773324 /nfs/dbraw/zinc/77/33/24/659773324.db2.gz BTZMIVGEJLKWNE-RDJZCZTQSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@H]1C ZINC000993659374 659777486 /nfs/dbraw/zinc/77/74/86/659777486.db2.gz UUSUAZOBPLVVRB-MWLCHTKSSA-N 0 1 316.232 3.090 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C)(C)c2cccs2)[C@H]1C ZINC000993707681 659778639 /nfs/dbraw/zinc/77/86/39/659778639.db2.gz XYZUUJYZSNJCPA-CABCVRRESA-N 0 1 318.486 3.018 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2OC)[C@@H]1C ZINC000993718112 659779950 /nfs/dbraw/zinc/77/99/50/659779950.db2.gz SKNFTYWXXCPKEZ-WFASDCNBSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3ccsc3c2)[C@H]1C ZINC000993690060 659780932 /nfs/dbraw/zinc/78/09/32/659780932.db2.gz PZIUWWMFBWEQRE-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3ccsc3c2)[C@@H]1C ZINC000993690049 659781298 /nfs/dbraw/zinc/78/12/98/659781298.db2.gz PZIUWWMFBWEQRE-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cncc3sccc32)[C@@H]1C ZINC000993727573 659785812 /nfs/dbraw/zinc/78/58/12/659785812.db2.gz LIVJZQVJTXEXSM-SWLSCSKDSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CCC)cc2)[C@@H]1C ZINC000993730469 659786363 /nfs/dbraw/zinc/78/63/63/659786363.db2.gz RDHYVTPCGUIJEB-YJBOKZPZSA-N 0 1 300.446 3.408 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2C)[C@@H]1C ZINC000993733021 659787382 /nfs/dbraw/zinc/78/73/82/659787382.db2.gz PYEPVDLAYQTCIL-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccccc2C2(C)CC2)[C@@H]1C ZINC000993803363 659790568 /nfs/dbraw/zinc/79/05/68/659790568.db2.gz IWFBRZSASBGUAP-QFBILLFUSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H]1C ZINC000993858501 659793611 /nfs/dbraw/zinc/79/36/11/659793611.db2.gz IFOQJJCUXINBGC-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@@H]1C ZINC000993847801 659795642 /nfs/dbraw/zinc/79/56/42/659795642.db2.gz QEMYOBBOTATDMR-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)cc2Cl)[C@H]1C ZINC000993847777 659795944 /nfs/dbraw/zinc/79/59/44/659795944.db2.gz QEMYOBBOTATDMR-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn(C(CC)CC)n2)[C@@H]1C ZINC000993933555 659799769 /nfs/dbraw/zinc/79/97/69/659799769.db2.gz XWSXWNGNASZRCM-GOEBONIOSA-N 0 1 318.465 3.013 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C23CCC(CC2)C3(C)C)[C@@H]1C ZINC000993950193 659805990 /nfs/dbraw/zinc/80/59/90/659805990.db2.gz LLRLLHIGBQFWSY-HMFCVHIJSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(C(C)(C)C)n2)[C@@H]1C ZINC000993977446 659806535 /nfs/dbraw/zinc/80/65/35/659806535.db2.gz WASPIBBFHBBZHQ-QWHCGFSZSA-N 0 1 321.490 3.209 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2F)[C@@H]1C ZINC000994007250 659808596 /nfs/dbraw/zinc/80/85/96/659808596.db2.gz OJKOIRJOZBRJLV-WMLDXEAASA-N 0 1 318.436 3.258 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C(F)F)cc2)[C@H]1C ZINC000994002188 659808788 /nfs/dbraw/zinc/80/87/88/659808788.db2.gz DHDACKACFQVCGN-CJNGLKHVSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cccnc3c2)[C@@H]1C ZINC000994048917 659810581 /nfs/dbraw/zinc/81/05/81/659810581.db2.gz GLPZNYWHZYGYQE-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@@H]1C ZINC000994086940 659811850 /nfs/dbraw/zinc/81/18/50/659811850.db2.gz ATPOSFIUQZFZNK-XLAORIBOSA-N 0 1 304.478 3.051 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1C ZINC000994086934 659811883 /nfs/dbraw/zinc/81/18/83/659811883.db2.gz ATPOSFIUQZFZNK-TVFCKZIOSA-N 0 1 304.478 3.051 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C(F)F)c2)[C@H]1C ZINC000994251596 659825339 /nfs/dbraw/zinc/82/53/39/659825339.db2.gz NGEHBLNIFRMERR-CJNGLKHVSA-N 0 1 320.383 3.230 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@H]1C ZINC000994272285 659830026 /nfs/dbraw/zinc/83/00/26/659830026.db2.gz FEQZJLVVRPIDRP-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2occ3c2CCCC3)[C@@H]1C ZINC000994296362 659834108 /nfs/dbraw/zinc/83/41/08/659834108.db2.gz RWCIGODUNDCFIP-YOEHRIQHSA-N 0 1 316.445 3.317 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2C2CC2)[C@@H]1C ZINC000994342464 659841507 /nfs/dbraw/zinc/84/15/07/659841507.db2.gz AOIZUROOXDWGPR-XJKSGUPXSA-N 0 1 316.470 3.232 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2sccc2C2CC2)[C@@H]1C ZINC000994356457 659845015 /nfs/dbraw/zinc/84/50/15/659845015.db2.gz ZDXAYNHCVFJPSW-SWLSCSKDSA-N 0 1 304.459 3.394 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(CC(C)C)cc2)[C@@H]1C ZINC000994374694 659846565 /nfs/dbraw/zinc/84/65/65/659846565.db2.gz LGSWIXSFQZZEEJ-QFBILLFUSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)cc(C)cc2C)[C@@H]1C ZINC000994371940 659846766 /nfs/dbraw/zinc/84/67/66/659846766.db2.gz FGYHNBFNIYVFAX-IRXDYDNUSA-N 0 1 300.446 3.381 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H]1C ZINC000994374698 659846786 /nfs/dbraw/zinc/84/67/86/659846786.db2.gz LGSWIXSFQZZEEJ-VQIMIIECSA-N 0 1 312.457 3.101 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3cccc(C)c3o2)[C@H]1C ZINC000994491188 659861902 /nfs/dbraw/zinc/86/19/02/659861902.db2.gz XTGACCGQIUFHOI-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@@H]1C ZINC000994526181 659871244 /nfs/dbraw/zinc/87/12/44/659871244.db2.gz DLWKZWQWKZQDIF-XJKSGUPXSA-N 0 1 320.383 3.014 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H]1C ZINC000994526164 659871502 /nfs/dbraw/zinc/87/15/02/659871502.db2.gz DLWKZWQWKZQDIF-CJNGLKHVSA-N 0 1 320.383 3.014 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@H]1C ZINC000994532660 659873838 /nfs/dbraw/zinc/87/38/38/659873838.db2.gz LHDOCSDELSRUHN-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)CCCc2csc(C)n2)n1 ZINC000175082259 659915647 /nfs/dbraw/zinc/91/56/47/659915647.db2.gz BHCZKYDOSZFBQX-LBPRGKRZSA-N 0 1 305.428 3.416 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)C[C@@H]1C ZINC000948070927 659916335 /nfs/dbraw/zinc/91/63/35/659916335.db2.gz UDIDHJVYQDVPFY-HOCLYGCPSA-N 0 1 324.424 3.266 20 30 DGEDMN Cc1ccc(Cl)cc1S(=O)(=O)Nc1cc(C#N)ccc1O ZINC000175686931 659927186 /nfs/dbraw/zinc/92/71/86/659927186.db2.gz JRJLYAQCZHCHHL-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN COc1cccc(-c2nc(C)c(C(=O)OCC(C)(C)C#N)[nH]2)c1 ZINC000180132993 659952925 /nfs/dbraw/zinc/95/29/25/659952925.db2.gz NVHPMPRRANSNFO-UHFFFAOYSA-N 0 1 313.357 3.100 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccccc2C(C)(C)CC)C1 ZINC001031755204 659968488 /nfs/dbraw/zinc/96/84/88/659968488.db2.gz BMEBPEXBIMKPIE-UHFFFAOYSA-N 0 1 300.446 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccsc2Cl)C[C@H]1C ZINC000939256831 659992973 /nfs/dbraw/zinc/99/29/73/659992973.db2.gz FFKFYDCLLPBOJC-LDYMZIIASA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)cc(C)cc2C)C[C@H]1C ZINC000939682613 660010633 /nfs/dbraw/zinc/01/06/33/660010633.db2.gz LRYUSFXWKNNCJQ-GDBMZVCRSA-N 0 1 320.864 3.414 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(C)(NCc2coc(C)n2)CC1 ZINC000940301793 660036408 /nfs/dbraw/zinc/03/64/08/660036408.db2.gz TXVHKRQSUGKIKV-QGZVFWFLSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2C[C@H]2c2ccccc2)C(C)(C)C1 ZINC000940747646 660061531 /nfs/dbraw/zinc/06/15/31/660061531.db2.gz LJTDQMXFYJDPJL-GBESFXJTSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000940879318 660070138 /nfs/dbraw/zinc/07/01/38/660070138.db2.gz SFSRBPFYRVCWCU-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](C/C=C\Cl)CC2(C)C)C1 ZINC000941018203 660080144 /nfs/dbraw/zinc/08/01/44/660080144.db2.gz SRNQLZMQMLPMFW-BRLPQQTGSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(C/C=C\Cl)CC2(C)C)C1 ZINC000941018203 660080146 /nfs/dbraw/zinc/08/01/46/660080146.db2.gz SRNQLZMQMLPMFW-BRLPQQTGSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C(C)(C)C1 ZINC000941075254 660083236 /nfs/dbraw/zinc/08/32/36/660083236.db2.gz JRFZJXRRRGECMY-FTRWYGJKSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc3ccccc3n2)C(C)(C)C1 ZINC000941176597 660091470 /nfs/dbraw/zinc/09/14/70/660091470.db2.gz BTKBWRGFWNMROA-GOSISDBHSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(CC(C)C)c[nH]2)C(C)(C)C1 ZINC000941165659 660092518 /nfs/dbraw/zinc/09/25/18/660092518.db2.gz AASYITTWASEEEJ-QGZVFWFLSA-N 0 1 317.477 3.230 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC000941168513 660093018 /nfs/dbraw/zinc/09/30/18/660093018.db2.gz DXJDZLXLRRIINN-RDJZCZTQSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3ccccc32)C(C)(C)C1 ZINC000941200323 660094171 /nfs/dbraw/zinc/09/41/71/660094171.db2.gz DFGBIHJRQWDDRD-IBGZPJMESA-N 0 1 320.436 3.303 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941242608 660097212 /nfs/dbraw/zinc/09/72/12/660097212.db2.gz JMHILXZDTDDSEC-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C(C)(C)C1 ZINC000941244980 660097626 /nfs/dbraw/zinc/09/76/26/660097626.db2.gz RJHWDIPINBIRAT-VMEOHVCESA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Br)CN[C@H](C)c1cccc(-n2cccn2)c1 ZINC000183587031 660124445 /nfs/dbraw/zinc/12/44/45/660124445.db2.gz PAHJVYGTRWDSIL-GFCCVEGCSA-N 0 1 306.207 3.432 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)CC1CCC1 ZINC000941921077 660127009 /nfs/dbraw/zinc/12/70/09/660127009.db2.gz MOZLQEHIHYWKRI-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3ccc(CC)cc23)[C@@H](C)C1 ZINC000942062380 660133566 /nfs/dbraw/zinc/13/35/66/660133566.db2.gz YOEMSCPAONUCFO-KBXCAEBGSA-N 0 1 324.424 3.069 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@H](C)C1 ZINC000942079696 660135953 /nfs/dbraw/zinc/13/59/53/660135953.db2.gz PADYVOJEPVSADP-RHSMWYFYSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3sccc3s2)[C@@H](C)C1 ZINC000942184619 660142293 /nfs/dbraw/zinc/14/22/93/660142293.db2.gz VJZXMALIJHZLSJ-NWDGAFQWSA-N 0 1 318.467 3.036 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cscc3s2)[C@H](C)C1 ZINC000942521688 660154495 /nfs/dbraw/zinc/15/44/95/660154495.db2.gz YUZPLHLMDDKOMV-YPMHNXCESA-N 0 1 318.467 3.036 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@H](C)C1 ZINC000942529078 660155105 /nfs/dbraw/zinc/15/51/05/660155105.db2.gz FIBHYNVYMXCIPW-CRAIPNDOSA-N 0 1 324.424 3.123 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)C1(C)CCC1 ZINC000942559755 660158519 /nfs/dbraw/zinc/15/85/19/660158519.db2.gz GLAIAAIOVSQPOF-PKOBYXMFSA-N 0 1 324.468 3.055 20 30 DGEDMN CC[C@](O)(CN(Cc1ccc(C#N)cc1)C(C)C)C(F)(F)F ZINC000929380443 661302601 /nfs/dbraw/zinc/30/26/01/661302601.db2.gz WHANQBXWPJBDRK-HNNXBMFYSA-N 0 1 314.351 3.472 20 30 DGEDMN COc1cccc(C2CCN(Cc3cncc(C#N)c3)CC2)c1 ZINC000929420554 661305745 /nfs/dbraw/zinc/30/57/45/661305745.db2.gz BGRKLOMFSANAFG-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)c1 ZINC000929876843 661347009 /nfs/dbraw/zinc/34/70/09/661347009.db2.gz WGFKEUITSREWMX-MRXNPFEDSA-N 0 1 318.380 3.474 20 30 DGEDMN CN(CC(=O)Nc1cc(F)cc(F)c1)CC1CCC(C#N)CC1 ZINC000929963663 661353871 /nfs/dbraw/zinc/35/38/71/661353871.db2.gz KGTDENHTYOQJPV-UHFFFAOYSA-N 0 1 321.371 3.165 20 30 DGEDMN N#CC[C@@H](O)C[N@H+]1CCc2ccccc2[C@@H]1Cc1ccccc1 ZINC000930089254 661365530 /nfs/dbraw/zinc/36/55/30/661365530.db2.gz LJCRYLNBDIMGHV-QUCCMNQESA-N 0 1 306.409 3.103 20 30 DGEDMN C[C@H](NCc1cncc(C#N)c1)c1ccc(OC(F)F)cc1 ZINC000930196842 661376911 /nfs/dbraw/zinc/37/69/11/661376911.db2.gz LGYMMTWEKHLXNH-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)C[C@@H]1C[C@H]1C(=O)OCC ZINC000930459960 661400718 /nfs/dbraw/zinc/40/07/18/661400718.db2.gz JERLLWKPQJVGDK-DLBZAZTESA-N 0 1 319.449 3.041 20 30 DGEDMN C[C@](O)(CN1CCC[C@]2(CC2(F)F)C1)C(F)(F)C(F)(F)F ZINC000930864666 661435893 /nfs/dbraw/zinc/43/58/93/661435893.db2.gz UWLMBMNKGIEXDR-IUCAKERBSA-N 0 1 323.252 3.056 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N(C)[C@@H]1CCC[N@@H+](C)C1 ZINC000931290870 661464567 /nfs/dbraw/zinc/46/45/67/661464567.db2.gz RREXCUKJBXNZQY-MRXNPFEDSA-N 0 1 301.434 3.363 20 30 DGEDMN CC(C)C[C@](C)(C#N)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000931303759 661465347 /nfs/dbraw/zinc/46/53/47/661465347.db2.gz JVTVHSXOWKEJNS-GOSISDBHSA-N 0 1 317.433 3.324 20 30 DGEDMN CC(F)(F)CCCN1CCN(c2ccc(F)cc2C#N)CC1 ZINC000931550226 661487886 /nfs/dbraw/zinc/48/78/86/661487886.db2.gz UKJMEEJYEQQWRU-UHFFFAOYSA-N 0 1 311.351 3.255 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(Cc1ccccn1)CC(C)C ZINC000932442412 661569805 /nfs/dbraw/zinc/56/98/05/661569805.db2.gz PLNXSXSUDUTPLA-KRWDZBQOSA-N 0 1 315.461 3.129 20 30 DGEDMN N#CCN(C(=O)c1ccc(CN2CCCCC2)o1)C1CCCC1 ZINC000933241599 661625274 /nfs/dbraw/zinc/62/52/74/661625274.db2.gz NJTYMPMYMIOMEJ-UHFFFAOYSA-N 0 1 315.417 3.174 20 30 DGEDMN C#CCC1(NCc2nnc(-c3cccc(O)c3)o2)CCCCC1 ZINC000933264006 661626325 /nfs/dbraw/zinc/62/63/25/661626325.db2.gz RTLOKNKCIIZXNN-UHFFFAOYSA-N 0 1 311.385 3.258 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@@H]2CC[N@@H+]2C(C)(C)C)c(Cl)c1 ZINC000933359902 661630234 /nfs/dbraw/zinc/63/02/34/661630234.db2.gz PUXAFBJXACPYDP-ZDUSSCGKSA-N 0 1 319.836 3.316 20 30 DGEDMN N#CC1(CCN2CCOc3ccccc3[C@@H]2CO)CCCCC1 ZINC000933380052 661631456 /nfs/dbraw/zinc/63/14/56/661631456.db2.gz HUSPSSYQTMIQES-KRWDZBQOSA-N 0 1 314.429 3.279 20 30 DGEDMN COc1ccc([C@@H](C)NCC(=O)Nc2ccc(C#N)cc2)cc1C ZINC000933486016 661636729 /nfs/dbraw/zinc/63/67/29/661636729.db2.gz KIUJOTILVRDZSU-CQSZACIVSA-N 0 1 323.396 3.165 20 30 DGEDMN CCC(C)(C)NC(=O)CN1CCC(c2ccc(C#N)cc2)CC1 ZINC000933613673 661644836 /nfs/dbraw/zinc/64/48/36/661644836.db2.gz ATJVGSPKTMWGCL-UHFFFAOYSA-N 0 1 313.445 3.042 20 30 DGEDMN O=C1C=COC2(CCN(CCOc3cccc(Cl)c3)CC2)C1 ZINC000933659732 661649607 /nfs/dbraw/zinc/64/96/07/661649607.db2.gz ZKMGBSQDRGNUCV-UHFFFAOYSA-N 0 1 321.804 3.057 20 30 DGEDMN C[C@@H](CN(C)Cc1cc(C#N)cs1)C(=O)c1ccc(O)cc1 ZINC000933771602 661657040 /nfs/dbraw/zinc/65/70/40/661657040.db2.gz YRBCPUGVVKBDLU-LBPRGKRZSA-N 0 1 314.410 3.276 20 30 DGEDMN C[C@@H]1CSCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000934299852 661694528 /nfs/dbraw/zinc/69/45/28/661694528.db2.gz LSPQATFGOMMNQZ-LLVKDONJSA-N 0 1 312.385 3.487 20 30 DGEDMN C=CCOCCN1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934417022 661705480 /nfs/dbraw/zinc/70/54/80/661705480.db2.gz BVGXIACOUOHEDJ-UHFFFAOYSA-N 0 1 307.821 3.437 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@@H](C)c2n[nH]c([C@H](C)CC)n2)cc1 ZINC000934928223 661748946 /nfs/dbraw/zinc/74/89/46/661748946.db2.gz KOQZPJQHRRVYLL-NEPJUHHUSA-N 0 1 311.389 3.182 20 30 DGEDMN C=CC1(CC(=O)N2CCC(c3n[nH]c(=O)o3)CC2)CCCCC1 ZINC000935830460 661814037 /nfs/dbraw/zinc/81/40/37/661814037.db2.gz YOWOMAWLCOKZNE-UHFFFAOYSA-N 0 1 319.405 3.008 20 30 DGEDMN Cc1csc(C(C#N)C(=O)COc2ccc(C)cc2C)n1 ZINC000041521009 661835138 /nfs/dbraw/zinc/83/51/38/661835138.db2.gz QBKPHJAZVCQURW-CYBMUJFWSA-N 0 1 300.383 3.324 20 30 DGEDMN C[C@H](C#N)Oc1ccc(/C=C/C(=O)c2ccc(O)cc2O)cc1 ZINC000156631773 661863950 /nfs/dbraw/zinc/86/39/50/661863950.db2.gz KYWJEQMTNKHPLR-OXFRDRNISA-N 0 1 309.321 3.285 20 30 DGEDMN CC(C)C(=O)NCCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000157169763 661866147 /nfs/dbraw/zinc/86/61/47/661866147.db2.gz QKJNHEZPAGCXQU-CAOOACKPSA-N 0 1 317.216 3.280 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(-c3ccccc3)co2)C[C@@H]1C ZINC000948103201 661951243 /nfs/dbraw/zinc/95/12/43/661951243.db2.gz COXLTTOZPHSIGC-MAUKXSAKSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)Cc2ccc(C)o2)CC1 ZINC000948309290 661967831 /nfs/dbraw/zinc/96/78/31/661967831.db2.gz OCRPIWQTMYRFSE-OAHLLOKOSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2C3CC4CC(C3)CC2C4)CC1 ZINC000948776177 661997753 /nfs/dbraw/zinc/99/77/53/661997753.db2.gz BWTPYBXTKMCKRG-UHFFFAOYSA-N 0 1 316.489 3.169 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1ccoc1 ZINC000948890771 662006007 /nfs/dbraw/zinc/00/60/07/662006007.db2.gz RYAYKGQWTYYQMF-GOSISDBHSA-N 0 1 310.397 3.185 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000948969506 662009939 /nfs/dbraw/zinc/00/99/39/662009939.db2.gz ISDNIPDLOGETDD-ZCNNSNEGSA-N 0 1 324.468 3.324 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CCCC3CCCC3)CC2)C1 ZINC000950382725 662062429 /nfs/dbraw/zinc/06/24/29/662062429.db2.gz KCGZAALZYKCSGU-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CC3CCC(C)CC3)CC2)C1 ZINC000950382931 662062653 /nfs/dbraw/zinc/06/26/53/662062653.db2.gz MYNXGRNUYMVQLH-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN CCO[C@@H](C(=O)[C@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000194483389 662166464 /nfs/dbraw/zinc/16/64/64/662166464.db2.gz OMLXQFUMRVJQIN-DZGCQCFKSA-N 0 1 300.383 3.406 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2C[C@@H]2C2CCCC2)C1 ZINC000957059920 662346523 /nfs/dbraw/zinc/34/65/23/662346523.db2.gz WLFZGBBKOXBTGT-QZTJIDSGSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2cc(C)cc(C)c2)CC1 ZINC000957080597 662348816 /nfs/dbraw/zinc/34/88/16/662348816.db2.gz APYKCUQSPBTUCE-UHFFFAOYSA-N 0 1 314.473 3.346 20 30 DGEDMN C#CCCN1CC[C@@](C)(NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001046387830 685399602 /nfs/dbraw/zinc/39/96/02/685399602.db2.gz QMMVJTQDFJMPAY-GOSISDBHSA-N 0 1 318.486 3.164 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2CCC)CC[C@H]1C ZINC001071501837 686670140 /nfs/dbraw/zinc/67/01/40/686670140.db2.gz VJFWIGVZUIFCAI-UKRRQHHQSA-N 0 1 319.449 3.094 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C(C)(C)C)n2)CC[C@H]1C ZINC001071507684 686670947 /nfs/dbraw/zinc/67/09/47/686670947.db2.gz ACQWWCNQECVGIV-KGLIPLIRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)CC[C@H]1C ZINC001071548815 686686844 /nfs/dbraw/zinc/68/68/44/686686844.db2.gz MBVJSWMTRFYEKP-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)CC[C@@H]1C ZINC001071572612 686692327 /nfs/dbraw/zinc/69/23/27/686692327.db2.gz YGACNPDYWNIYMV-MECKTXNYSA-N 0 1 316.489 3.214 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@@H]1C ZINC001071583419 686694785 /nfs/dbraw/zinc/69/47/85/686694785.db2.gz HTJNZIBMXZYLGI-KBPBESRZSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)CC[C@H]1C ZINC001071621724 686705305 /nfs/dbraw/zinc/70/53/05/686705305.db2.gz BYKJFBFLKVOYOF-KGLIPLIRSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)cc3ccoc32)CC[C@@H]1C ZINC001071629349 686708455 /nfs/dbraw/zinc/70/84/55/686708455.db2.gz YHYBAUBYZGWYMH-SWLSCSKDSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)ccc2CC)CC[C@H]1C ZINC001071645865 686711716 /nfs/dbraw/zinc/71/17/16/686711716.db2.gz OLXZXTBQQYFKJX-CZUORRHYSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2F)CC[C@H]1C ZINC001071660148 686714729 /nfs/dbraw/zinc/71/47/29/686714729.db2.gz QQSJWXPGJNIJMC-DGCLKSJQSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C(C)(C)C)on2)CC[C@@H]1C ZINC001071675739 686721430 /nfs/dbraw/zinc/72/14/30/686721430.db2.gz HDHKRFKCSVPHMK-KBPBESRZSA-N 0 1 319.449 3.131 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@@H]1C ZINC001071701119 686727088 /nfs/dbraw/zinc/72/70/88/686727088.db2.gz CUIJJAHLWHBZLN-DOTOQJQBSA-N 0 1 310.441 3.088 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)CC[C@H]1C ZINC001071708626 686729437 /nfs/dbraw/zinc/72/94/37/686729437.db2.gz JQSQQSPDBZUYQL-WBVHZDCISA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)CC[C@@H]1C ZINC001071708625 686729757 /nfs/dbraw/zinc/72/97/57/686729757.db2.gz JQSQQSPDBZUYQL-RDJZCZTQSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2csc3ccccc23)CC[C@H]1C ZINC001071714896 686730759 /nfs/dbraw/zinc/73/07/59/686730759.db2.gz BIGPBHZWOMOJQB-KGLIPLIRSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)CC[C@H]1C ZINC001071718867 686733282 /nfs/dbraw/zinc/73/32/82/686733282.db2.gz RGTUMSZKFXQVPT-NXEZZACHSA-N 0 1 316.232 3.090 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(F)ccc3[nH]2)CC[C@@H]1C ZINC001071727709 686734350 /nfs/dbraw/zinc/73/43/50/686734350.db2.gz CDLTYVSAQXSLRF-SWLSCSKDSA-N 0 1 315.392 3.076 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2C2CC2)CC[C@H]1C ZINC001071772157 686746705 /nfs/dbraw/zinc/74/67/05/686746705.db2.gz FWRQMEMHLSRYBZ-UKRRQHHQSA-N 0 1 302.418 3.316 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@H]1C ZINC001071775746 686748604 /nfs/dbraw/zinc/74/86/04/686748604.db2.gz HMRUBHKAVDWNJP-YPMHNXCESA-N 0 1 310.800 3.248 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CC[C@H](C)N(CCC(F)(F)F)C2)C1 ZINC001071807477 686760271 /nfs/dbraw/zinc/76/02/71/686760271.db2.gz SOLKSVVGNURCSE-QWHCGFSZSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)C)nc2C)CC[C@H]1C ZINC001071828723 686763598 /nfs/dbraw/zinc/76/35/98/686763598.db2.gz SSDPUWNNAIGQAA-GDBMZVCRSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)cc2Cl)CC[C@@H]1C ZINC001071836746 686766613 /nfs/dbraw/zinc/76/66/13/686766613.db2.gz WYURREIAQKEYHI-UONOGXRCSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@H]1C ZINC001071845117 686769742 /nfs/dbraw/zinc/76/97/42/686769742.db2.gz XGRXHSNWCJBZPK-MLGOLLRUSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)CC[C@@H]1C ZINC001071895904 686785736 /nfs/dbraw/zinc/78/57/36/686785736.db2.gz CFPVVDVNBPSTLE-GJZGRUSLSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2F)CC[C@@H]1C ZINC001071895906 686785821 /nfs/dbraw/zinc/78/58/21/686785821.db2.gz CFPVVDVNBPSTLE-LSDHHAIUSA-N 0 1 318.436 3.258 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(C(C)C)n2)CC[C@H]1C ZINC001071901846 686787443 /nfs/dbraw/zinc/78/74/43/686787443.db2.gz XYBPJIAAOJFFOD-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)CC[C@H]1C ZINC001071917183 686790639 /nfs/dbraw/zinc/79/06/39/686790639.db2.gz YFUVQGYQIMAMQS-DOMZBBRYSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@@H]1C ZINC001071934910 686792728 /nfs/dbraw/zinc/79/27/28/686792728.db2.gz UKHWBIFMVVEKRZ-VJANTYMQSA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ccncc3c2)CC[C@@H]1C ZINC001071964400 686798479 /nfs/dbraw/zinc/79/84/79/686798479.db2.gz MXUNXOXDNLWWFA-KBXCAEBGSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc(-c3ccoc3)c2)CC[C@@H]1C ZINC001071974346 686802443 /nfs/dbraw/zinc/80/24/43/686802443.db2.gz MOPAHWGTGFQWPZ-HNAYVOBHSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)c(CC)o2)CC[C@@H]1C ZINC001071985429 686805371 /nfs/dbraw/zinc/80/53/71/686805371.db2.gz MAUQGXSPSAFUAC-GJZGRUSLSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(C)cccn3c2)CC[C@H]1C ZINC001071988315 686806735 /nfs/dbraw/zinc/80/67/35/686806735.db2.gz IFKOZVYDUWVGTH-WBVHZDCISA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(CCC)nc2C)CC[C@H]1C ZINC001071993868 686808700 /nfs/dbraw/zinc/80/87/00/686808700.db2.gz YDIWSORTMOAQDM-HIFRSBDPSA-N 0 1 319.449 3.094 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3[nH]ccc3s2)CC[C@H]1C ZINC001072030707 686830796 /nfs/dbraw/zinc/83/07/96/686830796.db2.gz USXKMVXMUSRVCV-CHWSQXEVSA-N 0 1 317.458 3.388 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2sccc2C2CC2)CC[C@@H]1C ZINC001072045496 686841330 /nfs/dbraw/zinc/84/13/30/686841330.db2.gz RQCSCIGGRFEGGN-GXTWGEPZSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)CC[C@@H]1C ZINC001072045834 686841407 /nfs/dbraw/zinc/84/14/07/686841407.db2.gz UTWQVACEYZGVIL-ZFWWWQNUSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(s2)CCCC3)CC[C@@H]1C ZINC001072045831 686842226 /nfs/dbraw/zinc/84/22/26/686842226.db2.gz UTWQVACEYZGVIL-DZGCQCFKSA-N 0 1 318.486 3.396 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@@H]1C ZINC001072047904 686842857 /nfs/dbraw/zinc/84/28/57/686842857.db2.gz GXXKBMYJLQBVHA-JXFKEZNVSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@H]1C ZINC001072050125 686846280 /nfs/dbraw/zinc/84/62/80/686846280.db2.gz SZKQKQCDEVWKJJ-DNVCBOLYSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)CC[C@H]1C ZINC001072109228 686869936 /nfs/dbraw/zinc/86/99/36/686869936.db2.gz DCQGVKKKOUJTLD-IWCLVNKQSA-N 0 1 324.468 3.263 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)CC[C@@H]1C ZINC001072113001 686873464 /nfs/dbraw/zinc/87/34/64/686873464.db2.gz LQVBTIMLVGSTBJ-RDJZCZTQSA-N 0 1 323.440 3.450 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@H]1C ZINC001072115898 686875367 /nfs/dbraw/zinc/87/53/67/686875367.db2.gz DTAYYUXYAPVUOR-SJORKVTESA-N 0 1 312.457 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](F)c2ccccc2)[C@H]1C ZINC001074922584 687314392 /nfs/dbraw/zinc/31/43/92/687314392.db2.gz XPSBVVLAJUEQQF-AEGPPILISA-N 0 1 310.800 3.029 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2cccc(F)c2)[C@H]1C ZINC001075120917 687336965 /nfs/dbraw/zinc/33/69/65/687336965.db2.gz SIJOKRGHYBYWCM-WWGRRREGSA-N 0 1 324.827 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccccc2C)[C@H]1C ZINC001075125354 687338451 /nfs/dbraw/zinc/33/84/51/687338451.db2.gz ZRRHWIUIBNBWNR-VYDXJSESSA-N 0 1 320.864 3.430 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cccc(C(F)F)c3F)[C@@H]2C1 ZINC001075800842 687441315 /nfs/dbraw/zinc/44/13/15/687441315.db2.gz GSWMKZYUAFVYOT-SMDDNHRTSA-N 0 1 324.346 3.096 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)C3CCCCC3)[C@@H]2C1 ZINC001076353619 687512901 /nfs/dbraw/zinc/51/29/01/687512901.db2.gz OAMQEGGRPSNZLQ-YTQUADARSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C(F)F)cc3F)[C@@H]2C1 ZINC001076398934 687519534 /nfs/dbraw/zinc/51/95/34/687519534.db2.gz NBIUUIKCACWLCG-SWLSCSKDSA-N 0 1 324.346 3.096 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001076460770 687524894 /nfs/dbraw/zinc/52/48/94/687524894.db2.gz BAWYAIHMUACPDM-OKZBNKHCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001079866370 687898836 /nfs/dbraw/zinc/89/88/36/687898836.db2.gz BWDQWCQAUCVRLG-UKRRQHHQSA-N 0 1 306.475 3.183 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2C(C)(C)CC)C1 ZINC001079924960 687904290 /nfs/dbraw/zinc/90/42/90/687904290.db2.gz HPXWLIRMJNUINJ-CRAIPNDOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001080211262 687929819 /nfs/dbraw/zinc/92/98/19/687929819.db2.gz NSGUQCJWUDPJSC-RDTXWAMCSA-N 0 1 311.429 3.021 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001080520599 687991406 /nfs/dbraw/zinc/99/14/06/687991406.db2.gz MZRJVZHTBPDXBJ-IUODEOHRSA-N 0 1 304.434 3.122 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001080777962 688011393 /nfs/dbraw/zinc/01/13/93/688011393.db2.gz NTXKOIXCNOOKMB-TZMCWYRMSA-N 0 1 304.459 3.252 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001080782684 688012284 /nfs/dbraw/zinc/01/22/84/688012284.db2.gz WUXOSQZNAGZZHU-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001080829897 688016331 /nfs/dbraw/zinc/01/63/31/688016331.db2.gz XPNFBOBPUYVRAO-CRAIPNDOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001080858174 688019570 /nfs/dbraw/zinc/01/95/70/688019570.db2.gz BBQFAAPIDYXYHS-IAQYHMDHSA-N 0 1 310.800 3.024 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C)C[C@H]1c1ccccc1 ZINC001081216829 688059329 /nfs/dbraw/zinc/05/93/29/688059329.db2.gz WUYVCNQNPHRKLK-JKSUJKDBSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2cc(C)oc2C)C[C@H]1C ZINC001082809368 688128675 /nfs/dbraw/zinc/12/86/75/688128675.db2.gz QEXYRZCXTPQAHE-AKWBHNSASA-N 0 1 324.852 3.189 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2ccccc2F)C[C@H]1C ZINC001082813967 688129756 /nfs/dbraw/zinc/12/97/56/688129756.db2.gz KSCIHDHSEADOGT-AXAPSJFSSA-N 0 1 324.827 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CC3CCC2CC3)C[C@H]1C ZINC001082946731 688132655 /nfs/dbraw/zinc/13/26/55/688132655.db2.gz PQMYUDZXIGMBOU-GQJZRCJNSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccn(C(C)C)c1C)C1CC1 ZINC001661156007 1196573378 /nfs/dbraw/zinc/57/33/78/1196573378.db2.gz AGZZQWSMRWIAMT-MRXNPFEDSA-N 0 1 323.868 3.228 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2cc3ccccc3cc2O)c1 ZINC001587204966 1192379574 /nfs/dbraw/zinc/37/95/74/1192379574.db2.gz DZDYMJYWVPOXDU-UHFFFAOYSA-N 0 1 304.305 3.141 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1ccc(Cl)cc1O ZINC001587189661 1192376511 /nfs/dbraw/zinc/37/65/11/1192376511.db2.gz XAQCZKBZNQVECK-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN CC(C)c1scnc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001587347518 1192394778 /nfs/dbraw/zinc/39/47/78/1192394778.db2.gz OUSUWFDSHOEOCF-UHFFFAOYSA-N 0 1 311.370 3.267 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ccc(-c3nn[nH]n3)cc2Cl)C1 ZINC001588561048 1192449692 /nfs/dbraw/zinc/44/96/92/1192449692.db2.gz WWQKPEARKVABKV-LBPRGKRZSA-N 0 1 317.824 3.308 20 30 DGEDMN C=CCC1(CN=Nc2oc(C)nc2-c2nn[nH]n2)CCCCC1 ZINC001588557781 1192450245 /nfs/dbraw/zinc/45/02/45/1192450245.db2.gz UXJWLIXHUXDKPL-UHFFFAOYSA-N 0 1 315.381 3.087 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(-c2nnn[n-]2)s1)CC1CCCCC1 ZINC001588635383 1192451451 /nfs/dbraw/zinc/45/14/51/1192451451.db2.gz JDIBFIYIHGUMLE-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CC[N@H+](Cc1ccc(-c2nnn[n-]2)s1)CC1CCCCC1 ZINC001588635383 1192451452 /nfs/dbraw/zinc/45/14/52/1192451452.db2.gz JDIBFIYIHGUMLE-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(-c2nn[n-]n2)s1)CC1CCCCC1 ZINC001588635383 1192451454 /nfs/dbraw/zinc/45/14/54/1192451454.db2.gz JDIBFIYIHGUMLE-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CC[N@H+](Cc1ccc(-c2nn[n-]n2)s1)CC1CCCCC1 ZINC001588635383 1192451455 /nfs/dbraw/zinc/45/14/55/1192451455.db2.gz JDIBFIYIHGUMLE-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CCN(Cc1ccc(-c2nn[nH]n2)cc1)Cc1cccc(F)c1 ZINC001588635061 1192451691 /nfs/dbraw/zinc/45/16/91/1192451691.db2.gz CHTFCTGFBUHIIB-UHFFFAOYSA-N 0 1 323.375 3.194 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC(C)(C)C[C@H]2C)o1 ZINC001590191479 1192492404 /nfs/dbraw/zinc/49/24/04/1192492404.db2.gz JERZIIBMJPUIEC-SECBINFHSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1ccc(F)cc1F ZINC001664214253 1196980992 /nfs/dbraw/zinc/98/09/92/1196980992.db2.gz VGFWRONFJHLLIT-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CCCC(CCC)N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001590554835 1192520833 /nfs/dbraw/zinc/52/08/33/1192520833.db2.gz LZEDXELWUKMKIY-UHFFFAOYSA-N 0 1 305.386 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cccc(Cl)c2)C1 ZINC001665187717 1197107237 /nfs/dbraw/zinc/10/72/37/1197107237.db2.gz QARQVRCGJVNEIJ-ZDUSSCGKSA-N 0 1 306.837 3.038 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001594637523 1192646437 /nfs/dbraw/zinc/64/64/37/1192646437.db2.gz NUUVWXNDRKGXMK-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN CC(=CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001595735009 1192668035 /nfs/dbraw/zinc/66/80/35/1192668035.db2.gz QKVNMYRUFTXUJZ-XFXZXTDPSA-N 0 1 302.337 3.477 20 30 DGEDMN Cc1nc2cc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)ccc2o1 ZINC001595739820 1192668042 /nfs/dbraw/zinc/66/80/42/1192668042.db2.gz CEHBEBOZLSUWIH-UHFFFAOYSA-N 0 1 317.308 3.137 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCc4cc(F)ccc43)[nH]c2c1 ZINC001595739777 1192668295 /nfs/dbraw/zinc/66/82/95/1192668295.db2.gz BBWCIZZCOCKYIP-AWEZNQCLSA-N 0 1 320.327 3.242 20 30 DGEDMN Cc1cc(F)ccc1N(CC#N)C(=O)c1cccc(F)c1O ZINC001596183832 1192684589 /nfs/dbraw/zinc/68/45/89/1192684589.db2.gz KUFHPIZCLJBVGW-UHFFFAOYSA-N 0 1 302.280 3.149 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1cccc(CC)c1)C1CC1 ZINC001670485777 1176149738 /nfs/dbraw/zinc/14/97/38/1176149738.db2.gz UKPONERYZAPTTI-KRWDZBQOSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1cccc(C)c1)C1CC1 ZINC001670501747 1176153409 /nfs/dbraw/zinc/15/34/09/1176153409.db2.gz WXSGDABRDNGRSS-QGZVFWFLSA-N 0 1 320.864 3.165 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1ccsc1)C1CC1 ZINC001670517425 1176159056 /nfs/dbraw/zinc/15/90/56/1176159056.db2.gz OGOLIVDWPLQIOL-BXUZGUMPSA-N 0 1 312.866 3.089 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1sccc1C)c1ccccc1 ZINC001691771126 1176176952 /nfs/dbraw/zinc/17/69/52/1176176952.db2.gz ABDVGRZVYJWTIE-MRXNPFEDSA-N 0 1 312.438 3.141 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCc1ccc(Cl)cc1 ZINC001670660870 1176205667 /nfs/dbraw/zinc/20/56/67/1176205667.db2.gz CLJJCWAHUCOCEL-QGZVFWFLSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001670719598 1176232218 /nfs/dbraw/zinc/23/22/18/1176232218.db2.gz SEDMRSCVSGOKHN-ROUUACIJSA-N 0 1 300.446 3.337 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cccc(C)c1)c1ccccc1 ZINC001691771850 1176236453 /nfs/dbraw/zinc/23/64/53/1176236453.db2.gz GGHYWDHXYMCTEZ-IBGZPJMESA-N 0 1 306.409 3.079 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C1CCCC1 ZINC001691798243 1176279765 /nfs/dbraw/zinc/27/97/65/1176279765.db2.gz ABERZQXLDMLKGZ-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCCC1CCCCC1 ZINC001691072124 1176293673 /nfs/dbraw/zinc/29/36/73/1176293673.db2.gz UJKVLVWKIYXQNY-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C[C@H]1CCN1CCCF)c1ccccc1 ZINC001691071104 1176294216 /nfs/dbraw/zinc/29/42/16/1176294216.db2.gz ALZCWXULQIELRL-QZTJIDSGSA-N 0 1 318.436 3.239 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1 ZINC001691094240 1176306805 /nfs/dbraw/zinc/30/68/05/1176306805.db2.gz AOISDZBNVZDSDT-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCC[C@H](C(=O)NC[C@H]1CCCN1CC#CC)c1ccccc1 ZINC001691112800 1176321585 /nfs/dbraw/zinc/32/15/85/1176321585.db2.gz KYSIAEZBRQJGBB-UXHICEINSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001691200274 1176381879 /nfs/dbraw/zinc/38/18/79/1176381879.db2.gz RWPVRFSQIMLAHR-ZWKOTPCHSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C/C=C/c1ccccc1)C1CC1 ZINC001691221141 1176399120 /nfs/dbraw/zinc/39/91/20/1176399120.db2.gz KYWRCWBSRLDIHU-DHZHZOJOSA-N 0 1 312.457 3.493 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](CC)CC1CCCC1 ZINC001691401955 1176468513 /nfs/dbraw/zinc/46/85/13/1176468513.db2.gz CGJHYAONQMDQPE-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001691416364 1176475361 /nfs/dbraw/zinc/47/53/61/1176475361.db2.gz ILABGFOJKNFMNR-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001691415905 1176476196 /nfs/dbraw/zinc/47/61/96/1176476196.db2.gz ABVVMESAWFZVDU-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001691415905 1176476202 /nfs/dbraw/zinc/47/62/02/1176476202.db2.gz ABVVMESAWFZVDU-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CC2CC2)CCCCC1 ZINC001691416497 1176476253 /nfs/dbraw/zinc/47/62/53/1176476253.db2.gz KSCDAZMPJJKNNO-QGZVFWFLSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001754448103 1176576042 /nfs/dbraw/zinc/57/60/42/1176576042.db2.gz RZZSHBNLGVFQFF-RDQDRAATSA-N 0 1 305.249 3.002 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCC(C)=C(C)C1)C(C)C ZINC001725726823 1176605661 /nfs/dbraw/zinc/60/56/61/1176605661.db2.gz AOQSPIOXJAZHHV-GOSISDBHSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN[C@H](C)c2ccc(F)cc2F)C1 ZINC001691467069 1176629919 /nfs/dbraw/zinc/62/99/19/1176629919.db2.gz BXNVYIOLURSKKT-CYBMUJFWSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1CC ZINC001691477735 1176633603 /nfs/dbraw/zinc/63/36/03/1176633603.db2.gz CBKKOQRNICICGN-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2(C(F)F)CCC2)CC1 ZINC001755029650 1176723211 /nfs/dbraw/zinc/72/32/11/1176723211.db2.gz YAAPGNIRDOWJSR-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2[C@@H]3c4cccc(Cl)c4C[C@H]23)c1 ZINC001598127941 1192763438 /nfs/dbraw/zinc/76/34/38/1192763438.db2.gz CKAUDMIZVNUZMP-JCURWCKSSA-N 0 1 324.767 3.442 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001691496308 1176833134 /nfs/dbraw/zinc/83/31/34/1176833134.db2.gz TWXMQGPJCJFTCN-LZLYRXPVSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001670788690 1176845704 /nfs/dbraw/zinc/84/57/04/1176845704.db2.gz MRHAHODFZKRSPG-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2oc(C)cc2C)C1 ZINC001752506910 1176872143 /nfs/dbraw/zinc/87/21/43/1176872143.db2.gz YPKQRJQKRWTEQB-HNNXBMFYSA-N 0 1 324.852 3.433 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN(C)Cc2cc(C)no2)C1 ZINC001752508275 1176874540 /nfs/dbraw/zinc/87/45/40/1176874540.db2.gz PPJKKLOUMJDJPN-INIZCTEOSA-N 0 1 319.449 3.010 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)on1 ZINC001752524433 1176881688 /nfs/dbraw/zinc/88/16/88/1176881688.db2.gz QUIGWNMLQUSDME-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@](C)(F)CCCC)CC1(C)C ZINC001700920554 1177018260 /nfs/dbraw/zinc/01/82/60/1177018260.db2.gz BXZRLMHOTDNONF-BBRMVZONSA-N 0 1 318.864 3.484 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCCN1CCC(F)(F)F ZINC001752815849 1177191035 /nfs/dbraw/zinc/19/10/35/1177191035.db2.gz ICCTYXLVQAXCFK-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001752822917 1177204436 /nfs/dbraw/zinc/20/44/36/1177204436.db2.gz QPIIBNZQBCQEPU-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001691557250 1177311137 /nfs/dbraw/zinc/31/11/37/1177311137.db2.gz UVHKUOKZIRPFIS-BPQIPLTHSA-N 0 1 300.446 3.049 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001691557251 1177311876 /nfs/dbraw/zinc/31/18/76/1177311876.db2.gz UVHKUOKZIRPFIS-RYQLBKOJSA-N 0 1 300.446 3.049 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H]1CCCc2ccccc21 ZINC001691567498 1177314550 /nfs/dbraw/zinc/31/45/50/1177314550.db2.gz UAFJAWOOSKIWKE-MRXNPFEDSA-N 0 1 320.864 3.297 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@@H](NCc2oc(C)nc2C)C1 ZINC001691614023 1177328701 /nfs/dbraw/zinc/32/87/01/1177328701.db2.gz SAAQOBIRKWGSDG-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2cc(Cl)ccc2O)cc1 ZINC000036984998 1177378748 /nfs/dbraw/zinc/37/87/48/1177378748.db2.gz FQIRIIRNFRLQMR-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)c3c2CCC3)CC1 ZINC001670907248 1177524755 /nfs/dbraw/zinc/52/47/55/1177524755.db2.gz QVMPENARJOTZJA-UHFFFAOYSA-N 0 1 318.848 3.088 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccoc1C(C)C ZINC001671391075 1177580801 /nfs/dbraw/zinc/58/08/01/1177580801.db2.gz WFYDNLDLAFBCFI-ZDUSSCGKSA-N 0 1 310.825 3.350 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCc1sccc1C ZINC001691675254 1177672862 /nfs/dbraw/zinc/67/28/62/1177672862.db2.gz VTIZUMFMDYLTRI-HNNXBMFYSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccc(CC)cc1 ZINC001691679368 1177680758 /nfs/dbraw/zinc/68/07/58/1177680758.db2.gz UIHYRVIVAZZCMX-LJQANCHMSA-N 0 1 314.473 3.290 20 30 DGEDMN C#CC[C@@H](CC(=O)N1CCN(CCC2CC2)CC1)c1ccccc1 ZINC001113736594 1177729937 /nfs/dbraw/zinc/72/99/37/1177729937.db2.gz LKMZHIUSWYUGIT-FQEVSTJZSA-N 0 1 324.468 3.128 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(CC)CCC(F)(F)CC2)[C@H]1C ZINC001691697060 1177736987 /nfs/dbraw/zinc/73/69/87/1177736987.db2.gz OHXKMWBVQAGNAR-KGLIPLIRSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccc(Cl)cc1)C2 ZINC001110867328 1177911800 /nfs/dbraw/zinc/91/18/00/1177911800.db2.gz KDMCVVGNBMQHEF-ZACQAIPSSA-N 0 1 318.848 3.180 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3cccnc32)C1 ZINC001671144964 1178047317 /nfs/dbraw/zinc/04/73/17/1178047317.db2.gz QYKDMPHFWBUERF-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(CCC)cc1 ZINC001331542431 1178241644 /nfs/dbraw/zinc/24/16/44/1178241644.db2.gz GDEFTUNPJDIJAD-AWEZNQCLSA-N 0 1 308.853 3.442 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@H](C)NCc2ncc(C)s2)CC1 ZINC001331548611 1178241953 /nfs/dbraw/zinc/24/19/53/1178241953.db2.gz RGTIXVHCAASPDW-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2oc(C(C)C)nc2C)C[C@@H]1C ZINC001331962081 1178320866 /nfs/dbraw/zinc/32/08/66/1178320866.db2.gz ZVUHKUYMYCBZIN-ZFWWWQNUSA-N 0 1 319.449 3.152 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3ccc(C#N)s3)C2)cc1 ZINC001332022288 1178338700 /nfs/dbraw/zinc/33/87/00/1178338700.db2.gz WEYXNOXUHJSJDC-AWEZNQCLSA-N 0 1 311.410 3.211 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)[C@H]1C ZINC001332158077 1178371697 /nfs/dbraw/zinc/37/16/97/1178371697.db2.gz JYKWDQSYRQVOLC-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN COc1ccc(C=NNc2ccc(C(C)(C)C)nn2)c(F)c1 ZINC001332537101 1178461367 /nfs/dbraw/zinc/46/13/67/1178461367.db2.gz ANCBUZUTIUHJPZ-UHFFFAOYSA-N 0 1 302.353 3.368 20 30 DGEDMN CC(C)N(CCN(C)Cc1cccc(Cl)c1)C(=O)[C@H](C)C#N ZINC001332692531 1178475310 /nfs/dbraw/zinc/47/53/10/1178475310.db2.gz ABWBCROQUFIOHC-CQSZACIVSA-N 0 1 321.852 3.168 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1[C@H]2CCCCCC[C@H]12)C(C)C ZINC001332731652 1178485178 /nfs/dbraw/zinc/48/51/78/1178485178.db2.gz BVLZHODKDOXOQW-ROUUACIJSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN(C)CCN(C(=O)C1[C@H]2CCCCCC[C@H]12)C(C)C ZINC001332732758 1178485301 /nfs/dbraw/zinc/48/53/01/1178485301.db2.gz RVKNJJIMMMIFLM-IRXDYDNUSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001333116381 1178558758 /nfs/dbraw/zinc/55/87/58/1178558758.db2.gz SDXWYANFEUNQEM-PUJMQQBBSA-N 0 1 321.490 3.133 20 30 DGEDMN OCC12CCC(CC1)N2CC#Cc1ccc(C(F)(F)F)cc1 ZINC001333274598 1178579657 /nfs/dbraw/zinc/57/96/57/1178579657.db2.gz ATGIKOCYLKGRPZ-UHFFFAOYSA-N 0 1 309.331 3.046 20 30 DGEDMN C#CCCCC(=O)NCC1=CCN(C/C=C/c2ccccc2)CC1 ZINC001333329908 1178590292 /nfs/dbraw/zinc/59/02/92/1178590292.db2.gz YQTBWCPPAOWSOI-DHZHZOJOSA-N 0 1 322.452 3.252 20 30 DGEDMN C#CC[N@@H+]1CC=C(CNC(=O)CCCC2CCCCC2)CC1 ZINC001333443886 1178606107 /nfs/dbraw/zinc/60/61/07/1178606107.db2.gz FJUGMOGFEAQYAX-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C#CCN1CC=C(CNC(=O)CCCC2CCCCC2)CC1 ZINC001333443886 1178606111 /nfs/dbraw/zinc/60/61/11/1178606111.db2.gz FJUGMOGFEAQYAX-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001333495367 1178614717 /nfs/dbraw/zinc/61/47/17/1178614717.db2.gz RCAGFJCSNVICNI-MZMPZRCHSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001333752000 1178667936 /nfs/dbraw/zinc/66/79/36/1178667936.db2.gz CIHSAQKMGKWCQT-SNVBAGLBSA-N 0 1 306.353 3.025 20 30 DGEDMN CC(C)Cc1ccc(CNCc2cccc(OCC#N)c2)cn1 ZINC001334955852 1178834736 /nfs/dbraw/zinc/83/47/36/1178834736.db2.gz JUJUJKGOZZAWCB-UHFFFAOYSA-N 0 1 309.413 3.472 20 30 DGEDMN N#Cc1ccc(C2CCN(C(=O)c3cnc(C4CC4)[nH]3)CC2)cc1 ZINC001335602364 1178935764 /nfs/dbraw/zinc/93/57/64/1178935764.db2.gz ZUIOMITYUDXWFS-UHFFFAOYSA-N 0 1 320.396 3.179 20 30 DGEDMN CC[C@H](F)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC001342049378 1179097668 /nfs/dbraw/zinc/09/76/68/1179097668.db2.gz PGJOJPSRILXQCY-SCZZXKLOSA-N 0 1 317.147 3.389 20 30 DGEDMN C=CCC1(NC(=O)C[C@H](N)c2ccccc2OCC)CCCC1 ZINC001342147821 1179110329 /nfs/dbraw/zinc/11/03/29/1179110329.db2.gz ZNYIFLMKJYOSNF-INIZCTEOSA-N 0 1 316.445 3.480 20 30 DGEDMN N#CC(C(=O)C[C@@H]1OCCc2ccccc21)c1cccc(F)n1 ZINC001342560297 1179153888 /nfs/dbraw/zinc/15/38/88/1179153888.db2.gz FOBIFTRSIBRSNE-YOEHRIQHSA-N 0 1 310.328 3.101 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)[C@H]2CCC[C@@H]21 ZINC001601827673 1192947750 /nfs/dbraw/zinc/94/77/50/1192947750.db2.gz YQCIFQWNIYIBFN-ZACQAIPSSA-N 0 1 317.816 3.267 20 30 DGEDMN Cc1sc(C(=O)[C@H](C#N)c2nccn2C)cc1Br ZINC001343849890 1179338903 /nfs/dbraw/zinc/33/89/03/1179338903.db2.gz AOSYWEBJFKVMEV-QMMMGPOBSA-N 0 1 324.203 3.043 20 30 DGEDMN Cc1sc(C(=O)C(C#N)c2nccn2C)cc1Br ZINC001343849890 1179338911 /nfs/dbraw/zinc/33/89/11/1179338911.db2.gz AOSYWEBJFKVMEV-QMMMGPOBSA-N 0 1 324.203 3.043 20 30 DGEDMN Cc1cc(C)c2cc(C(=O)C(C#N)c3nccn3C)sc2n1 ZINC001343854319 1179339418 /nfs/dbraw/zinc/33/94/18/1179339418.db2.gz YAWXAVCDHVQLEP-GFCCVEGCSA-N 0 1 310.382 3.137 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@@H]2CCc3c2cccc3Cl)CC1 ZINC001344372833 1179421943 /nfs/dbraw/zinc/42/19/43/1179421943.db2.gz FFAYFDULZSHESE-MRXNPFEDSA-N 0 1 317.816 3.011 20 30 DGEDMN C=CC1(OC(=O)c2c[nH]c(=NC(=O)OC(C)(C)C)s2)CC1 ZINC001344749433 1179473325 /nfs/dbraw/zinc/47/33/25/1179473325.db2.gz IHEFBFLGNWHYDK-UHFFFAOYSA-N 0 1 310.375 3.366 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001344863096 1179487495 /nfs/dbraw/zinc/48/74/95/1179487495.db2.gz DVSVAOCJSVBOCW-VNCLNFNDSA-N 0 1 305.381 3.201 20 30 DGEDMN O=C([O-])[C@]12CCC[C@H]1[N@@H+](CC#Cc1ccc(Cl)cc1)CC2 ZINC001602223167 1192963258 /nfs/dbraw/zinc/96/32/58/1192963258.db2.gz YWCNJLWSATWCQU-WBVHZDCISA-N 0 1 303.789 3.021 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1ccc(F)cc1F ZINC001345643385 1179585593 /nfs/dbraw/zinc/58/55/93/1179585593.db2.gz QEDDEZIDCJDWOT-LBPRGKRZSA-N 0 1 317.339 3.335 20 30 DGEDMN C#C[C@H](NC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1)c1ccccc1 ZINC001345742417 1179601472 /nfs/dbraw/zinc/60/14/72/1179601472.db2.gz PZVVKBWLGDLENS-INIZCTEOSA-N 0 1 324.428 3.223 20 30 DGEDMN Cc1cc(F)ccc1C(=O)[C@@H](C#N)c1nc(N)c2ccccc2n1 ZINC001346054109 1179644252 /nfs/dbraw/zinc/64/42/52/1179644252.db2.gz LKFAZFOOXCRYJE-CQSZACIVSA-N 0 1 320.327 3.150 20 30 DGEDMN Cc1cc(F)ccc1C(=O)C(C#N)c1nc(N)c2ccccc2n1 ZINC001346054109 1179644255 /nfs/dbraw/zinc/64/42/55/1179644255.db2.gz LKFAZFOOXCRYJE-CQSZACIVSA-N 0 1 320.327 3.150 20 30 DGEDMN CCCCc1ccc(NC(=O)[C@H](C#N)C(=O)c2[nH]cnc2C)cc1 ZINC001346239310 1179675416 /nfs/dbraw/zinc/67/54/16/1179675416.db2.gz IYBQLQGRNYGENW-OAHLLOKOSA-N 0 1 324.384 3.022 20 30 DGEDMN CC[C@H](C)c1ccc(NC(=O)[C@@H](C#N)C(=O)c2[nH]cnc2C)cc1 ZINC001346248797 1179676182 /nfs/dbraw/zinc/67/61/82/1179676182.db2.gz PVDUBOAHIKASIQ-NHYWBVRUSA-N 0 1 324.384 3.193 20 30 DGEDMN CC[C@@H](C)c1ccc(NC(=O)[C@@H](C#N)C(=O)c2[nH]cnc2C)cc1 ZINC001346248792 1179676850 /nfs/dbraw/zinc/67/68/50/1179676850.db2.gz PVDUBOAHIKASIQ-ABAIWWIYSA-N 0 1 324.384 3.193 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)[C@@H](C)C1 ZINC001346626936 1179727708 /nfs/dbraw/zinc/72/77/08/1179727708.db2.gz FERFECBNOFZUBF-OLZOCXBDSA-N 0 1 314.437 3.035 20 30 DGEDMN N#C[C@@H](C(=O)CCc1cccs1)c1nc(N)c2ccccc2n1 ZINC001347063257 1179773726 /nfs/dbraw/zinc/77/37/26/1179773726.db2.gz IHBCUALVRDGLHD-ZDUSSCGKSA-N 0 1 322.393 3.083 20 30 DGEDMN C#CCCCC(=O)N(CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001479992144 1179948288 /nfs/dbraw/zinc/94/82/88/1179948288.db2.gz LIVUPXQZUZDUEP-MRXNPFEDSA-N 0 1 310.457 3.241 20 30 DGEDMN C#C[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001602681536 1192994383 /nfs/dbraw/zinc/99/43/83/1192994383.db2.gz NJTQBZQURROLBF-IINYFYTJSA-N 0 1 312.196 3.464 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])cc1 ZINC001603230524 1193011774 /nfs/dbraw/zinc/01/17/74/1193011774.db2.gz OKSSFIJYEZULAY-UAGQMJEPSA-N 0 1 312.413 3.173 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCCCC2)o1 ZINC001603406362 1193017401 /nfs/dbraw/zinc/01/74/01/1193017401.db2.gz FPCVGAKOQZWTKM-UHFFFAOYSA-N 0 1 303.370 3.100 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCC[N@H+]1CCc1ccns1 ZINC001480325192 1180369581 /nfs/dbraw/zinc/36/95/81/1180369581.db2.gz VNRLAMYEKZEFTG-HNNXBMFYSA-N 0 1 321.490 3.013 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1CCc1ccns1 ZINC001480325192 1180369588 /nfs/dbraw/zinc/36/95/88/1180369588.db2.gz VNRLAMYEKZEFTG-HNNXBMFYSA-N 0 1 321.490 3.013 20 30 DGEDMN C[C@H](NCCOC1CCSCC1)c1ccc(C#N)cc1F ZINC001352738410 1180389888 /nfs/dbraw/zinc/38/98/88/1180389888.db2.gz VQQHYJPZIILWEO-LBPRGKRZSA-N 0 1 308.422 3.260 20 30 DGEDMN N#CC1(CNCCC(=O)Nc2cccc(Cl)c2)CCCC1 ZINC001353352413 1180536994 /nfs/dbraw/zinc/53/69/94/1180536994.db2.gz FONCKMRANUSZNC-UHFFFAOYSA-N 0 1 305.809 3.342 20 30 DGEDMN CCCCCCCCCNC(=S)N1CCC2(CN(C)C2)C1 ZINC001200376566 1180587528 /nfs/dbraw/zinc/58/75/28/1180587528.db2.gz GNCNIQZCOISEEY-UHFFFAOYSA-N 0 1 311.539 3.249 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100568028 1180796801 /nfs/dbraw/zinc/79/68/01/1180796801.db2.gz NIOWHMZILOTEKO-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NCc2c(F)cccc2F)C1 ZINC001100623269 1180860145 /nfs/dbraw/zinc/86/01/45/1180860145.db2.gz GSAPEBNCOOCEKB-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100711563 1180956804 /nfs/dbraw/zinc/95/68/04/1180956804.db2.gz VWQRSRCEWCIAHU-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CCc2ccccc21 ZINC001604787039 1193093080 /nfs/dbraw/zinc/09/30/80/1193093080.db2.gz VQMNNUNWMDJKOM-AUUYWEPGSA-N 0 1 320.392 3.346 20 30 DGEDMN C[C@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CCc2ccccc21 ZINC001604786746 1193093278 /nfs/dbraw/zinc/09/32/78/1193093278.db2.gz QNIWPRXTTBWPBN-IFXJQAMLSA-N 0 1 320.392 3.346 20 30 DGEDMN COc1cc(C(=O)C(C#N)c2nc(C(C)C)cs2)ccn1 ZINC001357568740 1181409577 /nfs/dbraw/zinc/40/95/77/1181409577.db2.gz SEUQKDABGWJWEN-NSHDSACASA-N 0 1 301.371 3.160 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001480736477 1181690805 /nfs/dbraw/zinc/69/08/05/1181690805.db2.gz QMGAUXUEZXMYGH-VAMGGRTRSA-N 0 1 324.468 3.007 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3C[C@H]3c3ccc(F)cc3)[nH]c2c1 ZINC001438137455 1181959411 /nfs/dbraw/zinc/95/94/11/1181959411.db2.gz OOXPAKIOJWCGEC-UONOGXRCSA-N 0 1 320.327 3.316 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC001456728406 1182427926 /nfs/dbraw/zinc/42/79/26/1182427926.db2.gz WEJRPLCVCNBNSK-VQIMIIECSA-N 0 1 312.457 3.390 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@H]3C[C@H]3C3CCCC3)n2)c1 ZINC001458039991 1182490918 /nfs/dbraw/zinc/49/09/18/1182490918.db2.gz CNSVUSWURKWDOQ-GJZGRUSLSA-N 0 1 321.384 3.108 20 30 DGEDMN C[C@@H]1CC=C(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001458041678 1182490922 /nfs/dbraw/zinc/49/09/22/1182490922.db2.gz HLYJERMQOLGQEL-LLVKDONJSA-N 0 1 307.357 3.028 20 30 DGEDMN CC[C@H](NCC(=O)Nc1cccc(C#N)c1)c1ccccc1F ZINC001460336457 1182678342 /nfs/dbraw/zinc/67/83/42/1182678342.db2.gz AANNGWRUYLZLLU-KRWDZBQOSA-N 0 1 311.360 3.377 20 30 DGEDMN C#CCOCCN(C)[C@H]1CCCc2c(OC)ccc(Cl)c21 ZINC001460347781 1182680719 /nfs/dbraw/zinc/68/07/19/1182680719.db2.gz ZHLWAWOAYJFPPM-HNNXBMFYSA-N 0 1 307.821 3.308 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(CN(C)C)c2c1OC(F)(F)O2 ZINC001462005972 1182893500 /nfs/dbraw/zinc/89/35/00/1182893500.db2.gz FRHZXEMWLBYPMN-UHFFFAOYSA-N 0 1 324.371 3.244 20 30 DGEDMN N#Cc1ccc(Oc2cccc(NC(=O)Nc3c[nH]nn3)c2)cc1 ZINC001465591319 1183158680 /nfs/dbraw/zinc/15/86/80/1183158680.db2.gz PYSXBAKSLNZXNE-UHFFFAOYSA-N 0 1 320.312 3.113 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@H](CCC#N)c3ccccc3)c2C1 ZINC001466244770 1183194943 /nfs/dbraw/zinc/19/49/43/1183194943.db2.gz WXECHRRUSSTQLU-CZUORRHYSA-N 0 1 322.412 3.309 20 30 DGEDMN COc1cccc([C@H](C(=O)[O-])[N@@H+](C)Cc2ccc(C#N)cc2C)c1 ZINC001607309251 1193223089 /nfs/dbraw/zinc/22/30/89/1193223089.db2.gz HETZJSIQTGGCRJ-GOSISDBHSA-N 0 1 324.380 3.133 20 30 DGEDMN C=CCCCNC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001470043819 1183457455 /nfs/dbraw/zinc/45/74/55/1183457455.db2.gz KJESCUGKUZBTFU-UHFFFAOYSA-N 0 1 310.401 3.013 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC001470877098 1183520634 /nfs/dbraw/zinc/52/06/34/1183520634.db2.gz APDAUJJJYJLHGA-QGZVFWFLSA-N 0 1 315.461 3.305 20 30 DGEDMN C#CCC1(NC(=O)c2ccc(CN3CCCC3)o2)CCCCC1 ZINC001472038510 1183610341 /nfs/dbraw/zinc/61/03/41/1183610341.db2.gz RFRJGSVAKUXQDQ-UHFFFAOYSA-N 0 1 314.429 3.331 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2c[nH]c3ccc(C)cc3c2=O)C1 ZINC001472595051 1183655874 /nfs/dbraw/zinc/65/58/74/1183655874.db2.gz ABADWCZLKWDISO-AWEZNQCLSA-N 0 1 310.397 3.265 20 30 DGEDMN N#C[C@@H](CCc1ccccc1)Sc1nc(-c2ccccn2)n[nH]1 ZINC001472918914 1183699442 /nfs/dbraw/zinc/69/94/42/1183699442.db2.gz SULXBNARBFOISP-CQSZACIVSA-N 0 1 321.409 3.484 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccc(Br)c(C)c1 ZINC001473488587 1183765876 /nfs/dbraw/zinc/76/58/76/1183765876.db2.gz NIAQTXOAAMCQQF-OAHLLOKOSA-N 0 1 323.234 3.088 20 30 DGEDMN CC#CC[C@@H](CO)NCc1ccc(-c2ccccc2)cc1OC ZINC001474195765 1183881733 /nfs/dbraw/zinc/88/17/33/1183881733.db2.gz FDORMFUYHOHSKY-IBGZPJMESA-N 0 1 309.409 3.226 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1CCC[C@H](C)O1 ZINC001474213002 1183882679 /nfs/dbraw/zinc/88/26/79/1183882679.db2.gz FFQIULXLABJBBN-KBPBESRZSA-N 0 1 307.821 3.399 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H]1CCC[C@H](C)O1 ZINC001474213004 1183883159 /nfs/dbraw/zinc/88/31/59/1183883159.db2.gz FFQIULXLABJBBN-UONOGXRCSA-N 0 1 307.821 3.399 20 30 DGEDMN C#CCC[C@@H](O)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001474253434 1183890653 /nfs/dbraw/zinc/89/06/53/1183890653.db2.gz JGINCJJGSBKXRD-OAHLLOKOSA-N 0 1 320.845 3.327 20 30 DGEDMN CSc1ccc(CNCc2cnn3ccccc23)cc1C#N ZINC001474266428 1183891729 /nfs/dbraw/zinc/89/17/29/1183891729.db2.gz SHEMQWWILJLOMZ-UHFFFAOYSA-N 0 1 308.410 3.218 20 30 DGEDMN C[C@@H]1CC(C)(C)c2cccc(NC(=O)NCC#CCN(C)C)c21 ZINC001477110954 1184069033 /nfs/dbraw/zinc/06/90/33/1184069033.db2.gz GOBWUQILTNPAEK-CQSZACIVSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1ccccc1CC[N@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001607880746 1193265315 /nfs/dbraw/zinc/26/53/15/1193265315.db2.gz NLOGISSQJIISFN-SFHVURJKSA-N 0 1 308.381 3.167 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC001478965814 1184189580 /nfs/dbraw/zinc/18/95/80/1184189580.db2.gz QMJXBYUHZWCHIN-FGTMMUONSA-N 0 1 321.509 3.493 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1CCCc1ccsc1 ZINC001479239488 1184213256 /nfs/dbraw/zinc/21/32/56/1184213256.db2.gz SGWDGMDOSSFYAC-MRXNPFEDSA-N 0 1 320.502 3.426 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccc(F)cc1 ZINC001479269807 1184224982 /nfs/dbraw/zinc/22/49/82/1184224982.db2.gz BFAZODMAOKQFEK-WMLDXEAASA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001479368633 1184240440 /nfs/dbraw/zinc/24/04/40/1184240440.db2.gz MAYPZPASPWLMHH-SHTZXODSSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001479393492 1184243354 /nfs/dbraw/zinc/24/33/54/1184243354.db2.gz ILVCDPDBEGDZBD-XBIGZVMTSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001479393142 1184243681 /nfs/dbraw/zinc/24/36/81/1184243681.db2.gz CPOIAJWRTFDYGK-CKEIUWERSA-N 0 1 321.490 3.038 20 30 DGEDMN N#CC1(c2ccc(C[N@@H+]3CCC[C@@H](CCC(=O)[O-])C3)cc2)CC1 ZINC001608176890 1193280814 /nfs/dbraw/zinc/28/08/14/1193280814.db2.gz FWQUTTLIMLRWBP-HNNXBMFYSA-N 0 1 312.413 3.319 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@]1(C)C(C)C ZINC001479858674 1184354266 /nfs/dbraw/zinc/35/42/66/1184354266.db2.gz QPHZQLSOWFKWEB-GOEBONIOSA-N 0 1 300.874 3.249 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@@H]2Cc2ccccc2)cccc1C(=O)[O-] ZINC001608191200 1193282431 /nfs/dbraw/zinc/28/24/31/1193282431.db2.gz INHBHFAEPAIWFU-MRXNPFEDSA-N 0 1 306.365 3.073 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](NC(=O)C#CC(C)C)C2)c(C)s1 ZINC001479903524 1184372660 /nfs/dbraw/zinc/37/26/60/1184372660.db2.gz XGRWNFURBGIEGG-QGZVFWFLSA-N 0 1 318.486 3.105 20 30 DGEDMN N#Cc1ccc(C[NH2+][C@@H](C(=O)[O-])c2ccccc2Cl)c(F)c1 ZINC001608207361 1193282917 /nfs/dbraw/zinc/28/29/17/1193282917.db2.gz GLGNFOMYSAQBPG-OAHLLOKOSA-N 0 1 318.735 3.266 20 30 DGEDMN N#Cc1ccc(C[N@H+](CC(=O)[O-])C2CCCCC2)c(Cl)c1 ZINC001608205048 1193283245 /nfs/dbraw/zinc/28/32/45/1193283245.db2.gz VQDJMYZQDVKLLO-UHFFFAOYSA-N 0 1 306.793 3.431 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CCCc3ccccc3CC2)c1 ZINC001608215641 1193283691 /nfs/dbraw/zinc/28/36/91/1193283691.db2.gz NHQNWYGTCQBPBY-LJQANCHMSA-N 0 1 320.392 3.175 20 30 DGEDMN CCCN(C(=O)C#CC(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001479992712 1184406139 /nfs/dbraw/zinc/40/61/39/1184406139.db2.gz ANVOACGNXPYGDX-MRXNPFEDSA-N 0 1 310.457 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1[C@H]2CCCCCC[C@H]12)C1CC1 ZINC001480048811 1184417480 /nfs/dbraw/zinc/41/74/80/1184417480.db2.gz LFXJDSWDKFCBCR-HRCADAONSA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001480130402 1184442092 /nfs/dbraw/zinc/44/20/92/1184442092.db2.gz LWJOZJRVBBKYLE-AVGNSLFASA-N 0 1 308.372 3.229 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1scnc1C ZINC001480321966 1184492028 /nfs/dbraw/zinc/49/20/28/1184492028.db2.gz CCXXINASRLDISW-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001480477917 1184535430 /nfs/dbraw/zinc/53/54/30/1184535430.db2.gz MWWSNFGPXMMFIH-OAHLLOKOSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001480522702 1184541791 /nfs/dbraw/zinc/54/17/91/1184541791.db2.gz SVXNMLKDRAZEEQ-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001480569088 1184550703 /nfs/dbraw/zinc/55/07/03/1184550703.db2.gz DTRIUHXURYXMLP-LSDHHAIUSA-N 0 1 308.853 3.417 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)[C@H]2CC2(C)C)C1 ZINC001480723363 1184588817 /nfs/dbraw/zinc/58/88/17/1184588817.db2.gz VZDHVLOBRNVYBB-RTBURBONSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1ccccc1Cl ZINC001493525311 1184715149 /nfs/dbraw/zinc/71/51/49/1184715149.db2.gz PMBKCZCCDYVKEP-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001493561460 1184716267 /nfs/dbraw/zinc/71/62/67/1184716267.db2.gz XDKCGJYBXXNIQI-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C[C@@H](NCCN(C)C(=O)C#CC(C)(C)C)c1ccccc1Cl ZINC001493543308 1184716737 /nfs/dbraw/zinc/71/67/37/1184716737.db2.gz BROFBQVGWRSXHM-CQSZACIVSA-N 0 1 320.864 3.499 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001493683363 1184725282 /nfs/dbraw/zinc/72/52/82/1184725282.db2.gz ALHKPEQDQCAUKG-KRWDZBQOSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(C)C)nc1CC ZINC001493698368 1184726992 /nfs/dbraw/zinc/72/69/92/1184726992.db2.gz DGGXUYFKDRYZEU-UHFFFAOYSA-N 0 1 323.868 3.182 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc2ccsc21 ZINC001493755585 1184735166 /nfs/dbraw/zinc/73/51/66/1184735166.db2.gz PSIFJHKGHOWLSV-UHFFFAOYSA-N 0 1 308.834 3.315 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001493755075 1184735254 /nfs/dbraw/zinc/73/52/54/1184735254.db2.gz LFCHVHAYGPASBH-CQSZACIVSA-N 0 1 324.852 3.278 20 30 DGEDMN CCCCCCC(=O)N(C)CCNCC#Cc1ccccc1 ZINC001493780890 1184737817 /nfs/dbraw/zinc/73/78/17/1184737817.db2.gz UNZOAGMYHTZJJA-UHFFFAOYSA-N 0 1 300.446 3.057 20 30 DGEDMN C=C[C@H](CC(=O)N(C)CCNCC(=C)Cl)c1ccccc1 ZINC001493801950 1184739734 /nfs/dbraw/zinc/73/97/34/1184739734.db2.gz PZLDKGSJZUIQCP-OAHLLOKOSA-N 0 1 306.837 3.147 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(Cl)c[nH]1)C(C)C ZINC001493851177 1184748874 /nfs/dbraw/zinc/74/88/74/1184748874.db2.gz IRLKKSWHSOYVNP-UHFFFAOYSA-N 0 1 318.248 3.251 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C1CCC1)C1CCC1)c1ccccc1 ZINC001493899289 1184760857 /nfs/dbraw/zinc/76/08/57/1184760857.db2.gz ZJHBXJSURGTPHW-IBGZPJMESA-N 0 1 324.468 3.283 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001494271258 1184842829 /nfs/dbraw/zinc/84/28/29/1184842829.db2.gz SCVQKDUEFYTJGE-MRXNPFEDSA-N 0 1 318.486 3.187 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001494287751 1184845929 /nfs/dbraw/zinc/84/59/29/1184845929.db2.gz BCTAUJNPMQFQFR-ZWKOTPCHSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001494298275 1184849099 /nfs/dbraw/zinc/84/90/99/1184849099.db2.gz MRGLYFXHQVXDQL-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001494737720 1184915907 /nfs/dbraw/zinc/91/59/07/1184915907.db2.gz UNFSKJAIIWQWHG-SFHVURJKSA-N 0 1 300.446 3.084 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001494843284 1184942158 /nfs/dbraw/zinc/94/21/58/1184942158.db2.gz ZRNQWJPJDFJAFK-QGZVFWFLSA-N 0 1 316.445 3.084 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)C(C)(C)C(C)C ZINC001494987370 1184976221 /nfs/dbraw/zinc/97/62/21/1184976221.db2.gz GADHCYRRXDBKAV-LBPRGKRZSA-N 0 1 319.287 3.062 20 30 DGEDMN C[C@@](CNCc1ccccc1C#N)(NC(=O)C1=CCCC1)C1CC1 ZINC001495243846 1185016941 /nfs/dbraw/zinc/01/69/41/1185016941.db2.gz VGNKNCZEJSJZTC-FQEVSTJZSA-N 0 1 323.440 3.043 20 30 DGEDMN CC(CCC1CC1)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC001609109687 1193324530 /nfs/dbraw/zinc/32/45/30/1193324530.db2.gz CXPZHFVXXKEQTO-UHFFFAOYSA-N 0 1 317.397 3.130 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccccc2F)CC1 ZINC001495497848 1185064443 /nfs/dbraw/zinc/06/44/43/1185064443.db2.gz HZFBBVWMRNQTNW-UHFFFAOYSA-N 0 1 324.827 3.410 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001495870872 1185108739 /nfs/dbraw/zinc/10/87/39/1185108739.db2.gz QSZQUCZSTOKUMU-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001495870875 1185108850 /nfs/dbraw/zinc/10/88/50/1185108850.db2.gz QSZQUCZSTOKUMU-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2csc(C)n2)CCCC1 ZINC001495940355 1185116129 /nfs/dbraw/zinc/11/61/29/1185116129.db2.gz ZVOSBAUGAQJGDA-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001496052734 1185129947 /nfs/dbraw/zinc/12/99/47/1185129947.db2.gz YZYFFSGPFVTAEW-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncoc2C(C)C)[C@H](C)C1 ZINC001496336301 1185169988 /nfs/dbraw/zinc/16/99/88/1185169988.db2.gz YHPWTXKIAWZPLO-CABCVRRESA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccc(C)o1 ZINC001496466498 1185192856 /nfs/dbraw/zinc/19/28/56/1185192856.db2.gz OCIRPTXLAMHZLL-BXUZGUMPSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](NCc2cscn2)C(C)C)CCC1 ZINC001496595714 1185218304 /nfs/dbraw/zinc/21/83/04/1185218304.db2.gz BTPQTCBBQRPIPS-OAHLLOKOSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCN(C)Cc2ccc(F)cc2)CC1 ZINC001496776548 1185246982 /nfs/dbraw/zinc/24/69/82/1185246982.db2.gz DQEAXMOMLKFLEK-UHFFFAOYSA-N 0 1 318.436 3.462 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2coc(C)n2)CCCC1 ZINC001497338493 1185317886 /nfs/dbraw/zinc/31/78/86/1185317886.db2.gz BZNLYRZARPGGQG-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)c1cccs1 ZINC001497453121 1185336666 /nfs/dbraw/zinc/33/66/66/1185336666.db2.gz RIVALFCHLKEDPG-GFCCVEGCSA-N 0 1 300.855 3.042 20 30 DGEDMN CC(C)(C)[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001609654838 1193347406 /nfs/dbraw/zinc/34/74/06/1193347406.db2.gz LYDVNHNOIKLRIJ-HOTGVXAUSA-N 0 1 300.402 3.442 20 30 DGEDMN CC(C)C[N@@H+](Cc1ccc(C#N)cc1)CC1(CC(=O)[O-])CC1 ZINC001609698685 1193350437 /nfs/dbraw/zinc/35/04/37/1193350437.db2.gz LXELLDMYPRHAJV-UHFFFAOYSA-N 0 1 300.402 3.271 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C)(C)Cc1ccccc1 ZINC001497720864 1185381669 /nfs/dbraw/zinc/38/16/69/1185381669.db2.gz BOIPPTMLLHVONW-FPLPWBNLSA-N 0 1 320.864 3.270 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(OC2CCC2)cc1 ZINC001497988314 1185419741 /nfs/dbraw/zinc/41/97/41/1185419741.db2.gz RIBIPVWZKZGDSK-CYBMUJFWSA-N 0 1 322.836 3.078 20 30 DGEDMN C[C@@H](CNCc1cccc(Cl)c1F)NC(=O)C#CC(C)(C)C ZINC001498040710 1185428798 /nfs/dbraw/zinc/42/87/98/1185428798.db2.gz XMPXKZBONDRTHM-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN CC[C@@H](CC(=O)N[C@@H](C)CNCc1ccccc1C#N)C(C)C ZINC001498166583 1185443000 /nfs/dbraw/zinc/44/30/00/1185443000.db2.gz IBANAFOZQXUHSQ-HOTGVXAUSA-N 0 1 315.461 3.225 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(Cl)c(CCC)c1 ZINC001498425560 1185491316 /nfs/dbraw/zinc/49/13/16/1185491316.db2.gz OIFIWRMWNZBHLD-CQSZACIVSA-N 0 1 320.864 3.366 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1ncc(C)s1)C1CC1 ZINC001498817648 1185551732 /nfs/dbraw/zinc/55/17/32/1185551732.db2.gz MAJQYWTYMHGZEL-PBHICJAKSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCc1ccc(CC)cc1 ZINC001498987018 1185587119 /nfs/dbraw/zinc/58/71/19/1185587119.db2.gz SZERKSOGAZDPDF-LJQANCHMSA-N 0 1 314.473 3.338 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C23CCC(CC2)C3(C)C)C1 ZINC001499753880 1185692614 /nfs/dbraw/zinc/69/26/14/1185692614.db2.gz SBDLTCGKZUXQPJ-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN CC[N@@H+](Cc1ccc(OC)cc1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001610002994 1193371845 /nfs/dbraw/zinc/37/18/45/1193371845.db2.gz PSACWJXFKUMHQF-GOSISDBHSA-N 0 1 324.380 3.215 20 30 DGEDMN C=C[C@@H](C(=O)N1CCC[C@H]1CNCC(=C)Cl)c1ccccc1 ZINC001499953553 1185722528 /nfs/dbraw/zinc/72/25/28/1185722528.db2.gz WLAAUJDNFHEPLG-DLBZAZTESA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(C)=O)c1ccc2ccccc2c1 ZINC001500059520 1185736528 /nfs/dbraw/zinc/73/65/28/1185736528.db2.gz CWMXPUWLCHUARK-KRWDZBQOSA-N 0 1 302.805 3.359 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001500276187 1185768508 /nfs/dbraw/zinc/76/85/08/1185768508.db2.gz YHCPGMQARPYBNG-AZUAARDMSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCC(=O)N1CC[C@@](C)(CNCc2ncoc2C(C)C)C1 ZINC001500324145 1185775062 /nfs/dbraw/zinc/77/50/62/1185775062.db2.gz FSUPPSTWIJXQSH-SFHVURJKSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2coc(C)c2)CC1 ZINC001500422538 1185789772 /nfs/dbraw/zinc/78/97/72/1185789772.db2.gz XBGSYOYOLYABQW-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(C3CC3)CC2)CC1 ZINC001500430977 1185790268 /nfs/dbraw/zinc/79/02/68/1185790268.db2.gz DYGONHUVQKGMOU-UHFFFAOYSA-N 0 1 310.869 3.147 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001500537347 1185817601 /nfs/dbraw/zinc/81/76/01/1185817601.db2.gz CUHXCQSSHFWFJA-XLIONFOSSA-N 0 1 318.505 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C[C@H](C)CCC)C1 ZINC001500606711 1185826884 /nfs/dbraw/zinc/82/68/84/1185826884.db2.gz CQDNXSDTTSKGBG-ZIAGYGMSSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCCCC1)c1ccccc1CC ZINC001501020167 1185857551 /nfs/dbraw/zinc/85/75/51/1185857551.db2.gz YGEFHNQLYAODEZ-IBGZPJMESA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)C#CC(C)C)cc1 ZINC001501677149 1185921162 /nfs/dbraw/zinc/92/11/62/1185921162.db2.gz UPXDUWOFZBKYSO-OAHLLOKOSA-N 0 1 318.848 3.365 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)C(CC)CC)CCC1 ZINC001501971253 1185942246 /nfs/dbraw/zinc/94/22/46/1185942246.db2.gz QFRDCTZHYLNRNM-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN CCC(C)(C)C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001501995835 1185944677 /nfs/dbraw/zinc/94/46/77/1185944677.db2.gz KKOIZRGFRLDDAN-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001502339193 1185971102 /nfs/dbraw/zinc/97/11/02/1185971102.db2.gz YOLCYCVXUXTRSG-UHFFFAOYSA-N 0 1 319.474 3.256 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CCC1CC1 ZINC001502521546 1185991728 /nfs/dbraw/zinc/99/17/28/1185991728.db2.gz CZQXLGYIWTWABC-LJQANCHMSA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(CC(C)C)CCCC2)C(C)(C)C1 ZINC001502834271 1186022298 /nfs/dbraw/zinc/02/22/98/1186022298.db2.gz IDAAUJBFMSNDRZ-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](c1ccccc1)C1CC1 ZINC001505438536 1186079921 /nfs/dbraw/zinc/07/99/21/1186079921.db2.gz JCGFEXHXACXAPK-WOJBJXKFSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)Cc1ccccc1F ZINC001505444885 1186081122 /nfs/dbraw/zinc/08/11/22/1186081122.db2.gz KMICDRAGCFTHFP-RDJZCZTQSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1oc(C(C)C)nc1C ZINC001505573386 1186106286 /nfs/dbraw/zinc/10/62/86/1186106286.db2.gz BSCCKBKHJQHBPW-QWHCGFSZSA-N 0 1 307.438 3.055 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC001505574299 1186106712 /nfs/dbraw/zinc/10/67/12/1186106712.db2.gz HONFGVMWUBFKBQ-VXGBXAGGSA-N 0 1 312.816 3.428 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc2ccccc2o1 ZINC001505670681 1186121384 /nfs/dbraw/zinc/12/13/84/1186121384.db2.gz HONYGJYKRLCYFS-QWHCGFSZSA-N 0 1 315.417 3.023 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1ccc(Cl)s1 ZINC001505704977 1186127493 /nfs/dbraw/zinc/12/74/93/1186127493.db2.gz CWKOLWOVDAIJRK-NXEZZACHSA-N 0 1 321.273 3.179 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC001505914714 1186163867 /nfs/dbraw/zinc/16/38/67/1186163867.db2.gz PUSOSPMHOCQMOU-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C2CCCC2)cc1 ZINC001505962957 1186171141 /nfs/dbraw/zinc/17/11/41/1186171141.db2.gz GTVBTYJSTFRDGZ-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C(C)(C)c1ccccc1Cl ZINC001506044066 1186189138 /nfs/dbraw/zinc/18/91/38/1186189138.db2.gz YOPFRUWJIPNQKZ-AWEZNQCLSA-N 0 1 320.864 3.077 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001506067447 1186192688 /nfs/dbraw/zinc/19/26/88/1186192688.db2.gz OBPBUDBXSJXHLR-MAUKXSAKSA-N 0 1 318.436 3.025 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2ccccc2F)C1 ZINC001506593036 1186257863 /nfs/dbraw/zinc/25/78/63/1186257863.db2.gz NMEUCEGCMQPGRO-KBPBESRZSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@H](C)c2ccccc2F)C1 ZINC001506593039 1186258680 /nfs/dbraw/zinc/25/86/80/1186258680.db2.gz NMEUCEGCMQPGRO-ZIAGYGMSSA-N 0 1 304.409 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001507014376 1186340167 /nfs/dbraw/zinc/34/01/67/1186340167.db2.gz XWAKJBZVUKDOMJ-WTTBNOFXSA-N 0 1 322.880 3.168 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ncsc1C(C)C ZINC001507143797 1186371515 /nfs/dbraw/zinc/37/15/15/1186371515.db2.gz LGRNAQYURDTSGM-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cccc(Cl)n2)C[C@H]1C ZINC001507309109 1186387100 /nfs/dbraw/zinc/38/71/00/1186387100.db2.gz GOOGRTAMESYHMI-UKRRQHHQSA-N 0 1 321.852 3.170 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](CC)Cc1ccccc1)C(C)C ZINC001507842732 1186449695 /nfs/dbraw/zinc/44/96/95/1186449695.db2.gz YHLLPKQNNJQMOO-IBGZPJMESA-N 0 1 314.473 3.057 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1CC)C(C)(C)C ZINC001507933452 1186459974 /nfs/dbraw/zinc/45/99/74/1186459974.db2.gz VRHREHUXSZJWQF-AWEZNQCLSA-N 0 1 312.841 3.329 20 30 DGEDMN C=CCCCn1c([C@@H](C)CC)nnc1N1CCN(C(C)C)CC1 ZINC001507942178 1186460203 /nfs/dbraw/zinc/46/02/03/1186460203.db2.gz BVRYXMQWDSYCIS-INIZCTEOSA-N 0 1 319.497 3.288 20 30 DGEDMN N#C[C@H](C(=O)c1cccc2c1OCC2)c1nc(C2CC2)cs1 ZINC001515389669 1186757516 /nfs/dbraw/zinc/75/75/16/1186757516.db2.gz BSWPJUOQCBUVEN-CYBMUJFWSA-N 0 1 310.378 3.445 20 30 DGEDMN N#CC(C(=O)c1cccc2c1OCC2)c1nc(C2CC2)cs1 ZINC001515389669 1186757517 /nfs/dbraw/zinc/75/75/17/1186757517.db2.gz BSWPJUOQCBUVEN-CYBMUJFWSA-N 0 1 310.378 3.445 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001516578078 1186787364 /nfs/dbraw/zinc/78/73/64/1186787364.db2.gz JDHDNSWABOKKBW-SNVBAGLBSA-N 0 1 320.780 3.045 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(-c2ccccc2)[nH]n1)c1cccc(F)n1 ZINC001516710180 1186789941 /nfs/dbraw/zinc/78/99/41/1186789941.db2.gz GTDBPEKNQPZZQJ-GFCCVEGCSA-N 0 1 306.300 3.101 20 30 DGEDMN N#CC(C(=O)c1cc(-c2ccccc2)[nH]n1)c1cccc(F)n1 ZINC001516710180 1186789947 /nfs/dbraw/zinc/78/99/47/1186789947.db2.gz GTDBPEKNQPZZQJ-GFCCVEGCSA-N 0 1 306.300 3.101 20 30 DGEDMN N#C[C@H](C(=O)c1cc(-c2ccccc2)[nH]n1)c1cccc(F)n1 ZINC001516710181 1186790317 /nfs/dbraw/zinc/79/03/17/1186790317.db2.gz GTDBPEKNQPZZQJ-LBPRGKRZSA-N 0 1 306.300 3.101 20 30 DGEDMN N#CC(C(=O)c1cc(-c2ccccc2)[nH]n1)c1cccc(F)n1 ZINC001516710181 1186790321 /nfs/dbraw/zinc/79/03/21/1186790321.db2.gz GTDBPEKNQPZZQJ-LBPRGKRZSA-N 0 1 306.300 3.101 20 30 DGEDMN N#C[C@@H](C(=O)c1ccn(-c2ccc(F)cc2)n1)c1cccc(F)n1 ZINC001516711538 1186790425 /nfs/dbraw/zinc/79/04/25/1186790425.db2.gz WOUHADOUMGRFQT-CYBMUJFWSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccc(F)cc2)n1)c1cccc(F)n1 ZINC001516711538 1186790428 /nfs/dbraw/zinc/79/04/28/1186790428.db2.gz WOUHADOUMGRFQT-CYBMUJFWSA-N 0 1 324.290 3.036 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSCc1cccc(C)c1 ZINC001517065160 1186800597 /nfs/dbraw/zinc/80/05/97/1186800597.db2.gz TUWMOJQTGKPHSC-HNNXBMFYSA-N 0 1 313.426 3.321 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1nc(C(C)(C)C)cs1 ZINC001520037394 1186913117 /nfs/dbraw/zinc/91/31/17/1186913117.db2.gz XHOIYRYTPOMVQR-JTQLQIEISA-N 0 1 302.403 3.147 20 30 DGEDMN N#C[C@H](NC(=O)c1cccc(F)c1O)c1ccc(Cl)cc1 ZINC001521590143 1187032337 /nfs/dbraw/zinc/03/23/37/1187032337.db2.gz JWLZGWDZRJVYQX-ZDUSSCGKSA-N 0 1 304.708 3.179 20 30 DGEDMN Cc1cc(NCCc2ccn[nH]2)n2c3ccccc3nc2c1C#N ZINC001612839190 1193462120 /nfs/dbraw/zinc/46/21/20/1193462120.db2.gz HAVJQCNXEBFFFM-UHFFFAOYSA-N 0 1 316.368 3.045 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC001523708301 1187180706 /nfs/dbraw/zinc/18/07/06/1187180706.db2.gz JNCWGNBSQWARHN-WBVHZDCISA-N 0 1 312.413 3.260 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCOC3(CCCC3)C2)nc(C)n1 ZINC001524018725 1187209369 /nfs/dbraw/zinc/20/93/69/1187209369.db2.gz NEPOUYYJBCPPEN-CABCVRRESA-N 0 1 313.401 3.009 20 30 DGEDMN C[C@@](C#N)(CCc1ccccc1)NC(=O)c1cccc(F)c1O ZINC001525494963 1187318212 /nfs/dbraw/zinc/31/82/12/1187318212.db2.gz GVIFSLNSSGZTOB-SFHVURJKSA-N 0 1 312.344 3.176 20 30 DGEDMN Cc1cc(C#N)ccc1C(=O)Nc1ccc(-c2nnc[nH]2)cc1F ZINC001530908829 1187652795 /nfs/dbraw/zinc/65/27/95/1187652795.db2.gz XIRQTLHHRZJMCR-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CCC(Oc3ccccc3)CC2)c1 ZINC001615252317 1193519272 /nfs/dbraw/zinc/51/92/72/1193519272.db2.gz ZOKCZIGQLIXBDN-FQEVSTJZSA-N 0 1 322.408 3.135 20 30 DGEDMN CC1(C)CCC[C@H](CN2CCN(c3ccccc3C#N)CC2)O1 ZINC001615378962 1193521483 /nfs/dbraw/zinc/52/14/83/1193521483.db2.gz KNKCFQLFCFQNTK-QGZVFWFLSA-N 0 1 313.445 3.028 20 30 DGEDMN CCSc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)ccn1 ZINC001534068102 1187873310 /nfs/dbraw/zinc/87/33/10/1187873310.db2.gz VDRGBEFWTNCBTF-UHFFFAOYSA-N 0 1 323.381 3.194 20 30 DGEDMN C#CCNC(=O)CN(CCCCC)Cc1ccc(Cl)cc1 ZINC001615975954 1193531412 /nfs/dbraw/zinc/53/14/12/1193531412.db2.gz MQNNXQGQQSAUBY-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN CCCCCCCN1CCN(Cc2noc(C(C)(C)C)n2)CC1 ZINC001615967930 1193531506 /nfs/dbraw/zinc/53/15/06/1193531506.db2.gz XRYHVMWWVSMOPH-UHFFFAOYSA-N 0 1 322.497 3.455 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC001536371859 1188004694 /nfs/dbraw/zinc/00/46/94/1188004694.db2.gz KQBBLFZCELMAJB-HOTGVXAUSA-N 0 1 300.446 3.152 20 30 DGEDMN N#Cc1sccc1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001537489265 1188078050 /nfs/dbraw/zinc/07/80/50/1188078050.db2.gz ACAFNGSKBOYQCX-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN COc1cccc([C@@H](C)NCc2ccc(C#N)cc2[N+](=O)[O-])c1 ZINC001616752632 1193546453 /nfs/dbraw/zinc/54/64/53/1193546453.db2.gz APWMJWGTJVDJMA-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(CN2CCCC2)o1)C1CCCCC1 ZINC001544952411 1188416215 /nfs/dbraw/zinc/41/62/15/1188416215.db2.gz IHHBITJSDMTOOP-MRXNPFEDSA-N 0 1 315.417 3.078 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1ccccc1Nc1ccncc1 ZINC001556440018 1189001167 /nfs/dbraw/zinc/00/11/67/1189001167.db2.gz DJORJNJUQSQQKZ-UHFFFAOYSA-N 0 1 303.312 3.397 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@@H](C)SCc1ccccc1 ZINC001556466058 1189002146 /nfs/dbraw/zinc/00/21/46/1189002146.db2.gz IAACTOHZKNPFTG-MRXNPFEDSA-N 0 1 320.502 3.275 20 30 DGEDMN C=CCO[N-]C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)C[C@H]1CC(C)C ZINC001556633646 1189011297 /nfs/dbraw/zinc/01/12/97/1189011297.db2.gz OCCNJQABEZRWAI-QZTJIDSGSA-N 0 1 316.445 3.015 20 30 DGEDMN C=CCO[N-]C(=O)[C@@H]1C[N@H+](Cc2ccccc2)C[C@H]1CC(C)C ZINC001556633646 1189011301 /nfs/dbraw/zinc/01/13/01/1189011301.db2.gz OCCNJQABEZRWAI-QZTJIDSGSA-N 0 1 316.445 3.015 20 30 DGEDMN Cc1[nH]ncc1CN(C)C(=O)c1c(Cl)cc(C#N)cc1Cl ZINC001557148586 1189043702 /nfs/dbraw/zinc/04/37/02/1189043702.db2.gz BAOYFESRENHCIU-UHFFFAOYSA-N 0 1 323.183 3.169 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1csc(-c2cccc(F)c2)n1 ZINC001557343620 1189058709 /nfs/dbraw/zinc/05/87/09/1189058709.db2.gz VMLBOJQQCPWVDY-SNVBAGLBSA-N 0 1 306.362 3.114 20 30 DGEDMN C=C(C)CCC(=O)Nc1cc(C(=O)OCc2ccccc2)[nH]n1 ZINC001558687775 1189142521 /nfs/dbraw/zinc/14/25/21/1189142521.db2.gz LUVKLTNMAWUECO-UHFFFAOYSA-N 0 1 313.357 3.062 20 30 DGEDMN N#Cc1cnc(NCc2nc3ccc(Cl)cc3[nH]2)c(F)c1 ZINC001559208695 1189181118 /nfs/dbraw/zinc/18/11/18/1189181118.db2.gz SJSBLLOXBFWQQW-UHFFFAOYSA-N 0 1 301.712 3.234 20 30 DGEDMN Cc1c(Cl)cnc(N2CCN(C)C[C@H]2CC(C)C)c1C#N ZINC001559287384 1189193204 /nfs/dbraw/zinc/19/32/04/1189193204.db2.gz OVZKWICGHIHAFE-CYBMUJFWSA-N 0 1 306.841 3.082 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCc3cc(C#N)ccn3)n2)cc1 ZINC001559332659 1189196926 /nfs/dbraw/zinc/19/69/26/1189196926.db2.gz NMHVARLZLUWVAL-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN Cc1ccccc1[C@]1(F)CCN(C[C@H](O)c2cccc(C#N)c2)C1 ZINC001559787178 1189243020 /nfs/dbraw/zinc/24/30/20/1189243020.db2.gz SNBGTZYCUSLXIY-PMACEKPBSA-N 0 1 324.399 3.471 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CCN(C[C@H](O)c2cccc(C#N)c2)C1 ZINC001559787182 1189243265 /nfs/dbraw/zinc/24/32/65/1189243265.db2.gz SNBGTZYCUSLXIY-VQTJNVASSA-N 0 1 324.399 3.471 20 30 DGEDMN CN(Cc1ccc(F)cc1C#N)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001559895944 1189254453 /nfs/dbraw/zinc/25/44/53/1189254453.db2.gz WZELSHUHEDYIQB-QGZVFWFLSA-N 0 1 321.359 3.037 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001560078411 1189276609 /nfs/dbraw/zinc/27/66/09/1189276609.db2.gz RUNODLUQMQWMJG-ZDUSSCGKSA-N 0 1 323.362 3.255 20 30 DGEDMN CC[C@H](CNC(=O)CCC(C)C)NCc1ccc(C#N)cc1F ZINC001567947800 1189314891 /nfs/dbraw/zinc/31/48/91/1189314891.db2.gz BTXLOULXAUFXBA-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cccc2[nH]c(=O)oc21 ZINC001560459539 1189330402 /nfs/dbraw/zinc/33/04/02/1189330402.db2.gz BNMNSZPXZIGNTH-HNNXBMFYSA-N 0 1 305.337 3.182 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NC/C(Cl)=C\Cl ZINC001567962116 1189435270 /nfs/dbraw/zinc/43/52/70/1189435270.db2.gz NIPADRHUMODDLI-OBIHZWKSSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001565824549 1189677823 /nfs/dbraw/zinc/67/78/23/1189677823.db2.gz JIMBZSFHPFLZBK-IAGOWNOFSA-N 0 1 315.461 3.074 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1(CC=C)CCCCC1 ZINC001565834827 1189686038 /nfs/dbraw/zinc/68/60/38/1189686038.db2.gz BSWKMZKHFMJBGG-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001566019416 1189717029 /nfs/dbraw/zinc/71/70/29/1189717029.db2.gz OVCPYAQYRNMCPE-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OCCCC)cc2)[C@H]1C ZINC001566114079 1189736100 /nfs/dbraw/zinc/73/61/00/1189736100.db2.gz VOZMTWBSPKBFME-QAPCUYQASA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1cccs1 ZINC001566124143 1189740705 /nfs/dbraw/zinc/74/07/05/1189740705.db2.gz IBMQOMGSASCMCO-CVEARBPZSA-N 0 1 318.486 3.188 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](C)c1ccco1 ZINC001566123807 1189741093 /nfs/dbraw/zinc/74/10/93/1189741093.db2.gz WVBDBJAGUQXLJW-IRXDYDNUSA-N 0 1 316.445 3.109 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1ccsc1 ZINC001566123921 1189741114 /nfs/dbraw/zinc/74/11/14/1189741114.db2.gz BNMGKXVRSCIYAY-WBVHZDCISA-N 0 1 318.486 3.188 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(F)c(Cl)c1 ZINC001566124035 1189741317 /nfs/dbraw/zinc/74/13/17/1189741317.db2.gz DOXXYPVYGVJMHP-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1oc(C)nc1C ZINC001566524395 1189790251 /nfs/dbraw/zinc/79/02/51/1189790251.db2.gz CHTXALCKCWBLCO-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)s1 ZINC001566538271 1189795506 /nfs/dbraw/zinc/79/55/06/1189795506.db2.gz VQJVCWGBKCPQAD-UHFFFAOYSA-N 0 1 300.855 3.251 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CC2CCC1CC2 ZINC001566576210 1189808782 /nfs/dbraw/zinc/80/87/82/1189808782.db2.gz HIYQCHCNITVHTK-GPANFISMSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@@H]2CCc3c2cccc3F)C1 ZINC001566672299 1189851510 /nfs/dbraw/zinc/85/15/10/1189851510.db2.gz PDTFRSOKTBGZIC-KBXCAEBGSA-N 0 1 316.420 3.360 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001566674062 1189854248 /nfs/dbraw/zinc/85/42/48/1189854248.db2.gz CGCPRBXCUZLQQT-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN CCC(CC)CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001566677013 1189855967 /nfs/dbraw/zinc/85/59/67/1189855967.db2.gz ZYUYTVGQNFKWNM-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001566698123 1189862891 /nfs/dbraw/zinc/86/28/91/1189862891.db2.gz ZZQVZAAOBFGAKX-KZNAEPCWSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(F)ccc1CC)C1CC1 ZINC001566715391 1189872004 /nfs/dbraw/zinc/87/20/04/1189872004.db2.gz WUGOAKCUEGTTHQ-MRXNPFEDSA-N 0 1 324.827 3.239 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1ncc(C)s1)C1CC1 ZINC001566716969 1189872869 /nfs/dbraw/zinc/87/28/69/1189872869.db2.gz DJNPOVXJFSZVJN-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001566731124 1189878738 /nfs/dbraw/zinc/87/87/38/1189878738.db2.gz ALVLYEVYYFECBS-HNNXBMFYSA-N 0 1 306.837 3.223 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H]2CC23CCC3)C1 ZINC001566742711 1189885969 /nfs/dbraw/zinc/88/59/69/1189885969.db2.gz BCJQTUOMKIHJCS-LSDHHAIUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1Cl ZINC001566751634 1189890961 /nfs/dbraw/zinc/89/09/61/1189890961.db2.gz VBMAUGPFINAEMG-BETUJISGSA-N 0 1 308.809 3.188 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cccc(C(C)C)n2)C1 ZINC001566772468 1189897490 /nfs/dbraw/zinc/89/74/90/1189897490.db2.gz SXAMQXQSTHNJBL-KRWDZBQOSA-N 0 1 315.461 3.252 20 30 DGEDMN CC1(C(=O)NC[C@H]2CCCN(CC#Cc3ccccc3)C2)CCC1 ZINC001566874768 1189932851 /nfs/dbraw/zinc/93/28/51/1189932851.db2.gz HPPJGQNMNSQMHZ-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCCCN1Cc1ccsc1 ZINC001566921960 1189953694 /nfs/dbraw/zinc/95/36/94/1189953694.db2.gz ULEJUXVEQCJKQN-MRXNPFEDSA-N 0 1 318.486 3.268 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001566987578 1189982806 /nfs/dbraw/zinc/98/28/06/1189982806.db2.gz WANROJBPNFFRNG-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@@H](N[C@@H](C)CNC(=O)C#CC(C)(C)C)c1ccc(F)cc1F ZINC001567014974 1189994808 /nfs/dbraw/zinc/99/48/08/1189994808.db2.gz HOHSGYOWFHTXQE-QWHCGFSZSA-N 0 1 322.399 3.170 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)N[C@@H](C)c1ccc(F)cc1F ZINC001567014973 1189994907 /nfs/dbraw/zinc/99/49/07/1189994907.db2.gz HOHSGYOWFHTXQE-OLZOCXBDSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1scc(C)c1Cl ZINC001567018620 1189995723 /nfs/dbraw/zinc/99/57/23/1189995723.db2.gz MRDMMIZXEFNNGU-SECBINFHSA-N 0 1 307.246 3.170 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001567049139 1190008903 /nfs/dbraw/zinc/00/89/03/1190008903.db2.gz IXVNUXCESGSZCT-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)C(CC)(CC)CC ZINC001567066577 1190017193 /nfs/dbraw/zinc/01/71/93/1190017193.db2.gz YIUXZHVDOPLVKW-GFCCVEGCSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@@H](C)NCC(=C)Cl)c1ccccc1 ZINC001567080888 1190025304 /nfs/dbraw/zinc/02/53/04/1190025304.db2.gz XBNWWVHPEVEHJK-GDBMZVCRSA-N 0 1 306.837 3.193 20 30 DGEDMN CC(C(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1)=C1CCC1 ZINC001567363581 1190153658 /nfs/dbraw/zinc/15/36/58/1190153658.db2.gz VTDBCSAAUWPNHU-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCN2Cc2ccc(F)cc2)C1 ZINC001567379336 1190160603 /nfs/dbraw/zinc/16/06/03/1190160603.db2.gz SMXMOAQLBZGLOS-KRWDZBQOSA-N 0 1 316.420 3.263 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001567402053 1190172285 /nfs/dbraw/zinc/17/22/85/1190172285.db2.gz CDSWZUSQUKGEMW-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H](C)c1ccc(F)cc1F ZINC001567422902 1190182859 /nfs/dbraw/zinc/18/28/59/1190182859.db2.gz DFJVVCLLPSSHSD-STQMWFEESA-N 0 1 323.387 3.014 20 30 DGEDMN CCCN(CCNC(=O)C#CC(C)C)[C@H](C)c1ccccc1F ZINC001567425417 1190185192 /nfs/dbraw/zinc/18/51/92/1190185192.db2.gz ODVGTEDYWXOZBU-MRXNPFEDSA-N 0 1 318.436 3.374 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2cc(F)ccc2Cl)C1 ZINC001567558207 1190218326 /nfs/dbraw/zinc/21/83/26/1190218326.db2.gz GYQOSTGWLOMQED-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001567582261 1190221062 /nfs/dbraw/zinc/22/10/62/1190221062.db2.gz QGDVWNKIQHTRBA-QGZVFWFLSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)CC1CC(F)(F)C1)C(C)C ZINC001567644998 1190235829 /nfs/dbraw/zinc/23/58/29/1190235829.db2.gz GMHGLBZMOWIKFL-UHFFFAOYSA-N 0 1 322.827 3.391 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(CCCC)CC1)c1ccccc1 ZINC001567664528 1190242007 /nfs/dbraw/zinc/24/20/07/1190242007.db2.gz ZVRMGXFEOYVWSO-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(CC)CCCC1)c1ccccc1 ZINC001567665059 1190243376 /nfs/dbraw/zinc/24/33/76/1190243376.db2.gz ZVHUJQNBKJSNTQ-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C2CCCCCC2)C1 ZINC001567681349 1190251167 /nfs/dbraw/zinc/25/11/67/1190251167.db2.gz PVILATVFBUNAHQ-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCCCC(=O)N(CCC)[C@@H]1CCN(C[C@@H](F)CC)C1 ZINC001567686377 1190252098 /nfs/dbraw/zinc/25/20/98/1190252098.db2.gz MEZHZNLDDWENAF-DLBZAZTESA-N 0 1 310.457 3.241 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)C2CCCC2)C1 ZINC001567689731 1190254663 /nfs/dbraw/zinc/25/46/63/1190254663.db2.gz WYBWLGNTRYEDEX-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001567712675 1190269020 /nfs/dbraw/zinc/26/90/20/1190269020.db2.gz OUVQHFZNXXOIEZ-GFCCVEGCSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2CCC(=C)CC2)C1 ZINC001567736786 1190278395 /nfs/dbraw/zinc/27/83/95/1190278395.db2.gz PAYSZZMTCJOYRK-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)/C(C)=C/C)C1 ZINC001567745131 1190284172 /nfs/dbraw/zinc/28/41/72/1190284172.db2.gz KPUKYUBTOWAFPG-OVYCDTAVSA-N 0 1 324.468 3.469 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001567751905 1190288109 /nfs/dbraw/zinc/28/81/09/1190288109.db2.gz XGCIEVAGEXXKLC-BBRMVZONSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCCCCN1CCC[C@@H](NC(=O)c2ccns2)C1 ZINC001567763345 1190290923 /nfs/dbraw/zinc/29/09/23/1190290923.db2.gz VJBCVULOOUPHHA-CQSZACIVSA-N 0 1 307.463 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001567795930 1190300772 /nfs/dbraw/zinc/30/07/72/1190300772.db2.gz CQIGNNIFVCXFKG-IHXKFAGKSA-N 0 1 316.489 3.214 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001567836160 1190314578 /nfs/dbraw/zinc/31/45/78/1190314578.db2.gz XUJMPPAHDDYDNM-UHFFFAOYSA-N 0 1 318.436 3.306 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567906036 1190344698 /nfs/dbraw/zinc/34/46/98/1190344698.db2.gz HGBCHUMKXMPXBR-CRAIPNDOSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001567921253 1190352401 /nfs/dbraw/zinc/35/24/01/1190352401.db2.gz TXYRDMXJYGCDKY-MRXNPFEDSA-N 0 1 306.475 3.446 20 30 DGEDMN CCCCCCCN1CC[C@H](N(CC)C(=O)c2cnns2)C1 ZINC001567931293 1190361604 /nfs/dbraw/zinc/36/16/04/1190361604.db2.gz ACPNQAMWXCMTDL-AWEZNQCLSA-N 0 1 324.494 3.045 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCCC1CCN(CC(=C)Cl)CC1 ZINC001568129117 1190430641 /nfs/dbraw/zinc/43/06/41/1190430641.db2.gz VFDOVQMVJRWPFN-LHHJGKSTSA-N 0 1 310.869 3.480 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(F)c(C)c1 ZINC001568145225 1190435030 /nfs/dbraw/zinc/43/50/30/1190435030.db2.gz ZKYGRUFANMQAGP-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)/C=C/C(C)(C)C)C1 ZINC001568214255 1190453542 /nfs/dbraw/zinc/45/35/42/1190453542.db2.gz IPTYDFUUDIYCDF-SXSDINLZSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001568287787 1190472928 /nfs/dbraw/zinc/47/29/28/1190472928.db2.gz SMAHKXZIALYIOO-YOEHRIQHSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1oc(CC)nc1C ZINC001568298807 1190478108 /nfs/dbraw/zinc/47/81/08/1190478108.db2.gz XLUYOXKUYKKDEI-FZKQIMNGSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)CC[C@H](C)CC ZINC001568306731 1190479284 /nfs/dbraw/zinc/47/92/84/1190479284.db2.gz KDUFBJQIOBIJAG-YPMHNXCESA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(F)F)C1CCCCC1 ZINC001568340205 1190496252 /nfs/dbraw/zinc/49/62/52/1190496252.db2.gz KPPWSHSHCBXZNG-LBPRGKRZSA-N 0 1 308.800 3.049 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001568484415 1190541517 /nfs/dbraw/zinc/54/15/17/1190541517.db2.gz PYFZDOLPYUGPHT-UHFFFAOYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1ccccc1F ZINC001568765314 1190586691 /nfs/dbraw/zinc/58/66/91/1190586691.db2.gz LTIYDFZSSHCURP-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1nccc2ccccc21 ZINC001568770311 1190586897 /nfs/dbraw/zinc/58/68/97/1190586897.db2.gz ZOPSNTPVLFJOBT-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)c1ccc(C)cc1 ZINC001568794346 1190589190 /nfs/dbraw/zinc/58/91/90/1190589190.db2.gz UXJXYCPPPAEIII-UHFFFAOYSA-N 0 1 321.424 3.119 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2cccc(F)c2o1 ZINC001568799877 1190590034 /nfs/dbraw/zinc/59/00/34/1190590034.db2.gz SRJNRQIDJIPLFH-UHFFFAOYSA-N 0 1 324.783 3.376 20 30 DGEDMN Cc1ccc(CNC/C=C\CNC(=O)C#CC(C)C)c(Cl)c1 ZINC001568846937 1190594721 /nfs/dbraw/zinc/59/47/21/1190594721.db2.gz JOSKCDJJSKRLCC-PLNGDYQASA-N 0 1 318.848 3.070 20 30 DGEDMN CCC(C)(C)CC(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001568854586 1190595029 /nfs/dbraw/zinc/59/50/29/1190595029.db2.gz GSSVGPQROIILOU-AATRIKPKSA-N 0 1 319.474 3.208 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(F)ccc1Cl ZINC001568923058 1190600741 /nfs/dbraw/zinc/60/07/41/1190600741.db2.gz JNFDJAGYBDZRBL-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001569013703 1190608754 /nfs/dbraw/zinc/60/87/54/1190608754.db2.gz YGKHSGMCUTXRKN-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@@H](CN[C@@H](C)c1cc(F)ccc1F)NC(=O)C#CC(C)(C)C ZINC001569056283 1190614892 /nfs/dbraw/zinc/61/48/92/1190614892.db2.gz AQXJSSFIDYWLGS-STQMWFEESA-N 0 1 322.399 3.170 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2nc(C)sc2C)CCC1 ZINC001569078894 1190617276 /nfs/dbraw/zinc/61/72/76/1190617276.db2.gz GOEMKRWLPCXLGH-LBPRGKRZSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2ccc(F)cc2F)CCC1 ZINC001569079201 1190617836 /nfs/dbraw/zinc/61/78/36/1190617836.db2.gz SOOICLHBJWXFQJ-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001569115756 1190628092 /nfs/dbraw/zinc/62/80/92/1190628092.db2.gz TXEMRADUXUBPFU-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1 ZINC001569148365 1190642392 /nfs/dbraw/zinc/64/23/92/1190642392.db2.gz FESDBUQLUFBDQM-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CC[C@@H]1CCCC1(F)F ZINC001569359889 1190675177 /nfs/dbraw/zinc/67/51/77/1190675177.db2.gz OBWQLUSLXDVSGD-LSDHHAIUSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)c(F)cc1F ZINC001569362613 1190676773 /nfs/dbraw/zinc/67/67/73/1190676773.db2.gz DRGWIJWPPJAQAD-UONOGXRCSA-N 0 1 322.399 3.432 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001569371343 1190679408 /nfs/dbraw/zinc/67/94/08/1190679408.db2.gz VPJBZPTWHGVJPO-GOSISDBHSA-N 0 1 323.440 3.052 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1nc(C)c(C)s1 ZINC001569383302 1190686477 /nfs/dbraw/zinc/68/64/77/1190686477.db2.gz ORWXHZGOVORJFI-HNNXBMFYSA-N 0 1 321.490 3.310 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001569385813 1190688939 /nfs/dbraw/zinc/68/89/39/1190688939.db2.gz GAGJPMQAZUECHT-IAGOWNOFSA-N 0 1 304.409 3.086 20 30 DGEDMN C[C@@H]1CC[C@@H](CC(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001569414444 1190693371 /nfs/dbraw/zinc/69/33/71/1190693371.db2.gz MAXAXSKDHUJJDE-IEBWSBKVSA-N 0 1 324.468 3.103 20 30 DGEDMN C[C@H]1CC[C@@H](CC(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001569414448 1190694151 /nfs/dbraw/zinc/69/41/51/1190694151.db2.gz MAXAXSKDHUJJDE-PKOBYXMFSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)c2ccccc2C)CC1 ZINC001569433170 1190697862 /nfs/dbraw/zinc/69/78/62/1190697862.db2.gz BWDKRQBNVQZRTE-UHFFFAOYSA-N 0 1 320.864 3.264 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2csc(C(C)C)n2)C1 ZINC001569502667 1190710185 /nfs/dbraw/zinc/71/01/85/1190710185.db2.gz LUTALWHCYPBECM-ZDUSSCGKSA-N 0 1 321.490 3.377 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccn(C(C)C)c1C ZINC001569553272 1190715986 /nfs/dbraw/zinc/71/59/86/1190715986.db2.gz QDSJKJIIXJSZOX-HNNXBMFYSA-N 0 1 323.868 3.324 20 30 DGEDMN C#CCN(C(C)=O)C1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC001569580980 1190719962 /nfs/dbraw/zinc/71/99/62/1190719962.db2.gz FQNOAGXYRPVRDQ-AWEZNQCLSA-N 0 1 318.848 3.347 20 30 DGEDMN C#CCN(C(=O)C(CC)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569582966 1190720022 /nfs/dbraw/zinc/72/00/22/1190720022.db2.gz ZZTPTPZNOYAXMM-MRXNPFEDSA-N 0 1 310.457 3.097 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cncc(C)c2)C1 ZINC001569646455 1190731553 /nfs/dbraw/zinc/73/15/53/1190731553.db2.gz KQJDFFXPCIBIQW-APWZRJJASA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2ocnc2C)C1 ZINC001569719405 1190748615 /nfs/dbraw/zinc/74/86/15/1190748615.db2.gz LGPNMVPBCQZYRH-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(F)CCCC3)cccc2C1 ZINC001570078281 1190791859 /nfs/dbraw/zinc/79/18/59/1190791859.db2.gz RPMCQCDGNWEDED-UHFFFAOYSA-N 0 1 316.420 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(F)=C2CCCC2)CCC1 ZINC001570101463 1190796092 /nfs/dbraw/zinc/79/60/92/1190796092.db2.gz IHKHBTIPMMEWFN-UHFFFAOYSA-N 0 1 300.805 3.165 20 30 DGEDMN C[C@H]1CC[C@@H](C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C1 ZINC001570119998 1190800183 /nfs/dbraw/zinc/80/01/83/1190800183.db2.gz TTZMEBNXXITMAJ-PKOBYXMFSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cn2)CC1 ZINC001570182170 1190809811 /nfs/dbraw/zinc/80/98/11/1190809811.db2.gz XOVPELCQSYHAFD-INIZCTEOSA-N 0 1 319.424 3.048 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)c1ccccc1 ZINC001570189130 1190812874 /nfs/dbraw/zinc/81/28/74/1190812874.db2.gz MZUBVGPNIAMCEB-UHFFFAOYSA-N 0 1 320.436 3.132 20 30 DGEDMN C=CCCCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001570207326 1190820368 /nfs/dbraw/zinc/82/03/68/1190820368.db2.gz MOEXKXSKRMHCJV-UHFFFAOYSA-N 0 1 312.457 3.175 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)CC(C)(C)CC)O2 ZINC001570232000 1190827737 /nfs/dbraw/zinc/82/77/37/1190827737.db2.gz JPSSKGJOIMAZCA-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CC(C)C ZINC001570267415 1190832184 /nfs/dbraw/zinc/83/21/84/1190832184.db2.gz PJOOGTHTJYHFSI-SFHVURJKSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@@H](F)CC ZINC001570519109 1190873658 /nfs/dbraw/zinc/87/36/58/1190873658.db2.gz BJHLKWQQIFPPGU-YXJHDRRASA-N 0 1 304.409 3.073 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(F)c(F)c1 ZINC001570526706 1190875544 /nfs/dbraw/zinc/87/55/44/1190875544.db2.gz ZBGNOFWIZIQVIR-OAHLLOKOSA-N 0 1 322.399 3.354 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(Cl)c(C)c1 ZINC001570539809 1190881119 /nfs/dbraw/zinc/88/11/19/1190881119.db2.gz GBUAQCCTWMVFFJ-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(Cl)c(C)c1 ZINC001570539809 1190881129 /nfs/dbraw/zinc/88/11/29/1190881129.db2.gz GBUAQCCTWMVFFJ-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)C1CCCCC1 ZINC001570541749 1190882019 /nfs/dbraw/zinc/88/20/19/1190882019.db2.gz KFEQFSWYMRCHJF-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C2=CCCC2)cc1 ZINC001570548085 1190885816 /nfs/dbraw/zinc/88/58/16/1190885816.db2.gz KRRQEZHUPKQAKV-FQEVSTJZSA-N 0 1 322.452 3.424 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C/C=C\Cc1ccccc1 ZINC001570610782 1190900611 /nfs/dbraw/zinc/90/06/11/1190900611.db2.gz KJQCPGIGMUCQAN-ZJBFNYKTSA-N 0 1 320.864 3.411 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1c(C)noc1CC ZINC001570636906 1190907623 /nfs/dbraw/zinc/90/76/23/1190907623.db2.gz OVUYAWBJUMYKFD-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccccc1OC(C)C ZINC001570643011 1190908958 /nfs/dbraw/zinc/90/89/58/1190908958.db2.gz ACNURRBEMWQWFM-KBPBESRZSA-N 0 1 324.852 3.323 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001570739688 1190937735 /nfs/dbraw/zinc/93/77/35/1190937735.db2.gz GJTCIVCJRXQVIB-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ncc(C)cc1C ZINC001570812512 1190954256 /nfs/dbraw/zinc/95/42/56/1190954256.db2.gz RRRNYJYHFXGSCE-OAHLLOKOSA-N 0 1 323.868 3.329 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001570832016 1190962475 /nfs/dbraw/zinc/96/24/75/1190962475.db2.gz BIFXNKMWQIYPJO-AWEZNQCLSA-N 0 1 304.409 3.059 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)CCC=C)C2)C1 ZINC001570881828 1190969336 /nfs/dbraw/zinc/96/93/36/1190969336.db2.gz HCBIVQKCVABAOW-IBGZPJMESA-N 0 1 320.477 3.002 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570905359 1190974335 /nfs/dbraw/zinc/97/43/35/1190974335.db2.gz RSEDOWYCEFXQFB-ROUUACIJSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@@H](C)CCCCCC)C(C)(C)C1 ZINC001570907984 1190975095 /nfs/dbraw/zinc/97/50/95/1190975095.db2.gz RYVSDKCCSYIJEF-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(C)[C@@H](C(C)(C)C)C1)c1ccccc1 ZINC001570914471 1190975914 /nfs/dbraw/zinc/97/59/14/1190975914.db2.gz FIDHQXDLIXPQSX-DLBZAZTESA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCCCC(=O)N1C[C@@]2(C)CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001571063422 1190981198 /nfs/dbraw/zinc/98/11/98/1190981198.db2.gz AWRAEKATCDERRK-AOVQSPFDSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H](C)C(C)(C)C ZINC001571362393 1191002789 /nfs/dbraw/zinc/00/27/89/1191002789.db2.gz IZKMLCVPRAPELC-OCCSQVGLSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@@H](C)n1cccc1 ZINC001571370744 1191004485 /nfs/dbraw/zinc/00/44/85/1191004485.db2.gz CBWVYAHIKQYLSX-ZBFHGGJFSA-N 0 1 323.868 3.018 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001571389161 1191011627 /nfs/dbraw/zinc/01/16/27/1191011627.db2.gz FZVDXRXPTRZXBQ-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001571389162 1191011741 /nfs/dbraw/zinc/01/17/41/1191011741.db2.gz FZVDXRXPTRZXBQ-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cncc2sccc21 ZINC001571401660 1191015362 /nfs/dbraw/zinc/01/53/62/1191015362.db2.gz IHPDAWBYEKTEGF-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001571408743 1191017508 /nfs/dbraw/zinc/01/75/08/1191017508.db2.gz XLVRKCLTRPJJRF-RDJZCZTQSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c[nH]c2ccc(C)cc21 ZINC001571419067 1191020531 /nfs/dbraw/zinc/02/05/31/1191020531.db2.gz KKVIKXQJPZLJQD-CYBMUJFWSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(C2CC2)c1 ZINC001571419698 1191021175 /nfs/dbraw/zinc/02/11/75/1191021175.db2.gz WEZFQKVVJODTJS-ZDUSSCGKSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C[C@H](C(=O)N[C@]12CCC[C@@H]1N(CCF)CC2)c1ccccc1 ZINC001571505077 1191035301 /nfs/dbraw/zinc/03/53/01/1191035301.db2.gz MSHNLLHNNXQJBF-LNLFQRSKSA-N 0 1 316.420 3.039 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1csc2ccccc21)C(C)C ZINC001571613774 1191058811 /nfs/dbraw/zinc/05/88/11/1191058811.db2.gz IVSHNYOXTSCIOO-UHFFFAOYSA-N 0 1 314.454 3.317 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCCC12CC2)C(C)(C)C ZINC001571626848 1191067685 /nfs/dbraw/zinc/06/76/85/1191067685.db2.gz IYEOLOASRJZWMD-KGLIPLIRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2cc(C)no2)CC1 ZINC001571649713 1191074890 /nfs/dbraw/zinc/07/48/90/1191074890.db2.gz OZRSXYUXMZGTSG-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@@](C)(CC)CCC)c(F)c1 ZINC001571703401 1191086249 /nfs/dbraw/zinc/08/62/49/1191086249.db2.gz DXVYHCOEGXFVBO-IBGZPJMESA-N 0 1 318.436 3.381 20 30 DGEDMN C#C[C@H](NCC1(OC)CCC1)c1ccc(Br)cc1 ZINC001621356411 1191441431 /nfs/dbraw/zinc/44/14/31/1191441431.db2.gz ABQZRSYUGPPAKX-AWEZNQCLSA-N 0 1 308.219 3.282 20 30 DGEDMN C=CCCC[C@H](NC(=O)N1CCN[C@@H](C)C1)c1ccccc1 ZINC001574907181 1191457791 /nfs/dbraw/zinc/45/77/91/1191457791.db2.gz AZRKBOQNWKEJOS-RDJZCZTQSA-N 0 1 301.434 3.087 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)[C@@H]1CNc2ccccc2[C@H]1O ZINC001574933350 1191459036 /nfs/dbraw/zinc/45/90/36/1191459036.db2.gz QNFGEFAXZVZYMW-WOJBJXKFSA-N 0 1 319.408 3.074 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(Oc2ccc(C)c(C)c2)nc1 ZINC001574969425 1191461161 /nfs/dbraw/zinc/46/11/61/1191461161.db2.gz IVJNXUBNWWZDCR-KRWDZBQOSA-N 0 1 323.396 3.170 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@H](CCC)c2c[nH]nn2)CCCC1 ZINC001575087164 1191465018 /nfs/dbraw/zinc/46/50/18/1191465018.db2.gz APKZHXWYJBUEQM-CQSZACIVSA-N 0 1 319.453 3.472 20 30 DGEDMN CC(C)(C#N)CCN1CCOC[C@@H]1C[C@H]1CNc2ccccc21 ZINC001575083633 1191465132 /nfs/dbraw/zinc/46/51/32/1191465132.db2.gz ZWGBQOKFAQZMEH-HOTGVXAUSA-N 0 1 313.445 3.226 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC001575117938 1191467246 /nfs/dbraw/zinc/46/72/46/1191467246.db2.gz HSDCUAKVJYCVHH-RTBURBONSA-N 0 1 308.429 3.140 20 30 DGEDMN CCCCCCCNC(=O)C1(Cc2c[nH]nn2)CCCCC1 ZINC001576394297 1191583656 /nfs/dbraw/zinc/58/36/56/1191583656.db2.gz HNXYKQXKWXSKGN-UHFFFAOYSA-N 0 1 306.454 3.384 20 30 DGEDMN CCCCCCCNC(=O)C1(Cc2cnn[nH]2)CCCCC1 ZINC001576394297 1191583658 /nfs/dbraw/zinc/58/36/58/1191583658.db2.gz HNXYKQXKWXSKGN-UHFFFAOYSA-N 0 1 306.454 3.384 20 30 DGEDMN CCCCCCCCOCC(=O)N[C@@H](c1c[nH]nn1)C(C)(C)C ZINC001576394896 1191586376 /nfs/dbraw/zinc/58/63/76/1191586376.db2.gz MSJTVSPWCHTTKA-INIZCTEOSA-N 0 1 324.469 3.385 20 30 DGEDMN C[C@H]1CN(CCOc2ccccc2C#N)CC2(CCCCC2)O1 ZINC001619172912 1193756680 /nfs/dbraw/zinc/75/66/80/1193756680.db2.gz YOJNNIARLKJKQQ-INIZCTEOSA-N 0 1 314.429 3.361 20 30 DGEDMN C=CCC[C@H](O)CN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001620555330 1193778688 /nfs/dbraw/zinc/77/86/88/1193778688.db2.gz CPDHWFOVGZCJTA-OALUTQOASA-N 0 1 320.452 3.222 20 30 DGEDMN Cc1ccccc1-n1nccc1CNCc1ccc(C#N)c(F)c1 ZINC001621392927 1193836376 /nfs/dbraw/zinc/83/63/76/1193836376.db2.gz IMIZQIFXGXTMFM-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN Cn1c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc2ccccc21 ZINC001623605406 1193940669 /nfs/dbraw/zinc/94/06/69/1193940669.db2.gz ZAKKJMIBHSCXRS-UHFFFAOYSA-N 0 1 315.336 3.179 20 30 DGEDMN C[C@H]1CCN(CC(=O)Nc2cccc(C#Cc3ccccc3)c2)C1 ZINC001624898030 1194020554 /nfs/dbraw/zinc/02/05/54/1194020554.db2.gz ZJVNSHQYFAQZRB-KRWDZBQOSA-N 0 1 318.420 3.367 20 30 DGEDMN N#CC[C@@H](CC(=O)NCc1ccc2nc[nH]c2c1)c1ccccc1 ZINC001628079514 1194159546 /nfs/dbraw/zinc/15/95/46/1194159546.db2.gz BEIKEPURAJNPBD-INIZCTEOSA-N 0 1 318.380 3.267 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C(C)(C)CC)CC2)CCCCC1 ZINC001628099697 1194160458 /nfs/dbraw/zinc/16/04/58/1194160458.db2.gz BFLWYHHINORRGM-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=CC=C(Cl)Cl)[nH]c2c1 ZINC001631012518 1194314544 /nfs/dbraw/zinc/31/45/44/1194314544.db2.gz XLHYIVAWOZMZIU-IWQZZHSRSA-N 0 1 307.140 3.248 20 30 DGEDMN C=CC1(CC(=O)Nc2cc(-c3nccn3C)n[nH]2)CCCCC1 ZINC001631157692 1194322983 /nfs/dbraw/zinc/32/29/83/1194322983.db2.gz GLKGQVHTFBJZCN-UHFFFAOYSA-N 0 1 313.405 3.275 20 30 DGEDMN C=CCCSCCNC(=O)c1cccc(C(F)(F)F)c1O ZINC001632307095 1194381344 /nfs/dbraw/zinc/38/13/44/1194381344.db2.gz AMDHPXWQGQZFIX-UHFFFAOYSA-N 0 1 319.348 3.450 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(C=O)sc2Cl)c1 ZINC001633077398 1194420379 /nfs/dbraw/zinc/42/03/79/1194420379.db2.gz SDNXTWITJMIXSU-UHFFFAOYSA-N 0 1 306.730 3.044 20 30 DGEDMN C=CC[C@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccncc1 ZINC001633820772 1194458209 /nfs/dbraw/zinc/45/82/09/1194458209.db2.gz XDRHBXMPAPLDJD-AWEZNQCLSA-N 0 1 302.761 3.488 20 30 DGEDMN C=C[C@@H](C(=O)Nc1ccn(-c2ccncc2)n1)c1ccccc1 ZINC001633832721 1194459180 /nfs/dbraw/zinc/45/91/80/1194459180.db2.gz MPVXAFVSFNEFJM-MRXNPFEDSA-N 0 1 304.353 3.176 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001633929926 1194465447 /nfs/dbraw/zinc/46/54/47/1194465447.db2.gz PPKVFEHFPTUKQJ-UHFFFAOYSA-N 0 1 312.417 3.118 20 30 DGEDMN COc1cc(C#N)ccc1C(=O)Nc1cc2cn[nH]c2cc1C ZINC001634009136 1194469963 /nfs/dbraw/zinc/46/99/63/1194469963.db2.gz HJVALSKJWMDZHU-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN N#Cc1c[nH]c(C(=O)Nc2nc3cc(Cl)cc(Cl)c3[nH]2)c1 ZINC001634524560 1194499355 /nfs/dbraw/zinc/49/93/55/1194499355.db2.gz LKUMALLHQLTSMS-UHFFFAOYSA-N 0 1 320.139 3.322 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCCCN1C)[C@@H]1CCCc2ccccc21 ZINC001635884187 1194577674 /nfs/dbraw/zinc/57/76/74/1194577674.db2.gz IJZDORRZJRXJBR-MOPGFXCFSA-N 0 1 310.441 3.010 20 30 DGEDMN N#Cc1cccc(C2(NC(=O)c3ccc(Cl)c(O)c3)CC2)c1 ZINC001636323401 1194606722 /nfs/dbraw/zinc/60/67/22/1194606722.db2.gz DEVYHYORBZVNMT-UHFFFAOYSA-N 0 1 312.756 3.336 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC001636420878 1194612030 /nfs/dbraw/zinc/61/20/30/1194612030.db2.gz BNSQXWHBGNBYGI-UHFFFAOYSA-N 0 1 319.368 3.100 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1 ZINC001637297002 1194661672 /nfs/dbraw/zinc/66/16/72/1194661672.db2.gz PHMBHYWLKQDYKO-HUUCEWRRSA-N 0 1 304.821 3.003 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)C(C)(C)c2ccc(C#N)cc2)c1 ZINC001637579008 1194677204 /nfs/dbraw/zinc/67/72/04/1194677204.db2.gz UWLQUPXJOBMLTJ-UHFFFAOYSA-N 0 1 322.364 3.383 20 30 DGEDMN C#C[C@H](NC(=O)c1cc(F)cc(F)c1O)c1ccc(Cl)cc1 ZINC001638463754 1194720511 /nfs/dbraw/zinc/72/05/11/1194720511.db2.gz TUORGBJTSCOMMC-AWEZNQCLSA-N 0 1 321.710 3.428 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)c3ccc(Cl)cc3)n2)c1 ZINC001639130504 1194752224 /nfs/dbraw/zinc/75/22/24/1194752224.db2.gz GAOZBDWBBDBUIL-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C[C@@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CCCC1 ZINC001639135702 1194752942 /nfs/dbraw/zinc/75/29/42/1194752942.db2.gz OUBAEDAMWRXKMO-LLVKDONJSA-N 0 1 309.373 3.108 20 30 DGEDMN C#CCN(C(=O)CCc1cc(C)[nH]n1)[C@@H]1CCCc2ccccc21 ZINC001639321986 1194760418 /nfs/dbraw/zinc/76/04/18/1194760418.db2.gz KXSGIIZQGSKSBT-LJQANCHMSA-N 0 1 321.424 3.190 20 30 DGEDMN CCCCCCCCCC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC001639430257 1194765258 /nfs/dbraw/zinc/76/52/58/1194765258.db2.gz CHXNTKJYVQDRLC-IRXDYDNUSA-N 0 1 312.498 3.041 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C(C)(C)C(C)(F)F)C1 ZINC001671192343 1194803866 /nfs/dbraw/zinc/80/38/66/1194803866.db2.gz BFJKNAHMTYOHRK-GFCCVEGCSA-N 0 1 302.409 3.165 20 30 DGEDMN CC(C)C[C@@H]1CN(C)CCN1c1nc(C(C)(C)C)ccc1C#N ZINC001640449539 1194814116 /nfs/dbraw/zinc/81/41/16/1194814116.db2.gz MCFWENIIRNOSEL-MRXNPFEDSA-N 0 1 314.477 3.417 20 30 DGEDMN C=CC(C)(C)CNC(=O)c1cc(Br)cc(F)c1O ZINC001640617225 1194821856 /nfs/dbraw/zinc/82/18/56/1194821856.db2.gz ZRONPVOVNRAJDK-UHFFFAOYSA-N 0 1 316.170 3.236 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2cc(C)n(C)c2C)C1 ZINC001671196983 1194839779 /nfs/dbraw/zinc/83/97/79/1194839779.db2.gz HVHMJTHWOCRPAT-UHFFFAOYSA-N 0 1 317.477 3.145 20 30 DGEDMN CC(C)CN(CCC#N)CCc1ccc2c(c1)OC(F)(F)O2 ZINC001641834355 1194874081 /nfs/dbraw/zinc/87/40/81/1194874081.db2.gz YLIHFPPKEVOVJW-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C(F)=C3CCCC3)n2)c1 ZINC001641930471 1194879406 /nfs/dbraw/zinc/87/94/06/1194879406.db2.gz VJHNEUIVNROSGG-UHFFFAOYSA-N 0 1 311.320 3.079 20 30 DGEDMN C=CCC1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CCCC1 ZINC001641930322 1194879568 /nfs/dbraw/zinc/87/95/68/1194879568.db2.gz SDIANXXUMVBVHM-UHFFFAOYSA-N 0 1 321.384 3.418 20 30 DGEDMN CCc1nc(C#N)cc(NCc2nc3ccc(Cl)cc3[nH]2)n1 ZINC001642775558 1194938203 /nfs/dbraw/zinc/93/82/03/1194938203.db2.gz SHOTZUVQRMJAFI-UHFFFAOYSA-N 0 1 312.764 3.052 20 30 DGEDMN C#CC1(NC(=O)c2ccc(-c3ccc(C=O)o3)cc2O)CCCC1 ZINC001643519743 1195031853 /nfs/dbraw/zinc/03/18/53/1195031853.db2.gz GUWPMYXFICCTEC-UHFFFAOYSA-N 0 1 323.348 3.141 20 30 DGEDMN C[C@@H]1COC2(CCCC2)CN1CCCOc1ccc(C#N)cc1 ZINC001643948287 1195066875 /nfs/dbraw/zinc/06/68/75/1195066875.db2.gz NKGRNEADDVRPFU-MRXNPFEDSA-N 0 1 314.429 3.361 20 30 DGEDMN C=C[C@@H](O)C(=O)Nc1ccccc1-c1nc2ccc(C)cc2[nH]1 ZINC001644476730 1195111358 /nfs/dbraw/zinc/11/13/58/1195111358.db2.gz DJPPYJFOAJTMIF-MRXNPFEDSA-N 0 1 307.353 3.024 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC001645015924 1195150623 /nfs/dbraw/zinc/15/06/23/1195150623.db2.gz PMCLZXLMQCEDKX-HZPDHXFCSA-N 0 1 320.820 3.110 20 30 DGEDMN CC1CC(CN2CCN(c3ccc(C#N)cc3Cl)CC2)C1 ZINC001645448021 1195184535 /nfs/dbraw/zinc/18/45/35/1195184535.db2.gz XHZZNIIYGZCFPG-UHFFFAOYSA-N 0 1 303.837 3.380 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC001645691528 1195197570 /nfs/dbraw/zinc/19/75/70/1195197570.db2.gz JOBQOMWVZIMITL-UHFFFAOYSA-N 0 1 322.393 3.282 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H]1CCCN(c2ccccc2Cl)C1=O ZINC001645745940 1195200004 /nfs/dbraw/zinc/20/00/04/1195200004.db2.gz MHDGKGQBBXQAMH-RHSMWYFYSA-N 0 1 304.821 3.227 20 30 DGEDMN C#C[C@](C)(CC)N[C@H]1CCCN(c2ccccc2Cl)C1=O ZINC001645745941 1195200504 /nfs/dbraw/zinc/20/05/04/1195200504.db2.gz MHDGKGQBBXQAMH-WMLDXEAASA-N 0 1 304.821 3.227 20 30 DGEDMN C[C@@H]1CN([C@H](C#N)c2ccccc2)CCN1Cc1ccccc1 ZINC001646164951 1195216012 /nfs/dbraw/zinc/21/60/12/1195216012.db2.gz MYGPVYXEQMSRJW-YLJYHZDGSA-N 0 1 305.425 3.458 20 30 DGEDMN COc1ccc([C@H]2CCN(Cc3cc(C#N)ccn3)C2)cc1F ZINC001647924218 1195264701 /nfs/dbraw/zinc/26/47/01/1195264701.db2.gz SZWIDXBKUSHBNX-HNNXBMFYSA-N 0 1 311.360 3.090 20 30 DGEDMN CCCCCCCCCCN1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC001648123981 1195277950 /nfs/dbraw/zinc/27/79/50/1195277950.db2.gz MFIPQVAMEOBCTC-IAGOWNOFSA-N 0 1 310.482 3.107 20 30 DGEDMN C=CC[C@@H]1CCN1C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC001648409292 1195298285 /nfs/dbraw/zinc/29/82/85/1195298285.db2.gz FFNXQTVPBIBAEP-GFCCVEGCSA-N 0 1 306.369 3.349 20 30 DGEDMN Cc1cccc2[nH]cc(CC(=O)NOc3cccc(C#N)c3)c21 ZINC001648729583 1195326164 /nfs/dbraw/zinc/32/61/64/1195326164.db2.gz SSBNEIZEZCONQT-UHFFFAOYSA-N 0 1 305.337 3.001 20 30 DGEDMN N#Cc1cnc(NCCN2CCCCCC2)c(Br)c1 ZINC001650617553 1195364453 /nfs/dbraw/zinc/36/44/53/1195364453.db2.gz OXVPMHMRBPAQKJ-UHFFFAOYSA-N 0 1 323.238 3.004 20 30 DGEDMN CC#CC[C@@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001651273536 1195435670 /nfs/dbraw/zinc/43/56/70/1195435670.db2.gz NWGWZMAVZJCXFF-LBPRGKRZSA-N 0 1 305.727 3.223 20 30 DGEDMN Cc1nsc(NCc2ccc(Nc3ccncc3)cc2)c1C#N ZINC001652087923 1195545742 /nfs/dbraw/zinc/54/57/42/1195545742.db2.gz MKMJIEAMDAKAHH-UHFFFAOYSA-N 0 1 321.409 3.496 20 30 DGEDMN Cc1ncoc1-c1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1 ZINC001652246801 1195568691 /nfs/dbraw/zinc/56/86/91/1195568691.db2.gz FEWFHBFRAHBSON-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN CCC#C[C@H](C)N1CCCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001652344821 1195585533 /nfs/dbraw/zinc/58/55/33/1195585533.db2.gz OLNOEQIQWSPNTL-HNNXBMFYSA-N 0 1 318.848 3.290 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@]2(CCCc3ccccc32)C1 ZINC001652646049 1195630987 /nfs/dbraw/zinc/63/09/87/1195630987.db2.gz KEAIZRJXLAUKER-AZUAARDMSA-N 0 1 313.441 3.474 20 30 DGEDMN CC(C)CN(CCC#N)CCc1cccc2c1OC(F)(F)O2 ZINC001653419088 1195717683 /nfs/dbraw/zinc/71/76/83/1195717683.db2.gz KIWDNCQOZRZUKB-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN CN1CCN([C@H](C#N)c2ccccc2)C[C@@H]1Cc1ccccc1 ZINC001653537675 1195732359 /nfs/dbraw/zinc/73/23/59/1195732359.db2.gz JJFQEXDOOWLEFA-VQTJNVASSA-N 0 1 305.425 3.110 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CC[C@@H](C)C[C@@H](C)C2)c(C#N)c1C ZINC001654827006 1195845412 /nfs/dbraw/zinc/84/54/12/1195845412.db2.gz ZOSDOVHKKIOZEN-YRGRVCCFSA-N 0 1 317.433 3.463 20 30 DGEDMN CN(Cc1cc(C#N)cs1)Cc1ccc(-n2cccn2)cc1 ZINC001654926082 1195855495 /nfs/dbraw/zinc/85/54/95/1195855495.db2.gz TZQYBNZKFFSHMP-UHFFFAOYSA-N 0 1 308.410 3.437 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)N(CCC)CC(F)(F)F ZINC001655793865 1195963269 /nfs/dbraw/zinc/96/32/69/1195963269.db2.gz CWUFOKRXMHLGGQ-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CC[C@@H]1CCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001655819219 1195966627 /nfs/dbraw/zinc/96/66/27/1195966627.db2.gz UDDHWKBXROQRGB-LLVKDONJSA-N 0 1 306.207 3.476 20 30 DGEDMN C#CCCOC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC001655868929 1195971639 /nfs/dbraw/zinc/97/16/39/1195971639.db2.gz UGLMIUKXNAMSAJ-GVDBMIGSSA-N 0 1 314.429 3.130 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H](C)C1CCCCC1 ZINC001656096961 1195999710 /nfs/dbraw/zinc/99/97/10/1195999710.db2.gz GMIZGKGQOWQSDN-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001656112792 1196005386 /nfs/dbraw/zinc/00/53/86/1196005386.db2.gz KJRZEUGTNNWUSQ-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCCC(C)C)cc1)[C@H]1CCCO1 ZINC001656122231 1196007635 /nfs/dbraw/zinc/00/76/35/1196007635.db2.gz ZNNZVNCUZXVMFX-RTBURBONSA-N 0 1 301.430 3.382 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001656150569 1196010540 /nfs/dbraw/zinc/01/05/40/1196010540.db2.gz NSABCGYAVUUGHV-IKJUQUSUSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001656150794 1196010735 /nfs/dbraw/zinc/01/07/35/1196010735.db2.gz UUSZKUVNEPPTAE-BYXPJXHFSA-N 0 1 323.440 3.065 20 30 DGEDMN C[C@H](CSc1ccc(Cl)cc1C#N)CN1CCOCC1 ZINC001656331539 1196030638 /nfs/dbraw/zinc/03/06/38/1196030638.db2.gz FNBPMVMFXNDUQR-LBPRGKRZSA-N 0 1 310.850 3.272 20 30 DGEDMN C#C[C@H]1CCCCN1Cc1cccc(C(=O)NCc2ccco2)c1 ZINC001656427130 1196038810 /nfs/dbraw/zinc/03/88/10/1196038810.db2.gz WKDUDBKFKOSYND-SFHVURJKSA-N 0 1 322.408 3.197 20 30 DGEDMN C#Cc1cccc(CNCc2ccnn2-c2ccccc2C)c1 ZINC001656445163 1196041008 /nfs/dbraw/zinc/04/10/08/1196041008.db2.gz YIMDFINCYIOMSA-UHFFFAOYSA-N 0 1 301.393 3.452 20 30 DGEDMN COC(=O)c1cccc(CN2CC=CC23CCCCC3)c1C#N ZINC001656562561 1196050557 /nfs/dbraw/zinc/05/05/57/1196050557.db2.gz QETPYLPUBPDIFP-UHFFFAOYSA-N 0 1 310.397 3.420 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CCCC)C1 ZINC001656668300 1196060206 /nfs/dbraw/zinc/06/02/06/1196060206.db2.gz AJXGSNGCPILCER-QZTJIDSGSA-N 0 1 318.436 3.476 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCC=C)c2ccccc2)[C@H]1CC ZINC001656767920 1196069746 /nfs/dbraw/zinc/06/97/46/1196069746.db2.gz NRWHSAWLZFLEHR-SLFFLAALSA-N 0 1 324.468 3.339 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H]1CC(C)(C)CO1 ZINC001656906519 1196082094 /nfs/dbraw/zinc/08/20/94/1196082094.db2.gz PZYKTUVXDBUKPS-CYBMUJFWSA-N 0 1 307.821 3.257 20 30 DGEDMN COc1ccc(CNCc2ccc(SC)c(C#N)c2)cc1O ZINC001656959756 1196087186 /nfs/dbraw/zinc/08/71/86/1196087186.db2.gz DZHTWEJITADMNK-UHFFFAOYSA-N 0 1 314.410 3.284 20 30 DGEDMN CCCCO[C@@H]1C[C@@H](N(C)Cc2cc(C#N)ccn2)C1(C)C ZINC001657719655 1196171838 /nfs/dbraw/zinc/17/18/38/1196171838.db2.gz XAYLZFQWJRRKQX-IAGOWNOFSA-N 0 1 301.434 3.369 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(C(C)(C)C)c1)[C@H]1CCCO1 ZINC001657822247 1196181417 /nfs/dbraw/zinc/18/14/17/1196181417.db2.gz BVPXTQKUBYYJCR-SJLPKXTDSA-N 0 1 301.430 3.263 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3ccc(C#N)c(C)c3)C2)cc1 ZINC001658828522 1196305983 /nfs/dbraw/zinc/30/59/83/1196305983.db2.gz XROGDNDLHPUIMT-IBGZPJMESA-N 0 1 319.408 3.458 20 30 DGEDMN Cn1cc([C@@H]2C[C@H]2CN2CCC[C@@H]2[C@@H](C#N)c2ccccc2)cn1 ZINC001658899403 1196317356 /nfs/dbraw/zinc/31/73/56/1196317356.db2.gz PPFFIKNHOYGECU-OJAHFUOMSA-N 0 1 320.440 3.295 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001659142242 1196350566 /nfs/dbraw/zinc/35/05/66/1196350566.db2.gz FWLOJODRYKALHZ-KBPBESRZSA-N 0 1 304.821 3.402 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)N[C@@H](CC)c2ccc(Cl)cc2)C1 ZINC001659178248 1196356898 /nfs/dbraw/zinc/35/68/98/1196356898.db2.gz PXKCSQXCIJRBEO-PBHICJAKSA-N 0 1 318.848 3.253 20 30 DGEDMN C=CCC[C@H](O)CN1CCc2cc(C)cc(Br)c2C1 ZINC001659751130 1196411668 /nfs/dbraw/zinc/41/16/68/1196411668.db2.gz VNEGRNJTVQLFLN-AWEZNQCLSA-N 0 1 324.262 3.443 20 30 DGEDMN C#Cc1cccc(CNCc2c3ccccc3[nH]c2C(=O)OC)c1 ZINC001660032599 1196439180 /nfs/dbraw/zinc/43/91/80/1196439180.db2.gz TVFKBSKORFEWGR-UHFFFAOYSA-N 0 1 318.376 3.226 20 30 DGEDMN C=CCCCCCN1CCN(c2ncccc2OCC)CC1 ZINC001660160265 1196453005 /nfs/dbraw/zinc/45/30/05/1196453005.db2.gz BVCDEKVBNSUCAS-UHFFFAOYSA-N 0 1 303.450 3.349 20 30 DGEDMN C[C@H]1CN(Cc2cc(C#N)ccc2N(C)C)CC2(CCCC2)O1 ZINC001660195719 1196456590 /nfs/dbraw/zinc/45/65/90/1196456590.db2.gz XXNVZFWEEFRGHC-HNNXBMFYSA-N 0 1 313.445 3.158 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc2c(c1)CCC2 ZINC001660627838 1196506453 /nfs/dbraw/zinc/50/64/53/1196506453.db2.gz GTFDYOKBWAHGKO-UHFFFAOYSA-N 0 1 320.864 3.370 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(C)CCCC2)C1 ZINC001661220294 1196584444 /nfs/dbraw/zinc/58/44/44/1196584444.db2.gz CTFUUPZBGCRMEB-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)c[nH]1 ZINC001661257490 1196589643 /nfs/dbraw/zinc/58/96/43/1196589643.db2.gz IFSJVNHSVHRKMP-IYBDPMFKSA-N 0 1 319.424 3.189 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C/C=C\c1ccc(C)cc1 ZINC001661280207 1196591421 /nfs/dbraw/zinc/59/14/21/1196591421.db2.gz QJULCFALOXKSNC-GGJLBNBESA-N 0 1 322.452 3.097 20 30 DGEDMN CC(C)CCC(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001662157335 1196687992 /nfs/dbraw/zinc/68/79/92/1196687992.db2.gz QJMYCQLOOBZYCJ-HNNXBMFYSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001662233966 1196697572 /nfs/dbraw/zinc/69/75/72/1196697572.db2.gz FOXPTOQDLOKXFG-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN CCCC1(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CCC1 ZINC001662310589 1196705999 /nfs/dbraw/zinc/70/59/99/1196705999.db2.gz ZPADLKWJONOXPR-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001662924464 1196772698 /nfs/dbraw/zinc/77/26/98/1196772698.db2.gz IXWVCCSRIMYXBW-CQSZACIVSA-N 0 1 321.465 3.313 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2csc(C)c2)CC1 ZINC001663267342 1196824348 /nfs/dbraw/zinc/82/43/48/1196824348.db2.gz YARMJDUOMFQFKJ-UHFFFAOYSA-N 0 1 306.475 3.351 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001663288966 1196827536 /nfs/dbraw/zinc/82/75/36/1196827536.db2.gz XRZWUJFVZRRVMT-GOSISDBHSA-N 0 1 306.494 3.300 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001663447578 1196870022 /nfs/dbraw/zinc/87/00/22/1196870022.db2.gz PRFQXJGXGOFFGK-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1ccccc1Cl ZINC001663488156 1196885124 /nfs/dbraw/zinc/88/51/24/1196885124.db2.gz VASHWCVURFEEGE-MRXNPFEDSA-N 0 1 318.848 3.224 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001663519499 1196893983 /nfs/dbraw/zinc/89/39/83/1196893983.db2.gz SLQWVQLLAQENQV-DLBZAZTESA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc2c1CCCCC2)C1CC1 ZINC001663730148 1196935561 /nfs/dbraw/zinc/93/55/61/1196935561.db2.gz FNCBBIJEBUKMPU-UHFFFAOYSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(CCNCc1ccccc1C#N)C(=O)CCC1CCCC1 ZINC001663797754 1196946270 /nfs/dbraw/zinc/94/62/70/1196946270.db2.gz JYTWNHGBWWQRTN-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)Cc2cccc3ccoc32)c1 ZINC001663850591 1196951594 /nfs/dbraw/zinc/95/15/94/1196951594.db2.gz IINGOHADSHKSGK-UHFFFAOYSA-N 0 1 318.376 3.485 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1cc(Cl)ccc1F ZINC001664155745 1196975785 /nfs/dbraw/zinc/97/57/85/1196975785.db2.gz ULIVBUSYUPDHOX-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H](NC/C=C/Cl)c1ccccc1 ZINC001664578178 1197016411 /nfs/dbraw/zinc/01/64/11/1197016411.db2.gz KXBKDMGBDWVNST-NYUWMOHMSA-N 0 1 318.848 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(C=C)cc1)c1ccccc1 ZINC001664593507 1197018853 /nfs/dbraw/zinc/01/88/53/1197018853.db2.gz ZENCGSXMRCRITH-LJQANCHMSA-N 0 1 304.393 3.024 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001664673727 1197031439 /nfs/dbraw/zinc/03/14/39/1197031439.db2.gz ODWSVKHPKFINNL-XLAORIBOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H]1CCN(Cc2ncc(C)s2)C1 ZINC001664716351 1197042712 /nfs/dbraw/zinc/04/27/12/1197042712.db2.gz BETUBFPRBBVHCG-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)cc(F)c2)C1 ZINC001664745082 1197048003 /nfs/dbraw/zinc/04/80/03/1197048003.db2.gz FHKZYOLWUREFAI-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001664746256 1197049394 /nfs/dbraw/zinc/04/93/94/1197049394.db2.gz WHCLXPPWQWZVPW-LSDHHAIUSA-N 0 1 324.852 3.272 20 30 DGEDMN C[C@@H](CCC#N)CN[C@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001664767134 1197055078 /nfs/dbraw/zinc/05/50/78/1197055078.db2.gz WIARBCIDKRZULC-NWDGAFQWSA-N 0 1 319.396 3.064 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CCc2ccccc2)C1 ZINC001664852733 1197068841 /nfs/dbraw/zinc/06/88/41/1197068841.db2.gz AUJUTKXPEOJFBX-QGZVFWFLSA-N 0 1 320.864 3.152 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H](C)C2CC2)CC1 ZINC001664898570 1197077845 /nfs/dbraw/zinc/07/78/45/1197077845.db2.gz UBMHUMGOXQUGKI-CYBMUJFWSA-N 0 1 312.885 3.346 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@H]3C[C@H]3c3ccccc3)C2)cc1 ZINC001664951051 1197081108 /nfs/dbraw/zinc/08/11/08/1197081108.db2.gz MQPDUKVALIPKSP-XLIONFOSSA-N 0 1 304.393 3.425 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001664982242 1197084498 /nfs/dbraw/zinc/08/44/98/1197084498.db2.gz BQUXHBNQMXWUEI-CHWSQXEVSA-N 0 1 319.276 3.117 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H](c2ccccc2)[C@@H](C)CC)C1 ZINC001665357991 1197128193 /nfs/dbraw/zinc/12/81/93/1197128193.db2.gz GOSGUTWIUJKTGY-MAUKXSAKSA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)co1 ZINC001665409318 1197133208 /nfs/dbraw/zinc/13/32/08/1197133208.db2.gz GGIDWAJYBGGOBQ-HOTGVXAUSA-N 0 1 302.418 3.233 20 30 DGEDMN Cc1cccc(CN2CCC[C@@H](Nc3ccc(C#N)cn3)C2)c1F ZINC001665718784 1197180792 /nfs/dbraw/zinc/18/07/92/1197180792.db2.gz VBSCKBVRZLVQAY-QGZVFWFLSA-N 0 1 324.403 3.477 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)[C@@H]1CCN(Cc2cccnc2)C1 ZINC001665725907 1197181331 /nfs/dbraw/zinc/18/13/31/1197181331.db2.gz KXXXWZMDWGVKJW-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001665766252 1197191152 /nfs/dbraw/zinc/19/11/52/1197191152.db2.gz WYBOZSQGQBYKMD-CZUORRHYSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)[C@H](C)C(C)(C)C ZINC001665810078 1197200610 /nfs/dbraw/zinc/20/06/10/1197200610.db2.gz OFNPAPMUXMQKJW-RYUDHWBXSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1coc(C(F)F)c1 ZINC001665832907 1197204005 /nfs/dbraw/zinc/20/40/05/1197204005.db2.gz AAVQKESZTCATKX-SNVBAGLBSA-N 0 1 306.740 3.068 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1cccc(OC)c1 ZINC001665892913 1197212755 /nfs/dbraw/zinc/21/27/55/1197212755.db2.gz JMHFQVPVOATGCY-ZFWWWQNUSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cscn2)CCCC[C@H]1C ZINC001666050088 1197231585 /nfs/dbraw/zinc/23/15/85/1197231585.db2.gz OHKOSRXBSHRJPE-RHSMWYFYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cc(C)no2)CCCC[C@H]1C ZINC001666049686 1197231725 /nfs/dbraw/zinc/23/17/25/1197231725.db2.gz MOWNKVMTZYRLEE-KDOFPFPSSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CCC2CCCCC2)C1 ZINC001666729577 1197280214 /nfs/dbraw/zinc/28/02/14/1197280214.db2.gz IYUNBLQIWXIXJG-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CNCc1c(F)cccc1Cl ZINC001666886569 1197295056 /nfs/dbraw/zinc/29/50/56/1197295056.db2.gz CGXADUCVCGJDAE-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)CC(C)(C)CC ZINC001666907512 1197297236 /nfs/dbraw/zinc/29/72/36/1197297236.db2.gz DVYOXZUDMWZMOR-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl ZINC001667003641 1197307972 /nfs/dbraw/zinc/30/79/72/1197307972.db2.gz ZTHRVXSAFFADCE-OYGDSYQHSA-N 0 1 307.265 3.344 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl ZINC001667003639 1197308102 /nfs/dbraw/zinc/30/81/02/1197308102.db2.gz ZTHRVXSAFFADCE-HQQGHWSLSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(Cl)ccc1C ZINC001667018602 1197308944 /nfs/dbraw/zinc/30/89/44/1197308944.db2.gz RMGCJMTYVUFCNH-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccn(C)c1)C1CCCCC1 ZINC001667130911 1197322079 /nfs/dbraw/zinc/32/20/79/1197322079.db2.gz QWQGQKOPKBANRU-INIZCTEOSA-N 0 1 323.868 3.046 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CCc1ccoc1 ZINC001667367862 1197341591 /nfs/dbraw/zinc/34/15/91/1197341591.db2.gz JAPQHSQTQWUJKW-CJNGLKHVSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C1(F)CCCC1 ZINC001667380704 1197342693 /nfs/dbraw/zinc/34/26/93/1197342693.db2.gz KLIOIRYLSSNKNU-JSGCOSHPSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H](C)CC)CC1 ZINC001667406069 1197345348 /nfs/dbraw/zinc/34/53/48/1197345348.db2.gz UDEVPMBDIIOJDO-CYBMUJFWSA-N 0 1 300.874 3.346 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001667452157 1197349771 /nfs/dbraw/zinc/34/97/71/1197349771.db2.gz ISZNDIJOQZTXOS-MAUKXSAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCC[C@@H](NS(=O)(=O)c1ccccc1O)c1ccccc1 ZINC001668152201 1197401698 /nfs/dbraw/zinc/40/16/98/1197401698.db2.gz QWSHUUDIYRTOCX-OAHLLOKOSA-N 0 1 317.410 3.378 20 30 DGEDMN C=C1CCC(C(=O)N(CC)CCNCc2cc(C)ns2)CC1 ZINC001668546382 1197419374 /nfs/dbraw/zinc/41/93/74/1197419374.db2.gz SWESQCPRWPSNFX-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@@H]1CCCC[C@H]1C ZINC001668656893 1197423529 /nfs/dbraw/zinc/42/35/29/1197423529.db2.gz PXBGNGPJDBAQPM-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(Cl)ccc1C ZINC001669097299 1197440134 /nfs/dbraw/zinc/44/01/34/1197440134.db2.gz QOJWIOGZUIQJEZ-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001669156691 1197442338 /nfs/dbraw/zinc/44/23/38/1197442338.db2.gz HFWPNBBQDFPLOH-NSCUHMNNSA-N 0 1 322.880 3.217 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001669208115 1197443866 /nfs/dbraw/zinc/44/38/66/1197443866.db2.gz QXUGSXJVTQSQRS-RGDDUWESSA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)CCc1ccc(C)s1 ZINC001669223865 1197443874 /nfs/dbraw/zinc/44/38/74/1197443874.db2.gz GPDKYLPBHAMUQS-ONEGZZNKSA-N 0 1 312.866 3.004 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1cc(F)ccc1Cl ZINC001669385840 1197451733 /nfs/dbraw/zinc/45/17/33/1197451733.db2.gz XWQNCGXRKXKESB-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(C)CCc2ccccc2C1 ZINC001669489625 1197458434 /nfs/dbraw/zinc/45/84/34/1197458434.db2.gz JPSQCXFVVDOKJN-RDTXWAMCSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001669614673 1197465101 /nfs/dbraw/zinc/46/51/01/1197465101.db2.gz YEBLEXFNSGYJJW-BBRMVZONSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C)c(Cl)c1 ZINC001669657763 1197469085 /nfs/dbraw/zinc/46/90/85/1197469085.db2.gz MVVXWPRMMRLCQA-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001669866970 1197494207 /nfs/dbraw/zinc/49/42/07/1197494207.db2.gz AUQKLYFLTUDGBA-RDJZCZTQSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@@H]1CNCc1coc(C)n1 ZINC001669968915 1197512784 /nfs/dbraw/zinc/51/27/84/1197512784.db2.gz ZXYCYYZOXGTTQH-WBVHZDCISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC12CCC2)C1CCCC1 ZINC001671559261 1197548239 /nfs/dbraw/zinc/54/82/39/1197548239.db2.gz QVHNDCSSVGMRDO-HUUCEWRRSA-N 0 1 310.869 3.194 20 30 DGEDMN CC(C(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001671638687 1197556280 /nfs/dbraw/zinc/55/62/80/1197556280.db2.gz VAIUOGBDYQEDQG-HXUWFJFHSA-N 0 1 323.440 3.139 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001671881988 1197580637 /nfs/dbraw/zinc/58/06/37/1197580637.db2.gz HLXISLDLACDMNS-XNLNUTRZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(C)=C2CCCC2)C1 ZINC001671963024 1197587633 /nfs/dbraw/zinc/58/76/33/1197587633.db2.gz QUZAPZWYKQYDPN-OAHLLOKOSA-N 0 1 312.404 3.136 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001672854488 1197625760 /nfs/dbraw/zinc/62/57/60/1197625760.db2.gz XQOJKXFVIFKYPT-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN CCCCC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001672998692 1197633520 /nfs/dbraw/zinc/63/35/20/1197633520.db2.gz DNURIVRLOACOLO-UHFFFAOYSA-N 0 1 324.468 3.153 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CCC3CCCC3)cccc2C1 ZINC001673074893 1197636277 /nfs/dbraw/zinc/63/62/77/1197636277.db2.gz DJZGGKNQIFHTRJ-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@]3(C)C=CCC3)cccc2C1 ZINC001673079545 1197636334 /nfs/dbraw/zinc/63/63/34/1197636334.db2.gz FKPBWYBQXJKCBL-OAQYLSRUSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(C(F)F)CCCC2)CCC1 ZINC001673202186 1197643202 /nfs/dbraw/zinc/64/32/02/1197643202.db2.gz TZECFKWOHLYNBA-UHFFFAOYSA-N 0 1 320.811 3.193 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C1(CCC)CC1 ZINC001673633403 1197681619 /nfs/dbraw/zinc/68/16/19/1197681619.db2.gz HBCDAXYESUPNMA-LJQANCHMSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCOC(C)C)cc2C1 ZINC001673760244 1197690126 /nfs/dbraw/zinc/69/01/26/1197690126.db2.gz HDUWKXLDAKPTIB-UHFFFAOYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cc(F)ccc2F)[C@@H](C)C1 ZINC001673871919 1197700341 /nfs/dbraw/zinc/70/03/41/1197700341.db2.gz JSGGWMBOQQMDSC-GUYCJALGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@@H](COC)N[C@@H]1CCc2sc(Br)cc21 ZINC000382277213 1197704585 /nfs/dbraw/zinc/70/45/85/1197704585.db2.gz IDVLWLGPMLZOPN-WCBMZHEXSA-N 0 1 302.237 3.289 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC2(C)CCCCC2)C(C)(C)C1 ZINC001674022710 1197722984 /nfs/dbraw/zinc/72/29/84/1197722984.db2.gz DYILAURUAZFKOK-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(CC=C)CCCCC2)C(C)(C)C1 ZINC001674034431 1197726382 /nfs/dbraw/zinc/72/63/82/1197726382.db2.gz UIDRLWCKWGYDQQ-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](C)C(C)(C)C ZINC001674425380 1197771730 /nfs/dbraw/zinc/77/17/30/1197771730.db2.gz ZPUQJRFCXVSYFZ-BMGDILEWSA-N 0 1 312.457 3.064 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccccc1CCC ZINC001674468625 1197780363 /nfs/dbraw/zinc/78/03/63/1197780363.db2.gz BZWVZYZCWYBVMF-GOSISDBHSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccccc1CCC ZINC001674468626 1197780550 /nfs/dbraw/zinc/78/05/50/1197780550.db2.gz BZWVZYZCWYBVMF-SFHVURJKSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(Cl)cc1F ZINC001674470550 1197782700 /nfs/dbraw/zinc/78/27/00/1197782700.db2.gz YVEZJVUCYSBIDE-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001674486339 1197784552 /nfs/dbraw/zinc/78/45/52/1197784552.db2.gz IUJIFBCQNKWNDE-BZSNNMDCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CN(C)C[C@H](F)CC)CCC1 ZINC001674481280 1197785433 /nfs/dbraw/zinc/78/54/33/1197785433.db2.gz RYOGFYUGWWTNCH-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001674499589 1197789672 /nfs/dbraw/zinc/78/96/72/1197789672.db2.gz LEZXWOMQKJUNLY-JCYILVPMSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C)c(F)c1F ZINC001674728461 1197849932 /nfs/dbraw/zinc/84/99/32/1197849932.db2.gz GZPDINNTSFMZPK-GHMZBOCLSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(CC(C)C)c[nH]1 ZINC001674755019 1197859947 /nfs/dbraw/zinc/85/99/47/1197859947.db2.gz PKXSTVAKWXCIJM-QWHCGFSZSA-N 0 1 311.857 3.062 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2c(s1)CCC2 ZINC001674765926 1197864621 /nfs/dbraw/zinc/86/46/21/1197864621.db2.gz KAJJDPURXAVAOK-WDEREUQCSA-N 0 1 312.866 3.086 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](NCc1nc(C)c(C)o1)C(C)C ZINC001676169649 1198239895 /nfs/dbraw/zinc/23/98/95/1198239895.db2.gz UZFRQXSWYGWELB-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(F)=C1CCCC1 ZINC001676209250 1198250990 /nfs/dbraw/zinc/25/09/90/1198250990.db2.gz AJAQXPGGIMXPEH-CQSZACIVSA-N 0 1 314.832 3.363 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@]1(C)CC=CCC1 ZINC001676221638 1198253582 /nfs/dbraw/zinc/25/35/82/1198253582.db2.gz GKXYHAAMWHMBFD-NVXWUHKLSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1CCC=CCC1 ZINC001676272830 1198266926 /nfs/dbraw/zinc/26/69/26/1198266926.db2.gz DAHYBNZQMBBHIU-MRXNPFEDSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@H]1C ZINC001676898764 1198427838 /nfs/dbraw/zinc/42/78/38/1198427838.db2.gz RBPJLCNLLPERRZ-OLZOCXBDSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@H]1C ZINC001676898764 1198427845 /nfs/dbraw/zinc/42/78/45/1198427845.db2.gz RBPJLCNLLPERRZ-OLZOCXBDSA-N 0 1 318.383 3.264 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)C2(CC=C)CCCC2)[C@H]1C ZINC001676898798 1198428420 /nfs/dbraw/zinc/42/84/20/1198428420.db2.gz RKNRPGDJRZTNQD-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC(C)(C)c1ccccc1)C(C)C ZINC001677219315 1198493884 /nfs/dbraw/zinc/49/38/84/1198493884.db2.gz RCQJZQBLEOCLCG-UHFFFAOYSA-N 0 1 314.473 3.156 20 30 DGEDMN C#CCN1CCC(NC(=O)N[C@@H](CCCCC)C(C)(C)C)CC1 ZINC001677504180 1198543819 /nfs/dbraw/zinc/54/38/19/1198543819.db2.gz GSCPMSIBOZVNNE-KRWDZBQOSA-N 0 1 321.509 3.378 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC001677612000 1198559887 /nfs/dbraw/zinc/55/98/87/1198559887.db2.gz GBISECRIHJAZHL-KRWDZBQOSA-N 0 1 324.468 3.124 20 30 DGEDMN C=CCC1(CNC(=O)N2C[C@@H](C)N(CC)C[C@H]2C)CCCC1 ZINC001682312364 1199149532 /nfs/dbraw/zinc/14/95/32/1199149532.db2.gz YJPSJYBYNCEXSM-HZPDHXFCSA-N 0 1 307.482 3.247 20 30 DGEDMN C=CC(C)(C)NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC001685194182 1199452656 /nfs/dbraw/zinc/45/26/56/1199452656.db2.gz UFGJRULPUGOGBB-CQSZACIVSA-N 0 1 309.841 3.207 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(CC(C)C)CC1 ZINC001687035717 1199613309 /nfs/dbraw/zinc/61/33/09/1199613309.db2.gz AEUVZJHODWTVRY-IAGOWNOFSA-N 0 1 307.482 3.105 20 30 DGEDMN N#CCc1cccc(NS(=O)(=O)c2ccccc2Cl)c1 ZINC000313464539 1199766199 /nfs/dbraw/zinc/76/61/99/1199766199.db2.gz MRIAYBXJUACMGA-UHFFFAOYSA-N 0 1 306.774 3.207 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2Cc2ccccc2C)C1 ZINC001688889144 1199943687 /nfs/dbraw/zinc/94/36/87/1199943687.db2.gz UYQQCPSVMFVTHS-GOSISDBHSA-N 0 1 312.457 3.384 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001688897078 1199948831 /nfs/dbraw/zinc/94/88/31/1199948831.db2.gz POCWPEOWPCEVNI-DZGCQCFKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C[C@@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688896434 1199949165 /nfs/dbraw/zinc/94/91/65/1199949165.db2.gz DDEPAXGMIOCVAK-MJGOQNOKSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001688897080 1199949705 /nfs/dbraw/zinc/94/97/05/1199949705.db2.gz POCWPEOWPCEVNI-UKRRQHHQSA-N 0 1 322.399 3.177 20 30 DGEDMN CC(C)=C(C)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001753859098 1200006825 /nfs/dbraw/zinc/00/68/25/1200006825.db2.gz COXUZIUKCGWWSL-UHFFFAOYSA-N 0 1 313.445 3.195 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1cccc(OC)c1 ZINC001753861533 1200009350 /nfs/dbraw/zinc/00/93/50/1200009350.db2.gz JQNXZGQNZWMLBY-LJQANCHMSA-N 0 1 318.461 3.188 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001753963089 1200052602 /nfs/dbraw/zinc/05/26/02/1200052602.db2.gz DYQIQFZMNVUFBI-SSUFTNFISA-N 0 1 317.408 3.038 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001754062813 1200091117 /nfs/dbraw/zinc/09/11/17/1200091117.db2.gz PYDJASIIVCOYHE-GOSISDBHSA-N 0 1 312.457 3.079 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001689315050 1200091789 /nfs/dbraw/zinc/09/17/89/1200091789.db2.gz XJBDKJFZROAZHW-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1ccc(C)o1 ZINC001689352666 1200105761 /nfs/dbraw/zinc/10/57/61/1200105761.db2.gz MXDDMXKVGPLDST-IRXDYDNUSA-N 0 1 316.445 3.028 20 30 DGEDMN CC(C)(C(=O)NC/C=C\CNCC#Cc1ccccc1)C1CCC1 ZINC001754153452 1200114963 /nfs/dbraw/zinc/11/49/63/1200114963.db2.gz GXQWLFKAXSZWEK-SREVYHEPSA-N 0 1 324.468 3.126 20 30 DGEDMN CC(C)=C(C)CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001754157423 1200116515 /nfs/dbraw/zinc/11/65/15/1200116515.db2.gz LIRMRDKBGMJTQJ-FPLPWBNLSA-N 0 1 310.441 3.047 20 30 DGEDMN C[C@H](CN[C@@H](C)c1ccc(F)cc1F)NC(=O)C#CC(C)(C)C ZINC001754225418 1200140261 /nfs/dbraw/zinc/14/02/61/1200140261.db2.gz VSAIUWMQQMXSFL-OLZOCXBDSA-N 0 1 322.399 3.170 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001754240542 1200147470 /nfs/dbraw/zinc/14/74/70/1200147470.db2.gz WGACNUJBBNTWRT-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(Cl)cc1C ZINC001754281949 1200171483 /nfs/dbraw/zinc/17/14/83/1200171483.db2.gz YGGJMSKKOUQUOJ-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001754302008 1200183957 /nfs/dbraw/zinc/18/39/57/1200183957.db2.gz ZFEDCGJIAREPJN-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1[C@@H](C)c1ccc2c(c1)CCC2 ZINC001754480884 1200291950 /nfs/dbraw/zinc/29/19/50/1200291950.db2.gz NXYICEUUYGHCCQ-OXJNMPFZSA-N 0 1 324.468 3.230 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cnc(C(C)(C)C)s1 ZINC001754506235 1200322917 /nfs/dbraw/zinc/32/29/17/1200322917.db2.gz YRHKMHIEFIQVRA-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cscc2C(F)F)CC1 ZINC001754514734 1200329904 /nfs/dbraw/zinc/32/99/04/1200329904.db2.gz HQCUYEQYHDGGIS-UHFFFAOYSA-N 0 1 320.792 3.290 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001754513340 1200330694 /nfs/dbraw/zinc/33/06/94/1200330694.db2.gz PIDFVFLIXBMTKC-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccc(CC)cc2)CC1 ZINC001754532945 1200343953 /nfs/dbraw/zinc/34/39/53/1200343953.db2.gz LGRXRGVIXWOGRW-UHFFFAOYSA-N 0 1 320.864 3.173 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001690016587 1200368384 /nfs/dbraw/zinc/36/83/84/1200368384.db2.gz BBDITJDXPRYHNY-QGZVFWFLSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2ccsc2)C1 ZINC001754578948 1200375919 /nfs/dbraw/zinc/37/59/19/1200375919.db2.gz YGQAXCADSORLGJ-UHFFFAOYSA-N 0 1 306.475 3.180 20 30 DGEDMN CC(C)CCC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001690032276 1200377190 /nfs/dbraw/zinc/37/71/90/1200377190.db2.gz VRPKHMSUGQAUNX-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCCC3(CC3)C2)CC1 ZINC001690134547 1200413245 /nfs/dbraw/zinc/41/32/45/1200413245.db2.gz VYLFACWANDTLQB-KRWDZBQOSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccncc1C)C1CCCC1 ZINC001754647121 1200414502 /nfs/dbraw/zinc/41/45/02/1200414502.db2.gz LNZBUSBSRJDWIA-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc3cc[nH]c3c2)CC1 ZINC001690136573 1200415864 /nfs/dbraw/zinc/41/58/64/1200415864.db2.gz PPVSGCHRWXFWKS-UHFFFAOYSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001690153422 1200423438 /nfs/dbraw/zinc/42/34/38/1200423438.db2.gz LZQVBNLURHKPMV-LLBKUYECSA-N 0 1 319.276 3.392 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001754686157 1200446193 /nfs/dbraw/zinc/44/61/93/1200446193.db2.gz ZTPWLAVMRYYZIW-HCZHMGAMSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001690194519 1200448248 /nfs/dbraw/zinc/44/82/48/1200448248.db2.gz INGHMUWGRGQNKQ-HYVNUMGLSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](C)CCC)CC1 ZINC001754716270 1200459892 /nfs/dbraw/zinc/45/98/92/1200459892.db2.gz LVXMVDMQHVOLLC-ZDUSSCGKSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc3ccccc32)C1 ZINC001754733744 1200479485 /nfs/dbraw/zinc/47/94/85/1200479485.db2.gz OYAOOTMFAHOTPX-OAQYLSRUSA-N 0 1 320.436 3.305 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001690360629 1200512396 /nfs/dbraw/zinc/51/23/96/1200512396.db2.gz RVCQKNFAQIAKNS-OLMNPRSZSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN(Cc2ccccc2F)C1 ZINC001690376295 1200522712 /nfs/dbraw/zinc/52/27/12/1200522712.db2.gz GAAQFWIADMBARF-HNNXBMFYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C/Cl ZINC001754866257 1200524067 /nfs/dbraw/zinc/52/40/67/1200524067.db2.gz WNCHBXVJANVXSF-VOTDVEDPSA-N 0 1 310.869 3.407 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1CCC ZINC001754946670 1200548269 /nfs/dbraw/zinc/54/82/69/1200548269.db2.gz RIOXMRSFQNDVIT-VQIMIIECSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C1CC(C)(C(=O)NCc2ccc([C@@H](C)NCCF)cc2)C1 ZINC001754948055 1200550333 /nfs/dbraw/zinc/55/03/33/1200550333.db2.gz FNXDJHHQSREYOR-CQSZACIVSA-N 0 1 304.409 3.279 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001690470240 1200578742 /nfs/dbraw/zinc/57/87/42/1200578742.db2.gz UTTPNBSRFJYFLE-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)CCC)O2 ZINC001755055725 1200620051 /nfs/dbraw/zinc/62/00/51/1200620051.db2.gz NPWGFDMPXVFHPB-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C1(C)CCCC1 ZINC001755075940 1200628653 /nfs/dbraw/zinc/62/86/53/1200628653.db2.gz PNEPIQZCTMJLJT-LJQANCHMSA-N 0 1 324.468 3.133 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CCC(C)(F)F)cc2C1 ZINC001755102018 1200637045 /nfs/dbraw/zinc/63/70/45/1200637045.db2.gz FKPNSXNNARGTMI-UHFFFAOYSA-N 0 1 320.383 3.077 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cccc(OC)c1Cl ZINC001690612666 1200648369 /nfs/dbraw/zinc/64/83/69/1200648369.db2.gz OULVMSNAAWTAPK-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001690614713 1200649607 /nfs/dbraw/zinc/64/96/07/1200649607.db2.gz OOOLAQGFMHZQOA-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(F)c2ccccc12 ZINC001690625520 1200655046 /nfs/dbraw/zinc/65/50/46/1200655046.db2.gz TVAZOBCHUNKDPM-LBPRGKRZSA-N 0 1 320.795 3.439 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001690626799 1200656326 /nfs/dbraw/zinc/65/63/26/1200656326.db2.gz LWWNIPULOZIUNA-ZBFHGGJFSA-N 0 1 308.853 3.273 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H](C)C1 ZINC001755160682 1200667167 /nfs/dbraw/zinc/66/71/67/1200667167.db2.gz QCFATGOKHQOAHO-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001690651916 1200672700 /nfs/dbraw/zinc/67/27/00/1200672700.db2.gz JGGRIWGLCRDSQJ-DOMZBBRYSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)SC ZINC001755171915 1200678032 /nfs/dbraw/zinc/67/80/32/1200678032.db2.gz GUUKKYZCPJTVPB-RHSMWYFYSA-N 0 1 318.486 3.030 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)C[C@H](C)CCC)CC2)C1 ZINC001755174013 1200680454 /nfs/dbraw/zinc/68/04/54/1200680454.db2.gz GLWOPAAMCSPTRH-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001755248292 1200733334 /nfs/dbraw/zinc/73/33/34/1200733334.db2.gz HUSRXGLORQZVBN-CABCVRRESA-N 0 1 313.445 3.143 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001755248631 1200733358 /nfs/dbraw/zinc/73/33/58/1200733358.db2.gz JCYQHSWYYMKWSX-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)Cc2ccc(F)c(F)c2)C1 ZINC001755313945 1200799048 /nfs/dbraw/zinc/79/90/48/1200799048.db2.gz BIXDCPNCOAITNA-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C(CC)CC ZINC001691788535 1200807968 /nfs/dbraw/zinc/80/79/68/1200807968.db2.gz DFJOYGBWYXANGA-DOMZBBRYSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691842506 1200831444 /nfs/dbraw/zinc/83/14/44/1200831444.db2.gz WOEKDWFXHZBMIK-YZGWKJHDSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691842505 1200832091 /nfs/dbraw/zinc/83/20/91/1200832091.db2.gz WOEKDWFXHZBMIK-YSIASYRMSA-N 0 1 324.468 3.196 20 30 DGEDMN CCCC[C@@H](CNCc1cc(F)ccc1C#N)NC(=O)C(C)C ZINC001755356638 1200839153 /nfs/dbraw/zinc/83/91/53/1200839153.db2.gz TVAHOGPSQVDCSF-KRWDZBQOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)C1 ZINC001691864487 1200845074 /nfs/dbraw/zinc/84/50/74/1200845074.db2.gz NGOXZGJCHTURLL-IJXRJRJASA-N 0 1 324.468 3.071 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@@]1(C)CC=CCC1 ZINC001755363232 1200848783 /nfs/dbraw/zinc/84/87/83/1200848783.db2.gz FAZPKKIOKUCYFX-MJGOQNOKSA-N 0 1 302.462 3.117 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCCCCN1C/C=C\Cl ZINC001755364121 1200851519 /nfs/dbraw/zinc/85/15/19/1200851519.db2.gz QVIPOJAKNPLCEG-QARUFBMTSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C23CCC(CC2)C3)C1 ZINC001691892416 1200861118 /nfs/dbraw/zinc/86/11/18/1200861118.db2.gz CCPRVQXEEFHJQV-CKDBGZEDSA-N 0 1 310.869 3.147 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001755372945 1200861316 /nfs/dbraw/zinc/86/13/16/1200861316.db2.gz HEJMAGDSCKAAEJ-LSDHHAIUSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001755377082 1200863690 /nfs/dbraw/zinc/86/36/90/1200863690.db2.gz PIYUOQCUGDUVJL-CYBMUJFWSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CN(C)Cc2cccc(F)c2F)C1 ZINC001691954200 1200885210 /nfs/dbraw/zinc/88/52/10/1200885210.db2.gz YZUXLZYAIQDVBK-AWEZNQCLSA-N 0 1 322.399 3.211 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001755415584 1200891888 /nfs/dbraw/zinc/89/18/88/1200891888.db2.gz JNYKZRRJUXWGIE-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC(CC)CC)C1 ZINC001691990059 1200900166 /nfs/dbraw/zinc/90/01/66/1200900166.db2.gz UMJUAWRKWMDCFD-HNNXBMFYSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCNCc2nc(C)c(C)o2)C1 ZINC001755514612 1200928609 /nfs/dbraw/zinc/92/86/09/1200928609.db2.gz LQRMFWYCISDXDQ-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1sccc1F ZINC001755570863 1200959284 /nfs/dbraw/zinc/95/92/84/1200959284.db2.gz KYCBAZKDBVRJET-GFCCVEGCSA-N 0 1 316.829 3.080 20 30 DGEDMN CCCCC(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001755578261 1200965235 /nfs/dbraw/zinc/96/52/35/1200965235.db2.gz XRZPJRRRIMRBKT-HNNXBMFYSA-N 0 1 320.864 3.318 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C/C=C/Cc2ccccc2)C1 ZINC001692206591 1200971056 /nfs/dbraw/zinc/97/10/56/1200971056.db2.gz CJHBHAOZQXGUSY-KBOKABMXSA-N 0 1 312.457 3.331 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(Cl)c1F ZINC001755603344 1200981351 /nfs/dbraw/zinc/98/13/51/1200981351.db2.gz ZJEZYVFJJIQPRU-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cc(O)ccc2Cl)C[C@H]1C ZINC001755675250 1201010209 /nfs/dbraw/zinc/01/02/09/1201010209.db2.gz WKAUEGRESNVADE-TZMCWYRMSA-N 0 1 322.836 3.091 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(OCCCC)cc2)C1 ZINC001692347378 1201030464 /nfs/dbraw/zinc/03/04/64/1201030464.db2.gz HWZCBRRMSCESIH-UHFFFAOYSA-N 0 1 316.445 3.103 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)nc1 ZINC001692356542 1201036339 /nfs/dbraw/zinc/03/63/39/1201036339.db2.gz PGOIOXZSKSCDJP-ROUUACIJSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2ccccn2)[C@H]1C ZINC001755716773 1201037208 /nfs/dbraw/zinc/03/72/08/1201037208.db2.gz BYJHVQQENVJWLF-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1F ZINC001692378129 1201042772 /nfs/dbraw/zinc/04/27/72/1201042772.db2.gz GSTQHWBBGWQTNA-GJZGRUSLSA-N 0 1 319.424 3.090 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001692383571 1201046625 /nfs/dbraw/zinc/04/66/25/1201046625.db2.gz WEUHCVWVJSNITA-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001692399714 1201054577 /nfs/dbraw/zinc/05/45/77/1201054577.db2.gz WABQFXDDHQOMQU-CABCVRRESA-N 0 1 304.409 3.434 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCCCC1(C)C)C(C)C ZINC001755815597 1201081632 /nfs/dbraw/zinc/08/16/32/1201081632.db2.gz PKLFFASABCPHAC-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1CCC)C(C)(C)C ZINC001755833222 1201095871 /nfs/dbraw/zinc/09/58/71/1201095871.db2.gz XCGSVNWDQDWYQE-MGPQQGTHSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001755830600 1201092894 /nfs/dbraw/zinc/09/28/94/1201092894.db2.gz OSVHXYFKEMILJE-KGLIPLIRSA-N 0 1 300.874 3.462 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001755834259 1201095495 /nfs/dbraw/zinc/09/54/95/1201095495.db2.gz ZODBNSVQANGADZ-CYBMUJFWSA-N 0 1 300.874 3.462 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)CCC2CCCC2)c(F)c1 ZINC001755900661 1201125277 /nfs/dbraw/zinc/12/52/77/1201125277.db2.gz NYMOIMKSQAGKAU-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001698571144 1201241370 /nfs/dbraw/zinc/24/13/70/1201241370.db2.gz LAQDQFLMAFPSRK-RBUKOAKNSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](c1cccnc1)C(C)C ZINC001698616678 1201289298 /nfs/dbraw/zinc/28/92/98/1201289298.db2.gz WVRONGXMJDZTBM-HZPDHXFCSA-N 0 1 323.868 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)Cc2ccc(C)o2)CC1 ZINC001698723654 1201365809 /nfs/dbraw/zinc/36/58/09/1201365809.db2.gz XRSXROYFZZZZFS-UHFFFAOYSA-N 0 1 324.852 3.101 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)CC2(C)CCCCC2)C1 ZINC001698787379 1201418439 /nfs/dbraw/zinc/41/84/39/1201418439.db2.gz QRHHUHAPHCIKNT-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)CC2(C)CCCCC2)C1 ZINC001698787381 1201418712 /nfs/dbraw/zinc/41/87/12/1201418712.db2.gz QRHHUHAPHCIKNT-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C2(CCC)CCC2)C1 ZINC001698789107 1201419523 /nfs/dbraw/zinc/41/95/23/1201419523.db2.gz SZLUGYYABDQIAS-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)CC2CCCCCC2)C1 ZINC001698791926 1201421061 /nfs/dbraw/zinc/42/10/61/1201421061.db2.gz HGVZEHRJKQATPI-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)C2=CCCC2)CCCC1 ZINC001698796743 1201426007 /nfs/dbraw/zinc/42/60/07/1201426007.db2.gz WCAOQEZDGRJKFP-UHFFFAOYSA-N 0 1 323.440 3.187 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1F ZINC001698823622 1201473925 /nfs/dbraw/zinc/47/39/25/1201473925.db2.gz RYTQONNZNLPCBY-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1(C)CCCC1 ZINC001698871281 1201529038 /nfs/dbraw/zinc/52/90/38/1201529038.db2.gz LXRGXBNCMFACLZ-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C1CC2(CC2)C1 ZINC001698950101 1201595769 /nfs/dbraw/zinc/59/57/69/1201595769.db2.gz NZHBZZVFJNHOOV-WFASDCNBSA-N 0 1 310.869 3.146 20 30 DGEDMN CN(CCN(C)C(=O)C1(C)CCCC1)Cc1cccc(C#N)c1 ZINC001698992646 1201657295 /nfs/dbraw/zinc/65/72/95/1201657295.db2.gz CPRPKARHDGPOHM-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cccc(C2CCCC2)c1 ZINC001699001350 1201671712 /nfs/dbraw/zinc/67/17/12/1201671712.db2.gz RLZWLKXDXHNNFX-UHFFFAOYSA-N 0 1 312.457 3.371 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCNC/C(Cl)=C/Cl ZINC001699132350 1201722663 /nfs/dbraw/zinc/72/26/63/1201722663.db2.gz ZMTXYIFEVMZXAQ-NQFXMWKNSA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(F)c(C)c1 ZINC001750929522 1201726096 /nfs/dbraw/zinc/72/60/96/1201726096.db2.gz IQLRXBRNSAKHRE-KRWDZBQOSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001750936909 1201733127 /nfs/dbraw/zinc/73/31/27/1201733127.db2.gz NREPNVKIIVDPIT-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN CCN(CCNCC#Cc1ccc(F)cc1)C(=O)CC(C)(C)C ZINC001699171411 1201739149 /nfs/dbraw/zinc/73/91/49/1201739149.db2.gz VYIFIWFNYCJJON-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C)(C)C1CCCC1 ZINC001699185886 1201746492 /nfs/dbraw/zinc/74/64/92/1201746492.db2.gz HIANEKUGOXWDIC-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2occc2s1 ZINC001699204730 1201753982 /nfs/dbraw/zinc/75/39/82/1201753982.db2.gz UNSVUZHREHSUGT-UHFFFAOYSA-N 0 1 312.822 3.299 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2cnccc2c1 ZINC001699212985 1201756340 /nfs/dbraw/zinc/75/63/40/1201756340.db2.gz FTAVWEJTKVZKPI-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2cccnc2c1 ZINC001699210043 1201756667 /nfs/dbraw/zinc/75/66/67/1201756667.db2.gz FYROSAWYVVTAQB-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001699320012 1201788455 /nfs/dbraw/zinc/78/84/55/1201788455.db2.gz DCZHEKARIYATPL-LLYBFZRZSA-N 0 1 320.864 3.367 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001699351736 1201799879 /nfs/dbraw/zinc/79/98/79/1201799879.db2.gz ZFGNLRQLIHRHTA-ICWBMWKASA-N 0 1 318.848 3.413 20 30 DGEDMN CCCCC(=O)N(C)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001752291932 1201822954 /nfs/dbraw/zinc/82/29/54/1201822954.db2.gz LTLHRIDUBTXNBS-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2cc(F)ccc2F)CC1 ZINC001699378030 1201823993 /nfs/dbraw/zinc/82/39/93/1201823993.db2.gz AZEAPMDINRQWOO-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001699377932 1201824038 /nfs/dbraw/zinc/82/40/38/1201824038.db2.gz SAJDDBNCJSFQFA-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC/C=C/c1ccccc1 ZINC001699422056 1201856789 /nfs/dbraw/zinc/85/67/89/1201856789.db2.gz NPJSRFLGBVSGKV-WGPYJDKQSA-N 0 1 306.837 3.327 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(F)c1F ZINC001752542497 1201872949 /nfs/dbraw/zinc/87/29/49/1201872949.db2.gz NBEIXHTYIQPCJH-OKILXGFUSA-N 0 1 320.383 3.220 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001752651762 1201884935 /nfs/dbraw/zinc/88/49/35/1201884935.db2.gz TVCUNTDNIHJFEK-HDJSIYSDSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001752750016 1201904716 /nfs/dbraw/zinc/90/47/16/1201904716.db2.gz CRWUILKXDOCWGL-OKILXGFUSA-N 0 1 321.490 3.099 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001752778969 1201918117 /nfs/dbraw/zinc/91/81/17/1201918117.db2.gz OQLIGYRUZAQWDW-KHVWPYCOSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001752785469 1201921384 /nfs/dbraw/zinc/92/13/84/1201921384.db2.gz ASTXMZBNVZTFQD-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1Cc1ccsc1 ZINC001752819531 1201944036 /nfs/dbraw/zinc/94/40/36/1201944036.db2.gz XYCPGXMNTDNRNH-MRXNPFEDSA-N 0 1 304.459 3.022 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1ccccc1C)C1CC1 ZINC001699602154 1201968636 /nfs/dbraw/zinc/96/86/36/1201968636.db2.gz JBQFZIHSBHJGEM-WMLDXEAASA-N 0 1 320.864 3.335 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001752906501 1202005724 /nfs/dbraw/zinc/00/57/24/1202005724.db2.gz LDDJOROCGOPCQM-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CC(C)CC(C)(C)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001752910037 1202006557 /nfs/dbraw/zinc/00/65/57/1202006557.db2.gz AZRUQFZNNNEDSK-OAHLLOKOSA-N 0 1 315.461 3.225 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001752908088 1202007092 /nfs/dbraw/zinc/00/70/92/1202007092.db2.gz CYNYFWBFSLGWOT-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001699690606 1202036857 /nfs/dbraw/zinc/03/68/57/1202036857.db2.gz GRQQUDXIJYRFKC-TWMKSMIVSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001699690607 1202037391 /nfs/dbraw/zinc/03/73/91/1202037391.db2.gz GRQQUDXIJYRFKC-VQHPVUNQSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@H](C)NCc2csc(C)n2)CC1 ZINC001753013271 1202058703 /nfs/dbraw/zinc/05/87/03/1202058703.db2.gz FARQAPJLOYGBKK-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1cccc(F)c1 ZINC001699766709 1202071962 /nfs/dbraw/zinc/07/19/62/1202071962.db2.gz HSSHYXAEQUKPTP-CJNGLKHVSA-N 0 1 324.827 3.262 20 30 DGEDMN C#CCN(C(=O)CCCC1CC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001699784626 1202077189 /nfs/dbraw/zinc/07/71/89/1202077189.db2.gz NWEOGZVQVJQSGU-KRWDZBQOSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(C)CCN(Cc2sc(C)nc2C)C1 ZINC001699815287 1202093103 /nfs/dbraw/zinc/09/31/03/1202093103.db2.gz WVJRWKICAWWJOO-QGZVFWFLSA-N 0 1 321.490 3.197 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc(C)c(CC)s2)C1 ZINC001699878847 1202134531 /nfs/dbraw/zinc/13/45/31/1202134531.db2.gz CRAXPUXGBWIRFR-GOSISDBHSA-N 0 1 318.486 3.084 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001753106424 1202138093 /nfs/dbraw/zinc/13/80/93/1202138093.db2.gz OGLYIMSEYWUCIN-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(C)cc1)c1ccccc1CC ZINC001699934103 1202140697 /nfs/dbraw/zinc/14/06/97/1202140697.db2.gz XAXBFJLEJGWPSS-HXUWFJFHSA-N 0 1 320.436 3.251 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H]1C=CCCC1)c1ccccc1CC ZINC001699936007 1202141310 /nfs/dbraw/zinc/14/13/10/1202141310.db2.gz VLMKWTCPJCMJFA-PXNSSMCTSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)o1)c1ccccc1CC ZINC001699937038 1202142348 /nfs/dbraw/zinc/14/23/48/1202142348.db2.gz ZHOSELBLQWYPAQ-GOSISDBHSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001753111935 1202142426 /nfs/dbraw/zinc/14/24/26/1202142426.db2.gz RVZMMKZVGLZKFA-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)CCC(C)(C)C ZINC001700018082 1202166317 /nfs/dbraw/zinc/16/63/17/1202166317.db2.gz WBDISHLDJSDVGP-YESZJQIVSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(Cc2ccsc2)C2CC2)C1 ZINC001753158706 1202174142 /nfs/dbraw/zinc/17/41/42/1202174142.db2.gz CNAFCADFFPAOMI-UHFFFAOYSA-N 0 1 304.459 3.185 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC001700091087 1202177612 /nfs/dbraw/zinc/17/76/12/1202177612.db2.gz KSROOHWKHGXKGG-VQTJNVASSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(CC)CCCC1 ZINC001700091070 1202177724 /nfs/dbraw/zinc/17/77/24/1202177724.db2.gz KGYAVTDHCSXTKZ-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CNCc1oc(C)nc1C ZINC001700125188 1202185348 /nfs/dbraw/zinc/18/53/48/1202185348.db2.gz MDTBFEQDUGXBLP-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCNCc1ccc(F)cc1F ZINC001753221996 1202185621 /nfs/dbraw/zinc/18/56/21/1202185621.db2.gz JSDWPNGQPYALRV-ZDUSSCGKSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@]1(c2ccccc2)CC1(C)C ZINC001753243817 1202188618 /nfs/dbraw/zinc/18/86/18/1202188618.db2.gz GXOCPXSUYDJBMI-GOSISDBHSA-N 0 1 320.864 3.155 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2occc2C(C)C)CCC1 ZINC001700136980 1202189472 /nfs/dbraw/zinc/18/94/72/1202189472.db2.gz QXWONYCQWRPIKI-UHFFFAOYSA-N 0 1 310.825 3.398 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc2ccccc2c1 ZINC001753255478 1202189860 /nfs/dbraw/zinc/18/98/60/1202189860.db2.gz VMTCSWRXTFRTGZ-UHFFFAOYSA-N 0 1 302.805 3.254 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001700177299 1202195949 /nfs/dbraw/zinc/19/59/49/1202195949.db2.gz QBRDCGMLZMVSMG-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1ccc(CN[C@@H](CNC(=O)[C@@H](C)C#N)c2ccccc2)cc1 ZINC001753298134 1202199658 /nfs/dbraw/zinc/19/96/58/1202199658.db2.gz YTUVASUOORSEOH-LPHOPBHVSA-N 0 1 321.424 3.102 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cccn1C(C)C)c1ccccc1 ZINC001753303387 1202201199 /nfs/dbraw/zinc/20/11/99/1202201199.db2.gz XJDXYQKXTZDQDD-GOSISDBHSA-N 0 1 323.440 3.153 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](F)c1ccccc1)c1ccccc1 ZINC001753311337 1202202804 /nfs/dbraw/zinc/20/28/04/1202202804.db2.gz LVXUBAMTMKQQGL-RBUKOAKNSA-N 0 1 324.399 3.168 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC(C)(C)CC(F)F)C1 ZINC001753319062 1202207621 /nfs/dbraw/zinc/20/76/21/1202207621.db2.gz WYJIDJNATRDWFM-AWEZNQCLSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc3c(c2)CCC3)C1 ZINC001753322555 1202210138 /nfs/dbraw/zinc/21/01/38/1202210138.db2.gz INGROTNLPLWCME-FQEVSTJZSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccn2C(C)C)C1 ZINC001753322594 1202210517 /nfs/dbraw/zinc/21/05/17/1202210517.db2.gz IUMNTAOTPRFQSS-KRWDZBQOSA-N 0 1 315.461 3.019 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN(C[C@@H](C)OC)C2 ZINC001700252534 1202218131 /nfs/dbraw/zinc/21/81/31/1202218131.db2.gz NWJMSTIZGUPIAT-OAHLLOKOSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](C)C(C)C)cc2C1 ZINC001700252859 1202218938 /nfs/dbraw/zinc/21/89/38/1202218938.db2.gz ZLOZCQLDBPIXFE-OAHLLOKOSA-N 0 1 300.446 3.487 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccoc2CCC)C1 ZINC001753339829 1202219187 /nfs/dbraw/zinc/21/91/87/1202219187.db2.gz VOCJRDRGBGGFCT-CQSZACIVSA-N 0 1 324.852 3.426 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1C[C@H](C)[C@H](NCc2ccccc2C#N)C1 ZINC001700263407 1202220975 /nfs/dbraw/zinc/22/09/75/1202220975.db2.gz JLKGYDYVHRZBNZ-SZCJBMAUSA-N 0 1 323.440 3.017 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)CCCF)C1 ZINC001753361765 1202229250 /nfs/dbraw/zinc/22/92/50/1202229250.db2.gz OFURNDVQSDBCFR-GOSISDBHSA-N 0 1 318.436 3.415 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001753364407 1202230732 /nfs/dbraw/zinc/23/07/32/1202230732.db2.gz BKCFSNKDSRKRNL-HNNXBMFYSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2ccccc2cc1F ZINC001700356247 1202247091 /nfs/dbraw/zinc/24/70/91/1202247091.db2.gz HGIQENMNMLFBAJ-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C/C=C\c1ccc(F)cc1 ZINC001753422054 1202247917 /nfs/dbraw/zinc/24/79/17/1202247917.db2.gz HUVGNVCPRDDYJF-OOUWWJCLSA-N 0 1 314.404 3.090 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C/C=C\c1ccc(C)cc1 ZINC001700364278 1202251383 /nfs/dbraw/zinc/25/13/83/1202251383.db2.gz MXJKEIWFOBOSTM-LQOHYYORSA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001753434337 1202252957 /nfs/dbraw/zinc/25/29/57/1202252957.db2.gz BUMNKWJHRVIFBR-VSRDTVRMSA-N 0 1 310.441 3.036 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001753437305 1202253892 /nfs/dbraw/zinc/25/38/92/1202253892.db2.gz JHENIORPUDLYFG-HOTGVXAUSA-N 0 1 316.420 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2ccncc2s1 ZINC001700401255 1202262817 /nfs/dbraw/zinc/26/28/17/1202262817.db2.gz RIQDYJSHKQZIES-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1nsc2ccccc21 ZINC001700401726 1202262945 /nfs/dbraw/zinc/26/29/45/1202262945.db2.gz QICZMUZCIDRCHG-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001753460477 1202263774 /nfs/dbraw/zinc/26/37/74/1202263774.db2.gz ZBAATRYVUNFBSC-IAGOWNOFSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1ccc(C)cc1 ZINC001700406788 1202264092 /nfs/dbraw/zinc/26/40/92/1202264092.db2.gz AVYDDQLEYKFHAH-CABCVRRESA-N 0 1 308.853 3.163 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1cccc(C)c1 ZINC001700408135 1202264429 /nfs/dbraw/zinc/26/44/29/1202264429.db2.gz HBKIKIMTSGFHEA-LSDHHAIUSA-N 0 1 308.853 3.163 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001700413036 1202265945 /nfs/dbraw/zinc/26/59/45/1202265945.db2.gz UFSHXEJFKZAASD-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001700413413 1202266160 /nfs/dbraw/zinc/26/61/60/1202266160.db2.gz WXCLIZXGUKWDLQ-NWDGAFQWSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(Cl)c1F ZINC001700417589 1202267514 /nfs/dbraw/zinc/26/75/14/1202267514.db2.gz HXZLHSLOVZZBRN-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2c(F)cccc2F)C1 ZINC001753490020 1202273261 /nfs/dbraw/zinc/27/32/61/1202273261.db2.gz OKPGDKUSKFMBSH-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2ncccc2c1 ZINC001753490315 1202273432 /nfs/dbraw/zinc/27/34/32/1202273432.db2.gz SVLMPIPOGVAISH-OAHLLOKOSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001753492013 1202273692 /nfs/dbraw/zinc/27/36/92/1202273692.db2.gz FHUBGXZVALFLNH-MRXNPFEDSA-N 0 1 322.880 3.492 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCc2ccc(C)s2)C1 ZINC001700552471 1202312506 /nfs/dbraw/zinc/31/25/06/1202312506.db2.gz GOZHXRHBAHPLLU-CQSZACIVSA-N 0 1 306.475 3.002 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(Cl)cc1F ZINC001753701276 1202316596 /nfs/dbraw/zinc/31/65/96/1202316596.db2.gz IGWFZJJYVVDLPG-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(C(F)F)c1 ZINC001753723542 1202321202 /nfs/dbraw/zinc/32/12/02/1202321202.db2.gz MKKQZXIQJVCOSU-NSHDSACASA-N 0 1 316.779 3.427 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1c(C)coc1C ZINC001753735173 1202324775 /nfs/dbraw/zinc/32/47/75/1202324775.db2.gz QKWQDGIVMBZRDE-CQSZACIVSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001700637908 1202326375 /nfs/dbraw/zinc/32/63/75/1202326375.db2.gz DKNQORCLWUEWRS-YRBFXIGRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncc(C(C)C)o2)[C@@H](C)C1 ZINC001753764561 1202330208 /nfs/dbraw/zinc/33/02/08/1202330208.db2.gz GGRSUYQMSAUYTQ-GJZGRUSLSA-N 0 1 319.449 3.091 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001753825818 1202342264 /nfs/dbraw/zinc/34/22/64/1202342264.db2.gz KCDPPSASANEXEG-YJBOKZPZSA-N 0 1 315.461 3.225 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001753825815 1202342309 /nfs/dbraw/zinc/34/23/09/1202342309.db2.gz KCDPPSASANEXEG-CRAIPNDOSA-N 0 1 315.461 3.225 20 30 DGEDMN CC(C)=CC(=O)N(C)C[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001700737597 1202345456 /nfs/dbraw/zinc/34/54/56/1202345456.db2.gz OXUHOOFGKZJPCW-HNNXBMFYSA-N 0 1 318.848 3.094 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001700741785 1202346484 /nfs/dbraw/zinc/34/64/84/1202346484.db2.gz VRUFPVRFFUYOTK-OLZOCXBDSA-N 0 1 310.388 3.429 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001700743536 1202346765 /nfs/dbraw/zinc/34/67/65/1202346765.db2.gz IGMJAKBTUHIVMI-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001700755069 1202349009 /nfs/dbraw/zinc/34/90/09/1202349009.db2.gz GBEDAMRXTKGSKR-NNTXTVRGSA-N 0 1 324.827 3.418 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001700764646 1202350526 /nfs/dbraw/zinc/35/05/26/1202350526.db2.gz UABSHXYCZNWCFQ-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001700779804 1202354103 /nfs/dbraw/zinc/35/41/03/1202354103.db2.gz GAZBXHVRWZUJCP-GDBMZVCRSA-N 0 1 322.880 3.363 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1nc(C)sc1C)C(C)(C)C ZINC001700984082 1202376613 /nfs/dbraw/zinc/37/66/13/1202376613.db2.gz NITGLZPAWVDPEY-HNNXBMFYSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)C(C)(C)C ZINC001700984838 1202376868 /nfs/dbraw/zinc/37/68/68/1202376868.db2.gz HOPOJDZFNHNJPV-QKPAOTATSA-N 0 1 324.896 3.462 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C12CCC(CC1)C2)C(C)(C)C ZINC001700986832 1202376881 /nfs/dbraw/zinc/37/68/81/1202376881.db2.gz MKGITTWZALENDV-UUCFBXCCSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001700999654 1202379421 /nfs/dbraw/zinc/37/94/21/1202379421.db2.gz ZNWVBNFPSYVYSW-DYVFJYSZSA-N 0 1 323.506 3.366 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCc1ccc(Cl)s1 ZINC001707060807 1202570774 /nfs/dbraw/zinc/57/07/74/1202570774.db2.gz ISDROEXWEYZIED-GFCCVEGCSA-N 0 1 312.866 3.053 20 30 DGEDMN Cc1cc(CN2CC[C@H](NC(=O)C#CC(C)(C)C)[C@H]2C)cs1 ZINC001707249398 1202578506 /nfs/dbraw/zinc/57/85/06/1202578506.db2.gz MYNNOJLFPMIQRN-ZBFHGGJFSA-N 0 1 318.486 3.185 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@H]1CCN(CC#CC)[C@@H]1C)c1ccccc1 ZINC001707270298 1202579550 /nfs/dbraw/zinc/57/95/50/1202579550.db2.gz OKPRULIFSSNLBK-HOJAQTOUSA-N 0 1 324.468 3.339 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccccc1Cl ZINC001707279379 1202580702 /nfs/dbraw/zinc/58/07/02/1202580702.db2.gz XQLDVVIJLOBIIH-HNNXBMFYSA-N 0 1 318.848 3.290 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)[C@H](C)c1ccc(F)cc1F ZINC001707376921 1202581950 /nfs/dbraw/zinc/58/19/50/1202581950.db2.gz ZFXLUQDTVUJNER-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN CCC1(C(=O)NCCCN(C)Cc2ccccc2C#N)CCC1 ZINC001707398246 1202582564 /nfs/dbraw/zinc/58/25/64/1202582564.db2.gz NDHUPIDEXOPWGN-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCN(C(C)=O)C1CCN(Cc2cccc(C(F)F)c2)CC1 ZINC001724027517 1202597438 /nfs/dbraw/zinc/59/74/38/1202597438.db2.gz DKHJULPUBOXWDJ-UHFFFAOYSA-N 0 1 320.383 3.070 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)/C=C\C(C)(C)C ZINC001712940199 1202605322 /nfs/dbraw/zinc/60/53/22/1202605322.db2.gz ZUBLWYWOHXAHEO-YPKPFQOOSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC[C@H](C)C1 ZINC001713020880 1202610897 /nfs/dbraw/zinc/61/08/97/1202610897.db2.gz DFDGUJDVIYGUEZ-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H](C)c1ccc(C)o1 ZINC001713024646 1202611132 /nfs/dbraw/zinc/61/11/32/1202611132.db2.gz ZIIYZKBFIQAUEW-AWEZNQCLSA-N 0 1 312.841 3.272 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC001713085925 1202615893 /nfs/dbraw/zinc/61/58/93/1202615893.db2.gz OWCJZULDLAYDHN-HNNXBMFYSA-N 0 1 322.399 3.054 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C=C)c2ccccc2)CC1 ZINC001713102006 1202617368 /nfs/dbraw/zinc/61/73/68/1202617368.db2.gz IXHVEDHEWGIGNW-FQEVSTJZSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccoc2CCC)CC1 ZINC001713101814 1202617436 /nfs/dbraw/zinc/61/74/36/1202617436.db2.gz BPPDJBOJLBMOPK-UHFFFAOYSA-N 0 1 316.445 3.182 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C(C)(C)C1CCCC1 ZINC001713253788 1202631903 /nfs/dbraw/zinc/63/19/03/1202631903.db2.gz VCDZUEPBKOGDCV-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001713260224 1202632861 /nfs/dbraw/zinc/63/28/61/1202632861.db2.gz YKPZRBGNMSFMON-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713273929 1202634330 /nfs/dbraw/zinc/63/43/30/1202634330.db2.gz PIDSXAGITVVBON-ZMVNSWFDSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001713324430 1202639203 /nfs/dbraw/zinc/63/92/03/1202639203.db2.gz IHTJGPXKXUUIED-LLVKDONJSA-N 0 1 322.836 3.495 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@@H](C)NCc1csc(C)n1 ZINC001713416442 1202647552 /nfs/dbraw/zinc/64/75/52/1202647552.db2.gz VPGXQXIYMKFKHU-MLGOLLRUSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@H]1CCCN1Cc1coc(C)n1 ZINC001713432323 1202649268 /nfs/dbraw/zinc/64/92/68/1202649268.db2.gz AKSOXWCKVRQRCF-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2ccc(F)cc2)CC1 ZINC001713466165 1202654050 /nfs/dbraw/zinc/65/40/50/1202654050.db2.gz RMRUZNAZEPDCAM-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001713532627 1202665968 /nfs/dbraw/zinc/66/59/68/1202665968.db2.gz BGHOTSNVLGZVRU-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1c(Cl)cccc1OC ZINC001713621917 1202673677 /nfs/dbraw/zinc/67/36/77/1202673677.db2.gz GELHGJIWCPBKHG-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN CN(CCNCc1ccccc1C#N)C(=O)C1(C)CCCCC1 ZINC001713630457 1202674240 /nfs/dbraw/zinc/67/42/40/1202674240.db2.gz SECYZPPVBZGXOH-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(C(F)F)c1 ZINC001713657096 1202675255 /nfs/dbraw/zinc/67/52/55/1202675255.db2.gz XPPZHPOQAJFMEF-UHFFFAOYSA-N 0 1 302.752 3.038 20 30 DGEDMN CC#CCN[C@H](CNC(=O)Cc1ccc(C)cc1)c1ccccc1 ZINC001713700039 1202678614 /nfs/dbraw/zinc/67/86/14/1202678614.db2.gz DJTDBMSWFMEMCZ-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1CC(C)C)c1ccccc1 ZINC001713701905 1202678751 /nfs/dbraw/zinc/67/87/51/1202678751.db2.gz QDQHJDSVBOKITN-GUDVDZBRSA-N 0 1 312.457 3.139 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1csc(C)c1C)c1ccccc1 ZINC001713702992 1202678964 /nfs/dbraw/zinc/67/89/64/1202678964.db2.gz UEDJVIFAUSKSIC-QGZVFWFLSA-N 0 1 312.438 3.059 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2c[nH]c3ccccc32)C1 ZINC001713717502 1202680640 /nfs/dbraw/zinc/68/06/40/1202680640.db2.gz MQESQKQHADAGHL-HNNXBMFYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001713760237 1202687445 /nfs/dbraw/zinc/68/74/45/1202687445.db2.gz AVJIIOUCRTZQOY-XGHPGWEVSA-N 0 1 324.896 3.346 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](CCC=C)c2ccccc2)CC1 ZINC001713785342 1202690168 /nfs/dbraw/zinc/69/01/68/1202690168.db2.gz OKRUFUGFINIYIN-FQEVSTJZSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2cccnc2C)C1 ZINC001713791009 1202691204 /nfs/dbraw/zinc/69/12/04/1202691204.db2.gz VCFVYQLSBIFMKE-WMZOPIPTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001713829632 1202693446 /nfs/dbraw/zinc/69/34/46/1202693446.db2.gz RCGHMEDFESGYEV-GDBMZVCRSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCCc2ccc(C)cc2)C1 ZINC001713836076 1202694336 /nfs/dbraw/zinc/69/43/36/1202694336.db2.gz QAMIJKXHTKZENF-QGZVFWFLSA-N 0 1 314.473 3.473 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001713843286 1202695216 /nfs/dbraw/zinc/69/52/16/1202695216.db2.gz IMGVCCRDGWPAFJ-MJGOQNOKSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@H]2C=CCC2)CC1 ZINC001713854497 1202696063 /nfs/dbraw/zinc/69/60/63/1202696063.db2.gz UYCDJVLSSRQUHC-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001713856479 1202696290 /nfs/dbraw/zinc/69/62/90/1202696290.db2.gz YZLZHXXAZDROCN-QWHCGFSZSA-N 0 1 322.399 3.223 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(CC)CCC2)C1 ZINC001713895199 1202700020 /nfs/dbraw/zinc/70/00/20/1202700020.db2.gz NMZLHWJHACNCBO-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)OCC ZINC001713902715 1202701007 /nfs/dbraw/zinc/70/10/07/1202701007.db2.gz QLMZJUQOIKAYKI-ZACQAIPSSA-N 0 1 310.482 3.057 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occc1Cl ZINC001713910949 1202701486 /nfs/dbraw/zinc/70/14/86/1202701486.db2.gz WCMNARBIHPHEMG-BETUJISGSA-N 0 1 308.809 3.188 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001713913808 1202701879 /nfs/dbraw/zinc/70/18/79/1202701879.db2.gz ILKKUBHDERDOOU-UHFFFAOYSA-N 0 1 318.436 3.019 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H]2CCN(CCC(F)(F)F)C2)CCC1 ZINC001714014172 1202727172 /nfs/dbraw/zinc/72/71/72/1202727172.db2.gz SYUVNEOYNLKSMN-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001714013114 1202727697 /nfs/dbraw/zinc/72/76/97/1202727697.db2.gz KNMIVVJCZTXSBQ-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001714019561 1202731027 /nfs/dbraw/zinc/73/10/27/1202731027.db2.gz VOAWVBGOXJMKJF-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)CC2CCCCC2)C1 ZINC001714046569 1202749618 /nfs/dbraw/zinc/74/96/18/1202749618.db2.gz HGKHOCPHMCJPEZ-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCCN2C[C@H](F)CC)CCC1 ZINC001714114151 1202785528 /nfs/dbraw/zinc/78/55/28/1202785528.db2.gz KPFYPVIMSWLEPI-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](C)CC1CCCCC1 ZINC001714118134 1202788373 /nfs/dbraw/zinc/78/83/73/1202788373.db2.gz LTGIEKBYVCGBKI-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN C[C@@H](NC(=O)C1(C)CC1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001714149301 1202804147 /nfs/dbraw/zinc/80/41/47/1202804147.db2.gz CNRIYUWCNAXNJF-IEBWSBKVSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001714270117 1202856553 /nfs/dbraw/zinc/85/65/53/1202856553.db2.gz WIXVHJGHQXBHOB-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1ccc(F)c(Cl)c1 ZINC001714550661 1202994494 /nfs/dbraw/zinc/99/44/94/1202994494.db2.gz CNBKUPSPYFHPSG-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN CC[C@H](c1ccc(F)cc1F)N(CC)CCNC(=O)[C@@H](C)C#N ZINC001714580072 1203021385 /nfs/dbraw/zinc/02/13/85/1203021385.db2.gz XBRNAVOVINCFFM-BLLLJJGKSA-N 0 1 323.387 3.014 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2cscc2s1 ZINC001714590124 1203029358 /nfs/dbraw/zinc/02/93/58/1203029358.db2.gz VWQKSFVXIVWDPQ-UHFFFAOYSA-N 0 1 306.456 3.038 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@H]1C[C@H](C)CC(C)(C)C1 ZINC001714593693 1203033347 /nfs/dbraw/zinc/03/33/47/1203033347.db2.gz MSPVRKRRZVYCRY-DLBZAZTESA-N 0 1 306.494 3.300 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001714624635 1203058695 /nfs/dbraw/zinc/05/86/95/1203058695.db2.gz YOXUQJZIXXHHTI-LPHOPBHVSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001714624634 1203059190 /nfs/dbraw/zinc/05/91/90/1203059190.db2.gz YOXUQJZIXXHHTI-APWZRJJASA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@@H](C)c2c(F)cccc2F)C1 ZINC001714631743 1203063225 /nfs/dbraw/zinc/06/32/25/1203063225.db2.gz RCICVCSGAUIMSP-UONOGXRCSA-N 0 1 322.399 3.430 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001714656920 1203081197 /nfs/dbraw/zinc/08/11/97/1203081197.db2.gz TWXDGZORCLONMS-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CCC(C)(C)C1)c1ccccc1 ZINC001714838412 1203146492 /nfs/dbraw/zinc/14/64/92/1203146492.db2.gz GQIAQIAKFDAKRO-QZTJIDSGSA-N 0 1 312.457 3.283 20 30 DGEDMN C=Cc1ccc(C(=O)N(CCC)[C@H]2CCN(CC#CC)C2)cc1 ZINC001714872971 1203169079 /nfs/dbraw/zinc/16/90/79/1203169079.db2.gz OWDUOGBZHOZDSC-IBGZPJMESA-N 0 1 310.441 3.279 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC(CC)CC)C1 ZINC001714877040 1203172466 /nfs/dbraw/zinc/17/24/66/1203172466.db2.gz AMAGIDKWLBFZTR-OAHLLOKOSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001714896399 1203183926 /nfs/dbraw/zinc/18/39/26/1203183926.db2.gz PHBOFHSQORAOMT-CVEARBPZSA-N 0 1 319.449 3.181 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2CCc3cc(F)ccc32)C1 ZINC001714942253 1203208878 /nfs/dbraw/zinc/20/88/78/1203208878.db2.gz ZCNBNLJRKPWLKX-FZKQIMNGSA-N 0 1 316.420 3.011 20 30 DGEDMN C#CCCCCCC(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001714942819 1203209208 /nfs/dbraw/zinc/20/92/08/1203209208.db2.gz REWYAKJXYHTSIU-UHFFFAOYSA-N 0 1 316.489 3.341 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2CCc3cc(F)ccc32)C1 ZINC001714942252 1203209504 /nfs/dbraw/zinc/20/95/04/1203209504.db2.gz ZCNBNLJRKPWLKX-ACJLOTCBSA-N 0 1 316.420 3.011 20 30 DGEDMN CC(C)(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2CCCC1CCCC1 ZINC001714986166 1203227251 /nfs/dbraw/zinc/22/72/51/1203227251.db2.gz GNXNWJNSENZETH-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001715038184 1203248214 /nfs/dbraw/zinc/24/82/14/1203248214.db2.gz JZFLSKMRIYPWNT-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001715041666 1203250268 /nfs/dbraw/zinc/25/02/68/1203250268.db2.gz GACYOPXVNFIROE-MJGOQNOKSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(CC)s1 ZINC001715065623 1203260655 /nfs/dbraw/zinc/26/06/55/1203260655.db2.gz FRAHDWQDUIMILO-NSHDSACASA-N 0 1 300.855 3.161 20 30 DGEDMN CC[C@@H](CNC(=O)C(C)(C)CC)NCc1cc(C#N)ccc1F ZINC001715075339 1203264442 /nfs/dbraw/zinc/26/44/42/1203264442.db2.gz QIZXQCZEGIMEGW-HNNXBMFYSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1F ZINC001715355129 1203322422 /nfs/dbraw/zinc/32/24/22/1203322422.db2.gz MUFSJANNXGSZDF-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C)(C)c1ccccc1 ZINC001715373112 1203327367 /nfs/dbraw/zinc/32/73/67/1203327367.db2.gz DVMZSFYTMNWTJT-CQSZACIVSA-N 0 1 308.853 3.153 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](F)CC1CCCCC1 ZINC001715374392 1203328018 /nfs/dbraw/zinc/32/80/18/1203328018.db2.gz WUFAEXUKPJOQEB-ZFWWWQNUSA-N 0 1 318.864 3.484 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C1CC1)C1CCCCC1 ZINC001715399445 1203334684 /nfs/dbraw/zinc/33/46/84/1203334684.db2.gz KQLOVQOXWQYKCW-CJNGLKHVSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccn1)C1CCCCC1 ZINC001715399972 1203335314 /nfs/dbraw/zinc/33/53/14/1203335314.db2.gz STAOXYKBPZZRLZ-MRXNPFEDSA-N 0 1 321.852 3.102 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CCCc1ccc(Cl)cc1 ZINC001715526365 1203361251 /nfs/dbraw/zinc/36/12/51/1203361251.db2.gz YCDFZJZUWVOTLS-UHFFFAOYSA-N 0 1 320.864 3.076 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001715620531 1203374460 /nfs/dbraw/zinc/37/44/60/1203374460.db2.gz MBWDVDKHBYCJTB-QGZVFWFLSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCNCc1csc(C(C)(C)C)n1 ZINC001715624574 1203375427 /nfs/dbraw/zinc/37/54/27/1203375427.db2.gz QSFPFKIRZFUQFW-ZDUSSCGKSA-N 0 1 323.506 3.391 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)c(F)cc1F ZINC001715740101 1203386353 /nfs/dbraw/zinc/38/63/53/1203386353.db2.gz GTFPWGZXBXCRJC-UHFFFAOYSA-N 0 1 316.779 3.077 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccccc1Cl ZINC001715769488 1203390093 /nfs/dbraw/zinc/39/00/93/1203390093.db2.gz BDBQOMCHNIBGOL-UHFFFAOYSA-N 0 1 301.217 3.144 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](CC)CC1CCCCC1 ZINC001715956607 1203410751 /nfs/dbraw/zinc/41/07/51/1203410751.db2.gz BQJLDUVBTBOCKI-GOSISDBHSA-N 0 1 306.494 3.397 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCCC3CC3)CC[C@@H]21 ZINC001716051433 1203435768 /nfs/dbraw/zinc/43/57/68/1203435768.db2.gz OKXAQTNTUCYYPO-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccccc1C(C)C ZINC001716303251 1203458121 /nfs/dbraw/zinc/45/81/21/1203458121.db2.gz WLRJOYGRXWELPN-VOTSOKGWSA-N 0 1 306.837 3.438 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1ccccc1Cl ZINC001716329836 1203459338 /nfs/dbraw/zinc/45/93/38/1203459338.db2.gz KBITVPMGWUBKTI-BQYQJAHWSA-N 0 1 306.837 3.314 20 30 DGEDMN CC#CCCCC(=O)NC/C=C/CNCc1cc2ccccc2o1 ZINC001716332168 1203459792 /nfs/dbraw/zinc/45/97/92/1203459792.db2.gz BAWPTARMVVCPOL-CMDGGOBGSA-N 0 1 324.424 3.388 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)Cc1ccccc1C ZINC001716381270 1203463267 /nfs/dbraw/zinc/46/32/67/1203463267.db2.gz KHDODHWGIDOMPF-LQYUOIDQSA-N 0 1 320.864 3.188 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1cc(F)ccc1OC ZINC001716454441 1203477009 /nfs/dbraw/zinc/47/70/09/1203477009.db2.gz FGXWJVDQGQLGLV-ZDUSSCGKSA-N 0 1 322.424 3.031 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001716468500 1203479808 /nfs/dbraw/zinc/47/98/08/1203479808.db2.gz AAYJWRNXOXPTPU-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1c(F)cccc1F)C1CC1 ZINC001716612737 1203506534 /nfs/dbraw/zinc/50/65/34/1203506534.db2.gz MAMDLMDKLPLTAY-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccc(C)c(C)c1)C1CC1 ZINC001716628297 1203508878 /nfs/dbraw/zinc/50/88/78/1203508878.db2.gz BUFDFKBVUKUAIZ-KRWDZBQOSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](CC)Cc1ccccc1C ZINC001716667745 1203520528 /nfs/dbraw/zinc/52/05/28/1203520528.db2.gz GPAWCSORCLBAEC-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN C[C@H](NC1(CNC(=O)C#CC2CC2)CC1)c1ccccc1Cl ZINC001716681192 1203524140 /nfs/dbraw/zinc/52/41/40/1203524140.db2.gz KWAQHFCPILVWKX-ZDUSSCGKSA-N 0 1 316.832 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001716683489 1203524367 /nfs/dbraw/zinc/52/43/67/1203524367.db2.gz FJOSYXLYMGROLK-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3c(s2)CCCC3)CC1 ZINC001716699764 1203527766 /nfs/dbraw/zinc/52/77/66/1203527766.db2.gz AQOLXXMFCVWQCF-UHFFFAOYSA-N 0 1 324.877 3.231 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CC(C)C ZINC001716712676 1203530221 /nfs/dbraw/zinc/53/02/21/1203530221.db2.gz TWSHAYPSPNJJAD-KURKYZTESA-N 0 1 304.478 3.147 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CC(C)C ZINC001716712536 1203530496 /nfs/dbraw/zinc/53/04/96/1203530496.db2.gz OSKAEVWJKRWQCV-KURKYZTESA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(C)cc(OC)c2C)C1 ZINC001716744149 1203535791 /nfs/dbraw/zinc/53/57/91/1203535791.db2.gz SCBIGKHZIYBAQO-UHFFFAOYSA-N 0 1 316.445 3.034 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)n(CC)c2C)C1 ZINC001716744182 1203535858 /nfs/dbraw/zinc/53/58/58/1203535858.db2.gz UDXNCBGGPMPEDG-AWEZNQCLSA-N 0 1 317.477 3.236 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN(CC2CCC3(CC3)CC2)C1 ZINC001716746084 1203536036 /nfs/dbraw/zinc/53/60/36/1203536036.db2.gz GMODIQBHLBVEBQ-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc3c2CCCC3)C1 ZINC001716895712 1203564429 /nfs/dbraw/zinc/56/44/29/1203564429.db2.gz LFLXCJTXVAMPAP-OAQYLSRUSA-N 0 1 324.468 3.031 20 30 DGEDMN C=CCC1(C(=O)NC[C@@]2(C)CCCN(CCF)C2)CCCC1 ZINC001716902628 1203566721 /nfs/dbraw/zinc/56/67/21/1203566721.db2.gz KDEXGMHGXIZUQK-QGZVFWFLSA-N 0 1 310.457 3.311 20 30 DGEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)CCCCC(C)(C)C)C1 ZINC001717023614 1203578240 /nfs/dbraw/zinc/57/82/40/1203578240.db2.gz TWHORTFHLOFIOT-LJQANCHMSA-N 0 1 324.509 3.112 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001717113608 1203585772 /nfs/dbraw/zinc/58/57/72/1203585772.db2.gz MJKXLYNAAOYHCI-NNZMDNLPSA-N 0 1 324.468 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H](C)CCC)C2)CC1 ZINC001717126729 1203588785 /nfs/dbraw/zinc/58/87/85/1203588785.db2.gz FDQCDXGEXBXPRW-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H](C)CCC)cccc2C1 ZINC001717143706 1203590402 /nfs/dbraw/zinc/59/04/02/1203590402.db2.gz UKFBHXGVBJSMHH-OAHLLOKOSA-N 0 1 300.446 3.283 20 30 DGEDMN O=C(CCC1CC1)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001717192848 1203596368 /nfs/dbraw/zinc/59/63/68/1203596368.db2.gz NIMAQNCZAAIXOB-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cncc(F)c2)CC1 ZINC001717196187 1203597671 /nfs/dbraw/zinc/59/76/71/1203597671.db2.gz YJROFMHWMXTGAY-KRWDZBQOSA-N 0 1 319.424 3.048 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC2(CN(CCCCC)C2)O1 ZINC001717224867 1203606510 /nfs/dbraw/zinc/60/65/10/1203606510.db2.gz VGVAYSXSOHEOBH-WMZOPIPTSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1c2ccccc2CCN1CC(=C)C ZINC001717343878 1203622969 /nfs/dbraw/zinc/62/29/69/1203622969.db2.gz CVNDYCUTCKJJSO-IBGZPJMESA-N 0 1 310.441 3.082 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1ccc(Cl)nc1 ZINC001717364172 1203626103 /nfs/dbraw/zinc/62/61/03/1203626103.db2.gz WWCSBMXFRRWAST-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001717390622 1203633433 /nfs/dbraw/zinc/63/34/33/1203633433.db2.gz JCMVRIINCLNTFA-PPRQPZOSSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CC(CNC/C(Cl)=C/Cl)C2)C1 ZINC001717390449 1203633528 /nfs/dbraw/zinc/63/35/28/1203633528.db2.gz BROXERJQXDATTQ-GHXNOFRVSA-N 0 1 317.260 3.146 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1Cl ZINC001717408268 1203638052 /nfs/dbraw/zinc/63/80/52/1203638052.db2.gz XKDHADHBKBSLOV-OLZOCXBDSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001717419747 1203639960 /nfs/dbraw/zinc/63/99/60/1203639960.db2.gz IMUZFWYOCNQPLK-GHMZBOCLSA-N 0 1 323.799 3.156 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2c(F)cccc2F)C1 ZINC001717438622 1203644169 /nfs/dbraw/zinc/64/41/69/1203644169.db2.gz JHDKDXMEEZZUFY-STQMWFEESA-N 0 1 322.399 3.304 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001717486430 1203660954 /nfs/dbraw/zinc/66/09/54/1203660954.db2.gz GYZBKKLENBDGGE-CQSZACIVSA-N 0 1 320.864 3.077 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001717546630 1203679382 /nfs/dbraw/zinc/67/93/82/1203679382.db2.gz DVUDTZXMQNBAKN-GFBZKKKVSA-N 0 1 324.468 3.330 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001717546631 1203679488 /nfs/dbraw/zinc/67/94/88/1203679488.db2.gz DVUDTZXMQNBAKN-HGZMWJMZSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001717549868 1203679816 /nfs/dbraw/zinc/67/98/16/1203679816.db2.gz ZAKYZWUNHRCYJY-CQSZACIVSA-N 0 1 318.486 3.453 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)CCCCC(C)C)C2)C1 ZINC001717573975 1203683616 /nfs/dbraw/zinc/68/36/16/1203683616.db2.gz DUYWCTUKTMKJTD-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1C[C@H](NC(=O)c2ccccc2O)C(C)(C)C1 ZINC001717575534 1203683921 /nfs/dbraw/zinc/68/39/21/1203683921.db2.gz GJTIADOJWMHOKS-PBHICJAKSA-N 0 1 316.445 3.187 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584810 1203686761 /nfs/dbraw/zinc/68/67/61/1203686761.db2.gz DDAUYYNMVACMAB-QWHCGFSZSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)CCCC)CC1 ZINC001717700142 1203701099 /nfs/dbraw/zinc/70/10/99/1203701099.db2.gz KEXOMEDWBIHLCH-CYBMUJFWSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(Cl)cc1C ZINC001717742878 1203708207 /nfs/dbraw/zinc/70/82/07/1203708207.db2.gz SFYDVFMTQPPNMH-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2ccncc2Cl)C[C@H]1C ZINC001717822674 1203716299 /nfs/dbraw/zinc/71/62/99/1203716299.db2.gz VOGSOHKWAHQYOM-HIFRSBDPSA-N 0 1 321.852 3.170 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cscc1Cl)C(C)C ZINC001717949863 1203733630 /nfs/dbraw/zinc/73/36/30/1203733630.db2.gz KEVNGIUSHTVUJC-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](C)c1cccc(Cl)c1)C(C)C ZINC001717952979 1203734845 /nfs/dbraw/zinc/73/48/45/1203734845.db2.gz UKJIEKYIHXYVBK-HNNXBMFYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001723460797 1203975442 /nfs/dbraw/zinc/97/54/42/1203975442.db2.gz IYIXKZFHVFPXIA-MRXNPFEDSA-N 0 1 320.502 3.474 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1[C@H]1CCc2ccccc21 ZINC001723461622 1203975454 /nfs/dbraw/zinc/97/54/54/1203975454.db2.gz NLMRWMWIAXTUQV-AEFFLSMTSA-N 0 1 312.457 3.467 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1[C@@H]1CCc2ccccc21 ZINC001723461624 1203975667 /nfs/dbraw/zinc/97/56/67/1203975667.db2.gz NLMRWMWIAXTUQV-SJLPKXTDSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCc2ccsc2)CC1 ZINC001723529279 1203980873 /nfs/dbraw/zinc/98/08/73/1203980873.db2.gz LAFCDGAVZJMCPH-UHFFFAOYSA-N 0 1 312.866 3.062 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cc3ccccc3o2)CC1 ZINC001723544280 1203981522 /nfs/dbraw/zinc/98/15/22/1203981522.db2.gz GQYVMYWOZWRYFU-UHFFFAOYSA-N 0 1 312.413 3.383 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)OCCCCCC ZINC001723626038 1203985652 /nfs/dbraw/zinc/98/56/52/1203985652.db2.gz MCLHOKKGLMURDP-KURKYZTESA-N 0 1 322.493 3.223 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC(C)C)CCC1 ZINC001723632087 1203986022 /nfs/dbraw/zinc/98/60/22/1203986022.db2.gz FOFDJYNMLWAZKG-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCOC ZINC001723667761 1203988035 /nfs/dbraw/zinc/98/80/35/1203988035.db2.gz BJWRZVZDHPWOLJ-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001723680849 1203988504 /nfs/dbraw/zinc/98/85/04/1203988504.db2.gz RKPNAMXHOMFFNE-IVEQORNPSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CO[C@@H]2CCCC[C@@H]2CC)C1 ZINC001723760849 1203991738 /nfs/dbraw/zinc/99/17/38/1203991738.db2.gz SOPFUBXWNNVXNY-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001723931185 1203999157 /nfs/dbraw/zinc/99/91/57/1203999157.db2.gz LIZCXTTZZYVKBG-OUQXZNHLSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)ccc1C ZINC001723971971 1204000043 /nfs/dbraw/zinc/00/00/43/1204000043.db2.gz SBUMDYIDKNDSPG-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)Cc1ccccc1 ZINC001723982483 1204000549 /nfs/dbraw/zinc/00/05/49/1204000549.db2.gz YFMGRWXKRBDGIT-PBHICJAKSA-N 0 1 320.864 3.198 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CCCC2CCCCC2)CC1 ZINC001724021363 1204001970 /nfs/dbraw/zinc/00/19/70/1204001970.db2.gz AJHQFVMNIMIOAZ-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN(C(=O)CC(C)=C(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001724053653 1204002619 /nfs/dbraw/zinc/00/26/19/1204002619.db2.gz QFDPTQPPEKACOY-TWGQIWQCSA-N 0 1 322.880 3.412 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(C)n1)C1CCCC1 ZINC001724071959 1204003040 /nfs/dbraw/zinc/00/30/40/1204003040.db2.gz IRQMNHOGIHWRNK-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN CCCC(=O)NCC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001724271171 1204016810 /nfs/dbraw/zinc/01/68/10/1204016810.db2.gz GKOJTOHJWFGJNH-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C[C@H](C)CCC)C1 ZINC001724377295 1204025458 /nfs/dbraw/zinc/02/54/58/1204025458.db2.gz WHZZTTJXTWVWTI-HUUCEWRRSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC=C(Cl)Cl)C2 ZINC001724496725 1204026072 /nfs/dbraw/zinc/02/60/72/1204026072.db2.gz JXOVRHWJKCEXNR-XQQFMLRXSA-N 0 1 317.260 3.241 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H](F)C(C)C)C2 ZINC001724612751 1204033057 /nfs/dbraw/zinc/03/30/57/1204033057.db2.gz IYOGGMVEJSTHEJ-LXTVHRRPSA-N 0 1 316.848 3.092 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H]1CC12CC2 ZINC001724618442 1204033829 /nfs/dbraw/zinc/03/38/29/1204033829.db2.gz VEZSOAAJJBBXJL-INIZCTEOSA-N 0 1 318.848 3.157 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1ccccn1 ZINC001724652013 1204036253 /nfs/dbraw/zinc/03/62/53/1204036253.db2.gz NTVBOPNWLLXRJL-ZWKOTPCHSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H](C)c1ccco1 ZINC001724741197 1204041988 /nfs/dbraw/zinc/04/19/88/1204041988.db2.gz NABJTHYAQYVYQJ-INIZCTEOSA-N 0 1 324.424 3.155 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccncc2Cl)CC1 ZINC001724837102 1204051462 /nfs/dbraw/zinc/05/14/62/1204051462.db2.gz ZMPGOZSYCJKYBB-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)CCCC(C)C)CO2 ZINC001724877557 1204061084 /nfs/dbraw/zinc/06/10/84/1204061084.db2.gz BQDBHHFSHFNJMS-SJORKVTESA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H](C)CCC)cc2C1 ZINC001724921933 1204066241 /nfs/dbraw/zinc/06/62/41/1204066241.db2.gz MPUICRHHHQAFJT-INIZCTEOSA-N 0 1 312.457 3.468 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001724969960 1204073555 /nfs/dbraw/zinc/07/35/55/1204073555.db2.gz JIJKHLPCLRJOQW-IAGOWNOFSA-N 0 1 322.468 3.145 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001725035966 1204082977 /nfs/dbraw/zinc/08/29/77/1204082977.db2.gz OERJKAUTOTVWSS-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1CCCCCCC1 ZINC001725042389 1204084036 /nfs/dbraw/zinc/08/40/36/1204084036.db2.gz ONEUCYGPUVYBSD-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(F)c(Cl)c1 ZINC001725043651 1204084547 /nfs/dbraw/zinc/08/45/47/1204084547.db2.gz BBXIJNJVBWIZTO-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC001725089448 1204092371 /nfs/dbraw/zinc/09/23/71/1204092371.db2.gz KJDQJVNBJQUJAP-QWHCGFSZSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001725095769 1204093798 /nfs/dbraw/zinc/09/37/98/1204093798.db2.gz SFBKQLDATNKMEN-ZIAGYGMSSA-N 0 1 317.408 3.036 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001725110510 1204096790 /nfs/dbraw/zinc/09/67/90/1204096790.db2.gz ILDYFXFSLSWQCD-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2cccnc2C)CCC1 ZINC001725177985 1204112263 /nfs/dbraw/zinc/11/22/63/1204112263.db2.gz FDSGTOVSGSUYPN-OAHLLOKOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CCCC)CNCc2cnoc2C)C1 ZINC001725231194 1204122669 /nfs/dbraw/zinc/12/26/69/1204122669.db2.gz FNQUAFBKFWJZBL-MRXNPFEDSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725260433 1204129311 /nfs/dbraw/zinc/12/93/11/1204129311.db2.gz QMSRKMMEBCPAME-HUUCEWRRSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2c(C)nsc2C)CC1(C)C ZINC001725279301 1204131681 /nfs/dbraw/zinc/13/16/81/1204131681.db2.gz BMAZWZUTCZYQHT-HNNXBMFYSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CN(Cc2ccccn2)CC1(C)C ZINC001725286925 1204132839 /nfs/dbraw/zinc/13/28/39/1204132839.db2.gz TXBZHPITNLHGQE-INIZCTEOSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCOCC(C)C)CC1(C)C ZINC001725289538 1204133589 /nfs/dbraw/zinc/13/35/89/1204133589.db2.gz NNAUJINQWUICHB-MRXNPFEDSA-N 0 1 324.509 3.088 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](CC)CCCCC)C(C)(C)C1 ZINC001725301469 1204135459 /nfs/dbraw/zinc/13/54/59/1204135459.db2.gz XCOJSDHMTYCIOB-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNCc1cscn1 ZINC001725396452 1204145168 /nfs/dbraw/zinc/14/51/68/1204145168.db2.gz GRZHDRNYPOHTAC-CYBMUJFWSA-N 0 1 309.479 3.120 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001725492276 1204158197 /nfs/dbraw/zinc/15/81/97/1204158197.db2.gz NGDGJEJHCLQLAJ-OAHLLOKOSA-N 0 1 320.481 3.003 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C)(C)CC(C)(C)C)[C@H]1C ZINC001725632716 1204186687 /nfs/dbraw/zinc/18/66/87/1204186687.db2.gz OXIXLRLUQOWHNE-CVEARBPZSA-N 0 1 306.494 3.441 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001725743789 1204209638 /nfs/dbraw/zinc/20/96/38/1204209638.db2.gz HACUVCOILIUOAE-PBHICJAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725748254 1204210840 /nfs/dbraw/zinc/21/08/40/1204210840.db2.gz MQEYBFSMFDAOFP-MAUKXSAKSA-N 0 1 321.465 3.206 20 30 DGEDMN CC/C=C(\C)C(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001725811037 1204224638 /nfs/dbraw/zinc/22/46/38/1204224638.db2.gz GWEPJOKEVZJYJE-AYSLTRBKSA-N 0 1 323.440 3.163 20 30 DGEDMN C#CCN1CC=C(CNC(=O)CCC(C)(C)c2ccccc2)CC1 ZINC001725829104 1204228695 /nfs/dbraw/zinc/22/86/95/1204228695.db2.gz MVVHOLNIENSXLL-UHFFFAOYSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C2=CCCCCC2)c(F)c1 ZINC001725830690 1204229517 /nfs/dbraw/zinc/22/95/17/1204229517.db2.gz DEWMWNFVTYHFIQ-UHFFFAOYSA-N 0 1 314.404 3.055 20 30 DGEDMN CN(CC(=O)C(C#N)c1nc2ccccc2o1)Cc1ccccc1 ZINC000179363504 1204309406 /nfs/dbraw/zinc/30/94/06/1204309406.db2.gz NTUCYGHCKNDOTI-HNNXBMFYSA-N 0 1 319.364 3.136 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC[C@@H](C)c1ccccc1 ZINC001753241567 1204340483 /nfs/dbraw/zinc/34/04/83/1204340483.db2.gz AUUGFLNOFIBAGM-CQSZACIVSA-N 0 1 308.853 3.371 20 30 DGEDMN CC/C(C)=C/C(=O)NCCCN(CC)Cc1ccccc1C#N ZINC001731307983 1204369828 /nfs/dbraw/zinc/36/98/28/1204369828.db2.gz FXXKEEMODOSXTL-DTQAZKPQSA-N 0 1 313.445 3.243 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001731372334 1204386973 /nfs/dbraw/zinc/38/69/73/1204386973.db2.gz LFUVLCXSWSEYAQ-RDJZCZTQSA-N 0 1 316.445 3.303 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001731379926 1204389259 /nfs/dbraw/zinc/38/92/59/1204389259.db2.gz ZGVSKTQCWOAVPY-CQSZACIVSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001731385622 1204391634 /nfs/dbraw/zinc/39/16/34/1204391634.db2.gz DPDZIPHSSQHRGN-IAGOWNOFSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](CC)CC(F)F)CC1 ZINC001731386440 1204391762 /nfs/dbraw/zinc/39/17/62/1204391762.db2.gz UVMNDRYUBSAQIV-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCCC(F)(F)C1)C1CC1 ZINC001731404879 1204399834 /nfs/dbraw/zinc/39/98/34/1204399834.db2.gz KVMZNFQINXVQCB-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001731478165 1204425668 /nfs/dbraw/zinc/42/56/68/1204425668.db2.gz ZNITWLXQTITEBA-BETUJISGSA-N 0 1 308.372 3.058 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCCN1Cc1ccccn1 ZINC001731537486 1204449597 /nfs/dbraw/zinc/44/95/97/1204449597.db2.gz HJFWQPRSXCNENX-AEFFLSMTSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCCN1Cc1ccsc1 ZINC001731540035 1204451432 /nfs/dbraw/zinc/45/14/32/1204451432.db2.gz YTZAKNSPVKQMOD-QGZVFWFLSA-N 0 1 318.486 3.412 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001731544505 1204453564 /nfs/dbraw/zinc/45/35/64/1204453564.db2.gz VUKVMRCOXOZPLQ-SJLPKXTDSA-N 0 1 300.446 3.255 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CCc2ccoc2)C1 ZINC001731554530 1204459833 /nfs/dbraw/zinc/45/98/33/1204459833.db2.gz MQFNNKUALVSXNB-GOEBONIOSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CCc2ccoc2)C1 ZINC001731554529 1204459900 /nfs/dbraw/zinc/45/99/00/1204459900.db2.gz MQFNNKUALVSXNB-GDBMZVCRSA-N 0 1 324.852 3.181 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731579080 1204470723 /nfs/dbraw/zinc/47/07/23/1204470723.db2.gz BIIYAOICVIXGMA-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN CCCC[C@](C)(F)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001731600882 1204478869 /nfs/dbraw/zinc/47/88/69/1204478869.db2.gz LVSKOOULXUBLAL-APWZRJJASA-N 0 1 318.436 3.051 20 30 DGEDMN CCCC[C@](C)(F)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001731600885 1204478983 /nfs/dbraw/zinc/47/89/83/1204478983.db2.gz LVSKOOULXUBLAL-LPHOPBHVSA-N 0 1 318.436 3.051 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2cc(F)ccc2F)CC1 ZINC001731613858 1204482573 /nfs/dbraw/zinc/48/25/73/1204482573.db2.gz CRODYUVEVCVLON-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@H](NCc2cc(C)on2)C1 ZINC001731687500 1204505380 /nfs/dbraw/zinc/50/53/80/1204505380.db2.gz KGZMRIOWIFFLPK-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H](C)NCc1csc(C)n1 ZINC001731728029 1204525364 /nfs/dbraw/zinc/52/53/64/1204525364.db2.gz JZCASMYKUYDEPQ-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@@H](C)c1ccccc1F ZINC001731819489 1204583689 /nfs/dbraw/zinc/58/36/89/1204583689.db2.gz RAUKKQNYZKSCQV-LSDHHAIUSA-N 0 1 304.409 3.434 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1cocc1C ZINC001731869222 1204611973 /nfs/dbraw/zinc/61/19/73/1204611973.db2.gz UNWZFGUHSHMRQI-UHFFFAOYSA-N 0 1 324.424 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN[C@H](C)c2cc(F)ccc2F)C1 ZINC001731952432 1204635221 /nfs/dbraw/zinc/63/52/21/1204635221.db2.gz BFDLLSNXTYYMMS-CYBMUJFWSA-N 0 1 322.399 3.430 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@@H](C)CCC)c1ccccc1 ZINC001732018518 1204650692 /nfs/dbraw/zinc/65/06/92/1204650692.db2.gz KGACBDLJRCRMCZ-FUHWJXTLSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(C)CC1)c1ccc(C(C)C)cc1 ZINC001732018113 1204650748 /nfs/dbraw/zinc/65/07/48/1204650748.db2.gz RWWKLDWOCBGRHO-SFHVURJKSA-N 0 1 312.457 3.380 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2ccccc2O)C1 ZINC001732035621 1204658808 /nfs/dbraw/zinc/65/88/08/1204658808.db2.gz XUJZGRKZNBUOST-MRXNPFEDSA-N 0 1 316.445 3.285 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001732044087 1204665183 /nfs/dbraw/zinc/66/51/83/1204665183.db2.gz RCEODACSRNQFED-WCVJEAGWSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C)(CC)CC)C1 ZINC001732059700 1204674129 /nfs/dbraw/zinc/67/41/29/1204674129.db2.gz LRBUWRZIHMKWLK-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C2CC2)C2CC2)C1 ZINC001732060034 1204675529 /nfs/dbraw/zinc/67/55/29/1204675529.db2.gz VMKWRBGWDMSPFB-ZDUSSCGKSA-N 0 1 310.869 3.003 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CN(C)Cc2cc(C)ns2)C1 ZINC001732078683 1204682947 /nfs/dbraw/zinc/68/29/47/1204682947.db2.gz AHUJYPNQTKDUKV-OAHLLOKOSA-N 0 1 321.490 3.088 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccc(F)cc2F)C1 ZINC001732113644 1204699056 /nfs/dbraw/zinc/69/90/56/1204699056.db2.gz SEOTTWREAOKACR-CYBMUJFWSA-N 0 1 322.399 3.053 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3cccnc3c2)C1 ZINC001732112979 1204699081 /nfs/dbraw/zinc/69/90/81/1204699081.db2.gz JBXGIDZFTSNJDU-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001732119110 1204701273 /nfs/dbraw/zinc/70/12/73/1204701273.db2.gz VVZHOPCBNXPUQK-JKSUJKDBSA-N 0 1 300.446 3.020 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2cc(C)sc2C)C1 ZINC001732131149 1204706947 /nfs/dbraw/zinc/70/69/47/1204706947.db2.gz IXFWBNMCALMFON-UHFFFAOYSA-N 0 1 306.475 3.269 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc(CF)cc3)C2)C1 ZINC001732133484 1204707422 /nfs/dbraw/zinc/70/74/22/1204707422.db2.gz JKJVOSRLEAILLG-UHFFFAOYSA-N 0 1 316.420 3.060 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001732175045 1204729449 /nfs/dbraw/zinc/72/94/49/1204729449.db2.gz FPDXEBRMJFTBDJ-IAGOWNOFSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CC(C)(C)C(F)(F)F ZINC001732216987 1204754783 /nfs/dbraw/zinc/75/47/83/1204754783.db2.gz JJZPUUAZAALVAN-JTQLQIEISA-N 0 1 314.779 3.202 20 30 DGEDMN C#CCC1(C(=O)NC[C@H](CC)NCC(=C)Cl)CCCCC1 ZINC001732220971 1204757603 /nfs/dbraw/zinc/75/76/03/1204757603.db2.gz HJYDVGIKRRIXIW-HNNXBMFYSA-N 0 1 310.869 3.197 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)CNCc2ccon2)CCCCC1 ZINC001732373118 1204810755 /nfs/dbraw/zinc/81/07/55/1204810755.db2.gz RQCUBXGXZKANHZ-OAHLLOKOSA-N 0 1 319.449 3.043 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C2=CCCCCC2)C1 ZINC001732379395 1204811855 /nfs/dbraw/zinc/81/18/55/1204811855.db2.gz TUROZDKFKQYHFQ-AEFFLSMTSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C2=CCCCCC2)C1 ZINC001732379398 1204811918 /nfs/dbraw/zinc/81/19/18/1204811918.db2.gz TUROZDKFKQYHFQ-SJLPKXTDSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001732381362 1204812679 /nfs/dbraw/zinc/81/26/79/1204812679.db2.gz MAPJMNRRKUQTMW-INIZCTEOSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCCCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001732410078 1204826819 /nfs/dbraw/zinc/82/68/19/1204826819.db2.gz KLSOSGKVJPAPAT-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001732439621 1204842146 /nfs/dbraw/zinc/84/21/46/1204842146.db2.gz RGALVJIWOJATAL-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001732440976 1204842667 /nfs/dbraw/zinc/84/26/67/1204842667.db2.gz QPKSNFSWRRJEIW-OAHLLOKOSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cccc(C)c1 ZINC001732459155 1204853115 /nfs/dbraw/zinc/85/31/15/1204853115.db2.gz GEXXXXLUSWYBIF-MRXNPFEDSA-N 0 1 308.853 3.482 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)/C=C\C(C)(C)C ZINC001732464413 1204856534 /nfs/dbraw/zinc/85/65/34/1204856534.db2.gz VJEHACUSELZHJV-DANTVBBOSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H](C)C(C)(F)F ZINC001732471328 1204860893 /nfs/dbraw/zinc/86/08/93/1204860893.db2.gz SKPVEPVWSDZEOD-NWDGAFQWSA-N 0 1 310.816 3.151 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001732594747 1204916441 /nfs/dbraw/zinc/91/64/41/1204916441.db2.gz OCXPKDBBBBQREN-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(CC)CC)CC[C@@H]21 ZINC001732612035 1204922103 /nfs/dbraw/zinc/92/21/03/1204922103.db2.gz YCWMHNQZUBRTLR-CVEARBPZSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(F)ccc1CC ZINC001732783473 1204942977 /nfs/dbraw/zinc/94/29/77/1204942977.db2.gz DNQXRSAKHDOPIC-UHFFFAOYSA-N 0 1 312.816 3.192 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1ccccc1Cl ZINC001732791073 1204944453 /nfs/dbraw/zinc/94/44/53/1204944453.db2.gz WIQMCZZLYVBRHT-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)c(C)s1 ZINC001732809284 1204947010 /nfs/dbraw/zinc/94/70/10/1204947010.db2.gz IEZFZIGUKSFFLR-UHFFFAOYSA-N 0 1 300.855 3.169 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1ccc(F)cc1Cl ZINC001732831537 1204949852 /nfs/dbraw/zinc/94/98/52/1204949852.db2.gz QZSSGZJZPBINHH-PLNGDYQASA-N 0 1 310.800 3.207 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1c(C)nsc1C ZINC001732903232 1204957549 /nfs/dbraw/zinc/95/75/49/1204957549.db2.gz WYOCFYDZPMHQEO-XZVRFQMRSA-N 0 1 321.490 3.124 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)CC1(C)CCCC1 ZINC001732951565 1204963973 /nfs/dbraw/zinc/96/39/73/1204963973.db2.gz JJGGBASSHHABIW-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC/C=C\c1ccccc1 ZINC001732972311 1204969458 /nfs/dbraw/zinc/96/94/58/1204969458.db2.gz NPJSRFLGBVSGKV-IZIDJEDMSA-N 0 1 306.837 3.327 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2cc(C)ccc2n1 ZINC001733004442 1204978814 /nfs/dbraw/zinc/97/88/14/1204978814.db2.gz XYUBCVHIOFVKIX-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1OC1CCC1 ZINC001733014657 1204981996 /nfs/dbraw/zinc/98/19/96/1204981996.db2.gz XVPBVRWFQYOKFH-ZDUSSCGKSA-N 0 1 322.836 3.078 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(Cl)cc(Cl)c1 ZINC001733020886 1204983480 /nfs/dbraw/zinc/98/34/80/1204983480.db2.gz IREMNQJQJLRRTK-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(Cl)sc1Cl ZINC001733046029 1204998254 /nfs/dbraw/zinc/99/82/54/1204998254.db2.gz UOSIJCVIVQHRHV-SECBINFHSA-N 0 1 319.257 3.128 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)CN(C)C[C@@H](F)CC)CCCCC1 ZINC001733064898 1205014109 /nfs/dbraw/zinc/01/41/09/1205014109.db2.gz CVBBYGZGGQXTQT-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN CCC(CC)C(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001733089175 1205022492 /nfs/dbraw/zinc/02/24/92/1205022492.db2.gz WOOJLYJOJLGMDI-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1c(F)cccc1F)C1CC1 ZINC001733178574 1205034514 /nfs/dbraw/zinc/03/45/14/1205034514.db2.gz CGNMCIPVDQZWQH-INIZCTEOSA-N 0 1 322.399 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC001733218410 1205049889 /nfs/dbraw/zinc/04/98/89/1205049889.db2.gz BBZIZKGOAYSDIE-KRWDZBQOSA-N 0 1 312.457 3.467 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001733218882 1205050118 /nfs/dbraw/zinc/05/01/18/1205050118.db2.gz HDYRSXNWERBRHR-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC(C)C)CCCC1 ZINC001733276606 1205079239 /nfs/dbraw/zinc/07/92/39/1205079239.db2.gz WXVDZIYRPCZBOX-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN(CCC2CCCCC2)C1 ZINC001733303708 1205088736 /nfs/dbraw/zinc/08/87/36/1205088736.db2.gz DBPGJVTVVICYKS-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCCC2CCOCC2)C1 ZINC001733307460 1205089483 /nfs/dbraw/zinc/08/94/83/1205089483.db2.gz AUIFCWCDIJHHPP-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccnc3ccccc32)C1 ZINC001733308018 1205089933 /nfs/dbraw/zinc/08/99/33/1205089933.db2.gz AUNXHCZBGYLXBI-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccc(C)cc2C)C1 ZINC001733309863 1205090363 /nfs/dbraw/zinc/09/03/63/1205090363.db2.gz JCVADTVUCRTSBW-UHFFFAOYSA-N 0 1 314.473 3.345 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3cc[nH]c32)C1 ZINC001733313844 1205092386 /nfs/dbraw/zinc/09/23/86/1205092386.db2.gz VYDHCUYXLWAQRE-CQSZACIVSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001733319851 1205094918 /nfs/dbraw/zinc/09/49/18/1205094918.db2.gz ZHQQCKAAAHJQPC-QAPCUYQASA-N 0 1 322.493 3.079 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)C1CC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001733365563 1205107157 /nfs/dbraw/zinc/10/71/57/1205107157.db2.gz UIFBYVLXDPWKEU-REZBVGGZSA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)C1CC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001733365565 1205107337 /nfs/dbraw/zinc/10/73/37/1205107337.db2.gz UIFBYVLXDPWKEU-VOGRQWBCSA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@]2(CCN(CC(=C)Cl)C2)C1 ZINC001733393187 1205114920 /nfs/dbraw/zinc/11/49/20/1205114920.db2.gz PFRUVAZCIWYWAG-SFHVURJKSA-N 0 1 322.880 3.247 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001733464026 1205145690 /nfs/dbraw/zinc/14/56/90/1205145690.db2.gz STFXBAROPNHLAT-CTNGQTDRSA-N 0 1 324.468 3.032 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)CC)C1 ZINC001733478954 1205150218 /nfs/dbraw/zinc/15/02/18/1205150218.db2.gz WGAJCRPVCPYECY-CYBMUJFWSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCC3CCCCC3)[C@@H]2C1 ZINC001733605073 1205172800 /nfs/dbraw/zinc/17/28/00/1205172800.db2.gz DGRUPUSHEJQWGJ-RBUKOAKNSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@H]2C[C@@H]2C)cc1 ZINC001733685067 1205184561 /nfs/dbraw/zinc/18/45/61/1205184561.db2.gz UCRICMFXXSORES-RBOXIYTFSA-N 0 1 306.837 3.362 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2oc(CC)nc2C)CCC1 ZINC001733715607 1205192594 /nfs/dbraw/zinc/19/25/94/1205192594.db2.gz WNRLUKYHNLXXFU-UHFFFAOYSA-N 0 1 319.449 3.030 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)[C@@H](CC)CCC)O2 ZINC001733789677 1205218417 /nfs/dbraw/zinc/21/84/17/1205218417.db2.gz GZIZYUGZCPMPJX-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)[C@H](CC)CCC)O2 ZINC001733789678 1205219116 /nfs/dbraw/zinc/21/91/16/1205219116.db2.gz GZIZYUGZCPMPJX-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)C(C)(C)CCC)CO2 ZINC001733802189 1205220935 /nfs/dbraw/zinc/22/09/35/1205220935.db2.gz NFGKRRCHIPMOTP-HOTGVXAUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)/C=C(\C)CC ZINC001733809074 1205222955 /nfs/dbraw/zinc/22/29/55/1205222955.db2.gz RRYXBNSFKRGPCW-XLCPLWEUSA-N 0 1 312.457 3.462 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C[C@@H](C=C)CC ZINC001733812930 1205225463 /nfs/dbraw/zinc/22/54/63/1205225463.db2.gz VJZRRYVSJGWSAV-PXNSSMCTSA-N 0 1 324.468 3.155 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](CC)CCCCC)C(C)(C)C1 ZINC001733876993 1205240264 /nfs/dbraw/zinc/24/02/64/1205240264.db2.gz AIQDSKGJUIPCAI-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ccccc1C ZINC001733923287 1205255642 /nfs/dbraw/zinc/25/56/42/1205255642.db2.gz NXOVSEHVLCGEIU-WOJBJXKFSA-N 0 1 318.420 3.004 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001733931487 1205260634 /nfs/dbraw/zinc/26/06/34/1205260634.db2.gz CDGRYHNTFYZVPL-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc2ccccc21 ZINC001733939640 1205265807 /nfs/dbraw/zinc/26/58/07/1205265807.db2.gz OBTWDEXHVBTEAO-QGZVFWFLSA-N 0 1 306.409 3.009 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001733973159 1205276309 /nfs/dbraw/zinc/27/63/09/1205276309.db2.gz DFGURPKHXPYYGH-ZIAGYGMSSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CC(C)(C)CC(F)F ZINC001733987415 1205279786 /nfs/dbraw/zinc/27/97/86/1205279786.db2.gz ZVICVROLRROXIT-WDEREUQCSA-N 0 1 310.816 3.293 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2cccc(F)c2F)C1 ZINC001733989301 1205280654 /nfs/dbraw/zinc/28/06/54/1205280654.db2.gz RBOOAUJXSHYHIM-QWHCGFSZSA-N 0 1 322.399 3.304 20 30 DGEDMN CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2ccccc2C#N)CCC1 ZINC001733993547 1205281748 /nfs/dbraw/zinc/28/17/48/1205281748.db2.gz LLFMWFIEBTTWMA-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c[nH]c2ccc(F)cc12 ZINC001734001848 1205284664 /nfs/dbraw/zinc/28/46/64/1205284664.db2.gz AWDXLIMBOPHVIS-QWRGUYRKSA-N 0 1 323.799 3.156 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(F)c2occc21 ZINC001734004819 1205285601 /nfs/dbraw/zinc/28/56/01/1205285601.db2.gz RQRPOXFIYFAPBG-MNOVXSKESA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(F)cc1Cl ZINC001734004847 1205285867 /nfs/dbraw/zinc/28/58/67/1205285867.db2.gz RLIKXCBNJYAILZ-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(C(F)F)c1 ZINC001734010326 1205287558 /nfs/dbraw/zinc/28/75/58/1205287558.db2.gz ZMLVNVYFYPSGSQ-MNOVXSKESA-N 0 1 316.779 3.473 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](C)c1ccccc1C ZINC001734107338 1205326758 /nfs/dbraw/zinc/32/67/58/1205326758.db2.gz WDVWUOXOFXLHRK-QZTJIDSGSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001734121772 1205329445 /nfs/dbraw/zinc/32/94/45/1205329445.db2.gz KATHDANHJWEWKM-CQSZACIVSA-N 0 1 318.436 3.365 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001734127106 1205331124 /nfs/dbraw/zinc/33/11/24/1205331124.db2.gz DDXBLHORXJBCKL-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CN(CC#CC)CC2(C)C)CCCCC1 ZINC001734155467 1205337370 /nfs/dbraw/zinc/33/73/70/1205337370.db2.gz YFEXLGGFVBBADI-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CCC(F)(F)F)CC1 ZINC001734267534 1205352633 /nfs/dbraw/zinc/35/26/33/1205352633.db2.gz JUVSGNBAGXOTLS-UHFFFAOYSA-N 0 1 312.763 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(F)ccc(C)c1F ZINC001734340944 1205367509 /nfs/dbraw/zinc/36/75/09/1205367509.db2.gz KNIUGMMLMYOWHJ-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001734341187 1205367557 /nfs/dbraw/zinc/36/75/57/1205367557.db2.gz MTCPFEJTSWIEBN-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001734462172 1205382261 /nfs/dbraw/zinc/38/22/61/1205382261.db2.gz RVZISWLYACNRCT-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cnccc2C)[C@H]1C ZINC001734463910 1205382677 /nfs/dbraw/zinc/38/26/77/1205382677.db2.gz XGLFQLPVUWXOQJ-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001734570366 1205396891 /nfs/dbraw/zinc/39/68/91/1205396891.db2.gz ZDIWIMFMZJMKOC-CYBMUJFWSA-N 0 1 323.506 3.284 20 30 DGEDMN C#CCCCC(=O)NCc1ccc(CNC/C=C/Cl)cc1F ZINC001734639943 1205408711 /nfs/dbraw/zinc/40/87/11/1205408711.db2.gz BESDRDNBZDMWRU-WEVVVXLNSA-N 0 1 322.811 3.088 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](F)C(C)C)C1CCCCC1 ZINC001667140724 1197323301 /nfs/dbraw/zinc/32/33/01/1197323301.db2.gz XZJSWLQAAGGFOS-CABCVRRESA-N 0 1 318.864 3.388 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)c1ccc(F)cc1 ZINC001669478367 1197457199 /nfs/dbraw/zinc/45/71/99/1197457199.db2.gz JTHIQXJCAFHPQN-WCQYABFASA-N 0 1 312.816 3.166 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@H+](CC(=O)[O-])CC(C)(C)C ZINC001602704364 971334474 /nfs/dbraw/zinc/33/44/74/971334474.db2.gz GLUAJSNRVPYBMR-UHFFFAOYSA-N 0 1 323.820 3.285 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC001573327094 958485158 /nfs/dbraw/zinc/48/51/58/958485158.db2.gz CUYIFWLPJNXMTR-ZDUSSCGKSA-N 0 1 321.804 3.039 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001603286236 972524677 /nfs/dbraw/zinc/52/46/77/972524677.db2.gz FTYUKAGIPBQMFX-ZOCIIQOWSA-N 0 1 320.392 3.419 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(/C=C\C(=O)[O-])o1)Cc1cccc(C#N)c1 ZINC001573368178 963074734 /nfs/dbraw/zinc/07/47/34/963074734.db2.gz JPFHUNYCLNKIDT-HJWRWDBZSA-N 0 1 322.364 3.437 20 30 DGEDMN C=CC[N@H+](Cc1ccc(/C=C\C(=O)[O-])o1)Cc1cccc(C#N)c1 ZINC001573368178 963074752 /nfs/dbraw/zinc/07/47/52/963074752.db2.gz JPFHUNYCLNKIDT-HJWRWDBZSA-N 0 1 322.364 3.437 20 30 DGEDMN Cc1cc(C[N@@H+]2Cc3ccccc3[C@H](C(=O)[O-])C2)ccc1C#N ZINC001605171947 972875292 /nfs/dbraw/zinc/87/52/92/972875292.db2.gz DNZJVLZNBRIADM-GOSISDBHSA-N 0 1 306.365 3.051 20 30 DGEDMN CCC[N@H+](Cc1ncc(C(=O)[O-])s1)Cc1ccc(C#N)cc1 ZINC001595885738 982908868 /nfs/dbraw/zinc/90/88/68/982908868.db2.gz DQNPOFPMSHHRJX-UHFFFAOYSA-N 0 1 315.398 3.125 20 30 DGEDMN CCC[N@@H+](Cc1ncc(C(=O)[O-])s1)Cc1ccc(C#N)cc1 ZINC001595885738 982908870 /nfs/dbraw/zinc/90/88/70/982908870.db2.gz DQNPOFPMSHHRJX-UHFFFAOYSA-N 0 1 315.398 3.125 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2ccc(-c3ccc(C(=O)[O-])cc3)o2)C1 ZINC001588383297 983379544 /nfs/dbraw/zinc/37/95/44/983379544.db2.gz SIBQMDCREZLZAJ-AWEZNQCLSA-N 0 1 309.365 3.490 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@@H](C)c1ccc(C(=O)[O-])o1 ZINC001588567700 983647342 /nfs/dbraw/zinc/64/73/42/983647342.db2.gz QOAXBYWPOCUPMA-LBPRGKRZSA-N 0 1 319.357 3.202 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@@H](C)c1ccc(C(=O)[O-])o1 ZINC001588567700 983647344 /nfs/dbraw/zinc/64/73/44/983647344.db2.gz QOAXBYWPOCUPMA-LBPRGKRZSA-N 0 1 319.357 3.202 20 30 DGEDMN CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@@H](C)c1ccc(C#N)cc1 ZINC001596356460 983824477 /nfs/dbraw/zinc/82/44/77/983824477.db2.gz OFEAKGXJHDXQHC-ZDUSSCGKSA-N 0 1 311.385 3.178 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)CCOc1ccccc1C(C)(C)C ZINC001588729736 983992788 /nfs/dbraw/zinc/99/27/88/983992788.db2.gz UTZFAQKFGYUFIF-HNNXBMFYSA-N 0 1 305.418 3.324 20 30 DGEDMN C=CC[C@H](S[C@H]1CCC[N@@H+](Cc2ccccc2)C1)C(=O)[O-] ZINC001588757609 984103681 /nfs/dbraw/zinc/10/36/81/984103681.db2.gz BLUYEPPKKNMBEF-HOTGVXAUSA-N 0 1 305.443 3.414 20 30 DGEDMN C=CC[N@H+](Cc1snc(OC)c1C(=O)[O-])CC1CCCCC1 ZINC001588831706 984316510 /nfs/dbraw/zinc/31/65/10/984316510.db2.gz KSVOIMGGQAWHMM-UHFFFAOYSA-N 0 1 324.446 3.418 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2ccccc2)cc1 ZINC001589334490 986201922 /nfs/dbraw/zinc/20/19/22/986201922.db2.gz FLDNAVNEOMHGII-KBXCAEBGSA-N 0 1 324.380 3.235 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1[C@H]1CCCO1 ZINC001589554098 987297651 /nfs/dbraw/zinc/29/76/51/987297651.db2.gz UYIXYPSRLRGKKI-HRCADAONSA-N 0 1 322.449 3.051 20 30 DGEDMN C[N@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@H]1CCC[C@@H]1C#N ZINC001598498650 992397699 /nfs/dbraw/zinc/39/76/99/992397699.db2.gz FWNXFCKSRYVZAP-OCCSQVGLSA-N 0 1 322.792 3.041 20 30 DGEDMN C[N@@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@H]1CCC[C@@H]1C#N ZINC001598498650 992397709 /nfs/dbraw/zinc/39/77/09/992397709.db2.gz FWNXFCKSRYVZAP-OCCSQVGLSA-N 0 1 322.792 3.041 20 30 DGEDMN C[N@@H+](Cc1ccc(C(=O)[O-])c2cccnc12)[C@@H]1CCC[C@H]1C#N ZINC001598509554 992552688 /nfs/dbraw/zinc/55/26/88/992552688.db2.gz CZLFEMXOQYGPLQ-BLLLJJGKSA-N 0 1 309.369 3.057 20 30 DGEDMN C=CCCOCCNCc1cccc(F)c1OC(F)(F)F ZINC001178412097 1098828101 /nfs/dbraw/zinc/82/81/01/1098828101.db2.gz BBIUBHGXYFXOQD-UHFFFAOYSA-N 0 1 307.287 3.407 20 30 DGEDMN [O-]/N=C/c1cc(NCC[C@H]2CCC[NH2+]2)cc(C(F)(F)F)c1 ZINC001169568450 1081594394 /nfs/dbraw/zinc/59/43/94/1081594394.db2.gz WRYFCYGQBLYNOJ-IDTCLIEOSA-N 0 1 301.312 3.068 20 30 DGEDMN CC(=O)N1CCC[C@H](N(CCC#N)C2CCCCCCC2)C1 ZINC001169615212 1081616312 /nfs/dbraw/zinc/61/63/12/1081616312.db2.gz OCSWELUHTSTVCZ-SFHVURJKSA-N 0 1 305.466 3.326 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1ncc(C)o1 ZINC001169706050 1081634882 /nfs/dbraw/zinc/63/48/82/1081634882.db2.gz PQIHYUIGZDAXOT-ZFWWWQNUSA-N 0 1 307.438 3.275 20 30 DGEDMN N#CC12CCC(Nc3cc(O[C@@H]4CCOC4)ccn3)(CC1)CC2 ZINC001169751871 1081642976 /nfs/dbraw/zinc/64/29/76/1081642976.db2.gz KDGVHYJGZAKWII-FAEJEUNOSA-N 0 1 313.401 3.278 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001266315451 1081659044 /nfs/dbraw/zinc/65/90/44/1081659044.db2.gz WEUZIRXEYOSPGR-QGZVFWFLSA-N 0 1 324.424 3.317 20 30 DGEDMN C[Si](C)(C)C#CNCc1ccc(Br)c(Cl)n1 ZINC001169820520 1081659704 /nfs/dbraw/zinc/65/97/04/1081659704.db2.gz ZYHVAFOLCRLPEH-UHFFFAOYSA-N 0 1 317.690 3.425 20 30 DGEDMN N#Cc1ccc(CCN2CCN(Cc3ccc(F)cc3)CC2)cc1 ZINC001208920913 1081667603 /nfs/dbraw/zinc/66/76/03/1081667603.db2.gz SVZOXEOEOOQWOY-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC001266340063 1081681619 /nfs/dbraw/zinc/68/16/19/1081681619.db2.gz IFQIWHNWXKDWGQ-VQTJNVASSA-N 0 1 320.436 3.015 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC[N@H+](C[C@@H](CC)OC)C2)CCCCC1 ZINC001266361429 1081701544 /nfs/dbraw/zinc/70/15/44/1081701544.db2.gz MVTBHWJENRXKCY-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC001266389944 1081734689 /nfs/dbraw/zinc/73/46/89/1081734689.db2.gz FNGPZCYDRABZOS-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001266389970 1081734812 /nfs/dbraw/zinc/73/48/12/1081734812.db2.gz GJXXMKFYMWOONZ-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1c(F)cccc1Cl)C1CC1 ZINC001266400892 1081754553 /nfs/dbraw/zinc/75/45/53/1081754553.db2.gz DTFUEZYVDDWDGV-MRXNPFEDSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1c(F)cccc1Cl)C1CC1 ZINC001266400890 1081754607 /nfs/dbraw/zinc/75/46/07/1081754607.db2.gz DTFUEZYVDDWDGV-INIZCTEOSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2cccc(F)c2)C1 ZINC001266416367 1081772574 /nfs/dbraw/zinc/77/25/74/1081772574.db2.gz GGJFOLDZFZNCPN-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN CCc1cccc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)c1 ZINC001109245913 1081790095 /nfs/dbraw/zinc/79/00/95/1081790095.db2.gz GJKAYOAFXAYYOS-AABGKKOBSA-N 0 1 324.468 3.130 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)OCCCCCC)C2 ZINC001109279836 1081794649 /nfs/dbraw/zinc/79/46/49/1081794649.db2.gz RKOPGWMUYVZCAE-BDXSIMOUSA-N 0 1 322.493 3.269 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@]2(C1)CN(CCC)CCO2 ZINC001282782890 1081798889 /nfs/dbraw/zinc/79/88/89/1081798889.db2.gz VUQRIUFUMBOBRD-LJQANCHMSA-N 0 1 324.509 3.450 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001109350833 1081807221 /nfs/dbraw/zinc/80/72/21/1081807221.db2.gz FPFOEWCXPLSRKS-QYZOEREBSA-N 0 1 323.440 3.136 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](CCCCC)C(C)C ZINC001266488361 1081889736 /nfs/dbraw/zinc/88/97/36/1081889736.db2.gz HXNKXJUECWORHH-QZTJIDSGSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001109679254 1081900209 /nfs/dbraw/zinc/90/02/09/1081900209.db2.gz UYISBPBWDGAJJQ-DFEOGRTESA-N 0 1 322.493 3.127 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cccc(F)c1O ZINC001266521613 1081940689 /nfs/dbraw/zinc/94/06/89/1081940689.db2.gz KOOFOIQMAMQHTI-SNVBAGLBSA-N 0 1 300.289 3.074 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cccc(C)c2)CC1 ZINC001112600822 1081970623 /nfs/dbraw/zinc/97/06/23/1081970623.db2.gz PRIAJVFDIRQDOZ-UHFFFAOYSA-N 0 1 300.446 3.499 20 30 DGEDMN C#CCCCC(=O)N1CCN([C@H](C)c2cc(C)ccc2C)CC1 ZINC001112809573 1082007699 /nfs/dbraw/zinc/00/76/99/1082007699.db2.gz LJBQPUGYLJGQOZ-GOSISDBHSA-N 0 1 312.457 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CCCC3(CC3)C2)CC1 ZINC001112851903 1082025081 /nfs/dbraw/zinc/02/50/81/1082025081.db2.gz IESQFYHTEVTKRS-IRXDYDNUSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(F)c2C)CC1 ZINC001112867901 1082028595 /nfs/dbraw/zinc/02/85/95/1082028595.db2.gz CVNWTFAEPGXIGV-CQSZACIVSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCCC(=O)NCC[N@H+](Cc1ccc(F)cc1C)C1CC1 ZINC001266586211 1082037920 /nfs/dbraw/zinc/03/79/20/1082037920.db2.gz PJZSORRGNPWVFE-UHFFFAOYSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1ccc(F)cc1C)C1CC1 ZINC001266586211 1082037927 /nfs/dbraw/zinc/03/79/27/1082037927.db2.gz PJZSORRGNPWVFE-UHFFFAOYSA-N 0 1 304.409 3.181 20 30 DGEDMN CC(C)(C)C#CC(=O)NCCN(C/C=C/c1ccccc1)C1CC1 ZINC001266592050 1082043072 /nfs/dbraw/zinc/04/30/72/1082043072.db2.gz HBTREPCURBYHER-JXMROGBWSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H](OCC)C(=C)C)CC1 ZINC001112907059 1082047951 /nfs/dbraw/zinc/04/79/51/1082047951.db2.gz XQHXJFVXDOQNIT-GOSISDBHSA-N 0 1 322.493 3.248 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H](CC1CCCCC1)C(C)C)C1CC1 ZINC001266613835 1082072656 /nfs/dbraw/zinc/07/26/56/1082072656.db2.gz IARCFBXEVSBDAL-IBGZPJMESA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccsc2COC)CC1 ZINC001113013467 1082086460 /nfs/dbraw/zinc/08/64/60/1082086460.db2.gz DAFVCDNAAAHKCL-CQSZACIVSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)c3ccccc3n2)CC1 ZINC001113027796 1082090191 /nfs/dbraw/zinc/09/01/91/1082090191.db2.gz GQUQMFUYJHLVPN-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001113039343 1082097816 /nfs/dbraw/zinc/09/78/16/1082097816.db2.gz BJDZCJADMWJLNA-SQNIBIBYSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](OC)[C@H](C)CC)CC1 ZINC001113046609 1082100245 /nfs/dbraw/zinc/10/02/45/1082100245.db2.gz CPJAIIQKEOYJDK-IAGOWNOFSA-N 0 1 312.498 3.162 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(C(C)C)n2)CC1 ZINC001113071749 1082107577 /nfs/dbraw/zinc/10/75/77/1082107577.db2.gz RVOGJJWFLFYPGY-MRXNPFEDSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(CC)s2)CC1 ZINC001113076799 1082109500 /nfs/dbraw/zinc/10/95/00/1082109500.db2.gz HJCTUHMNXYEDDA-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CCCCC2(F)F)CC1 ZINC001113149491 1082125879 /nfs/dbraw/zinc/12/58/79/1082125879.db2.gz QARJMBGAYVKOAV-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CCCCC2(F)F)CC1 ZINC001113149489 1082125948 /nfs/dbraw/zinc/12/59/48/1082125948.db2.gz QARJMBGAYVKOAV-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CCCCCC=C)CC1 ZINC001113205076 1082138928 /nfs/dbraw/zinc/13/89/28/1082138928.db2.gz KGAPKWZGMPHDFY-UHFFFAOYSA-N 0 1 304.478 3.461 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cc(C)c(C)o2)C1 ZINC001086583446 1082139799 /nfs/dbraw/zinc/13/97/99/1082139799.db2.gz MGSJVICYXUDNQC-ZWKOTPCHSA-N 0 1 324.424 3.280 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CC2(CN(Cc3ccccc3)C2)C1 ZINC000706812876 1082140379 /nfs/dbraw/zinc/14/03/79/1082140379.db2.gz AWSGBWWOWYRKRM-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](CCC)OCC)CC1 ZINC001113237458 1082147005 /nfs/dbraw/zinc/14/70/05/1082147005.db2.gz SINISKGAPFWNPI-KRWDZBQOSA-N 0 1 312.498 3.306 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCC[C@@H](OC)C2)CC1 ZINC001113270301 1082157531 /nfs/dbraw/zinc/15/75/31/1082157531.db2.gz JEIHKBMFZNIUCZ-QZTJIDSGSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(CCC)cc2)CC1 ZINC001113270726 1082157589 /nfs/dbraw/zinc/15/75/89/1082157589.db2.gz ZGPDKEZVZCZPSR-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001113339181 1082181051 /nfs/dbraw/zinc/18/10/51/1082181051.db2.gz PTEBEPIUBCTXAS-MRXNPFEDSA-N 0 1 306.450 3.498 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001113353481 1082182114 /nfs/dbraw/zinc/18/21/14/1082182114.db2.gz GTPSBZGISHTORQ-CQSZACIVSA-N 0 1 302.409 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C)cc2F)CC1 ZINC001113379228 1082188460 /nfs/dbraw/zinc/18/84/60/1082188460.db2.gz LXRLZNWONHBPJP-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](CC)OC2CCCC2)CC1 ZINC001113392617 1082194358 /nfs/dbraw/zinc/19/43/58/1082194358.db2.gz LZXCIIQDNSHRSZ-SJLPKXTDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2C[C@@H]2c2ccccc2)CC1 ZINC001113409919 1082205622 /nfs/dbraw/zinc/20/56/22/1082205622.db2.gz GGKJJEYOAPDNMI-UHOSZYNNSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(OC)c(C)c2)CC1 ZINC001113430952 1082209124 /nfs/dbraw/zinc/20/91/24/1082209124.db2.gz CFCSUYMOGHKIRO-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CC1(CC(=O)N2CCN(CC[C@@H](C)F)CC2)CCCCC1 ZINC001113428112 1082209132 /nfs/dbraw/zinc/20/91/32/1082209132.db2.gz FECMLHDRMRGAFO-MRXNPFEDSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC001113432282 1082210115 /nfs/dbraw/zinc/21/01/15/1082210115.db2.gz WKARUTIQNDKAAG-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC001113432283 1082210373 /nfs/dbraw/zinc/21/03/73/1082210373.db2.gz WKARUTIQNDKAAG-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC001113439669 1082211673 /nfs/dbraw/zinc/21/16/73/1082211673.db2.gz NRRNIAPIPVWNOB-CVEARBPZSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2C[C@]23CCc2ccccc23)CC1 ZINC001113477703 1082228393 /nfs/dbraw/zinc/22/83/93/1082228393.db2.gz HHXBAJPTBQDXPW-CTNGQTDRSA-N 0 1 324.468 3.001 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C2CCC2)C1 ZINC001086595017 1082229891 /nfs/dbraw/zinc/22/98/91/1082229891.db2.gz GUVZGZYVCXJBIA-AYOQOUSVSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3ccncc3c2)CC1 ZINC001113495706 1082231639 /nfs/dbraw/zinc/23/16/39/1082231639.db2.gz UPNVSZGNBPQSER-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)CCCOC)CC1 ZINC001113509129 1082234719 /nfs/dbraw/zinc/23/47/19/1082234719.db2.gz YPPFKMUINHUBSF-KRWDZBQOSA-N 0 1 312.498 3.164 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](CC)CC(F)(F)F)CC1 ZINC001113550828 1082248100 /nfs/dbraw/zinc/24/81/00/1082248100.db2.gz QHYIVMNYNNSSCH-CYBMUJFWSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccc(C)cc2)CC1 ZINC001113552644 1082248731 /nfs/dbraw/zinc/24/87/31/1082248731.db2.gz QFYABBOVROQEMY-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2sccc2Cl)C1 ZINC001266831818 1082250527 /nfs/dbraw/zinc/25/05/27/1082250527.db2.gz NMVOROHKTZOFIB-UHFFFAOYSA-N 0 1 312.866 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H](C)[C@@H]2CCCO2)CC1 ZINC001113580107 1082254079 /nfs/dbraw/zinc/25/40/79/1082254079.db2.gz CGHBIVRCTINABW-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2sccc2C)CC1 ZINC001113591768 1082259342 /nfs/dbraw/zinc/25/93/42/1082259342.db2.gz KIVZQBKTHHBEFT-UHFFFAOYSA-N 0 1 320.502 3.490 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccccc2Cl)CC1 ZINC001113612820 1082264596 /nfs/dbraw/zinc/26/45/96/1082264596.db2.gz VUAAOCHRBZGPIA-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC001113615905 1082265532 /nfs/dbraw/zinc/26/55/32/1082265532.db2.gz CBHHPANMWBKKDH-UHFFFAOYSA-N 0 1 300.446 3.075 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H](C=C)c2ccccc2)CC1 ZINC001113668606 1082274559 /nfs/dbraw/zinc/27/45/59/1082274559.db2.gz QBSWGJBTMKUUCE-GOSISDBHSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC001113673127 1082277871 /nfs/dbraw/zinc/27/78/71/1082277871.db2.gz CDGVJWFODMHFOT-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001086604469 1082278953 /nfs/dbraw/zinc/27/89/53/1082278953.db2.gz FCQNNKXXLNNLLK-GBESFXJTSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C)c3c2CCC3)CC1 ZINC001113698405 1082286489 /nfs/dbraw/zinc/28/64/89/1082286489.db2.gz UHWXGYIHHWIKJD-UHFFFAOYSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc3c2CCC3)CC1 ZINC001113706560 1082287893 /nfs/dbraw/zinc/28/78/93/1082287893.db2.gz OHVDDEJSCTVQIB-MRXNPFEDSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2[C@H]3CN(C/C=C\Cl)C[C@H]32)CCCCC1 ZINC001266904472 1082323426 /nfs/dbraw/zinc/32/34/26/1082323426.db2.gz JMRMKGNGYUDCMH-CRKUMWOZSA-N 0 1 322.880 3.312 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001480901974 1082343347 /nfs/dbraw/zinc/34/33/47/1082343347.db2.gz DCGIWJFVJGRAOP-HNNXBMFYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=CCC[C@H](C)[NH2+]CCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001170356772 1082350955 /nfs/dbraw/zinc/35/09/55/1082350955.db2.gz OZDGVMXWCWCXET-GJZGRUSLSA-N 0 1 319.449 3.020 20 30 DGEDMN N#CC1(c2ccccc2)CCC(N[C@H]2CCSC2=O)CC1 ZINC001170361784 1082352700 /nfs/dbraw/zinc/35/27/00/1082352700.db2.gz FODSDXZCSNGKJW-CKDBGZEDSA-N 0 1 300.427 3.012 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cccc(OC)c1Cl ZINC001266942659 1082360338 /nfs/dbraw/zinc/36/03/38/1082360338.db2.gz KEIAUMLMWJXHRO-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN N#CC1(c2ccccc2)CCC(N2CCC(=O)[C@@H](F)CC2)CC1 ZINC001170378497 1082362985 /nfs/dbraw/zinc/36/29/85/1082362985.db2.gz DNACUNIUDCIJJO-HFCFLWKCSA-N 0 1 314.404 3.394 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C/C=C/c1ccc(F)cc1 ZINC001266947470 1082365252 /nfs/dbraw/zinc/36/52/52/1082365252.db2.gz HNSVBIFUMMZZLM-ITDFMYJTSA-N 0 1 310.800 3.076 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001266963232 1082380884 /nfs/dbraw/zinc/38/08/84/1082380884.db2.gz BTTMREREMOPNOY-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001266965836 1082383824 /nfs/dbraw/zinc/38/38/24/1082383824.db2.gz CURYVERIJNFSLK-ZDUSSCGKSA-N 0 1 320.820 3.337 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3cccc(C(F)F)c3)C[C@H]21 ZINC001114026125 1082398043 /nfs/dbraw/zinc/39/80/43/1082398043.db2.gz WMSWNYBSBZHUMT-QLPKVWCKSA-N 0 1 320.383 3.137 20 30 DGEDMN Cc1ccc(C)c(C(=O)NC[C@H](C)NCc2ccccc2C#N)c1 ZINC001266990550 1082410687 /nfs/dbraw/zinc/41/06/87/1082410687.db2.gz QZUYBOXLBISLTF-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(F)c(F)cc1Cl ZINC001266989013 1082411039 /nfs/dbraw/zinc/41/10/39/1082411039.db2.gz JVMQQGAIAZEGFC-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN COc1cccc(/C=N/C[C@H](c2cccs2)N(C)C)c1O ZINC000005290714 1082411155 /nfs/dbraw/zinc/41/11/55/1082411155.db2.gz KUEZYIUURBMFLA-VGSSETLESA-N 0 1 304.415 3.184 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC001170452004 1082480963 /nfs/dbraw/zinc/48/09/63/1082480963.db2.gz QENKDIOKBKGIGE-IAQYHMDHSA-N 0 1 312.335 3.424 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001267100054 1082510402 /nfs/dbraw/zinc/51/04/02/1082510402.db2.gz GJGSPMUSDQGBKQ-AWEZNQCLSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001267112436 1082530431 /nfs/dbraw/zinc/53/04/31/1082530431.db2.gz YMARLLIMVYHGTF-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN C[C@H](C(=O)NCCNCC#Cc1ccc(Cl)cc1)C(C)(C)C ZINC001130289577 1082563605 /nfs/dbraw/zinc/56/36/05/1082563605.db2.gz QRSHBAVNXPENRF-CQSZACIVSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1sc2ccccc2c1C ZINC001131040994 1082636662 /nfs/dbraw/zinc/63/66/62/1082636662.db2.gz GIUBKXHNFNFGIJ-UHFFFAOYSA-N 0 1 308.834 3.282 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)[C@@H]1CCc2c1cc(F)cc2F ZINC001267213107 1082645247 /nfs/dbraw/zinc/64/52/47/1082645247.db2.gz ZYURGFRAIWENPL-MRXNPFEDSA-N 0 1 322.399 3.212 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)CCc1ccc(F)c(F)c1 ZINC001267215851 1082649164 /nfs/dbraw/zinc/64/91/64/1082649164.db2.gz PTQCTSIMJWUUEW-GOSISDBHSA-N 0 1 324.415 3.158 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001131156187 1082649327 /nfs/dbraw/zinc/64/93/27/1082649327.db2.gz BKKMCWMTJPTWMS-HZPDHXFCSA-N 0 1 306.837 3.029 20 30 DGEDMN Cc1cc(C)cc(C(=O)NCCN(C)Cc2ccc(C#N)cc2)c1 ZINC001267227583 1082665389 /nfs/dbraw/zinc/66/53/89/1082665389.db2.gz WYGDELOCBIGTQL-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)O[C@@]2(CC[N@@H+](CC=C(C)C)C2)C1 ZINC001131677371 1082704875 /nfs/dbraw/zinc/70/48/75/1082704875.db2.gz MAZBOHTWCFEYCQ-IEBWSBKVSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CCCCC(C)C)C[C@@H](C)O2 ZINC001131693582 1082707844 /nfs/dbraw/zinc/70/78/44/1082707844.db2.gz QRBCAGRCICSVJX-MJGOQNOKSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CCC[C@@H](F)C1)C(C)C ZINC001267257855 1082713049 /nfs/dbraw/zinc/71/30/49/1082713049.db2.gz YEKJRUZZFYBTAY-HUUCEWRRSA-N 0 1 318.864 3.484 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CCC(F)(F)C1)C(C)C ZINC001267265623 1082725309 /nfs/dbraw/zinc/72/53/09/1082725309.db2.gz SVEUWSKXKOCRHT-CYBMUJFWSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001267271816 1082732240 /nfs/dbraw/zinc/73/22/40/1082732240.db2.gz CUFZSZVBORWSNJ-AEFFLSMTSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](CC)CC1CCCC1 ZINC001267279054 1082740629 /nfs/dbraw/zinc/74/06/29/1082740629.db2.gz WGRKJKBGBWZORY-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccccc2F)C1 ZINC001131900472 1082758045 /nfs/dbraw/zinc/75/80/45/1082758045.db2.gz YNZUDRUPYWPTNR-GOEBONIOSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccccc2F)C1 ZINC001131900471 1082758069 /nfs/dbraw/zinc/75/80/69/1082758069.db2.gz YNZUDRUPYWPTNR-GDBMZVCRSA-N 0 1 304.409 3.261 20 30 DGEDMN CCn1cc(Cl)cc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131906574 1082760079 /nfs/dbraw/zinc/76/00/79/1082760079.db2.gz MIUCTPKMEOBFMM-UHFFFAOYSA-N 0 1 313.748 3.162 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CC[N@@H+](Cc2ccccc2Cl)C1 ZINC001267298931 1082761481 /nfs/dbraw/zinc/76/14/81/1082761481.db2.gz TVILAOZKOJMYFI-CQSZACIVSA-N 0 1 320.864 3.490 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001131973236 1082779605 /nfs/dbraw/zinc/77/96/05/1082779605.db2.gz ZWSSDQJXBROUCH-ZIAGYGMSSA-N 0 1 318.383 3.102 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccc(F)cc2)C1 ZINC001132003464 1082791299 /nfs/dbraw/zinc/79/12/99/1082791299.db2.gz WAAKESBZDUWIIK-MAUKXSAKSA-N 0 1 316.420 3.098 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](C)N(Cc2cccnc2)C1 ZINC001132069285 1082807354 /nfs/dbraw/zinc/80/73/54/1082807354.db2.gz RUAQXEPXXZQBGD-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)CCCCCC)C1 ZINC001111906945 1082810584 /nfs/dbraw/zinc/81/05/84/1082810584.db2.gz WNLXBIXGWJRLDZ-LNLFQRSKSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2ncccc2C)C1 ZINC001132063976 1082812394 /nfs/dbraw/zinc/81/23/94/1082812394.db2.gz BUPYYZSNQPLSQA-IRXDYDNUSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2scnc2C)C1 ZINC001132256535 1082860103 /nfs/dbraw/zinc/86/01/03/1082860103.db2.gz OGTMNIMUODGRPR-ZFWWWQNUSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCc2ccccc2)CC[C@@H]1C ZINC001132260788 1082861198 /nfs/dbraw/zinc/86/11/98/1082861198.db2.gz HWLHXRINUZNVSG-HKUYNNGSSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2c(C)noc2C)C1 ZINC001132255638 1082865323 /nfs/dbraw/zinc/86/53/23/1082865323.db2.gz CPUJSQVGPCLGRK-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(F)c(Cl)cc1F ZINC001267420643 1082874773 /nfs/dbraw/zinc/87/47/73/1082874773.db2.gz FEJSSBTWNBFKTN-UHFFFAOYSA-N 0 1 323.170 3.032 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001132308698 1082876752 /nfs/dbraw/zinc/87/67/52/1082876752.db2.gz PAUAZDJZLKPFKC-LBPRGKRZSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCC=C(Cl)Cl)CCCC1 ZINC001267477590 1082932747 /nfs/dbraw/zinc/93/27/47/1082932747.db2.gz IAAHWTMOYPOKAO-UHFFFAOYSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132569384 1082948686 /nfs/dbraw/zinc/94/86/86/1082948686.db2.gz PUCGEIOCUUOQPK-NEPJUHHUSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132573467 1082950023 /nfs/dbraw/zinc/95/00/23/1082950023.db2.gz OEJPGLPZUOYNPT-VXGBXAGGSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132574229 1082950176 /nfs/dbraw/zinc/95/01/76/1082950176.db2.gz XRPPHTLSDDXHIS-GXTWGEPZSA-N 0 1 308.853 3.312 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C=C)c2ccccc2)C1 ZINC001267496586 1082955023 /nfs/dbraw/zinc/95/50/23/1082955023.db2.gz WEOAIIBSVKZCOV-QUCCMNQESA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cccs1)C(C)C ZINC001267497837 1082956354 /nfs/dbraw/zinc/95/63/54/1082956354.db2.gz YTRVKDPGQKOLIC-UHFFFAOYSA-N 0 1 300.855 3.331 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cccc(Cl)c1F ZINC001132667355 1082975822 /nfs/dbraw/zinc/97/58/22/1082975822.db2.gz JXFMGEDNXAHZIS-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cc(Cl)ccc1F ZINC001132667298 1082976325 /nfs/dbraw/zinc/97/63/25/1082976325.db2.gz IWXRVXYRJLSORP-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1(C)CCCC1)c1ccccc1 ZINC001267522009 1082981801 /nfs/dbraw/zinc/98/18/01/1082981801.db2.gz YIYMRKUKMVGNEK-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN[C@H](CNC(=O)C12CC(C(C)(C)C)(C1)C2)c1ccccc1 ZINC001267527192 1082990290 /nfs/dbraw/zinc/99/02/90/1082990290.db2.gz YTSKOJIQTNVETM-MDMXATFFSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(F)c2)C1 ZINC001267544271 1083012938 /nfs/dbraw/zinc/01/29/38/1083012938.db2.gz OXWOWTSUOKXFLO-MRXNPFEDSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccc(C)c(C)c2)C1 ZINC001267548471 1083019280 /nfs/dbraw/zinc/01/92/80/1083019280.db2.gz GHRJKOWLGBDLDK-LJQANCHMSA-N 0 1 314.473 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccsc2C)C1 ZINC001267555347 1083027425 /nfs/dbraw/zinc/02/74/25/1083027425.db2.gz FWUGVNRPUNOWJX-ZDUSSCGKSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccccc2CC)C1 ZINC001267587736 1083108127 /nfs/dbraw/zinc/10/81/27/1083108127.db2.gz CBUUJVDAENGTAD-OAHLLOKOSA-N 0 1 320.864 3.395 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001133378975 1083109986 /nfs/dbraw/zinc/10/99/86/1083109986.db2.gz PVKQSRXJLYPNOA-MRXNPFEDSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001133378974 1083110115 /nfs/dbraw/zinc/11/01/15/1083110115.db2.gz PVKQSRXJLYPNOA-INIZCTEOSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cccc(C(C)C)n2)CCC1 ZINC001133392139 1083114420 /nfs/dbraw/zinc/11/44/20/1083114420.db2.gz JDWCDAYDEFUHKM-UHFFFAOYSA-N 0 1 315.461 3.157 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ccccc2Cl)CCC1 ZINC001133392185 1083114532 /nfs/dbraw/zinc/11/45/32/1083114532.db2.gz LGTMMLDCOZLMFN-UHFFFAOYSA-N 0 1 306.837 3.292 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN1Cc1sc(C)nc1C ZINC001481041583 1083129803 /nfs/dbraw/zinc/12/98/03/1083129803.db2.gz IBGVICSLSJIYBT-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001267598134 1083131227 /nfs/dbraw/zinc/13/12/27/1083131227.db2.gz URHPJLNAHRIOBL-MRXNPFEDSA-N 0 1 310.869 3.266 20 30 DGEDMN O=C(CC1CCCC1)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481075423 1083150355 /nfs/dbraw/zinc/15/03/55/1083150355.db2.gz CPGUXFATXPLLRE-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCOCCN1CCC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001267622087 1083223886 /nfs/dbraw/zinc/22/38/86/1083223886.db2.gz LTVKXKXCYXKEEC-MRXNPFEDSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)c1cccc(F)c1 ZINC001283715309 1083229033 /nfs/dbraw/zinc/22/90/33/1083229033.db2.gz UHSJSVXGHLBPEQ-CHWSQXEVSA-N 0 1 312.816 3.118 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCCc2ccccc2Cl)C1 ZINC001267649340 1083245051 /nfs/dbraw/zinc/24/50/51/1083245051.db2.gz WLPPKAUSUSTVIW-UHFFFAOYSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001267651813 1083246309 /nfs/dbraw/zinc/24/63/09/1083246309.db2.gz WCXWYXQATXKAAL-MRXNPFEDSA-N 0 1 323.440 3.246 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001134164056 1083248692 /nfs/dbraw/zinc/24/86/92/1083248692.db2.gz JYCGADCVMYYFKR-UONOGXRCSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](CCC)c2ccccn2)C1 ZINC001267656835 1083249836 /nfs/dbraw/zinc/24/98/36/1083249836.db2.gz YCFNMLDLAMCSGK-NVXWUHKLSA-N 0 1 315.461 3.120 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(CCC(C)(C)C)C1)c1ccccc1 ZINC001267670285 1083256186 /nfs/dbraw/zinc/25/61/86/1083256186.db2.gz OYUGNZLEUFDGTG-QGZVFWFLSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001267699793 1083271673 /nfs/dbraw/zinc/27/16/73/1083271673.db2.gz BRVDXIYEQHMJPD-CQSZACIVSA-N 0 1 320.864 3.143 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001267699792 1083271737 /nfs/dbraw/zinc/27/17/37/1083271737.db2.gz BRVDXIYEQHMJPD-AWEZNQCLSA-N 0 1 320.864 3.143 20 30 DGEDMN C=CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCCC(C)(C)C ZINC001267705515 1083273956 /nfs/dbraw/zinc/27/39/56/1083273956.db2.gz DFMHFERIUHMDEL-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001134412206 1083285791 /nfs/dbraw/zinc/28/57/91/1083285791.db2.gz YRHBLBFTZKKWMU-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@H](C)NCc1ncc(CC)o1 ZINC001134453745 1083294797 /nfs/dbraw/zinc/29/47/97/1083294797.db2.gz KWZZJIMNWQJMQZ-UONOGXRCSA-N 0 1 321.465 3.212 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1coc(C2CC2)n1 ZINC001134475613 1083298109 /nfs/dbraw/zinc/29/81/09/1083298109.db2.gz GFCOYCIKHOAEPN-KBPBESRZSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001134476010 1083298189 /nfs/dbraw/zinc/29/81/89/1083298189.db2.gz LNZXMNSWFUWIEP-OLZOCXBDSA-N 0 1 307.438 3.021 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001267747894 1083314483 /nfs/dbraw/zinc/31/44/83/1083314483.db2.gz GFAZVSDZGDHBKM-MRXNPFEDSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)[C@@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001267779771 1083342457 /nfs/dbraw/zinc/34/24/57/1083342457.db2.gz VIBGFNXYNRLAMB-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN CCN(C(=O)C#CC1CC1)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001267783890 1083345363 /nfs/dbraw/zinc/34/53/63/1083345363.db2.gz MFSSEJNSYMFAIH-QKWWCAPFSA-N 0 1 322.452 3.036 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001267785191 1083346581 /nfs/dbraw/zinc/34/65/81/1083346581.db2.gz CZKFSVMSMGQGIN-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC/C=C\c2ccccc2)C1 ZINC001267791941 1083350754 /nfs/dbraw/zinc/35/07/54/1083350754.db2.gz RQUIVEBEKZMZNM-ICEKFOEVSA-N 0 1 310.441 3.036 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)N[C@@H](C)c1cc(F)ccc1F ZINC001267798514 1083353796 /nfs/dbraw/zinc/35/37/96/1083353796.db2.gz ROCUTERRIUZEBY-JSGCOSHPSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)N[C@H](C)c1cc(F)ccc1F ZINC001267798516 1083353855 /nfs/dbraw/zinc/35/38/55/1083353855.db2.gz ROCUTERRIUZEBY-TZMCWYRMSA-N 0 1 310.388 3.476 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001267799408 1083354101 /nfs/dbraw/zinc/35/41/01/1083354101.db2.gz XEFJNNUJXKYION-QGZVFWFLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1oc(C(F)F)cc1C ZINC001267807324 1083356672 /nfs/dbraw/zinc/35/66/72/1083356672.db2.gz UMSNDDORWPLYLJ-SNVBAGLBSA-N 0 1 320.767 3.376 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)Cc3ccc(F)c(C#N)c3)ccc12 ZINC001181190901 1083425675 /nfs/dbraw/zinc/42/56/75/1083425675.db2.gz HXJDCDKGLUXGNL-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN N#CC1(CC(=O)Nc2nc(Cl)cc3[nH]cnc32)CCCCC1 ZINC001181345259 1083459974 /nfs/dbraw/zinc/45/99/74/1083459974.db2.gz NNZFTLWNXKBVTD-UHFFFAOYSA-N 0 1 317.780 3.414 20 30 DGEDMN Cc1cc(SCC(=O)Nc2nc[nH]c2C#N)c(C)cc1Cl ZINC001181519730 1083492729 /nfs/dbraw/zinc/49/27/29/1083492729.db2.gz CAOIAATXABEGFR-UHFFFAOYSA-N 0 1 320.805 3.282 20 30 DGEDMN C[C@H](CC(=O)Nc1nc[nH]c1C#N)Sc1ccc(Cl)cc1 ZINC001181767434 1083534464 /nfs/dbraw/zinc/53/44/64/1083534464.db2.gz YATVNECTDMNGEZ-SECBINFHSA-N 0 1 320.805 3.444 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccoc1CCC ZINC001267971158 1083673853 /nfs/dbraw/zinc/67/38/53/1083673853.db2.gz YBPVNATVHAVSRK-CYBMUJFWSA-N 0 1 310.825 3.179 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCc2ccc(F)cc2)CC1 ZINC001207510960 1083689437 /nfs/dbraw/zinc/68/94/37/1083689437.db2.gz GBNIKCZJSWEDBA-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCCF)c1ccc(C(C)C)cc1 ZINC001481359643 1083816325 /nfs/dbraw/zinc/81/63/25/1083816325.db2.gz LGLGFXLVCZXNAG-SFHVURJKSA-N 0 1 318.436 3.330 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)C1CC1)c1ccc(C(C)C)cc1 ZINC001481357752 1083817719 /nfs/dbraw/zinc/81/77/19/1083817719.db2.gz JQYGCLGTWUTZHR-KXBFYZLASA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)C1CC1)c1ccc(C(C)C)cc1 ZINC001481357749 1083818769 /nfs/dbraw/zinc/81/87/69/1083818769.db2.gz JQYGCLGTWUTZHR-BEFAXECRSA-N 0 1 312.457 3.236 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001182894319 1083833434 /nfs/dbraw/zinc/83/34/34/1083833434.db2.gz UPDFCSWNXPVTQL-LLVKDONJSA-N 0 1 305.249 3.098 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cccc(C2CC2)c1)c1ccccc1 ZINC001481373798 1083834230 /nfs/dbraw/zinc/83/42/30/1083834230.db2.gz CZCCDXCYNLUODE-FQEVSTJZSA-N 0 1 318.420 3.258 20 30 DGEDMN C=C(C)CN(C)Cc1ccc(C(=O)OC)cc1C(F)(F)F ZINC001182978919 1083856535 /nfs/dbraw/zinc/85/65/35/1083856535.db2.gz QBZXJHCAVIEQIX-UHFFFAOYSA-N 0 1 301.308 3.500 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)C(CC)CC)C1 ZINC001481401807 1083868370 /nfs/dbraw/zinc/86/83/70/1083868370.db2.gz PNTJSRFZYDXRAP-AEFFLSMTSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCC=CCCC2)C1 ZINC001481401596 1083868767 /nfs/dbraw/zinc/86/87/67/1083868767.db2.gz OFGUTSPKQNJTID-RTBURBONSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001481407090 1083877383 /nfs/dbraw/zinc/87/73/83/1083877383.db2.gz ZZEZJZYZDADHAN-QGZVFWFLSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CC[C@H](CC)NS(=O)(=O)c1cc(C(F)(F)F)ccc1O ZINC001211679728 1083921982 /nfs/dbraw/zinc/92/19/82/1083921982.db2.gz QTVHYVUNYRXZGY-JTQLQIEISA-N 0 1 323.336 3.044 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](C)C2CCCC2)C1 ZINC001481457283 1083948539 /nfs/dbraw/zinc/94/85/39/1083948539.db2.gz RZVGGVPWPWGNFJ-GJZGRUSLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001183602632 1083986274 /nfs/dbraw/zinc/98/62/74/1083986274.db2.gz WNFMWVBDCGSYLA-RXYHWBRQSA-N 0 1 322.811 3.172 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C=C(C)C)C1 ZINC001481508122 1084033866 /nfs/dbraw/zinc/03/38/66/1084033866.db2.gz FJBVYXSGGZPXAU-FQEVSTJZSA-N 0 1 324.468 3.469 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001268051414 1084034252 /nfs/dbraw/zinc/03/42/52/1084034252.db2.gz WSVJJFPOARERPN-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001481532250 1084090643 /nfs/dbraw/zinc/09/06/43/1084090643.db2.gz BQUXHBNQMXWUEI-OLZOCXBDSA-N 0 1 319.276 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001481539408 1084113274 /nfs/dbraw/zinc/11/32/74/1084113274.db2.gz KSLSSQWZNLUFHN-MSOLQXFVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(C)cc(F)cc1C ZINC001268078398 1084113329 /nfs/dbraw/zinc/11/33/29/1084113329.db2.gz YXABFJMNOONERY-ZDUSSCGKSA-N 0 1 312.816 3.245 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)CCCC1CC1 ZINC001268082381 1084123412 /nfs/dbraw/zinc/12/34/12/1084123412.db2.gz WCVYEOPPOZUJSR-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)c1ccsc1 ZINC001268084108 1084125970 /nfs/dbraw/zinc/12/59/70/1084125970.db2.gz KLNVLCQJHRRNHZ-RYUDHWBXSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)c1ccccc1F ZINC001268090479 1084139638 /nfs/dbraw/zinc/13/96/38/1084139638.db2.gz URVHFKNEFJSQKH-OLZOCXBDSA-N 0 1 312.816 3.118 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001268093457 1084151855 /nfs/dbraw/zinc/15/18/55/1084151855.db2.gz QDXJSJHCAJFSRV-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccnc3ccccc32)C1 ZINC001481570004 1084153893 /nfs/dbraw/zinc/15/38/93/1084153893.db2.gz ZULXSJWEMNPLHZ-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001481590013 1084231152 /nfs/dbraw/zinc/23/11/52/1084231152.db2.gz OTNBRNPUWUAABZ-CABCVRRESA-N 0 1 318.436 3.474 20 30 DGEDMN N#Cc1cccc2c(CN3CC4(C3)C[C@H](F)CS4)c[nH]c21 ZINC001139705814 1084253778 /nfs/dbraw/zinc/25/37/78/1084253778.db2.gz FPGVGRHUEAHFIM-ZDUSSCGKSA-N 0 1 301.390 3.069 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(CC)s1 ZINC001273492834 1084271393 /nfs/dbraw/zinc/27/13/93/1084271393.db2.gz OWGBXDOKAYXZOE-UHFFFAOYSA-N 0 1 300.855 3.115 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001185051100 1084322291 /nfs/dbraw/zinc/32/22/91/1084322291.db2.gz XWUBCLUCWBGARR-CZUORRHYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001185064456 1084328832 /nfs/dbraw/zinc/32/88/32/1084328832.db2.gz OUGMGGUPOGBJDT-HUUCEWRRSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001185071523 1084343430 /nfs/dbraw/zinc/34/34/30/1084343430.db2.gz XRVAVUKZXFSJEP-DZGCQCFKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001481642998 1084348928 /nfs/dbraw/zinc/34/89/28/1084348928.db2.gz SCQVOHOLNPNKDP-FQEVSTJZSA-N 0 1 314.473 3.399 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001268224515 1084354072 /nfs/dbraw/zinc/35/40/72/1084354072.db2.gz LDLDTYDLGVRJFU-YOEHRIQHSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001185296446 1084408658 /nfs/dbraw/zinc/40/86/58/1084408658.db2.gz OJCSOENKDSTWOF-CQSZACIVSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCc1ccc(C(=O)Nc2ccc3c(C)[nH]nc3c2)c(=O)[nH]1 ZINC001185364439 1084431388 /nfs/dbraw/zinc/43/13/88/1084431388.db2.gz MQRZTVRHNUDKMC-UHFFFAOYSA-N 0 1 322.368 3.343 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@@H]1CNCc1nc(C)c(C)o1 ZINC001268274692 1084440036 /nfs/dbraw/zinc/44/00/36/1084440036.db2.gz COWNYJGOKFVMDT-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001481702202 1084490282 /nfs/dbraw/zinc/49/02/82/1084490282.db2.gz JPIISVPXTYAEAJ-RHSMWYFYSA-N 0 1 316.420 3.127 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481739297 1084555488 /nfs/dbraw/zinc/55/54/88/1084555488.db2.gz VIGZUELUHGTLSX-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001185969016 1084561529 /nfs/dbraw/zinc/56/15/29/1084561529.db2.gz UCXQLQPDMXVERX-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC001185968975 1084561907 /nfs/dbraw/zinc/56/19/07/1084561907.db2.gz RGZMEYCZWOEBJS-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccc(C#N)cc1 ZINC001648946802 1084586443 /nfs/dbraw/zinc/58/64/43/1084586443.db2.gz UGLAOJBUGAZPHA-ZDUSSCGKSA-N 0 1 303.369 3.194 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001268432653 1084594165 /nfs/dbraw/zinc/59/41/65/1084594165.db2.gz GMFQJEWSYHQFAI-UHFFFAOYSA-N 0 1 306.837 3.039 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(F)cc2ccoc21 ZINC001481825435 1084652783 /nfs/dbraw/zinc/65/27/83/1084652783.db2.gz GKJDFUFFFTVFCL-ZDUSSCGKSA-N 0 1 324.783 3.422 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)[C@H](C)C(C)(C)C ZINC001268463468 1084656404 /nfs/dbraw/zinc/65/64/04/1084656404.db2.gz YJLVNFMBDJGYOU-HNNXBMFYSA-N 0 1 315.461 3.179 20 30 DGEDMN C[C@](CNCc1ccccc1C#N)(NC(=O)C=C1CCC1)C1CC1 ZINC001481926139 1084709128 /nfs/dbraw/zinc/70/91/28/1084709128.db2.gz TWPMKNQHAATSBW-HXUWFJFHSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CCNCc2csc(C)n2)C1 ZINC001481969644 1084740564 /nfs/dbraw/zinc/74/05/64/1084740564.db2.gz LKFKGJAWJMRLNI-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)CC1(C)CC1 ZINC001273534532 1084750531 /nfs/dbraw/zinc/75/05/31/1084750531.db2.gz BWOGCIHUDWPNLP-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2C[C@H]2CC)cc1 ZINC001482034075 1084786171 /nfs/dbraw/zinc/78/61/71/1084786171.db2.gz FNVZEAMRZBRPBI-HZPDHXFCSA-N 0 1 306.837 3.191 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1cc(F)ccc1Cl ZINC001268527246 1084786446 /nfs/dbraw/zinc/78/64/46/1084786446.db2.gz ZUDYWWFUOWBMRF-PLNGDYQASA-N 0 1 310.800 3.207 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(F)F)c(F)c1 ZINC001268662426 1084926051 /nfs/dbraw/zinc/92/60/51/1084926051.db2.gz KJNPXABIGAVYKZ-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001268688118 1084947328 /nfs/dbraw/zinc/94/73/28/1084947328.db2.gz UUXUFHMXRVAGOH-UONOGXRCSA-N 0 1 315.417 3.339 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)c(OC)c1 ZINC001268722766 1084983381 /nfs/dbraw/zinc/98/33/81/1084983381.db2.gz CEHCKRGHSQAYTM-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN(C)Cc2sc(C)nc2C)C1 ZINC001268748418 1085013345 /nfs/dbraw/zinc/01/33/45/1085013345.db2.gz ZDMLAWXRNQXZPS-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)CCCc1ccccc1 ZINC001268759615 1085036499 /nfs/dbraw/zinc/03/64/99/1085036499.db2.gz MSBUYJARZCMIGX-ZWKOTPCHSA-N 0 1 314.473 3.105 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001268917518 1085078807 /nfs/dbraw/zinc/07/88/07/1085078807.db2.gz WQOYFFDAMGSNMF-QGZVFWFLSA-N 0 1 314.473 3.270 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001268911065 1085078984 /nfs/dbraw/zinc/07/89/84/1085078984.db2.gz HIGQAEKOLKAAJK-UHFFFAOYSA-N 0 1 316.489 3.073 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCCCc1ccccc1 ZINC001268964928 1085088482 /nfs/dbraw/zinc/08/84/82/1085088482.db2.gz HDOSPJLIQOIJSK-OALUTQOASA-N 0 1 312.457 3.261 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001269159796 1085170870 /nfs/dbraw/zinc/17/08/70/1085170870.db2.gz WVFLWEVHNXWGSP-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001269228172 1085220835 /nfs/dbraw/zinc/22/08/35/1085220835.db2.gz ZHFGROFCMDLEQB-CRAIPNDOSA-N 0 1 313.445 3.027 20 30 DGEDMN C[C@@H](c1ccccc1)[N@H+]1CC[C@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001269241201 1085230232 /nfs/dbraw/zinc/23/02/32/1085230232.db2.gz SCDXKCIUEKYWQF-WMZOPIPTSA-N 0 1 312.457 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(Cl)c1C ZINC001269407543 1085372066 /nfs/dbraw/zinc/37/20/66/1085372066.db2.gz FCNCOTRTTCGUPV-GFCCVEGCSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](CC)CC(F)(F)F ZINC001269491394 1085420018 /nfs/dbraw/zinc/42/00/18/1085420018.db2.gz DBBFSGUEROCMCF-QWRGUYRKSA-N 0 1 314.779 3.202 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CCCC ZINC001269579049 1085473263 /nfs/dbraw/zinc/47/32/63/1085473263.db2.gz HJWBPDODLUGUKG-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CCCC ZINC001269579048 1085473517 /nfs/dbraw/zinc/47/35/17/1085473517.db2.gz HJWBPDODLUGUKG-KSZLIROESA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2nc(C)cs2)CCCC1 ZINC001269572097 1085474392 /nfs/dbraw/zinc/47/43/92/1085474392.db2.gz HAGUNZZDPREUIB-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(CNCc2cscn2)CCCC1 ZINC001269572132 1085474491 /nfs/dbraw/zinc/47/44/91/1085474491.db2.gz IFMNPZFXHDSBMV-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN Cc1ccc(C[N@H+]2CC[C@H]3CC[C@@H](C2)N3C(=O)C#CC(C)C)cc1 ZINC001269589624 1085482267 /nfs/dbraw/zinc/48/22/67/1085482267.db2.gz LZEOFXQCZHDVJC-UXHICEINSA-N 0 1 324.468 3.220 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001284629408 1085567644 /nfs/dbraw/zinc/56/76/44/1085567644.db2.gz BSUCWODBQUAEFA-ZDUSSCGKSA-N 0 1 323.506 3.366 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cccc(CC)c2)C1 ZINC001269812523 1085573741 /nfs/dbraw/zinc/57/37/41/1085573741.db2.gz JIDNKHQYTDKZGW-MRXNPFEDSA-N 0 1 314.473 3.289 20 30 DGEDMN C[C@@H](CNCc1ccc(C#N)cc1F)N(C)C(=O)CC(C)(C)C ZINC001482254728 1085630657 /nfs/dbraw/zinc/63/06/57/1085630657.db2.gz IAMBFKAFZWJQNF-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C)c(F)c1 ZINC001270091594 1085687633 /nfs/dbraw/zinc/68/76/33/1085687633.db2.gz QKPARKKXFFDGFE-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1CCN(Cc2cncs2)CC1 ZINC001270131117 1085703579 /nfs/dbraw/zinc/70/35/79/1085703579.db2.gz LLEJDXCEPSWQRH-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C[C@@H](C#N)c1cccc(C(=O)N2CC3(CCN3C3CCCC3)C2)c1 ZINC001270139645 1085707478 /nfs/dbraw/zinc/70/74/78/1085707478.db2.gz XZDYXFDVMOYEJG-HNNXBMFYSA-N 0 1 323.440 3.157 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1F ZINC001482274341 1085742213 /nfs/dbraw/zinc/74/22/13/1085742213.db2.gz VJOQAKCIHHDXPX-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCN(C(=O)[C@H](C)[C@@H](C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001270351856 1085781344 /nfs/dbraw/zinc/78/13/44/1085781344.db2.gz WNSHDELLNGWFGZ-QUAUAXPMSA-N 0 1 324.896 3.347 20 30 DGEDMN CCCCCCCCC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC001625929765 1085896713 /nfs/dbraw/zinc/89/67/13/1085896713.db2.gz DSQINOMKGAJIDN-JKSUJKDBSA-N 0 1 312.523 3.433 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3ccccc3c1)C2 ZINC001098267089 1086023288 /nfs/dbraw/zinc/02/32/88/1086023288.db2.gz DBDSTZWABOTOCP-QRVBRYPASA-N 0 1 306.409 3.361 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C2CC2)nc1C ZINC001482334166 1086029417 /nfs/dbraw/zinc/02/94/17/1086029417.db2.gz NHLXUTXKYVZBKC-GFCCVEGCSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@@H](F)CC)cc1 ZINC001280354233 1086243931 /nfs/dbraw/zinc/24/39/31/1086243931.db2.gz LIPQXUKNKLCLTH-WFASDCNBSA-N 0 1 312.816 3.454 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2c(C)cc(C)cc2C)C1 ZINC001271007375 1086244890 /nfs/dbraw/zinc/24/48/90/1086244890.db2.gz NYHGDIVRVBSYSY-HXUWFJFHSA-N 0 1 312.457 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001271043716 1086263544 /nfs/dbraw/zinc/26/35/44/1086263544.db2.gz MDALIRXPJFLUAO-QILLFSRXSA-N 0 1 320.864 3.153 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(F)c3ccccc3c2)[C@H]1CC ZINC001087594850 1086321720 /nfs/dbraw/zinc/32/17/20/1086321720.db2.gz CHWMOQYWCWSPBN-RBUKOAKNSA-N 0 1 324.399 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(Cl)ccc2F)[C@H]1CC ZINC001087647674 1086339079 /nfs/dbraw/zinc/33/90/79/1086339079.db2.gz DIQWNCSUSFMRNX-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H]1CC ZINC001087658452 1086344108 /nfs/dbraw/zinc/34/41/08/1086344108.db2.gz FBXDDOJNXMOJND-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@H]1CC ZINC001087672714 1086351310 /nfs/dbraw/zinc/35/13/10/1086351310.db2.gz GAJUWAVVONOTDE-ZWKOTPCHSA-N 0 1 324.424 3.266 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(F)ccc(C)c2F)[C@H]1CC ZINC001087713037 1086374542 /nfs/dbraw/zinc/37/45/42/1086374542.db2.gz OSEHIGRJOLSEND-UONOGXRCSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001271136826 1086381269 /nfs/dbraw/zinc/38/12/69/1086381269.db2.gz KGAJVRIVAILBFX-BHYGNILZSA-N 0 1 320.864 3.288 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(F)ccc2Cl)[C@H]1CC ZINC001087738834 1086388790 /nfs/dbraw/zinc/38/87/90/1086388790.db2.gz WGRZLQGXRAZHLH-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc(C(C)C)n2)[C@H]1CC ZINC001087764570 1086403270 /nfs/dbraw/zinc/40/32/70/1086403270.db2.gz WWIMOHAEAWAKJP-GXTWGEPZSA-N 0 1 307.463 3.035 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1CC ZINC001087774355 1086404589 /nfs/dbraw/zinc/40/45/89/1086404589.db2.gz LXQCVRYHMYGLTJ-XLAORIBOSA-N 0 1 304.478 3.051 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ncsc2C(C)C)[C@H]1CC ZINC001087792636 1086411346 /nfs/dbraw/zinc/41/13/46/1086411346.db2.gz PENWCTRSWHTBNE-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCN1Cc1ccc(C#N)s1 ZINC001087805965 1086417988 /nfs/dbraw/zinc/41/79/88/1086417988.db2.gz GIMWFWCOVMSARV-LSDHHAIUSA-N 0 1 319.474 3.135 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(C3CC3)CC2)[C@H]1CC ZINC001087814917 1086423989 /nfs/dbraw/zinc/42/39/89/1086423989.db2.gz JICPIJNEOCCBAR-WZOJCFFYSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)cc(C)cc2C)[C@H]1CC ZINC001087820969 1086427339 /nfs/dbraw/zinc/42/73/39/1086427339.db2.gz IPYJOOWYXUAYDQ-ZWKOTPCHSA-N 0 1 312.457 3.218 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(C)C(C)(C)C2(C)C)[C@H]1CC ZINC001087850095 1086447495 /nfs/dbraw/zinc/44/74/95/1086447495.db2.gz MKCNELFZKCPKHO-LSDHHAIUSA-N 0 1 304.478 3.051 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1c[nH]nn1)Cc1ccc(C#N)cc1 ZINC001203212035 1086646995 /nfs/dbraw/zinc/64/69/95/1086646995.db2.gz NNKFISHWZKFOEG-HNNXBMFYSA-N 0 1 317.396 3.440 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1nccs1 ZINC001482491686 1086667744 /nfs/dbraw/zinc/66/77/44/1086667744.db2.gz PGFSXNHWXVQINI-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN CC(C)C(=O)N1CCCCC[C@H](NCc2ccccc2C#N)C1 ZINC001088266234 1086741912 /nfs/dbraw/zinc/74/19/12/1086741912.db2.gz XIDUKKHIUSFKBL-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCC(=O)NC[C@@H](NCc1cc(F)ccc1C#N)C(C)C ZINC001482515125 1086743793 /nfs/dbraw/zinc/74/37/93/1086743793.db2.gz ZPWVXFYYDRKLRJ-QGZVFWFLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCC(=O)N1CCC(CCN(C)Cc2ccns2)CC1 ZINC001271766882 1086759757 /nfs/dbraw/zinc/75/97/57/1086759757.db2.gz VTJWVYSYUNHHBK-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@@H](NCc2ocnc2C)C1 ZINC001088360034 1086860229 /nfs/dbraw/zinc/86/02/29/1086860229.db2.gz OUSWKCAYXGYDHI-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1nc(C)cs1)C(C)C ZINC001482555373 1086881851 /nfs/dbraw/zinc/88/18/51/1086881851.db2.gz UMZSNPVMYRJPTI-PBHICJAKSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001482930451 1087190371 /nfs/dbraw/zinc/19/03/71/1087190371.db2.gz FXFSKWUNKZXRQX-UHFFFAOYSA-N 0 1 324.896 3.249 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001482960075 1087200826 /nfs/dbraw/zinc/20/08/26/1087200826.db2.gz MWYHTZRUQYLDIT-MSOLQXFVSA-N 0 1 314.473 3.158 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(-c2ccco2)s1 ZINC001272144997 1087218877 /nfs/dbraw/zinc/21/88/77/1087218877.db2.gz GBVKTVHEJKQVPX-UHFFFAOYSA-N 0 1 316.426 3.035 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1c(C)nsc1C ZINC001483012100 1087234040 /nfs/dbraw/zinc/23/40/40/1087234040.db2.gz LFVRFDUXCUWZCS-FPLPWBNLSA-N 0 1 321.490 3.124 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2CC(C)(C)C)[C@H]1C ZINC001088649319 1087244288 /nfs/dbraw/zinc/24/42/88/1087244288.db2.gz VMKNBJGODJPJPH-QAPCUYQASA-N 0 1 312.457 3.101 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@H]1C ZINC001088680829 1087252565 /nfs/dbraw/zinc/25/25/65/1087252565.db2.gz DCHUUIQAZWAHCD-DOMZBBRYSA-N 0 1 322.811 3.003 20 30 DGEDMN O=C(C[C@@H]1CC=CCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001483042863 1087272130 /nfs/dbraw/zinc/27/21/30/1087272130.db2.gz BYSDXJCOWPHQKO-AQKVLALTSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCCC(C)C)CC[C@@H]21 ZINC001272216032 1087315810 /nfs/dbraw/zinc/31/58/10/1087315810.db2.gz NPHZIEAMHHLIKF-HOTGVXAUSA-N 0 1 312.885 3.488 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(CCCC)cc2)[C@H]1C ZINC001088722183 1087323366 /nfs/dbraw/zinc/32/33/66/1087323366.db2.gz NUOHUMCCHUQPFH-APWZRJJASA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(CCCC)cc2)[C@H]1C ZINC001088722059 1087323456 /nfs/dbraw/zinc/32/34/56/1087323456.db2.gz HSQOQEMFTHHPSX-QAPCUYQASA-N 0 1 300.446 3.408 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1ccc(F)cc1Cl ZINC001493524780 1087340249 /nfs/dbraw/zinc/34/02/49/1087340249.db2.gz LRBKVSVFMDUSET-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1[nH]ccc1-c1ccccc1 ZINC001483282617 1087456884 /nfs/dbraw/zinc/45/68/84/1087456884.db2.gz UQBOOJMRFJYBKF-ZDUSSCGKSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001483373694 1087484090 /nfs/dbraw/zinc/48/40/90/1087484090.db2.gz DYWJJHOIFBAVFY-SWLSCSKDSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(Cl)c(Cl)c1 ZINC001483408428 1087493762 /nfs/dbraw/zinc/49/37/62/1087493762.db2.gz KVLIBPMYKSZFPH-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCC[C@H]1CNCc1ccon1 ZINC001483435943 1087502492 /nfs/dbraw/zinc/50/24/92/1087502492.db2.gz YTNUARURMPCFEZ-HOCLYGCPSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1csc(C)n1)C1CC1 ZINC001483584910 1087530118 /nfs/dbraw/zinc/53/01/18/1087530118.db2.gz ALBRHWXRRKHTRG-NVXWUHKLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(C2CC2)c1)C1CC1 ZINC001483608252 1087534655 /nfs/dbraw/zinc/53/46/55/1087534655.db2.gz REMAHIWQQDKCBV-KRWDZBQOSA-N 0 1 318.848 3.415 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3occc3s2)[C@H]1C ZINC001088854408 1087581717 /nfs/dbraw/zinc/58/17/17/1087581717.db2.gz PCXGHKKIKUEWDF-NEPJUHHUSA-N 0 1 304.415 3.263 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1scnc1C ZINC001483632819 1087586467 /nfs/dbraw/zinc/58/64/67/1087586467.db2.gz TUDFCPSNKDMHQX-AWEZNQCLSA-N 0 1 309.479 3.226 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2O[C@@H](C)CC)[C@H]1C ZINC001088889842 1087599520 /nfs/dbraw/zinc/59/95/20/1087599520.db2.gz ODUJYEVKHCAZBD-UXLLHSPISA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)CCCC ZINC001099048308 1087603795 /nfs/dbraw/zinc/60/37/95/1087603795.db2.gz FBBPACLEWOUPSH-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(Cl)cc2Cl)[C@H]1C ZINC001088999271 1087617733 /nfs/dbraw/zinc/61/77/33/1087617733.db2.gz PMMTUECVZSMZTJ-YGRLFVJLSA-N 0 1 313.228 3.372 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001483673436 1087625543 /nfs/dbraw/zinc/62/55/43/1087625543.db2.gz QAQUTSXFGXELTR-WMZOPIPTSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cccc(F)c3o2)[C@H]1C ZINC001089051113 1087627856 /nfs/dbraw/zinc/62/78/56/1087627856.db2.gz BMGPXPZWRNDAMA-DOMZBBRYSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2cc(C)ccc2C)C1 ZINC001483679736 1087639507 /nfs/dbraw/zinc/63/95/07/1087639507.db2.gz NMBDODAOTJXZPY-GOSISDBHSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001272448013 1087708237 /nfs/dbraw/zinc/70/82/37/1087708237.db2.gz AGQHUGCDYCJVPP-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCC1(C)C ZINC001158322194 1087774947 /nfs/dbraw/zinc/77/49/47/1087774947.db2.gz SVAPEHMETRUVMZ-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCCc1cc(C)ccc1C ZINC001151870375 1087802605 /nfs/dbraw/zinc/80/26/05/1087802605.db2.gz XSFNZFHTSJRTGC-UHFFFAOYSA-N 0 1 308.853 3.084 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccoc1C1CC1 ZINC001158397187 1087807945 /nfs/dbraw/zinc/80/79/45/1087807945.db2.gz JADVURZXNVNJFO-MRXNPFEDSA-N 0 1 314.429 3.107 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CN(C)Cc1ccccn1 ZINC001158419490 1087822237 /nfs/dbraw/zinc/82/22/37/1087822237.db2.gz RUQRCIQPQAGONI-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC/C=C/c1ccccc1 ZINC001158468260 1087849432 /nfs/dbraw/zinc/84/94/32/1087849432.db2.gz PXOOZYXAHSTNSB-NBSXQYNISA-N 0 1 324.468 3.426 20 30 DGEDMN Cc1cccc2nc(C(=O)N[C@@H]3CCc4c3cccc4C#N)[nH]c21 ZINC001151993750 1087861006 /nfs/dbraw/zinc/86/10/06/1087861006.db2.gz HTESXUBACUVAKE-OAHLLOKOSA-N 0 1 316.364 3.160 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)c1ccc(Cl)cc1 ZINC001152010645 1087871581 /nfs/dbraw/zinc/87/15/81/1087871581.db2.gz JUXKUPZNAFOMIF-CQSZACIVSA-N 0 1 315.244 3.292 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(F)cc(Cl)c1 ZINC001158512665 1087885145 /nfs/dbraw/zinc/88/51/45/1087885145.db2.gz SOGIYIGNBFUEKS-MRXNPFEDSA-N 0 1 322.811 3.039 20 30 DGEDMN CCN1CCN(c2nc(Cl)cc(Cl)c2C#N)C(C)(C)C1 ZINC001158569281 1087917217 /nfs/dbraw/zinc/91/72/17/1087917217.db2.gz GSFDBDOIVPSULN-UHFFFAOYSA-N 0 1 313.232 3.181 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](CCC)C(C)C ZINC001158539798 1087901108 /nfs/dbraw/zinc/90/11/08/1087901108.db2.gz CLKXSHHEXNKTLL-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cccc(Cl)n1 ZINC001152352594 1087956293 /nfs/dbraw/zinc/95/62/93/1087956293.db2.gz HKCKSLQVJDXWFP-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](F)CC1CCCCC1 ZINC001158744487 1088002189 /nfs/dbraw/zinc/00/21/89/1088002189.db2.gz LJLLXPSIAMOKGA-MSOLQXFVSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1ccc(C)s1 ZINC001158744899 1088005236 /nfs/dbraw/zinc/00/52/36/1088005236.db2.gz WAYOOMMUBXFSRB-JKSUJKDBSA-N 0 1 318.486 3.106 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1ccccc1 ZINC001158768112 1088020244 /nfs/dbraw/zinc/02/02/44/1088020244.db2.gz IRCNOHZWMSKNFE-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1c(CC)oc2ccccc21 ZINC001152626000 1088024588 /nfs/dbraw/zinc/02/45/88/1088024588.db2.gz CJYHPGOAWIFCTC-UHFFFAOYSA-N 0 1 306.793 3.067 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(C)c1C ZINC001158811754 1088043838 /nfs/dbraw/zinc/04/38/38/1088043838.db2.gz QBIPJVGKASYSQR-GOSISDBHSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCCNC/C(Cl)=C/Cl ZINC001158857498 1088065653 /nfs/dbraw/zinc/06/56/53/1088065653.db2.gz QCZIKJVUNHKXMD-YFHOEESVSA-N 0 1 313.656 3.180 20 30 DGEDMN C=CC(C)(C)NC(=O)c1cc(Br)cc2nc[nH]c21 ZINC001158858330 1088071953 /nfs/dbraw/zinc/07/19/53/1088071953.db2.gz GUJVPZGRFQUPSD-UHFFFAOYSA-N 0 1 308.179 3.020 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1ccccc1Cl ZINC001272600374 1088110097 /nfs/dbraw/zinc/11/00/97/1088110097.db2.gz GKFPTICCJDALGK-GOSISDBHSA-N 0 1 318.848 3.483 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(F)c3ncccc3c2)c1 ZINC001152999693 1088133766 /nfs/dbraw/zinc/13/37/66/1088133766.db2.gz DZGZOKFBPLJUGI-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C=C(C)CCC(=O)NCCCNCc1csc(CCC)n1 ZINC001159020619 1088142897 /nfs/dbraw/zinc/14/28/97/1088142897.db2.gz CWDXPBJYWIUYDW-UHFFFAOYSA-N 0 1 309.479 3.048 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(F)cc(F)c1 ZINC001153025438 1088144992 /nfs/dbraw/zinc/14/49/92/1088144992.db2.gz WWQMBEPTTLTLMO-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(CC)Cc1cc(F)cc(F)c1 ZINC001153025438 1088145000 /nfs/dbraw/zinc/14/50/00/1088145000.db2.gz WWQMBEPTTLTLMO-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccc(Cl)cc1 ZINC001153025424 1088145287 /nfs/dbraw/zinc/14/52/87/1088145287.db2.gz VZSKQMQXFPZUAD-OAHLLOKOSA-N 0 1 320.864 3.470 20 30 DGEDMN C=C(C)CCC(=O)NCCCNCc1csc(CCCC)n1 ZINC001159040095 1088155211 /nfs/dbraw/zinc/15/52/11/1088155211.db2.gz GXVCINMJVVDKET-UHFFFAOYSA-N 0 1 323.506 3.438 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001153595100 1088295451 /nfs/dbraw/zinc/29/54/51/1088295451.db2.gz WIYXBEALHDWQPR-CQSZACIVSA-N 0 1 321.440 3.150 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001153595259 1088295956 /nfs/dbraw/zinc/29/59/56/1088295956.db2.gz ZNKMJQXDAVEOHC-OAHLLOKOSA-N 0 1 303.450 3.011 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3c(C#N)ccc(F)c3F)c2[nH]1 ZINC001153608378 1088301151 /nfs/dbraw/zinc/30/11/51/1088301151.db2.gz IIUOWENKVIHNFH-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1cccc(Cl)n1 ZINC001153641917 1088319028 /nfs/dbraw/zinc/31/90/28/1088319028.db2.gz LSNDTXCFUFBTHP-CQSZACIVSA-N 0 1 323.868 3.418 20 30 DGEDMN N#CC(C(=O)CSC1CC1)c1nc2c(cccc2Cl)[nH]1 ZINC001123249050 1088377655 /nfs/dbraw/zinc/37/76/55/1088377655.db2.gz WWOOQXHSOSXOND-VIFPVBQESA-N 0 1 305.790 3.288 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C2CC(C)C2)CC(C)(C)C1 ZINC001089355220 1088432777 /nfs/dbraw/zinc/43/27/77/1088432777.db2.gz AZJLICXTLJJWON-ZALBZXLWSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)CC(C)C ZINC001099291813 1088487672 /nfs/dbraw/zinc/48/76/72/1088487672.db2.gz NANLUJCCVJYXNX-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(F)CCCCC1 ZINC001099297820 1088498077 /nfs/dbraw/zinc/49/80/77/1088498077.db2.gz JDOWZHNRNVOOGN-HNNXBMFYSA-N 0 1 310.457 3.452 20 30 DGEDMN SCCCNc1ccc2c(n1)CCN(Cc1ccccc1)C2 ZINC001159870200 1088526528 /nfs/dbraw/zinc/52/65/28/1088526528.db2.gz MVDPUTQYTKTEOR-UHFFFAOYSA-N 0 1 313.470 3.372 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](CC)C(C)C ZINC001099311129 1088529940 /nfs/dbraw/zinc/52/99/40/1088529940.db2.gz YDSZDRVBAGFKBP-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCCCC(=O)NCC1(NCc2sccc2Cl)CC1 ZINC001483760000 1088550401 /nfs/dbraw/zinc/55/04/01/1088550401.db2.gz GBONXKBSWMHDBJ-UHFFFAOYSA-N 0 1 324.877 3.334 20 30 DGEDMN Cc1cnc(C)nc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001160100727 1088639672 /nfs/dbraw/zinc/63/96/72/1088639672.db2.gz QUGHCTPNAJMDOH-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN Cc1[nH]c(NC(=O)c2c(O)cnc3ccc(C)cc32)c(C#N)c1C ZINC001154527823 1088643378 /nfs/dbraw/zinc/64/33/78/1088643378.db2.gz JCRAREYUACKHBC-UHFFFAOYSA-N 0 1 320.352 3.318 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCCC2(CC2)C1 ZINC001272669596 1088735045 /nfs/dbraw/zinc/73/50/45/1088735045.db2.gz DPIFAWLOAFWCIP-QGZVFWFLSA-N 0 1 324.468 3.338 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001154751170 1088743059 /nfs/dbraw/zinc/74/30/59/1088743059.db2.gz PUHAFNFZTNPCRJ-HIFRSBDPSA-N 0 1 323.506 3.380 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2c[nH]cc2C)CC(C)(C)C1 ZINC001089559880 1088746663 /nfs/dbraw/zinc/74/66/63/1088746663.db2.gz SRKBAQVCIGTFSH-AWEZNQCLSA-N 0 1 323.868 3.296 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1CCC(=C)CC1 ZINC001272675806 1088828292 /nfs/dbraw/zinc/82/82/92/1088828292.db2.gz RPAAABCGOVRLFL-UHFFFAOYSA-N 0 1 310.441 3.114 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1CC2(CCC2)C1 ZINC001272676296 1088834045 /nfs/dbraw/zinc/83/40/45/1088834045.db2.gz ZOBXKCOMSLUWRY-UHFFFAOYSA-N 0 1 324.468 3.338 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(CC)ccn1 ZINC001089646328 1088878974 /nfs/dbraw/zinc/87/89/74/1088878974.db2.gz NSYWSUSOYJGYAU-INIZCTEOSA-N 0 1 315.461 3.050 20 30 DGEDMN CC[N@@H+](Cc1ccc(C#N)cc1)[C@H](C)CNC(=O)C1(CC)CC1 ZINC001155055899 1088921592 /nfs/dbraw/zinc/92/15/92/1088921592.db2.gz CCLJXRVPIWZLSZ-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001155073420 1088932105 /nfs/dbraw/zinc/93/21/05/1088932105.db2.gz WUFZWIBYKUKUCS-OCCSQVGLSA-N 0 1 308.388 3.368 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001483834252 1088936502 /nfs/dbraw/zinc/93/65/02/1088936502.db2.gz ZPMFVSRQENLIJC-VTSXBNNFSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)Cc2scnc2C)C1 ZINC001155132623 1088966705 /nfs/dbraw/zinc/96/67/05/1088966705.db2.gz QDDZQPSWWWEBIA-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)COC2CCC(C)CC2)C1 ZINC001483894478 1088994832 /nfs/dbraw/zinc/99/48/32/1088994832.db2.gz LCWGRBDERNQUCX-PQUAAJSLSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1=CCN(CCC(F)(F)F)CC1 ZINC001160888245 1089003944 /nfs/dbraw/zinc/00/39/44/1089003944.db2.gz CSTJTEDZFUYGJG-UHFFFAOYSA-N 0 1 318.383 3.289 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001483934822 1089018162 /nfs/dbraw/zinc/01/81/62/1089018162.db2.gz QNWVVQFCASJJBS-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160940927 1089031589 /nfs/dbraw/zinc/03/15/89/1089031589.db2.gz IOXAPYBPAKBDET-KOMYPQRHSA-N 0 1 310.869 3.336 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1sccc1CC ZINC001483956908 1089047514 /nfs/dbraw/zinc/04/75/14/1089047514.db2.gz WXBWFHMPSBGKSM-CYBMUJFWSA-N 0 1 312.866 3.257 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)C1CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001483985074 1089071118 /nfs/dbraw/zinc/07/11/18/1089071118.db2.gz JZYHCGFDWPSPDL-RNOHYWCBSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C)nc1)C1CCCC1 ZINC001483994452 1089081118 /nfs/dbraw/zinc/08/11/18/1089081118.db2.gz XNADQPCKXYRINR-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001089675761 1089114688 /nfs/dbraw/zinc/11/46/88/1089114688.db2.gz PFNMVKBGRKSMJR-FJIDUMEYSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001155489888 1089133981 /nfs/dbraw/zinc/13/39/81/1089133981.db2.gz MINLVDNPTCMPFV-OAHLLOKOSA-N 0 1 317.477 3.319 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC1(C)C ZINC001089683938 1089159114 /nfs/dbraw/zinc/15/91/14/1089159114.db2.gz KPXXISWPFZBIFE-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(F)c1F ZINC001089687462 1089167603 /nfs/dbraw/zinc/16/76/03/1089167603.db2.gz KJHRNVDEDSXVBJ-CYBMUJFWSA-N 0 1 322.399 3.371 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001161343419 1089199842 /nfs/dbraw/zinc/19/98/42/1089199842.db2.gz CLVNDQZMWYVIHA-MRXNPFEDSA-N 0 1 310.457 3.475 20 30 DGEDMN N#Cc1c(Cl)c2ccccc2nc1N[C@H]1CN2CCC1CC2 ZINC001155666971 1089206368 /nfs/dbraw/zinc/20/63/68/1089206368.db2.gz DENDAOSLRTWCCF-HNNXBMFYSA-N 0 1 312.804 3.266 20 30 DGEDMN C=C(C)CCC(=O)NCC1(C)CCN(Cc2cc(C)no2)CC1 ZINC001484137511 1089215786 /nfs/dbraw/zinc/21/57/86/1089215786.db2.gz PNGZULKGEYSGKX-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CC2(C)CCCCC2)C1 ZINC001484163400 1089251503 /nfs/dbraw/zinc/25/15/03/1089251503.db2.gz QMMREZMYDCEFQJ-LJQANCHMSA-N 0 1 304.478 3.198 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001484175255 1089272613 /nfs/dbraw/zinc/27/26/13/1089272613.db2.gz UVSCIDIWBLZCJB-VQIMIIECSA-N 0 1 306.494 3.300 20 30 DGEDMN COc1cc2nc(Cl)c(N=C(C)CC(C)=O)nc2cc1OC ZINC001155890388 1089287753 /nfs/dbraw/zinc/28/77/53/1089287753.db2.gz OGTZGBNXEXEBLQ-YVMONPNESA-N 0 1 321.764 3.205 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(C(=O)NC(C)(C)C)cc(Cl)n1 ZINC001155890239 1089289270 /nfs/dbraw/zinc/28/92/70/1089289270.db2.gz HDYQMYANONGOKQ-TWGQIWQCSA-N 0 1 309.797 3.168 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cnoc1C(C)C ZINC001089720585 1089295104 /nfs/dbraw/zinc/29/51/04/1089295104.db2.gz ZOPHBQWNPJUUNX-CQSZACIVSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1OC ZINC001089726322 1089306156 /nfs/dbraw/zinc/30/61/56/1089306156.db2.gz LDTBZDDYEOMAAC-OAHLLOKOSA-N 0 1 316.445 3.102 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CCC=CCC1 ZINC001089735054 1089315131 /nfs/dbraw/zinc/31/51/31/1089315131.db2.gz FHZVHYLLINTMET-GOSISDBHSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H]2CCCC(C)(C)C2)CC1 ZINC001161705380 1089360855 /nfs/dbraw/zinc/36/08/55/1089360855.db2.gz RNZPJKFZCWTISW-GOSISDBHSA-N 0 1 316.489 3.365 20 30 DGEDMN CCN1CCCC[C@@H]1C(=O)N(O)CCCCc1ccccc1 ZINC001161819929 1089422098 /nfs/dbraw/zinc/42/20/98/1089422098.db2.gz YKYOACAOXLIXAB-QGZVFWFLSA-N 0 1 304.434 3.102 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C[C@H](CC)C(C)C ZINC001484466450 1089436165 /nfs/dbraw/zinc/43/61/65/1089436165.db2.gz UBOQRBAKXUILQW-OKZBNKHCSA-N 0 1 304.478 3.147 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001156240465 1089445913 /nfs/dbraw/zinc/44/59/13/1089445913.db2.gz CKXIZAHHDSCJNF-QGZVFWFLSA-N 0 1 314.473 3.105 20 30 DGEDMN N#CN=C(Nc1nccnc1Oc1ccccc1)c1ccncc1 ZINC001156325856 1089478435 /nfs/dbraw/zinc/47/84/35/1089478435.db2.gz LHXOLWFQDPZHCT-UHFFFAOYSA-N 0 1 316.324 3.004 20 30 DGEDMN CC/C=C(\C)C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001484627924 1089552769 /nfs/dbraw/zinc/55/27/69/1089552769.db2.gz YRQAKLZDJDTBIP-VIZOYTHASA-N 0 1 311.429 3.043 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cc(F)ccc2F)CCC1 ZINC001484646379 1089561807 /nfs/dbraw/zinc/56/18/07/1089561807.db2.gz MMFMMXWGCNOPON-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](CCC)C(C)C)CCC1 ZINC001484653149 1089570269 /nfs/dbraw/zinc/57/02/69/1089570269.db2.gz MEAXETQUYPQNJS-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001484715515 1089605381 /nfs/dbraw/zinc/60/53/81/1089605381.db2.gz RAXNMQIFDDBBDI-HXUWFJFHSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@H](C)NCc1csc(C)n1 ZINC001484998677 1089819822 /nfs/dbraw/zinc/81/98/22/1089819822.db2.gz VPGXQXIYMKFKHU-BLLLJJGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NCc1csc(C)n1 ZINC001484998678 1089820277 /nfs/dbraw/zinc/82/02/77/1089820277.db2.gz VPGXQXIYMKFKHU-LRDDRELGSA-N 0 1 309.479 3.038 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@H]1C=CCC1 ZINC001485003763 1089839971 /nfs/dbraw/zinc/83/99/71/1089839971.db2.gz AXOYISCVCUPMKG-FXAWDEMLSA-N 0 1 322.452 3.082 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)CCC ZINC001162666142 1089870147 /nfs/dbraw/zinc/87/01/47/1089870147.db2.gz BLVDQMANIJKQJV-UHFFFAOYSA-N 0 1 308.853 3.200 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)C1CCC1 ZINC001162666863 1089871448 /nfs/dbraw/zinc/87/14/48/1089871448.db2.gz WGGAUGACQFUCQY-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN N#Cc1nc(NCCc2nc3ccc(F)cc3[nH]2)sc1Cl ZINC001162701185 1089891753 /nfs/dbraw/zinc/89/17/53/1089891753.db2.gz RWAIMXHNSJTPAC-UHFFFAOYSA-N 0 1 321.768 3.338 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001485072390 1089921943 /nfs/dbraw/zinc/92/19/43/1089921943.db2.gz FYDHZVVCPXPQBA-RDJZCZTQSA-N 0 1 324.424 3.092 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC001485181309 1090007528 /nfs/dbraw/zinc/00/75/28/1090007528.db2.gz KTAXTMXECMLCDZ-LSDHHAIUSA-N 0 1 320.481 3.049 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc(C)cs1 ZINC001485202581 1090017326 /nfs/dbraw/zinc/01/73/26/1090017326.db2.gz UUZMTVDRPIFNTC-STQMWFEESA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(c2ccccc2F)CC1 ZINC001485208066 1090030778 /nfs/dbraw/zinc/03/07/78/1090030778.db2.gz OHCWAZWBQANGFR-STQMWFEESA-N 0 1 324.827 3.093 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccccc1Cl ZINC001485213496 1090033499 /nfs/dbraw/zinc/03/34/99/1090033499.db2.gz AUTHPGNAMHPXEX-QWRGUYRKSA-N 0 1 301.217 3.189 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCC(NCc2cc(C)on2)CC1 ZINC001485242314 1090051337 /nfs/dbraw/zinc/05/13/37/1090051337.db2.gz YNZXQBGBDGNVIY-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)CCC(F)(F)F ZINC001157705992 1090081252 /nfs/dbraw/zinc/08/12/52/1090081252.db2.gz QJTKHVUZLDHDKH-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001485296736 1090087569 /nfs/dbraw/zinc/08/75/69/1090087569.db2.gz DNABPASOUIGSGB-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001485335939 1090107724 /nfs/dbraw/zinc/10/77/24/1090107724.db2.gz FXZFTLMTTZEIHE-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN N#Cc1ccc2c(c1)CN(C(=O)c1cc(-c3cccs3)[nH]n1)C2 ZINC001163382376 1090172029 /nfs/dbraw/zinc/17/20/29/1090172029.db2.gz DXPSMFOLHFTZMX-UHFFFAOYSA-N 0 1 320.377 3.166 20 30 DGEDMN C=CCC1(NC(=O)c2ccc(Cc3nc[nH]n3)cc2)CCCCC1 ZINC001158108040 1090184300 /nfs/dbraw/zinc/18/43/00/1090184300.db2.gz ABGUGBKNXSXDJF-UHFFFAOYSA-N 0 1 324.428 3.404 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(CCCCC(F)(F)F)C1 ZINC001485500108 1090192899 /nfs/dbraw/zinc/19/28/99/1090192899.db2.gz WJNGAMBKSFGHLE-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(Cl)cc1F ZINC001158194841 1090199241 /nfs/dbraw/zinc/19/92/41/1090199241.db2.gz KIGOPUXSVHTBHS-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001485517980 1090199296 /nfs/dbraw/zinc/19/92/96/1090199296.db2.gz TWOLOHJLVRUHEY-XLNAKTSKSA-N 0 1 312.457 3.493 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CC)CC2CCCCC2)C(C)(C)C1 ZINC001485578166 1090216822 /nfs/dbraw/zinc/21/68/22/1090216822.db2.gz RRCJUMNDKZCSKN-ZWKOTPCHSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)Cc2ccc(F)cc2)C(C)(C)C1 ZINC001485584082 1090219056 /nfs/dbraw/zinc/21/90/56/1090219056.db2.gz GKVXNXXFEXBPPV-WMLDXEAASA-N 0 1 318.436 3.017 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](C)CCC(C)(C)C)C2)C1 ZINC001485665703 1090235263 /nfs/dbraw/zinc/23/52/63/1090235263.db2.gz DCYYDQXNBQAGLP-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN CC(=O)C=C(C)Nc1cncc2cc(Br)cnc21 ZINC001163738625 1090245916 /nfs/dbraw/zinc/24/59/16/1090245916.db2.gz AYGSMQXSQMTVSU-BAQGIRSFSA-N 0 1 306.163 3.297 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1nc2ccccc2o1 ZINC001163855721 1090274929 /nfs/dbraw/zinc/27/49/29/1090274929.db2.gz PLRPFJAGCYFAJU-UHFFFAOYSA-N 0 1 315.417 3.026 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001163963502 1090300427 /nfs/dbraw/zinc/30/04/27/1090300427.db2.gz BEAKMMOUCBGKAH-ZDUSSCGKSA-N 0 1 321.465 3.341 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001124587562 1090309395 /nfs/dbraw/zinc/30/93/95/1090309395.db2.gz JVLXFRYLJGKJMO-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCCCCCCCN1CC2(C1)COCC(=O)N2CC(=C)C ZINC001272710169 1090313169 /nfs/dbraw/zinc/31/31/69/1090313169.db2.gz TVLDUWZCRIDSKL-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN COc1ccc2nc(SCc3nc(C#N)cs3)[nH]c2c1 ZINC001164459240 1090426603 /nfs/dbraw/zinc/42/66/03/1090426603.db2.gz VQRZGWCMXKOKTH-UHFFFAOYSA-N 0 1 302.384 3.192 20 30 DGEDMN Cc1ccc2c(Cl)nnc(N=C(NC#N)c3ccncc3)c2c1 ZINC001164505941 1090434044 /nfs/dbraw/zinc/43/40/44/1090434044.db2.gz QFODYCTXATVOAK-UHFFFAOYSA-N 0 1 322.759 3.136 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC1(CNCc2nccs2)CC1 ZINC001165215181 1090480854 /nfs/dbraw/zinc/48/08/54/1090480854.db2.gz KPOGPUDITPIPNQ-UHFFFAOYSA-N 0 1 321.490 3.122 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)CCCC)C1 ZINC001107748968 1090497183 /nfs/dbraw/zinc/49/71/83/1090497183.db2.gz WHDFVUOQJRTTKJ-GOSISDBHSA-N 0 1 312.498 3.354 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CN(CCC2CCCCC2)CCO1 ZINC001107801962 1090506267 /nfs/dbraw/zinc/50/62/67/1090506267.db2.gz FOZMMVRIKUYOQA-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C2CCCCCC2)C1 ZINC001107800455 1090507119 /nfs/dbraw/zinc/50/71/19/1090507119.db2.gz SPOFMSNAQSDYOF-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@H](C)C2CC2)C1 ZINC001107849581 1090515373 /nfs/dbraw/zinc/51/53/73/1090515373.db2.gz ZCHPNFSIZDBNDH-VQIMIIECSA-N 0 1 324.509 3.210 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C=C2CCC2)C1 ZINC001107862067 1090519367 /nfs/dbraw/zinc/51/93/67/1090519367.db2.gz IKNANTGTSGGGHN-IBGZPJMESA-N 0 1 322.493 3.274 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C2=CCCCCC2)C1 ZINC001107895287 1090529811 /nfs/dbraw/zinc/52/98/11/1090529811.db2.gz LTNIWNCDUIAEDM-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1c(F)cccc1F ZINC001165922017 1090561637 /nfs/dbraw/zinc/56/16/37/1090561637.db2.gz YOFSCDXMBAFNQW-KRWDZBQOSA-N 0 1 310.388 3.163 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1oc(C(C)C)nc1C ZINC001128085446 1090646508 /nfs/dbraw/zinc/64/65/08/1090646508.db2.gz SZBGKNMEHXBBDO-UHFFFAOYSA-N 0 1 319.449 3.081 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCC1(CNCc2nccs2)CC1 ZINC001166755355 1090651901 /nfs/dbraw/zinc/65/19/01/1090651901.db2.gz INWRJJAUITWJBV-CQSZACIVSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2ccc3occc3c2)C1=O ZINC001272879973 1090665920 /nfs/dbraw/zinc/66/59/20/1090665920.db2.gz AOFZQPPMIKVWSV-IBGZPJMESA-N 0 1 310.397 3.186 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC1(CNCc2cscn2)CC1 ZINC001167018521 1090695838 /nfs/dbraw/zinc/69/58/38/1090695838.db2.gz LSTAPKNVYIYGIK-MRXNPFEDSA-N 0 1 321.490 3.122 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1oc(CC)nc1C ZINC001485920184 1090728248 /nfs/dbraw/zinc/72/82/48/1090728248.db2.gz RJXJTNMPZWGWFB-UGSOOPFHSA-N 0 1 321.465 3.084 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001128158736 1090742657 /nfs/dbraw/zinc/74/26/57/1090742657.db2.gz UGWCANWZJNPMCP-UHFFFAOYSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)CCC(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@@H]1C ZINC001486103249 1090750829 /nfs/dbraw/zinc/75/08/29/1090750829.db2.gz ZUZORKZEVMNUOX-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2c(F)cccc2F)C[C@@H]1C ZINC001486109252 1090751557 /nfs/dbraw/zinc/75/15/57/1090751557.db2.gz WMLKEIYDKOPHCO-QWHCGFSZSA-N 0 1 308.372 3.010 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)[C@H]1C ZINC001486173638 1090774468 /nfs/dbraw/zinc/77/44/68/1090774468.db2.gz KRGHKJKVWREIOZ-BPSKIFTJSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CC(C2CCC2)C2CCC2)[C@@H]1C ZINC001486179894 1090777725 /nfs/dbraw/zinc/77/77/25/1090777725.db2.gz FOXCWAJATAILCA-HNAYVOBHSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001486233353 1090801363 /nfs/dbraw/zinc/80/13/63/1090801363.db2.gz JSMODSSCAXOYME-LBPRGKRZSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc2sccc2c1)C(C)C ZINC001486377575 1090814402 /nfs/dbraw/zinc/81/44/02/1090814402.db2.gz GNQBRPHAXXKSMT-UHFFFAOYSA-N 0 1 314.454 3.317 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](CNC/C(Cl)=C/Cl)C(C)(C)C ZINC001486395399 1090816716 /nfs/dbraw/zinc/81/67/16/1090816716.db2.gz ACEQBSTVNITFJU-FSBNRTBOSA-N 0 1 319.276 3.085 20 30 DGEDMN Oc1ccc(CN2CCC(C#Cc3ccccc3)CC2)cc1O ZINC001203881892 1090826637 /nfs/dbraw/zinc/82/66/37/1090826637.db2.gz XCBLEHHIDYYUQR-UHFFFAOYSA-N 0 1 307.393 3.362 20 30 DGEDMN CC(C)(C)C(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001486419524 1090829708 /nfs/dbraw/zinc/82/97/08/1090829708.db2.gz CKFQSRPUXXNVIZ-MRXNPFEDSA-N 0 1 315.461 3.225 20 30 DGEDMN COc1ccccc1O[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001203903511 1090834276 /nfs/dbraw/zinc/83/42/76/1090834276.db2.gz HOZHOHGIQGVTNJ-KRWDZBQOSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1cccc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)n1 ZINC001204068125 1090890032 /nfs/dbraw/zinc/89/00/32/1090890032.db2.gz WGXHWSOWNHYLQT-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1ccc(Cl)cc1OC ZINC001128245616 1090923131 /nfs/dbraw/zinc/92/31/31/1090923131.db2.gz CJBDCPQQDDTHBU-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN Cc1ccc(CN2CCN(c3ccc(C#N)cc3)CC2)cc1C ZINC001204354053 1090954786 /nfs/dbraw/zinc/95/47/86/1090954786.db2.gz AAXKFOODBWONHQ-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCNCc2nc(C)c(C)o2)CC1 ZINC001273001771 1090982249 /nfs/dbraw/zinc/98/22/49/1090982249.db2.gz MRISDZRKDTZMOS-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN O=C1C=C2CN([C@H]3Cc4cccc(Cl)c4C3)CCC2S1 ZINC001168717533 1090984639 /nfs/dbraw/zinc/98/46/39/1090984639.db2.gz ACGGBNMSUULYTQ-WFASDCNBSA-N 0 1 305.830 3.081 20 30 DGEDMN CC/C=C(\C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001273047846 1091017107 /nfs/dbraw/zinc/01/71/07/1091017107.db2.gz JMFCQGNMIMIISD-RQZCQDPDSA-N 0 1 310.441 3.023 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149569 1091154483 /nfs/dbraw/zinc/15/44/83/1091154483.db2.gz XYDFUJYEMQDTSN-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C(CCC)CCC)C1 ZINC001108164029 1091186875 /nfs/dbraw/zinc/18/68/75/1091186875.db2.gz SFPREHRHOPNEJT-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN N#CCCN(C(=O)c1cccc2nc[nH]c21)c1ccc(F)cc1 ZINC001292898047 1091194550 /nfs/dbraw/zinc/19/45/50/1091194550.db2.gz SWFLLVHQQABSRN-UHFFFAOYSA-N 0 1 308.316 3.262 20 30 DGEDMN COc1cc(CN2CCC[C@H](C#N)CC2)ccc1OC(F)F ZINC001138553548 1091226152 /nfs/dbraw/zinc/22/61/52/1091226152.db2.gz HJFCBOMZWIXEFL-LBPRGKRZSA-N 0 1 310.344 3.422 20 30 DGEDMN CN(CCC(=O)c1cccs1)Cc1ccc(F)c(C#N)c1 ZINC001205496582 1091235617 /nfs/dbraw/zinc/23/56/17/1091235617.db2.gz FSHZMBARTMMQJZ-UHFFFAOYSA-N 0 1 302.374 3.464 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(C(=O)OCC)CC1 ZINC001138662283 1091278832 /nfs/dbraw/zinc/27/88/32/1091278832.db2.gz SHLJXCXJPWPBKV-UHFFFAOYSA-N 0 1 317.429 3.417 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)cc2F)CCC1 ZINC001273162269 1091283666 /nfs/dbraw/zinc/28/36/66/1091283666.db2.gz XKJAYOZHBBWCOK-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C2(CCCC)CC2)C1 ZINC001108187117 1091361355 /nfs/dbraw/zinc/36/13/55/1091361355.db2.gz YWBWMMBFNACAOU-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)Cc2ccccc2)CCC1 ZINC001273202128 1091394881 /nfs/dbraw/zinc/39/48/81/1091394881.db2.gz QLSWTXFSIAXRJQ-CQSZACIVSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001139064715 1091433494 /nfs/dbraw/zinc/43/34/94/1091433494.db2.gz FFNRABBHYYAWCW-HNNXBMFYSA-N 0 1 314.385 3.309 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C)c(C)cc3Cl)[C@@H]2C1 ZINC001084574794 1091512113 /nfs/dbraw/zinc/51/21/13/1091512113.db2.gz MQRVHKUKSLEVES-RHSMWYFYSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001084635306 1091523564 /nfs/dbraw/zinc/52/35/64/1091523564.db2.gz VPYLKENXVLKKMJ-UMVBOHGHSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](c3ccccc3)C(C)C)[C@@H]2C1 ZINC001084688195 1091537065 /nfs/dbraw/zinc/53/70/65/1091537065.db2.gz NFTKPZKLVZINIL-QRVBRYPASA-N 0 1 312.457 3.145 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001084698582 1091541698 /nfs/dbraw/zinc/54/16/98/1091541698.db2.gz ZXDXIMCRMYSTLO-KBAYOESNSA-N 0 1 304.478 3.312 20 30 DGEDMN CC(C)[C@@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCO1 ZINC001139405552 1091549262 /nfs/dbraw/zinc/54/92/62/1091549262.db2.gz UIQLNSDPWJZMCT-FQEVSTJZSA-N 0 1 321.424 3.477 20 30 DGEDMN C=CCC[C@@H](NC(=O)CCN1CC[C@@H](F)C1)c1ccccc1 ZINC001295328736 1091551581 /nfs/dbraw/zinc/55/15/81/1091551581.db2.gz OHCMDRKFMSBIKN-IAGOWNOFSA-N 0 1 304.409 3.244 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3c(F)cccc3Cl)[C@@H]2C1 ZINC001084791569 1091569782 /nfs/dbraw/zinc/56/97/82/1091569782.db2.gz JJDTYVWFVFDUGU-IUODEOHRSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C4CCCCC4)CC3)[C@@H]2C1 ZINC001084799779 1091573440 /nfs/dbraw/zinc/57/34/40/1091573440.db2.gz BOYGIYYWGRJTLS-SJLPKXTDSA-N 0 1 316.489 3.456 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc4[nH]c(C)c(C)c4c3)[C@@H]2C1 ZINC001084824005 1091582161 /nfs/dbraw/zinc/58/21/61/1091582161.db2.gz BYWQYYCIPSIFGJ-VQIMIIECSA-N 0 1 323.440 3.117 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)C[C@@H]1c1ccccc1 ZINC001139527410 1091586958 /nfs/dbraw/zinc/58/69/58/1091586958.db2.gz GQXLYMYWKZSRSP-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)c3ccc(F)cc3)[C@@H]2C1 ZINC001084848248 1091589781 /nfs/dbraw/zinc/58/97/81/1091589781.db2.gz DMKIRPNHRPYGDJ-QGPMSJSTSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2cccc(C)c2F)C1=O ZINC001273238053 1091601178 /nfs/dbraw/zinc/60/11/78/1091601178.db2.gz ZQSGILORQJDRPX-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1cc(C#N)ccc1F ZINC001139649704 1091634439 /nfs/dbraw/zinc/63/44/39/1091634439.db2.gz AOFDVLRJPHJRER-OAHLLOKOSA-N 0 1 318.392 3.394 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2[nH]nc3ccc(Br)cc32)C1 ZINC001139658382 1091636498 /nfs/dbraw/zinc/63/64/98/1091636498.db2.gz JIWQYFXICCJOQH-LLVKDONJSA-N 0 1 318.218 3.171 20 30 DGEDMN COc1cnccc1CN1CCC(c2ccc(C#N)cc2)CC1 ZINC001206487167 1091645310 /nfs/dbraw/zinc/64/53/10/1091645310.db2.gz KKUDSXPJIQXMLX-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2oc(C(C)C)nc2C)C[C@H]1C ZINC001206489949 1091646948 /nfs/dbraw/zinc/64/69/48/1091646948.db2.gz CRLQJJYZTAHUEW-UKRRQHHQSA-N 0 1 319.449 3.009 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C2(CC)CC2)C1 ZINC001108194451 1091647240 /nfs/dbraw/zinc/64/72/40/1091647240.db2.gz SUGDOZAHBYJSBJ-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1cccc2c(CN3CCOc4ccccc4C3)c[nH]c21 ZINC001139703909 1091647879 /nfs/dbraw/zinc/64/78/79/1091647879.db2.gz XQDUZMUYZOUUMV-UHFFFAOYSA-N 0 1 303.365 3.434 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(C(F)(F)F)ccc2N)c1 ZINC001295828297 1091649034 /nfs/dbraw/zinc/64/90/34/1091649034.db2.gz ONKJJJAESXXLJA-UHFFFAOYSA-N 0 1 321.258 3.117 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(C)CC[C@H](C)C3(C)C)C[C@@H]21 ZINC001085049881 1091652384 /nfs/dbraw/zinc/65/23/84/1091652384.db2.gz HDPMSZFDVGHUOK-CLWJZODNSA-N 0 1 316.489 3.005 20 30 DGEDMN COC(=O)CCN(Cc1ccco1)Cc1ccc(C)c(C#N)c1 ZINC001139723897 1091655196 /nfs/dbraw/zinc/65/51/96/1091655196.db2.gz ISTRDGGJKPQHEM-UHFFFAOYSA-N 0 1 312.369 3.025 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3ccccc3C#N)C2)nc1 ZINC001139840444 1091687858 /nfs/dbraw/zinc/68/78/58/1091687858.db2.gz REUMZTSTYBEKNW-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2cc(F)cc(C#N)c2)CC1 ZINC001139874868 1091698870 /nfs/dbraw/zinc/69/88/70/1091698870.db2.gz PGWYASJIZURIAT-UHFFFAOYSA-N 0 1 318.392 3.253 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2cc(F)cc(C#N)c2)C[C@@H]1C ZINC001139879634 1091700664 /nfs/dbraw/zinc/70/06/64/1091700664.db2.gz PATYZCUYKQYQNR-XJKSGUPXSA-N 0 1 318.392 3.109 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2cc(F)cc(C#N)c2)C[C@@H]1C ZINC001139879627 1091700986 /nfs/dbraw/zinc/70/09/86/1091700986.db2.gz PATYZCUYKQYQNR-BBRMVZONSA-N 0 1 318.392 3.109 20 30 DGEDMN COc1cc(CN2CCN(c3cccc(C#N)c3)CC2)ccc1C ZINC001140162196 1091788894 /nfs/dbraw/zinc/78/88/94/1091788894.db2.gz KPVYEXWXXWJMRV-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN Cc1ncc(CN2CCC[C@H](Oc3ccccc3C#N)C2)s1 ZINC001207061813 1091834833 /nfs/dbraw/zinc/83/48/33/1091834833.db2.gz NHYSCCPDEYGSIR-HNNXBMFYSA-N 0 1 313.426 3.367 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc2c1CCCC2 ZINC001085613553 1091854882 /nfs/dbraw/zinc/85/48/82/1091854882.db2.gz SVJQOBQYIZDRQR-QGZVFWFLSA-N 0 1 312.457 3.288 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001085668211 1091877639 /nfs/dbraw/zinc/87/76/39/1091877639.db2.gz XVKBKQYVZMJAHV-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCCc2ccccc21 ZINC001085670988 1091878001 /nfs/dbraw/zinc/87/80/01/1091878001.db2.gz KMMFOSVYHSNTDB-HKUYNNGSSA-N 0 1 312.457 3.215 20 30 DGEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1ccc([O-])c(F)c1F ZINC001207218317 1091890226 /nfs/dbraw/zinc/89/02/26/1091890226.db2.gz IQQFILNLRIWLJJ-UHFFFAOYSA-N 0 1 302.324 3.217 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(Cl)ccc2C(F)(F)F)CCC1=O ZINC001140567003 1091912754 /nfs/dbraw/zinc/91/27/54/1091912754.db2.gz YSSIDTWWHVWZGB-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CCC3(C[C@H](F)CO3)CC2)c1 ZINC001140602977 1091928289 /nfs/dbraw/zinc/92/82/89/1091928289.db2.gz VGCOCGLJQAJIAQ-AWEZNQCLSA-N 0 1 308.784 3.305 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001085798411 1091939535 /nfs/dbraw/zinc/93/95/35/1091939535.db2.gz QLBDSAGINNHVDF-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(F)cc(Cl)c1 ZINC001085837292 1091961679 /nfs/dbraw/zinc/96/16/79/1091961679.db2.gz GUUOAIBSUJCSNO-HNNXBMFYSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN([C@@H](C)CC)CC1)c1ccccc1 ZINC001297958832 1092004207 /nfs/dbraw/zinc/00/42/07/1092004207.db2.gz AXEDETVPWUWXOW-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1-c1ccccc1 ZINC001085918866 1092012927 /nfs/dbraw/zinc/01/29/27/1092012927.db2.gz OGCADBRYNXUTNE-MRXNPFEDSA-N 0 1 309.413 3.014 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c(C)cc(C)cc1C ZINC001085960602 1092054832 /nfs/dbraw/zinc/05/48/32/1092054832.db2.gz IZUNVLLGXFWKRV-KRWDZBQOSA-N 0 1 300.446 3.334 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001273391944 1092112906 /nfs/dbraw/zinc/11/29/06/1092112906.db2.gz JBIKUJVGFTYEFS-KHVWPYCOSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCCCCCCCCN1CCN(C)[C@@H](C(=O)OC)C1 ZINC001207899618 1092132525 /nfs/dbraw/zinc/13/25/25/1092132525.db2.gz IVLUXMHJTDXUHG-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@]3(CC3(F)F)C2)c1Cl ZINC001141114467 1092141463 /nfs/dbraw/zinc/14/14/63/1092141463.db2.gz PRRJXBAKGPAHMQ-NSHDSACASA-N 0 1 303.765 3.086 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@H](NCc2nocc2C)C1 ZINC001491873096 1092265395 /nfs/dbraw/zinc/26/53/95/1092265395.db2.gz CIRKRFXPYAIBHW-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@@H](NCc2nocc2C)C1 ZINC001491873098 1092265742 /nfs/dbraw/zinc/26/57/42/1092265742.db2.gz CIRKRFXPYAIBHW-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001208239617 1092286810 /nfs/dbraw/zinc/28/68/10/1092286810.db2.gz DVFHAIDUPDPGJW-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C/C=C\c2ccccc2)C[C@H]1C ZINC001208309733 1092307179 /nfs/dbraw/zinc/30/71/79/1092307179.db2.gz UUQOYPQLAXBYNE-WBENJFFKSA-N 0 1 312.457 3.349 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2cc(F)ccc2C)C[C@H]1C ZINC001208309440 1092307442 /nfs/dbraw/zinc/30/74/42/1092307442.db2.gz LKHADBWRNRUQHK-RHSMWYFYSA-N 0 1 318.436 3.283 20 30 DGEDMN CCCCCCCCC(=O)NC[C@]1(C)CN(C(C)C)CCO1 ZINC001108361140 1092349127 /nfs/dbraw/zinc/34/91/27/1092349127.db2.gz ZNXXNHBKSGJXRQ-GOSISDBHSA-N 0 1 312.498 3.353 20 30 DGEDMN COCCN(Cc1cc(Br)ccc1C#N)C(C)C ZINC001141901312 1092357323 /nfs/dbraw/zinc/35/73/23/1092357323.db2.gz SUZJQHPKNDAWEG-UHFFFAOYSA-N 0 1 311.223 3.178 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2cc(F)ccc2C)C[C@H]1C ZINC001208452530 1092358243 /nfs/dbraw/zinc/35/82/43/1092358243.db2.gz PZRPDRCTIWAQOP-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)C(CC)CC)C1 ZINC001208462669 1092359556 /nfs/dbraw/zinc/35/95/56/1092359556.db2.gz DOTMGEPXBOWLBX-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN CN1CCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC1 ZINC001141972558 1092371440 /nfs/dbraw/zinc/37/14/40/1092371440.db2.gz LSZNOKJTBGXAFC-UHFFFAOYSA-N 0 1 310.466 3.285 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@@H]1O ZINC001141973064 1092371854 /nfs/dbraw/zinc/37/18/54/1092371854.db2.gz XBCCZDUSIWQDMR-BEFAXECRSA-N 0 1 311.450 3.351 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC[N@@H+](C)Cc1ccc(C)cc1C ZINC001492381538 1092490971 /nfs/dbraw/zinc/49/09/71/1092490971.db2.gz MSZIIFLUCHMPPN-KRWDZBQOSA-N 0 1 302.462 3.454 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1nocc1C ZINC001316673812 1092528712 /nfs/dbraw/zinc/52/87/12/1092528712.db2.gz CBFZLCKAZONJMT-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCCCCCCCN1CCN(c2ncc(F)cn2)CC1 ZINC001169137822 1092548745 /nfs/dbraw/zinc/54/87/45/1092548745.db2.gz VPHWEZKDASLBDJ-UHFFFAOYSA-N 0 1 308.445 3.488 20 30 DGEDMN N#Cc1ccc(CCCN2CCc3cnc(C4CC4)nc3C2)cc1 ZINC001208863753 1092550467 /nfs/dbraw/zinc/55/04/67/1092550467.db2.gz KRVKVQWKMRHTEZ-UHFFFAOYSA-N 0 1 318.424 3.217 20 30 DGEDMN N#Cc1ccc(CCCN2CCO[C@@H](Cc3ccccc3)C2)cc1 ZINC001208864760 1092551635 /nfs/dbraw/zinc/55/16/35/1092551635.db2.gz RWHAQRLMGFGHJG-NRFANRHFSA-N 0 1 320.436 3.434 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001276601833 1092599519 /nfs/dbraw/zinc/59/95/19/1092599519.db2.gz UCETVTZTKZDBOE-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCN(CCN(C)C/C=C/c1ccccc1)C(=O)OCC ZINC001209020822 1092626095 /nfs/dbraw/zinc/62/60/95/1092626095.db2.gz OIPLPNBXNZYETH-FMIVXFBMSA-N 0 1 302.418 3.276 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001209100881 1092653184 /nfs/dbraw/zinc/65/31/84/1092653184.db2.gz KSORPUIIDLOKTN-LZOLKVDOSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001209100883 1092653274 /nfs/dbraw/zinc/65/32/74/1092653274.db2.gz KSORPUIIDLOKTN-OUQXZNHLSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001209116506 1092658101 /nfs/dbraw/zinc/65/81/01/1092658101.db2.gz SUVPMZUVSIGWIZ-JFIYKMOQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001209183465 1092684606 /nfs/dbraw/zinc/68/46/06/1092684606.db2.gz NDEBNGCZGNYPDP-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCCN1Cc2nn(CC3CC3)cc2[C@H](COC)C1 ZINC001209200526 1092691534 /nfs/dbraw/zinc/69/15/34/1092691534.db2.gz KYKLYBAKRFSCMS-INIZCTEOSA-N 0 1 303.450 3.195 20 30 DGEDMN C=CCCCCN1CC[C@@](F)(CNC(=O)OC(C)(C)C)C1 ZINC001209208220 1092697369 /nfs/dbraw/zinc/69/73/69/1092697369.db2.gz NSYCHSZJDVLHSP-MRXNPFEDSA-N 0 1 300.418 3.281 20 30 DGEDMN CCCCCCC(=O)NCCNCC#Cc1ccccc1Cl ZINC001149799700 1092733319 /nfs/dbraw/zinc/73/33/19/1092733319.db2.gz SOCHJKBYFMEXRE-UHFFFAOYSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001209453739 1092780933 /nfs/dbraw/zinc/78/09/33/1092780933.db2.gz CCFCEYQLLNBCEV-KPXZUXBZSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)CCCCCC)C1 ZINC001209663669 1092870014 /nfs/dbraw/zinc/87/00/14/1092870014.db2.gz BYIHGZYPSNEKOM-KZNAEPCWSA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1cc(N)ncc1Nc1ccc(C#N)cc1Br ZINC001209966133 1092997402 /nfs/dbraw/zinc/99/74/02/1092997402.db2.gz UTLHPNIWEOTXFQ-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN Cc1cc(N)ncc1Nc1ccc(Br)c(C#N)c1 ZINC001209970049 1093000240 /nfs/dbraw/zinc/00/02/40/1093000240.db2.gz RNHPEHXFPWOUHD-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2cc3cn[nH]c3cc2C)c1 ZINC001210106603 1093044808 /nfs/dbraw/zinc/04/48/08/1093044808.db2.gz UPZUITDLDVOOTC-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN COc1cccc(Nc2ccc(-n3ccc(=O)[nH]3)cc2)c1C#N ZINC001210127736 1093051884 /nfs/dbraw/zinc/05/18/84/1093051884.db2.gz KVFHWOBQETUCFZ-UHFFFAOYSA-N 0 1 306.325 3.202 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)CCCCCC)C1 ZINC001210226822 1093085591 /nfs/dbraw/zinc/08/55/91/1093085591.db2.gz VRDIDWQVKJSCGC-KZNAEPCWSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)CC(C)C)C1 ZINC001210568510 1093213980 /nfs/dbraw/zinc/21/39/80/1093213980.db2.gz PPNFSBRNSAOECA-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1nn(-c2cc(Cl)c(O)c(Cl)c2)c2ccc(N)cc12 ZINC001210930244 1093325888 /nfs/dbraw/zinc/32/58/88/1093325888.db2.gz JXZMEABWDLOORB-UHFFFAOYSA-N 0 1 319.151 3.492 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001210961922 1093339778 /nfs/dbraw/zinc/33/97/78/1093339778.db2.gz PGOCKAZTJCXQDD-CRAIPNDOSA-N 0 1 316.445 3.102 20 30 DGEDMN COc1cnccc1Nc1cc(C#N)c(F)cc1Br ZINC001211263722 1093435921 /nfs/dbraw/zinc/43/59/21/1093435921.db2.gz GDWLHLYKWIFMIF-UHFFFAOYSA-N 0 1 322.137 3.029 20 30 DGEDMN COc1cnccc1Nc1cc(F)c(Br)cc1C#N ZINC001211264910 1093437699 /nfs/dbraw/zinc/43/76/99/1093437699.db2.gz OUQDVMKAMQCBGK-UHFFFAOYSA-N 0 1 322.137 3.029 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[N@H+](CCCCCCC)C[C@H]1OC ZINC001213319751 1093566129 /nfs/dbraw/zinc/56/61/29/1093566129.db2.gz AXCFVEYGKOIZTF-KZNAEPCWSA-N 0 1 324.509 3.375 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](CC)CCCC)C[C@H]1C ZINC001214191450 1093730298 /nfs/dbraw/zinc/73/02/98/1093730298.db2.gz QGQFHYOKCXSGFI-BPLDGKMQSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCC(C)(C)C)C[C@H]1C ZINC001214335857 1093757013 /nfs/dbraw/zinc/75/70/13/1093757013.db2.gz LEVHBHMVKLFECY-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](C)C(C)(C)C)C[C@H]1C ZINC001216556502 1094218775 /nfs/dbraw/zinc/21/87/75/1094218775.db2.gz NJJGMEFQYVYJID-MBNYWOFBSA-N 0 1 300.874 3.248 20 30 DGEDMN CCOc1cc(Nc2sc3c(c2C#N)CCN(C)C3)ccn1 ZINC001216622174 1094235672 /nfs/dbraw/zinc/23/56/72/1094235672.db2.gz KQBUAJQPPFGSGP-UHFFFAOYSA-N 0 1 314.414 3.145 20 30 DGEDMN N#Cc1cc(-c2nc(-c3cnc4c(c3)CCCC4)no2)ccc1O ZINC001216969717 1094285449 /nfs/dbraw/zinc/28/54/49/1094285449.db2.gz NYPXOMJKFAVBEB-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN N#CC1CCC(CN2CCO[C@H](COc3ccccc3)C2)CC1 ZINC001119846004 1094297689 /nfs/dbraw/zinc/29/76/89/1094297689.db2.gz ZOOBMSCFGLRNHX-TVPLGVNVSA-N 0 1 314.429 3.096 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001134940843 1094340509 /nfs/dbraw/zinc/34/05/09/1094340509.db2.gz OAAWPFGZAOSDIM-UHFFFAOYSA-N 0 1 315.373 3.280 20 30 DGEDMN Cc1cc(-c2noc(-c3cc4cccnc4[nH]3)n2)ccc1C#N ZINC001217305770 1094366568 /nfs/dbraw/zinc/36/65/68/1094366568.db2.gz DCZNCVDEJNGTLT-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1OC1=Nc2ccccc2Sc2ccccc21 ZINC001217833405 1094502816 /nfs/dbraw/zinc/50/28/16/1094502816.db2.gz RMPDQXDRAQGCME-IUODEOHRSA-N 0 1 321.405 3.358 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2cc(C)on2)CCC1 ZINC001135391573 1094513150 /nfs/dbraw/zinc/51/31/50/1094513150.db2.gz TXERAYWIWYYBOJ-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2coc(C)n2)CCC1 ZINC001135394305 1094514268 /nfs/dbraw/zinc/51/42/68/1094514268.db2.gz FSQYAIVGJFCNOU-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@@H](C)NCc2coc(C)n2)CC1 ZINC001135414766 1094526765 /nfs/dbraw/zinc/52/67/65/1094526765.db2.gz CZMBNZLDRQHWDL-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN CCN(CCNc1cc(C#N)cc(Cl)n1)CCC(F)(F)F ZINC001120839704 1094536994 /nfs/dbraw/zinc/53/69/94/1094536994.db2.gz AQJNXXWDYZLCEP-UHFFFAOYSA-N 0 1 320.746 3.293 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[NH2+]C[C@@H]1C ZINC001217987210 1094544325 /nfs/dbraw/zinc/54/43/25/1094544325.db2.gz MHIUHDRRBGPTDQ-SJCJKPOMSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[NH2+]C[C@@H]1C ZINC001217987215 1094544959 /nfs/dbraw/zinc/54/49/59/1094544959.db2.gz MHIUHDRRBGPTDQ-YVEFUNNKSA-N 0 1 303.402 3.090 20 30 DGEDMN CC(C)(C)CCC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135531500 1094566784 /nfs/dbraw/zinc/56/67/84/1094566784.db2.gz BCDUSBMOUKRVNR-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[C@@]12CC[NH2+]C2 ZINC001218095344 1094599464 /nfs/dbraw/zinc/59/94/64/1094599464.db2.gz ZJYPXWJCMZJADX-HKUYNNGSSA-N 0 1 315.413 3.234 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CN(Cc2nccs2)C[C@H]1C ZINC001103385232 1094601660 /nfs/dbraw/zinc/60/16/60/1094601660.db2.gz IOPCMFRNIGHRQS-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[C@@H]2C[NH2+]C[C@@H]21 ZINC001218144499 1094630396 /nfs/dbraw/zinc/63/03/96/1094630396.db2.gz JWMFMYQDDSNRMN-RPVQJOFSSA-N 0 1 315.413 3.090 20 30 DGEDMN N#C[C@H]1CNC[C@H]1OC1=CC(=O)C=CC1=NNc1ccccc1 ZINC001218200158 1094654729 /nfs/dbraw/zinc/65/47/29/1094654729.db2.gz FBPYZQHEHFZZAX-UFIDOIMPSA-N 0 1 308.341 3.298 20 30 DGEDMN COc1cc(/C=C/c2ccccc2)cc(O[C@@H]2CNC[C@H]2C#N)c1 ZINC001218202941 1094656770 /nfs/dbraw/zinc/65/67/70/1094656770.db2.gz OFFOPKXAEQXJPF-JCTQASJRSA-N 0 1 320.392 3.356 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1nc2c(cc(Cl)cc2Cl)s1 ZINC001218201836 1094657019 /nfs/dbraw/zinc/65/70/19/1094657019.db2.gz VRUYHTQAMMRBMA-IMTBSYHQSA-N 0 1 314.197 3.093 20 30 DGEDMN CC(C)c1nc(CNc2ccc(C#N)c(Br)c2)n[nH]1 ZINC001336651042 1094686017 /nfs/dbraw/zinc/68/60/17/1094686017.db2.gz ASEMSGKNEUTOPY-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135864501 1094714412 /nfs/dbraw/zinc/71/44/12/1094714412.db2.gz XFSVXGGCDSJMOR-HUUCEWRRSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)C[C@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135868422 1094716188 /nfs/dbraw/zinc/71/61/88/1094716188.db2.gz QGJWBTQPRQDQPL-HNNXBMFYSA-N 0 1 320.864 3.080 20 30 DGEDMN C[C@@H]1CCC(=CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001649146710 1094843100 /nfs/dbraw/zinc/84/31/00/1094843100.db2.gz QRHCGQTZYJTOOE-LWMMSDEHSA-N 0 1 307.357 3.028 20 30 DGEDMN C=C(C)CCC(=O)NCCc1nnc(-c2ccc(Cl)cc2)[nH]1 ZINC001337226425 1094903959 /nfs/dbraw/zinc/90/39/59/1094903959.db2.gz BHDLMAQPVQIUGH-UHFFFAOYSA-N 0 1 318.808 3.140 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(C)(C)c1ccccc1)C2 ZINC001111499758 1094917083 /nfs/dbraw/zinc/91/70/83/1094917083.db2.gz XOXVLEFTAAINCJ-QRVBRYPASA-N 0 1 324.468 3.099 20 30 DGEDMN CCCCCCCCCCC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219006718 1094925059 /nfs/dbraw/zinc/92/50/59/1094925059.db2.gz UOHBCJWFWDOGIE-ZWKOTPCHSA-N 0 1 324.509 3.449 20 30 DGEDMN CCc1cc(NC(=O)[C@@H](N)c2ccccc2)cc(CC)c1C#N ZINC001219112339 1094940627 /nfs/dbraw/zinc/94/06/27/1094940627.db2.gz DJBHFJNQORFWMB-SFHVURJKSA-N 0 1 307.397 3.322 20 30 DGEDMN CCCCn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1C ZINC001122636276 1095023354 /nfs/dbraw/zinc/02/33/54/1095023354.db2.gz FSLXXFYEBPMQSM-LBPRGKRZSA-N 0 1 300.337 3.016 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@@]12CCc1ccccc12)c1cccc(F)n1 ZINC001122714665 1095044124 /nfs/dbraw/zinc/04/41/24/1095044124.db2.gz NICSTSLNNWRBJF-ZBQZNYHESA-N 0 1 306.340 3.301 20 30 DGEDMN N#CC(C(=O)C1CC(OCc2ccccc2)C1)c1cccc(F)n1 ZINC001122714919 1095044251 /nfs/dbraw/zinc/04/42/51/1095044251.db2.gz QUMSVROOBTXRHI-UYSNPLJNSA-N 0 1 324.355 3.392 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cnc3[nH]c(C)c(C)c3c2)cc1 ZINC001170983870 1095077010 /nfs/dbraw/zinc/07/70/10/1095077010.db2.gz GZCUQFBLDIJCIK-UHFFFAOYSA-N 0 1 322.368 3.003 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cccc3c2CCCC3)cc1 ZINC001170985169 1095079119 /nfs/dbraw/zinc/07/91/19/1095079119.db2.gz LQZHKNMZACOLPV-UHFFFAOYSA-N 0 1 308.381 3.389 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@H](C)C[N@@H+](C)Cc2ccccc2)cc1 ZINC001220350464 1095086733 /nfs/dbraw/zinc/08/67/33/1095086733.db2.gz DCJRZGWOFOBCTF-MRXNPFEDSA-N 0 1 321.424 3.310 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2ccc3c(c2)C(C)(C)CO3)cc1 ZINC001170988631 1095086933 /nfs/dbraw/zinc/08/69/33/1095086933.db2.gz CYTUBRJDYHZVBA-UHFFFAOYSA-N 0 1 324.380 3.180 20 30 DGEDMN N#C[C@H](C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967754 1095111462 /nfs/dbraw/zinc/11/14/62/1095111462.db2.gz DJKFHKRVSMWDMO-YOLVWIGZSA-N 0 1 323.299 3.447 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967754 1095111467 /nfs/dbraw/zinc/11/14/67/1095111467.db2.gz DJKFHKRVSMWDMO-YOLVWIGZSA-N 0 1 323.299 3.447 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001171010002 1095124099 /nfs/dbraw/zinc/12/40/99/1095124099.db2.gz TZFWZJKVKMIXMV-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@@H]1NCc2ccccc21 ZINC001220663928 1095134546 /nfs/dbraw/zinc/13/45/46/1095134546.db2.gz RMEUFZLKCNZEDK-IFXJQAMLSA-N 0 1 322.408 3.273 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1ncc(CC)o1 ZINC001171035394 1095169561 /nfs/dbraw/zinc/16/95/61/1095169561.db2.gz LGRFPWDZFXAFOX-OAHLLOKOSA-N 0 1 321.465 3.358 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1nc(C)oc1C ZINC001171044192 1095184284 /nfs/dbraw/zinc/18/42/84/1095184284.db2.gz VSEACGJAVAXBMU-CQSZACIVSA-N 0 1 321.465 3.412 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@](C)(CC)CCCCCC)[C@@H](O)C1 ZINC001221022716 1095187089 /nfs/dbraw/zinc/18/70/89/1095187089.db2.gz KNPDRDIJKBTRHF-AOIWGVFYSA-N 0 1 324.509 3.111 20 30 DGEDMN C=C[C@H](COC)[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC001338113268 1095193554 /nfs/dbraw/zinc/19/35/54/1095193554.db2.gz SSVOCVYAFBQOOT-MWLCHTKSSA-N 0 1 300.196 3.006 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1Cc2ccc(C)cc2S1 ZINC001123234245 1095196543 /nfs/dbraw/zinc/19/65/43/1095196543.db2.gz SKHYYJDBKJJQPL-UKRRQHHQSA-N 0 1 311.410 3.105 20 30 DGEDMN C#CCN1CCC(OC(=O)c2ccc(C(F)(F)F)s2)CC1 ZINC001123250071 1095202932 /nfs/dbraw/zinc/20/29/32/1095202932.db2.gz HGCOFYPRCRVUDD-UHFFFAOYSA-N 0 1 317.332 3.021 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cscn2)CCCCC1 ZINC001115442856 1095222460 /nfs/dbraw/zinc/22/24/60/1095222460.db2.gz URZYBSPMSRSFKP-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)SCc1ccccc1 ZINC001123335947 1095244244 /nfs/dbraw/zinc/24/42/44/1095244244.db2.gz AFOPGOHFNAGBTH-ZFWWWQNUSA-N 0 1 313.426 3.401 20 30 DGEDMN N#Cc1cccc2c1CC[C@H]2Nc1cc(O[C@H]2CCOC2)ccn1 ZINC001171068477 1095244493 /nfs/dbraw/zinc/24/44/93/1095244493.db2.gz MPIDKEOQVMTBOZ-MAUKXSAKSA-N 0 1 321.380 3.220 20 30 DGEDMN FC[C@]1(C(F)(F)F)CCN(Cc2ncc(C(F)(F)F)[nH]2)C1 ZINC001137010354 1095284018 /nfs/dbraw/zinc/28/40/18/1095284018.db2.gz ONEWZJBNSSSFHM-SECBINFHSA-N 0 1 319.224 3.152 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001221761085 1095346643 /nfs/dbraw/zinc/34/66/43/1095346643.db2.gz YWBWFICNWFNGEH-MGPQQGTHSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC3(C=C)CCCCC3)[C@@H]2C1 ZINC001221867428 1095378462 /nfs/dbraw/zinc/37/84/62/1095378462.db2.gz OBWPOGWUIVVJHX-IAGOWNOFSA-N 0 1 302.462 3.232 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](CC)C(C)(C)C)[C@@H]2C1 ZINC001221939418 1095394222 /nfs/dbraw/zinc/39/42/22/1095394222.db2.gz LMIUZFPEMJDKHN-IXDOHACOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](CCC)c3ccccc3)[C@@H]2C1 ZINC001221999236 1095407196 /nfs/dbraw/zinc/40/71/96/1095407196.db2.gz POVLDWRZDGWAKY-CEXWTWQISA-N 0 1 312.457 3.289 20 30 DGEDMN CCCC(C#N)(CCC)NC(=O)c1c[nH]c2ccccc2c1=O ZINC001338866448 1095418128 /nfs/dbraw/zinc/41/81/28/1095418128.db2.gz JKWCVCIVRIZCCR-UHFFFAOYSA-N 0 1 311.385 3.120 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H]3CCN(CC(=C)C)C[C@H]32)CCCCC1 ZINC001222062511 1095418610 /nfs/dbraw/zinc/41/86/10/1095418610.db2.gz ACNXQFBRWMQIRL-QZTJIDSGSA-N 0 1 314.473 3.069 20 30 DGEDMN C=CCC[C@H](C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21)c1ccccc1 ZINC001222143029 1095455844 /nfs/dbraw/zinc/45/58/44/1095455844.db2.gz WVKILWISFFPIOA-HSALFYBXSA-N 0 1 324.468 3.455 20 30 DGEDMN CCCCN(CC#N)Cc1cc(Br)c(F)cc1O ZINC001144306942 1095599889 /nfs/dbraw/zinc/59/98/89/1095599889.db2.gz XKYOROWZBXLBNC-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cc(Br)c(F)cc2O)C1 ZINC001144306515 1095600659 /nfs/dbraw/zinc/60/06/59/1095600659.db2.gz FHBBFDRGYCSRMM-VIFPVBQESA-N 0 1 313.170 3.029 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001124581634 1095606490 /nfs/dbraw/zinc/60/64/90/1095606490.db2.gz GBCKIKBMVQRJHO-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001124581633 1095606862 /nfs/dbraw/zinc/60/68/62/1095606862.db2.gz GBCKIKBMVQRJHO-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN CCc1cccc(CN2CCN(c3cccc(C#N)c3)CC2)c1 ZINC001144326556 1095611909 /nfs/dbraw/zinc/61/19/09/1095611909.db2.gz GHCIPEALIWYPOL-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN Cc1cccc2c1[C@H](N[C@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171179557 1095649469 /nfs/dbraw/zinc/64/94/69/1095649469.db2.gz UBDBMJYTABWAMY-ACJLOTCBSA-N 0 1 305.381 3.081 20 30 DGEDMN Cn1cnc2ccc(NC(=N)c3ccc4cc(O)ccc4c3)cc21 ZINC001171183838 1095663569 /nfs/dbraw/zinc/66/35/69/1095663569.db2.gz AWRUUGHHABKDLL-UHFFFAOYSA-N 0 1 316.364 3.469 20 30 DGEDMN CN(Cc1cc(F)cc(F)c1)C[C@H](O)c1ccc(C#N)cc1 ZINC001116804368 1095664596 /nfs/dbraw/zinc/66/45/96/1095664596.db2.gz AXWZMYMCMLKNFG-KRWDZBQOSA-N 0 1 302.324 3.002 20 30 DGEDMN Cc1ccc(C2(N[C@@H](C)Cc3cccc(C#N)c3)COC2)cc1 ZINC001171186836 1095667467 /nfs/dbraw/zinc/66/74/67/1095667467.db2.gz ZHQDOWBAGYCKHT-INIZCTEOSA-N 0 1 306.409 3.313 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1Br)C1CCOCC1 ZINC001137637994 1095696964 /nfs/dbraw/zinc/69/69/64/1095696964.db2.gz JCISHUMLPDNBQX-UHFFFAOYSA-N 0 1 323.234 3.322 20 30 DGEDMN CCCCN(CC#N)Cc1[nH]nc2ccc(Br)cc21 ZINC001139658909 1095710157 /nfs/dbraw/zinc/71/01/57/1095710157.db2.gz SWOZDLSPRCNDCW-UHFFFAOYSA-N 0 1 321.222 3.451 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(F)cc3C)CC2)cc1 ZINC001144579093 1095715815 /nfs/dbraw/zinc/71/58/15/1095715815.db2.gz XYLOUZJTZIOSQF-UHFFFAOYSA-N 0 1 308.400 3.438 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](c3ccccn3)C2)c(C#N)c1 ZINC001137703566 1095716250 /nfs/dbraw/zinc/71/62/50/1095716250.db2.gz OYJXLWUTRJRBRG-MRXNPFEDSA-N 0 1 307.397 3.341 20 30 DGEDMN COc1ccc(CN2CCC[C@H]2Cc2ccccn2)c(C#N)c1 ZINC001137703926 1095717162 /nfs/dbraw/zinc/71/71/62/1095717162.db2.gz ZDLGPKDJGKPGFR-SFHVURJKSA-N 0 1 307.397 3.169 20 30 DGEDMN C#Cc1ccc(CN2CC[C@H](OC(=O)OC(C)(C)C)C2)cc1 ZINC001144567240 1095717741 /nfs/dbraw/zinc/71/77/41/1095717741.db2.gz FTKMDOKXZQLHRT-INIZCTEOSA-N 0 1 301.386 3.194 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccccc3OCC)CC2)cc1 ZINC001144567318 1095718376 /nfs/dbraw/zinc/71/83/76/1095718376.db2.gz JPRKHBCBKFVJJQ-UHFFFAOYSA-N 0 1 320.436 3.389 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1ccc(-n3cccn3)cc1)CC2 ZINC001137754215 1095731516 /nfs/dbraw/zinc/73/15/16/1095731516.db2.gz TVNWYKHZNGZCBU-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2ccnc(C#N)c2)C1 ZINC001222736388 1095737166 /nfs/dbraw/zinc/73/71/66/1095737166.db2.gz QCWKURVPUAHGDP-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2ccnc(C#N)c2)C1 ZINC001222736387 1095737248 /nfs/dbraw/zinc/73/72/48/1095737248.db2.gz QCWKURVPUAHGDP-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN C=C(CC)C(=O)N1CCN(Cc2ccccc2)[C@@H](CCC)C1 ZINC001137818945 1095751393 /nfs/dbraw/zinc/75/13/93/1095751393.db2.gz DBURSGSBAYFXLK-SFHVURJKSA-N 0 1 300.446 3.466 20 30 DGEDMN Cc1cccc2nc(NC(=O)C=CC(=O)c3ccccc3)[nH]c21 ZINC001144700378 1095769772 /nfs/dbraw/zinc/76/97/72/1095769772.db2.gz QBWMUXYRPVQJEM-ZHACJKMWSA-N 0 1 305.337 3.249 20 30 DGEDMN COc1cc(C(=O)Nc2cc(C#N)ccc2O)c2ccccc2n1 ZINC001137934272 1095795299 /nfs/dbraw/zinc/79/52/99/1095795299.db2.gz PSNRIBXAKRYDTC-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN COc1cc(CNCc2ccc(C#N)c(OC)c2)ccc1F ZINC001117680697 1095798651 /nfs/dbraw/zinc/79/86/51/1095798651.db2.gz NZWUCCHGZXTRQG-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN COC(=O)c1ccc2c(c1)CN([C@H](C)Cc1cccc(C#N)c1)C2 ZINC001171230626 1095866928 /nfs/dbraw/zinc/86/69/28/1095866928.db2.gz WQYYEBLGNBDHBN-CQSZACIVSA-N 0 1 320.392 3.292 20 30 DGEDMN Cn1nc(C2CC2)cc1CNC1CC(C#N)(c2ccccc2)C1 ZINC001340145421 1095885718 /nfs/dbraw/zinc/88/57/18/1095885718.db2.gz FCBGCXOQNAYARJ-UHFFFAOYSA-N 0 1 306.413 3.011 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CC)C1CCCCC1 ZINC001340258098 1095917897 /nfs/dbraw/zinc/91/78/97/1095917897.db2.gz FURCLLJQXFFELU-UHFFFAOYSA-N 0 1 314.437 3.322 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](O[C@H](C#N)c2ccccc2)C1 ZINC001223710698 1096041359 /nfs/dbraw/zinc/04/13/59/1096041359.db2.gz GWHHUAJFKKEENW-KVSKMBFKSA-N 0 1 307.397 3.498 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](O[C@H](C#N)c2ccccc2)C1 ZINC001223710698 1096041370 /nfs/dbraw/zinc/04/13/70/1096041370.db2.gz GWHHUAJFKKEENW-KVSKMBFKSA-N 0 1 307.397 3.498 20 30 DGEDMN Cc1ncccc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001171277759 1096049991 /nfs/dbraw/zinc/04/99/91/1096049991.db2.gz VQQUOJCJLXCKDP-UHFFFAOYSA-N 0 1 306.413 3.360 20 30 DGEDMN CC1(CC(=O)NCC2CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001223855298 1096080535 /nfs/dbraw/zinc/08/05/35/1096080535.db2.gz VNJPGMXBPOYSEA-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H](C)C#C[Si](C)(C)C)c1 ZINC001223891701 1096087972 /nfs/dbraw/zinc/08/79/72/1096087972.db2.gz QSGBWWDMYNKCOB-ICDILTBESA-N 0 1 321.449 3.126 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H](C)COC(C)(C)C)c1 ZINC001223888914 1096088391 /nfs/dbraw/zinc/08/83/91/1096088391.db2.gz JVVXDCDWGCMFCN-PTGFTAGUSA-N 0 1 311.378 3.060 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC001223892832 1096089493 /nfs/dbraw/zinc/08/94/93/1096089493.db2.gz NQWAORHOFUMGSJ-JPZONKSESA-N 0 1 305.374 3.291 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)CCC(C)(C)C)C[C@@H]21 ZINC001223923257 1096097336 /nfs/dbraw/zinc/09/73/36/1096097336.db2.gz XCSDHFXIHSNFRD-KSZLIROESA-N 0 1 318.505 3.395 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](O[C@@H]2Cc3ccccc32)C1 ZINC001224062739 1096127165 /nfs/dbraw/zinc/12/71/65/1096127165.db2.gz PYEWQTUXVHUETJ-AZUAARDMSA-N 0 1 304.393 3.447 20 30 DGEDMN Cc1oc(-c2cccs2)nc1COC1=C(C)O[C@@H](C)C1=O ZINC001224223613 1096166786 /nfs/dbraw/zinc/16/67/86/1096166786.db2.gz JFAZHPCKPKBCOM-VIFPVBQESA-N 0 1 305.355 3.447 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC(C)(C)CC(F)(F)F ZINC001276963573 1096187475 /nfs/dbraw/zinc/18/74/75/1096187475.db2.gz KFGYXOPVHSIQHW-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN CCOc1ccccc1OCCOC1=C(C)O[C@@H](CC)C1=O ZINC001224495088 1096224175 /nfs/dbraw/zinc/22/41/75/1096224175.db2.gz YTTMGXLRIKPEQU-ZDUSSCGKSA-N 0 1 306.358 3.090 20 30 DGEDMN Cc1cc(Br)c(/C=C2\C(=O)c3ncnn3C2C)s1 ZINC001119554820 1096224968 /nfs/dbraw/zinc/22/49/68/1096224968.db2.gz FCNAFDZDAFSSCD-OJKBXMANSA-N 0 1 324.203 3.251 20 30 DGEDMN CCCCCC[C@H](F)C(=O)NCCNCC#Cc1ccccc1 ZINC001147796532 1096274732 /nfs/dbraw/zinc/27/47/32/1096274732.db2.gz ICJMGPAFUBWCHX-SFHVURJKSA-N 0 1 318.436 3.052 20 30 DGEDMN COc1ccc(Cl)c2c1C[C@@H](N1CCC(C#N)CC1)CC2 ZINC001171315931 1096279630 /nfs/dbraw/zinc/27/96/30/1096279630.db2.gz JIMSZEQRHPYWEE-ZDUSSCGKSA-N 0 1 304.821 3.441 20 30 DGEDMN N#Cc1cccc(C(F)(F)F)c1NC(=O)c1cccc(O)c1O ZINC001148218662 1096328671 /nfs/dbraw/zinc/32/86/71/1096328671.db2.gz FPSKKPWKSFMIRJ-UHFFFAOYSA-N 0 1 322.242 3.241 20 30 DGEDMN C#CC[C@H](C)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225151677 1096353665 /nfs/dbraw/zinc/35/36/65/1096353665.db2.gz CLRCRFYEMSIRII-FXAWDEMLSA-N 0 1 315.457 3.485 20 30 DGEDMN COc1cccc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)c1 ZINC001225235783 1096370317 /nfs/dbraw/zinc/37/03/17/1096370317.db2.gz IPCVCPICIQGNDD-LJQANCHMSA-N 0 1 308.381 3.220 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3ccccc3Cl)C2)cn1 ZINC001225500568 1096450876 /nfs/dbraw/zinc/45/08/76/1096450876.db2.gz WHSNDVUALROUOZ-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1ccccn1 ZINC001148820042 1096453501 /nfs/dbraw/zinc/45/35/01/1096453501.db2.gz BKELGDQGLMIYDV-UHFFFAOYSA-N 0 1 305.466 3.428 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1ocnc1C ZINC001148820539 1096453517 /nfs/dbraw/zinc/45/35/17/1096453517.db2.gz HWYJSSZSXOMGNL-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@](C)(CC)CCCCC)C2)C1 ZINC001148851298 1096457342 /nfs/dbraw/zinc/45/73/42/1096457342.db2.gz QKWXFJIWLFDRPG-SFHVURJKSA-N 0 1 304.478 3.151 20 30 DGEDMN CC(C)(CCC#N)c1ccc(C(=O)Nc2cccnc2CN)cc1 ZINC001148912540 1096465588 /nfs/dbraw/zinc/46/55/88/1096465588.db2.gz RNWHBZIJGCSLHK-UHFFFAOYSA-N 0 1 322.412 3.374 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H](C)CC)C2)CC1 ZINC001086905107 1096503613 /nfs/dbraw/zinc/50/36/13/1096503613.db2.gz SZBAOCLYLHDWGD-ZFWWWQNUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CC[C@H](Oc1nc(NC(C)=O)nc2nc[nH]c21)c1ccccc1 ZINC001225827491 1096541219 /nfs/dbraw/zinc/54/12/19/1096541219.db2.gz MWBALFCFFUBIAB-ZDUSSCGKSA-N 0 1 323.356 3.008 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC001225918390 1096564832 /nfs/dbraw/zinc/56/48/32/1096564832.db2.gz GNZJLVMCSIPGJO-LURJTMIESA-N 0 1 317.219 3.212 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2C(C)(C)C2(C)C)[C@H](C)C1 ZINC001092526648 1096614015 /nfs/dbraw/zinc/61/40/15/1096614015.db2.gz ULKWJOASSUSCME-DGCLKSJQSA-N 0 1 312.885 3.105 20 30 DGEDMN CCOC(=O)[C@H](C)[C@@H](Oc1cccc(/C=N/O)c1)C(F)(F)F ZINC001226110742 1096614495 /nfs/dbraw/zinc/61/44/95/1096614495.db2.gz VDICJRWDNDNYKF-VIMVHLGPSA-N 0 1 319.279 3.004 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cccc(C)c2F)[C@H](C)C1 ZINC001092623104 1096623210 /nfs/dbraw/zinc/62/32/10/1096623210.db2.gz NJOXVPCOWGLTTO-TZMCWYRMSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(C)ccc2F)[C@H](C)C1 ZINC001093005333 1096648773 /nfs/dbraw/zinc/64/87/73/1096648773.db2.gz KBFMLCUGTWOCKD-TZMCWYRMSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(F)cc2C)[C@H](C)C1 ZINC001093147144 1096660875 /nfs/dbraw/zinc/66/08/75/1096660875.db2.gz SYIUCQKIXMCOPQ-TZMCWYRMSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccoc2CCC)[C@H](C)C1 ZINC001093131438 1096663715 /nfs/dbraw/zinc/66/37/15/1096663715.db2.gz QHXHZIHUSUKKLN-TZMCWYRMSA-N 0 1 324.852 3.282 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CC3CCC2CC3)[C@H](C)C1 ZINC001093228638 1096672830 /nfs/dbraw/zinc/67/28/30/1096672830.db2.gz PCAYPPSHUVUZGH-USLYUZPUSA-N 0 1 324.896 3.249 20 30 DGEDMN N#CC1CC(Oc2[nH]c(=O)nc3cc(-c4ccccc4)[nH]c32)C1 ZINC001226378398 1096675602 /nfs/dbraw/zinc/67/56/02/1096675602.db2.gz PTWDWGBKUKBSNP-UHFFFAOYSA-N 0 1 306.325 3.011 20 30 DGEDMN C=CCOCC(COCC=C)Oc1nc2ccc(C)cc2[nH]1 ZINC001226505829 1096707300 /nfs/dbraw/zinc/70/73/00/1096707300.db2.gz JJHLPFNHIUXOBP-UHFFFAOYSA-N 0 1 302.374 3.024 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001093569331 1096708832 /nfs/dbraw/zinc/70/88/32/1096708832.db2.gz BQZKEGPJAZENPK-LJQANCHMSA-N 0 1 322.493 3.081 20 30 DGEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC001226649945 1096742473 /nfs/dbraw/zinc/74/24/73/1096742473.db2.gz ZGVZGPRYTSJKSZ-STQMWFEESA-N 0 1 319.836 3.141 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3cc[nH]c3n2)C1 ZINC001226809003 1096784142 /nfs/dbraw/zinc/78/41/42/1096784142.db2.gz ZTAPTTGAGQWGOQ-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C[C@@H](Oc1n[nH]c(=O)cc1Br)c1ccccc1 ZINC001226848895 1096794068 /nfs/dbraw/zinc/79/40/68/1096794068.db2.gz IVIQCMGCYBKRHI-LLVKDONJSA-N 0 1 307.147 3.251 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3CC(C)C3)CCC[C@@H]12 ZINC001094390453 1096810629 /nfs/dbraw/zinc/81/06/29/1096810629.db2.gz XYSKJEFMGJYFPR-MALUVHSTSA-N 0 1 310.869 3.146 20 30 DGEDMN C[C@@H]1CCC[C@]1(C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001277164946 1096810927 /nfs/dbraw/zinc/81/09/27/1096810927.db2.gz QRAFVIQQURTOGT-XLIONFOSSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3=CCCC3)CCC[C@@H]12 ZINC001094393583 1096811680 /nfs/dbraw/zinc/81/16/80/1096811680.db2.gz LUAPQIMMMQBGQX-NVXWUHKLSA-N 0 1 308.853 3.210 20 30 DGEDMN C=CCCCCCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1cn[nH]c1 ZINC001277167146 1096818155 /nfs/dbraw/zinc/81/81/55/1096818155.db2.gz JRBAQHXDUVCBIF-QAPCUYQASA-N 0 1 316.449 3.339 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2C2(C)CC2)CC1 ZINC001277171061 1096832318 /nfs/dbraw/zinc/83/23/18/1096832318.db2.gz SQIYSUZQLCWFJL-UHFFFAOYSA-N 0 1 318.848 3.343 20 30 DGEDMN C=CCc1c(O)ccc(C(C)=O)c1O[C@H]1CC[N@H+](C(C)C)C1 ZINC001227120915 1096873713 /nfs/dbraw/zinc/87/37/13/1096873713.db2.gz WRJXHOSSCIUNNG-AWEZNQCLSA-N 0 1 303.402 3.185 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CC[N@H+](C(C)C)C1 ZINC001227120915 1096873726 /nfs/dbraw/zinc/87/37/26/1096873726.db2.gz WRJXHOSSCIUNNG-AWEZNQCLSA-N 0 1 303.402 3.185 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[N@@H+](C)CC1 ZINC001227120143 1096873880 /nfs/dbraw/zinc/87/38/80/1096873880.db2.gz FXKAOHIMVXRVBV-AWEZNQCLSA-N 0 1 303.402 3.186 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[N@H+](C)CC1 ZINC001227120143 1096873888 /nfs/dbraw/zinc/87/38/88/1096873888.db2.gz FXKAOHIMVXRVBV-AWEZNQCLSA-N 0 1 303.402 3.186 20 30 DGEDMN CC/C=C\CCOC(=O)[C@@H](C)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227216149 1096896492 /nfs/dbraw/zinc/89/64/92/1096896492.db2.gz OZGIYQWRTPLXMJ-QMAVJUDZSA-N 0 1 313.357 3.101 20 30 DGEDMN CC(C)Oc1ncccc1COc1nc2ccc(C#N)cc2[nH]1 ZINC001227220097 1096898946 /nfs/dbraw/zinc/89/89/46/1096898946.db2.gz POZBVWOEHLHONF-UHFFFAOYSA-N 0 1 308.341 3.196 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4cc(F)c(F)cc4C3)CC[C@H]2S1 ZINC001171481521 1096908429 /nfs/dbraw/zinc/90/84/29/1096908429.db2.gz DDNQXNWIBYUYQP-CZUORRHYSA-N 0 1 321.392 3.096 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4cc(F)c(F)cc4C3)CCC2S1 ZINC001171481521 1096908435 /nfs/dbraw/zinc/90/84/35/1096908435.db2.gz DDNQXNWIBYUYQP-CZUORRHYSA-N 0 1 321.392 3.096 20 30 DGEDMN Cc1cc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cnc1C#N ZINC001227349182 1096919603 /nfs/dbraw/zinc/91/96/03/1096919603.db2.gz FKXIRJYAXVQZCC-HZPDHXFCSA-N 0 1 322.412 3.261 20 30 DGEDMN COc1ccc(C(=O)c2ccccc2O)c(OC2CC(C#N)C2)c1 ZINC001227406360 1096925967 /nfs/dbraw/zinc/92/59/67/1096925967.db2.gz GQOFWUCHBLWGEP-UHFFFAOYSA-N 0 1 323.348 3.313 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(=O)O[C@@H](C)CC)cc1 ZINC001227412981 1096926671 /nfs/dbraw/zinc/92/66/71/1096926671.db2.gz INKJBTGABKUNGA-QWRGUYRKSA-N 0 1 304.342 3.240 20 30 DGEDMN C#CC[C@H](C)Oc1cc(OC)ccc1C(=O)c1ccccc1O ZINC001227408177 1096927062 /nfs/dbraw/zinc/92/70/62/1096927062.db2.gz XAVGYPPMKIMRDP-ZDUSSCGKSA-N 0 1 310.349 3.422 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Oc1[nH]c(=O)nc2cc[nH]c21 ZINC001227808046 1096993574 /nfs/dbraw/zinc/99/35/74/1096993574.db2.gz DACDZFFNDVOHAA-LLVKDONJSA-N 0 1 311.341 3.368 20 30 DGEDMN CN(CCC#N)[C@@H]1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001172087383 1097039348 /nfs/dbraw/zinc/03/93/48/1097039348.db2.gz FIGLXWNHJOZWEJ-QDMKHBRRSA-N 0 1 307.438 3.152 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228112344 1097053361 /nfs/dbraw/zinc/05/33/61/1097053361.db2.gz IMHJIURNQBHJJZ-RRFJBIMHSA-N 0 1 321.490 3.262 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1[C@@H]1CC[N@H+](CCF)C1 ZINC001277283834 1097086457 /nfs/dbraw/zinc/08/64/57/1097086457.db2.gz HHTAOAVJVVAIHR-MSOLQXFVSA-N 0 1 322.468 3.243 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1[C@@H]1CCN(CCF)C1 ZINC001277283834 1097086463 /nfs/dbraw/zinc/08/64/63/1097086463.db2.gz HHTAOAVJVVAIHR-MSOLQXFVSA-N 0 1 322.468 3.243 20 30 DGEDMN CCOC(=O)CN([C@H](C)Cc1ccc(C#N)cc1)C1CCCC1 ZINC001172311283 1097096996 /nfs/dbraw/zinc/09/69/96/1097096996.db2.gz BADSDRYFPZRCHT-OAHLLOKOSA-N 0 1 314.429 3.297 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCc2nc(C3CC3)ncc2C1 ZINC001172310475 1097097081 /nfs/dbraw/zinc/09/70/81/1097097081.db2.gz FWYBHYYHTDXKCK-AWEZNQCLSA-N 0 1 318.424 3.215 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](C(C)(C)Oc2nc(Cl)nc3nc[nH]c32)O1 ZINC001228510746 1097133741 /nfs/dbraw/zinc/13/37/41/1097133741.db2.gz WTPRLRNDTPMHHL-VFZGTOFNSA-N 0 1 322.796 3.287 20 30 DGEDMN C[C@@H](Oc1nc2cccc(Br)c2[nH]1)[C@H](C)S ZINC001228682316 1097167140 /nfs/dbraw/zinc/16/71/40/1097167140.db2.gz VNJWGIRHTSIOHL-RQJHMYQMSA-N 0 1 301.209 3.411 20 30 DGEDMN C[C@@H](CCCC#N)N1CCCn2cnc(COCC3CC3)c2C1 ZINC001172498713 1097170834 /nfs/dbraw/zinc/17/08/34/1097170834.db2.gz NIOBTHUDVUSRNZ-HNNXBMFYSA-N 0 1 316.449 3.098 20 30 DGEDMN CC(C)COC[C@@H]1CN([C@H](C)CCCC#N)Cc2ncn(C)c21 ZINC001172496915 1097170955 /nfs/dbraw/zinc/17/09/55/1097170955.db2.gz UHBDSLFNDYCKBO-CVEARBPZSA-N 0 1 318.465 3.074 20 30 DGEDMN CC(C)OCc1ncn2c1CN([C@@H](C)CCCC#N)CCC2 ZINC001172500146 1097171874 /nfs/dbraw/zinc/17/18/74/1097171874.db2.gz XGDXOIVTXCZCJK-HNNXBMFYSA-N 0 1 304.438 3.096 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(c2ccc3c(c2)CCC3=O)CC1 ZINC001172506069 1097174257 /nfs/dbraw/zinc/17/42/57/1097174257.db2.gz MVYUWYHJYFEJFJ-OAHLLOKOSA-N 0 1 311.429 3.020 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001228810547 1097195714 /nfs/dbraw/zinc/19/57/14/1097195714.db2.gz WUJDTBIFJTZJFC-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC(C)N1CC[C@H](Oc2cccc(C#N)c2Br)C1 ZINC001229003875 1097235362 /nfs/dbraw/zinc/23/53/62/1097235362.db2.gz AUSKEOWDFYKTHN-LBPRGKRZSA-N 0 1 309.207 3.182 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001229048859 1097244962 /nfs/dbraw/zinc/24/49/62/1097244962.db2.gz NYTNSFYSEHDCBK-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001229376762 1097308772 /nfs/dbraw/zinc/30/87/72/1097308772.db2.gz ITTFRKBKQKLHIJ-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CC(C#C)Oc1c(O)ccc2c(=O)cc(-c3ccccc3)oc21 ZINC001229437601 1097320472 /nfs/dbraw/zinc/32/04/72/1097320472.db2.gz KADJJJPECWEDEZ-UHFFFAOYSA-N 0 1 316.312 3.179 20 30 DGEDMN C=CCOCC(COCC=C)Oc1ccc(F)cc1CN(C)C ZINC001229853683 1097399060 /nfs/dbraw/zinc/39/90/60/1097399060.db2.gz ILPPSRUGIQVSJB-UHFFFAOYSA-N 0 1 323.408 3.040 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCC1CCC1 ZINC001277431777 1097412646 /nfs/dbraw/zinc/41/26/46/1097412646.db2.gz MMBVHOFQDPGNQS-KDURUIRLSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001277432460 1097413488 /nfs/dbraw/zinc/41/34/88/1097413488.db2.gz ZONMRRZIUJAOEO-BBWFWOEESA-N 0 1 322.493 3.079 20 30 DGEDMN N#CCC1CCC(N2CC(N3Cc4ccccc4NC3=O)C2)CC1 ZINC001173340673 1097422594 /nfs/dbraw/zinc/42/25/94/1097422594.db2.gz JMMSMPGCVDEXQR-UHFFFAOYSA-N 0 1 324.428 3.191 20 30 DGEDMN CC#CCN1CCC(NC(=O)CCCC2CCCCC2)CC1 ZINC001230188896 1097456432 /nfs/dbraw/zinc/45/64/32/1097456432.db2.gz NMYMUHFVUGVWMA-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN CC1(C#N)CCC(N2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC001173596951 1097468283 /nfs/dbraw/zinc/46/82/83/1097468283.db2.gz BZEGYMDZYUGHDN-UHFFFAOYSA-N 0 1 317.477 3.183 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CC)c2ccc(Cl)cc2)CC1 ZINC001230332069 1097477299 /nfs/dbraw/zinc/47/72/99/1097477299.db2.gz PZGRIOXFLLVUQG-KRWDZBQOSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CCC1(C(=O)NC2CCN(C/C=C\Cl)CC2)CCCC1 ZINC001230475580 1097495268 /nfs/dbraw/zinc/49/52/68/1097495268.db2.gz OOEKQYADYCKNDI-WZUFQYTHSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1CCC(NC(=O)C/C=C/c2ccc(C)cc2)CC1 ZINC001230496874 1097498214 /nfs/dbraw/zinc/49/82/14/1097498214.db2.gz NAXUAOPBZKEFMK-AATRIKPKSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CC[N@H+](CCCNC(=O)[C@H](C)CCC)Cc1cccnc1 ZINC001230714167 1097563110 /nfs/dbraw/zinc/56/31/10/1097563110.db2.gz XDRDXMLABGFYFQ-MRXNPFEDSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)CCC)Cc1cccnc1 ZINC001230714167 1097563112 /nfs/dbraw/zinc/56/31/12/1097563112.db2.gz XDRDXMLABGFYFQ-MRXNPFEDSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H]1C[C@@]1(C)CC)Cc1cccnc1 ZINC001230882790 1097612464 /nfs/dbraw/zinc/61/24/64/1097612464.db2.gz SZYXKXNMTBSDKL-IEBWSBKVSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@H]1CN(C)C(=O)COC1CCCC1 ZINC001230901291 1097618035 /nfs/dbraw/zinc/61/80/35/1097618035.db2.gz ZIRVNVKKKRRPRM-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCN(CCCNC(=O)c1ccc(C)cc1)Cc1cccnc1 ZINC001230911660 1097621167 /nfs/dbraw/zinc/62/11/67/1097621167.db2.gz LTFWWTWIWGOIQJ-UHFFFAOYSA-N 0 1 323.440 3.198 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](C(C)(C)Oc2nc(Cl)nc3[nH]ncc32)O1 ZINC001231046877 1097655095 /nfs/dbraw/zinc/65/50/95/1097655095.db2.gz HEIFDOBACCVNOS-ZUZCIYMTSA-N 0 1 322.796 3.287 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc2cccnc21 ZINC001231114702 1097671517 /nfs/dbraw/zinc/67/15/17/1097671517.db2.gz QOMZOAGZHBZMEI-SFHVURJKSA-N 0 1 323.440 3.234 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCOC1CCCCCC1 ZINC001231179329 1097689978 /nfs/dbraw/zinc/68/99/78/1097689978.db2.gz RVAAIWBLTDKRIR-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CCOC1CCCCCC1 ZINC001231179329 1097689981 /nfs/dbraw/zinc/68/99/81/1097689981.db2.gz RVAAIWBLTDKRIR-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C[C@]1(C)CC[C@H](C(C)(C)Oc2nc(C)cc(O)c2[N+](=O)[O-])O1 ZINC001231261202 1097705304 /nfs/dbraw/zinc/70/53/04/1097705304.db2.gz ZHEABGUHXHCLIC-MLGOLLRUSA-N 0 1 322.361 3.285 20 30 DGEDMN N#C[C@@H](NC(=O)c1cc2c(Cl)[nH]ccc-2n1)c1ccccc1 ZINC001174554938 1097775647 /nfs/dbraw/zinc/77/56/47/1097775647.db2.gz FFTLJUTWQUNAAZ-CQSZACIVSA-N 0 1 310.744 3.211 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@]3(CCN(C/C=C/Cl)C3)C2)CCC1 ZINC001277532071 1097779305 /nfs/dbraw/zinc/77/93/05/1097779305.db2.gz WFQRCBGOWUOFAT-PEJPJJPESA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCOc1cccc(CN2CCC3SC(=O)C=C3C2)c1 ZINC001231621695 1097793260 /nfs/dbraw/zinc/79/32/60/1097793260.db2.gz HRDIUJKDIFSKTD-INIZCTEOSA-N 0 1 301.411 3.026 20 30 DGEDMN C=CCOc1cccc(CN2CCC(C)(C(=O)OCC)CC2)c1 ZINC001231625108 1097794060 /nfs/dbraw/zinc/79/40/60/1097794060.db2.gz MHZPBWUXAUEMDV-UHFFFAOYSA-N 0 1 317.429 3.417 20 30 DGEDMN C#Cc1cccc(CN2CC3(CCN3Cc3ccccc3)C2)c1 ZINC001231662583 1097809302 /nfs/dbraw/zinc/80/93/02/1097809302.db2.gz HSYPEJWWCCQERC-UHFFFAOYSA-N 0 1 302.421 3.128 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)Cc1ccccc1C)C2 ZINC001110172810 1097822326 /nfs/dbraw/zinc/82/23/26/1097822326.db2.gz MEQIBXOPYAOOKT-YSHGAJCASA-N 0 1 312.457 3.081 20 30 DGEDMN Cc1ccc(Nc2ccc(N3CCN(C)CC3)cc2)c(C#N)c1 ZINC001174815245 1097845231 /nfs/dbraw/zinc/84/52/31/1097845231.db2.gz MAECSJUSIQYZAS-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CN1CCN(c2ccc(Nc3cccc(F)c3C#N)cc2)CC1 ZINC001174816150 1097845859 /nfs/dbraw/zinc/84/58/59/1097845859.db2.gz VEBBDECZKXPINK-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001174834356 1097852638 /nfs/dbraw/zinc/85/26/38/1097852638.db2.gz ZJEHDEGVLXXQHB-GDBMZVCRSA-N 0 1 321.465 3.350 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2ccccc2C(C)C)CC1 ZINC001231877630 1097875280 /nfs/dbraw/zinc/87/52/80/1097875280.db2.gz MPYLJZOJIWMINU-UHFFFAOYSA-N 0 1 314.429 3.479 20 30 DGEDMN CN(C)c1cccc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001174961636 1097901915 /nfs/dbraw/zinc/90/19/15/1097901915.db2.gz AOCAAANACIESBS-UHFFFAOYSA-N 0 1 312.442 3.417 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001174987988 1097918414 /nfs/dbraw/zinc/91/84/14/1097918414.db2.gz VCEDYCGUNKFPAN-CQSZACIVSA-N 0 1 307.438 3.104 20 30 DGEDMN COc1ccc(-c2c(CN3CCC[C@H](CC#N)C3)cnn2C)cc1 ZINC001232114031 1097941573 /nfs/dbraw/zinc/94/15/73/1097941573.db2.gz RJDMBABLJFODEQ-OAHLLOKOSA-N 0 1 324.428 3.221 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3cccn3)CC2)cc1Cl ZINC001232117425 1097943046 /nfs/dbraw/zinc/94/30/46/1097943046.db2.gz YVEDPQOZGGXKNB-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)oc1C ZINC001277617213 1097945276 /nfs/dbraw/zinc/94/52/76/1097945276.db2.gz ZHXYEDYPTNSABT-OAHLLOKOSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001277670220 1098052793 /nfs/dbraw/zinc/05/27/93/1098052793.db2.gz XNKDXMGLHMEGDC-JYJNAYRXSA-N 0 1 310.869 3.002 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4nccnc4c3)sc2C1 ZINC001175486945 1098060637 /nfs/dbraw/zinc/06/06/37/1098060637.db2.gz OGTKJWVQJKUJIU-UHFFFAOYSA-N 0 1 321.409 3.294 20 30 DGEDMN Oc1c(F)cc(CN2CCC(S)CC2)cc1Br ZINC001232633337 1098102735 /nfs/dbraw/zinc/10/27/35/1098102735.db2.gz LKHUBPIUPTUPKH-UHFFFAOYSA-N 0 1 320.227 3.188 20 30 DGEDMN Cc1cccnc1O[C@H]1CCC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232682045 1098113312 /nfs/dbraw/zinc/11/33/12/1098113312.db2.gz YWAIXOSEFQCGRD-KRWDZBQOSA-N 0 1 323.396 3.011 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CC3CCCC3)C2)CCCC1 ZINC001277714357 1098132545 /nfs/dbraw/zinc/13/25/45/1098132545.db2.gz FYEASBBCZUCKJT-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN COCC[C@H]1CO[C@]2(C1)CCCN(Cc1ccc(C#N)s1)C2 ZINC001232843151 1098150934 /nfs/dbraw/zinc/15/09/34/1098150934.db2.gz RZEFHNDAWKXYBD-PBHICJAKSA-N 0 1 320.458 3.027 20 30 DGEDMN N#Cc1ccc(CN2CCC(O)(Cc3ccccc3)CC2)s1 ZINC001232842132 1098150954 /nfs/dbraw/zinc/15/09/54/1098150954.db2.gz JPNQDMWJEXPVOK-UHFFFAOYSA-N 0 1 312.438 3.189 20 30 DGEDMN C[C@H](c1ccccc1)N(C(=O)Cc1ccn[nH]1)C1=CC(=O)CCC1 ZINC001175745994 1098154740 /nfs/dbraw/zinc/15/47/40/1098154740.db2.gz KQZJFGNOSFZIBH-CQSZACIVSA-N 0 1 323.396 3.179 20 30 DGEDMN COC(=O)CCN(Cc1cc(F)ccc1C#N)C1CCCC1 ZINC001232891778 1098166041 /nfs/dbraw/zinc/16/60/41/1098166041.db2.gz PLWKPIFIVBOQFA-UHFFFAOYSA-N 0 1 304.365 3.005 20 30 DGEDMN CO[C@H]1CCCN(Cc2ccc(Br)c(C#N)c2)CC1 ZINC001232899662 1098168569 /nfs/dbraw/zinc/16/85/69/1098168569.db2.gz TUMYIRAGQLEDCP-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN COc1ccccc1C1CCN(Cc2cccc(C#N)n2)CC1 ZINC001232925248 1098173610 /nfs/dbraw/zinc/17/36/10/1098173610.db2.gz AAHBBBTVTBBEKR-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C)cc3C#N)CC2)cc1 ZINC001232966955 1098185820 /nfs/dbraw/zinc/18/58/20/1098185820.db2.gz ZLKDRAJIWXKVPG-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(C)(C)c1ccccc1)C2 ZINC001110192132 1098224974 /nfs/dbraw/zinc/22/49/74/1098224974.db2.gz XOXVLEFTAAINCJ-QYZOEREBSA-N 0 1 324.468 3.099 20 30 DGEDMN CN(C)c1ccc(CN2CCC[C@](C)(F)CC2)c(F)c1C#N ZINC001233096757 1098226204 /nfs/dbraw/zinc/22/62/04/1098226204.db2.gz KXKPKYGEBMVTRD-KRWDZBQOSA-N 0 1 307.388 3.477 20 30 DGEDMN N#Cc1cc(N)c(Nc2ccc3nn[nH]c3c2)cc1C(F)(F)F ZINC001176004949 1098229646 /nfs/dbraw/zinc/22/96/46/1098229646.db2.gz NWRKMRUWAFPVEH-UHFFFAOYSA-N 0 1 318.262 3.174 20 30 DGEDMN N#Cc1cc(N)c(Nc2ccc3n[nH]nc3c2)cc1C(F)(F)F ZINC001176004949 1098229651 /nfs/dbraw/zinc/22/96/51/1098229651.db2.gz NWRKMRUWAFPVEH-UHFFFAOYSA-N 0 1 318.262 3.174 20 30 DGEDMN N#Cc1ccc(Nc2ccc3nn[nH]c3c2)c(Br)c1 ZINC001176006059 1098230126 /nfs/dbraw/zinc/23/01/26/1098230126.db2.gz QOSBXBWEEYKEBJ-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1ccc(Nc2ccc3n[nH]nc3c2)c(Br)c1 ZINC001176006059 1098230130 /nfs/dbraw/zinc/23/01/30/1098230130.db2.gz QOSBXBWEEYKEBJ-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cc(Nc2ccc3nn[nH]c3c2)ccc1N1CCCC1 ZINC001176005082 1098230151 /nfs/dbraw/zinc/23/01/51/1098230151.db2.gz UHGUFFODMDJTRN-UHFFFAOYSA-N 0 1 304.357 3.173 20 30 DGEDMN N#Cc1cc(Nc2ccc3n[nH]nc3c2)ccc1N1CCCC1 ZINC001176005082 1098230156 /nfs/dbraw/zinc/23/01/56/1098230156.db2.gz UHGUFFODMDJTRN-UHFFFAOYSA-N 0 1 304.357 3.173 20 30 DGEDMN COc1cccc([C@@H]2CC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)c1 ZINC001233387328 1098309948 /nfs/dbraw/zinc/30/99/48/1098309948.db2.gz FHEJHVAGIOSJSR-MRXNPFEDSA-N 0 1 308.381 3.262 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1[O-])Cc1ncccc1C(F)(F)F ZINC001233384551 1098310869 /nfs/dbraw/zinc/31/08/69/1098310869.db2.gz ZKAILMBURPJKSN-UHFFFAOYSA-N 0 1 321.302 3.310 20 30 DGEDMN CC[N@@H+](Cc1ccc2c(c1)OCO2)Cc1ccc(C#N)cc1[O-] ZINC001233388165 1098312502 /nfs/dbraw/zinc/31/25/02/1098312502.db2.gz OQZOHNFPZIWOLK-UHFFFAOYSA-N 0 1 310.353 3.015 20 30 DGEDMN N#Cc1ccsc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176379330 1098324590 /nfs/dbraw/zinc/32/45/90/1098324590.db2.gz WFYKPNVVCGOSTP-UHFFFAOYSA-N 0 1 313.426 3.238 20 30 DGEDMN N#CC=C([O-])c1ccccc1O[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001233522153 1098363262 /nfs/dbraw/zinc/36/32/62/1098363262.db2.gz CDFUXGVNNZGZHY-KRWDZBQOSA-N 0 1 320.392 3.436 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc(Br)c1OC ZINC001233692792 1098409852 /nfs/dbraw/zinc/40/98/52/1098409852.db2.gz UVPZSDASBSEDFS-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN C[C@@]1(CN2CCc3c(C#N)c(N)sc3C2)CC1(Cl)Cl ZINC001176771626 1098436795 /nfs/dbraw/zinc/43/67/95/1098436795.db2.gz OLZZNWHHBOKCHN-LBPRGKRZSA-N 0 1 316.257 3.144 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2OCCN(CCC(C)(C)C)[C@@H]2C1 ZINC001177026569 1098463742 /nfs/dbraw/zinc/46/37/42/1098463742.db2.gz ZQVFTNIIVAYVHX-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C#Cc1ccc(O[C@H]2CCN(Cc3ccccc3Cl)C2)nc1 ZINC001233966261 1098468067 /nfs/dbraw/zinc/46/80/67/1098468067.db2.gz SNMAGERHXWEVSF-INIZCTEOSA-N 0 1 312.800 3.370 20 30 DGEDMN C=CCCCN1CCO[C@H]2CCN(C(=O)CC(C)=C(C)C)C[C@@H]21 ZINC001177074072 1098471247 /nfs/dbraw/zinc/47/12/47/1098471247.db2.gz UIGHWGYMDCGBRX-ROUUACIJSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C[C@H](CC)Oc1cc(O)cc(O)c1C(=O)c1cccc(O)c1 ZINC001234162850 1098507134 /nfs/dbraw/zinc/50/71/34/1098507134.db2.gz RPOGUZFMEULKBJ-CQSZACIVSA-N 0 1 314.337 3.378 20 30 DGEDMN C=C1CCC(CNC(=O)c2ccc(CN3CCCC3)o2)CC1 ZINC001177327045 1098517032 /nfs/dbraw/zinc/51/70/32/1098517032.db2.gz PKXQYKXBSYZMIO-UHFFFAOYSA-N 0 1 302.418 3.352 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](CC)OC1CCCCC1 ZINC001234295820 1098530654 /nfs/dbraw/zinc/53/06/54/1098530654.db2.gz HTCNORNYDPQDSI-AEFFLSMTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(Cl)c(OC)cc1F ZINC001234321122 1098536025 /nfs/dbraw/zinc/53/60/25/1098536025.db2.gz IBHWSOPYRLBQRO-SNVBAGLBSA-N 0 1 300.713 3.476 20 30 DGEDMN C=C(Br)CNCc1ccc(OCC(F)F)cc1 ZINC001177744681 1098597888 /nfs/dbraw/zinc/59/78/88/1098597888.db2.gz ZUFBWGNONTVTSX-UHFFFAOYSA-N 0 1 306.150 3.329 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccc(F)c1C ZINC001234425613 1098553063 /nfs/dbraw/zinc/55/30/63/1098553063.db2.gz ONIASJVEUYWZEW-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C[C@H](COc1ccccc1)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234511902 1098575817 /nfs/dbraw/zinc/57/58/17/1098575817.db2.gz YMDIWVAIOMIVEL-GFCCVEGCSA-N 0 1 321.336 3.053 20 30 DGEDMN COc1ccccc1[C@H](C)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234516866 1098577123 /nfs/dbraw/zinc/57/71/23/1098577123.db2.gz YQHFUUZXUMEJBI-NSHDSACASA-N 0 1 321.336 3.356 20 30 DGEDMN C#C[C@H](Oc1nc(C)[nH]c(=O)c1Br)c1ccccc1 ZINC001234574321 1098605399 /nfs/dbraw/zinc/60/53/99/1098605399.db2.gz YQPINWIVUURKBP-NSHDSACASA-N 0 1 319.158 3.006 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H]1CCN1CCc1ccccc1F ZINC001234613573 1098619738 /nfs/dbraw/zinc/61/97/38/1098619738.db2.gz JJLBRDHILFHDQH-KRWDZBQOSA-N 0 1 318.436 3.257 20 30 DGEDMN C=CCOc1ccccc1CNCc1cc(-c2ccccn2)n[nH]1 ZINC001177875779 1098627256 /nfs/dbraw/zinc/62/72/56/1098627256.db2.gz RLLLSNKSFPIKEL-UHFFFAOYSA-N 0 1 320.396 3.326 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1ccc2c(c1)CCC2 ZINC001177903675 1098643561 /nfs/dbraw/zinc/64/35/61/1098643561.db2.gz DWTAPDCHHKLNAQ-MRXNPFEDSA-N 0 1 310.422 3.235 20 30 DGEDMN CN(CCc1cccs1)C(=O)C(C#N)Cc1cccs1 ZINC001177909950 1098645039 /nfs/dbraw/zinc/64/50/39/1098645039.db2.gz SEEUMBXWVRJJFF-LBPRGKRZSA-N 0 1 304.440 3.193 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCCc2cnccc21 ZINC001177918174 1098647908 /nfs/dbraw/zinc/64/79/08/1098647908.db2.gz RCRDQKDTPVWVCY-CJNGLKHVSA-N 0 1 311.410 3.019 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cccc2ncccc12 ZINC001177916174 1098648252 /nfs/dbraw/zinc/64/82/52/1098648252.db2.gz FCXCKTMEIICAGU-CQSZACIVSA-N 0 1 321.405 3.295 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCCc2cnccc21 ZINC001177918173 1098648328 /nfs/dbraw/zinc/64/83/28/1098648328.db2.gz RCRDQKDTPVWVCY-BBRMVZONSA-N 0 1 311.410 3.019 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C\[C@]2(C)CC[C@@H](C)C2(C)C)CC1 ZINC001178207611 1098747642 /nfs/dbraw/zinc/74/76/42/1098747642.db2.gz JWPPWQGVXFRLAC-IFECAOSRSA-N 0 1 316.489 3.219 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1cc(C)on1 ZINC001178596463 1098866453 /nfs/dbraw/zinc/86/64/53/1098866453.db2.gz PJFBXUJOSWKZCO-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1coc(C)n1 ZINC001178596217 1098881564 /nfs/dbraw/zinc/88/15/64/1098881564.db2.gz JMYKCZYKFQXOGY-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1nocc1C ZINC001178598892 1098883207 /nfs/dbraw/zinc/88/32/07/1098883207.db2.gz NSUZGFGWWBUPRS-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001178805597 1098937033 /nfs/dbraw/zinc/93/70/33/1098937033.db2.gz HNUNPHSLNGPHDK-LXSPXAAKSA-N 0 1 305.249 3.241 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001178805598 1098937024 /nfs/dbraw/zinc/93/70/24/1098937024.db2.gz HNUNPHSLNGPHDK-OVJSSFAUSA-N 0 1 305.249 3.241 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCNCc1cscn1 ZINC001179230642 1099042851 /nfs/dbraw/zinc/04/28/51/1099042851.db2.gz PIRVVEBJKDCINJ-UHFFFAOYSA-N 0 1 309.479 3.122 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179306923 1099059766 /nfs/dbraw/zinc/05/97/66/1099059766.db2.gz NBKCDUAGRQCOIO-HUUCEWRRSA-N 0 1 321.465 3.207 20 30 DGEDMN COc1cccc(CN(C)Cc2ccccc2CC#N)c1OC ZINC001235525601 1099074289 /nfs/dbraw/zinc/07/42/89/1099074289.db2.gz PYEHOLVUFLNTQX-UHFFFAOYSA-N 0 1 310.397 3.402 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001277962788 1099132577 /nfs/dbraw/zinc/13/25/77/1099132577.db2.gz NZLZIUJNAFDDIR-BHYGNILZSA-N 0 1 320.864 3.288 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCCCCNCc1ccon1 ZINC001179693328 1099165224 /nfs/dbraw/zinc/16/52/24/1099165224.db2.gz XAPDQEPWUYPDFB-UHFFFAOYSA-N 0 1 307.438 3.043 20 30 DGEDMN C[C@H]1CC[C@@](CO)(NCc2csc(C#N)c2)c2ccccc21 ZINC001179789590 1099204101 /nfs/dbraw/zinc/20/41/01/1099204101.db2.gz SYBZEWZYBGPMDD-UGSOOPFHSA-N 0 1 312.438 3.494 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C4(C#N)CC4)cc3)cn2)CC1 ZINC001235973033 1099301612 /nfs/dbraw/zinc/30/16/12/1099301612.db2.gz LKRZSXMPZLCZJL-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2cccc3c2CCC3)CC1 ZINC001180546710 1099455151 /nfs/dbraw/zinc/45/51/51/1099455151.db2.gz QNLSPGMGKKGRQR-UHFFFAOYSA-N 0 1 317.436 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(C(F)F)c1 ZINC001277994912 1099732252 /nfs/dbraw/zinc/73/22/52/1099732252.db2.gz KSPLNFRVBIYOLE-NSHDSACASA-N 0 1 316.779 3.427 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(C)c(F)c(F)c1 ZINC001273562579 1099773129 /nfs/dbraw/zinc/77/31/29/1099773129.db2.gz AMBNPVBQZXOVBE-HZPDHXFCSA-N 0 1 320.383 3.025 20 30 DGEDMN C#CC1(NC(=O)c2ccc(O)c(C(F)(F)F)c2)CCCCC1 ZINC001188598546 1099789160 /nfs/dbraw/zinc/78/91/60/1099789160.db2.gz LJRQGRFKXWVSCO-UHFFFAOYSA-N 0 1 311.303 3.477 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC001188886750 1099893947 /nfs/dbraw/zinc/89/39/47/1099893947.db2.gz JCQYQVVSHXJDSZ-GOSISDBHSA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001189006378 1099927032 /nfs/dbraw/zinc/92/70/32/1099927032.db2.gz CXUHJYRLFITPID-JKSUJKDBSA-N 0 1 304.459 3.137 20 30 DGEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)C[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001189213146 1099994560 /nfs/dbraw/zinc/99/45/60/1099994560.db2.gz UXPBYBLOAAXLNO-CEXWTWQISA-N 0 1 316.489 3.315 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001189213146 1099994571 /nfs/dbraw/zinc/99/45/71/1099994571.db2.gz UXPBYBLOAAXLNO-CEXWTWQISA-N 0 1 316.489 3.315 20 30 DGEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)C[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001189213149 1099994805 /nfs/dbraw/zinc/99/48/05/1099994805.db2.gz UXPBYBLOAAXLNO-GBESFXJTSA-N 0 1 316.489 3.315 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001189213149 1099994812 /nfs/dbraw/zinc/99/48/12/1099994812.db2.gz UXPBYBLOAAXLNO-GBESFXJTSA-N 0 1 316.489 3.315 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)Cc2ccc(Cl)s2)C1 ZINC001189280300 1099999017 /nfs/dbraw/zinc/99/90/17/1099999017.db2.gz QTEGGGVHKUFEKC-LBPRGKRZSA-N 0 1 312.866 3.400 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)c2cc(-c3ccccn3)n[nH]2)cc1 ZINC001189473668 1100054797 /nfs/dbraw/zinc/05/47/97/1100054797.db2.gz WBCZPSKKTJBGGC-GFCCVEGCSA-N 0 1 317.352 3.351 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H](C)CCC=C(C)C)CC1 ZINC001278079453 1100058364 /nfs/dbraw/zinc/05/83/64/1100058364.db2.gz IHMUCNNXRFHEBN-KRWDZBQOSA-N 0 1 304.478 3.363 20 30 DGEDMN CN1CCC(C#N)(NC(=O)Nc2ccccc2C(C)(C)C)CC1 ZINC001189615255 1100099473 /nfs/dbraw/zinc/09/94/73/1100099473.db2.gz MJERJICEVGFZJU-UHFFFAOYSA-N 0 1 314.433 3.094 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1c(Cl)[nH]c2cnccc21 ZINC001237460163 1100132118 /nfs/dbraw/zinc/13/21/18/1100132118.db2.gz BSTATYYVWFQDTB-CNDDSTCGSA-N 0 1 300.793 3.483 20 30 DGEDMN COc1cccc(O[C@H]2CCCN(Cc3ccnc(C#N)c3)C2)c1 ZINC001237469739 1100133332 /nfs/dbraw/zinc/13/33/32/1100133332.db2.gz FXMVFYAIQFDTHG-IBGZPJMESA-N 0 1 323.396 3.005 20 30 DGEDMN Cn1cc(CN2CC(C)(C)OC(C)(C)C2)c2cc(C#N)ccc21 ZINC001237472591 1100135261 /nfs/dbraw/zinc/13/52/61/1100135261.db2.gz KFPOUDRTTWTPKT-UHFFFAOYSA-N 0 1 311.429 3.439 20 30 DGEDMN CO[C@H]1CCC[C@@H]2CN(Cc3cc(C#N)c(F)cc3F)C[C@@H]21 ZINC001237526111 1100160295 /nfs/dbraw/zinc/16/02/95/1100160295.db2.gz MFXRCJQKNIALFG-WHCBVINPSA-N 0 1 306.356 3.083 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2coc3ccc(CC)cc23)C1 ZINC001190203218 1100222285 /nfs/dbraw/zinc/22/22/85/1100222285.db2.gz IMRJMCHKVKKESB-MRXNPFEDSA-N 0 1 324.424 3.165 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001190354502 1100253377 /nfs/dbraw/zinc/25/33/77/1100253377.db2.gz KRLMGVZRRZEFTK-CQSZACIVSA-N 0 1 318.383 3.240 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](CCCC)C(C)C)[C@@H]2C1 ZINC001190394344 1100257668 /nfs/dbraw/zinc/25/76/68/1100257668.db2.gz NTXFWHNCMDXFGA-RCCFBDPRSA-N 0 1 304.478 3.005 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(COc3ccccc3)cc2)CCC1=O ZINC001237922448 1100294850 /nfs/dbraw/zinc/29/48/50/1100294850.db2.gz ISDRHZPITNSKBK-SFHVURJKSA-N 0 1 320.392 3.180 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2ncnc(Cl)c2C(C)C)CC1 ZINC001190776290 1100335318 /nfs/dbraw/zinc/33/53/18/1100335318.db2.gz ARHIDFHDDPNHNA-UHFFFAOYSA-N 0 1 315.826 3.104 20 30 DGEDMN CC(=O)N1CC[C@H](N(Cc2ccc(C#N)cc2Cl)C(C)C)C1 ZINC001238084828 1100355433 /nfs/dbraw/zinc/35/54/33/1100355433.db2.gz NZWIZKFNFCPOEU-INIZCTEOSA-N 0 1 319.836 3.043 20 30 DGEDMN N#Cc1cc(CN2CCC(n3cccn3)CC2)ccc1Cl ZINC001238199785 1100391370 /nfs/dbraw/zinc/39/13/70/1100391370.db2.gz YPHSYECDMVUEAO-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)C1CC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001278208191 1100404433 /nfs/dbraw/zinc/40/44/33/1100404433.db2.gz VKUWYMITHXHPBU-RDJZCZTQSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)C1CC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001278208193 1100404517 /nfs/dbraw/zinc/40/45/17/1100404517.db2.gz VKUWYMITHXHPBU-WBVHZDCISA-N 0 1 322.468 3.097 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1cccc(Cl)c1C ZINC001281939594 1100423041 /nfs/dbraw/zinc/42/30/41/1100423041.db2.gz JLLUPUCENCNIOJ-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(Cl)c1C ZINC001281939594 1100423047 /nfs/dbraw/zinc/42/30/47/1100423047.db2.gz JLLUPUCENCNIOJ-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN COc1cc(CN2CC[C@@H](C(=O)c3ccccc3)C2)ccc1C#N ZINC001238416612 1100437512 /nfs/dbraw/zinc/43/75/12/1100437512.db2.gz PCUWHUCNQAEXAL-GOSISDBHSA-N 0 1 320.392 3.272 20 30 DGEDMN CCC#C[C@H](C)N1CC[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001191400185 1100456976 /nfs/dbraw/zinc/45/69/76/1100456976.db2.gz OGJJDNQWZZKMEP-XHSDSOJGSA-N 0 1 306.450 3.262 20 30 DGEDMN CC#CCN1CCN(C(=O)OC(C)(C)C)CC12CCCCC2 ZINC001191781626 1100520025 /nfs/dbraw/zinc/52/00/25/1100520025.db2.gz RLUAMOSZKAOVCW-UHFFFAOYSA-N 0 1 306.450 3.265 20 30 DGEDMN CCc1nc(C2CCN(Cc3ccc(C#N)c(CC)c3)CC2)no1 ZINC001238767756 1100523747 /nfs/dbraw/zinc/52/37/47/1100523747.db2.gz BYIMXCLKRUPZLN-UHFFFAOYSA-N 0 1 324.428 3.446 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191867603 1100536870 /nfs/dbraw/zinc/53/68/70/1100536870.db2.gz FQZBNNDHOIPJSN-ICSRJNTNSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC001192059675 1100570352 /nfs/dbraw/zinc/57/03/52/1100570352.db2.gz IVQFZJRZNXDRRP-OAHLLOKOSA-N 0 1 322.399 3.354 20 30 DGEDMN CC(C)Oc1ncc(Cl)cc1-c1cc2nc[nH]c2c(C#N)n1 ZINC001239310932 1100627701 /nfs/dbraw/zinc/62/77/01/1100627701.db2.gz VHPSGJXGISSYKD-UHFFFAOYSA-N 0 1 313.748 3.332 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccccc1-c1ccccc1F ZINC001193147066 1100712427 /nfs/dbraw/zinc/71/24/27/1100712427.db2.gz WTLAVXYSHYYPIB-NSHDSACASA-N 0 1 304.346 3.146 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001193311409 1100726753 /nfs/dbraw/zinc/72/67/53/1100726753.db2.gz QIUZLHYUDYEOCD-IBGZPJMESA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001193478352 1100750216 /nfs/dbraw/zinc/75/02/16/1100750216.db2.gz KQUFDVHRRVVXGI-KRWDZBQOSA-N 0 1 324.424 3.219 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(O)c(C#N)c2)cnc1OC(C)C ZINC001193497827 1100752492 /nfs/dbraw/zinc/75/24/92/1100752492.db2.gz BJLMDXVOCYQRIG-UHFFFAOYSA-N 0 1 311.341 3.007 20 30 DGEDMN CC(C)Oc1ccc(CCNC(=O)c2ccc(O)c(C#N)c2)cc1 ZINC001193514897 1100759618 /nfs/dbraw/zinc/75/96/18/1100759618.db2.gz IOODXYXOQVYFAG-UHFFFAOYSA-N 0 1 324.380 3.024 20 30 DGEDMN N#Cc1cccc(C(=O)NCCc2c(F)cccc2Cl)c1O ZINC001193634825 1100778002 /nfs/dbraw/zinc/77/80/02/1100778002.db2.gz KHUSTIPYIBTESG-UHFFFAOYSA-N 0 1 318.735 3.029 20 30 DGEDMN CC(C)(Cc1ccc(F)cc1)NC(=O)c1cccc(C#N)c1O ZINC001193657838 1100786033 /nfs/dbraw/zinc/78/60/33/1100786033.db2.gz IGLFFODTVBEKOW-UHFFFAOYSA-N 0 1 312.344 3.154 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001193815396 1100815694 /nfs/dbraw/zinc/81/56/94/1100815694.db2.gz FAMVJBISQKWDOJ-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc3ccccc32)C1 ZINC001193815523 1100816948 /nfs/dbraw/zinc/81/69/48/1100816948.db2.gz GAVIRBCRJVLDDS-QGZVFWFLSA-N 0 1 306.409 3.009 20 30 DGEDMN C=CCOC(=O)N1CCC([N@@H+](C)Cc2ccccc2F)CC1 ZINC001194311945 1100910369 /nfs/dbraw/zinc/91/03/69/1100910369.db2.gz YGAHIEFFMZKTOC-UHFFFAOYSA-N 0 1 306.381 3.045 20 30 DGEDMN N#CCc1cc(F)cc(-c2cccc(CCN3CCOCC3)c2)c1 ZINC001240277304 1100920082 /nfs/dbraw/zinc/92/00/82/1100920082.db2.gz TTYOFBMEQPKWDG-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@H](N(C)C[C@H](F)CC)C2)CCCCC1 ZINC001194381772 1100930886 /nfs/dbraw/zinc/93/08/86/1100930886.db2.gz NDEKYOCVKZKQCU-SJORKVTESA-N 0 1 322.468 3.241 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CC[C@@H](N(C)CC#CC)C1)c1ccccc1 ZINC001194555495 1100965496 /nfs/dbraw/zinc/96/54/96/1100965496.db2.gz MKQNOQQVYYBCCV-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(Cl)c(C#N)c3)cn2)CC1 ZINC001240402163 1100979135 /nfs/dbraw/zinc/97/91/35/1100979135.db2.gz LWSYMXQLKDVGHG-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2cc3ccccc3o2)CC1 ZINC001195191563 1101086397 /nfs/dbraw/zinc/08/63/97/1101086397.db2.gz LACICKOLPMDQJO-UHFFFAOYSA-N 0 1 312.413 3.433 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc4c(c3)CC/C4=N/O)cc2)CC1 ZINC001240855550 1101160359 /nfs/dbraw/zinc/16/03/59/1101160359.db2.gz WALVCTXGHUQOKN-MRCUWXFGSA-N 0 1 321.424 3.230 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(-c2ccccn2)cc1Cl ZINC001195641426 1101168045 /nfs/dbraw/zinc/16/80/45/1101168045.db2.gz GPMVNJFYNCREIZ-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(C3CCOCC3)cc2)c(O)c1 ZINC001195757202 1101190927 /nfs/dbraw/zinc/19/09/27/1101190927.db2.gz AETACKAEPXLUSE-UHFFFAOYSA-N 0 1 322.364 3.410 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2ccc(OCC)cc2)C1 ZINC001195947320 1101229864 /nfs/dbraw/zinc/22/98/64/1101229864.db2.gz SAKXSVGQXKPIHG-HNNXBMFYSA-N 0 1 302.418 3.312 20 30 DGEDMN CCOC(=O)c1cc(C)nc(-c2ccc3c(C)[nH]nc3c2)c1C#N ZINC001241637336 1101598563 /nfs/dbraw/zinc/59/85/63/1101598563.db2.gz XZDINEBRAQDMRI-UHFFFAOYSA-N 0 1 320.352 3.290 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(OCCCC)cc2)CC1 ZINC001198085422 1101750883 /nfs/dbraw/zinc/75/08/83/1101750883.db2.gz DKWGIFNYFPXGBT-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2CCOc2cccc(C#N)c2)[nH]n1 ZINC001198130422 1101757141 /nfs/dbraw/zinc/75/71/41/1101757141.db2.gz IDLLSWJCJZONQM-SFHVURJKSA-N 0 1 310.401 3.196 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2CCOc2cccc(C#N)c2)n[nH]1 ZINC001198130422 1101757153 /nfs/dbraw/zinc/75/71/53/1101757153.db2.gz IDLLSWJCJZONQM-SFHVURJKSA-N 0 1 310.401 3.196 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](CCCC)C(C)C)CC1 ZINC001198304202 1101811385 /nfs/dbraw/zinc/81/13/85/1101811385.db2.gz PNTSJCUJFOGBHZ-SFHVURJKSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCCN(CC=C)CC1)c1ccccc1 ZINC001198422836 1101849774 /nfs/dbraw/zinc/84/97/74/1101849774.db2.gz GZCWJSKDUIODTM-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCN[C@H](CNC(=O)c1ccsc1)c1ccccc1OC ZINC001198522116 1101889038 /nfs/dbraw/zinc/88/90/38/1101889038.db2.gz GVUDXJFKQJOREB-OAHLLOKOSA-N 0 1 316.426 3.003 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@H](C)CCC)c1ccccc1OC ZINC001198616536 1101929461 /nfs/dbraw/zinc/92/94/61/1101929461.db2.gz IMYATLSCPDXIOR-NVXWUHKLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)/C(C)=C/CC)c1ccccc1OC ZINC001198584903 1101930566 /nfs/dbraw/zinc/93/05/66/1101930566.db2.gz NAACSUFWMICMPQ-FVLHSZHDSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C=C1CCC1)c1ccccc1OC ZINC001198657216 1101943767 /nfs/dbraw/zinc/94/37/67/1101943767.db2.gz RKGDDBBQHVGEBI-QGZVFWFLSA-N 0 1 314.429 3.129 20 30 DGEDMN CC[N@@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CCC1CC1 ZINC001152489218 1101947882 /nfs/dbraw/zinc/94/78/82/1101947882.db2.gz YKNAGRRRMPVDDF-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CCC1CC1 ZINC001152489218 1101947887 /nfs/dbraw/zinc/94/78/87/1101947887.db2.gz YKNAGRRRMPVDDF-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN Cn1cncc1C1CCN(Cc2cc(Cl)cc(C#N)c2)CC1 ZINC001198771493 1101981409 /nfs/dbraw/zinc/98/14/09/1101981409.db2.gz WKXBXZOWEFURLB-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN C=CCN[C@H](CNC(=O)C/C=C(\C)C=C)c1ccccc1OC ZINC001198834156 1101990011 /nfs/dbraw/zinc/99/00/11/1101990011.db2.gz JGFPIPQVRQSPMB-GSPCDJLXSA-N 0 1 314.429 3.151 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H]1CCC1(C)C)c1ccccc1OC ZINC001198855477 1102000625 /nfs/dbraw/zinc/00/06/25/1102000625.db2.gz CGHZASQIXUKHLM-HZPDHXFCSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@](C)(C=C)CC)c1ccccc1OC ZINC001198885702 1102021600 /nfs/dbraw/zinc/02/16/00/1102021600.db2.gz PTHVTKRUZJIVAF-VQIMIIECSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCC(C)(C)C)c1ccccc1OC ZINC001198941223 1102032570 /nfs/dbraw/zinc/03/25/70/1102032570.db2.gz VSSWGIBGTBDOKD-MRXNPFEDSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCC1(C)CC1)c1ccccc1OC ZINC001198953187 1102034574 /nfs/dbraw/zinc/03/45/74/1102034574.db2.gz LTJKNFSYEQQSBG-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN N#Cc1cc(-c2ccccc2C2(C#N)CC[NH2+]CC2)ccc1[O-] ZINC001242566837 1102213463 /nfs/dbraw/zinc/21/34/63/1102213463.db2.gz LVWRPDZEIQSRQS-UHFFFAOYSA-N 0 1 303.365 3.076 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(Cl)cc(C#N)c3)nc2)CC1 ZINC001242571849 1102216806 /nfs/dbraw/zinc/21/68/06/1102216806.db2.gz WZCPWDKDYNJDCO-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN CCc1nc(C)c(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)[nH]1 ZINC001203246455 1102282641 /nfs/dbraw/zinc/28/26/41/1102282641.db2.gz HMRMKGIHZMTGHM-KRWDZBQOSA-N 0 1 324.428 3.196 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C(F)=C(C)C ZINC001152723807 1102325498 /nfs/dbraw/zinc/32/54/98/1102325498.db2.gz WTZQOFVPIVBGDT-GFCCVEGCSA-N 0 1 323.437 3.210 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1c(C)nsc1C ZINC001493123362 1102344960 /nfs/dbraw/zinc/34/49/60/1102344960.db2.gz KQSQMNITUBEJKZ-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C/C=C(\C)C=C ZINC001273826350 1102345026 /nfs/dbraw/zinc/34/50/26/1102345026.db2.gz XYCLEQORSYPKBL-FVECTHPASA-N 0 1 322.452 3.075 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1C[C@H](CC)CCCC ZINC001201559127 1102651075 /nfs/dbraw/zinc/65/10/75/1102651075.db2.gz JBUMUODKDLETGO-NVXWUHKLSA-N 0 1 320.481 3.133 20 30 DGEDMN N#Cc1nc(-c2cccc(OCC(F)(F)F)c2)cc2[nH]cnc21 ZINC001243390660 1102697856 /nfs/dbraw/zinc/69/78/56/1102697856.db2.gz WTTWYQKLJHLTCP-UHFFFAOYSA-N 0 1 318.258 3.438 20 30 DGEDMN CCCCCCCCN1Cc2nnn(CC)c2[C@H](COC)C1 ZINC001201804585 1102731659 /nfs/dbraw/zinc/73/16/59/1102731659.db2.gz PJKVHZWNAJRJNZ-HNNXBMFYSA-N 0 1 308.470 3.204 20 30 DGEDMN CCCCCCCN1CCCn2c(Cn3cccc3)nnc2C1 ZINC001201777425 1102733551 /nfs/dbraw/zinc/73/35/51/1102733551.db2.gz WJAOTQQAOBFPSJ-UHFFFAOYSA-N 0 1 315.465 3.304 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)NC1CCN(C)CC1 ZINC001201831279 1102739155 /nfs/dbraw/zinc/73/91/55/1102739155.db2.gz PDLFGTFFFRKMMB-UHFFFAOYSA-N 0 1 318.527 3.141 20 30 DGEDMN CCOC(=O)c1cc(-c2cc(F)cc(F)c2O)ccc1C#N ZINC001243505871 1102760754 /nfs/dbraw/zinc/76/07/54/1102760754.db2.gz WQLJHSUIXUGRGP-UHFFFAOYSA-N 0 1 303.264 3.386 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccccc3OCC#N)[nH]c-2n1 ZINC001243583562 1102825804 /nfs/dbraw/zinc/82/58/04/1102825804.db2.gz FSDWWNVNHZIARI-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001202123581 1102842274 /nfs/dbraw/zinc/84/22/74/1102842274.db2.gz USMWNIATKWSKNO-UKRRQHHQSA-N 0 1 319.449 3.227 20 30 DGEDMN CSc1c(F)cc(-c2cc3[nH]cnc3c(C#N)n2)cc1F ZINC001243677755 1102910363 /nfs/dbraw/zinc/91/03/63/1102910363.db2.gz ZILOGAHADIVADF-UHFFFAOYSA-N 0 1 302.309 3.497 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN(CCCC(F)(F)F)CCO2 ZINC001202405048 1102970681 /nfs/dbraw/zinc/97/06/81/1102970681.db2.gz OJSJWFFPNYXKKV-LSDHHAIUSA-N 0 1 321.383 3.403 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC/C=C/c1ccccc1)C2 ZINC001111017902 1103017409 /nfs/dbraw/zinc/01/74/09/1103017409.db2.gz FZHXJMWWDMWSBQ-GHMSLLRBSA-N 0 1 310.441 3.388 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cc(Cl)cs1)C2 ZINC001111073321 1103035111 /nfs/dbraw/zinc/03/51/11/1103035111.db2.gz DVFKFHFEHKWLLN-YUELXQCFSA-N 0 1 324.877 3.242 20 30 DGEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(CC)(CC)CC)C2 ZINC001111188955 1103075863 /nfs/dbraw/zinc/07/58/63/1103075863.db2.gz MNBRLVVCGAXKRM-ZACQAIPSSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001325601894 1103078573 /nfs/dbraw/zinc/07/85/73/1103078573.db2.gz NPLIQCPCBIQHIV-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1cccc(C)c1Cl ZINC001488642702 1103079338 /nfs/dbraw/zinc/07/93/38/1103079338.db2.gz KKDARCHSPRJTER-MRXNPFEDSA-N 0 1 320.864 3.300 20 30 DGEDMN CN1CCN(Cc2ccccc2NC2=CC[C@H](C#N)CC2)CC1 ZINC001212860613 1103091966 /nfs/dbraw/zinc/09/19/66/1103091966.db2.gz AUCYPILJQBFEDN-INIZCTEOSA-N 0 1 310.445 3.053 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1c(F)cccc1Cl ZINC001488687797 1103109538 /nfs/dbraw/zinc/10/95/38/1103109538.db2.gz WDUXQGNWVLXKHB-ZDUSSCGKSA-N 0 1 324.827 3.130 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CCCCCC)C2 ZINC001111267862 1103144476 /nfs/dbraw/zinc/14/44/76/1103144476.db2.gz LOPBCORMINOKPC-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C)c(Cl)c1 ZINC001495052133 1103156504 /nfs/dbraw/zinc/15/65/04/1103156504.db2.gz XXQFZYIZFPWMCZ-ZDUSSCGKSA-N 0 1 315.244 3.499 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)nc1C(C)C)C2 ZINC001095826901 1103226218 /nfs/dbraw/zinc/22/62/18/1103226218.db2.gz KYCGGLSQHJDMHE-PVAVHDDUSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(C3CCC3)cc1)C2 ZINC001095826894 1103226800 /nfs/dbraw/zinc/22/68/00/1103226800.db2.gz KRWAKFWVOOGUAC-AABGKKOBSA-N 0 1 324.468 3.404 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1CCC1(C)C ZINC001489045844 1103245870 /nfs/dbraw/zinc/24/58/70/1103245870.db2.gz WQVQHKUVTHBDTO-SNPRPXQTSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CCC)c1ccccc1)C2 ZINC001111360339 1103245979 /nfs/dbraw/zinc/24/59/79/1103245979.db2.gz HGJKVHSMURAWHU-HCXYKTFWSA-N 0 1 312.457 3.478 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](C)n1cccc1 ZINC001489031922 1103253646 /nfs/dbraw/zinc/25/36/46/1103253646.db2.gz XZKMPCZKKKYCQN-BMFZPTHFSA-N 0 1 323.868 3.018 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(c3cccc(C)c3)CCC1)C2 ZINC001095847018 1103261622 /nfs/dbraw/zinc/26/16/22/1103261622.db2.gz XKNKKEDNIZSJNE-QYZOEREBSA-N 0 1 324.468 3.324 20 30 DGEDMN C=CC1(CC(=O)NC2[C@H]3CN(C/C=C\Cl)C[C@@H]23)CCCCC1 ZINC001114968453 1103289418 /nfs/dbraw/zinc/28/94/18/1103289418.db2.gz JMRMKGNGYUDCMH-LLDCWGLXSA-N 0 1 322.880 3.312 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](c2ccccc2)C(C)C)[C@H]1CC ZINC001489134098 1103295642 /nfs/dbraw/zinc/29/56/42/1103295642.db2.gz OELUYIIHRLISQL-OTWHNJEPSA-N 0 1 312.457 3.029 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CC1CCCC1 ZINC001326444864 1103317418 /nfs/dbraw/zinc/31/74/18/1103317418.db2.gz GJTXFLBLTBINIG-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001489321871 1103359242 /nfs/dbraw/zinc/35/92/42/1103359242.db2.gz DHIVNDKSMNUSHJ-OALUTQOASA-N 0 1 316.489 3.459 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001489323866 1103361989 /nfs/dbraw/zinc/36/19/89/1103361989.db2.gz VMBOQPHHJDRZPA-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN N#Cc1nc(-c2ccc(N)c(C(F)(F)F)c2)cc2[nH]cnc21 ZINC001244347699 1103382515 /nfs/dbraw/zinc/38/25/15/1103382515.db2.gz KBQLFAIHSHNIER-UHFFFAOYSA-N 0 1 303.247 3.098 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1ocnc1C ZINC001276310099 1103387151 /nfs/dbraw/zinc/38/71/51/1103387151.db2.gz CUIDQYCFHFMXOF-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sccc2Cl)CCC1 ZINC001326830866 1103455519 /nfs/dbraw/zinc/45/55/19/1103455519.db2.gz SCMJZGYBQGKYGX-UHFFFAOYSA-N 0 1 319.257 3.396 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2nc(C)c(C)s2)CCC1 ZINC001326863868 1103464285 /nfs/dbraw/zinc/46/42/85/1103464285.db2.gz HGXLBHKMWFTHKF-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc3[nH]ccc3c2)CCC1 ZINC001326877878 1103467681 /nfs/dbraw/zinc/46/76/81/1103467681.db2.gz NSLGUDXQINFYLY-UHFFFAOYSA-N 0 1 317.820 3.163 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](CC=C)c1ccccc1 ZINC001276332818 1103505668 /nfs/dbraw/zinc/50/56/68/1103505668.db2.gz AECUZZIREWEUBK-UXHICEINSA-N 0 1 324.468 3.340 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCCN(C)CC#Cc2ccccc2)o1 ZINC001327178878 1103570950 /nfs/dbraw/zinc/57/09/50/1103570950.db2.gz YEEGWUHJWJHJCS-UHFFFAOYSA-N 0 1 324.424 3.034 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)c1ccccc1 ZINC001490355959 1103596238 /nfs/dbraw/zinc/59/62/38/1103596238.db2.gz WCHZKRHPOCKLHR-OAHLLOKOSA-N 0 1 308.853 3.371 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H](C)c2ccccc2F)C1 ZINC001490431583 1103625117 /nfs/dbraw/zinc/62/51/17/1103625117.db2.gz VWCSVHVPIHPVEP-LSDHHAIUSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001490432684 1103626003 /nfs/dbraw/zinc/62/60/03/1103626003.db2.gz SQDOEEZLWFQOBD-MRXNPFEDSA-N 0 1 304.459 3.022 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)[C@@H](C)CCCC)O2 ZINC001327348939 1103626876 /nfs/dbraw/zinc/62/68/76/1103626876.db2.gz DLEYTHBAPKCCIJ-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)C)O2 ZINC001327362893 1103628611 /nfs/dbraw/zinc/62/86/11/1103628611.db2.gz DWKLBJVBVSYYOA-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@]2(CCN(CC(=C)Cl)C2)C1 ZINC001327529399 1103673611 /nfs/dbraw/zinc/67/36/11/1103673611.db2.gz HNTVOPSNEXPNJO-QGZVFWFLSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1nc(C)sc1C)C1CC1 ZINC001490670430 1103690623 /nfs/dbraw/zinc/69/06/23/1103690623.db2.gz CVKWPGZUOMLZBB-INIZCTEOSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3(CCF)CC3)cc2C1 ZINC001327694806 1103709267 /nfs/dbraw/zinc/70/92/67/1103709267.db2.gz DAHPRUCDPBGNRC-UHFFFAOYSA-N 0 1 316.420 3.334 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCC(C)C)C1 ZINC001490752796 1103722373 /nfs/dbraw/zinc/72/23/73/1103722373.db2.gz RVBMLEXJTYQWOF-HNNXBMFYSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccco1 ZINC001490785928 1103744046 /nfs/dbraw/zinc/74/40/46/1103744046.db2.gz ZXFOAJMTWDJTNM-IYBDPMFKSA-N 0 1 302.418 3.315 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(-c3ccoc3)c1)C2 ZINC001096300827 1103795565 /nfs/dbraw/zinc/79/55/65/1103795565.db2.gz ZTXGDWKGEVKCHZ-QYZOEREBSA-N 0 1 322.408 3.468 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccc(Cl)s1)C2 ZINC001096304052 1103796708 /nfs/dbraw/zinc/79/67/08/1103796708.db2.gz OKEOAPJGUZCLRV-NDBYEHHHSA-N 0 1 324.877 3.413 20 30 DGEDMN C=CCO[C@@H]1CCN(c2nccc3cc(OC)c(OC)cc32)C1 ZINC001328013175 1103801882 /nfs/dbraw/zinc/80/18/82/1103801882.db2.gz LUUZBVLCAAFOGX-CQSZACIVSA-N 0 1 314.385 3.033 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(C)(NCc2cc(C)on2)CC1 ZINC001328028098 1103809688 /nfs/dbraw/zinc/80/96/88/1103809688.db2.gz AHAVNYVZSKLXFR-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)(C)C)cc1)C2 ZINC001096407389 1103825223 /nfs/dbraw/zinc/82/52/23/1103825223.db2.gz MQHGJDLPFCEKBS-QYZOEREBSA-N 0 1 324.468 3.343 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(F)F)o1)C2 ZINC001096448970 1103835305 /nfs/dbraw/zinc/83/53/05/1103835305.db2.gz PQBUYIYIPFZWNV-WOPDTQHZSA-N 0 1 310.344 3.128 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccc(C(C)C)cc1)C2 ZINC001110804628 1103842628 /nfs/dbraw/zinc/84/26/28/1103842628.db2.gz IOOOSSQVECRBFT-AQNXPRMDSA-N 0 1 324.468 3.097 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(C[C@@H](F)CC)CC1(C)C ZINC001328101009 1103844497 /nfs/dbraw/zinc/84/44/97/1103844497.db2.gz DDPGQVRQJQQPOX-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(Cl)cc3)C[C@H]21 ZINC001114347880 1103871599 /nfs/dbraw/zinc/87/15/99/1103871599.db2.gz CWWXLHBISRBTDL-VQFNDLOPSA-N 0 1 318.848 3.243 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(c3ccc(C)cc3)CCC1)C2 ZINC001096636011 1103891912 /nfs/dbraw/zinc/89/19/12/1103891912.db2.gz CMDIWWXUFJMGKD-QYZOEREBSA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@@H](C)C1 ZINC001328261099 1103894299 /nfs/dbraw/zinc/89/42/99/1103894299.db2.gz UNJKGMQWFXNJDM-GJZGRUSLSA-N 0 1 321.490 3.277 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C(C)C ZINC001490801178 1103898960 /nfs/dbraw/zinc/89/89/60/1103898960.db2.gz URBRAWMPENRYOQ-FGTMMUONSA-N 0 1 324.509 3.303 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C(C)C ZINC001490801180 1103898996 /nfs/dbraw/zinc/89/89/96/1103898996.db2.gz URBRAWMPENRYOQ-KSZLIROESA-N 0 1 324.509 3.303 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)c1ccccc1 ZINC001490806437 1103899537 /nfs/dbraw/zinc/89/95/37/1103899537.db2.gz PVDPLGUHCNMTBM-RLLQIKCJSA-N 0 1 324.468 3.269 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)CCCCC)C2 ZINC001111438492 1103945470 /nfs/dbraw/zinc/94/54/70/1103945470.db2.gz ANKYKSJGLHBZRK-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(C)C1CCC1 ZINC001328686215 1104020946 /nfs/dbraw/zinc/02/09/46/1104020946.db2.gz DSYULUOVBSUBPA-RTBURBONSA-N 0 1 324.468 3.208 20 30 DGEDMN N#Cc1c2ccccc2cnc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245418187 1104037873 /nfs/dbraw/zinc/03/78/73/1104037873.db2.gz IWAOOUSSUSUNAM-KRWDZBQOSA-N 0 1 319.408 3.375 20 30 DGEDMN N#CCc1ccc(F)cc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245424824 1104045683 /nfs/dbraw/zinc/04/56/83/1104045683.db2.gz OBSXUBVWXXOSNB-KRWDZBQOSA-N 0 1 300.377 3.160 20 30 DGEDMN N#CCc1cc(C2=CC[C@@H](N3CCOCC3)CC2)ccc1F ZINC001245426346 1104047260 /nfs/dbraw/zinc/04/72/60/1104047260.db2.gz RQTICVLFKPLEHE-QGZVFWFLSA-N 0 1 300.377 3.160 20 30 DGEDMN Cc1cc(F)cc(C2=CC[C@H](N3CCOCC3)CC2)c1C#N ZINC001245427814 1104050582 /nfs/dbraw/zinc/05/05/82/1104050582.db2.gz ZLVWNMDBJCXNRG-INIZCTEOSA-N 0 1 300.377 3.274 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1ncc(C)s1 ZINC001329048053 1104135384 /nfs/dbraw/zinc/13/53/84/1104135384.db2.gz LTPBMCGWOCFPGN-STQMWFEESA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1ccccc1CC ZINC001329070228 1104143486 /nfs/dbraw/zinc/14/34/86/1104143486.db2.gz PWZPKQUJJSXBGX-UONOGXRCSA-N 0 1 308.853 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(C(C)C)n1 ZINC001329072740 1104144512 /nfs/dbraw/zinc/14/45/12/1104144512.db2.gz FXHCXRUODKQTDD-QWHCGFSZSA-N 0 1 309.841 3.054 20 30 DGEDMN C=CCn1ccc2c1ncnc2-c1cc(O)c(F)c(F)c1F ZINC001245636324 1104168036 /nfs/dbraw/zinc/16/80/36/1104168036.db2.gz LMNAPONAKSCCSF-UHFFFAOYSA-N 0 1 305.259 3.407 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C(C)(C)Cc1ccccc1 ZINC001329168048 1104177135 /nfs/dbraw/zinc/17/71/35/1104177135.db2.gz MFNRRIIVMIVCIW-GJZGRUSLSA-N 0 1 322.880 3.491 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1sc(C)cc1C ZINC001329186774 1104183417 /nfs/dbraw/zinc/18/34/17/1104183417.db2.gz SZFVLJJEISQQFC-CHWSQXEVSA-N 0 1 314.882 3.143 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CC(C)(C)CNCc1nocc1C ZINC001329341532 1104224786 /nfs/dbraw/zinc/22/47/86/1104224786.db2.gz CNJDCAFIGOLNGM-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001274069698 1104238963 /nfs/dbraw/zinc/23/89/63/1104238963.db2.gz XEWLTSNLJHGBPV-SREVYHEPSA-N 0 1 318.436 3.498 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1ccc([S-])cc1 ZINC001274110376 1104248842 /nfs/dbraw/zinc/24/88/42/1104248842.db2.gz IWPLMXMCLSTCJT-SFHVURJKSA-N 0 1 316.470 3.118 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001329474866 1104277597 /nfs/dbraw/zinc/27/75/97/1104277597.db2.gz QMLXGNRQTWTKRZ-CQSZACIVSA-N 0 1 314.420 3.052 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001329540016 1104307883 /nfs/dbraw/zinc/30/78/83/1104307883.db2.gz KHUUCBPOWDJXHM-IAGOWNOFSA-N 0 1 314.473 3.202 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001329575522 1104325077 /nfs/dbraw/zinc/32/50/77/1104325077.db2.gz UYJXTLRFGHBXTM-IEBWSBKVSA-N 0 1 314.473 3.276 20 30 DGEDMN COc1ccc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)c(C)c1 ZINC001245937293 1104325876 /nfs/dbraw/zinc/32/58/76/1104325876.db2.gz LWTCDEZGFSMLKX-UHFFFAOYSA-N 0 1 305.337 3.434 20 30 DGEDMN CC#CCCCC(=O)NC/C=C/CNCc1sccc1Cl ZINC001274288898 1104345049 /nfs/dbraw/zinc/34/50/49/1104345049.db2.gz VDVKLTPNJGTGMK-VOTSOKGWSA-N 0 1 324.877 3.357 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1cc(C)no1 ZINC001329938804 1104431483 /nfs/dbraw/zinc/43/14/83/1104431483.db2.gz RALLAZVEMQOMIZ-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1ccc(F)cc1Cl ZINC001320693661 1104442926 /nfs/dbraw/zinc/44/29/26/1104442926.db2.gz NOKZDELPZSBJLG-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001278438307 1104457225 /nfs/dbraw/zinc/45/72/25/1104457225.db2.gz QIIPWGDIFAQZNN-MGPUTAFESA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001278438307 1104457229 /nfs/dbraw/zinc/45/72/29/1104457229.db2.gz QIIPWGDIFAQZNN-MGPUTAFESA-N 0 1 324.424 3.140 20 30 DGEDMN C[C@H](CN[C@H](C)c1cc(F)ccc1F)NC(=O)C#CC(C)(C)C ZINC001274746581 1104477502 /nfs/dbraw/zinc/47/75/02/1104477502.db2.gz AQXJSSFIDYWLGS-CHWSQXEVSA-N 0 1 322.399 3.170 20 30 DGEDMN C#CCN1C[C@]2(CC1=O)CCCC[N@H+]2Cc1ccc(C2CC2)cc1 ZINC001274816699 1104500581 /nfs/dbraw/zinc/50/05/81/1104500581.db2.gz VEXOUOFLXRHZEN-OAQYLSRUSA-N 0 1 322.452 3.154 20 30 DGEDMN CCc1nc([C@@H](C)OC(=O)C[C@H](CC#N)c2ccccc2)n[nH]1 ZINC001330217647 1104511440 /nfs/dbraw/zinc/51/14/40/1104511440.db2.gz YTGZDSFDFPFAFD-OCCSQVGLSA-N 0 1 312.373 3.059 20 30 DGEDMN C/C=C(/C)C(=O)N[C@]12CCC[C@H]1N(Cc1ccccc1C#N)CC2 ZINC001278444750 1104517614 /nfs/dbraw/zinc/51/76/14/1104517614.db2.gz FXGQGEPQNPFEHA-KYCYQETNSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](CCC)CC(C)C)C(C)(C)C1 ZINC001330261420 1104523589 /nfs/dbraw/zinc/52/35/89/1104523589.db2.gz GUAAFFKELVAOHM-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CCC=C)c2ccccc2)C(C)(C)C1 ZINC001330263134 1104524830 /nfs/dbraw/zinc/52/48/30/1104524830.db2.gz PNZJIESGOFLAMJ-OALUTQOASA-N 0 1 324.468 3.196 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001275077510 1104614897 /nfs/dbraw/zinc/61/48/97/1104614897.db2.gz APSXABBMXPUOHU-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN CC/C(C)=C\C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001275107818 1104627944 /nfs/dbraw/zinc/62/79/44/1104627944.db2.gz GGGZSOIYOYZUOW-ZWSWSHGQSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H]2CN(C)C/C=C\Cl)CC1 ZINC001275142313 1104647040 /nfs/dbraw/zinc/64/70/40/1104647040.db2.gz ANBUYLJRQLZCOA-AGHZKPIHSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001275160151 1104657686 /nfs/dbraw/zinc/65/76/86/1104657686.db2.gz KHMCNWGVJFQITP-QWHCGFSZSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001275160151 1104657690 /nfs/dbraw/zinc/65/76/90/1104657690.db2.gz KHMCNWGVJFQITP-QWHCGFSZSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](CCCCC)C(C)C ZINC001275160722 1104657970 /nfs/dbraw/zinc/65/79/70/1104657970.db2.gz PXGTTZDCHJOZDM-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CCCCC)C(C)C ZINC001275160722 1104657975 /nfs/dbraw/zinc/65/79/75/1104657975.db2.gz PXGTTZDCHJOZDM-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc2c(c1)CCCC2 ZINC001275166695 1104661227 /nfs/dbraw/zinc/66/12/27/1104661227.db2.gz DCBXNEKTGLSUIZ-HXUWFJFHSA-N 0 1 324.468 3.125 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)[C@@H](C)NC(=O)CC(C)(C)C ZINC001275358447 1104734776 /nfs/dbraw/zinc/73/47/76/1104734776.db2.gz WXDOBVUSCMINDD-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1Cl ZINC001275394289 1104745359 /nfs/dbraw/zinc/74/53/59/1104745359.db2.gz KDGIOXCOCOBWKQ-OLZOCXBDSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2cccc(C)c2o1 ZINC001275459531 1104765555 /nfs/dbraw/zinc/76/55/55/1104765555.db2.gz RPEVSHGDMBDFJI-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(O)ccc1Cl ZINC001275481760 1104774322 /nfs/dbraw/zinc/77/43/22/1104774322.db2.gz NKEUDAZWJSWUNT-STQMWFEESA-N 0 1 324.852 3.385 20 30 DGEDMN C=CCNCc1nc2ccc(OCc3ccccc3)cc2n1C ZINC001247042739 1104783992 /nfs/dbraw/zinc/78/39/92/1104783992.db2.gz MBAIZNYHNGKFLQ-UHFFFAOYSA-N 0 1 307.397 3.428 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN(C)Cc2csc(C)c2)C1 ZINC001275649478 1104846948 /nfs/dbraw/zinc/84/69/48/1104846948.db2.gz VBUMVRVAXXYJRM-CYBMUJFWSA-N 0 1 306.475 3.349 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1c(Cl)cccc1Cl ZINC001275985820 1104978180 /nfs/dbraw/zinc/97/81/80/1104978180.db2.gz GCRNZGKZLLVDSP-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1coc3ccc(C)cc13)C2 ZINC001095481962 1105033964 /nfs/dbraw/zinc/03/39/64/1105033964.db2.gz GIIFHDOUOUVRST-NJAFHUGGSA-N 0 1 324.424 3.191 20 30 DGEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C3CCC3)cc1)C2 ZINC001095502549 1105047344 /nfs/dbraw/zinc/04/73/44/1105047344.db2.gz VNVHSHWZDGHBSR-AABGKKOBSA-N 0 1 322.452 3.313 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C3CCC3)cc1)C2 ZINC001095502549 1105047351 /nfs/dbraw/zinc/04/73/51/1105047351.db2.gz VNVHSHWZDGHBSR-AABGKKOBSA-N 0 1 322.452 3.313 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCC[N@@H+]1Cc1cncc(C)c1 ZINC001276289648 1105079636 /nfs/dbraw/zinc/07/96/36/1105079636.db2.gz OBPWNECVKSRKAU-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001110888862 1105102979 /nfs/dbraw/zinc/10/29/79/1105102979.db2.gz YNPJVFNWBWWLGM-ZACQAIPSSA-N 0 1 322.493 3.127 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(C)cc1 ZINC001110925008 1105121286 /nfs/dbraw/zinc/12/12/86/1105121286.db2.gz CPOZSXZELPXFRM-AQNXPRMDSA-N 0 1 324.468 3.410 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCc1ccccc1)C2 ZINC001110973204 1105129714 /nfs/dbraw/zinc/12/97/14/1105129714.db2.gz WNFSRLBNVRFOKR-QRVBRYPASA-N 0 1 312.457 3.307 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110985333 1105133957 /nfs/dbraw/zinc/13/39/57/1105133957.db2.gz VTWYUNPXNXXGIR-CXTNEJHOSA-N 0 1 318.383 3.263 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1nc2ccc(OC(F)(F)F)cc2[nH]1 ZINC001249532924 1105308029 /nfs/dbraw/zinc/30/80/29/1105308029.db2.gz GMNACJBXJKCSHD-SNVBAGLBSA-N 0 1 324.306 3.340 20 30 DGEDMN N#Cc1c(F)ccc(F)c1N=C1CCC(N2CCOCC2)CC1 ZINC001249560606 1105315125 /nfs/dbraw/zinc/31/51/25/1105315125.db2.gz CERLOXUWZREXBR-ZDUSSCGKSA-N 0 1 319.355 3.017 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1C[C@H]1c1ccccc1)C2 ZINC001097090020 1105344521 /nfs/dbraw/zinc/34/45/21/1105344521.db2.gz TVYCDVOLKVNNEV-APAFKAMOSA-N 0 1 310.441 3.088 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)noc1C(C)C)C2 ZINC001097263446 1105376496 /nfs/dbraw/zinc/37/64/96/1105376496.db2.gz NQOWMVUVWMPRTI-KFWWJZLASA-N 0 1 317.433 3.018 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(Cl)cc1C)C2 ZINC001097630255 1105416111 /nfs/dbraw/zinc/41/61/11/1105416111.db2.gz DWIZXVIAMOFHJK-XYPHTWIQSA-N 0 1 318.848 3.478 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(Cl)c1F)C2 ZINC001097770830 1105444318 /nfs/dbraw/zinc/44/43/18/1105444318.db2.gz DDWUFDZYKFEJTM-HONMWMINSA-N 0 1 308.784 3.000 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)cc1F)C2 ZINC001097914182 1105462871 /nfs/dbraw/zinc/46/28/71/1105462871.db2.gz BSMLYFHSPPPFIT-XYPHTWIQSA-N 0 1 302.393 3.045 20 30 DGEDMN N#Cc1cc(Nc2ccc(CO)c(F)c2Cl)c(O)cc1F ZINC001250302422 1105493519 /nfs/dbraw/zinc/49/35/19/1105493519.db2.gz VTBVUODXWCRZPF-UHFFFAOYSA-N 0 1 310.687 3.431 20 30 DGEDMN CC1(C#N)CCN(Cc2cc(O)c(Cl)c(F)c2F)CC1 ZINC001250410266 1105511167 /nfs/dbraw/zinc/51/11/67/1105511167.db2.gz HVOOUXOQKJIMEJ-UHFFFAOYSA-N 0 1 300.736 3.449 20 30 DGEDMN C=CCN(Cc1nc2ccc(SC)cc2[nH]1)C(=O)OCC ZINC001250591333 1105543170 /nfs/dbraw/zinc/54/31/70/1105543170.db2.gz JVWMPRYJAMQOBI-UHFFFAOYSA-N 0 1 305.403 3.429 20 30 DGEDMN C=CCCCCc1nc2cc(F)c(N3CCOCC3)cc2[nH]1 ZINC001250614766 1105547976 /nfs/dbraw/zinc/54/79/76/1105547976.db2.gz JHPVJBVMMGBEBX-UHFFFAOYSA-N 0 1 303.381 3.437 20 30 DGEDMN C=CCCCCc1nc2cc(N3CCOCC3)c(F)cc2[nH]1 ZINC001250614766 1105547980 /nfs/dbraw/zinc/54/79/80/1105547980.db2.gz JHPVJBVMMGBEBX-UHFFFAOYSA-N 0 1 303.381 3.437 20 30 DGEDMN C#CCOc1ccc(-c2nc3cc4c(cc3[nH]2)OCC4)cc1OC ZINC001250690545 1105560773 /nfs/dbraw/zinc/56/07/73/1105560773.db2.gz UPKGPBPKUUJEQB-UHFFFAOYSA-N 0 1 320.348 3.185 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc(CC)cc1C)C2 ZINC001098216390 1105562570 /nfs/dbraw/zinc/56/25/70/1105562570.db2.gz UMMFFNDJZZYBSM-BMFZPTHFSA-N 0 1 302.418 3.062 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3ccccc3n1)C2 ZINC001098265351 1105566285 /nfs/dbraw/zinc/56/62/85/1105566285.db2.gz UADVJQDRZDSUMZ-LZQZEXGQSA-N 0 1 321.424 3.146 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3cccc(C)c3o1)C2 ZINC001098469493 1105577203 /nfs/dbraw/zinc/57/72/03/1105577203.db2.gz MYDYOKLNJDNUAV-ZACQAIPSSA-N 0 1 322.408 3.100 20 30 DGEDMN C#Cc1cccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)c1 ZINC001251031557 1105622349 /nfs/dbraw/zinc/62/23/49/1105622349.db2.gz AJDRBTAAHYRUGQ-UHFFFAOYSA-N 0 1 303.365 3.048 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc(C(C)C)c2)C1 ZINC001099105738 1105642658 /nfs/dbraw/zinc/64/26/58/1105642658.db2.gz XUBCDBBDTLBHDB-ICSRJNTNSA-N 0 1 310.441 3.028 20 30 DGEDMN CN(C)c1ccc2nc(-c3ccc(N(C)C)c(C#N)c3F)[nH]c2c1 ZINC001251264711 1105646313 /nfs/dbraw/zinc/64/63/13/1105646313.db2.gz JAIGJHAXLVHQLH-UHFFFAOYSA-N 0 1 323.375 3.373 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001099193769 1105656841 /nfs/dbraw/zinc/65/68/41/1105656841.db2.gz HEFOBYYOCXRQJL-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)c1cccs1 ZINC001099234378 1105660429 /nfs/dbraw/zinc/66/04/29/1105660429.db2.gz IXPKBHWVILPTKQ-GJZGRUSLSA-N 0 1 318.486 3.092 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)c1ccccc1 ZINC001099316685 1105668003 /nfs/dbraw/zinc/66/80/03/1105668003.db2.gz ZUWWAFZDHIHRCZ-WMZOPIPTSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(C1CC1)C1CC1 ZINC001099354220 1105672443 /nfs/dbraw/zinc/67/24/43/1105672443.db2.gz OTRZUSBQOGZJCI-KRWDZBQOSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CCCC)C(C)C)C2 ZINC001111474582 1105686856 /nfs/dbraw/zinc/68/68/56/1105686856.db2.gz BENQFLYXOJIAIA-XDNAFOTISA-N 0 1 304.478 3.194 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(C[C@@H](O)c2ccccc2)CC1 ZINC001251994906 1105722006 /nfs/dbraw/zinc/72/20/06/1105722006.db2.gz IABBFCMZUGPXJQ-LJQANCHMSA-N 0 1 324.399 3.416 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]2CN(CCF)CC[C@@H]21 ZINC001490982872 1105731731 /nfs/dbraw/zinc/73/17/31/1105731731.db2.gz DZLZVXDCJANYNG-IRXDYDNUSA-N 0 1 308.441 3.037 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001491048088 1105740865 /nfs/dbraw/zinc/74/08/65/1105740865.db2.gz PSXBVFZYUMIHFQ-BETUJISGSA-N 0 1 308.372 3.058 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1nnc2c(C)cccn12 ZINC001252120241 1105743539 /nfs/dbraw/zinc/74/35/39/1105743539.db2.gz QUVRBVZCUBGVFL-MRXNPFEDSA-N 0 1 318.465 3.239 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC ZINC001252133251 1105747797 /nfs/dbraw/zinc/74/77/97/1105747797.db2.gz NIBLSAPIXQVHDE-TWMKSMIVSA-N 0 1 311.466 3.124 20 30 DGEDMN CCCCCCCC[C@H](O)CN[C@H]1c2ccccc2CNC1=O ZINC001252133623 1105747913 /nfs/dbraw/zinc/74/79/13/1105747913.db2.gz KDHABLAJPVYKJS-WMZOPIPTSA-N 0 1 318.461 3.059 20 30 DGEDMN CCCCCCCC[C@H](O)CNCC(=O)Cc1ccccc1 ZINC001252140564 1105749681 /nfs/dbraw/zinc/74/96/81/1105749681.db2.gz RCIBIEACAILXNZ-SFHVURJKSA-N 0 1 305.462 3.499 20 30 DGEDMN CN(C)c1ccc(-c2nnc(-c3ccc(O)c(C#N)c3)o2)cc1 ZINC001252366141 1105772509 /nfs/dbraw/zinc/77/25/09/1105772509.db2.gz UHHQTYMIISCAGG-UHFFFAOYSA-N 0 1 306.325 3.047 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CC1(c2ccccc2)CC1 ZINC001491209842 1105775854 /nfs/dbraw/zinc/77/58/54/1105775854.db2.gz KNBTXKZMYYOJAE-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(C)CCCCCC1 ZINC001491210783 1105776773 /nfs/dbraw/zinc/77/67/73/1105776773.db2.gz VDWVOQLWFZGNNX-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](CCCCC)C(C)C ZINC001491229875 1105778514 /nfs/dbraw/zinc/77/85/14/1105778514.db2.gz SJZHXBZBEOLEIH-QZTJIDSGSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCOC[C@H](O)CN(CC)Cc1ccc(Cl)cc1Cl ZINC001252473025 1105782504 /nfs/dbraw/zinc/78/25/04/1105782504.db2.gz FKTUQKILGNACHV-CQSZACIVSA-N 0 1 318.244 3.379 20 30 DGEDMN C=CCC[C@@H](O)CN(C)Cc1cccc(Br)c1F ZINC001252599504 1105799998 /nfs/dbraw/zinc/79/99/98/1105799998.db2.gz ALGWIPWCVHXWEK-GFCCVEGCSA-N 0 1 316.214 3.347 20 30 DGEDMN C=CCOC[C@@H](O)CNC1c2ccccc2Oc2ccccc21 ZINC001252489978 1105784379 /nfs/dbraw/zinc/78/43/79/1105784379.db2.gz BQDKEEUOIJNEHE-AWEZNQCLSA-N 0 1 311.381 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1cccs1 ZINC001491240561 1105787329 /nfs/dbraw/zinc/78/73/29/1105787329.db2.gz WDLDYQZEHSTZHU-CYBMUJFWSA-N 0 1 312.866 3.014 20 30 DGEDMN C=CCC[C@H](O)CNc1[nH]nc2nc(C(F)(F)F)cc(C)c21 ZINC001252589815 1105798612 /nfs/dbraw/zinc/79/86/12/1105798612.db2.gz ZFABWGJYJCWMBJ-VIFPVBQESA-N 0 1 314.311 3.024 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CCCC#CC)C1 ZINC001491293781 1105801927 /nfs/dbraw/zinc/80/19/27/1105801927.db2.gz XYVGAJHKSIHWLR-CVEARBPZSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2[C@H]3CN(C/C=C/Cl)C[C@H]32)CCCCC1 ZINC001491338152 1105807705 /nfs/dbraw/zinc/80/77/05/1105807705.db2.gz OVUBOISNFGEJKG-KUFDUQMRSA-N 0 1 322.880 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(CC)CC)CC1(C)C ZINC001278676452 1105813977 /nfs/dbraw/zinc/81/39/77/1105813977.db2.gz HBIWPPNOVHHRJT-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1occc1-c1ccccc1 ZINC001491520377 1105838742 /nfs/dbraw/zinc/83/87/42/1105838742.db2.gz IZVRQRLFJOSALS-CYBMUJFWSA-N 0 1 318.804 3.407 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1C[C@H](F)C[C@H]1CO ZINC001252978616 1105864140 /nfs/dbraw/zinc/86/41/40/1105864140.db2.gz CTURGKCGGAKBEA-IXDOHACOSA-N 0 1 303.462 3.283 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCCN(C)C(=O)C1 ZINC001252984386 1105865952 /nfs/dbraw/zinc/86/59/52/1105865952.db2.gz HNWDBDZHNBIERE-QGZVFWFLSA-N 0 1 312.498 3.042 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCCC[C@@H]1C(N)=O ZINC001252984100 1105865973 /nfs/dbraw/zinc/86/59/73/1105865973.db2.gz DAKMDMDWTOMACG-DLBZAZTESA-N 0 1 312.498 3.218 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCN(CCF)CC1 ZINC001252986800 1105867236 /nfs/dbraw/zinc/86/72/36/1105867236.db2.gz XDNOMMPFAUBUQM-GOSISDBHSA-N 0 1 316.505 3.465 20 30 DGEDMN CCCC[C@@H](CC)COC[C@@H](O)CNCc1ccccc1C#N ZINC001253020954 1105878559 /nfs/dbraw/zinc/87/85/59/1105878559.db2.gz YUGQHLGNGKNBTK-APWZRJJASA-N 0 1 318.461 3.242 20 30 DGEDMN C=CCCCCCC[C@H](O)CN1CCN(c2cccnc2)CC1 ZINC001253234027 1105906361 /nfs/dbraw/zinc/90/63/61/1105906361.db2.gz SIMUDCGKQXUQTR-IBGZPJMESA-N 0 1 317.477 3.091 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101337775 1105911095 /nfs/dbraw/zinc/91/10/95/1105911095.db2.gz KWYGSJDYFORCLL-DTYLYWFVSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)c1cccc(F)c1 ZINC001253254768 1105912856 /nfs/dbraw/zinc/91/28/56/1105912856.db2.gz SBPTXXVTOBHMQJ-KRWDZBQOSA-N 0 1 307.409 3.486 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001253254818 1105913047 /nfs/dbraw/zinc/91/30/47/1105913047.db2.gz TVBMSUYJSVGOIT-QGZVFWFLSA-N 0 1 321.465 3.241 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H](NCC2(C#N)CCCC2)C1 ZINC001254187258 1106031394 /nfs/dbraw/zinc/03/13/94/1106031394.db2.gz FRKISPBMNASVES-HNNXBMFYSA-N 0 1 321.465 3.450 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CN(CC=C(Cl)Cl)C[C@H]1C ZINC001102229934 1106039974 /nfs/dbraw/zinc/03/99/74/1106039974.db2.gz KWSFQSSSGNMBRI-VXGBXAGGSA-N 0 1 319.276 3.202 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(CCC)CCC2)[C@H](C)C1 ZINC001102256125 1106044540 /nfs/dbraw/zinc/04/45/40/1106044540.db2.gz BKJXXWMAYFEALJ-UKRRQHHQSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1ccc2cncn2c1C ZINC001254265291 1106045873 /nfs/dbraw/zinc/04/58/73/1106045873.db2.gz SZIJOSIOCNPNIL-UHFFFAOYSA-N 0 1 323.327 3.046 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](F)c2ccccc2)[C@H](C)C1 ZINC001102409154 1106056002 /nfs/dbraw/zinc/05/60/02/1106056002.db2.gz NNNISCRMMUNJJH-DAXOMENPSA-N 0 1 324.827 3.134 20 30 DGEDMN Cc1cnc(CN)n1C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254347089 1106057136 /nfs/dbraw/zinc/05/71/36/1106057136.db2.gz AFRFHAZKXHIIRZ-UHFFFAOYSA-N 0 1 312.392 3.366 20 30 DGEDMN Cc1nonc1CNC1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254346156 1106057237 /nfs/dbraw/zinc/05/72/37/1106057237.db2.gz LXKSVMSQYQLEQY-UHFFFAOYSA-N 0 1 314.364 3.011 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)c2ccc(C)o2)[C@H](C)C1 ZINC001102447697 1106059857 /nfs/dbraw/zinc/05/98/57/1106059857.db2.gz MTPLLAIQEUXQTP-KCPJHIHWSA-N 0 1 324.852 3.128 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)C2CCCC2)[C@H](C)C1 ZINC001102506943 1106066791 /nfs/dbraw/zinc/06/67/91/1106066791.db2.gz ILEFTPODWJUSCK-XNRPHZJLSA-N 0 1 312.885 3.249 20 30 DGEDMN Cc1ccc2c(c1)C(=O)CC1(CCN([C@H]3CC[C@@H](C#N)C3)CC1)O2 ZINC001254672177 1106130038 /nfs/dbraw/zinc/13/00/38/1106130038.db2.gz ZBQYHYJOEHDKEC-CVEARBPZSA-N 0 1 324.424 3.487 20 30 DGEDMN C[C@H]1CN([C@H]2CC[C@H](C#N)C2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001254673377 1106130402 /nfs/dbraw/zinc/13/04/02/1106130402.db2.gz JVXKQRIPPGYHHN-AJNGGQMLSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H]1CN([C@H]2CC[C@@H](C#N)C2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001254673379 1106130520 /nfs/dbraw/zinc/13/05/20/1106130520.db2.gz JVXKQRIPPGYHHN-XQLPTFJDSA-N 0 1 307.438 3.008 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCC(C(=O)c3ccccc3F)CC2)C1 ZINC001254677274 1106131935 /nfs/dbraw/zinc/13/19/35/1106131935.db2.gz RRKDSMWATDPADX-DZGCQCFKSA-N 0 1 300.377 3.413 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](N1CCC[C@@H](C#N)C1)C2 ZINC001254782834 1106140862 /nfs/dbraw/zinc/14/08/62/1106140862.db2.gz CRWCWJSESGBFDQ-GJZGRUSLSA-N 0 1 319.449 3.012 20 30 DGEDMN N#C[C@@H](N[C@@H]1CCN(Cc2ccccc2)C1)c1ccc(F)cc1 ZINC001255101276 1106180249 /nfs/dbraw/zinc/18/02/49/1106180249.db2.gz QJANCVZXMGAFJW-RTBURBONSA-N 0 1 309.388 3.254 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H](C)Cc1cc2ccn(C(C)=O)c2c(C#N)c1 ZINC001255159642 1106194315 /nfs/dbraw/zinc/19/43/15/1106194315.db2.gz ZJTNBUMEKINPQT-UGSOOPFHSA-N 0 1 322.412 3.386 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@@H](C)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC001255165548 1106196485 /nfs/dbraw/zinc/19/64/85/1106196485.db2.gz YFDLAHKWBYVNPE-QLFBSQMISA-N 0 1 309.454 3.161 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](c1ccc(Cl)cc1)N(C)CCOC ZINC001255165080 1106196599 /nfs/dbraw/zinc/19/65/99/1106196599.db2.gz SMKUUCBRWBDWFD-IRXDYDNUSA-N 0 1 323.868 3.241 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@]2(C1)c1ccccc1N(CC1CC1)C2=O ZINC001255172401 1106198205 /nfs/dbraw/zinc/19/82/05/1106198205.db2.gz OSBOHARXDXYVAZ-JXFKEZNVSA-N 0 1 323.440 3.079 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@]2(CC(=O)N(c3ccc(C)cc3)C2)C1 ZINC001255172560 1106198723 /nfs/dbraw/zinc/19/87/23/1106198723.db2.gz PXOZGZUXBKOPJX-APWZRJJASA-N 0 1 311.429 3.116 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2c(F)cccc2C#N)cc1 ZINC001255201053 1106204526 /nfs/dbraw/zinc/20/45/26/1106204526.db2.gz SNPJIUUUFQSCPV-UHFFFAOYSA-N 0 1 318.373 3.451 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](N1CCC[C@H](C#N)C1)C2 ZINC001255244483 1106209339 /nfs/dbraw/zinc/20/93/39/1106209339.db2.gz MCKMMIMIXVVVIW-LVQVYYBASA-N 0 1 319.449 3.152 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN([C@H](C)CC(F)(F)F)CCO2 ZINC001255655909 1106287315 /nfs/dbraw/zinc/28/73/15/1106287315.db2.gz ZETXPOUUWAAOBO-RBSFLKMASA-N 0 1 321.383 3.401 20 30 DGEDMN Cc1ccc(SC[C@@H](C)NC2(C#N)CCN(C)CC2)cc1 ZINC001255757019 1106309952 /nfs/dbraw/zinc/30/99/52/1106309952.db2.gz IYBSKCAEPYVMJC-OAHLLOKOSA-N 0 1 303.475 3.053 20 30 DGEDMN CCOC(=O)C1(C#N)CCN([C@@H](C)Cc2ccc(C)cc2)CC1 ZINC001255797761 1106319461 /nfs/dbraw/zinc/31/94/61/1106319461.db2.gz UKZHSSKZVCOLIW-INIZCTEOSA-N 0 1 314.429 3.095 20 30 DGEDMN Cc1c(C(=O)O[C@H](C)c2cccc(C#N)c2)ccc2cncn21 ZINC001256053375 1106361486 /nfs/dbraw/zinc/36/14/86/1106361486.db2.gz IBDCBTXIYSMXMK-CYBMUJFWSA-N 0 1 305.337 3.432 20 30 DGEDMN Cc1cc(C#N)ccc1NS(=O)(=O)c1ccccc1Cl ZINC001256234501 1106394412 /nfs/dbraw/zinc/39/44/12/1106394412.db2.gz KNKVWAQLIBIFBZ-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)C1CCC1)c1ccccc1CC ZINC001278969473 1106499207 /nfs/dbraw/zinc/49/92/07/1106499207.db2.gz KYHGZUQFJNZJQI-HNAYVOBHSA-N 0 1 312.457 3.065 20 30 DGEDMN COC(=O)[C@@H](C)[N@@H+](C)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257020141 1106525631 /nfs/dbraw/zinc/52/56/31/1106525631.db2.gz ATTGHKLDDXRSRC-NVPAJSRCSA-N 0 1 300.402 3.078 20 30 DGEDMN COC(=O)[C@@H](C)N(C)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257020141 1106525634 /nfs/dbraw/zinc/52/56/34/1106525634.db2.gz ATTGHKLDDXRSRC-NVPAJSRCSA-N 0 1 300.402 3.078 20 30 DGEDMN CC[C@@H]1C[C@@H](N2CC[C@@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257141688 1106543970 /nfs/dbraw/zinc/54/39/70/1106543970.db2.gz JPRRRASUGVOWMJ-VKJFTORMSA-N 0 1 321.465 3.400 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CCN1C(CCC)CCC ZINC001257336596 1106569994 /nfs/dbraw/zinc/56/99/94/1106569994.db2.gz CRNAQNAXCOANHV-KRWDZBQOSA-N 0 1 320.481 3.369 20 30 DGEDMN N#CC1(N[C@H]2CCCSC2)CCN(Cc2ccccc2)CC1 ZINC001257664926 1106616824 /nfs/dbraw/zinc/61/68/24/1106616824.db2.gz SANBQRBYYXKQMG-KRWDZBQOSA-N 0 1 315.486 3.030 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccccc2C#N)cc(C)c1F ZINC001258279021 1106707883 /nfs/dbraw/zinc/70/78/83/1106707883.db2.gz SZDCKVUKOCPNQP-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001319993542 1106772642 /nfs/dbraw/zinc/77/26/42/1106772642.db2.gz BXZGWESXJUDTOA-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2cnccc2C)CC1 ZINC001316934609 1106781084 /nfs/dbraw/zinc/78/10/84/1106781084.db2.gz XRBBOULOYQBHJA-UHFFFAOYSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001316948615 1106812216 /nfs/dbraw/zinc/81/22/16/1106812216.db2.gz WKDXVCTYTRIYFV-MRXNPFEDSA-N 0 1 320.864 3.348 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC[C@@H](C#N)C2)CC1(C)C ZINC001258562382 1106823059 /nfs/dbraw/zinc/82/30/59/1106823059.db2.gz HUEVMVSACRKNSD-UONOGXRCSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001316985218 1106850956 /nfs/dbraw/zinc/85/09/56/1106850956.db2.gz HVKRGDYYYWLDPQ-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2c(C)nn(CC(C)C)c2OCC)C1 ZINC001320087706 1106856389 /nfs/dbraw/zinc/85/63/89/1106856389.db2.gz ZPIAAUQRXFWWJC-MRXNPFEDSA-N 0 1 321.465 3.023 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@H]1CNCc1nc(C)c(C)o1 ZINC001320151903 1106903700 /nfs/dbraw/zinc/90/37/00/1106903700.db2.gz JIZDWBGHJFPQBC-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)Cc1cccc(CC)c1)C1CC1 ZINC001317019505 1106906965 /nfs/dbraw/zinc/90/69/65/1106906965.db2.gz QIOZACMFVFIPMD-KRWDZBQOSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2ncn(C)c2[C@@H](COCC(C)C)C1 ZINC001258726086 1106931178 /nfs/dbraw/zinc/93/11/78/1106931178.db2.gz DUBMWBNFAKROCM-HZPDHXFCSA-N 0 1 305.466 3.347 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@]2(C)C=CCC2)C1 ZINC001317038075 1106934076 /nfs/dbraw/zinc/93/40/76/1106934076.db2.gz FUOJBSRXAIZRON-RDJZCZTQSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1F ZINC001317042782 1106941445 /nfs/dbraw/zinc/94/14/45/1106941445.db2.gz JTLFNWQRGSKOEF-GASCZTMLSA-N 0 1 302.393 3.081 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(-c2ccccc2[N+](=O)[O-])o1 ZINC001320297978 1106950845 /nfs/dbraw/zinc/95/08/45/1106950845.db2.gz CHLGZKXNRUMFAF-GFCCVEGCSA-N 0 1 302.330 3.145 20 30 DGEDMN Clc1cncnc1[N-][NH+]=Cc1ccccc1N1CCCC1 ZINC001320494516 1107026181 /nfs/dbraw/zinc/02/61/81/1107026181.db2.gz QEGLNOFYQZUCTO-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN Cc1cncc2cccc(NS(=O)(=O)c3cccc(C#N)c3)c12 ZINC001259124599 1107081520 /nfs/dbraw/zinc/08/15/20/1107081520.db2.gz XGFAIEFCIVEHMZ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC1(C=C)CCCCC1 ZINC001317177291 1107097274 /nfs/dbraw/zinc/09/72/74/1107097274.db2.gz SRFBDEOWSUNSJW-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2ccc3ncsc3c2)cs1 ZINC001259391276 1107128962 /nfs/dbraw/zinc/12/89/62/1107128962.db2.gz AQCABBZYWMNTFF-UHFFFAOYSA-N 0 1 321.408 3.030 20 30 DGEDMN O=C(/C=C/c1ccc(F)cc1)C(=O)Nc1cc(F)cc(F)c1O ZINC001299581283 1107131482 /nfs/dbraw/zinc/13/14/82/1107131482.db2.gz OGSXAZIMSOIZSF-ZZXKWVIFSA-N 0 1 321.254 3.031 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317238547 1107164209 /nfs/dbraw/zinc/16/42/09/1107164209.db2.gz KQKBGEQPMBABQR-ZSMUJPCHSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CC)Cc1ccccc1C ZINC001317281640 1107206043 /nfs/dbraw/zinc/20/60/43/1107206043.db2.gz DWOBIKFHDPXHRT-JKSUJKDBSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cnn(-c3ccc(Cl)cc3)c2)C1 ZINC001320967562 1107206797 /nfs/dbraw/zinc/20/67/97/1107206797.db2.gz IYNXTDPQSMNEEC-KRWDZBQOSA-N 0 1 317.820 3.303 20 30 DGEDMN CC[C@@H](CC#N)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001321136851 1107261850 /nfs/dbraw/zinc/26/18/50/1107261850.db2.gz RWMMPTMHURYKCS-ROUUACIJSA-N 0 1 313.445 3.158 20 30 DGEDMN CCC1(C(=O)N2CCC[C@@H](N(C)CC#Cc3ccccc3)C2)CC1 ZINC001317378745 1107286064 /nfs/dbraw/zinc/28/60/64/1107286064.db2.gz JECJDAJTDQQFEF-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(Cl)cc1C ZINC001321220170 1107291357 /nfs/dbraw/zinc/29/13/57/1107291357.db2.gz AEDDUVKKGDVADK-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN Cn1cc(-c2ccc(/C=C\C(=O)c3ccc(O)cc3O)cc2)cn1 ZINC001321229525 1107294416 /nfs/dbraw/zinc/29/44/16/1107294416.db2.gz VSMHMUSMPMTBTC-WTKPLQERSA-N 0 1 320.348 3.394 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H](CC)C(C)(C)C ZINC001321337174 1107327016 /nfs/dbraw/zinc/32/70/16/1107327016.db2.gz OJFBJQLOBUGHFU-DANTVBBOSA-N 0 1 300.874 3.463 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H](CC)C(C)(C)C ZINC001321337176 1107327681 /nfs/dbraw/zinc/32/76/81/1107327681.db2.gz OJFBJQLOBUGHFU-HSBSLETESA-N 0 1 300.874 3.463 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2ccns2)CCCCC1 ZINC001322082535 1107503653 /nfs/dbraw/zinc/50/36/53/1107503653.db2.gz DTFPKMPKTPKMGN-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)Cc1ccccc1C ZINC001322087964 1107505173 /nfs/dbraw/zinc/50/51/73/1107505173.db2.gz ICVGSUDNFQGQPP-ZFWWWQNUSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1ccc(OC)c(F)c1 ZINC001322168620 1107553332 /nfs/dbraw/zinc/55/33/32/1107553332.db2.gz KGDROPAOZYUZBZ-CQSZACIVSA-N 0 1 322.424 3.127 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc(C)cc1C ZINC001317617506 1107564309 /nfs/dbraw/zinc/56/43/09/1107564309.db2.gz ZUPKGCHYHKOXFI-UHFFFAOYSA-N 0 1 308.853 3.037 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001322239242 1107585954 /nfs/dbraw/zinc/58/59/54/1107585954.db2.gz YVIUFAKZIRBXRI-BEFAXECRSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccc(F)cc1)C(C)C ZINC001317679938 1107598598 /nfs/dbraw/zinc/59/85/98/1107598598.db2.gz FGUKJRZOCJSOOG-UHFFFAOYSA-N 0 1 312.816 3.409 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCCCCCC1)c1ccccc1 ZINC001317720182 1107621813 /nfs/dbraw/zinc/62/18/13/1107621813.db2.gz BBUVMPXIWDCLML-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CC[N@@H+](Cc2ncccc2C)C1 ZINC001317754000 1107640298 /nfs/dbraw/zinc/64/02/98/1107640298.db2.gz NYATVRGKWXVTQP-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001317754000 1107640302 /nfs/dbraw/zinc/64/03/02/1107640302.db2.gz NYATVRGKWXVTQP-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccc(F)cc2F)C1 ZINC001317758709 1107644447 /nfs/dbraw/zinc/64/44/47/1107644447.db2.gz JXISMVYZZCELBC-INIZCTEOSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC2=CCCCC2)C1 ZINC001317777939 1107661757 /nfs/dbraw/zinc/66/17/57/1107661757.db2.gz DHCZVLFHMGHOQN-INIZCTEOSA-N 0 1 310.869 3.458 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)Cc2ccccc2)CC1 ZINC001317876082 1107730279 /nfs/dbraw/zinc/73/02/79/1107730279.db2.gz AGNJFNLVMSUEOJ-UHFFFAOYSA-N 0 1 320.864 3.152 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C12CCC(CC1)C2(C)C)C1CC1 ZINC001323082517 1107829757 /nfs/dbraw/zinc/82/97/57/1107829757.db2.gz VLSBSNYYQOTGOC-CSLYMUCUSA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)o1 ZINC001318224791 1107904444 /nfs/dbraw/zinc/90/44/44/1107904444.db2.gz PMJNYQFGQHXLIP-RYUDHWBXSA-N 0 1 310.344 3.082 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2nc(-c3cccc(Cl)c3)n[nH]2)c1 ZINC001318236789 1107910471 /nfs/dbraw/zinc/91/04/71/1107910471.db2.gz LUSIOGIIAZEHPB-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc2ncccc2c1 ZINC001323278194 1107912018 /nfs/dbraw/zinc/91/20/18/1107912018.db2.gz OGRCBVUFWNKKQR-KRWDZBQOSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccccc2Cl)C1 ZINC001318248856 1107915666 /nfs/dbraw/zinc/91/56/66/1107915666.db2.gz ZGTUCSDCZSNWNN-CABCVRRESA-N 0 1 318.848 3.395 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001323303283 1107925655 /nfs/dbraw/zinc/92/56/55/1107925655.db2.gz RWBZRJHRBAPBSR-PKOBYXMFSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)Cc1ccccc1Cl ZINC001323310254 1107930482 /nfs/dbraw/zinc/93/04/82/1107930482.db2.gz RAXKWEFHDZIVNG-GOEBONIOSA-N 0 1 320.864 3.285 20 30 DGEDMN CC(C)(C(=O)NCC1(NCC#Cc2ccccc2)CC1)C1CCC1 ZINC001323499991 1107991309 /nfs/dbraw/zinc/99/13/09/1107991309.db2.gz AHWOAWUJGLACMZ-UHFFFAOYSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001323555082 1108010354 /nfs/dbraw/zinc/01/03/54/1108010354.db2.gz NCGQSFIZRDZZQT-UHFFFAOYSA-N 0 1 305.249 3.146 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)cc1 ZINC001263307078 1108011006 /nfs/dbraw/zinc/01/10/06/1108011006.db2.gz QLXIDQDZNVXQFN-RBQNZQIVSA-N 0 1 322.408 3.188 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C(F)F)cc2)CC1 ZINC001323570406 1108017829 /nfs/dbraw/zinc/01/78/29/1108017829.db2.gz DWTBMRBLXHKMMD-UHFFFAOYSA-N 0 1 314.763 3.229 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001323580266 1108019353 /nfs/dbraw/zinc/01/93/53/1108019353.db2.gz OZIOIQKGZCJQFE-SHTZXODSSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001318485941 1108047400 /nfs/dbraw/zinc/04/74/00/1108047400.db2.gz VCVHSONIGMXINA-STQMWFEESA-N 0 1 324.877 3.061 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001318491507 1108049725 /nfs/dbraw/zinc/04/97/25/1108049725.db2.gz KBPJHFAJMLGULV-DZGCQCFKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2cccs2)C1 ZINC001323871541 1108109345 /nfs/dbraw/zinc/10/93/45/1108109345.db2.gz FTKRXMNXJVQVAJ-UHFFFAOYSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C(C)(C)[C@@H]2CCCCO2)C1 ZINC001323929888 1108122533 /nfs/dbraw/zinc/12/25/33/1108122533.db2.gz BIQZFYJSUUXUAF-RDJZCZTQSA-N 0 1 322.493 3.079 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3cccc(Cl)c3)o2)CC1 ZINC001323950305 1108127368 /nfs/dbraw/zinc/12/73/68/1108127368.db2.gz IYARFYSHTAEEIF-UHFFFAOYSA-N 0 1 301.777 3.203 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2Cc2ccccc2)CCC1 ZINC001263809235 1108215203 /nfs/dbraw/zinc/21/52/03/1108215203.db2.gz JJGYKVYRQSORTJ-GOSISDBHSA-N 0 1 312.457 3.466 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(Cl)s1 ZINC001324276796 1108233265 /nfs/dbraw/zinc/23/32/65/1108233265.db2.gz KMZBNOQKOSGMRW-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC1C2CC3CC(C2)CC1C3 ZINC001263821598 1108234566 /nfs/dbraw/zinc/23/45/66/1108234566.db2.gz VLTWPSGZNDYCKX-YXOSVLIBSA-N 0 1 316.489 3.168 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H]2CCCc3ccccc32)CC1 ZINC001324339521 1108256812 /nfs/dbraw/zinc/25/68/12/1108256812.db2.gz IAQDDEPZVRGKIA-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(CF)CCC2)CC1 ZINC001319031277 1108285094 /nfs/dbraw/zinc/28/50/94/1108285094.db2.gz FQEWNFZCKVKENG-UHFFFAOYSA-N 0 1 316.848 3.097 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCC(C)(C)C)C1CCN(CC#C)CC1 ZINC001324432553 1108286838 /nfs/dbraw/zinc/28/68/38/1108286838.db2.gz YHISPQBVVQVSJV-QGZVFWFLSA-N 0 1 316.489 3.008 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2ccc(Br)c(O)c2)c1 ZINC001324492360 1108314058 /nfs/dbraw/zinc/31/40/58/1108314058.db2.gz ZPYNGCXXJABRKQ-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN COC(=O)c1cccc(/C=C\C(=O)c2ccc(O)c(F)c2)c1 ZINC001319123646 1108321443 /nfs/dbraw/zinc/32/14/43/1108321443.db2.gz MGPMEPZEGREHEI-ALCCZGGFSA-N 0 1 300.285 3.214 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001264034102 1108346098 /nfs/dbraw/zinc/34/60/98/1108346098.db2.gz YJDYWEPGFDEIPU-YVSFHVDLSA-N 0 1 322.880 3.264 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(C/C=C/c2ccccc2)[C@@H]1C ZINC001264155322 1108403808 /nfs/dbraw/zinc/40/38/08/1108403808.db2.gz SEHCMSRARHZULG-CTOFXIGKSA-N 0 1 312.457 3.491 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001324656160 1108405994 /nfs/dbraw/zinc/40/59/94/1108405994.db2.gz KXCPUWWMGZHPQT-QFBILLFUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CN(C)Cc1ccccn1 ZINC001264184424 1108420001 /nfs/dbraw/zinc/42/00/01/1108420001.db2.gz RUQRCIQPQAGONI-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN CCCCCC(=O)NCC1CC(NCc2ccccc2C#N)C1 ZINC001264210859 1108438083 /nfs/dbraw/zinc/43/80/83/1108438083.db2.gz XVGJPHVBGONIIJ-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001264296965 1108457194 /nfs/dbraw/zinc/45/71/94/1108457194.db2.gz LVHNPPOQJXCMRK-INIZCTEOSA-N 0 1 315.461 3.288 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001319448815 1108472819 /nfs/dbraw/zinc/47/28/19/1108472819.db2.gz QWTWDXALSFGXSL-UONOGXRCSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2nc(C)c(C)s2)C1 ZINC001319529739 1108510820 /nfs/dbraw/zinc/51/08/20/1108510820.db2.gz XBGHPXUNMSGPBD-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCCCCC(=O)NC[C@]1(C)CCCN(C[C@@H](F)CC)C1 ZINC001324925820 1108516182 /nfs/dbraw/zinc/51/61/82/1108516182.db2.gz FHNRGCTXECQFNV-WMZOPIPTSA-N 0 1 310.457 3.146 20 30 DGEDMN CC#CCCCC(=O)NC[C@@]1(C)CCCN(C[C@H](F)CC)C1 ZINC001324956829 1108535377 /nfs/dbraw/zinc/53/53/77/1108535377.db2.gz FLQKPMSCGZLCOA-SJLPKXTDSA-N 0 1 310.457 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1oc(C)cc1C ZINC001319604218 1108549487 /nfs/dbraw/zinc/54/94/87/1108549487.db2.gz LHCVWPRUBAXUSJ-AWEZNQCLSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1c[nH]cc1C1CC1 ZINC001319630859 1108563653 /nfs/dbraw/zinc/56/36/53/1108563653.db2.gz KMCJHVIUIDDFHE-AWEZNQCLSA-N 0 1 323.868 3.379 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)[C@@H]1C ZINC001264715288 1108629655 /nfs/dbraw/zinc/62/96/55/1108629655.db2.gz SUCZPCYEPPRGFC-FDCNMECVSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC=CCC1)c1ccccc1CC ZINC001325361543 1108632170 /nfs/dbraw/zinc/63/21/70/1108632170.db2.gz HVUGCUNQTVVBTG-QUCCMNQESA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C=C1CCC1)c1ccccc1CC ZINC001325363892 1108633754 /nfs/dbraw/zinc/63/37/54/1108633754.db2.gz VIHOSSMEHXWTIR-LJQANCHMSA-N 0 1 310.441 3.130 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C2CCN(CCF)CC2)C1 ZINC001325364330 1108634038 /nfs/dbraw/zinc/63/40/38/1108634038.db2.gz WVGZENMJXHJUBP-KRWDZBQOSA-N 0 1 310.457 3.263 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1cccs1 ZINC001264742952 1108640868 /nfs/dbraw/zinc/64/08/68/1108640868.db2.gz NFYLHZZGFNJMCB-CABCVRRESA-N 0 1 306.475 3.351 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)C[C@H](C)c1ccncc1 ZINC001264741603 1108641734 /nfs/dbraw/zinc/64/17/34/1108641734.db2.gz IQFARSNMXFPCRT-WMZOPIPTSA-N 0 1 315.461 3.074 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CC[C@H](C)CC1 ZINC001264745805 1108645662 /nfs/dbraw/zinc/64/56/62/1108645662.db2.gz VGTQOTDNFPRENT-BZSNNMDCSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NCc2ccc(N)c(Cl)c2)c1 ZINC001319932890 1108700423 /nfs/dbraw/zinc/70/04/23/1108700423.db2.gz XHXZTGGGCCWEFC-UHFFFAOYSA-N 0 1 306.156 3.288 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C(C)C ZINC001319937177 1108702971 /nfs/dbraw/zinc/70/29/71/1108702971.db2.gz UCVIKBXWRUHSHT-YTRUQHMWSA-N 0 1 307.265 3.248 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C(C)C ZINC001319937175 1108703170 /nfs/dbraw/zinc/70/31/70/1108703170.db2.gz UCVIKBXWRUHSHT-SEVUFMINSA-N 0 1 307.265 3.248 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001265223386 1108787394 /nfs/dbraw/zinc/78/73/94/1108787394.db2.gz VPYIBQVVGQYGFZ-SQSZOCELSA-N 0 1 324.468 3.474 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC001265340373 1108834147 /nfs/dbraw/zinc/83/41/47/1108834147.db2.gz PLOLGXKZPULOLN-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC001265340376 1108834349 /nfs/dbraw/zinc/83/43/49/1108834349.db2.gz PLOLGXKZPULOLN-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)ccc2F)CC1 ZINC001265341309 1108834567 /nfs/dbraw/zinc/83/45/67/1108834567.db2.gz OAEOMBMOTRMPMF-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCCCC1(F)F)C1CC1 ZINC001265364336 1108845166 /nfs/dbraw/zinc/84/51/66/1108845166.db2.gz NBTTUBGYXZQNJR-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](NCC(=C)Cl)C2CC2)CCCC1 ZINC001265381950 1108852151 /nfs/dbraw/zinc/85/21/51/1108852151.db2.gz FJRIKBOYUWOACL-OAHLLOKOSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2[C@H](C)c1ncc(C)o1 ZINC001265512915 1108896181 /nfs/dbraw/zinc/89/61/81/1108896181.db2.gz OCZLBOZAQNJSLH-WZYMTQMTSA-N 0 1 317.433 3.122 20 30 DGEDMN C#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)CCCCC(C)C)C1 ZINC001265523554 1108898556 /nfs/dbraw/zinc/89/85/56/1108898556.db2.gz MQPIBWWHGXVPEY-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)CC(C)(C)CC)C1 ZINC001265523017 1108898907 /nfs/dbraw/zinc/89/89/07/1108898907.db2.gz GKGOYZMOKOJLIC-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CCCc1ccccc1 ZINC001265650500 1108948783 /nfs/dbraw/zinc/94/87/83/1108948783.db2.gz BBOZTQBXFSHVKK-LJQANCHMSA-N 0 1 312.457 3.003 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1CCCC12CC2 ZINC001265673973 1108961578 /nfs/dbraw/zinc/96/15/78/1108961578.db2.gz PGPTUJWULGZTLH-GJZGRUSLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCC(NCc2cscn2)CC1 ZINC001265738424 1108986666 /nfs/dbraw/zinc/98/66/66/1108986666.db2.gz FGQAPIADCRYUIW-UHFFFAOYSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)c(Cl)cc1C ZINC001265809403 1109010076 /nfs/dbraw/zinc/01/00/76/1109010076.db2.gz XNMFKMXUVSCSJT-GFCCVEGCSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001265820530 1109014953 /nfs/dbraw/zinc/01/49/53/1109014953.db2.gz FKFWGRWKZHVSAU-FZKQIMNGSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001265872611 1109032421 /nfs/dbraw/zinc/03/24/21/1109032421.db2.gz CFNFJUMSULFRIA-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN CC#CCCCC(=O)NCCCN(C)Cc1ccccc1Cl ZINC001265906341 1109039058 /nfs/dbraw/zinc/03/90/58/1109039058.db2.gz APGOVDCLHTUDBM-UHFFFAOYSA-N 0 1 320.864 3.472 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001280184443 1109179108 /nfs/dbraw/zinc/17/91/08/1109179108.db2.gz FXCMWHVJEXELQG-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN CCCCCCCNC(=O)c1ccccc1N1CCN(C)CC1 ZINC001280233562 1109180954 /nfs/dbraw/zinc/18/09/54/1109180954.db2.gz SUXBNHVJFWSTGM-UHFFFAOYSA-N 0 1 317.477 3.139 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCCC[C@@H]1C ZINC001280333826 1109186986 /nfs/dbraw/zinc/18/69/86/1109186986.db2.gz OARZMYUYVFYJDK-LPHOPBHVSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001280446643 1109204820 /nfs/dbraw/zinc/20/48/20/1109204820.db2.gz OPARNNJHPVLGFX-XHSDSOJGSA-N 0 1 310.869 3.146 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@H]1CCC2(CN(CCCCCCC)C2)O1 ZINC001280917458 1109272327 /nfs/dbraw/zinc/27/23/27/1109272327.db2.gz BQBAWHFLMJCSSE-RPDKKYPRSA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)[C@@](C)(CC)CCC)O2 ZINC001280932406 1109275706 /nfs/dbraw/zinc/27/57/06/1109275706.db2.gz YGZVSEGGSZDKOG-WMZOPIPTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)C1CC1 ZINC001281062987 1109298954 /nfs/dbraw/zinc/29/89/54/1109298954.db2.gz LINKCWAQBSBKJS-HNAYVOBHSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)C1CC1 ZINC001281062980 1109299454 /nfs/dbraw/zinc/29/94/54/1109299454.db2.gz LINKCWAQBSBKJS-BEFAXECRSA-N 0 1 312.457 3.152 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC/C=C/c2ccccc2)C(C)(C)C1 ZINC001281553483 1109379976 /nfs/dbraw/zinc/37/99/76/1109379976.db2.gz QXUMVMIHCAXWFO-BEBFYNPSSA-N 0 1 324.468 3.330 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)CC2(C)CC2)CCN1CC#Cc1ccccc1 ZINC001281668899 1109392569 /nfs/dbraw/zinc/39/25/69/1109392569.db2.gz FWCNVFOJSIQJOO-MJGOQNOKSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@H](C)CC ZINC001281775902 1109406344 /nfs/dbraw/zinc/40/63/44/1109406344.db2.gz FZIUOJCHZXKOCI-APWZRJJASA-N 0 1 312.457 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)C1CC1 ZINC001281776681 1109406975 /nfs/dbraw/zinc/40/69/75/1109406975.db2.gz ONNJZEBRBBJUQC-DNVCBOLYSA-N 0 1 312.457 3.324 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CCC)c1ccccc1 ZINC001281970210 1109425359 /nfs/dbraw/zinc/42/53/59/1109425359.db2.gz SQKVFIUUMUCERB-RBUKOAKNSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001282094329 1109448899 /nfs/dbraw/zinc/44/88/99/1109448899.db2.gz GYLSKLBQBBNBIL-KBPBESRZSA-N 0 1 319.424 3.260 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001282131068 1109455178 /nfs/dbraw/zinc/45/51/78/1109455178.db2.gz BRNNFIDBXKHQQK-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1ccncc1Cl ZINC001282131020 1109455278 /nfs/dbraw/zinc/45/52/78/1109455278.db2.gz BMUWWPUBXKXLMQ-STQMWFEESA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2ncccc21 ZINC001282175018 1109462865 /nfs/dbraw/zinc/46/28/65/1109462865.db2.gz MNPVQBZWFQTLIJ-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C)nc1C(C)C ZINC001282173055 1109462869 /nfs/dbraw/zinc/46/28/69/1109462869.db2.gz HJGZSTMAKTZOEV-ZIAGYGMSSA-N 0 1 323.868 3.362 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001282683699 1109552054 /nfs/dbraw/zinc/55/20/54/1109552054.db2.gz YAHDYMZTJCMWQP-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CC)CCCCC)C2)C1 ZINC001282977846 1109586030 /nfs/dbraw/zinc/58/60/30/1109586030.db2.gz NYDMLYDVIQUEIP-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccoc1CC ZINC001283494471 1109644798 /nfs/dbraw/zinc/64/47/98/1109644798.db2.gz PTBGRKYNIXNWNP-CQSZACIVSA-N 0 1 310.825 3.035 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001283605317 1109659800 /nfs/dbraw/zinc/65/98/00/1109659800.db2.gz CJPDLXMSCDLHSQ-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1F ZINC001283618743 1109661800 /nfs/dbraw/zinc/66/18/00/1109661800.db2.gz LOGBOZZZDOBADJ-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cccc(F)c2F)[C@H]1C ZINC001283901198 1109696748 /nfs/dbraw/zinc/69/67/48/1109696748.db2.gz ZUQHGCDOWMHZHS-CJNGLKHVSA-N 0 1 322.399 3.400 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C)c(C)cc1Cl)C(C)C ZINC001284545346 1109809139 /nfs/dbraw/zinc/80/91/39/1109809139.db2.gz NNWOWGUDNBGWFU-UHFFFAOYSA-N 0 1 320.864 3.372 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(-c2ccccc2)[nH]1)C(C)C ZINC001284561873 1109813088 /nfs/dbraw/zinc/81/30/88/1109813088.db2.gz FMRQECNYRRKKRD-UHFFFAOYSA-N 0 1 323.440 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C1CCC1)C(C)(C)C ZINC001284602222 1109822882 /nfs/dbraw/zinc/82/28/82/1109822882.db2.gz BQVMGKOTSHOCMB-GXTWGEPZSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)C(C)(C)C ZINC001284609783 1109824416 /nfs/dbraw/zinc/82/44/16/1109824416.db2.gz NKMBBOUENMRXEP-SOUVJXGZSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001284626364 1109827896 /nfs/dbraw/zinc/82/78/96/1109827896.db2.gz ZMNYYLFWDDNOAQ-YJBOKZPZSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001301428445 1111091627 /nfs/dbraw/zinc/09/16/27/1111091627.db2.gz JCQQJTJELWSOTN-GFCCVEGCSA-N 0 1 313.788 3.216 20 30 DGEDMN CN(C)CC#CCNC(=O)C1(C2CCCCC2)CCCCC1 ZINC001302294808 1111111019 /nfs/dbraw/zinc/11/10/19/1111111019.db2.gz BBHSCJRQJWSCBW-UHFFFAOYSA-N 0 1 304.478 3.198 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NC(=O)c1ccc(F)cc1O ZINC001306859311 1111245375 /nfs/dbraw/zinc/24/53/75/1111245375.db2.gz SWPLVSQURZKENL-MRXNPFEDSA-N 0 1 310.328 3.210 20 30 DGEDMN Cc1cc(N[C@@H]2CCN(C)[C@H]2c2ccc(F)cc2)ncc1C#N ZINC001307073543 1111262199 /nfs/dbraw/zinc/26/21/99/1111262199.db2.gz XDVOLTXKJZMQER-AEFFLSMTSA-N 0 1 310.376 3.258 20 30 DGEDMN C#CCN1CCC(Nc2cc(C(C)(C)C)nc(C(C)C)n2)CC1 ZINC001307214047 1111280069 /nfs/dbraw/zinc/28/00/69/1111280069.db2.gz RUGRBIQPJRAAQW-UHFFFAOYSA-N 0 1 314.477 3.407 20 30 DGEDMN CN(Cc1cc(F)c(F)c(F)c1)C[C@@H](O)c1cccc(C#N)c1 ZINC001307608272 1111319723 /nfs/dbraw/zinc/31/97/23/1111319723.db2.gz ITEIAPRPKDQVLL-MRXNPFEDSA-N 0 1 320.314 3.141 20 30 DGEDMN CC[C@H]1CCN(CC(=O)Nc2cc(Cl)ccc2C#N)[C@H]1C ZINC001307701283 1111328981 /nfs/dbraw/zinc/32/89/81/1111328981.db2.gz CYXDKYAOVRJQDM-RYUDHWBXSA-N 0 1 305.809 3.271 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001307781969 1111338870 /nfs/dbraw/zinc/33/88/70/1111338870.db2.gz SJHMTEVEKJHOIR-GDBMZVCRSA-N 0 1 324.346 3.202 20 30 DGEDMN C=CCCCCN1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC001307803095 1111341383 /nfs/dbraw/zinc/34/13/83/1111341383.db2.gz SNBKSAYKMUOKOA-UHFFFAOYSA-N 0 1 300.446 3.466 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CN(Cc1ccccc1)C[C@@H](C)O2 ZINC001308031454 1111369442 /nfs/dbraw/zinc/36/94/42/1111369442.db2.gz HRFAVXBDXMEFGV-AEFFLSMTSA-N 0 1 320.864 3.104 20 30 DGEDMN C#CCN(Cc1ccc(Br)c([N+](=O)[O-])c1)C(C)C ZINC001308098471 1111377472 /nfs/dbraw/zinc/37/74/72/1111377472.db2.gz OHAJQMLNNXSQLM-UHFFFAOYSA-N 0 1 311.179 3.201 20 30 DGEDMN COC(=O)Nc1ccc(CNCc2csc(C#N)c2)cc1 ZINC001308202149 1111396492 /nfs/dbraw/zinc/39/64/92/1111396492.db2.gz GZEYYEKNLOGWAW-UHFFFAOYSA-N 0 1 301.371 3.088 20 30 DGEDMN C#CCOc1ccc(Br)cc1CNC/C=C\Cl ZINC001308545025 1111440703 /nfs/dbraw/zinc/44/07/03/1111440703.db2.gz ZDLFWTDDGQMODX-UTCJRWHESA-N 0 1 314.610 3.303 20 30 DGEDMN CCC(CC)C(=O)NCCN(CC)Cc1ccc(F)c(C#N)c1 ZINC001317477088 1111514090 /nfs/dbraw/zinc/51/40/90/1111514090.db2.gz LEQMTRFMGVZWJR-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001317519192 1111631292 /nfs/dbraw/zinc/63/12/92/1111631292.db2.gz BMOBEGYWXWAICT-LJQANCHMSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001317534533 1111666522 /nfs/dbraw/zinc/66/65/22/1111666522.db2.gz DUTVOXYBLJOCOX-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001316791490 1111767279 /nfs/dbraw/zinc/76/72/79/1111767279.db2.gz PGFJOHMLDORCSF-AYBZRNKSSA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CCC)c2ccccc2)[C@H]1C ZINC001316798389 1111769185 /nfs/dbraw/zinc/76/91/85/1111769185.db2.gz GUUHUFMHPYHXBM-NEWSRXKRSA-N 0 1 312.457 3.173 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001316841523 1111782821 /nfs/dbraw/zinc/78/28/21/1111782821.db2.gz OUJIAASGSCNYMM-YBEGLDIGSA-N 0 1 311.429 3.019 20 30 DGEDMN C=CC[C@@H]1N(C(=O)NCCCc2cn[nH]c2C)CCCC1(C)C ZINC000278354795 214110750 /nfs/dbraw/zinc/11/07/50/214110750.db2.gz WNEGHOHJDJAHKB-INIZCTEOSA-N 0 1 318.465 3.427 20 30 DGEDMN CCc1nnc(Nc2cc3cn[nH]c3cc2C)c(C#N)c1CC ZINC000302731392 230824287 /nfs/dbraw/zinc/82/42/87/230824287.db2.gz SSVOLJYMAHDSAZ-UHFFFAOYSA-N 0 1 306.373 3.401 20 30 DGEDMN N#Cc1cccc(CN2CCN(Cc3ccccc3)CC2)c1F ZINC000303481413 230888275 /nfs/dbraw/zinc/88/82/75/230888275.db2.gz KXXOUWQIQDZVGU-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@@H]2CCCN2C2CC2)c1 ZINC000278704298 214339456 /nfs/dbraw/zinc/33/94/56/214339456.db2.gz PLOQGLHBQKWJAR-KRWDZBQOSA-N 0 1 300.402 3.207 20 30 DGEDMN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1SCC#N ZINC000185617991 529477896 /nfs/dbraw/zinc/47/78/96/529477896.db2.gz BEEPFRZJXUKOBI-DZGCQCFKSA-N 0 1 317.458 3.361 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@H]1C ZINC000451545647 536750020 /nfs/dbraw/zinc/75/00/20/536750020.db2.gz OKKQBWVYFKDTGF-RISCZKNCSA-N 0 1 310.344 3.016 20 30 DGEDMN CCc1cncc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000434555088 529668194 /nfs/dbraw/zinc/66/81/94/529668194.db2.gz GEFGPTTYHXPCDC-AWEZNQCLSA-N 0 1 304.353 3.319 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc4[nH]ccc4c3)no2)CC1 ZINC000278131098 213978006 /nfs/dbraw/zinc/97/80/06/213978006.db2.gz PTSYNSZBAOAZLM-UHFFFAOYSA-N 0 1 306.369 3.031 20 30 DGEDMN CN(CCCCC(C)(C)C#N)CC(=O)Nc1cccc(F)c1 ZINC000444594093 529880927 /nfs/dbraw/zinc/88/09/27/529880927.db2.gz GXBXPEBWLJRVKB-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN C=CCN(Cc1ccc(C(=O)NC)cc1)Cc1cccc(C#N)c1 ZINC000066872985 432024269 /nfs/dbraw/zinc/02/42/69/432024269.db2.gz AYYLOKVTXYSTTG-UHFFFAOYSA-N 0 1 319.408 3.106 20 30 DGEDMN C#CCOc1ccc(CN[C@@H]2CCOc3ccc(F)cc32)cc1 ZINC000172120383 198042061 /nfs/dbraw/zinc/04/20/61/198042061.db2.gz NCKMHYRITUAPLH-GOSISDBHSA-N 0 1 311.356 3.451 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2n[nH]c([C@@H](C)CC)n2)cc1 ZINC000277173037 213345023 /nfs/dbraw/zinc/34/50/23/213345023.db2.gz IARCSONSUXZIAK-KBPBESRZSA-N 0 1 312.417 3.181 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)o1 ZINC000182110863 199388319 /nfs/dbraw/zinc/38/83/19/199388319.db2.gz ZKBNPGUHVHFONB-JKSUJKDBSA-N 0 1 302.761 3.424 20 30 DGEDMN N#CCCN(C(=O)CN1CCC2(CCCC2)C1)c1ccccc1 ZINC000174271308 283052033 /nfs/dbraw/zinc/05/20/33/283052033.db2.gz QKZULRYWAZNWLR-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN Cc1cc2cccnc2c(NS(=O)(=O)c2ccc(C#N)cc2)c1 ZINC000132770974 432053644 /nfs/dbraw/zinc/05/36/44/432053644.db2.gz QEQUKJLVSXCXFZ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)o1 ZINC000182110848 283147598 /nfs/dbraw/zinc/14/75/98/283147598.db2.gz ZKBNPGUHVHFONB-CVEARBPZSA-N 0 1 302.761 3.424 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC000276844881 213127983 /nfs/dbraw/zinc/12/79/83/213127983.db2.gz CSDYIWPZBCNMCP-MZWKFTRPSA-N 0 1 323.400 3.080 20 30 DGEDMN C=CCOc1ccc(CNCc2c(CC)nn(C)c2CC)cc1 ZINC000169283187 432071423 /nfs/dbraw/zinc/07/14/23/432071423.db2.gz UNQUPQAGLGGDSG-UHFFFAOYSA-N 0 1 313.445 3.400 20 30 DGEDMN C=CCOCCCC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000179837962 199086622 /nfs/dbraw/zinc/08/66/22/199086622.db2.gz LEKNLXLEGGMBMP-UHFFFAOYSA-N 0 1 309.316 3.422 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1ccc(O)c(OC)c1 ZINC000179944507 199097127 /nfs/dbraw/zinc/09/71/27/199097127.db2.gz YHZYVEHQWKSLFO-UHFFFAOYSA-N 0 1 311.381 3.045 20 30 DGEDMN CS[C@H]1CCCCN([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000134614088 432081823 /nfs/dbraw/zinc/08/18/23/432081823.db2.gz CDSBLKFVMSXZQM-BBRMVZONSA-N 0 1 317.458 3.103 20 30 DGEDMN COCCN(Cc1cc(C#N)ccc1F)[C@@H]1CCCSC1 ZINC000433199681 238150223 /nfs/dbraw/zinc/15/02/23/238150223.db2.gz MQXVUNRYUPEISV-OAHLLOKOSA-N 0 1 308.422 3.041 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]12CCC[C@H]1CN(Cc1ccc(C#N)o1)C2 ZINC000281404525 216275978 /nfs/dbraw/zinc/27/59/78/216275978.db2.gz XSPXGTKTKYGYGF-SCLBCKFNSA-N 0 1 316.401 3.095 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(Cl)c(F)c2)c1C#N ZINC000441324867 239037095 /nfs/dbraw/zinc/03/70/95/239037095.db2.gz RSDSBQFDYMADFK-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(F)c(Cl)c2)c1C#N ZINC000441385563 239044935 /nfs/dbraw/zinc/04/49/35/239044935.db2.gz OOKZWOVLCHWOKU-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc3ccoc3c2)c1C#N ZINC000442851010 239137134 /nfs/dbraw/zinc/13/71/34/239137134.db2.gz WECNMXWUWYDKRN-UHFFFAOYSA-N 0 1 312.350 3.414 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H]3CCC[C@H]32)cc1Cl ZINC000444191718 239269923 /nfs/dbraw/zinc/26/99/23/239269923.db2.gz YICLJCUMAGIYQS-MLGOLLRUSA-N 0 1 317.820 3.415 20 30 DGEDMN C[C@@H](NCc1cccc(C(=O)NC2CC2)c1)c1cccc(C#N)c1 ZINC000271844092 209261549 /nfs/dbraw/zinc/26/15/49/209261549.db2.gz HGZZFAGIEULSGQ-CQSZACIVSA-N 0 1 319.408 3.301 20 30 DGEDMN CC(C)c1nc(CNc2cccc(Br)c2C#N)n[nH]1 ZINC000450623354 240789192 /nfs/dbraw/zinc/78/91/92/240789192.db2.gz LQXGXCMZTLCPMN-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1nc2ccc(Br)cc2o1 ZINC000451615936 241189708 /nfs/dbraw/zinc/18/97/08/241189708.db2.gz XREVNFHOZDWKDS-PWSUYJOCSA-N 0 1 323.190 3.023 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCC[C@H]1c1ccc(F)cc1C ZINC000451966471 241285290 /nfs/dbraw/zinc/28/52/90/241285290.db2.gz FGTKBIJAEIKRSU-KRWDZBQOSA-N 0 1 317.408 3.033 20 30 DGEDMN C[C@H](N[C@@H](C[S@](C)=O)c1ccccc1)c1cccc(C#N)c1 ZINC000190000445 432111799 /nfs/dbraw/zinc/11/17/99/432111799.db2.gz LORFLFXPWMNGHX-SDYXESRWSA-N 0 1 312.438 3.329 20 30 DGEDMN Cc1ccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)c(C)c1 ZINC000271749843 209180925 /nfs/dbraw/zinc/18/09/25/209180925.db2.gz FNEAQIAJXBQOIB-UHFFFAOYSA-N 0 1 319.408 3.393 20 30 DGEDMN C[C@@H](C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1)c1cccc(C#N)c1 ZINC000462334281 243652807 /nfs/dbraw/zinc/65/28/07/243652807.db2.gz MIFCGTXQNFESOF-CYBMUJFWSA-N 0 1 324.428 3.341 20 30 DGEDMN CCC(CC)[C@H](c1ccc(Cl)cc1)N(C)CC(=O)NCC#N ZINC000470947759 244196756 /nfs/dbraw/zinc/19/67/56/244196756.db2.gz JYWPWAIIAGVJEP-QGZVFWFLSA-N 0 1 321.852 3.389 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)Nc2ccc(C#N)cc2Cl)CCN1C ZINC000486971562 245006565 /nfs/dbraw/zinc/00/65/65/245006565.db2.gz OPOPGDFYZFKKRP-HNNXBMFYSA-N 0 1 320.824 3.016 20 30 DGEDMN Cc1nc(N2CCCN(Cc3ccc(F)cc3)CC2)ccc1C#N ZINC000487938748 245125685 /nfs/dbraw/zinc/12/56/85/245125685.db2.gz CJFJJUQBOUGISJ-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN Cc1nc(CSc2nc(-c3ccccc3)ccc2C#N)n[nH]1 ZINC000488254498 245168988 /nfs/dbraw/zinc/16/89/88/245168988.db2.gz YAESUEDAQBQVTG-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN Cc1cc(CN2CCC[C@H]([C@@H](O)C(F)(F)F)C2)ccc1C#N ZINC000488973881 245257300 /nfs/dbraw/zinc/25/73/00/245257300.db2.gz VGWSATKRXWCSQH-LSDHHAIUSA-N 0 1 312.335 3.002 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(C)[C@H](C(C)C)C1)c1ccccc1 ZINC000489333518 245292869 /nfs/dbraw/zinc/29/28/69/245292869.db2.gz ZOWXJQYMNDMVFQ-MSOLQXFVSA-N 0 1 300.446 3.145 20 30 DGEDMN COc1ccc(C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C2CC2)cc1 ZINC000268704833 432123890 /nfs/dbraw/zinc/12/38/90/432123890.db2.gz SCEOSMJPDLZQJV-FQEVSTJZSA-N 0 1 322.408 3.265 20 30 DGEDMN C=CCCCS(=O)(=O)NCC(F)(F)c1ccc(Cl)cc1 ZINC000489848851 245353299 /nfs/dbraw/zinc/35/32/99/245353299.db2.gz UKQCKUARXNNSPO-UHFFFAOYSA-N 0 1 323.792 3.317 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2n[nH]c3ccccc32)cc1 ZINC000489957053 245364695 /nfs/dbraw/zinc/36/46/95/245364695.db2.gz USSIPECOLRVROZ-INIZCTEOSA-N 0 1 304.353 3.120 20 30 DGEDMN C#CCCN[C@H](C(=O)Nc1ccccc1OCC)c1ccccc1 ZINC000264983978 204324347 /nfs/dbraw/zinc/32/43/47/204324347.db2.gz VIDAJXHWNZARHZ-IBGZPJMESA-N 0 1 322.408 3.378 20 30 DGEDMN C#CC[C@@H](NCc1cc(C(=O)OC)oc1CC)c1ccccc1 ZINC000271734902 209168236 /nfs/dbraw/zinc/16/82/36/209168236.db2.gz TYFGJSZZXDCWCC-MRXNPFEDSA-N 0 1 311.381 3.483 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2cccc(Cl)c2)CC1 ZINC000490897906 245442781 /nfs/dbraw/zinc/44/27/81/245442781.db2.gz GMKHBTZIYQROQO-ZDUSSCGKSA-N 0 1 305.805 3.289 20 30 DGEDMN Cc1ccccc1CN(C)C(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271718166 209151781 /nfs/dbraw/zinc/15/17/81/209151781.db2.gz XFARHGBVHNIZIF-MRXNPFEDSA-N 0 1 321.424 3.176 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1ccc(C#N)cc1 ZINC000271689690 209127931 /nfs/dbraw/zinc/12/79/31/209127931.db2.gz RGZIJLCXCQTMIO-LDDOYCOJSA-N 0 1 313.445 3.150 20 30 DGEDMN COc1ccc(C)cc1NC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000266451797 205357709 /nfs/dbraw/zinc/35/77/09/205357709.db2.gz JRZYFGJWGRBRMO-CQSZACIVSA-N 0 1 323.396 3.165 20 30 DGEDMN N#Cc1ccccc1CN1CCC(OC[C@H]2CCCCO2)CC1 ZINC000267378583 206023272 /nfs/dbraw/zinc/02/32/72/206023272.db2.gz LWFYINNZVNYITL-LJQANCHMSA-N 0 1 314.429 3.108 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)cc1)Cc1cccc2[nH]ccc21 ZINC000493999757 246105304 /nfs/dbraw/zinc/10/53/04/246105304.db2.gz LVMJSEOMPAXKEI-UHFFFAOYSA-N 0 1 318.380 3.110 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000494687417 246181017 /nfs/dbraw/zinc/18/10/17/246181017.db2.gz CNRKPFIWXHZZHV-IRXDYDNUSA-N 0 1 319.424 3.179 20 30 DGEDMN CC(C)C[C@H]1CCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246462336 432134966 /nfs/dbraw/zinc/13/49/66/432134966.db2.gz NOHCFMGQCVWDJP-HIFRSBDPSA-N 0 1 317.458 3.093 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000109347069 194259605 /nfs/dbraw/zinc/25/96/05/194259605.db2.gz IJAKKPBXNFIYFP-KSSFIOAISA-N 0 1 305.381 3.014 20 30 DGEDMN N#Cc1ccsc1NC(=O)CN1CCC[C@H]1C1CCCC1 ZINC000055928029 184001480 /nfs/dbraw/zinc/00/14/80/184001480.db2.gz PBDFNMQYNYWFBY-AWEZNQCLSA-N 0 1 303.431 3.213 20 30 DGEDMN CN(CCOc1cccc(C#N)c1)C[C@H]1OCCc2ccccc21 ZINC000337684198 253159034 /nfs/dbraw/zinc/15/90/34/253159034.db2.gz OXYKEZMTYZONOR-HXUWFJFHSA-N 0 1 322.408 3.183 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1CNCc1cccc(C#N)c1 ZINC000338435278 253287142 /nfs/dbraw/zinc/28/71/42/253287142.db2.gz LIBBKABTIHZZLG-UHFFFAOYSA-N 0 1 317.396 3.046 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1cc(-c2ccccc2)cs1 ZINC000272899562 210287875 /nfs/dbraw/zinc/28/78/75/210287875.db2.gz ZNBZFXFFVBDFGU-UHFFFAOYSA-N 0 1 321.467 3.106 20 30 DGEDMN COCC1(C#N)CCN(Cc2c[nH]nc2-c2ccc(C)cc2)CC1 ZINC000342837882 533111769 /nfs/dbraw/zinc/11/17/69/533111769.db2.gz LGONORVBDXUXRI-UHFFFAOYSA-N 0 1 324.428 3.137 20 30 DGEDMN CC[C@H](CO)N(Cc1ccccc1)Cc1cc(C#N)ccc1OC ZINC000342650676 254025756 /nfs/dbraw/zinc/02/57/56/254025756.db2.gz YFZZNHNNJKLNDF-LJQANCHMSA-N 0 1 324.424 3.340 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc2nc(-c3cccc(F)c3)[nH]c2c1 ZINC000340334704 533120336 /nfs/dbraw/zinc/12/03/36/533120336.db2.gz WVCVMGLFGMQPGE-JTQLQIEISA-N 0 1 308.316 3.467 20 30 DGEDMN CN(C)Cc1ccc(CNc2nc3c(cc2C#N)CCC3)cc1F ZINC000342770952 254046461 /nfs/dbraw/zinc/04/64/61/254046461.db2.gz KXUMUFHRWLRDKU-UHFFFAOYSA-N 0 1 324.403 3.255 20 30 DGEDMN Cc1c(Cl)cnc(N[C@@H](C)c2n[nH]c(C(C)C)n2)c1C#N ZINC000343525453 254156169 /nfs/dbraw/zinc/15/61/69/254156169.db2.gz IRAANVNSJKIDFE-VIFPVBQESA-N 0 1 304.785 3.330 20 30 DGEDMN Cc1ccc([C@H](CNc2nccc(C)c2C#N)N2CCCC2)o1 ZINC000343539527 254158042 /nfs/dbraw/zinc/15/80/42/254158042.db2.gz WDQRPWQYPDPEIQ-INIZCTEOSA-N 0 1 310.401 3.412 20 30 DGEDMN C[C@@H]1CN(CCCNc2cccc(Cl)c2C#N)C[C@@H](C)O1 ZINC000344473760 254274570 /nfs/dbraw/zinc/27/45/70/254274570.db2.gz HNDXKDBJNVYRLY-CHWSQXEVSA-N 0 1 307.825 3.123 20 30 DGEDMN Cc1csc(NC(=O)c2cc(-c3ccccn3)no2)c1C#N ZINC000344931094 254316998 /nfs/dbraw/zinc/31/69/98/254316998.db2.gz SBNPLZFTMDUBIP-UHFFFAOYSA-N 0 1 310.338 3.231 20 30 DGEDMN N#Cc1cccc(F)c1CC(=O)Nc1cc(Cl)c(O)cc1F ZINC000345656756 254384710 /nfs/dbraw/zinc/38/47/10/254384710.db2.gz ZYOMMYKPTGFBCL-UHFFFAOYSA-N 0 1 322.698 3.377 20 30 DGEDMN CC(C)(C)OC(=O)[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC000156677399 197133118 /nfs/dbraw/zinc/13/31/18/197133118.db2.gz RJDQMVKHNIUHLE-INIZCTEOSA-N 0 1 300.402 3.112 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c(C)c1 ZINC000271646820 209092873 /nfs/dbraw/zinc/09/28/73/209092873.db2.gz IFWGXKXOKXYFNA-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN CCc1ccc([C@H](C)NCC(=O)Nc2sccc2C#N)cc1 ZINC000120442465 195168001 /nfs/dbraw/zinc/16/80/01/195168001.db2.gz HHBBGCNRRPWZMO-LBPRGKRZSA-N 0 1 313.426 3.471 20 30 DGEDMN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C#N)cc2F)C12CCC2 ZINC000120787994 195237797 /nfs/dbraw/zinc/23/77/97/195237797.db2.gz QFRNGDPSDONSSK-IAGOWNOFSA-N 0 1 302.393 3.477 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000305122693 285291457 /nfs/dbraw/zinc/29/14/57/285291457.db2.gz HNMYIGXWBOOXDG-XHDPSFHLSA-N 0 1 312.335 3.254 20 30 DGEDMN C[C@@H](NC(=O)CN[C@@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000271614575 209062798 /nfs/dbraw/zinc/06/27/98/209062798.db2.gz BNTWYPQRUNRACR-LSDHHAIUSA-N 0 1 307.397 3.086 20 30 DGEDMN Cc1ccnc(N2CCCC[C@@H]2c2n[nH]c(C(C)C)n2)c1C#N ZINC000289501315 221021887 /nfs/dbraw/zinc/02/18/87/221021887.db2.gz CHTFAMKDUXEOTI-CQSZACIVSA-N 0 1 310.405 3.235 20 30 DGEDMN C[C@@H](NCCCC1(C#N)CCOCC1)c1nc(C(C)(C)C)no1 ZINC000337206863 533376004 /nfs/dbraw/zinc/37/60/04/533376004.db2.gz NJMLYUKEGAEODC-CYBMUJFWSA-N 0 1 320.437 3.118 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)c1 ZINC000469378633 533519751 /nfs/dbraw/zinc/51/97/51/533519751.db2.gz IAXJUCTZQCJLEM-GASCZTMLSA-N 0 1 302.418 3.453 20 30 DGEDMN Cc1cc(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)ccc1F ZINC000430179274 533622853 /nfs/dbraw/zinc/62/28/53/533622853.db2.gz OLFAFEMHLWSVLD-MRXNPFEDSA-N 0 1 311.360 3.054 20 30 DGEDMN C[C@@H](OC[C@H](O)CNCC1(C#N)CCC1)c1ccc(Cl)cc1 ZINC000581721540 400082157 /nfs/dbraw/zinc/08/21/57/400082157.db2.gz BKZZFRAWQKVDIY-CZUORRHYSA-N 0 1 322.836 3.062 20 30 DGEDMN C=C(C)C(C)(C)c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000581803879 400083272 /nfs/dbraw/zinc/08/32/72/400083272.db2.gz LSXULKNHDWGAEI-UHFFFAOYSA-N 0 1 309.373 3.299 20 30 DGEDMN Cc1cc(CNC(=O)c2ccccc2-c2ccccc2C#N)n[nH]1 ZINC000609595163 400180217 /nfs/dbraw/zinc/18/02/17/400180217.db2.gz OUUKAXNGZDVIQQ-UHFFFAOYSA-N 0 1 316.364 3.187 20 30 DGEDMN Cc1cc(CNc2cc(C)c(C#N)c3nc4ccccc4n32)n[nH]1 ZINC000609595828 400180304 /nfs/dbraw/zinc/18/03/04/400180304.db2.gz TXQJKERXNTXOQI-UHFFFAOYSA-N 0 1 316.368 3.311 20 30 DGEDMN C=CCN(Cc1cccc([N+](=O)[O-])c1)[C@@H](C)c1n[nH]c(CC)n1 ZINC000595297769 400121972 /nfs/dbraw/zinc/12/19/72/400121972.db2.gz YDPUKCSRXXLXKS-LBPRGKRZSA-N 0 1 315.377 3.025 20 30 DGEDMN N#Cc1ccc(CCN2CCC[C@H](OCC(F)(F)F)C2)cc1 ZINC000595320636 400127077 /nfs/dbraw/zinc/12/70/77/400127077.db2.gz IKTHTPKFJIMJKU-HNNXBMFYSA-N 0 1 312.335 3.144 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1cccc(C(=O)[O-])c1)C2 ZINC000600707325 400134476 /nfs/dbraw/zinc/13/44/76/400134476.db2.gz YBYSJWJCGYNQJL-UHFFFAOYSA-N 0 1 306.365 3.009 20 30 DGEDMN CCCCN1C(=O)N=NC1S[C@@H](C#N)CCc1ccccc1 ZINC000601072047 400221515 /nfs/dbraw/zinc/22/15/15/400221515.db2.gz CXNLNFHDUAZLAI-CQSZACIVSA-N 0 1 316.430 3.401 20 30 DGEDMN C[C@H](CCO)N(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000093303769 400238105 /nfs/dbraw/zinc/23/81/05/400238105.db2.gz YEIRDHOSRBHTHH-OAHLLOKOSA-N 0 1 312.388 3.470 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000610343262 400244776 /nfs/dbraw/zinc/24/47/76/400244776.db2.gz CKBVXPQKSHBQHK-HZPDHXFCSA-N 0 1 321.424 3.222 20 30 DGEDMN COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2cccc(C#N)c2)cc1 ZINC000610346300 400246111 /nfs/dbraw/zinc/24/61/11/400246111.db2.gz SHTUBKZXCDNXLT-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN COc1ccc2c(c1)CCN(CCOc1ccccc1C#N)C2 ZINC000595758684 400250515 /nfs/dbraw/zinc/25/05/15/400250515.db2.gz DPSTWIVLQJONBV-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN C=CCN(CC(=O)Nc1cc(C(=O)OC)ccc1C)C(C)(C)C ZINC000595764452 400253040 /nfs/dbraw/zinc/25/30/40/400253040.db2.gz BKVADSPVNIEJDM-UHFFFAOYSA-N 0 1 318.417 3.007 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000595568597 400191110 /nfs/dbraw/zinc/19/11/10/400191110.db2.gz XJNVXZZVTPPPLP-FFSVYQOJSA-N 0 1 317.820 3.413 20 30 DGEDMN CC(C)[C@@H](NC[C@H](C#N)CCC#N)c1ccc2c(c1)OCCO2 ZINC000023675265 400211154 /nfs/dbraw/zinc/21/11/54/400211154.db2.gz XRPFDOVUBAJOPC-KBXCAEBGSA-N 0 1 313.401 3.188 20 30 DGEDMN Cc1ccc([C@@H](CNC(=O)CCCCCC#N)N2CCCC2)o1 ZINC000588338080 400212030 /nfs/dbraw/zinc/21/20/30/400212030.db2.gz VCGJCLJIDBTIMP-MRXNPFEDSA-N 0 1 317.433 3.315 20 30 DGEDMN CCOC(=O)c1ccc(CNC(C)(C)c2cccc(C#N)c2)nc1 ZINC000595631977 400212247 /nfs/dbraw/zinc/21/22/47/400212247.db2.gz AJDSIACBOLZQPO-UHFFFAOYSA-N 0 1 323.396 3.155 20 30 DGEDMN N#Cc1csc(CN[C@H](Cn2cccn2)c2ccccc2)c1 ZINC000610488883 400263588 /nfs/dbraw/zinc/26/35/88/400263588.db2.gz QFOHIICZERWUHC-QGZVFWFLSA-N 0 1 308.410 3.347 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CCC[C@@H](C2CCC2)C1 ZINC000595839496 400277616 /nfs/dbraw/zinc/27/76/16/400277616.db2.gz ZICTXGWGOVTFMB-RHSMWYFYSA-N 0 1 311.429 3.397 20 30 DGEDMN CN(CC(=O)Nc1cccc(C#N)c1)C[C@H]1CCc2ccccc21 ZINC000595881734 400286222 /nfs/dbraw/zinc/28/62/22/400286222.db2.gz VLQFMELCLTWZRE-QGZVFWFLSA-N 0 1 319.408 3.159 20 30 DGEDMN N#Cc1cc(CN[C@@H](Cn2cccn2)c2ccccc2)ccc1F ZINC000610719829 400295689 /nfs/dbraw/zinc/29/56/89/400295689.db2.gz NNWHSPXZUAEXCK-IBGZPJMESA-N 0 1 320.371 3.425 20 30 DGEDMN CC[C@H](C#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC000404355215 400296034 /nfs/dbraw/zinc/29/60/34/400296034.db2.gz LEALGWYITZFNGL-LISAXSMJSA-N 0 1 313.445 3.046 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c(C)c1 ZINC000611386129 400388562 /nfs/dbraw/zinc/38/85/62/400388562.db2.gz DJFWJZLEXWUSLL-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN Cc1nccc(N2CCN(c3ccsc3C#N)CC2)c1Cl ZINC000596352764 400389135 /nfs/dbraw/zinc/38/91/35/400389135.db2.gz OJRJWZUEAWXLEL-UHFFFAOYSA-N 0 1 318.833 3.303 20 30 DGEDMN CC(C)C[C@@H](C)N(C)C(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611387382 400389860 /nfs/dbraw/zinc/38/98/60/400389860.db2.gz MOYVQLDCAOHOEH-HUUCEWRRSA-N 0 1 301.434 3.102 20 30 DGEDMN Cc1nn(C(C)C)cc1C(=O)C(C#N)c1nc2ccccc2[nH]1 ZINC000578907475 400333317 /nfs/dbraw/zinc/33/33/17/400333317.db2.gz ZBXRFWGJCSGWQH-GFCCVEGCSA-N 0 1 307.357 3.139 20 30 DGEDMN C[C@@H](Cc1ccc(Br)c(F)c1)NCC1(C#N)CC1 ZINC000579427619 400338728 /nfs/dbraw/zinc/33/87/28/400338728.db2.gz CZIIICJGVCSRGJ-JTQLQIEISA-N 0 1 311.198 3.413 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1cn2ccsc2n1 ZINC000611391694 400391483 /nfs/dbraw/zinc/39/14/83/400391483.db2.gz ZSGXPRPKOIBHMC-INIZCTEOSA-N 0 1 308.410 3.435 20 30 DGEDMN COc1ccccc1[C@H](CO)N[C@H]1CCCc2cc(C#N)ccc21 ZINC000611394794 400392604 /nfs/dbraw/zinc/39/26/04/400392604.db2.gz FGPNQTFZBHVWTH-OALUTQOASA-N 0 1 322.408 3.267 20 30 DGEDMN CCN(CC)[C@H](C(=O)N[C@@H](C#N)CC(C)C)c1ccccc1 ZINC000611251538 400365238 /nfs/dbraw/zinc/36/52/38/400365238.db2.gz VRTMCLSGCFLPJG-SJORKVTESA-N 0 1 301.434 3.124 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1ccccc1-n1cncn1 ZINC000611268796 400369360 /nfs/dbraw/zinc/36/93/60/400369360.db2.gz BNVQRCWMWNFFAA-UHFFFAOYSA-N 0 1 311.433 3.419 20 30 DGEDMN Cc1nn2c(nc(C)c2CN[C@@H](C)c2cccc(C#N)c2)s1 ZINC000611442010 400401621 /nfs/dbraw/zinc/40/16/21/400401621.db2.gz BADSCEMAVKZRTQ-JTQLQIEISA-N 0 1 311.414 3.130 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)c(OC)c1 ZINC000041545802 400409592 /nfs/dbraw/zinc/40/95/92/400409592.db2.gz JUIFPLGWGGOSDH-ZDUSSCGKSA-N 0 1 322.320 3.335 20 30 DGEDMN Cc1ccc(-c2csc(C(C#N)C(=O)c3cnn(C)c3)n2)cc1 ZINC000041512894 400409656 /nfs/dbraw/zinc/40/96/56/400409656.db2.gz VFJAIYUBWVJTDH-AWEZNQCLSA-N 0 1 322.393 3.342 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000072878247 400410378 /nfs/dbraw/zinc/41/03/78/400410378.db2.gz RPJJWRUEVOOPRP-GHMZBOCLSA-N 0 1 300.324 3.047 20 30 DGEDMN CCCN(CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccc(C)cc1 ZINC000611565546 400422261 /nfs/dbraw/zinc/42/22/61/400422261.db2.gz WBRANGXTFUULQB-IBGZPJMESA-N 0 1 313.445 3.016 20 30 DGEDMN CCN(CC(=O)Nc1cccc(C#N)c1)Cc1ccccc1C ZINC000042133377 400425152 /nfs/dbraw/zinc/42/51/52/400425152.db2.gz WYYDDZFDIBEQHA-UHFFFAOYSA-N 0 1 307.397 3.327 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1NC[C@H]1CCN1Cc1ccccc1 ZINC000596557481 400443893 /nfs/dbraw/zinc/44/38/93/400443893.db2.gz IBERSBNFYTVTKI-MRXNPFEDSA-N 0 1 322.368 3.153 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000602436463 400460252 /nfs/dbraw/zinc/46/02/52/400460252.db2.gz NPELZIFUWNYYBU-CQSZACIVSA-N 0 1 319.836 3.038 20 30 DGEDMN Cc1ccc(CNCc2cn(C)nc2-c2ccc(C#N)cc2)o1 ZINC000611767214 400449842 /nfs/dbraw/zinc/44/98/42/400449842.db2.gz GBJLNHHTUICHOH-UHFFFAOYSA-N 0 1 306.369 3.150 20 30 DGEDMN CC(C)N(C(=O)[C@@H](C)N[C@@H](C)c1ccc(C#N)cc1)C(C)C ZINC000127550119 400503307 /nfs/dbraw/zinc/50/33/07/400503307.db2.gz NZCMPDATNMXVJB-LSDHHAIUSA-N 0 1 301.434 3.243 20 30 DGEDMN C=CCC[C@H](NCc1cc(C(=O)OC)no1)c1ccccc1 ZINC000602583681 400505130 /nfs/dbraw/zinc/50/51/30/400505130.db2.gz TXXOCRCEAUWORI-HNNXBMFYSA-N 0 1 300.358 3.258 20 30 DGEDMN N#Cc1cccc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)n1 ZINC000602583851 400505294 /nfs/dbraw/zinc/50/52/94/400505294.db2.gz ZRJRRJTYKNUHDP-HNAYVOBHSA-N 0 1 307.397 3.211 20 30 DGEDMN C[C@@H](CCC#N)CN[C@H](c1nccn1C)c1ccc(F)cc1 ZINC000602593135 400507516 /nfs/dbraw/zinc/50/75/16/400507516.db2.gz LFWZIDFACHVUKU-BBRMVZONSA-N 0 1 300.381 3.178 20 30 DGEDMN CN(C)[C@H](CNC(=O)Nc1cccc(C#N)c1)c1cccs1 ZINC000043329971 400463156 /nfs/dbraw/zinc/46/31/56/400463156.db2.gz XCYDTWDRGXMSQO-CQSZACIVSA-N 0 1 314.414 3.044 20 30 DGEDMN COc1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1C#N ZINC000596636603 400466425 /nfs/dbraw/zinc/46/64/25/400466425.db2.gz OEOMBTXLUHYQPG-UHFFFAOYSA-N 0 1 322.408 3.109 20 30 DGEDMN N#C[C@H]1CCC[C@@H]1NCCc1cc(Cl)c2c(c1)OCCCO2 ZINC000590961764 400469965 /nfs/dbraw/zinc/46/99/65/400469965.db2.gz SUKFLCIGIVOONF-HIFRSBDPSA-N 0 1 320.820 3.326 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CC[C@H](C3CCC3)C2)c(C#N)c1C ZINC000591063258 400480604 /nfs/dbraw/zinc/48/06/04/400480604.db2.gz MHBJAAQVPGOULL-WFASDCNBSA-N 0 1 315.417 3.217 20 30 DGEDMN CN(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)C1(C#N)CCC1 ZINC000126491206 400492444 /nfs/dbraw/zinc/49/24/44/400492444.db2.gz OMRZHTBSDCQVKB-UHFFFAOYSA-N 0 1 314.776 3.248 20 30 DGEDMN CN(C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)C1(C#N)CCC1 ZINC000126504952 400493506 /nfs/dbraw/zinc/49/35/06/400493506.db2.gz WSPHYZLPTBESKL-UHFFFAOYSA-N 0 1 314.776 3.248 20 30 DGEDMN C[C@]1(C#N)CCCN(C(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC000612368597 400532644 /nfs/dbraw/zinc/53/26/44/400532644.db2.gz CKMCZHHJFKSIPR-CQSZACIVSA-N 0 1 313.184 3.465 20 30 DGEDMN CCN(CC)[C@H](C(=O)N1CCC[C@](C)(C#N)C1)c1ccccc1 ZINC000612372190 400533256 /nfs/dbraw/zinc/53/32/56/400533256.db2.gz UROMASWEXBTOIU-PKOBYXMFSA-N 0 1 313.445 3.222 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc(-c4ccco4)[nH]c3c2)CCC1 ZINC000134646015 400579476 /nfs/dbraw/zinc/57/94/76/400579476.db2.gz SQFKAZFSLCMMRK-UHFFFAOYSA-N 0 1 306.325 3.455 20 30 DGEDMN Cc1cc(C(C)(C)C)ccc1OC[C@@H](O)CNCC(C)(C)C#N ZINC000591759006 400553593 /nfs/dbraw/zinc/55/35/93/400553593.db2.gz CLRLBSWVYUATKJ-INIZCTEOSA-N 0 1 318.461 3.172 20 30 DGEDMN N#CC1(CC(=O)Nc2cnn(-c3ccncc3)c2)CCCCC1 ZINC000597088236 400556737 /nfs/dbraw/zinc/55/67/37/400556737.db2.gz KZRNAZJOJUYWQD-UHFFFAOYSA-N 0 1 309.373 3.070 20 30 DGEDMN CC(C)Oc1ccc2ccccc2c1CN1CC[C@](O)(CC#N)C1 ZINC000592151307 400614403 /nfs/dbraw/zinc/61/44/03/400614403.db2.gz LISSQUCBUGBSGV-HXUWFJFHSA-N 0 1 324.424 3.477 20 30 DGEDMN N#CC1(NC(=O)CN2CCC[C@H]2c2ccccc2)CCCCC1 ZINC000141533660 400625989 /nfs/dbraw/zinc/62/59/89/400625989.db2.gz QKSVSSMDNHMSKM-KRWDZBQOSA-N 0 1 311.429 3.166 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@@H](C)CC#N)C3)[nH]c2c1 ZINC000597426824 400629628 /nfs/dbraw/zinc/62/96/28/400629628.db2.gz PJWRWWFIJJMRIR-UONOGXRCSA-N 0 1 310.401 3.127 20 30 DGEDMN C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)N(C)CCC1CC1 ZINC000135303984 400586099 /nfs/dbraw/zinc/58/60/99/400586099.db2.gz MLXNUVRQOUBDTM-LLVKDONJSA-N 0 1 317.458 3.167 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1cc(Cl)cc(Cl)c1 ZINC000602839410 400587927 /nfs/dbraw/zinc/58/79/27/400587927.db2.gz DSNINFTWEHOWRP-QWHCGFSZSA-N 0 1 317.216 3.015 20 30 DGEDMN C=CCC[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000612708770 400590049 /nfs/dbraw/zinc/59/00/49/400590049.db2.gz RYUUUBCTRJZNRU-GJZGRUSLSA-N 0 1 310.401 3.048 20 30 DGEDMN C[C@H](CC#N)NC[C@H](O)Cn1c2ccccc2c2ccccc21 ZINC000602864477 400593791 /nfs/dbraw/zinc/59/37/91/400593791.db2.gz ROVZKVZJWLQIOW-CABCVRRESA-N 0 1 307.397 3.047 20 30 DGEDMN COCc1cccc(OCC2(CN[C@@H](C)CC#N)CCC2)c1 ZINC000602869456 400595079 /nfs/dbraw/zinc/59/50/79/400595079.db2.gz NYTVQMRLOFYXQX-HNNXBMFYSA-N 0 1 302.418 3.274 20 30 DGEDMN N#Cc1cnc2ccccc2c1N1CCN(CC2=CCCC2)CC1 ZINC000592066298 400602194 /nfs/dbraw/zinc/60/21/94/400602194.db2.gz PIOPPFUASMHMNH-UHFFFAOYSA-N 0 1 318.424 3.339 20 30 DGEDMN C[C@@H](C(=O)NCCC1=CCCCC1)N1CCC(CCC#N)CC1 ZINC000592135762 400611622 /nfs/dbraw/zinc/61/16/22/400611622.db2.gz JYPZQRZWTHOCEL-INIZCTEOSA-N 0 1 317.477 3.397 20 30 DGEDMN C[C@H](C(=O)N[C@@H](C)c1ccccc1)N1CCC(CCC#N)CC1 ZINC000592135819 400611632 /nfs/dbraw/zinc/61/16/32/400611632.db2.gz VUKVNDKCTFOTQH-JKSUJKDBSA-N 0 1 313.445 3.268 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)cn1 ZINC000076365565 400651015 /nfs/dbraw/zinc/65/10/15/400651015.db2.gz GYPDCSQQJDZYRY-UHFFFAOYSA-N 0 1 313.748 3.465 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000592524117 400664183 /nfs/dbraw/zinc/66/41/83/400664183.db2.gz WAMZJVRTQONBIB-KRWDZBQOSA-N 0 1 316.788 3.450 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)CCCC#N ZINC000597725929 400665267 /nfs/dbraw/zinc/66/52/67/400665267.db2.gz QJDCRVQHVXMAFP-SNVBAGLBSA-N 0 1 304.781 3.430 20 30 DGEDMN CC[C@H]1c2ccccc2CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000592501361 400659396 /nfs/dbraw/zinc/65/93/96/400659396.db2.gz XANHSVHPQDOXCU-SFHVURJKSA-N 0 1 317.396 3.110 20 30 DGEDMN Cc1nccc([C@@H]2CCCN(Cc3cc(C#N)ccc3F)C2)n1 ZINC000603834630 400720764 /nfs/dbraw/zinc/72/07/64/400720764.db2.gz XTJIJNMJRXWXOP-OAHLLOKOSA-N 0 1 310.376 3.175 20 30 DGEDMN CCn1cc(CN(Cc2ccc(F)c(C#N)c2)C(C)C)cn1 ZINC000593078656 400754334 /nfs/dbraw/zinc/75/43/34/400754334.db2.gz AZBIGVAFTGQOOU-UHFFFAOYSA-N 0 1 300.381 3.324 20 30 DGEDMN COc1cc(Cl)ccc1S(=O)(=O)Nc1ccc(C#N)cc1 ZINC000592991246 400727558 /nfs/dbraw/zinc/72/75/58/400727558.db2.gz CXYLNVOXYQAHIO-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN CC(C)CN1CCN(c2nc(C(C)(C)C)ccc2C#N)CC1 ZINC000613408935 400712210 /nfs/dbraw/zinc/71/22/10/400712210.db2.gz AAIKLMHWELNRPO-UHFFFAOYSA-N 0 1 300.450 3.029 20 30 DGEDMN CCN1C[C@@H](C)N(c2nc(C(C)(C)C)ccc2C#N)C[C@@H]1C ZINC000613431117 400718041 /nfs/dbraw/zinc/71/80/41/400718041.db2.gz OTSALPMEPMMRTJ-UONOGXRCSA-N 0 1 300.450 3.170 20 30 DGEDMN C[C@]1(C#N)CCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000598406945 400804958 /nfs/dbraw/zinc/80/49/58/400804958.db2.gz BEHSCFYFZIKJCJ-MRXNPFEDSA-N 0 1 314.776 3.106 20 30 DGEDMN CN(C)Cc1ccsc1C(=O)NCC1(C#N)CCCCC1 ZINC000598309965 400780713 /nfs/dbraw/zinc/78/07/13/400780713.db2.gz GZOZRMJUYXOHEZ-UHFFFAOYSA-N 0 1 305.447 3.014 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1 ZINC000604504184 400782244 /nfs/dbraw/zinc/78/22/44/400782244.db2.gz OHQCWTCNCODZKB-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN CCCOc1cccc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000053528017 400788505 /nfs/dbraw/zinc/78/85/05/400788505.db2.gz ONDBRZQZJXWXQS-UHFFFAOYSA-N 0 1 323.356 3.292 20 30 DGEDMN CC[C@@H](C#N)C(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000593546738 400874124 /nfs/dbraw/zinc/87/41/24/400874124.db2.gz NESUSHKBWDHLRN-KBPBESRZSA-N 0 1 310.401 3.127 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2nc3cc(Cl)c(F)cc3[nH]2)C1 ZINC000598780199 400876519 /nfs/dbraw/zinc/87/65/19/400876519.db2.gz ILJDXLDZQXYZOR-UHFFFAOYSA-N 0 1 306.728 3.234 20 30 DGEDMN N#Cc1ccccc1N1CCCN(Cc2ccc(F)cc2)CC1 ZINC000055104380 400852660 /nfs/dbraw/zinc/85/26/60/400852660.db2.gz MBJRVFJXYPMJBG-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CNCc1csc(-c2ccccc2)n1 ZINC000598721575 400865313 /nfs/dbraw/zinc/86/53/13/400865313.db2.gz XIYBMEQRAVNTOU-OAHLLOKOSA-N 0 1 315.442 3.200 20 30 DGEDMN CCn1cc(CN(Cc2ccc(C#N)c(OC)c2)C(C)C)cn1 ZINC000593676572 400889681 /nfs/dbraw/zinc/88/96/81/400889681.db2.gz KMKOQBVHWCHSOZ-UHFFFAOYSA-N 0 1 312.417 3.194 20 30 DGEDMN Cc1cccn2c(CNCc3ccc(C#N)c(Cl)c3)cnc12 ZINC000619748967 400898488 /nfs/dbraw/zinc/89/84/88/400898488.db2.gz ZPXQWTBFPLMLBD-UHFFFAOYSA-N 0 1 310.788 3.458 20 30 DGEDMN Cc1nc2ccccn2c1CNCc1ccc(C#N)c(Cl)c1 ZINC000619748480 400898700 /nfs/dbraw/zinc/89/87/00/400898700.db2.gz GFQYWUIUSNLSRI-UHFFFAOYSA-N 0 1 310.788 3.458 20 30 DGEDMN C=CCN(C[C@@H](C)CC1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000183294208 400900203 /nfs/dbraw/zinc/90/02/03/400900203.db2.gz QQRYSDHFQFOXBJ-DOTOQJQBSA-N 0 1 313.507 3.268 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2CC(=O)N[C@@](C)(C#N)C(C)C)c1 ZINC000056305047 400918532 /nfs/dbraw/zinc/91/85/32/400918532.db2.gz QMTYNQYMNSAGKK-HKUYNNGSSA-N 0 1 313.445 3.186 20 30 DGEDMN CCC(C#N)(CC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000598981829 400919275 /nfs/dbraw/zinc/91/92/75/400919275.db2.gz RHNMTVGAJYUYGX-CQSZACIVSA-N 0 1 319.474 3.331 20 30 DGEDMN Cc1ccc(CNC2(c3ccc(C#N)cc3)CCOCC2)nc1 ZINC000599002345 400925852 /nfs/dbraw/zinc/92/58/52/400925852.db2.gz QSLCHEQKKCSBTR-UHFFFAOYSA-N 0 1 307.397 3.057 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(C)c(C#N)c2)cc1 ZINC000599313586 401019029 /nfs/dbraw/zinc/01/90/29/401019029.db2.gz KRIUFLKQVUGUKT-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN COC(=O)CCCCN1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000599303874 401017199 /nfs/dbraw/zinc/01/71/99/401017199.db2.gz GVHSSQJWHYQIMY-DLBZAZTESA-N 0 1 300.402 3.101 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)COCc1cccs1 ZINC000057950467 401038079 /nfs/dbraw/zinc/03/80/79/401038079.db2.gz ZXPGPHJDICFLLD-CQSZACIVSA-N 0 1 323.483 3.375 20 30 DGEDMN Cc1ccc(CN2CCC[C@H]([C@@H](O)C(F)(F)F)C2)cc1C#N ZINC000599386413 401039173 /nfs/dbraw/zinc/03/91/73/401039173.db2.gz SNAOMNVEQOPPHM-DZGCQCFKSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccc(CN[C@H](c2cccnc2)C(C)(C)CO)cc1C#N ZINC000599427391 401047324 /nfs/dbraw/zinc/04/73/24/401047324.db2.gz PBUJLZDDSPOSHK-GOSISDBHSA-N 0 1 309.413 3.111 20 30 DGEDMN N#Cc1ccc(N2CCN(CCCc3ccccc3)CC2)c(F)c1 ZINC000060356426 401108713 /nfs/dbraw/zinc/10/87/13/401108713.db2.gz TZPPMIQYAFRVGN-UHFFFAOYSA-N 0 1 323.415 3.452 20 30 DGEDMN CCc1cccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000266513093 401129434 /nfs/dbraw/zinc/12/94/34/401129434.db2.gz XZVHCBBZXJWDSF-AWEZNQCLSA-N 0 1 307.397 3.410 20 30 DGEDMN C=C(C)[C@@H](NC(=O)CN(C)C1CCC1)c1ccc(F)c(F)c1 ZINC000629985001 401147007 /nfs/dbraw/zinc/14/70/07/401147007.db2.gz LYZBMVIMYMFNRD-QGZVFWFLSA-N 0 1 308.372 3.183 20 30 DGEDMN N#CC(C(=O)c1cc2ccccc2o1)c1nnc2n1CCCCC2 ZINC000060851373 401137527 /nfs/dbraw/zinc/13/75/27/401137527.db2.gz QPXCSRWYQMIXNG-CYBMUJFWSA-N 0 1 320.352 3.241 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CC[C@H](C(=O)[O-])[C@@H](C)C2)cc1Cl ZINC000629772726 401086137 /nfs/dbraw/zinc/08/61/37/401086137.db2.gz VYDOVSPSDZJHKG-JSGCOSHPSA-N 0 1 323.820 3.447 20 30 DGEDMN CCOCCN(Cc1ccc(C#N)cn1)[C@H]1CCc2ccccc21 ZINC000599626657 401094281 /nfs/dbraw/zinc/09/42/81/401094281.db2.gz YAXRGKYFVGAAAG-FQEVSTJZSA-N 0 1 321.424 3.479 20 30 DGEDMN N#CC1(c2ccc(C[NH2+][C@H](C(=O)[O-])c3cccs3)cc2)CC1 ZINC000594689125 401151253 /nfs/dbraw/zinc/15/12/53/401151253.db2.gz CZGZWHOJHJGNMV-HNNXBMFYSA-N 0 1 312.394 3.219 20 30 DGEDMN CCOc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c(C)c1 ZINC000594696844 401154031 /nfs/dbraw/zinc/15/40/31/401154031.db2.gz REJSKRADVSDZBN-WQLSENKSSA-N 0 1 309.369 3.218 20 30 DGEDMN C=CCCSCCN[C@@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC000594711416 401158408 /nfs/dbraw/zinc/15/84/08/401158408.db2.gz MZUVWOIRAABBAW-MRXNPFEDSA-N 0 1 322.449 3.044 20 30 DGEDMN N#C[C@@]1(F)CCN(C(=O)c2cc(Cl)c3ccccc3c2O)C1 ZINC000615826038 401158794 /nfs/dbraw/zinc/15/87/94/401158794.db2.gz QKKVJQNURBRXGT-INIZCTEOSA-N 0 1 318.735 3.277 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2cc(-c3ccccc3O)[nH]n2)C1 ZINC000630018963 401158793 /nfs/dbraw/zinc/15/87/93/401158793.db2.gz MXHYIJUOCXBXRL-CYBMUJFWSA-N 0 1 311.385 3.211 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1ccnn1CC1CC1 ZINC000594951497 401204322 /nfs/dbraw/zinc/20/43/22/401204322.db2.gz UAVKMDOOVOTVIT-LJQANCHMSA-N 0 1 306.413 3.332 20 30 DGEDMN COC[C@H](NCc1ccc(C#N)c(F)c1)c1cccc(OC)c1 ZINC000594967928 401213180 /nfs/dbraw/zinc/21/31/80/401213180.db2.gz ALVNNIMQEOFGKL-SFHVURJKSA-N 0 1 314.360 3.183 20 30 DGEDMN COC[C@H](NCc1ccc(C#N)s1)c1cccc(OC)c1 ZINC000594969057 401213203 /nfs/dbraw/zinc/21/32/03/401213203.db2.gz LYZFJGDBYZYXHL-INIZCTEOSA-N 0 1 302.399 3.106 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC[C@]3(CC(=O)c4ccccc4O3)C2)C1 ZINC000625804083 401223920 /nfs/dbraw/zinc/22/39/20/401223920.db2.gz WCKBXNSPRITDBN-CLCXKQKWSA-N 0 1 310.397 3.179 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC000600112593 401182319 /nfs/dbraw/zinc/18/23/19/401182319.db2.gz RHECDRGZFQKSQC-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN C=CCN(CCOc1cccc(C#N)c1)Cc1cccc(C#N)c1 ZINC000063299786 401186443 /nfs/dbraw/zinc/18/64/43/401186443.db2.gz RQZBPERDUNVHIM-UHFFFAOYSA-N 0 1 317.392 3.497 20 30 DGEDMN C=CCn1c(Cc2cc(C)n[nH]2)nnc1N(C)c1ccc(C)cc1 ZINC000634337000 401266781 /nfs/dbraw/zinc/26/67/81/401266781.db2.gz ZVULZAXBNMNQTM-UHFFFAOYSA-N 0 1 322.416 3.163 20 30 DGEDMN C[C@H]1CC(F)(F)CCN1CCOCC(F)(F)C(F)(F)F ZINC000625980940 401271897 /nfs/dbraw/zinc/27/18/97/401271897.db2.gz ZBJISWADBRCDLW-QMMMGPOBSA-N 0 1 311.241 3.320 20 30 DGEDMN C=C(C)[C@@H](CO)N[C@@H](C)c1ccc(Br)cc1OC ZINC000682540400 549336612 /nfs/dbraw/zinc/33/66/12/549336612.db2.gz SJSLJHRMYVJEOW-GXFFZTMASA-N 0 1 314.223 3.045 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)NC(=O)NC(C)(C)C)c(C)c1 ZINC000330520626 292191100 /nfs/dbraw/zinc/19/11/00/292191100.db2.gz NZCZUNMKYVSHAC-CQSZACIVSA-N 0 1 319.449 3.125 20 30 DGEDMN COc1cccc2c1CCN(CCOc1ccccc1C#N)C2 ZINC000182696731 164943366 /nfs/dbraw/zinc/94/33/66/164943366.db2.gz XWGVLHFNBBQVID-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN Cn1cc(CN2CCC(c3nc4ccccc4o3)CC2)cc1C#N ZINC000191178071 165235495 /nfs/dbraw/zinc/23/54/95/165235495.db2.gz VENRQWLCJLAGJQ-UHFFFAOYSA-N 0 1 320.396 3.418 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cc(Cl)ccc2F)s1 ZINC000230334948 165396153 /nfs/dbraw/zinc/39/61/53/165396153.db2.gz DBVDFALAJDKSGD-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc3[nH]ccc3c2)c1C#N ZINC000137233167 432174175 /nfs/dbraw/zinc/17/41/75/432174175.db2.gz PIFNCCFSNFTUOS-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN CC[C@H](C)C(=O)Nc1cccc(CNCc2cc(C#N)n(C)c2)c1 ZINC000190834820 432191844 /nfs/dbraw/zinc/19/18/44/432191844.db2.gz QFDGBLVYBRLGCM-AWEZNQCLSA-N 0 1 324.428 3.171 20 30 DGEDMN Cn1cc(CNCc2ccccc2OC(F)(F)F)cc1C#N ZINC000190712478 432183029 /nfs/dbraw/zinc/18/30/29/432183029.db2.gz VMDXPPKWXGZTMJ-UHFFFAOYSA-N 0 1 309.291 3.085 20 30 DGEDMN C=CCN(CCOC)CCc1nc(-c2cccc(Cl)c2)no1 ZINC000191098364 432220254 /nfs/dbraw/zinc/22/02/54/432220254.db2.gz ZCSOXTFZESOTJB-UHFFFAOYSA-N 0 1 321.808 3.067 20 30 DGEDMN CS[C@H]1CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000296355524 225794599 /nfs/dbraw/zinc/79/45/99/225794599.db2.gz QPMHIZNMGCCNEX-LBPRGKRZSA-N 0 1 311.248 3.258 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CCCO[C@H]1CCOC1 ZINC000129353019 227014173 /nfs/dbraw/zinc/01/41/73/227014173.db2.gz URUZHROJYGYGKT-OPFCKLNOSA-N 0 1 318.200 3.314 20 30 DGEDMN Cc1ccc(F)cc1NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169251128 228267044 /nfs/dbraw/zinc/26/70/44/228267044.db2.gz UGAHOZAOQBPFGE-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN N#CC1CCN(Cc2nc3ccc(Br)cc3[nH]2)CC1 ZINC000184957314 228387562 /nfs/dbraw/zinc/38/75/62/228387562.db2.gz ALVMDAPPYMORLW-UHFFFAOYSA-N 0 1 319.206 3.061 20 30 DGEDMN N#C[C@@H]1CCC[C@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000185774357 228397584 /nfs/dbraw/zinc/39/75/84/228397584.db2.gz YLGYCHJPSLLLBA-YPMHNXCESA-N 0 1 313.323 3.195 20 30 DGEDMN COCCCOc1cccc(CN2CCC(C)(C#N)CC2)c1 ZINC000272494780 228686585 /nfs/dbraw/zinc/68/65/85/228686585.db2.gz FTCWJJXHSZUCQO-UHFFFAOYSA-N 0 1 302.418 3.228 20 30 DGEDMN C[C@H](CNC([O-])=[NH+][C@@H]1CC[C@@H]2CCCC[C@H]2C1)Cn1cccn1 ZINC000328854240 228916858 /nfs/dbraw/zinc/91/68/58/228916858.db2.gz JLZMVFZWIHXZGT-LTIDMASMSA-N 0 1 318.465 3.382 20 30 DGEDMN C[C@H](Cn1cncn1)NC([O-])=[NH+]C1CCC2(CCCCC2)CC1 ZINC000329887824 228965881 /nfs/dbraw/zinc/96/58/81/228965881.db2.gz XYILLUWSXIAOIZ-CQSZACIVSA-N 0 1 319.453 3.063 20 30 DGEDMN C[NH+](C)CC1CCC(NC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000330872697 229007925 /nfs/dbraw/zinc/00/79/25/229007925.db2.gz UYSCTIBIEODXMY-UHFFFAOYSA-N 0 1 312.360 3.095 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(OCC3CC3)cc2)CC1 ZINC000342837968 229118980 /nfs/dbraw/zinc/11/89/80/229118980.db2.gz NRJPVULRIRPSGC-UHFFFAOYSA-N 0 1 314.429 3.228 20 30 DGEDMN N#CC(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccn1 ZINC000338311673 229062931 /nfs/dbraw/zinc/06/29/31/229062931.db2.gz CSVUNNSXHDVODY-GFCCVEGCSA-N 0 1 307.265 3.226 20 30 DGEDMN N#C[C@@H](C(=O)c1ccn(-c2ccc(Cl)cc2)n1)c1ccccn1 ZINC000339880059 229083810 /nfs/dbraw/zinc/08/38/10/229083810.db2.gz NSIKZUJWXRGKJC-CQSZACIVSA-N 0 1 322.755 3.411 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccc(Cl)cc2)n1)c1ccccn1 ZINC000339880059 229083813 /nfs/dbraw/zinc/08/38/13/229083813.db2.gz NSIKZUJWXRGKJC-CQSZACIVSA-N 0 1 322.755 3.411 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cccc4c3OCO4)[nH]c21 ZINC000345406638 229152222 /nfs/dbraw/zinc/15/22/22/229152222.db2.gz LCJHNDYFMJCSLV-LBPRGKRZSA-N 0 1 319.320 3.090 20 30 DGEDMN N#CCCCOc1cccc(NS(=O)(=O)c2ccsc2)c1 ZINC000350035095 229218777 /nfs/dbraw/zinc/21/87/77/229218777.db2.gz NDGVUHIAAUGEOY-UHFFFAOYSA-N 0 1 322.411 3.231 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2ccncn2)cccc1-c1ccccn1 ZINC000354482484 229298106 /nfs/dbraw/zinc/29/81/06/229298106.db2.gz ZZLATUBBUWWYOW-MRXNPFEDSA-N 0 1 314.348 3.337 20 30 DGEDMN Cc1ccnc2[nH]c([C@@H](C#N)C(=O)c3ccc(C(C)C)cn3)nc21 ZINC000355155346 229305883 /nfs/dbraw/zinc/30/58/83/229305883.db2.gz CTKPNDSEOLOSBF-ZDUSSCGKSA-N 0 1 319.368 3.275 20 30 DGEDMN CN(C)Cc1ccnc(NC(=O)C[C@@H](CC#N)c2ccccc2)c1 ZINC000356295189 229333303 /nfs/dbraw/zinc/33/33/03/229333303.db2.gz FWCAGQMZHCEPLK-QGZVFWFLSA-N 0 1 322.412 3.169 20 30 DGEDMN Cc1c(F)cccc1NC(=O)CCN(CCC#N)CC1CC1 ZINC000357903064 229355470 /nfs/dbraw/zinc/35/54/70/229355470.db2.gz ADZUACIXGWSUNA-UHFFFAOYSA-N 0 1 303.381 3.088 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN[C@H]1CCC[C@H]1OC(F)F ZINC000348519304 264419278 /nfs/dbraw/zinc/41/92/78/264419278.db2.gz BUAUFALUQWQHHJ-QWHCGFSZSA-N 0 1 300.736 3.462 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000361030226 270004535 /nfs/dbraw/zinc/00/45/35/270004535.db2.gz LHQLIBKTWZTYIM-HUUCEWRRSA-N 0 1 302.393 3.336 20 30 DGEDMN CCN(CC(=O)Nc1sc(C)c(C)c1C#N)CC1CCC1 ZINC000361481717 266106678 /nfs/dbraw/zinc/10/66/78/266106678.db2.gz QALNEEGYEKSWKY-UHFFFAOYSA-N 0 1 305.447 3.297 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1)[C@H]1CCN(c2ccccc2)C1=O ZINC000353558235 266136766 /nfs/dbraw/zinc/13/67/66/266136766.db2.gz XOOXNMMVDQUALM-IBGZPJMESA-N 0 1 319.408 3.186 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccn(-c3ccncc3)n2)ccc1Cl ZINC000544443578 424863659 /nfs/dbraw/zinc/86/36/59/424863659.db2.gz DKVPHCQMNHULGV-UHFFFAOYSA-N 0 1 323.743 3.045 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC(C)(C)O[C@]2(CCCOC2)C1 ZINC000361609208 267206843 /nfs/dbraw/zinc/20/68/43/267206843.db2.gz ITDZFJIHJQSPJV-DNVCBOLYSA-N 0 1 314.429 3.279 20 30 DGEDMN Cc1cc([C@H]2CCCN(C(=O)[C@@H](C)c3cccc(C#N)c3)C2)n[nH]1 ZINC000360332701 267363779 /nfs/dbraw/zinc/36/37/79/267363779.db2.gz JUFOYSZEVGLBHB-YOEHRIQHSA-N 0 1 322.412 3.100 20 30 DGEDMN N#Cc1ccc(C2=CCN(Cc3cnc4ccccn34)CC2)cc1 ZINC000347956909 268054966 /nfs/dbraw/zinc/05/49/66/268054966.db2.gz LICPTHIBKAYDFA-UHFFFAOYSA-N 0 1 314.392 3.495 20 30 DGEDMN N#Cc1ccc(OCCCN2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000375638243 268173335 /nfs/dbraw/zinc/17/33/35/268173335.db2.gz YYACBPZRAUINGD-GOSISDBHSA-N 0 1 310.401 3.277 20 30 DGEDMN CC1(CO)CCN(CC#Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000186960081 273594838 /nfs/dbraw/zinc/59/48/38/273594838.db2.gz MVLJNDZCXICYDG-UHFFFAOYSA-N 0 1 311.347 3.151 20 30 DGEDMN CC(C)(C)[C@@H]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)CCO1 ZINC000328948543 277242410 /nfs/dbraw/zinc/24/24/10/277242410.db2.gz KOABNOVCPJRHFT-JMSVASOKSA-N 0 1 305.422 3.380 20 30 DGEDMN CC(C)(C)c1cn2c(n1)CC[C@H]([NH+]=C([O-])N1CCC(C)(C)C1)C2 ZINC000329768430 277270527 /nfs/dbraw/zinc/27/05/27/277270527.db2.gz GPARMYFVKCRXGA-ZDUSSCGKSA-N 0 1 318.465 3.141 20 30 DGEDMN CC(C)CC[C@@H]1CCC[C@@H]1[NH+]=C([O-])N1CCn2cncc2C1 ZINC000329504899 277361936 /nfs/dbraw/zinc/36/19/36/277361936.db2.gz AEJQGZXXUXAYNP-HOCLYGCPSA-N 0 1 304.438 3.218 20 30 DGEDMN CS[C@@H]1CCCCN([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000332236817 278143127 /nfs/dbraw/zinc/14/31/27/278143127.db2.gz RYKYVYOPTSLJMU-HUUCEWRRSA-N 0 1 303.431 3.352 20 30 DGEDMN C[C@H]1CCSCCN1CC(=O)NC(=O)NC1CCCCC1 ZINC000330261699 278376466 /nfs/dbraw/zinc/37/64/66/278376466.db2.gz UKYUIGUQSQYFJC-LBPRGKRZSA-N 0 1 313.467 3.017 20 30 DGEDMN C[C@H](CC#N)Sc1ccccc1NC(=O)CN(C)CC1CC1 ZINC000108359928 431136084 /nfs/dbraw/zinc/13/60/84/431136084.db2.gz QXOZEGFPKLZHGB-CYBMUJFWSA-N 0 1 317.458 3.361 20 30 DGEDMN N#Cc1cccc(CNCc2ccc(Br)cn2)c1 ZINC000070396377 431248893 /nfs/dbraw/zinc/24/88/93/431248893.db2.gz HUVYVRXJKUKKRT-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN CCCOc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1 ZINC000074983501 431449080 /nfs/dbraw/zinc/44/90/80/431449080.db2.gz IKOCOGIXDYEGBD-UHFFFAOYSA-N 0 1 316.382 3.148 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(CC)[C@@H](C)c1ccc(F)cc1 ZINC000077180931 431573812 /nfs/dbraw/zinc/57/38/12/431573812.db2.gz PGLNQBGNUILJKD-CABCVRRESA-N 0 1 319.424 3.217 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(C)Cc1ccccc1F ZINC000077247216 431575435 /nfs/dbraw/zinc/57/54/35/431575435.db2.gz VJEKEURRINOUOO-UHFFFAOYSA-N 0 1 314.360 3.217 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(C)[C@@H](C)c1ccco1 ZINC000077272456 431579784 /nfs/dbraw/zinc/57/97/84/431579784.db2.gz KHLUJVVVDFKHJO-ZDUSSCGKSA-N 0 1 300.358 3.232 20 30 DGEDMN COc1ccc(C)cc1NC(=O)[C@@H]1CCCN1CCCCC#N ZINC000117892855 431583668 /nfs/dbraw/zinc/58/36/68/431583668.db2.gz NHPUKABYVBGLBM-INIZCTEOSA-N 0 1 315.417 3.100 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(C#N)cc2)cc1[N+](=O)[O-] ZINC000119329802 431675528 /nfs/dbraw/zinc/67/55/28/431675528.db2.gz POCHHHCUEUONGH-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000078508621 431678800 /nfs/dbraw/zinc/67/88/00/431678800.db2.gz AATISJPOAYAJIK-UHFFFAOYSA-N 0 1 322.393 3.282 20 30 DGEDMN CSCC[C@@H](NCCC(C)(C)C#N)c1nnc2ccccn21 ZINC000119890357 431719987 /nfs/dbraw/zinc/71/99/87/431719987.db2.gz XUAYQAJWTJCXBO-CYBMUJFWSA-N 0 1 317.462 3.053 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1c1cccc(C)c1 ZINC000079209504 431720568 /nfs/dbraw/zinc/72/05/68/431720568.db2.gz GVVAAHUCFANCEM-SJLPKXTDSA-N 0 1 313.445 3.140 20 30 DGEDMN CCCCN(CCCC)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000081512470 431791695 /nfs/dbraw/zinc/79/16/95/431791695.db2.gz JVRLUNCCOHVHKH-UHFFFAOYSA-N 0 1 322.474 3.234 20 30 DGEDMN CC[C@H]1CCCN([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083198529 431808995 /nfs/dbraw/zinc/80/89/95/431808995.db2.gz AFCGLRVGQCRBAN-DZGCQCFKSA-N 0 1 317.458 3.237 20 30 DGEDMN Cc1cncc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)c1 ZINC000080332845 431767617 /nfs/dbraw/zinc/76/76/17/431767617.db2.gz TXFMSXKAARWNIN-UHFFFAOYSA-N 0 1 313.748 3.465 20 30 DGEDMN N#C[C@H](C(=O)c1ccc2c(c1)CCO2)c1nc2ccccc2o1 ZINC000041540036 431860242 /nfs/dbraw/zinc/86/02/42/431860242.db2.gz ZMXCKDHCBLPAKH-CYBMUJFWSA-N 0 1 304.305 3.253 20 30 DGEDMN N#CC(C(=O)c1ccc2c(c1)CCO2)c1nc2ccccc2o1 ZINC000041540036 431860244 /nfs/dbraw/zinc/86/02/44/431860244.db2.gz ZMXCKDHCBLPAKH-CYBMUJFWSA-N 0 1 304.305 3.253 20 30 DGEDMN N#Cc1ccc(N2CCN(C/C=C/c3ccccc3)CC2)cc1 ZINC000084858491 431834739 /nfs/dbraw/zinc/83/47/39/431834739.db2.gz XIAAPIHIJAZMFA-QPJJXVBHSA-N 0 1 303.409 3.394 20 30 DGEDMN C=C(C)CNCc1cc(OC)c(OC)cc1Br ZINC000122561314 431837754 /nfs/dbraw/zinc/83/77/54/431837754.db2.gz SHMZNCGZCXRPJG-UHFFFAOYSA-N 0 1 300.196 3.132 20 30 DGEDMN CN(C)[C@@H](CNc1snc(Cl)c1C#N)c1ccsc1 ZINC000048557633 431927764 /nfs/dbraw/zinc/92/77/64/431927764.db2.gz CIHPGHUTYFFZSN-JTQLQIEISA-N 0 1 312.851 3.444 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@@H]1c1ccncc1 ZINC000125569829 431929856 /nfs/dbraw/zinc/92/98/56/431929856.db2.gz VSFSNYXZZTWXOG-QGZVFWFLSA-N 0 1 323.396 3.178 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(C(C)(C)C)c2C)n1 ZINC000048788615 431932566 /nfs/dbraw/zinc/93/25/66/431932566.db2.gz LTTNGIIWMBZHGH-NSHDSACASA-N 0 1 302.403 3.202 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn3c2CCCC3)n1 ZINC000125811030 431935971 /nfs/dbraw/zinc/93/59/71/431935971.db2.gz ANCNOPMJXUBFMZ-NSHDSACASA-N 0 1 314.414 3.289 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@@H](C)C(=O)Nc1cc(C(=O)OC)ccc1C ZINC000125948814 431938840 /nfs/dbraw/zinc/93/88/40/431938840.db2.gz ROEZPYHXDUUYSZ-AWEZNQCLSA-N 0 1 318.417 3.007 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)Nc1cc(C(=O)OC)ccc1C ZINC000125948814 431938841 /nfs/dbraw/zinc/93/88/41/431938841.db2.gz ROEZPYHXDUUYSZ-AWEZNQCLSA-N 0 1 318.417 3.007 20 30 DGEDMN C[C@@H](C#N)CSc1ccccc1NC(=O)c1ncccc1O ZINC000126949199 431961485 /nfs/dbraw/zinc/96/14/85/431961485.db2.gz OHYNBDFXIPDTJT-NSHDSACASA-N 0 1 313.382 3.291 20 30 DGEDMN CCOc1ccc(NS(=O)(=O)c2ccccc2C#N)c(C)c1 ZINC000055663425 431984746 /nfs/dbraw/zinc/98/47/46/431984746.db2.gz CXKAMRMLKSFTKP-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CC[C@H](C)[C@H]2C)c1C ZINC000248370353 432282501 /nfs/dbraw/zinc/28/25/01/432282501.db2.gz XJQHYVYZIIMQMX-DOTOQJQBSA-N 0 1 313.445 3.280 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(OC)c(Br)c1 ZINC000191763071 432299687 /nfs/dbraw/zinc/29/96/87/432299687.db2.gz IYTIIYAPPBZWMH-UHFFFAOYSA-N 0 1 314.223 3.092 20 30 DGEDMN COc1ccc(C)cc1[C@H](C)NCC(=O)Nc1cccc(C#N)c1 ZINC000102691761 431997341 /nfs/dbraw/zinc/99/73/41/431997341.db2.gz FHDYWIGQOPNVMC-AWEZNQCLSA-N 0 1 323.396 3.165 20 30 DGEDMN C#CCCCN1CCO[C@@H](c2ccc(Br)cc2)C1 ZINC000271025411 432316781 /nfs/dbraw/zinc/31/67/81/432316781.db2.gz JXOKZOZSIINZIQ-OAHLLOKOSA-N 0 1 308.219 3.236 20 30 DGEDMN COc1cc(C#N)ccc1CN(CCO)[C@H]1CCc2ccccc21 ZINC000271072599 432326084 /nfs/dbraw/zinc/32/60/84/432326084.db2.gz WCQNDDUBOMNYQJ-IBGZPJMESA-N 0 1 322.408 3.049 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H](C)c3ccccc32)CC1 ZINC000183931255 432337109 /nfs/dbraw/zinc/33/71/09/432337109.db2.gz KDPVDXKHPFRBBR-INIZCTEOSA-N 0 1 310.441 3.262 20 30 DGEDMN CCS[C@H]1CC[C@@H](N(C)CC(=O)Nc2sccc2C#N)C1 ZINC000173868112 432304687 /nfs/dbraw/zinc/30/46/87/432304687.db2.gz IXHOCKXJQXJHMT-OLZOCXBDSA-N 0 1 323.487 3.164 20 30 DGEDMN N#Cc1ccc(CNc2cccc(N3CCC[NH+]=C3[O-])c2)s1 ZINC000152171691 432309636 /nfs/dbraw/zinc/30/96/36/432309636.db2.gz XWWPUUAIMYDGBT-UHFFFAOYSA-N 0 1 312.398 3.152 20 30 DGEDMN CC[C@@H](NC[C@@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000184368409 432383988 /nfs/dbraw/zinc/38/39/88/432383988.db2.gz VAGPSLHNNDRNDJ-HUUCEWRRSA-N 0 1 301.415 3.098 20 30 DGEDMN N#CCC[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccccc1 ZINC000153287731 432398246 /nfs/dbraw/zinc/39/82/46/432398246.db2.gz YKNYKZDCPCWINC-HNNXBMFYSA-N 0 1 322.343 3.477 20 30 DGEDMN CCc1ccc([C@H](COC)NCCOc2ccc(C#N)cc2)o1 ZINC000184812610 432434531 /nfs/dbraw/zinc/43/45/31/432434531.db2.gz WPMNMJKQBMABNC-KRWDZBQOSA-N 0 1 314.385 3.070 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)NC2(C#N)CCCCC2)ccc1F ZINC000271756230 432423710 /nfs/dbraw/zinc/42/37/10/432423710.db2.gz DQTIXALBZFOEJF-CQSZACIVSA-N 0 1 317.408 3.127 20 30 DGEDMN CC(C)N(Cc1cnc2cnccn12)Cc1ccc(C#N)cc1 ZINC000154370473 432494277 /nfs/dbraw/zinc/49/42/77/432494277.db2.gz NDNRXBORNUDQDI-UHFFFAOYSA-N 0 1 305.385 3.012 20 30 DGEDMN COC[C@H](NCc1cc(C#N)n(C)c1)c1ccc(Cl)cc1 ZINC000193277007 432461952 /nfs/dbraw/zinc/46/19/52/432461952.db2.gz APCRSVNIBUAIQO-INIZCTEOSA-N 0 1 303.793 3.027 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1ccccc1CC#N ZINC000193356869 432468462 /nfs/dbraw/zinc/46/84/62/432468462.db2.gz DDFBPLGBALGXNH-UHFFFAOYSA-N 0 1 318.423 3.275 20 30 DGEDMN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000185599518 432515575 /nfs/dbraw/zinc/51/55/75/432515575.db2.gz IRHVDEKABFEGML-IAQYHMDHSA-N 0 1 305.809 3.271 20 30 DGEDMN C=CCN(Cc1cnc2ccc(Br)cn12)C1CC1 ZINC000273541909 432609461 /nfs/dbraw/zinc/60/94/61/432609461.db2.gz XEBFIAHEVUCFRQ-UHFFFAOYSA-N 0 1 306.207 3.247 20 30 DGEDMN CCNC(=O)C(C#N)c1nc(-c2ccc(Cl)cc2)cs1 ZINC000155677468 432568452 /nfs/dbraw/zinc/56/84/52/432568452.db2.gz FWZMFDVDSGIHEH-LLVKDONJSA-N 0 1 305.790 3.207 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCN(Cc2ccccc2)CC1 ZINC000186286722 432577576 /nfs/dbraw/zinc/57/75/76/432577576.db2.gz AOWSBSFIHDGMHI-QGZVFWFLSA-N 0 1 305.425 3.437 20 30 DGEDMN C#CCCCCNC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000186339373 432584360 /nfs/dbraw/zinc/58/43/60/432584360.db2.gz LFUXKXIMCXFHME-UHFFFAOYSA-N 0 1 307.806 3.325 20 30 DGEDMN COc1ccccc1-c1noc([C@@H](C)NCCCCC#N)n1 ZINC000273368214 432590290 /nfs/dbraw/zinc/59/02/90/432590290.db2.gz KPCWDONZGJANNM-GFCCVEGCSA-N 0 1 300.362 3.090 20 30 DGEDMN CC(C)(C)OC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC000177481186 432628163 /nfs/dbraw/zinc/62/81/63/432628163.db2.gz FGOQKGAAISHXFD-UHFFFAOYSA-N 0 1 315.417 3.047 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000216166665 432648090 /nfs/dbraw/zinc/64/80/90/432648090.db2.gz RREGUUOPHAEFJD-ISOUWHGUSA-N 0 1 304.478 3.358 20 30 DGEDMN CO[C@@H]1CCCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000158204166 432640304 /nfs/dbraw/zinc/64/03/04/432640304.db2.gz QIOTVOXDDFZNCG-OAHLLOKOSA-N 0 1 313.319 3.048 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1ccc(Cl)cn1 ZINC000274861208 432718123 /nfs/dbraw/zinc/71/81/23/432718123.db2.gz CVLIHDAJPMSAPV-LBPRGKRZSA-N 0 1 301.777 3.488 20 30 DGEDMN C[C@@H](C#N)CN(C)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000275127870 432724909 /nfs/dbraw/zinc/72/49/09/432724909.db2.gz KIPVEDMDATUVBL-QMMMGPOBSA-N 0 1 308.794 3.023 20 30 DGEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)[C@@H](C)Cc1ccsc1 ZINC000187798351 432687248 /nfs/dbraw/zinc/68/72/48/432687248.db2.gz GPGQTLPSINOBBC-WMLDXEAASA-N 0 1 321.490 3.055 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC3(CCCCC3)CC2)CC1 ZINC000188806871 432747535 /nfs/dbraw/zinc/74/75/35/432747535.db2.gz ONAKOEKVUVMGCB-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCCN(C)Cc1nc2ccc(Br)cc2n1C ZINC000276530356 432816374 /nfs/dbraw/zinc/81/63/74/432816374.db2.gz ZUWOTAGXTOEVOK-UHFFFAOYSA-N 0 1 308.223 3.344 20 30 DGEDMN CC(C)C[N@H+]1Cc2cccc(NC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC000162220560 432817084 /nfs/dbraw/zinc/81/70/84/432817084.db2.gz CDHRWHDIXYHHII-UHFFFAOYSA-N 0 1 308.385 3.110 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3ccc(C#N)[nH]3)c2C1 ZINC000162220560 432817087 /nfs/dbraw/zinc/81/70/87/432817087.db2.gz CDHRWHDIXYHHII-UHFFFAOYSA-N 0 1 308.385 3.110 20 30 DGEDMN CC[C@H](C)N(C)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000162366070 432821182 /nfs/dbraw/zinc/82/11/82/432821182.db2.gz DMDVMMYEXJXJRD-ZDUSSCGKSA-N 0 1 307.825 3.317 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]2CCC[C@H]21 ZINC000245446476 432832242 /nfs/dbraw/zinc/83/22/42/432832242.db2.gz LDJLLEZTCCHSEP-BLLLJJGKSA-N 0 1 317.820 3.415 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2cccc(F)c2F)CC1 ZINC000266910960 432797891 /nfs/dbraw/zinc/79/78/91/432797891.db2.gz DCOGZMWUHMZXHM-UHFFFAOYSA-N 0 1 307.388 3.417 20 30 DGEDMN C[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccc(C#N)cc1 ZINC000276429053 432806298 /nfs/dbraw/zinc/80/62/98/432806298.db2.gz ILWKOAMFRMUVKS-GFCCVEGCSA-N 0 1 308.385 3.041 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000276495783 432812828 /nfs/dbraw/zinc/81/28/28/432812828.db2.gz VMPVLGBNKZTHSM-UHFFFAOYSA-N 0 1 301.434 3.123 20 30 DGEDMN CCCN1CCC[C@H]1C(=O)Nc1ccc2nnc(C(C)C)n2c1 ZINC000329928979 432883314 /nfs/dbraw/zinc/88/33/14/432883314.db2.gz NASADFOCMFDMLY-AWEZNQCLSA-N 0 1 315.421 3.315 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCC[C@H]3C2)n[nH]1 ZINC000330187745 432896177 /nfs/dbraw/zinc/89/61/77/432896177.db2.gz UADOCKKRKYWCAH-CYUUQNCZSA-N 0 1 304.438 3.122 20 30 DGEDMN CCCCCCCOc1ccc(CNCc2cnnn2C)cc1 ZINC000288375471 432891514 /nfs/dbraw/zinc/89/15/14/432891514.db2.gz FMEMZRKWYSXLPP-UHFFFAOYSA-N 0 1 316.449 3.454 20 30 DGEDMN CC[C@H](C)n1ncc(C(=O)N[C@@H]2CCCc3cn[nH]c32)c1C ZINC000330082535 432892115 /nfs/dbraw/zinc/89/21/15/432892115.db2.gz KHRACVXPIIGFDS-IINYFYTJSA-N 0 1 301.394 3.268 20 30 DGEDMN O=C(Nc1ccccc1)C1CCN([C@@H]2CCCNC2=O)CC1 ZINC000330130731 432894210 /nfs/dbraw/zinc/89/42/10/432894210.db2.gz PEZYTSUQGWDFPE-OAHLLOKOSA-N 0 1 301.390 3.106 20 30 DGEDMN C=CC[C@H]1N(C(=O)N[C@@H](C)Cc2cc(C)[nH]n2)CCCC1(C)C ZINC000279029901 432927734 /nfs/dbraw/zinc/92/77/34/432927734.db2.gz JYXOJNNFJPCYHI-XJKSGUPXSA-N 0 1 318.465 3.425 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](c2ccncc2)C1 ZINC000289301531 432942172 /nfs/dbraw/zinc/94/21/72/432942172.db2.gz IGQYMOAIURSCCQ-KRWDZBQOSA-N 0 1 307.397 3.341 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CCC[C@H](C)C2)cn1 ZINC000330250200 432900121 /nfs/dbraw/zinc/90/01/21/432900121.db2.gz GSNDKKOHMJMPAD-WCQYABFASA-N 0 1 301.394 3.176 20 30 DGEDMN Cc1cccc2c1OC[C@H](NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC000330298791 432903662 /nfs/dbraw/zinc/90/36/62/432903662.db2.gz HPRYWQZPXOFSQX-ZIAGYGMSSA-N 0 1 311.385 3.098 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)NC(=O)NC(C)(C)C)c(C)c1 ZINC000330520625 432914742 /nfs/dbraw/zinc/91/47/42/432914742.db2.gz NZCZUNMKYVSHAC-AWEZNQCLSA-N 0 1 319.449 3.125 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](c3ccc(C)cc3)C[C@H]2C)CC1 ZINC000278913123 432915981 /nfs/dbraw/zinc/91/59/81/432915981.db2.gz KWJADSAMKCJPOB-XLIONFOSSA-N 0 1 324.468 3.045 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC=C(c2cccnc2)C1 ZINC000289047407 432917353 /nfs/dbraw/zinc/91/73/53/432917353.db2.gz BVWOPLUTWRKTTF-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289952774 432981637 /nfs/dbraw/zinc/98/16/37/432981637.db2.gz QFYOPJJRVPJREK-LRDDRELGSA-N 0 1 300.793 3.416 20 30 DGEDMN CN(CCOCC1CC1)Cc1ccc(C#N)cc1OC(F)F ZINC000446716942 433087508 /nfs/dbraw/zinc/08/75/08/433087508.db2.gz OBBHAADBGALPPC-UHFFFAOYSA-N 0 1 310.344 3.018 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1c(C#N)cccc1[N+](=O)[O-] ZINC000425258622 433136416 /nfs/dbraw/zinc/13/64/16/433136416.db2.gz KHMWGCRBGIHSAR-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN C#CC(C)(C)[N@@H+](C)CCC(=O)Nc1ccccc1C(F)(F)F ZINC000298463583 433149027 /nfs/dbraw/zinc/14/90/27/433149027.db2.gz IEQCIOXPHHYDJG-UHFFFAOYSA-N 0 1 312.335 3.378 20 30 DGEDMN C=CCCCNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000280731946 433154108 /nfs/dbraw/zinc/15/41/08/433154108.db2.gz VISQWCKEYLDNCF-HNNXBMFYSA-N 0 1 305.422 3.072 20 30 DGEDMN C=C(Br)C[N@@H+]1CCC[C@@H]1[C@@H]1C[C@@H](C)CC[C@@H]1O ZINC000374179454 433179680 /nfs/dbraw/zinc/17/96/80/433179680.db2.gz TUCXJJOQLLLCAR-DEQVHRJGSA-N 0 1 302.256 3.157 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc3ccccc3n2C)nn1C(C)C ZINC000453583032 433214038 /nfs/dbraw/zinc/21/40/38/433214038.db2.gz FVQJPXAQMHUZNL-CYBMUJFWSA-N 0 1 321.384 3.149 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2ccc(C#N)s2)C1 ZINC000453602198 433231860 /nfs/dbraw/zinc/23/18/60/433231860.db2.gz GDAPIOARZNCLMH-INIZCTEOSA-N 0 1 306.431 3.173 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC[C@H](n3ncc4ccccc43)C2)c1 ZINC000374738405 433269081 /nfs/dbraw/zinc/26/90/81/433269081.db2.gz OZDWAVZEWFFHOW-KRWDZBQOSA-N 0 1 320.371 3.494 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@@H](C)c2ccc(OC(F)F)cc2)C1=O ZINC000281193146 433269655 /nfs/dbraw/zinc/26/96/55/433269655.db2.gz BWCKFENGYTVTTJ-WFASDCNBSA-N 0 1 324.371 3.068 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)CC[S@@](=O)c1ccccc1 ZINC000425535297 433288587 /nfs/dbraw/zinc/28/85/87/433288587.db2.gz NDQWICYLMVNYML-OYHNWAKOSA-N 0 1 312.438 3.359 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCc3c2cccc3Cl)C1=O ZINC000281401512 433296145 /nfs/dbraw/zinc/29/61/45/433296145.db2.gz QOOKXWMCVCVZKC-HZPDHXFCSA-N 0 1 304.821 3.046 20 30 DGEDMN Cc1[nH]c(SCCOCCC#N)nc1Cc1ccccc1 ZINC000338506839 433303997 /nfs/dbraw/zinc/30/39/97/433303997.db2.gz MTWLTJJXYIOJQD-UHFFFAOYSA-N 0 1 301.415 3.331 20 30 DGEDMN C=CCN(CCOC)Cc1c[nH]nc1-c1ccc(Cl)cc1 ZINC000361639254 433305241 /nfs/dbraw/zinc/30/52/41/433305241.db2.gz NVGVLWRVFJLISD-UHFFFAOYSA-N 0 1 305.809 3.365 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)C1(C#N)CCCCC1)c1ccco1 ZINC000299361643 433360921 /nfs/dbraw/zinc/36/09/21/433360921.db2.gz OTYKZRSHQTXRSS-HNNXBMFYSA-N 0 1 317.433 3.253 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(Cc1ccccc1)CC(F)(F)F ZINC000351067482 433363259 /nfs/dbraw/zinc/36/32/59/433363259.db2.gz VQHBRLWLGHQEGN-UHFFFAOYSA-N 0 1 314.351 3.085 20 30 DGEDMN C[C@H](c1ccccc1)N(CC(=O)N[C@](C)(C#N)C1CC1)C1CC1 ZINC000299391906 433363923 /nfs/dbraw/zinc/36/39/23/433363923.db2.gz ROZLACIYTUDNNP-AUUYWEPGSA-N 0 1 311.429 3.020 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000420988475 433364754 /nfs/dbraw/zinc/36/47/54/433364754.db2.gz UNOOMFNWFDGQPK-YOEHRIQHSA-N 0 1 323.387 3.027 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000420988473 433367860 /nfs/dbraw/zinc/36/78/60/433367860.db2.gz UNOOMFNWFDGQPK-WMLDXEAASA-N 0 1 323.387 3.027 20 30 DGEDMN C=CCC(C)(C)c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000291913559 433390481 /nfs/dbraw/zinc/39/04/81/433390481.db2.gz NAVPLIHFOXEZRA-UHFFFAOYSA-N 0 1 309.373 3.299 20 30 DGEDMN CCOc1ccc(CN2CCN(c3ccc(C#N)cc3)CC2)cc1 ZINC000301104824 433419322 /nfs/dbraw/zinc/41/93/22/433419322.db2.gz TVDYCXKJZOEJLR-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN CN(Cc1cnc2ccc(C#N)cn12)Cc1cccc2[nH]ccc21 ZINC000426390906 433483480 /nfs/dbraw/zinc/48/34/80/433483480.db2.gz MYQTUWXGYFLMAY-UHFFFAOYSA-N 0 1 315.380 3.319 20 30 DGEDMN N#CC(C(=O)c1cc(C2CC2)[nH]n1)c1cc(C(F)(F)F)ccn1 ZINC000362120688 433533736 /nfs/dbraw/zinc/53/37/36/433533736.db2.gz YAECMVOEHMEBFB-SNVBAGLBSA-N 0 1 320.274 3.191 20 30 DGEDMN C[C@H]1C[C@H](C(=O)OC(C)(C)C)CN(Cc2ccc(C#N)s2)C1 ZINC000421368657 433509454 /nfs/dbraw/zinc/50/94/54/433509454.db2.gz AJOPAXUYICDUOD-STQMWFEESA-N 0 1 320.458 3.419 20 30 DGEDMN N#Cc1ccc(Sc2nc(-c3ccc4c(c3)CCC4)n[nH]2)nc1 ZINC000301443337 433556900 /nfs/dbraw/zinc/55/69/00/433556900.db2.gz JFYLCXDDZSCSAI-UHFFFAOYSA-N 0 1 319.393 3.378 20 30 DGEDMN C=CCC[C@@H](N[C@@H]1CCCc2c1cnn2CCO)c1ccco1 ZINC000375788748 433565227 /nfs/dbraw/zinc/56/52/27/433565227.db2.gz JQCZNQOSDAHIBA-HZPDHXFCSA-N 0 1 315.417 3.143 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H]1OCCc2sccc21 ZINC000292520130 433574331 /nfs/dbraw/zinc/57/43/31/433574331.db2.gz WFGWRYRYFBHXFN-JOYOIKCWSA-N 0 1 302.237 3.249 20 30 DGEDMN CCCCN(C)CC(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000301607535 433633818 /nfs/dbraw/zinc/63/38/18/433633818.db2.gz ZRKNLRMMQNEURS-UHFFFAOYSA-N 0 1 301.434 3.282 20 30 DGEDMN CC(C)(C(=O)Nc1ccc2nc[nH]c2c1)c1cc(F)cc(C#N)c1 ZINC000351645837 433636830 /nfs/dbraw/zinc/63/68/30/433636830.db2.gz XYSXDTMNOICLSW-UHFFFAOYSA-N 0 1 322.343 3.490 20 30 DGEDMN C=CCOc1cccc(C[NH2+][C@H](C(=O)[O-])c2ccsc2)c1 ZINC000426832828 433613069 /nfs/dbraw/zinc/61/30/69/433613069.db2.gz KDTUMODPKZJTQT-HNNXBMFYSA-N 0 1 303.383 3.228 20 30 DGEDMN N#CC(C(=O)c1c[nH]nc1-c1cccc(Cl)c1)c1ccncn1 ZINC000362283975 433619639 /nfs/dbraw/zinc/61/96/39/433619639.db2.gz DXYKQOWSCFEYDT-GFCCVEGCSA-N 0 1 323.743 3.010 20 30 DGEDMN N#C[C@@H](C(=O)c1c[nH]nc1-c1cccc(Cl)c1)c1ccncn1 ZINC000362283975 433619650 /nfs/dbraw/zinc/61/96/50/433619650.db2.gz DXYKQOWSCFEYDT-GFCCVEGCSA-N 0 1 323.743 3.010 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CC)C[C@@H]1CC)c1ccccc1 ZINC000456368914 433624632 /nfs/dbraw/zinc/62/46/32/433624632.db2.gz FPNOAQQGRJAORD-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN COc1cc2c(cc1Cl)C[N@@H+](CCCSCC#N)CC2 ZINC000293237212 433669182 /nfs/dbraw/zinc/66/91/82/433669182.db2.gz SOTXSBVCXHVPJB-UHFFFAOYSA-N 0 1 310.850 3.354 20 30 DGEDMN COc1cc2c(cc1Cl)CN(CCCSCC#N)CC2 ZINC000293237212 433669189 /nfs/dbraw/zinc/66/91/89/433669189.db2.gz SOTXSBVCXHVPJB-UHFFFAOYSA-N 0 1 310.850 3.354 20 30 DGEDMN CC[C@]1(C)CCCN(CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000362384991 433676823 /nfs/dbraw/zinc/67/68/23/433676823.db2.gz PQOZYJOLGBAXRZ-QGZVFWFLSA-N 0 1 305.466 3.183 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN([C@H](C)CC)CC1)c1ccccc1 ZINC000456528988 433711645 /nfs/dbraw/zinc/71/16/45/433711645.db2.gz LIKSKRGDOCBKIY-IAGOWNOFSA-N 0 1 300.446 3.289 20 30 DGEDMN C[C@@H]1CN(CCCNc2ccc3cc(C#N)ccc3n2)C[C@@H](C)O1 ZINC000301797218 433728436 /nfs/dbraw/zinc/72/84/36/433728436.db2.gz AROPTVDKZVIZDK-HUUCEWRRSA-N 0 1 324.428 3.018 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)C=Cc3ccc(C#N)cc3)C2)n[nH]1 ZINC000283500760 433735849 /nfs/dbraw/zinc/73/58/49/433735849.db2.gz KJQMGGVJVNYFFI-KBOKABMXSA-N 0 1 320.396 3.009 20 30 DGEDMN N#Cc1c(F)ccc(CNc2ccc3c(n2)CCC3)c1Cl ZINC000449664442 433763756 /nfs/dbraw/zinc/76/37/56/433763756.db2.gz LJMGYQUUSJZVNT-UHFFFAOYSA-N 0 1 301.752 3.847 20 30 DGEDMN C[C@@H](NC1(c2cccc(C#N)c2)CC1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000283717125 433766877 /nfs/dbraw/zinc/76/68/77/433766877.db2.gz AURQGVRODVAVMS-GFCCVEGCSA-N 0 1 322.368 3.389 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](c2nc[nH]n2)c2ccccc2)CCCC1 ZINC000456689444 433776220 /nfs/dbraw/zinc/77/62/20/433776220.db2.gz KIWBUGGGKBVKRG-INIZCTEOSA-N 0 1 324.428 3.189 20 30 DGEDMN COc1cccc(CN2CCC(C#N)(c3ccccn3)CC2)c1 ZINC000376597308 433783267 /nfs/dbraw/zinc/78/32/67/433783267.db2.gz ZTWMHPNJKVCACH-UHFFFAOYSA-N 0 1 307.397 3.148 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@@]4(C#N)C[C@@H]4C)C3)[nH]c2c1 ZINC000449836307 433826936 /nfs/dbraw/zinc/82/69/36/433826936.db2.gz SLTRKDZYDGVZGI-IQUTYRLHSA-N 0 1 322.412 3.127 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)Nc2ccc(C#N)c(Cl)c2)CCN1C ZINC000362743212 433844146 /nfs/dbraw/zinc/84/41/46/433844146.db2.gz VYNFGIZSIIXTJD-HNNXBMFYSA-N 0 1 320.824 3.016 20 30 DGEDMN Cc1cc2cc[nH]c2cc1NS(=O)(=O)c1ccccc1C#N ZINC000436169876 433897037 /nfs/dbraw/zinc/89/70/37/433897037.db2.gz CFLFAPGESWMDOR-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN CCN(CCNc1c(C#N)cccc1C#N)CCC(F)(F)F ZINC000436376626 433949704 /nfs/dbraw/zinc/94/97/04/433949704.db2.gz CGTHVOBAHHBMOY-UHFFFAOYSA-N 0 1 310.323 3.116 20 30 DGEDMN COc1ccc(CN2CCN(C)c3ccccc3C2)cc1C#N ZINC000340145394 433941321 /nfs/dbraw/zinc/94/13/21/433941321.db2.gz JDLZYZFYSJCJIP-UHFFFAOYSA-N 0 1 307.397 3.019 20 30 DGEDMN COc1ccc(C)cc1[C@H](C)NCC(=O)Nc1ccc(C#N)cc1 ZINC000352421649 434042532 /nfs/dbraw/zinc/04/25/32/434042532.db2.gz MXZMMRXAKCKJDM-AWEZNQCLSA-N 0 1 323.396 3.165 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1ccc(O)c(Cl)c1 ZINC000436679356 434063648 /nfs/dbraw/zinc/06/36/48/434063648.db2.gz FSNLJIWBKGRQKI-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN COc1cc(CNCc2nccc3ccccc32)ccc1C#N ZINC000353222956 434068982 /nfs/dbraw/zinc/06/89/82/434068982.db2.gz HUGLYSQGZDYWJW-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN CNC(=O)CC[C@@H]1CCCCN1Cc1ccc(C#N)cc1Cl ZINC000353167224 434069503 /nfs/dbraw/zinc/06/95/03/434069503.db2.gz AEBGCZJMBRASJW-HNNXBMFYSA-N 0 1 319.836 3.092 20 30 DGEDMN COc1ccc(C#N)cc1CN(CCO)[C@@H]1CCc2ccccc21 ZINC000305253078 434071851 /nfs/dbraw/zinc/07/18/51/434071851.db2.gz VQRLCYBGBVUWBA-LJQANCHMSA-N 0 1 322.408 3.049 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3cccc(C#N)c3F)CC2)c1 ZINC000352889608 434059816 /nfs/dbraw/zinc/05/98/16/434059816.db2.gz UNVZNGQGBONKMA-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCOC)[C@H](CCC)C2)CCCC1 ZINC000450322578 434102450 /nfs/dbraw/zinc/10/24/50/434102450.db2.gz AOJWOOZVGNHSLD-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN CC[C@@H](NCCOCCC#N)c1nc(C(F)(F)F)cs1 ZINC000294631290 434124313 /nfs/dbraw/zinc/12/43/13/434124313.db2.gz PHZFTKHJBMYGJV-SECBINFHSA-N 0 1 307.341 3.133 20 30 DGEDMN C=C(C)CCNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000457457675 434183037 /nfs/dbraw/zinc/18/30/37/434183037.db2.gz VYGGMGKVHPFJQN-OAHLLOKOSA-N 0 1 305.422 3.072 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1c(O)cccc1F ZINC000436994966 434199977 /nfs/dbraw/zinc/19/99/77/434199977.db2.gz BDTBQDPTXQOQBQ-UHFFFAOYSA-N 0 1 313.332 3.477 20 30 DGEDMN C[N@H+](Cc1ccc(C#N)cc1OC(F)F)C[C@@H]1CCCCO1 ZINC000437436890 434261575 /nfs/dbraw/zinc/26/15/75/434261575.db2.gz DMKSSHKCLCVZFQ-AWEZNQCLSA-N 0 1 310.344 3.161 20 30 DGEDMN CN(Cc1ccc(C#N)cc1OC(F)F)C[C@@H]1CCCCO1 ZINC000437436890 434261585 /nfs/dbraw/zinc/26/15/85/434261585.db2.gz DMKSSHKCLCVZFQ-AWEZNQCLSA-N 0 1 310.344 3.161 20 30 DGEDMN CC#CCCCC(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC000364082863 434228848 /nfs/dbraw/zinc/22/88/48/434228848.db2.gz ROFKBEJNCUXWOB-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN [O-]C(N[C@H]1C[C@H](c2ccccc2)C1)=[NH+][C@H]1COc2ccccc2C1 ZINC000328601087 434289152 /nfs/dbraw/zinc/28/91/52/434289152.db2.gz DXUNRCOQNMSGTM-KZNAEPCWSA-N 0 1 322.408 3.440 20 30 DGEDMN [O-]C(N[C@H]1COc2ccccc2C1)=[NH+][C@H]1C[C@H](c2ccccc2)C1 ZINC000328601087 434289165 /nfs/dbraw/zinc/28/91/65/434289165.db2.gz DXUNRCOQNMSGTM-KZNAEPCWSA-N 0 1 322.408 3.440 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000348365630 434292771 /nfs/dbraw/zinc/29/27/71/434292771.db2.gz CEGLHGMVJMWRNV-JKSUJKDBSA-N 0 1 307.413 3.082 20 30 DGEDMN Cn1ccnc1[C@H]1CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC000365625901 434304632 /nfs/dbraw/zinc/30/46/32/434304632.db2.gz IOGZEFWEDYDPTD-INIZCTEOSA-N 0 1 313.832 3.305 20 30 DGEDMN CC[C@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1c(C)noc1C ZINC000328867926 434319356 /nfs/dbraw/zinc/31/93/56/434319356.db2.gz OLQZSQIXAKVNNC-QWHCGFSZSA-N 0 1 317.393 3.047 20 30 DGEDMN O=C(NC[C@@H]1CCCCC(F)(F)C1)[C@@H]1CCc2[nH]nnc2C1 ZINC000329136330 434362826 /nfs/dbraw/zinc/36/28/26/434362826.db2.gz CRXJVKPTXOIYSX-GHMZBOCLSA-N 0 1 312.364 3.082 20 30 DGEDMN O=C(NC[C@@H]1CCCCC(F)(F)C1)[C@@H]1CCc2nn[nH]c2C1 ZINC000329136330 434362830 /nfs/dbraw/zinc/36/28/30/434362830.db2.gz CRXJVKPTXOIYSX-GHMZBOCLSA-N 0 1 312.364 3.082 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc(NC(N)=O)cc1 ZINC000358211924 434385369 /nfs/dbraw/zinc/38/53/69/434385369.db2.gz ZZZMOOFJYMMTMD-LLVKDONJSA-N 0 1 312.348 3.039 20 30 DGEDMN O=C(Nc1ccc(OCC2CC2)cc1)N[C@H]1CC[N@H+](C2CC2)C1 ZINC000329305659 434389660 /nfs/dbraw/zinc/38/96/60/434389660.db2.gz OYKOZDOFBMOPJE-HNNXBMFYSA-N 0 1 315.417 3.038 20 30 DGEDMN C[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC12CCC2 ZINC000329108591 434356929 /nfs/dbraw/zinc/35/69/29/434356929.db2.gz FLPUHOUOFNVUPU-TZMCWYRMSA-N 0 1 302.422 3.213 20 30 DGEDMN O=C(N[C@@H]1CC12CCCCC2)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000329611021 434443309 /nfs/dbraw/zinc/44/33/09/434443309.db2.gz NXMRZDBEULUODC-ZIAGYGMSSA-N 0 1 322.840 3.420 20 30 DGEDMN C[C@@H](C(=O)Nc1nccs1)N1CCC2(CCOCC2)[C@H]1C ZINC000329467729 434418457 /nfs/dbraw/zinc/41/84/57/434418457.db2.gz WJWQUQRGNCKPFK-NWDGAFQWSA-N 0 1 309.435 3.011 20 30 DGEDMN Cn1cc(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)cc1C#N ZINC000359731301 434423129 /nfs/dbraw/zinc/42/31/29/434423129.db2.gz AVEWUYXFOJGMLA-MSOLQXFVSA-N 0 1 313.376 3.046 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@H]1c1ccsc1 ZINC000329545314 434428604 /nfs/dbraw/zinc/42/86/04/434428604.db2.gz GMZXHPRGZYITHH-DOMZBBRYSA-N 0 1 316.430 3.080 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCC[C@H](c2ccccc2)C1)N1CCn2cncc2C1 ZINC000329558066 434431731 /nfs/dbraw/zinc/43/17/31/434431731.db2.gz CBAGBKQXCKEBPB-DLBZAZTESA-N 0 1 324.428 3.339 20 30 DGEDMN COc1ccc2c(c1)CCN(Cc1cccc(C#N)c1F)CC2 ZINC000340964063 434459219 /nfs/dbraw/zinc/45/92/19/434459219.db2.gz VLGMTQXOLFFMFM-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000329754457 434475562 /nfs/dbraw/zinc/47/55/62/434475562.db2.gz XICQGCZCZCFUFA-XJKCOSOUSA-N 0 1 304.438 3.082 20 30 DGEDMN Cc1cnn([C@@H]2CCN([C@H](C)C(=O)N[C@@H]3CCCC[C@H]3C)C2)c1 ZINC000329778203 434481272 /nfs/dbraw/zinc/48/12/72/434481272.db2.gz KYNQIGFAYUNZOW-QBPKDAKJSA-N 0 1 318.465 3.362 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCCCC12CCCC2)N1CCn2cncc2C1 ZINC000329818757 434488697 /nfs/dbraw/zinc/48/86/97/434488697.db2.gz OVHBYQIZJHGBSZ-OAHLLOKOSA-N 0 1 302.422 3.116 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C([O-])=[NH+][C@H]2CCc3c[nH]nc3C2)CC1 ZINC000329843924 434491382 /nfs/dbraw/zinc/49/13/82/434491382.db2.gz LDTQPMNEQIRPNK-CABCVRRESA-N 0 1 318.465 3.329 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000329843925 434493023 /nfs/dbraw/zinc/49/30/23/434493023.db2.gz LDTQPMNEQIRPNK-HUUCEWRRSA-N 0 1 318.465 3.329 20 30 DGEDMN Cc1cnn([C@@H]2CCN([C@@H](C)C(=O)NC3CCCCC3)C2)c1 ZINC000329860071 434496499 /nfs/dbraw/zinc/49/64/99/434496499.db2.gz XBPIPZYITLQYRR-GOEBONIOSA-N 0 1 304.438 3.116 20 30 DGEDMN O=C(N[C@@H](c1nnc[nH]1)c1ccccc1)[C@@H]1CCCCS1 ZINC000329669164 434457262 /nfs/dbraw/zinc/45/72/62/434457262.db2.gz MFCIYTGZTQFCHM-QWHCGFSZSA-N 0 1 302.403 3.136 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CC[C@H](SC)C1 ZINC000296111340 434510885 /nfs/dbraw/zinc/51/08/85/434510885.db2.gz DYKVBHIOEPKNGT-KBPBESRZSA-N 0 1 324.515 3.089 20 30 DGEDMN CCn1ccnc1[C@H](C)NCCSCc1ccccc1C#N ZINC000296177191 434533630 /nfs/dbraw/zinc/53/36/30/434533630.db2.gz XYSDUVULCDERKE-AWEZNQCLSA-N 0 1 314.458 3.359 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CC(C)(C)[C@@H]2[C@@H]2CCCO2)cc1 ZINC000367965178 434564724 /nfs/dbraw/zinc/56/47/24/434564724.db2.gz LISPMUIDLNZVDD-JLSDUUJJSA-N 0 1 314.429 3.367 20 30 DGEDMN C[C@@H](C(=O)Nc1nc2ccc(F)cc2[nH]1)c1cccc(C#N)c1 ZINC000360491210 434621229 /nfs/dbraw/zinc/62/12/29/434621229.db2.gz QBPXFQAQNZYMBY-SNVBAGLBSA-N 0 1 308.316 3.316 20 30 DGEDMN COc1ccc2c(c1)OCC[C@@H]2NCc1ccc(OC)c(C#N)c1 ZINC000369671364 434598180 /nfs/dbraw/zinc/59/81/80/434598180.db2.gz GHTBZDOHRPWJSM-KRWDZBQOSA-N 0 1 324.380 3.189 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCc2[nH]c(C(C)C)nc2C1)C1CCCC1 ZINC000369635526 434599797 /nfs/dbraw/zinc/59/97/97/434599797.db2.gz DGAQMCMVGPSNSO-AWEZNQCLSA-N 0 1 313.445 3.042 20 30 DGEDMN COCCCN(Cc1cc(C#N)cs1)Cc1ccncc1 ZINC000349313802 434667931 /nfs/dbraw/zinc/66/79/31/434667931.db2.gz HKHFFHGYVISKIM-UHFFFAOYSA-N 0 1 301.415 3.053 20 30 DGEDMN C=CCn1cc(CNC2CC(c3ccc(Cl)cc3)C2)nn1 ZINC000424294035 434681304 /nfs/dbraw/zinc/68/13/04/434681304.db2.gz JSIDMXJEIGZTEF-UHFFFAOYSA-N 0 1 302.809 3.153 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000424578952 434748340 /nfs/dbraw/zinc/74/83/40/434748340.db2.gz BYCYTMATTPORBJ-QGZVFWFLSA-N 0 1 300.446 3.370 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@H](C)C(=O)N1CCCCC1 ZINC000411191396 434742889 /nfs/dbraw/zinc/74/28/89/434742889.db2.gz BTAQBDBLZFETJC-QGZVFWFLSA-N 0 1 300.446 3.118 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1csc(C(=O)OC)c1 ZINC000411489818 434789594 /nfs/dbraw/zinc/78/95/94/434789594.db2.gz LBIOTSSSOBRYFW-UHFFFAOYSA-N 0 1 305.399 3.358 20 30 DGEDMN C=C(C)[C@H](NCCC(=O)NCCCC)c1ccc(F)c(F)c1 ZINC000411551313 434800456 /nfs/dbraw/zinc/80/04/56/434800456.db2.gz DIRAVEBBVZVMKD-KRWDZBQOSA-N 0 1 310.388 3.478 20 30 DGEDMN C[C@@H]1C[C@H](C)N(CC2(C#N)CC2)C[C@H]1CNC(=O)OC(C)(C)C ZINC000496386554 297367263 /nfs/dbraw/zinc/36/72/63/297367263.db2.gz WMSDCFUWGRNWOY-QLFBSQMISA-N 0 1 321.465 3.161 20 30 DGEDMN N#CC1(CCN(CCCO)Cc2ccccn2)CCCCC1 ZINC000411667290 434815880 /nfs/dbraw/zinc/81/58/80/434815880.db2.gz WKAXYDJRAUCANG-UHFFFAOYSA-N 0 1 301.434 3.130 20 30 DGEDMN C[C@@H]1CN(CCC2(C#N)CCCCC2)C[C@]2(CCCOC2)O1 ZINC000411680904 434817260 /nfs/dbraw/zinc/81/72/60/434817260.db2.gz OBIRUOPMWCJJDA-AEFFLSMTSA-N 0 1 306.450 3.120 20 30 DGEDMN CCCn1ccc(CN2CCC(C#N)(c3ccccn3)CC2)c1 ZINC000573305473 434888236 /nfs/dbraw/zinc/88/82/36/434888236.db2.gz OXGRNDBLCYGBCW-UHFFFAOYSA-N 0 1 308.429 3.350 20 30 DGEDMN Cc1cccc2nc(CNC(=O)C=Cc3ccc(C#N)cc3)[nH]c21 ZINC000492324853 434960244 /nfs/dbraw/zinc/96/02/44/434960244.db2.gz ZTUKQNMHISAINA-KTKRTIGZSA-N 0 1 316.364 3.073 20 30 DGEDMN CCc1nc([C@H](C)N[C@@H]2CCCCc3cc(C#N)ccc32)n[nH]1 ZINC000555414374 434974142 /nfs/dbraw/zinc/97/41/42/434974142.db2.gz URFFWQWCLPVTAR-BLLLJJGKSA-N 0 1 309.417 3.357 20 30 DGEDMN C=CC1CCN(C(=O)[C@H](N)c2ccc(C(F)(F)F)cc2)CC1 ZINC000637994977 434927131 /nfs/dbraw/zinc/92/71/31/434927131.db2.gz ZQILQQLKEKULQO-CQSZACIVSA-N 0 1 312.335 3.130 20 30 DGEDMN Cc1nccn1-c1ccc([C@@H](C)NCc2ccc(C#N)cn2)cc1 ZINC000558235048 435082036 /nfs/dbraw/zinc/08/20/36/435082036.db2.gz GUECGTQVLSVEAY-CQSZACIVSA-N 0 1 317.396 3.298 20 30 DGEDMN Cc1cc(CN2CCC(C#N)(c3ccccn3)CC2)ccc1C#N ZINC000576368472 435055582 /nfs/dbraw/zinc/05/55/82/435055582.db2.gz FHYNJJBCTXLMSZ-UHFFFAOYSA-N 0 1 316.408 3.319 20 30 DGEDMN Cc1ccc([C@@H]2OCCC[C@H]2NCc2nc(C#N)cs2)cc1 ZINC000558485982 435094617 /nfs/dbraw/zinc/09/46/17/435094617.db2.gz NSCHLVXMKAOYCF-WBVHZDCISA-N 0 1 313.426 3.333 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1CC(C)(C)CC(C)(C)C1 ZINC000466940938 435101194 /nfs/dbraw/zinc/10/11/94/435101194.db2.gz SCZINIVBBNRYAW-KSSFIOAISA-N 0 1 307.482 3.187 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000638875236 435110594 /nfs/dbraw/zinc/11/05/94/435110594.db2.gz POKJKCRDERDMKC-INIZCTEOSA-N 0 1 313.445 3.146 20 30 DGEDMN C=CCCN(C)CC(=O)NC(c1ccccc1)c1ccccc1 ZINC000467293181 435116162 /nfs/dbraw/zinc/11/61/62/435116162.db2.gz YFGICBVUGBPLJH-UHFFFAOYSA-N 0 1 308.425 3.400 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN([C@H]2CC[C@H](C#N)C2)CC1(C)C ZINC000496084021 435207915 /nfs/dbraw/zinc/20/79/15/435207915.db2.gz OTPVXYVAFVEXKX-KBPBESRZSA-N 0 1 307.438 3.010 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1cnn2cc(Br)cnc12 ZINC000639111281 435158004 /nfs/dbraw/zinc/15/80/04/435158004.db2.gz GNXSFBJKDUEDEJ-ZDUSSCGKSA-N 0 1 321.222 3.032 20 30 DGEDMN C[C@@H]1CC[C@@H](NCC2(C#N)CCC2)CN1C(=O)OC(C)(C)C ZINC000496480713 435215513 /nfs/dbraw/zinc/21/55/13/435215513.db2.gz NGELDLAYDCHHLD-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN C[N@@H+](CCOCc1ccc(C#N)cc1)Cc1ccc(Cl)cn1 ZINC000561794850 435235052 /nfs/dbraw/zinc/23/50/52/435235052.db2.gz WPXVIMWSBCTZFD-UHFFFAOYSA-N 0 1 315.804 3.255 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(Cl)cn1 ZINC000561794850 435235057 /nfs/dbraw/zinc/23/50/57/435235057.db2.gz WPXVIMWSBCTZFD-UHFFFAOYSA-N 0 1 315.804 3.255 20 30 DGEDMN C[C@@H](c1ccccc1)N(C)C(=O)CN1CCC(CCC#N)CC1 ZINC000564916075 435275208 /nfs/dbraw/zinc/27/52/08/435275208.db2.gz SDCCUBVHNDCWLR-INIZCTEOSA-N 0 1 313.445 3.222 20 30 DGEDMN C=CCC1(CNC(=O)c2cn[nH]c2-c2ccccn2)CCCC1 ZINC000584156856 435280042 /nfs/dbraw/zinc/28/00/42/435280042.db2.gz UCFLBFOKQWXETC-UHFFFAOYSA-N 0 1 310.401 3.338 20 30 DGEDMN C[C@@H](NCc1ccc(F)cc1C(F)(F)F)[C@H](O)C(F)(F)F ZINC000639387189 435280328 /nfs/dbraw/zinc/28/03/28/435280328.db2.gz OGJCPAVDFNXFTJ-LDWIPMOCSA-N 0 1 319.220 3.246 20 30 DGEDMN COc1ccc(C(=O)C2CCN(CC3(CC#N)CC3)CC2)cc1 ZINC000639439593 435297547 /nfs/dbraw/zinc/29/75/47/435297547.db2.gz NFPAWTNZRIVYED-UHFFFAOYSA-N 0 1 312.413 3.284 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000639610081 435364597 /nfs/dbraw/zinc/36/45/97/435364597.db2.gz XLZDAHXSSMPHSR-UHFFFAOYSA-N 0 1 305.328 3.218 20 30 DGEDMN C=C(C)CN(C)[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC000481268341 435416656 /nfs/dbraw/zinc/41/66/56/435416656.db2.gz JPLMBOPCZPMANX-CQSZACIVSA-N 0 1 312.335 3.319 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2cccc(CC#N)c2)CC1 ZINC000568038457 435383265 /nfs/dbraw/zinc/38/32/65/435383265.db2.gz PYZQPLHMJNFMRT-UHFFFAOYSA-N 0 1 323.400 3.196 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(N[C@H]2CC[C@H](C#N)C2)c1 ZINC000568102164 435393088 /nfs/dbraw/zinc/39/30/88/435393088.db2.gz WKTYLYSGBQVWOF-YOEHRIQHSA-N 0 1 314.433 3.071 20 30 DGEDMN CN(CCOc1ccc(Cl)cc1)Cc1ccc(C#N)cn1 ZINC000568583717 435449718 /nfs/dbraw/zinc/44/97/18/435449718.db2.gz XIOHKYXFUYABRO-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN N#CCN(C(=O)c1cccc(Br)c1O)C1CCCC1 ZINC000537658543 435459253 /nfs/dbraw/zinc/45/92/53/435459253.db2.gz HZWOCHDAIVMNNJ-UHFFFAOYSA-N 0 1 323.190 3.063 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC2(CCSCC2)CC1 ZINC000639979690 435478218 /nfs/dbraw/zinc/47/82/18/435478218.db2.gz JNIWBGJFXUAAPY-UHFFFAOYSA-N 0 1 310.507 3.020 20 30 DGEDMN C=CCC[C@@H](CO)NCc1csc(-c2ccc(OC)cc2)n1 ZINC000648821002 435431377 /nfs/dbraw/zinc/43/13/77/435431377.db2.gz VUZPQJRGXXUHML-AWEZNQCLSA-N 0 1 318.442 3.235 20 30 DGEDMN CCC#C[C@@H](C)N[C@H](CO)c1ccc(Br)c(F)c1 ZINC000644784734 435513077 /nfs/dbraw/zinc/51/30/77/435513077.db2.gz QKWYCWUCLADIBQ-QMTHXVAHSA-N 0 1 314.198 3.013 20 30 DGEDMN C[C@@H](NCc1nc(-c2ccc(F)cc2)n[nH]1)c1cccc(C#N)c1 ZINC000538406250 435496720 /nfs/dbraw/zinc/49/67/20/435496720.db2.gz VEDKOFLYSNFMOD-GFCCVEGCSA-N 0 1 321.359 3.333 20 30 DGEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C#N)c1ccc(C(C)(C)C)cc1 ZINC000516935751 435562812 /nfs/dbraw/zinc/56/28/12/435562812.db2.gz ILHUDDBYMLQRQA-GUYCJALGSA-N 0 1 324.428 3.267 20 30 DGEDMN CCCN(CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccc(F)cc1 ZINC000520173425 435726513 /nfs/dbraw/zinc/72/65/13/435726513.db2.gz JWDBODGRSGUFRF-SFHVURJKSA-N 0 1 319.424 3.092 20 30 DGEDMN CC1(C)CCN(CC(=O)N[C@@H](CCCC#N)c2ccccc2)C1 ZINC000571776298 435736120 /nfs/dbraw/zinc/73/61/20/435736120.db2.gz XNXFHQJJHWSBPM-KRWDZBQOSA-N 0 1 313.445 3.270 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@H](C(C)C)C2)c1C#N ZINC000519743312 435705028 /nfs/dbraw/zinc/70/50/28/435705028.db2.gz ZROQIFWESKKQTO-AWEZNQCLSA-N 0 1 300.402 3.213 20 30 DGEDMN Cc1ccc(NC(=O)[C@H](C)N2CCC(CCC#N)CC2)cc1F ZINC000571519005 435713602 /nfs/dbraw/zinc/71/36/02/435713602.db2.gz GXUMTHXTEOSMJH-AWEZNQCLSA-N 0 1 317.408 3.477 20 30 DGEDMN CN1CCN(CC#Cc2ccc(F)cc2)[C@H](Cc2ccccc2)C1 ZINC000649828619 435717527 /nfs/dbraw/zinc/71/75/27/435717527.db2.gz NTSLHMWIZZDJDM-OAQYLSRUSA-N 0 1 322.427 3.036 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1cccc2[nH]c(C)nc21 ZINC000491707670 435797065 /nfs/dbraw/zinc/79/70/65/435797065.db2.gz SHVJOQTWIDVYIO-UHFFFAOYSA-N 0 1 303.365 3.147 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCC[C@H]2c2ccccc2)CC1 ZINC000491781846 435810559 /nfs/dbraw/zinc/81/05/59/435810559.db2.gz KVKCYKPYIVIJMZ-PMACEKPBSA-N 0 1 324.468 3.126 20 30 DGEDMN CC(C)c1ccc(CN2CCN(c3ccnc(C#N)c3)CC2)cc1 ZINC000549618766 435769369 /nfs/dbraw/zinc/76/93/69/435769369.db2.gz VZRWDFYBAFBYTQ-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C=CCN1CC[C@H](NC2(c3cccc(Cl)c3)CCC2)C1=O ZINC000646095315 435779450 /nfs/dbraw/zinc/77/94/50/435779450.db2.gz NBAWDTRWGQBHCK-HNNXBMFYSA-N 0 1 304.821 3.096 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cn(-c2ccccc2)nc1-c1ccncc1 ZINC000491630048 435782158 /nfs/dbraw/zinc/78/21/58/435782158.db2.gz WUSKILDWFJDKAP-MRXNPFEDSA-N 0 1 316.408 3.388 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@H](C)CN(C)[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000650153008 435835482 /nfs/dbraw/zinc/83/54/82/435835482.db2.gz NPFPIUGAZKCSFE-LTIDMASMSA-N 0 1 300.446 3.286 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000660932327 435926333 /nfs/dbraw/zinc/92/63/33/435926333.db2.gz YYRWZFFEJCLGFS-BJJXKVORSA-N 0 1 304.438 3.083 20 30 DGEDMN N#CC(C(=O)c1cn(C2CCC2)nn1)c1ccc2ccccc2n1 ZINC000647181011 435963273 /nfs/dbraw/zinc/96/32/73/435963273.db2.gz FIUJYADHDLZAFT-AWEZNQCLSA-N 0 1 317.352 3.041 20 30 DGEDMN C=CCN(Cc1cc(-c2ccc(OC)cc2)no1)[C@H](C)COC ZINC000661239880 436004648 /nfs/dbraw/zinc/00/46/48/436004648.db2.gz PFTNNXADNTZXMK-CQSZACIVSA-N 0 1 316.401 3.373 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCO[C@@H](CC)c1ccccc1 ZINC000651191377 436136272 /nfs/dbraw/zinc/13/62/72/436136272.db2.gz WMTXTXMUPNTPGT-AWEZNQCLSA-N 0 1 319.430 3.430 20 30 DGEDMN C=CCCNC(=O)N(CC)C[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000661571191 436110928 /nfs/dbraw/zinc/11/09/28/436110928.db2.gz QYWDRTCYLLBDRC-GOSISDBHSA-N 0 1 315.461 3.116 20 30 DGEDMN C=CCCn1cc(CNCC(C)(C)c2cccc(F)c2)nn1 ZINC000657364282 436161060 /nfs/dbraw/zinc/16/10/60/436161060.db2.gz KMRGKDOZSHWARI-UHFFFAOYSA-N 0 1 302.397 3.061 20 30 DGEDMN C=CCCn1cc(CNCCc2cc3ccccc3s2)nn1 ZINC000657475316 436219403 /nfs/dbraw/zinc/21/94/03/436219403.db2.gz OBKJMUWHLYANAQ-UHFFFAOYSA-N 0 1 312.442 3.401 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C)C(=O)Nc1ccccc1OC ZINC000662079214 436266982 /nfs/dbraw/zinc/26/69/82/436266982.db2.gz LNLKHXZQMNVGNS-KFWWJZLASA-N 0 1 300.402 3.205 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1ccccc1OC ZINC000662079214 436266988 /nfs/dbraw/zinc/26/69/88/436266988.db2.gz LNLKHXZQMNVGNS-KFWWJZLASA-N 0 1 300.402 3.205 20 30 DGEDMN C=CCCC1(CNC(=O)c2cc(C(C)(C)N)no2)CCCC1 ZINC000662850863 436394509 /nfs/dbraw/zinc/39/45/09/436394509.db2.gz RSJPNUSHNWBGJT-UHFFFAOYSA-N 0 1 305.422 3.125 20 30 DGEDMN C=CCOCCCN[C@H](c1ccn(C)n1)c1ccc(Cl)cc1 ZINC000658206419 436400742 /nfs/dbraw/zinc/40/07/42/436400742.db2.gz NYIPXUCUVUYRGU-KRWDZBQOSA-N 0 1 319.836 3.345 20 30 DGEDMN CCCn1nccc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000659115978 436462780 /nfs/dbraw/zinc/46/27/80/436462780.db2.gz MIBGCYRANNRFGM-NSHDSACASA-N 0 1 300.387 3.117 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H](OC)[C@H]1CCOC1 ZINC000659818120 436533238 /nfs/dbraw/zinc/53/32/38/436533238.db2.gz SPAVQMLJSRZZPB-GXTWGEPZSA-N 0 1 315.866 3.441 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1c2ccccc2CC12CCOCC2 ZINC000290090716 303154501 /nfs/dbraw/zinc/15/45/01/303154501.db2.gz HSZXMBGZAHPYQV-MAUKXSAKSA-N 0 1 309.413 3.114 20 30 DGEDMN COC(=O)[C@H](Cc1ccccc1)[C@H](C)NCc1ccccc1C#N ZINC000551718148 314572276 /nfs/dbraw/zinc/57/22/76/314572276.db2.gz QIYABRUDYBUIIZ-HNAYVOBHSA-N 0 1 322.408 3.068 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C#N)c(F)c2)c(Cl)c1 ZINC000558594763 314851621 /nfs/dbraw/zinc/85/16/21/314851621.db2.gz BHRQPVLNGGYCML-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1nc2c(n1[C@@H]1CCN(c3cc(C)ncc3C#N)C1)CCCC2 ZINC000568080135 315478997 /nfs/dbraw/zinc/47/89/97/315478997.db2.gz WUPJHMSLVNHWMK-MRXNPFEDSA-N 0 1 321.428 3.097 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1cc(F)ccc1C#N ZINC000577820861 316273828 /nfs/dbraw/zinc/27/38/28/316273828.db2.gz HFTSLDOVMUZCMZ-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN C[N@@H+](CCOCc1ccc(C#N)cc1)Cc1ccc(C#N)s1 ZINC000581851973 324159323 /nfs/dbraw/zinc/15/93/23/324159323.db2.gz MSOXOJITYSXBCG-UHFFFAOYSA-N 0 1 311.410 3.140 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(C#N)s1 ZINC000581851973 324159327 /nfs/dbraw/zinc/15/93/27/324159327.db2.gz MSOXOJITYSXBCG-UHFFFAOYSA-N 0 1 311.410 3.140 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1cccc(C#N)c1 ZINC000581862589 324161402 /nfs/dbraw/zinc/16/14/02/324161402.db2.gz JXCLTORRKNZUDI-UHFFFAOYSA-N 0 1 301.371 3.059 20 30 DGEDMN Cc1cccc(NC2CCN(Cc3ccc(C#N)cn3)CC2)c1 ZINC000584090109 331685489 /nfs/dbraw/zinc/68/54/89/331685489.db2.gz PHTQHPMDWUNOHM-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN CCN(CCC#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000103209026 332235715 /nfs/dbraw/zinc/23/57/15/332235715.db2.gz DLAFDCGVVWJWMJ-UHFFFAOYSA-N 0 1 302.765 3.106 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)CO[C@H](C)c1ccc(Cl)cc1 ZINC000566428276 332404318 /nfs/dbraw/zinc/40/43/18/332404318.db2.gz CXOOQOJAGBUUNH-WQVCFCJDSA-N 0 1 310.825 3.060 20 30 DGEDMN N#C[C@@H]1CCC[C@@H](Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000185728453 333212830 /nfs/dbraw/zinc/21/28/30/333212830.db2.gz YYJFKXNUPXNNTA-IEBWSBKVSA-N 0 1 313.445 3.056 20 30 DGEDMN C[C@H](NCC1(C#N)CC1)[C@@H]1CCCCN1C(=O)OC(C)(C)C ZINC000497286142 333231622 /nfs/dbraw/zinc/23/16/22/333231622.db2.gz PHCNLXQFTYUGIH-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN C#CCOc1ccc(CNCc2cnc(C(C)(C)C)nc2)cc1 ZINC000295140481 335132546 /nfs/dbraw/zinc/13/25/46/335132546.db2.gz ZQFVAACORLBOEM-UHFFFAOYSA-N 0 1 309.413 3.076 20 30 DGEDMN N#CC1(CNC[C@H](O)Cn2c3ccccc3c3ccccc32)CC1 ZINC000570955824 333396400 /nfs/dbraw/zinc/39/64/00/333396400.db2.gz YUMYGXPEWDNGHC-HNNXBMFYSA-N 0 1 319.408 3.049 20 30 DGEDMN C=CC[N@H+](Cc1ccc(N2CCC(OC)CC2)cc1)C1CC1 ZINC000296414524 335144352 /nfs/dbraw/zinc/14/43/52/335144352.db2.gz WXVQROBTCAACML-UHFFFAOYSA-N 0 1 300.446 3.452 20 30 DGEDMN CCN(CC)[C@@H](C(=O)Nc1cc(CC#N)ccn1)c1ccccc1 ZINC000531925924 334293918 /nfs/dbraw/zinc/29/39/18/334293918.db2.gz PIIGRJWOBKRUFW-GOSISDBHSA-N 0 1 322.412 3.169 20 30 DGEDMN CCN(CC)[C@H](C(=O)Nc1cc(CC#N)ccn1)c1ccccc1 ZINC000531925925 334294126 /nfs/dbraw/zinc/29/41/26/334294126.db2.gz PIIGRJWOBKRUFW-SFHVURJKSA-N 0 1 322.412 3.169 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(Cc1ccc(C)c(C)c1)C1CC1 ZINC000302933142 334297611 /nfs/dbraw/zinc/29/76/11/334297611.db2.gz DWBRALODSVAWGO-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000049798352 334357982 /nfs/dbraw/zinc/35/79/82/334357982.db2.gz ZSZZDJDIVDSFRO-INIZCTEOSA-N 0 1 307.413 3.036 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc([N+](=O)[O-])cc3)[nH]c21 ZINC000345383102 335322005 /nfs/dbraw/zinc/32/20/05/335322005.db2.gz UGKGGFCLTCELIF-CYBMUJFWSA-N 0 1 320.308 3.270 20 30 DGEDMN C=CCCCC[N@@H+]1CCCN(C(=O)c2ccccc2F)CC1 ZINC000520726827 336050543 /nfs/dbraw/zinc/05/05/43/336050543.db2.gz ZNOGJOHEPUYQCA-UHFFFAOYSA-N 0 1 304.409 3.330 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1 ZINC000582276295 336871379 /nfs/dbraw/zinc/87/13/79/336871379.db2.gz JRKGXMUFYUIHBT-TUKIKUTGSA-N 0 1 305.328 3.177 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC000582494307 337098718 /nfs/dbraw/zinc/09/87/18/337098718.db2.gz MZSLZZZZSFPGCF-CJNGLKHVSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)c1cccc(Br)c1O ZINC000515580771 337993301 /nfs/dbraw/zinc/99/33/01/337993301.db2.gz VKFQWIOYLDEKNP-JTQLQIEISA-N 0 1 310.191 3.335 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](c2ccccc2Cl)C(C)C)C1=O ZINC000499605266 340040743 /nfs/dbraw/zinc/04/07/43/340040743.db2.gz IXUGGFYVXQEQMS-JKSUJKDBSA-N 0 1 306.837 3.414 20 30 DGEDMN Cc1ccc(-c2csc(C(C#N)C(=O)NCC(C)C)n2)cc1 ZINC000505466315 340168954 /nfs/dbraw/zinc/16/89/54/340168954.db2.gz FRTDSJQJKBFYPB-CQSZACIVSA-N 0 1 313.426 3.498 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2[C@H](C)CC[C@@H]2C)c1C ZINC000174717100 340395051 /nfs/dbraw/zinc/39/50/51/340395051.db2.gz HPKNZSLTWHYFLG-IYBDPMFKSA-N 0 1 313.445 3.423 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000174717056 340395134 /nfs/dbraw/zinc/39/51/34/340395134.db2.gz JRSJCBHGPODTPI-KBPBESRZSA-N 0 1 319.836 3.459 20 30 DGEDMN CC[N@@H+](CCCC(C)(C)C#N)Cc1nc2ccccc2c(=O)[nH]1 ZINC000174808373 340396519 /nfs/dbraw/zinc/39/65/19/340396519.db2.gz HKDVRTBHLCVHFB-UHFFFAOYSA-N 0 1 312.417 3.075 20 30 DGEDMN CCN(CCCC(C)(C)C#N)Cc1nc2ccccc2c(=O)[nH]1 ZINC000174808373 340396520 /nfs/dbraw/zinc/39/65/20/340396520.db2.gz HKDVRTBHLCVHFB-UHFFFAOYSA-N 0 1 312.417 3.075 20 30 DGEDMN C[C@@H]1CN(CCCC(C)(C)C#N)CCN1C(=O)OC(C)(C)C ZINC000174875023 340398168 /nfs/dbraw/zinc/39/81/68/340398168.db2.gz VONUNMQNZXJKRE-CQSZACIVSA-N 0 1 309.454 3.258 20 30 DGEDMN C=CCOc1ccccc1C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000174900054 340398797 /nfs/dbraw/zinc/39/87/97/340398797.db2.gz MVNFYUFNOXRIRM-UHFFFAOYSA-N 0 1 321.380 3.366 20 30 DGEDMN N#CCc1ccccc1NS(=O)(=O)c1ccccc1Cl ZINC000193356040 340576602 /nfs/dbraw/zinc/57/66/02/340576602.db2.gz UDIKBNJMXFBUDT-UHFFFAOYSA-N 0 1 306.774 3.207 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc2ncccc21)N1CCC(CC#N)CC1 ZINC000543807041 341059820 /nfs/dbraw/zinc/05/98/20/341059820.db2.gz IDGJJYOFLQGUNF-AWEZNQCLSA-N 0 1 322.412 3.187 20 30 DGEDMN C[C@@H](NC(=O)[C@@H](C)N1CCC(CC#N)CC1)c1ccc(F)cc1 ZINC000543810627 341060267 /nfs/dbraw/zinc/06/02/67/341060267.db2.gz IYKROFJHCAQSAO-ZIAGYGMSSA-N 0 1 317.408 3.017 20 30 DGEDMN CC#CCCNC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000545420107 341124454 /nfs/dbraw/zinc/12/44/54/341124454.db2.gz HIYRMOMGAZZAQL-UHFFFAOYSA-N 0 1 309.394 3.435 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccccn2)s1)c1ccccn1 ZINC000136536333 341261480 /nfs/dbraw/zinc/26/14/80/341261480.db2.gz OGJSRQWOEHUALN-NSHDSACASA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(-c2ccccn2)s1)c1ccccn1 ZINC000136536333 341261481 /nfs/dbraw/zinc/26/14/81/341261481.db2.gz OGJSRQWOEHUALN-NSHDSACASA-N 0 1 306.350 3.090 20 30 DGEDMN C=C(C)[C@H](NCCOC[C@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000548491933 341264005 /nfs/dbraw/zinc/26/40/05/341264005.db2.gz GHPKOPBXSAPMNH-PBHICJAKSA-N 0 1 311.372 3.367 20 30 DGEDMN C[C@@](C#N)(NC(=O)c1cccc(-c2nnc[nH]2)c1)C1CCCCC1 ZINC000551900473 341354359 /nfs/dbraw/zinc/35/43/59/341354359.db2.gz ZFFNIYRCWVPWGC-SFHVURJKSA-N 0 1 323.400 3.064 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H](c2cccc(F)c2)C1 ZINC000552513202 341397014 /nfs/dbraw/zinc/39/70/14/341397014.db2.gz JMTIORYSAIGGTR-MRXNPFEDSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)CC(C)=C(C)C ZINC001772539770 1176271493 /nfs/dbraw/zinc/27/14/93/1176271493.db2.gz DJNKDKTUGOMZCS-ZDUSSCGKSA-N 0 1 317.271 3.078 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@@H](C)C(CC)CC ZINC001752882403 1176994949 /nfs/dbraw/zinc/99/49/49/1176994949.db2.gz UMLFNJKJUZBJKW-NEPJUHHUSA-N 0 1 319.287 3.062 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N(CC#N)C3CCCC3)n[nH]2)cc1 ZINC000128308565 534168762 /nfs/dbraw/zinc/16/87/62/534168762.db2.gz BIYOQDCKTCHJQX-UHFFFAOYSA-N 0 1 308.385 3.294 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)C3(C#N)CCCCC3)n2)cc1 ZINC000111073228 534224447 /nfs/dbraw/zinc/22/44/47/534224447.db2.gz VZWKREPNXOXKRK-UHFFFAOYSA-N 0 1 309.373 3.193 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N(C)CC(C)(C)C#N)c1ccccc1 ZINC000353286047 517644140 /nfs/dbraw/zinc/64/41/40/517644140.db2.gz CZTOMQUFPXPECA-MRXNPFEDSA-N 0 1 301.434 3.078 20 30 DGEDMN Cc1cn2cc(C(=O)C(C#N)c3nc4ccccc4[nH]3)sc2n1 ZINC000356163308 534513852 /nfs/dbraw/zinc/51/38/52/534513852.db2.gz HNZKMGFKADZTIW-JTQLQIEISA-N 0 1 321.365 3.071 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1cscc1Br ZINC000449547116 534575584 /nfs/dbraw/zinc/57/55/84/534575584.db2.gz GMYZWFUMQKAFAA-NEPJUHHUSA-N 0 1 302.237 3.334 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCc2ccccc2C1 ZINC000157306222 522044157 /nfs/dbraw/zinc/04/41/57/522044157.db2.gz HUCXCUFWZIVFDX-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000451608773 534609417 /nfs/dbraw/zinc/60/94/17/534609417.db2.gz UDTRBBNLXDQLFI-ZBFHGGJFSA-N 0 1 304.777 3.428 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)NCCCSc1ccccc1 ZINC000489490488 534693606 /nfs/dbraw/zinc/69/36/06/534693606.db2.gz WVYPYPSXLFVPEI-INIZCTEOSA-N 0 1 318.486 3.326 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N[C@H](C)Cc1ccccc1C ZINC000489407518 534695570 /nfs/dbraw/zinc/69/55/70/534695570.db2.gz QCJHTMCZZCUZTM-AEFFLSMTSA-N 0 1 300.446 3.083 20 30 DGEDMN N#C[C@H](C(=O)c1ccn(-c2ccc(F)cc2F)n1)c1ccccn1 ZINC000184282846 534741664 /nfs/dbraw/zinc/74/16/64/534741664.db2.gz VYZXEVSIRUWAOA-LBPRGKRZSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccc(F)cc2F)n1)c1ccccn1 ZINC000184282846 534741670 /nfs/dbraw/zinc/74/16/70/534741670.db2.gz VYZXEVSIRUWAOA-LBPRGKRZSA-N 0 1 324.290 3.036 20 30 DGEDMN C=CCOCCN(CCOC)Cc1ccc(F)c(Cl)c1 ZINC000451970056 534877636 /nfs/dbraw/zinc/87/76/36/534877636.db2.gz BHVMUUTZIAYFQL-UHFFFAOYSA-N 0 1 301.789 3.130 20 30 DGEDMN C=C(C)CN(C)CC(=O)N1CC=C(c2c[nH]c3ccccc32)CC1 ZINC000181330741 526502673 /nfs/dbraw/zinc/50/26/73/526502673.db2.gz KCPVILKHPGUVEN-UHFFFAOYSA-N 0 1 323.440 3.292 20 30 DGEDMN C=CCN1CC[C@H](N(Cc2ccccc2)Cc2ccccc2)C1=O ZINC000337142194 526505607 /nfs/dbraw/zinc/50/56/07/526505607.db2.gz WRKKZUPRBONQJP-FQEVSTJZSA-N 0 1 320.436 3.476 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)CC1(c2ccccc2)CC1 ZINC000444687606 526519204 /nfs/dbraw/zinc/51/92/04/526519204.db2.gz VRDSPHYLLRRPAM-UHFFFAOYSA-N 0 1 300.446 3.075 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](C)c1ccc(SC)cc1 ZINC000337232462 526526750 /nfs/dbraw/zinc/52/67/50/526526750.db2.gz XZNPBNHHBWYQRX-CQSZACIVSA-N 0 1 306.475 3.484 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1ccc(CN2CCSCC2)cc1 ZINC000338038876 526544911 /nfs/dbraw/zinc/54/49/11/526544911.db2.gz JVTLGYXZGSPSMU-UHFFFAOYSA-N 0 1 318.486 3.274 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc(COC)cc1 ZINC000339192790 526560048 /nfs/dbraw/zinc/56/00/48/526560048.db2.gz JJNPIQUWQVPDPI-UHFFFAOYSA-N 0 1 315.413 3.497 20 30 DGEDMN C=C(C)COCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000346620603 526656674 /nfs/dbraw/zinc/65/66/74/526656674.db2.gz IRTUTRXBOIVBSF-SFHVURJKSA-N 0 1 300.377 3.492 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)NCCN2CC=CCC2)c1 ZINC000432067234 526668712 /nfs/dbraw/zinc/66/87/12/526668712.db2.gz OELJCFGZLXFKIV-UHFFFAOYSA-N 0 1 315.417 3.025 20 30 DGEDMN C=CCNc1ncc(C(=O)Nc2cccc(Cl)c2O)s1 ZINC000178545434 526682892 /nfs/dbraw/zinc/68/28/92/526682892.db2.gz RBLFPUFWMRVQMB-UHFFFAOYSA-N 0 1 309.778 3.352 20 30 DGEDMN C#CCCCC(=O)Nc1cccc(CN2CCSCC2)c1C ZINC000192241931 526684630 /nfs/dbraw/zinc/68/46/30/526684630.db2.gz CHZCHLPGKJIAKM-UHFFFAOYSA-N 0 1 316.470 3.286 20 30 DGEDMN C=CCOCC(=O)Nc1cccc2c1CN(CCC(C)C)C2 ZINC000354886162 526725582 /nfs/dbraw/zinc/72/55/82/526725582.db2.gz ONHVWSKZNAXTRL-UHFFFAOYSA-N 0 1 302.418 3.189 20 30 DGEDMN C=CCOCCN1CCN(c2ccc(C(F)(F)F)cc2)CC1 ZINC000339051036 526773325 /nfs/dbraw/zinc/77/33/25/526773325.db2.gz RHBFQEPSPHDLFB-UHFFFAOYSA-N 0 1 314.351 3.030 20 30 DGEDMN CC(C)(C)c1ccc([C@@H](C#N)NC(=O)CCc2cnc[nH]2)cc1 ZINC000355817664 526790533 /nfs/dbraw/zinc/79/05/33/526790533.db2.gz YRHXKSYWJGJMKL-MRXNPFEDSA-N 0 1 310.401 3.021 20 30 DGEDMN C=CCOc1ccc(CN(C)[C@H](C)C[S@@](C)=O)cc1Cl ZINC000130890810 526862950 /nfs/dbraw/zinc/86/29/50/526862950.db2.gz DGGWAPSGRFCXSU-ODXCJYRJSA-N 0 1 315.866 3.104 20 30 DGEDMN C=C(CNC(=O)[C@@H]1CCCN1Cc1ccccc1)c1ccccc1 ZINC000360227150 526877674 /nfs/dbraw/zinc/87/76/74/526877674.db2.gz RWOHZFIKYJYYPO-FQEVSTJZSA-N 0 1 320.436 3.481 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)c1 ZINC000356420271 526918896 /nfs/dbraw/zinc/91/88/96/526918896.db2.gz YRCLDUDJSXMDCP-UHFFFAOYSA-N 0 1 314.297 3.118 20 30 DGEDMN C=CCOc1ccccc1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000443684024 526930358 /nfs/dbraw/zinc/93/03/58/526930358.db2.gz RTFICRRSZKCQTQ-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000432081064 527007126 /nfs/dbraw/zinc/00/71/26/527007126.db2.gz QHRXAVZNBMPXTI-HNNXBMFYSA-N 0 1 319.449 3.318 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000179354045 527022958 /nfs/dbraw/zinc/02/29/58/527022958.db2.gz ZNJUDBLKUAIHJS-OAHLLOKOSA-N 0 1 314.433 3.157 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000346009804 527044637 /nfs/dbraw/zinc/04/46/37/527044637.db2.gz BLMUKEJOXBLUKI-CVEARBPZSA-N 0 1 319.449 3.461 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2cccc3c2OCO3)cc1 ZINC000130854410 527116421 /nfs/dbraw/zinc/11/64/21/527116421.db2.gz RGOGQGKBYOGAOP-AWEZNQCLSA-N 0 1 309.365 3.278 20 30 DGEDMN C=CCCCCNC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000351693176 527158466 /nfs/dbraw/zinc/15/84/66/527158466.db2.gz OJYFXCGGIRJWGY-MRXNPFEDSA-N 0 1 307.413 3.084 20 30 DGEDMN CC(=O)c1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)ccc1C ZINC000434624803 527207371 /nfs/dbraw/zinc/20/73/71/527207371.db2.gz HRSFIZHIRDMYBY-MRXNPFEDSA-N 0 1 307.353 3.095 20 30 DGEDMN CC(=O)c1cc(C(=O)[C@H](C#N)c2cc(C)nc(C)n2)ccc1C ZINC000434624803 527207378 /nfs/dbraw/zinc/20/73/78/527207378.db2.gz HRSFIZHIRDMYBY-MRXNPFEDSA-N 0 1 307.353 3.095 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1c(C)n[nH]c1C(F)F ZINC000353542208 527336406 /nfs/dbraw/zinc/33/64/06/527336406.db2.gz BDIRELPZRGAABE-UHFFFAOYSA-N 0 1 301.337 3.357 20 30 DGEDMN C=CCC[C@@H](O)CNC(C)(C)c1nc(C(F)(F)F)cs1 ZINC000348511828 527457357 /nfs/dbraw/zinc/45/73/57/527457357.db2.gz GQGIIOLXDZKAFT-SECBINFHSA-N 0 1 308.369 3.314 20 30 DGEDMN CC(C)(C#N)CCN[C@@H](CO)c1ccccc1OC(F)(F)F ZINC000347113103 527468033 /nfs/dbraw/zinc/46/80/33/527468033.db2.gz SBTINWHQDNQKJO-LBPRGKRZSA-N 0 1 316.323 3.148 20 30 DGEDMN CC(C)(C#N)N1CCC[C@H](c2nc(C3CCCCC3)n[nH]2)C1 ZINC000331659975 527488445 /nfs/dbraw/zinc/48/84/45/527488445.db2.gz MBQPRCUCZYFESY-AWEZNQCLSA-N 0 1 301.438 3.334 20 30 DGEDMN CC(C)(C#N)N1CCC[C@H](c2n[nH]c(C3CCCCC3)n2)C1 ZINC000331659975 527488450 /nfs/dbraw/zinc/48/84/50/527488450.db2.gz MBQPRCUCZYFESY-AWEZNQCLSA-N 0 1 301.438 3.334 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2nc(-c3ccco3)n[nH]2)cc1 ZINC000355613768 527492994 /nfs/dbraw/zinc/49/29/94/527492994.db2.gz YIPNADNSRUZFQN-UHFFFAOYSA-N 0 1 321.340 3.118 20 30 DGEDMN CC(=Cc1ccc(OCC2CC2)cc1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435337012 527526240 /nfs/dbraw/zinc/52/62/40/527526240.db2.gz SLLYWNMMHYETRM-FMIVXFBMSA-N 0 1 321.380 3.299 20 30 DGEDMN CC(=Cc1cnc(C(C)C)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435337936 527535181 /nfs/dbraw/zinc/53/51/81/527535181.db2.gz ZZDJAJCKPXATGT-WEVVVXLNSA-N 0 1 300.387 3.090 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)C[C@@H](CC#N)c2ccccc2)C[C@@H]1C ZINC000356610443 527967153 /nfs/dbraw/zinc/96/71/53/527967153.db2.gz AJGMYBCFYKVAHX-XYJFISCASA-N 0 1 313.445 3.015 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)[C@H](C)c1cc(C)ccc1C ZINC000346298328 528354511 /nfs/dbraw/zinc/35/45/11/528354511.db2.gz RZDWUMPCCDCKSN-MRXNPFEDSA-N 0 1 301.434 3.058 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000413232491 528381036 /nfs/dbraw/zinc/38/10/36/528381036.db2.gz CFTQKRYDBRJQQU-MJBXVCDLSA-N 0 1 315.417 3.157 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000413232480 528381293 /nfs/dbraw/zinc/38/12/93/528381293.db2.gz CFTQKRYDBRJQQU-HZSPNIEDSA-N 0 1 315.417 3.157 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(C)(CC(C)C)CC1 ZINC000343990019 528691290 /nfs/dbraw/zinc/69/12/90/528691290.db2.gz JSHNJXQVTHNBBI-MRXNPFEDSA-N 0 1 307.482 3.143 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C)c1cc(C)sc1C ZINC000182980549 528697549 /nfs/dbraw/zinc/69/75/49/528697549.db2.gz VGTSILUHSVXJOU-DGCLKSJQSA-N 0 1 307.463 3.024 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H](O)Cc1ccc(F)cc1 ZINC000339010334 528749637 /nfs/dbraw/zinc/74/96/37/528749637.db2.gz KWKSDOXWMJZVOF-SFHVURJKSA-N 0 1 306.425 3.381 20 30 DGEDMN CC(C)N(Cc1ccccc1C#N)C[C@H](O)COc1ccccc1 ZINC000444803282 528950394 /nfs/dbraw/zinc/95/03/94/528950394.db2.gz PICPRRMOJGIZFU-IBGZPJMESA-N 0 1 324.424 3.209 20 30 DGEDMN CCC[C@@H](NCC(=O)N(CC)CCC#N)c1ccc(F)cc1F ZINC000181659082 529003337 /nfs/dbraw/zinc/00/33/37/529003337.db2.gz MLYLCLBBJKLGIT-MRXNPFEDSA-N 0 1 323.387 3.158 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)NCC(C)(C)CCC#N)n[nH]1 ZINC000358747247 529276311 /nfs/dbraw/zinc/27/63/11/529276311.db2.gz ZGIAAGGKEDFPRG-NWDGAFQWSA-N 0 1 320.441 3.008 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2Br)C[C@@H]1C ZINC000451561577 536750348 /nfs/dbraw/zinc/75/03/48/536750348.db2.gz IUGCEKRIFHDJFB-NHYWBVRUSA-N 0 1 323.234 3.178 20 30 DGEDMN COc1nc(C)cc(C)c1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000329166733 546483844 /nfs/dbraw/zinc/48/38/44/546483844.db2.gz IDQPTOZSCYLETK-GFCCVEGCSA-N 0 1 314.389 3.007 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C[C@@H]3CCCC[C@@H]3C)C2)c1 ZINC000329537790 546487104 /nfs/dbraw/zinc/48/71/04/546487104.db2.gz BRNYWTAIGUDTGY-HRCADAONSA-N 0 1 304.438 3.179 20 30 DGEDMN Cc1cnn([C@H]2CCN(C([O-])=[NH+]CC3(C)CCCCC3)C2)c1 ZINC000329617221 546488571 /nfs/dbraw/zinc/48/85/71/546488571.db2.gz QBVZYKHAXDRXHU-HNNXBMFYSA-N 0 1 304.438 3.323 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]CCC3CCCCC3)C2)c1 ZINC000329609626 546488879 /nfs/dbraw/zinc/48/88/79/546488879.db2.gz NKPCXJPHZXOPNX-MRXNPFEDSA-N 0 1 304.438 3.323 20 30 DGEDMN [O-]C(=[NH+][C@H]1CCc2ccccc2C1)N1CCC(c2cc[nH]n2)CC1 ZINC000329836409 546492432 /nfs/dbraw/zinc/49/24/32/546492432.db2.gz LSFGQFNOQKHBNP-KRWDZBQOSA-N 0 1 324.428 3.061 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc2cncn2c1)c1ccc2ccccc2n1 ZINC000671279021 547783107 /nfs/dbraw/zinc/78/31/07/547783107.db2.gz WLTDPPZUEOZJCU-MRXNPFEDSA-N 0 1 312.332 3.373 20 30 DGEDMN CC(=O)c1cccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c1 ZINC000678764359 548758703 /nfs/dbraw/zinc/75/87/03/548758703.db2.gz PYLMHTJRBLKDMW-CYBMUJFWSA-N 0 1 321.380 3.050 20 30 DGEDMN CCC#C[C@H](C)N[C@@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)cc1 ZINC000683147614 549439541 /nfs/dbraw/zinc/43/95/41/549439541.db2.gz YYJBZGKPDYPOII-XGWLTEMNSA-N 0 1 304.821 3.003 20 30 DGEDMN COC[C@H](C)NC(=S)Nc1ccccc1SC[C@@H](C)C#N ZINC000730954515 574539702 /nfs/dbraw/zinc/53/97/02/574539702.db2.gz AXTJLLDOOQMZPW-RYUDHWBXSA-N 0 1 323.487 3.260 20 30 DGEDMN C=CCOc1ccc(C=NNc2ccc(F)cn2)cc1OC ZINC000731317729 574546858 /nfs/dbraw/zinc/54/68/58/574546858.db2.gz DXZYFIGOCPDHGY-UHFFFAOYSA-N 0 1 301.321 3.240 20 30 DGEDMN COC[C@H](C)NC(=S)Nc1ccccc1S[C@@H](C)CC#N ZINC000731321768 574547186 /nfs/dbraw/zinc/54/71/86/574547186.db2.gz RTEWNIMBBDEMCB-RYUDHWBXSA-N 0 1 323.487 3.402 20 30 DGEDMN C[C@H]1C[C@H]1c1ccc(CN=Nc2ccccc2S(C)(=O)=O)o1 ZINC000731932639 574562576 /nfs/dbraw/zinc/56/25/76/574562576.db2.gz DMENZWJGFAVPPG-WCQYABFASA-N 0 1 318.398 3.253 20 30 DGEDMN Cc1cc(NN=Cc2cc(Cl)c3c(c2)OCCCO3)ncn1 ZINC000731935009 574562786 /nfs/dbraw/zinc/56/27/86/574562786.db2.gz NHIBDUYKYUCSIA-UHFFFAOYSA-N 0 1 318.764 3.046 20 30 DGEDMN CCOc1c(Cl)cc(C=NNc2cc(C)ncn2)cc1OC ZINC000731935894 574563030 /nfs/dbraw/zinc/56/30/30/574563030.db2.gz VEYAVIJDPLSSBO-UHFFFAOYSA-N 0 1 320.780 3.292 20 30 DGEDMN C=CCCOCC(=O)O[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000732520390 574575577 /nfs/dbraw/zinc/57/55/77/574575577.db2.gz DHCMKJFVTLUTOO-SNVBAGLBSA-N 0 1 308.765 3.413 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)nc1 ZINC000732540049 574575648 /nfs/dbraw/zinc/57/56/48/574575648.db2.gz ABHXZSUKQZRSLO-JTQLQIEISA-N 0 1 324.771 3.084 20 30 DGEDMN Cc1ccc(F)c(C=Nn2c(=O)c3ccccc3[nH]c2=S)c1 ZINC000734978552 574683963 /nfs/dbraw/zinc/68/39/63/574683963.db2.gz LZBJMVIMVYITGW-UHFFFAOYSA-N 0 1 313.357 3.015 20 30 DGEDMN Cc1noc(C)c1[C@H](C)C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735788622 574715023 /nfs/dbraw/zinc/71/50/23/574715023.db2.gz GGUXIMNAWFSUED-GWCFXTLKSA-N 0 1 322.368 3.456 20 30 DGEDMN COc1cccc(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000735788610 574715066 /nfs/dbraw/zinc/71/50/66/574715066.db2.gz GATCAPMLCIDDIO-HNNXBMFYSA-N 0 1 319.364 3.299 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=CC3CCOCC3)[nH]c21 ZINC000735790840 574715134 /nfs/dbraw/zinc/71/51/34/574715134.db2.gz QLDXPEQYLCYGBI-GEXIGZQTSA-N 0 1 309.369 3.030 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCc3nccs3)[nH]c21 ZINC000735789429 574715154 /nfs/dbraw/zinc/71/51/54/574715154.db2.gz LRFHMNDIAMUTQO-LLVKDONJSA-N 0 1 310.382 3.137 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)Cc2ccccc21 ZINC000736809688 574807044 /nfs/dbraw/zinc/80/70/44/574807044.db2.gz QYQRATLZBXMENI-ACJLOTCBSA-N 0 1 306.365 3.303 20 30 DGEDMN COc1ccc(C=NNc2cccc(C(F)(F)F)n2)c(O)c1 ZINC000739422344 574902880 /nfs/dbraw/zinc/90/28/80/574902880.db2.gz WZWHUNKOBPVNMN-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN Cn1cncc1CNCc1cccc(-c2ccc(C#N)s2)c1 ZINC000740010487 574918261 /nfs/dbraw/zinc/91/82/61/574918261.db2.gz CXOAZSJWRNMXSD-UHFFFAOYSA-N 0 1 308.410 3.310 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1nccc2ccccc21 ZINC000740760859 574941348 /nfs/dbraw/zinc/94/13/48/574941348.db2.gz CNIFQWRLTCDNCW-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(F)cc1C#N)N1[C@H](C)CC[C@@H]1C ZINC000741016960 574948680 /nfs/dbraw/zinc/94/86/80/574948680.db2.gz KJLQQBOKNZGDSB-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@@H](CN1CCN(c2ccccc2)CC1)/N=C/c1ccccc1O ZINC000741466533 574958644 /nfs/dbraw/zinc/95/86/44/574958644.db2.gz UVACITCVFPEJML-LBSLPOPVSA-N 0 1 323.440 3.022 20 30 DGEDMN COCc1ccc(C=NNc2ccc([N+](=O)[O-])cc2OC)cc1 ZINC000741761141 574969052 /nfs/dbraw/zinc/96/90/52/574969052.db2.gz GXAKXXWPUDYOCR-UHFFFAOYSA-N 0 1 315.329 3.196 20 30 DGEDMN Cc1nc(Cl)cc(N=NC2CCOc3c(F)cc(F)cc32)n1 ZINC000741766116 574969464 /nfs/dbraw/zinc/96/94/64/574969464.db2.gz OSNUCTZRQLZZKR-UHFFFAOYSA-N 0 1 324.718 3.315 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2scnc2C)c1 ZINC000742371057 574993001 /nfs/dbraw/zinc/99/30/01/574993001.db2.gz GUJLTRNAVYJNBM-AWEZNQCLSA-N 0 1 301.415 3.422 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@H]1Cc2ccccc21 ZINC000744010325 575068390 /nfs/dbraw/zinc/06/83/90/575068390.db2.gz GICWNLBPBTXKFL-CABCVRRESA-N 0 1 301.349 3.089 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@H]1Cc2ccccc21 ZINC000744010327 575068491 /nfs/dbraw/zinc/06/84/91/575068491.db2.gz GICWNLBPBTXKFL-GJZGRUSLSA-N 0 1 301.349 3.089 20 30 DGEDMN C#CCN(CC(=O)N[C@@H](C)c1cccs1)C1CCCCC1 ZINC000744212548 575078699 /nfs/dbraw/zinc/07/86/99/575078699.db2.gz IZOSAHLIEQTJAF-AWEZNQCLSA-N 0 1 304.459 3.193 20 30 DGEDMN CC[C@@H](CC(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)C(C)C ZINC000729761589 575262556 /nfs/dbraw/zinc/26/25/56/575262556.db2.gz LPPJNOHZNYURHA-STQMWFEESA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@@H](CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000729761589 575262558 /nfs/dbraw/zinc/26/25/58/575262558.db2.gz LPPJNOHZNYURHA-STQMWFEESA-N 0 1 322.430 3.243 20 30 DGEDMN Cc1nc(CN=Nc2oc(C(C)C)nc2C#N)c2ccccn12 ZINC000745612235 575405450 /nfs/dbraw/zinc/40/54/50/575405450.db2.gz UUAZCIRNSAONOI-UHFFFAOYSA-N 0 1 308.345 3.072 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc([N+](=O)[O-])cc2)cc1C#N ZINC000746577546 575463000 /nfs/dbraw/zinc/46/30/00/575463000.db2.gz WLQMHVTYQZDMFS-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)c1ccc(F)cc1C ZINC000746662758 575467716 /nfs/dbraw/zinc/46/77/16/575467716.db2.gz IOUUXQYEVNSTPZ-LJQANCHMSA-N 0 1 315.363 3.442 20 30 DGEDMN COc1ccc(C[NH2+]Cc2ccc([O-])c(Cl)c2)cc1C#N ZINC000746743030 575472893 /nfs/dbraw/zinc/47/28/93/575472893.db2.gz VDAMFFLJVWFTOG-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2C3CCC2CC3)cc1C ZINC000747524801 575523113 /nfs/dbraw/zinc/52/31/13/575523113.db2.gz RIMVJOCTELFXLV-UHFFFAOYSA-N 0 1 311.429 3.177 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1ccc(C)c(C)c1)C1CCC1 ZINC000747530146 575523944 /nfs/dbraw/zinc/52/39/44/575523944.db2.gz KEAUDPFMICBKBU-UHFFFAOYSA-N 0 1 313.445 3.425 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cccc(-c2ccccn2)c1 ZINC000747752850 575538447 /nfs/dbraw/zinc/53/84/47/575538447.db2.gz FUOWCRIAVPZWJH-UHFFFAOYSA-N 0 1 308.429 3.158 20 30 DGEDMN CN1CCCc2cc(C=[NH+]Nc3ccc(C(=O)[O-])cc3)ccc21 ZINC000748037021 575557670 /nfs/dbraw/zinc/55/76/70/575557670.db2.gz WDCZXQRVZFGHLY-UHFFFAOYSA-N 0 1 309.369 3.213 20 30 DGEDMN COc1ccc(Cl)cc1CC(=O)Nc1cc(C#N)ccc1O ZINC000748410766 575585032 /nfs/dbraw/zinc/58/50/32/575585032.db2.gz PAFKFZXGSAKPNY-UHFFFAOYSA-N 0 1 316.744 3.107 20 30 DGEDMN CC(C)c1ccccc1OCC(=O)Nc1cc(C#N)ccc1O ZINC000748411734 575585108 /nfs/dbraw/zinc/58/51/08/575585108.db2.gz XTOKNEPISCGSIA-UHFFFAOYSA-N 0 1 310.353 3.405 20 30 DGEDMN C[C@H](Oc1ccc2c(c1)CCC2)C(=O)Nc1cc(C#N)ccc1O ZINC000748411170 575585382 /nfs/dbraw/zinc/58/53/82/575585382.db2.gz YNTBEABVKLOXKJ-LBPRGKRZSA-N 0 1 322.364 3.159 20 30 DGEDMN CN(C)[C@H](CNc1nc2ccccc2cc1C#N)c1ccco1 ZINC000750011239 575696606 /nfs/dbraw/zinc/69/66/06/575696606.db2.gz NEIOCDZQHACPJW-MRXNPFEDSA-N 0 1 306.369 3.414 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2cccc(F)c2F)nc(C)n1 ZINC000750390622 575721853 /nfs/dbraw/zinc/72/18/53/575721853.db2.gz AMLYLAHDAWPHCH-ZDUSSCGKSA-N 0 1 315.323 3.181 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ccc(C#N)s2)cc1 ZINC000750684209 575745291 /nfs/dbraw/zinc/74/52/91/575745291.db2.gz NMDQPEUQEYASNP-AWEZNQCLSA-N 0 1 323.377 3.143 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1nccc2sccc21 ZINC000751130189 575771401 /nfs/dbraw/zinc/77/14/01/575771401.db2.gz FPEVYWWSSWGRNA-UHFFFAOYSA-N 0 1 314.326 3.356 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1sc(C(C)C)nc1C ZINC000751130956 575771517 /nfs/dbraw/zinc/77/15/17/575771517.db2.gz OIWYVNSMVLGAEF-UHFFFAOYSA-N 0 1 308.495 3.374 20 30 DGEDMN COCCOc1ccccc1C=NNc1nc2ccccc2[nH]1 ZINC000751517637 575787952 /nfs/dbraw/zinc/78/79/52/575787952.db2.gz YKXMPHLXFHPNFI-UHFFFAOYSA-N 0 1 310.357 3.034 20 30 DGEDMN COCCOc1ccccc1CN=Nc1nc2ccccc2[nH]1 ZINC000751517637 575787953 /nfs/dbraw/zinc/78/79/53/575787953.db2.gz YKXMPHLXFHPNFI-UHFFFAOYSA-N 0 1 310.357 3.034 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1ccc(Cl)nn1 ZINC000751517134 575788000 /nfs/dbraw/zinc/78/80/00/575788000.db2.gz UVUHRZXHWYVZEN-LBPRGKRZSA-N 0 1 315.808 3.050 20 30 DGEDMN COc1cc(C)c(CN=Nc2nc3ccccc3n2C)cc1OC ZINC000751526845 575788694 /nfs/dbraw/zinc/78/86/94/575788694.db2.gz OIWHHWIRRAKDOH-UHFFFAOYSA-N 0 1 324.384 3.345 20 30 DGEDMN C[C@@H]1CN(C)CC1N=Nc1nc(Cl)c(Cl)cc1Cl ZINC000752164014 575825321 /nfs/dbraw/zinc/82/53/21/575825321.db2.gz PCOFSSLPZUXHIW-ZCFIWIBFSA-N 0 1 307.612 3.391 20 30 DGEDMN CN(C)c1ccc(C=[NH+]Nc2ccc(C(=O)[O-])cc2)cc1F ZINC000727649911 576136265 /nfs/dbraw/zinc/13/62/65/576136265.db2.gz DNEFCNKCYODECB-UHFFFAOYSA-N 0 1 301.321 3.036 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1/C=C\C(=O)c1ccc(O)c(F)c1 ZINC000728438496 576181630 /nfs/dbraw/zinc/18/16/30/576181630.db2.gz GKPSLHJFBNRBQV-HYXAFXHYSA-N 0 1 315.131 3.468 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(Cl)nc1C(F)(F)F ZINC000852749304 620645546 /nfs/dbraw/zinc/64/55/46/620645546.db2.gz VNGHGTACSJKRML-UHFFFAOYSA-N 0 1 320.742 3.226 20 30 DGEDMN C=CCCCCCNS(=O)(=O)c1occc1Br ZINC000916807679 620645578 /nfs/dbraw/zinc/64/55/78/620645578.db2.gz ILLHYUUKNXMJRI-UHFFFAOYSA-N 0 1 322.224 3.067 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)o1 ZINC000916907394 620650077 /nfs/dbraw/zinc/65/00/77/620650077.db2.gz AFUZFVQZTYCBRL-JTQLQIEISA-N 0 1 302.717 3.203 20 30 DGEDMN C=CCN(CC(=O)NCc1ccccc1COC(C)C)C(C)C ZINC000917168449 620659016 /nfs/dbraw/zinc/65/90/16/620659016.db2.gz SECIFLOXXSGPMZ-UHFFFAOYSA-N 0 1 318.461 3.124 20 30 DGEDMN C=CCN(CC(=O)NCc1ccc(COC(C)C)cc1)C(C)C ZINC000917167801 620659265 /nfs/dbraw/zinc/65/92/65/620659265.db2.gz AXEHIOVLGWLVIH-UHFFFAOYSA-N 0 1 318.461 3.124 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1cccc2c1OCCCO2 ZINC000917533482 620674207 /nfs/dbraw/zinc/67/42/07/620674207.db2.gz GZNUNAPYSVUNQM-UHFFFAOYSA-N 0 1 308.341 3.170 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)cc1Cl ZINC000263404350 604524480 /nfs/dbraw/zinc/52/44/80/604524480.db2.gz JDJARUSHBGPOQP-CYBMUJFWSA-N 0 1 309.793 3.201 20 30 DGEDMN C=CCCC(=O)O[C@H](CN(C)C)c1cc(F)c(F)c(F)c1 ZINC000853211529 620677317 /nfs/dbraw/zinc/67/73/17/620677317.db2.gz AYTAWMVLOCPREM-CYBMUJFWSA-N 0 1 301.308 3.216 20 30 DGEDMN CCC(N=Nc1cc(F)cc(OC)c1)c1cccc(OC)n1 ZINC000853318408 620686310 /nfs/dbraw/zinc/68/63/10/620686310.db2.gz CSHUYVJQBNOOIQ-UHFFFAOYSA-N 0 1 303.337 3.464 20 30 DGEDMN CCc1nn(C)c(CC)c1C=NNc1ccc([N+](=O)[O-])cc1F ZINC000853396814 620692706 /nfs/dbraw/zinc/69/27/06/620692706.db2.gz POMFYGJCQOKBTA-UHFFFAOYSA-N 0 1 319.340 3.038 20 30 DGEDMN Fc1ccc(C(C[C@H]2CCCO2)N=Nc2ccncc2F)cc1 ZINC000853403535 620692994 /nfs/dbraw/zinc/69/29/94/620692994.db2.gz ZNHUYXHUAPMYEO-CQSZACIVSA-N 0 1 317.339 3.167 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(C)C ZINC000918058422 620695629 /nfs/dbraw/zinc/69/56/29/620695629.db2.gz GMMDJYHRKVXUBZ-INIZCTEOSA-N 0 1 319.449 3.413 20 30 DGEDMN C=CCc1cc(CN2CC3(CCC3)OC[C@H]2C)c(O)c(OC)c1 ZINC000853542909 620708562 /nfs/dbraw/zinc/70/85/62/620708562.db2.gz WCWLNWVJQXGXOL-CQSZACIVSA-N 0 1 317.429 3.273 20 30 DGEDMN CCCC[C@H](CC)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000919223147 620740947 /nfs/dbraw/zinc/74/09/47/620740947.db2.gz PLWCEHHHZDEEFV-STQMWFEESA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC[C@H](CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000919223147 620740952 /nfs/dbraw/zinc/74/09/52/620740952.db2.gz PLWCEHHHZDEEFV-STQMWFEESA-N 0 1 322.430 3.387 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000804834360 617681244 /nfs/dbraw/zinc/68/12/44/617681244.db2.gz HKAJPMDNQMPAAV-UHFFFAOYSA-N 0 1 315.376 3.352 20 30 DGEDMN CCN(Cc1c2c(nn1C)CCC2)[C@@H](C)c1ccc(C#N)cc1 ZINC000891656820 617861497 /nfs/dbraw/zinc/86/14/97/617861497.db2.gz PJAAJLYACNTYCG-AWEZNQCLSA-N 0 1 308.429 3.364 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(O)c(F)c1 ZINC000891678374 617867098 /nfs/dbraw/zinc/86/70/98/617867098.db2.gz STAOOHNBHBVRPC-UHFFFAOYSA-N 0 1 314.360 3.052 20 30 DGEDMN N#Cc1cc(CN2CCC(OC[C@@H]3CCCCO3)CC2)cs1 ZINC000892185770 617980040 /nfs/dbraw/zinc/98/00/40/617980040.db2.gz QTRJFEPWOSWSNW-INIZCTEOSA-N 0 1 320.458 3.170 20 30 DGEDMN C[C@]1(c2ccccc2)C[C@H]1C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000892495690 618081757 /nfs/dbraw/zinc/08/17/57/618081757.db2.gz RMVMEBTVVKQBER-ABSDTBQOSA-N 0 1 324.424 3.122 20 30 DGEDMN CCCN1CCC([NH2+][C@H](CC)c2cccc(C#N)c2[O-])CC1 ZINC000924578047 618117445 /nfs/dbraw/zinc/11/74/45/618117445.db2.gz NXNCAFXAQAXUPC-QGZVFWFLSA-N 0 1 301.434 3.179 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1ccc(C(=O)OC)cc1 ZINC000920490018 620804207 /nfs/dbraw/zinc/80/42/07/620804207.db2.gz RQXUEGYJEPBLAI-UHFFFAOYSA-N 0 1 323.392 3.117 20 30 DGEDMN COc1ccc(CNCc2c(C)nn(C(C)C)c2C)cc1C#N ZINC000893195173 618471013 /nfs/dbraw/zinc/47/10/13/618471013.db2.gz VAOOIWWVILQJRY-UHFFFAOYSA-N 0 1 312.417 3.251 20 30 DGEDMN N#CC1(c2ccc(C[N@H+]3CCc4nc[nH]c4C34CCC4)cc2)CC1 ZINC000895068866 618526455 /nfs/dbraw/zinc/52/64/55/618526455.db2.gz MUFFYWQSNOWPSE-UHFFFAOYSA-N 0 1 318.424 3.402 20 30 DGEDMN N#CC1(c2ccc(CN3CCc4nc[nH]c4C34CCC4)cc2)CC1 ZINC000895068866 618526458 /nfs/dbraw/zinc/52/64/58/618526458.db2.gz MUFFYWQSNOWPSE-UHFFFAOYSA-N 0 1 318.424 3.402 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)OCCCCC1(C#N)CCC1 ZINC000909485695 618560305 /nfs/dbraw/zinc/56/03/05/618560305.db2.gz CREGYSDNDVAMLB-XJKSGUPXSA-N 0 1 315.417 3.483 20 30 DGEDMN CCN(CCC#N)Cc1cc(Br)cc(F)c1O ZINC000893925657 618677394 /nfs/dbraw/zinc/67/73/94/618677394.db2.gz WFOICIZQKVFABB-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN C=CC[C@H]1CCN(Cc2c(NC(=O)OC(C)(C)C)cnn2C)C1 ZINC000895556815 618798756 /nfs/dbraw/zinc/79/87/56/618798756.db2.gz CLEIZGGVIKSXLU-ZDUSSCGKSA-N 0 1 320.437 3.165 20 30 DGEDMN C#CCC1(O)CCN(Cc2cc(C)c(C)cc2OC(F)F)CC1 ZINC000895674697 618814742 /nfs/dbraw/zinc/81/47/42/618814742.db2.gz DJMNFNNMAGCXEO-UHFFFAOYSA-N 0 1 323.383 3.255 20 30 DGEDMN COC1(OC)CC2(CN(Cc3csc(C#N)c3)[C@@H]2C(C)C)C1 ZINC000895989753 618858653 /nfs/dbraw/zinc/85/86/53/618858653.db2.gz SEKLAKQCPXWARD-OAHLLOKOSA-N 0 1 320.458 3.229 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CC)CCC(F)(F)F)CC1 ZINC000897560091 619159798 /nfs/dbraw/zinc/15/97/98/619159798.db2.gz IEILKFVRTPUZEG-UHFFFAOYSA-N 0 1 306.372 3.123 20 30 DGEDMN N#Cc1ccc([C@@H]2CN(CC3CCSCC3)CCO2)cc1 ZINC000897596252 619164615 /nfs/dbraw/zinc/16/46/15/619164615.db2.gz OMDOXECYGXFBFS-KRWDZBQOSA-N 0 1 302.443 3.075 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CN2CCC3(CC2)CC(=O)C=CO3)o1 ZINC000897602894 619165679 /nfs/dbraw/zinc/16/56/79/619165679.db2.gz ZDEUVVFCYLMESI-CJNGLKHVSA-N 0 1 301.386 3.241 20 30 DGEDMN C[C@H](CCc1ccc(F)cc1F)NCc1nc(C#N)cs1 ZINC000897630079 619168987 /nfs/dbraw/zinc/16/89/87/619168987.db2.gz QJBWJXHSDGPXLM-SNVBAGLBSA-N 0 1 307.369 3.404 20 30 DGEDMN C#CCOc1ccccc1-c1noc([C@@H]2CCCN2C(C)C)n1 ZINC000923883572 619246963 /nfs/dbraw/zinc/24/69/63/619246963.db2.gz YMGCCKKLKYYIFB-HNNXBMFYSA-N 0 1 311.385 3.294 20 30 DGEDMN CC[C@H](C#N)C(=O)N(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000898687558 619290810 /nfs/dbraw/zinc/29/08/10/619290810.db2.gz OWOHIRGMTFGART-SECBINFHSA-N 0 1 324.306 3.090 20 30 DGEDMN C#CC[C@@H]1C(=O)N(c2ccc(Br)c(C)c2)N=C1C ZINC000899350423 619329225 /nfs/dbraw/zinc/32/92/25/619329225.db2.gz YPMYZBXILJMQBN-LBPRGKRZSA-N 0 1 305.175 3.120 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2ccc(Br)c(C)c2)c1=O ZINC000899350423 619329227 /nfs/dbraw/zinc/32/92/27/619329227.db2.gz YPMYZBXILJMQBN-LBPRGKRZSA-N 0 1 305.175 3.120 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)cc1 ZINC000900418630 619427997 /nfs/dbraw/zinc/42/79/97/619427997.db2.gz QHWZVHIYMGKYEM-YOEHRIQHSA-N 0 1 322.412 3.331 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC000901040607 619468654 /nfs/dbraw/zinc/46/86/54/619468654.db2.gz SAZYGQKQXWBCSE-FZMZJTMJSA-N 0 1 323.400 3.018 20 30 DGEDMN Cc1nc(Br)c(C=NNC2CCC(C)(C)CC2)[nH]1 ZINC000901269792 619482864 /nfs/dbraw/zinc/48/28/64/619482864.db2.gz NLTUOURUHPRSRI-UHFFFAOYSA-N 0 1 313.243 3.373 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+]2CC[C@@](C(=O)[O-])(C(C)C)C2)cc1 ZINC000901469820 619506257 /nfs/dbraw/zinc/50/62/57/619506257.db2.gz WMLHDIBBZPCIJO-IBGZPJMESA-N 0 1 315.413 3.021 20 30 DGEDMN C=CCOc1ccc(C[N@H+](CCC(=O)[O-])C2CC2)cc1Cl ZINC000901972130 619569896 /nfs/dbraw/zinc/56/98/96/619569896.db2.gz NOPHJXFFPNHFEK-UHFFFAOYSA-N 0 1 309.793 3.344 20 30 DGEDMN C=CC[N@H+](CCC(C)(C)C(=O)[O-])Cc1cccc([N+](=O)[O-])c1 ZINC000902197096 619601567 /nfs/dbraw/zinc/60/15/67/619601567.db2.gz ZKHQHQJAVJFVKU-UHFFFAOYSA-N 0 1 306.362 3.084 20 30 DGEDMN C=CCCCN(CC)Cn1cc([N+](=O)[O-])c(-c2ccccn2)n1 ZINC000902668550 619662807 /nfs/dbraw/zinc/66/28/07/619662807.db2.gz BYCWNEIIDMDUFV-UHFFFAOYSA-N 0 1 315.377 3.099 20 30 DGEDMN C[C@H](NC[C@@H](c1ccco1)N1CCCCC1)c1cc(C#N)ccn1 ZINC000902723352 619665975 /nfs/dbraw/zinc/66/59/75/619665975.db2.gz NJPJKFBSJKEGAF-YJBOKZPZSA-N 0 1 324.428 3.424 20 30 DGEDMN C(=NNCCc1ccccn1)c1ccc(N2CCCCC2)s1 ZINC000789105104 625363462 /nfs/dbraw/zinc/36/34/62/625363462.db2.gz LTBBAPLOIXRNBF-UHFFFAOYSA-N 0 1 314.458 3.300 20 30 DGEDMN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NCc1ccc(C#N)cn1 ZINC000902965072 619698130 /nfs/dbraw/zinc/69/81/30/619698130.db2.gz QCUAPCLOCCCQOE-DJJJIMSYSA-N 0 1 307.397 3.375 20 30 DGEDMN C[C@H](NCC1(C#N)CCCC1)C1(NC(=O)OC(C)(C)C)CC1 ZINC000903218355 619740615 /nfs/dbraw/zinc/74/06/15/619740615.db2.gz BKFVSIUVTCSVQG-ZDUSSCGKSA-N 0 1 307.438 3.106 20 30 DGEDMN C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@H](C(=O)[O-])[C@@H](C)CC ZINC000903595991 619787273 /nfs/dbraw/zinc/78/72/73/619787273.db2.gz OZCKZABKWFYFHQ-WFASDCNBSA-N 0 1 306.362 3.082 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[NH2+][C@H](C)c1ncc(C(=O)[O-])s1 ZINC000904021128 619856853 /nfs/dbraw/zinc/85/68/53/619856853.db2.gz OSBTYZQSNTWKSC-LLVKDONJSA-N 0 1 315.398 3.181 20 30 DGEDMN CCN(C)c1ccc(/C=C\C(=O)c2cccc(CN(C)C)c2)cn1 ZINC000905078179 619967914 /nfs/dbraw/zinc/96/79/14/619967914.db2.gz MYKFVKKZWVYSNG-LUAWRHEFSA-N 0 1 323.440 3.495 20 30 DGEDMN CC[C@](O)(CNCc1ccc(C#N)c(Cl)c1)C(F)(F)F ZINC000905255978 619982587 /nfs/dbraw/zinc/98/25/87/619982587.db2.gz WNRGCOSMBLWRDW-LBPRGKRZSA-N 0 1 306.715 3.005 20 30 DGEDMN C=C(C)CC[N@H+](C)[C@@H](C(=O)[O-])c1cccc(Br)c1 ZINC000905369597 619992253 /nfs/dbraw/zinc/99/22/53/619992253.db2.gz CJLNXDURHDGHRS-CYBMUJFWSA-N 0 1 312.207 3.473 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC3(CCC3)[C@H]2c2ccncc2)CCC1 ZINC000905967088 620045228 /nfs/dbraw/zinc/04/52/28/620045228.db2.gz SEXTVUKXWIELSB-IAGOWNOFSA-N 0 1 311.429 3.053 20 30 DGEDMN N#C[C@]1(C(=O)Nc2cccc(-c3nnc[nH]3)c2)CC2CCC1CC2 ZINC000906684557 620113183 /nfs/dbraw/zinc/11/31/83/620113183.db2.gz CIEFVHPAVZJMBZ-OOKWDQIISA-N 0 1 321.384 3.130 20 30 DGEDMN COc1cc([C@H](C)NCCSCc2ccccc2C#N)on1 ZINC000924810313 620128871 /nfs/dbraw/zinc/12/88/71/620128871.db2.gz IZMGGPABCFQKCY-LBPRGKRZSA-N 0 1 317.414 3.139 20 30 DGEDMN COc1cc([C@@H](C)NCCSCc2ccccc2C#N)on1 ZINC000924810310 620128923 /nfs/dbraw/zinc/12/89/23/620128923.db2.gz IZMGGPABCFQKCY-GFCCVEGCSA-N 0 1 317.414 3.139 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3c3ccnc(C#N)c3)[nH]c2c1 ZINC000911982096 620357504 /nfs/dbraw/zinc/35/75/04/620357504.db2.gz IIQRVXWZGAJGFF-KRWDZBQOSA-N 0 1 303.369 3.480 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000913508457 620445941 /nfs/dbraw/zinc/44/59/41/620445941.db2.gz BBBLLELOYARTHD-SFHVURJKSA-N 0 1 309.413 3.486 20 30 DGEDMN CC#CCCCC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000913510635 620446731 /nfs/dbraw/zinc/44/67/31/620446731.db2.gz GEKUGSMGGGJHEH-IBGZPJMESA-N 0 1 307.397 3.077 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@H]2CC[C@@H](C)C2)cc1 ZINC000151627272 620466776 /nfs/dbraw/zinc/46/67/76/620466776.db2.gz ONAQLPJCDKZCRN-DVOMOZLQSA-N 0 1 314.385 3.169 20 30 DGEDMN Cn1c(N=NCc2cccc(F)c2F)nnc1-c1ccccc1 ZINC000730728408 620541266 /nfs/dbraw/zinc/54/12/66/620541266.db2.gz USSTWJVZYJVYMC-UHFFFAOYSA-N 0 1 313.311 3.206 20 30 DGEDMN CCN(CC)c1ccc(C=[NH+][N-]c2cncc(Cl)n2)cc1 ZINC000914560330 620541862 /nfs/dbraw/zinc/54/18/62/620541862.db2.gz YREBSBRVZIRSAH-UHFFFAOYSA-N 0 1 303.797 3.422 20 30 DGEDMN Cc1nc(CN=Nc2c(F)c(F)nc(F)c2F)c2ccccn12 ZINC000914599337 620544491 /nfs/dbraw/zinc/54/44/91/620544491.db2.gz FWYGVAQVAYDOAR-UHFFFAOYSA-N 0 1 323.253 3.040 20 30 DGEDMN CC(=NOC[C@@H]1CCOC1)c1ccc(N2CCCCC2)cc1 ZINC000788029695 620546481 /nfs/dbraw/zinc/54/64/81/620546481.db2.gz HUKYBIQPLWKJOO-MRXNPFEDSA-N 0 1 302.418 3.454 20 30 DGEDMN C=CCOc1ccc(CNCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000914795898 620554880 /nfs/dbraw/zinc/55/48/80/620554880.db2.gz JPQHWDGRBBAYSQ-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN CCc1ccccc1NN=Cc1cc(OC)c(O)c([N+](=O)[O-])c1 ZINC000915051597 620565873 /nfs/dbraw/zinc/56/58/73/620565873.db2.gz IZYUDTULYGLHHV-UHFFFAOYSA-N 0 1 315.329 3.317 20 30 DGEDMN C[C@H]1CN(c2ccccc2C=NOCc2ccccc2)CCO1 ZINC000915960007 620608283 /nfs/dbraw/zinc/60/82/83/620608283.db2.gz NVIZZBXZNVELQG-INIZCTEOSA-N 0 1 310.397 3.462 20 30 DGEDMN O=[N+]([O-])c1cc(Cl)ccc1NN=Cc1cccc(O)c1O ZINC000915975533 620610396 /nfs/dbraw/zinc/61/03/96/620610396.db2.gz JDLUEGTYGNPCIQ-UHFFFAOYSA-N 0 1 307.693 3.105 20 30 DGEDMN Fc1ccccc1-c1ccc(C=NNCCN2CCCCC2)o1 ZINC000915975012 620610439 /nfs/dbraw/zinc/61/04/39/620610439.db2.gz NHCNEJAKIIBDKF-UHFFFAOYSA-N 0 1 315.392 3.495 20 30 DGEDMN CCN(CC)c1ccc(CN=Nc2ccc(Cl)nn2)c(O)c1 ZINC000916287507 620624436 /nfs/dbraw/zinc/62/44/36/620624436.db2.gz BADDOYJYUTUQHT-UHFFFAOYSA-N 0 1 319.796 3.128 20 30 DGEDMN N#Cc1cccc(C2(NCC=C(Cl)Cl)CCOCC2)c1 ZINC000852563628 620629487 /nfs/dbraw/zinc/62/94/87/620629487.db2.gz TTYXIWVXSHJFRG-UHFFFAOYSA-N 0 1 311.212 3.473 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2cc(Cl)nc(N(C)C)c2)n1 ZINC000113650679 620934313 /nfs/dbraw/zinc/93/43/13/620934313.db2.gz HTSKOYREWHKROZ-SNVBAGLBSA-N 0 1 320.805 3.056 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc(Cl)nc(N(C)C)c2)n1 ZINC000113650679 620934316 /nfs/dbraw/zinc/93/43/16/620934316.db2.gz HTSKOYREWHKROZ-SNVBAGLBSA-N 0 1 320.805 3.056 20 30 DGEDMN C=CC[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccncc1 ZINC000921746558 620943538 /nfs/dbraw/zinc/94/35/38/620943538.db2.gz FAKOPHMARQJNGQ-GOSISDBHSA-N 0 1 309.413 3.190 20 30 DGEDMN C#C[C@@H](NCc1cccc(Oc2ccccn2)c1)[C@H]1CCCO1 ZINC000922013210 620980558 /nfs/dbraw/zinc/98/05/58/620980558.db2.gz RRIYHADDVZPBKN-QZTJIDSGSA-N 0 1 308.381 3.144 20 30 DGEDMN N#Cc1cc(CN[C@H]2CCCN(C3CCCCC3)C2=O)cs1 ZINC000922036908 620982374 /nfs/dbraw/zinc/98/23/74/620982374.db2.gz VMKLOHOPVWZUDE-INIZCTEOSA-N 0 1 317.458 3.033 20 30 DGEDMN N#Cc1cc(CN[C@@H](CCO)c2ccc(Cl)cc2)cs1 ZINC000922128285 620992351 /nfs/dbraw/zinc/99/23/51/620992351.db2.gz ZDJZBPBCJPVEIA-HNNXBMFYSA-N 0 1 306.818 3.486 20 30 DGEDMN CC1(c2cccc(CNCc3csc(C#N)c3)c2)OCCO1 ZINC000922145068 620995542 /nfs/dbraw/zinc/99/55/42/620995542.db2.gz OSYCGSBOBBSWDG-UHFFFAOYSA-N 0 1 314.410 3.129 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000922943989 621134444 /nfs/dbraw/zinc/13/44/44/621134444.db2.gz HWGDAJHXCVJITJ-ZMZPIMSZSA-N 0 1 323.396 3.308 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000859445984 621278688 /nfs/dbraw/zinc/27/86/88/621278688.db2.gz XSLDQROJWZACIP-LLVKDONJSA-N 0 1 323.780 3.085 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CCC1 ZINC000838866230 621292924 /nfs/dbraw/zinc/29/29/24/621292924.db2.gz UCNTZDYXILVABI-XHDPSFHLSA-N 0 1 318.804 3.101 20 30 DGEDMN C=CCN1CC[C@H](C(F)(F)F)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000859602679 621298003 /nfs/dbraw/zinc/29/80/03/621298003.db2.gz CLBALVUWMRCQKA-NEPJUHHUSA-N 0 1 322.371 3.198 20 30 DGEDMN CCOC1CC(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000789376614 625480725 /nfs/dbraw/zinc/48/07/25/625480725.db2.gz DDIOLLLZPCOUDJ-RUXDESIVSA-N 0 1 311.385 3.253 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)c1cscn1 ZINC000103330142 621348941 /nfs/dbraw/zinc/34/89/41/621348941.db2.gz BDSHTCSCGYNKRG-CYBMUJFWSA-N 0 1 321.361 3.257 20 30 DGEDMN CCC(C#N)(CC)C(=O)OCCN(C)Cc1ccc(F)cc1 ZINC000860198491 621380918 /nfs/dbraw/zinc/38/09/18/621380918.db2.gz WLDFNWIZVCFRLY-UHFFFAOYSA-N 0 1 306.381 3.131 20 30 DGEDMN C#CCC1(C(=O)NCCN2CCc3ccccc3C2)CCCCC1 ZINC000788157824 621383526 /nfs/dbraw/zinc/38/35/26/621383526.db2.gz UDDLPYDCXFYROS-UHFFFAOYSA-N 0 1 324.468 3.135 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)c2cnn(C(C)C)c2Cl)nc(C)n1 ZINC000860465664 621407915 /nfs/dbraw/zinc/40/79/15/621407915.db2.gz MDNOXRPVWSNOHZ-NSHDSACASA-N 0 1 317.780 3.014 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnn(C(C)C)c2Cl)nc(C)n1 ZINC000860465664 621407917 /nfs/dbraw/zinc/40/79/17/621407917.db2.gz MDNOXRPVWSNOHZ-NSHDSACASA-N 0 1 317.780 3.014 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)C12CC(C1)C2 ZINC000860472707 621408496 /nfs/dbraw/zinc/40/84/96/621408496.db2.gz DFXRDMLLDRZUSF-XKZYMMFISA-N 0 1 323.179 3.441 20 30 DGEDMN N#C[C@@H](C(=O)CC(C1CCC1)C1CCC1)C(=O)NC1CCCC1 ZINC000860477740 621409465 /nfs/dbraw/zinc/40/94/65/621409465.db2.gz LJFCWGZUDQHSHT-KRWDZBQOSA-N 0 1 316.445 3.361 20 30 DGEDMN COC1(C(=O)[C@H](C#N)c2nc3ccccc3s2)CCCC1 ZINC000753889515 621470351 /nfs/dbraw/zinc/47/03/51/621470351.db2.gz FUDSUIZCJUQDCQ-NSHDSACASA-N 0 1 300.383 3.432 20 30 DGEDMN c1ccc2c(c1)-c1ccccc1C2=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000753938992 621472521 /nfs/dbraw/zinc/47/25/21/621472521.db2.gz NMKXYTIKVWCLPA-HDICACEKSA-N 0 1 316.408 3.279 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1ccc2c(c1)CCC(=O)N2C ZINC000754707206 621526356 /nfs/dbraw/zinc/52/63/56/621526356.db2.gz ZDVCEDMDAWBHGO-UHFFFAOYSA-N 0 1 308.385 3.135 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1cc(C)cc(OC)c1O ZINC000754720990 621528091 /nfs/dbraw/zinc/52/80/91/621528091.db2.gz YGHIKHDZIZYFBN-UHFFFAOYSA-N 0 1 313.372 3.484 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCOC(C)(C)[C@H]2C)c1O ZINC000754742093 621530229 /nfs/dbraw/zinc/53/02/29/621530229.db2.gz XIJWMDIHUCHICW-CYBMUJFWSA-N 0 1 305.418 3.129 20 30 DGEDMN Cc1c(F)nccc1CN1CCCN(c2ccccc2C#N)CC1 ZINC000862226510 621563711 /nfs/dbraw/zinc/56/37/11/621563711.db2.gz HOYPQUKNKMIWSN-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN Cc1cc(C)n2c(CN=Nc3ccc4ccccc4n3)cnc2n1 ZINC000755489628 621575757 /nfs/dbraw/zinc/57/57/57/621575757.db2.gz YCIOZRYXKYCICX-UHFFFAOYSA-N 0 1 316.368 3.340 20 30 DGEDMN Cc1cc(C)n2c(C=NN=c3ccc4ccccc4[nH]3)cnc2n1 ZINC000755489628 621575760 /nfs/dbraw/zinc/57/57/60/621575760.db2.gz YCIOZRYXKYCICX-UHFFFAOYSA-N 0 1 316.368 3.340 20 30 DGEDMN Fc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1Cl ZINC000755510458 621576858 /nfs/dbraw/zinc/57/68/58/621576858.db2.gz GVKJBOWNPGTZBS-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN Clc1nc(Cl)c(C=NNc2cncc(Cl)n2)s1 ZINC000755638869 621582185 /nfs/dbraw/zinc/58/21/85/621582185.db2.gz BCFZBZZPVAVXQU-UHFFFAOYSA-N 0 1 308.581 3.339 20 30 DGEDMN Cc1ccc(-c2nc(C=NNCCN3CCCCC3)co2)cc1 ZINC000755655100 621583896 /nfs/dbraw/zinc/58/38/96/621583896.db2.gz BQMRJSHYYBMXIS-UHFFFAOYSA-N 0 1 312.417 3.059 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3coc4ccccc34)CC2)cn1 ZINC000862421772 621587959 /nfs/dbraw/zinc/58/79/59/621587959.db2.gz GPBQHQBQOYYPQG-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN C#C[C@H](NCc1ccsc1Br)C1CCOCC1 ZINC000862420223 621588119 /nfs/dbraw/zinc/58/81/19/621588119.db2.gz XCUJCYLBHSMBFX-LBPRGKRZSA-N 0 1 314.248 3.029 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc(Br)c(OC)s2)C1 ZINC000862444855 621590903 /nfs/dbraw/zinc/59/09/03/621590903.db2.gz HUHANOVPEJWNHW-JTQLQIEISA-N 0 1 314.248 3.364 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3n2C)C1 ZINC000862445125 621591392 /nfs/dbraw/zinc/59/13/92/621591392.db2.gz MGAANPLAVSPFRS-LBPRGKRZSA-N 0 1 321.346 3.437 20 30 DGEDMN COC[C@H](C)C=Nn1cnnc1SCc1ccc(Cl)cc1 ZINC000755886847 621600276 /nfs/dbraw/zinc/60/02/76/621600276.db2.gz PVNRPGBYWPHTAV-LLVKDONJSA-N 0 1 324.837 3.340 20 30 DGEDMN CSc1cc(Br)ccc1CN=Nc1cccnn1 ZINC000755892275 621600653 /nfs/dbraw/zinc/60/06/53/621600653.db2.gz RSTSBHIHJIGZSK-UHFFFAOYSA-N 0 1 323.219 3.407 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2ccccc2)[C@@H](C(C)C)C1 ZINC000862690773 621609699 /nfs/dbraw/zinc/60/96/99/621609699.db2.gz LPMRKRGXUJSQDW-LJQANCHMSA-N 0 1 312.457 3.159 20 30 DGEDMN C=CC[N@@H+](Cc1cccs1)Cc1cc2c(cc1O)OCO2 ZINC000014259943 621615485 /nfs/dbraw/zinc/61/54/85/621615485.db2.gz GTUBQCKBWJMDIP-UHFFFAOYSA-N 0 1 303.383 3.371 20 30 DGEDMN C=CCN(Cc1cccs1)Cc1cc2c(cc1O)OCO2 ZINC000014259943 621615488 /nfs/dbraw/zinc/61/54/88/621615488.db2.gz GTUBQCKBWJMDIP-UHFFFAOYSA-N 0 1 303.383 3.371 20 30 DGEDMN Oc1cccc2sc(C=NNCCN3CCCCC3)cc21 ZINC000863081004 621638077 /nfs/dbraw/zinc/63/80/77/621638077.db2.gz UYIRTIMBAYEDLO-UHFFFAOYSA-N 0 1 303.431 3.016 20 30 DGEDMN COc1ccc(NN=C2CCCc3scnc32)c([N+](=O)[O-])c1 ZINC000863103110 621638334 /nfs/dbraw/zinc/63/83/34/621638334.db2.gz KVLAMDTYQMKTRU-UHFFFAOYSA-N 0 1 318.358 3.212 20 30 DGEDMN COCC(=NNc1ccncc1C)c1cccc(C(F)(F)F)c1 ZINC000863157051 621642930 /nfs/dbraw/zinc/64/29/30/621642930.db2.gz GKETXILUDOQYAL-UHFFFAOYSA-N 0 1 323.318 3.293 20 30 DGEDMN Cc1cnccc1NN=C(C[C@@H]1CCOC1)c1ccc(F)cc1 ZINC000863157536 621643021 /nfs/dbraw/zinc/64/30/21/621643021.db2.gz CELHTBZQNKTXLS-AWEZNQCLSA-N 0 1 313.376 3.194 20 30 DGEDMN Cc1cnccc1NN=C(C[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000863157623 621643052 /nfs/dbraw/zinc/64/30/52/621643052.db2.gz CYTOWYPQFKNTOB-INIZCTEOSA-N 0 1 313.376 3.336 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1c[nH]c2c1cccc2C ZINC000756546039 621646775 /nfs/dbraw/zinc/64/67/75/621646775.db2.gz YOXFSSRLONXLTK-QGZVFWFLSA-N 0 1 324.424 3.050 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000756553978 621647602 /nfs/dbraw/zinc/64/76/02/621647602.db2.gz PWNKQHCELWYVRA-NEPJUHHUSA-N 0 1 311.834 3.146 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC000756553980 621647743 /nfs/dbraw/zinc/64/77/43/621647743.db2.gz PWNKQHCELWYVRA-NWDGAFQWSA-N 0 1 311.834 3.146 20 30 DGEDMN CC(=NNc1cc(Cl)nc(C)n1)c1cccc([N+](=O)[O-])c1C ZINC000756829106 621667029 /nfs/dbraw/zinc/66/70/29/621667029.db2.gz LKEIFXWPPKAZOW-UHFFFAOYSA-N 0 1 319.752 3.491 20 30 DGEDMN CC(=NNc1ccncc1Cl)c1ccc(-n2cccn2)cc1 ZINC000756849554 621668130 /nfs/dbraw/zinc/66/81/30/621668130.db2.gz RPLDKKHVFROPQS-UHFFFAOYSA-N 0 1 311.776 3.179 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2ccc(O)cc2)c(OC)c1 ZINC000756902668 621671486 /nfs/dbraw/zinc/67/14/86/621671486.db2.gz VGVVIEAOXDQFCR-UHFFFAOYSA-N 0 1 314.341 3.024 20 30 DGEDMN CCc1ccc(C(C)N=Nc2ccc(C(=O)OC)cc2OC)o1 ZINC000756902028 621671613 /nfs/dbraw/zinc/67/16/13/621671613.db2.gz ALIHHVPEECRGBK-UHFFFAOYSA-N 0 1 316.357 3.473 20 30 DGEDMN C#CCN(CC(=O)N[C@H](C)c1ccc(Cl)cc1)C1CCCC1 ZINC000756912147 621672301 /nfs/dbraw/zinc/67/23/01/621672301.db2.gz YZVAFWVHBBMHRH-CQSZACIVSA-N 0 1 318.848 3.395 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000863472084 621676035 /nfs/dbraw/zinc/67/60/35/621676035.db2.gz SUDZRVPOAUYGHQ-YXMGTMDOSA-N 0 1 301.386 3.061 20 30 DGEDMN CC#Cc1cccc(-c2cnn(C[C@@H]3CN(C(C)C)CCO3)c2)c1 ZINC000863690586 621701354 /nfs/dbraw/zinc/70/13/54/621701354.db2.gz FEDSXAOJTWKBHC-FQEVSTJZSA-N 0 1 323.440 3.031 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000839691332 621708417 /nfs/dbraw/zinc/70/84/17/621708417.db2.gz LZSBZUQWMPFURB-IHRRRGAJSA-N 0 1 318.392 3.038 20 30 DGEDMN O=C(/C=C\c1cnc(-c2ccccc2)nc1)c1ccc(O)cc1O ZINC000757468758 621714583 /nfs/dbraw/zinc/71/45/83/621714583.db2.gz WPAYJEGRADCCQE-TWGQIWQCSA-N 0 1 318.332 3.451 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(Cl)nn2)CN1Cc1ccccc1 ZINC000757559937 621724302 /nfs/dbraw/zinc/72/43/02/621724302.db2.gz AWRXGGGEODLNND-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(C(=O)[O-])cc2)C[N@H+]1Cc1ccccc1 ZINC000757561207 621724663 /nfs/dbraw/zinc/72/46/63/621724663.db2.gz LXSMZYATJBDZRH-AWEZNQCLSA-N 0 1 323.396 3.447 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(C(=O)[O-])cc2)C[N@H+]1Cc1ccccc1 ZINC000757561208 621724887 /nfs/dbraw/zinc/72/48/87/621724887.db2.gz LXSMZYATJBDZRH-CQSZACIVSA-N 0 1 323.396 3.447 20 30 DGEDMN C[C@H]1CC(N=Nc2nccnc2Cl)CN1Cc1ccccc1 ZINC000757584160 621726456 /nfs/dbraw/zinc/72/64/56/621726456.db2.gz LPMDDBUOFPFYBP-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C=CCOc1ccc(CNC2COC(C)(C)OC2)cc1Cl ZINC000758011120 621752865 /nfs/dbraw/zinc/75/28/65/621752865.db2.gz YRZIOOVRDVZILX-UHFFFAOYSA-N 0 1 311.809 3.146 20 30 DGEDMN CCC(N=Nc1ccccc1Br)c1ccn(C)n1 ZINC000758103127 621757671 /nfs/dbraw/zinc/75/76/71/621757671.db2.gz DVBMQZOWNVUBDF-UHFFFAOYSA-N 0 1 307.195 3.409 20 30 DGEDMN Cc1nc(Cl)cc(NN=Cc2ccc([N+](=O)[O-])cc2C)n1 ZINC000758122543 621759582 /nfs/dbraw/zinc/75/95/82/621759582.db2.gz AKXKWUJDWWIJLT-UHFFFAOYSA-N 0 1 305.725 3.101 20 30 DGEDMN N#Cc1cccn(CN2CCC[C@H]2CCCc2ccccc2)c1=O ZINC000758241156 621770285 /nfs/dbraw/zinc/77/02/85/621770285.db2.gz RYHFEPGSQSOKHA-LJQANCHMSA-N 0 1 321.424 3.165 20 30 DGEDMN COc1ccc(CNCc2ccc(O[C@H](C)C#N)cc2)c(F)c1 ZINC000758486588 621784957 /nfs/dbraw/zinc/78/49/57/621784957.db2.gz GFKBPGQXGDVIJB-CYBMUJFWSA-N 0 1 314.360 3.415 20 30 DGEDMN CC(C)CN(CCC#N)C(=O)c1cc(C2CCCCC2)[nH]n1 ZINC000758777897 621800641 /nfs/dbraw/zinc/80/06/41/621800641.db2.gz RTOLEISBPUGCLY-UHFFFAOYSA-N 0 1 302.422 3.469 20 30 DGEDMN C=C(Cl)CNC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000759266215 621841546 /nfs/dbraw/zinc/84/15/46/621841546.db2.gz CTJXZEDBUJGJIG-INIZCTEOSA-N 0 1 321.852 3.045 20 30 DGEDMN CCOC(=O)C[C@@H](C)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000760213540 621921582 /nfs/dbraw/zinc/92/15/82/621921582.db2.gz QWSQFPODUAIAGX-QWFSEIHXSA-N 0 1 304.173 3.460 20 30 DGEDMN N#CC(C(=O)CCSc1ccc(Cl)cc1)c1ccncn1 ZINC000760342373 621933398 /nfs/dbraw/zinc/93/33/98/621933398.db2.gz XOIYSKWSQRAAFB-CYBMUJFWSA-N 0 1 317.801 3.489 20 30 DGEDMN CCN(c1ccc(C(O)=C(C#N)c2ccncn2)cc1)C(C)C ZINC000760345693 621933799 /nfs/dbraw/zinc/93/37/99/621933799.db2.gz MLVGPHGPGBUHAP-MRXNPFEDSA-N 0 1 308.385 3.201 20 30 DGEDMN N#CC(C(=O)CCCOc1ccccc1Cl)c1ccncn1 ZINC000760359878 621935299 /nfs/dbraw/zinc/93/52/99/621935299.db2.gz VDRDCLWZEMEJEZ-GFCCVEGCSA-N 0 1 315.760 3.165 20 30 DGEDMN C[C@H](Oc1ccc(Cl)cc1)C(=O)Nc1cc(C#N)ccc1O ZINC000760473412 621943403 /nfs/dbraw/zinc/94/34/03/621943403.db2.gz UJZVNMIDCSYHQA-JTQLQIEISA-N 0 1 316.744 3.323 20 30 DGEDMN C=CCCCCCCNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000805220192 621993300 /nfs/dbraw/zinc/99/33/00/621993300.db2.gz HCOODTYMICNPBX-UHFFFAOYSA-N 0 1 316.361 3.337 20 30 DGEDMN COc1cc(Cl)cc(CNCc2cc(C#N)ccc2F)c1O ZINC000761206586 621994181 /nfs/dbraw/zinc/99/41/81/621994181.db2.gz LHNVVMYVGXQVRP-UHFFFAOYSA-N 0 1 320.751 3.355 20 30 DGEDMN CC(=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1)c1cnc(C)s1 ZINC000761255553 621997193 /nfs/dbraw/zinc/99/71/93/621997193.db2.gz VCVPZYYHYHQXDH-UHFFFAOYSA-N 0 1 307.329 3.442 20 30 DGEDMN CC(=NNc1ccc(Br)cn1)c1cnc(C)s1 ZINC000761259487 621997579 /nfs/dbraw/zinc/99/75/79/621997579.db2.gz UDUUPHFLTYUZLY-UHFFFAOYSA-N 0 1 311.208 3.445 20 30 DGEDMN Cc1cc(C)cc([N-][NH+]=C2c3ccc(N(C)C)cc3NC2=O)c1 ZINC000761770556 622028422 /nfs/dbraw/zinc/02/84/22/622028422.db2.gz XTRWEZWLJZPDFP-UHFFFAOYSA-N 0 1 308.385 3.138 20 30 DGEDMN c1cn(-c2ccc(N=NCc3cc4ccccc4s3)nn2)cn1 ZINC000761858767 622034576 /nfs/dbraw/zinc/03/45/76/622034576.db2.gz ZCIVEPLYUDLKQD-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN Cn1cc(NN=C2CCCc3c(Br)cccc32)cn1 ZINC000761865000 622035130 /nfs/dbraw/zinc/03/51/30/622035130.db2.gz JUEAZJBMIIMBNC-UHFFFAOYSA-N 0 1 319.206 3.335 20 30 DGEDMN Cc1cc(Cl)cc2c1OCCCC2=NNc1cnn(C)c1 ZINC000761861008 622035225 /nfs/dbraw/zinc/03/52/25/622035225.db2.gz RWXCBKFQOCPTQV-UHFFFAOYSA-N 0 1 304.781 3.371 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCSC1)c1nc2ccccc2n1C(F)F ZINC000762068480 622050650 /nfs/dbraw/zinc/05/06/50/622050650.db2.gz KRVJKMRIBAWYEQ-NXEZZACHSA-N 0 1 321.352 3.361 20 30 DGEDMN CCCCN1CCC([NH2+][C@@H](C)c2cccc(C#N)c2[O-])CC1 ZINC000866248198 622075360 /nfs/dbraw/zinc/07/53/60/622075360.db2.gz ASISJLVUVLAZCW-AWEZNQCLSA-N 0 1 301.434 3.179 20 30 DGEDMN CCOC(=O)C(C)(C)C(=O)C(C#N)c1nc2ccccc2s1 ZINC000762635631 622088291 /nfs/dbraw/zinc/08/82/91/622088291.db2.gz KVDPAZHMOZVPJZ-SNVBAGLBSA-N 0 1 316.382 3.062 20 30 DGEDMN COc1cc(-c2ccccc2)sc1C(=O)N=C1C[C@H](C)ON1 ZINC000763260607 622133751 /nfs/dbraw/zinc/13/37/51/622133751.db2.gz OEIMMVBOCZTVPJ-JTQLQIEISA-N 0 1 316.382 3.276 20 30 DGEDMN CN(C)c1nccc(N=NC2C[C@@H]3CCCc4cccc2c43)n1 ZINC000763364149 622141076 /nfs/dbraw/zinc/14/10/76/622141076.db2.gz QDYUWEFORRGOIT-ZDUSSCGKSA-N 0 1 307.401 3.182 20 30 DGEDMN C[C@@H]1c2c(F)cccc2CCN1C[C@H](O)c1ccc(C#N)cc1 ZINC000763411662 622143772 /nfs/dbraw/zinc/14/37/72/622143772.db2.gz SYZAZQUJJXUPOB-ACJLOTCBSA-N 0 1 310.372 3.350 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)NC1CCCCC1)c1cccs1 ZINC000121670649 622170945 /nfs/dbraw/zinc/17/09/45/622170945.db2.gz XVQHKZCSIKQMQG-DGCLKSJQSA-N 0 1 304.415 3.009 20 30 DGEDMN N#CCCSc1ccccc1NC(=S)NCc1ccon1 ZINC000764479676 622197460 /nfs/dbraw/zinc/19/74/60/622197460.db2.gz XJHCUWHFISQVKR-UHFFFAOYSA-N 0 1 318.427 3.167 20 30 DGEDMN C#CCN(Cc1cn(C)nc1-c1cc2ccccc2o1)C1CC1 ZINC000764683131 622213293 /nfs/dbraw/zinc/21/32/93/622213293.db2.gz PQLPNZXMRNSIBX-UHFFFAOYSA-N 0 1 305.381 3.431 20 30 DGEDMN CC(=NNC1CCCC1)c1ccc(N2CCOCC2)cc1Cl ZINC000764690721 622213604 /nfs/dbraw/zinc/21/36/04/622213604.db2.gz SKSZLAMLZRILIF-UHFFFAOYSA-N 0 1 321.852 3.433 20 30 DGEDMN CCCN(CCN1CCCCC1)C(=O)c1sccc1CC#N ZINC000868286779 622231574 /nfs/dbraw/zinc/23/15/74/622231574.db2.gz UQQOGKYYACHAIS-UHFFFAOYSA-N 0 1 319.474 3.152 20 30 DGEDMN Cc1ccc(C(=O)c2ccccc2C(=O)NC2=NO[C@H](C)C2)cc1 ZINC000765376819 622261793 /nfs/dbraw/zinc/26/17/93/622261793.db2.gz QQTXWKTUBVLAHV-CYBMUJFWSA-N 0 1 322.364 3.078 20 30 DGEDMN C[C@H]1CC(NC(=O)c2cc3ccccc3cc2OC(F)F)=NO1 ZINC000765374474 622261823 /nfs/dbraw/zinc/26/18/23/622261823.db2.gz AKWYSMVTGACOHW-VIFPVBQESA-N 0 1 320.295 3.293 20 30 DGEDMN CCc1cnccc1C(C)=NNc1ccc(OC)cc1[N+](=O)[O-] ZINC000765584241 622274872 /nfs/dbraw/zinc/27/48/72/622274872.db2.gz OKZZBFSFPWTMHV-UHFFFAOYSA-N 0 1 314.345 3.397 20 30 DGEDMN Cc1cccc2nc(CNC(=O)C=Cc3ccccc3C#N)[nH]c21 ZINC000765813550 622289522 /nfs/dbraw/zinc/28/95/22/622289522.db2.gz ODLVAJFMFZYYSD-MDZDMXLPSA-N 0 1 316.364 3.073 20 30 DGEDMN C#CCN1CCC(C(=O)Oc2cc(C)c(Cl)c(C)c2)CC1 ZINC000122479572 622328927 /nfs/dbraw/zinc/32/89/27/622328927.db2.gz ROJMAKSXBFGAJH-UHFFFAOYSA-N 0 1 305.805 3.207 20 30 DGEDMN COC(=O)c1ccc(N=NCc2ccc(C#N)c(C)c2)c(OC)c1 ZINC000766452232 622331051 /nfs/dbraw/zinc/33/10/51/622331051.db2.gz DAXONOZOZOHIPK-UHFFFAOYSA-N 0 1 323.352 3.108 20 30 DGEDMN CCCCN(CCCC)Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766613969 622342286 /nfs/dbraw/zinc/34/22/86/622342286.db2.gz YPJRZNTUZCKITK-UHFFFAOYSA-N 0 1 301.434 3.068 20 30 DGEDMN C#CCC(C)(C)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000767091282 622375031 /nfs/dbraw/zinc/37/50/31/622375031.db2.gz SHCQWHPMEPEUCE-SNVBAGLBSA-N 0 1 303.793 3.443 20 30 DGEDMN CC(=NNc1ccncc1Cl)c1ccc(C(C)(C)O)cc1 ZINC000767386785 622395072 /nfs/dbraw/zinc/39/50/72/622395072.db2.gz WUJWHMJDFLODOE-UHFFFAOYSA-N 0 1 303.793 3.220 20 30 DGEDMN CCCCOc1ccccc1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000767386372 622395083 /nfs/dbraw/zinc/39/50/83/622395083.db2.gz CZKLJPJRNYEBAE-HOTGVXAUSA-N 0 1 314.433 3.059 20 30 DGEDMN COC(=O)c1ccc(C(C)=NN=c2[nH]c3ccccc3n2C)cc1 ZINC000767772385 622421458 /nfs/dbraw/zinc/42/14/58/622421458.db2.gz QTDBKPMKYIIJRO-UHFFFAOYSA-N 0 1 322.368 3.196 20 30 DGEDMN COc1cccc(C=NNc2ccc(OC)cc2[N+](=O)[O-])c1 ZINC000767801877 622422285 /nfs/dbraw/zinc/42/22/85/622422285.db2.gz WDOXHMMSFRYVEG-UHFFFAOYSA-N 0 1 301.302 3.058 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cc1 ZINC000870139090 622436787 /nfs/dbraw/zinc/43/67/87/622436787.db2.gz MUCRIBDTSXXUIS-YHAGNCMBSA-N 0 1 310.397 3.188 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC000870143080 622436916 /nfs/dbraw/zinc/43/69/16/622436916.db2.gz QKDXVHMXWXPMKX-FRRDWIJNSA-N 0 1 314.385 3.025 20 30 DGEDMN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc(N(C)CCC#N)cc1 ZINC000768174052 622443275 /nfs/dbraw/zinc/44/32/75/622443275.db2.gz XBIJTKCYQCYADY-DOTOQJQBSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@@H]1CCC[C@H](C=Nn2c(=O)c3ccccc3[nH]c2=S)C1 ZINC000768353892 622451984 /nfs/dbraw/zinc/45/19/84/622451984.db2.gz BMFFXSOKJRIBRE-NEPJUHHUSA-N 0 1 301.415 3.345 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=Cc2csc(C3CC3)n2)c1 ZINC000768381676 622453599 /nfs/dbraw/zinc/45/35/99/622453599.db2.gz LAUAXEUSYHPERQ-UHFFFAOYSA-N 0 1 303.778 3.387 20 30 DGEDMN C[C@@H](OC(=O)c1ccc(-c2nnc[nH]2)cc1)c1ccc(C#N)cc1 ZINC000789803302 625567727 /nfs/dbraw/zinc/56/77/27/625567727.db2.gz OVDHSGLQKIIVPI-GFCCVEGCSA-N 0 1 318.336 3.261 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C(C)(C)C)c1 ZINC000870308120 622455002 /nfs/dbraw/zinc/45/50/02/622455002.db2.gz GODUXWDNXHFMPQ-OWCLPIDISA-N 0 1 312.413 3.002 20 30 DGEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccccc1 ZINC000789832468 625569416 /nfs/dbraw/zinc/56/94/16/625569416.db2.gz RLSMEUHDCNZMSV-INIZCTEOSA-N 0 1 307.397 3.173 20 30 DGEDMN CN(CCOC(=O)C1(C#N)CCCCC1)Cc1ccc(F)cc1 ZINC000769092781 622498051 /nfs/dbraw/zinc/49/80/51/622498051.db2.gz XKUDKUYWPPKXPZ-UHFFFAOYSA-N 0 1 318.392 3.275 20 30 DGEDMN C#CCN(CC)Cc1ccc(OCC(F)(F)F)c(OCC)c1 ZINC000769110848 622498975 /nfs/dbraw/zinc/49/89/75/622498975.db2.gz JBCUGZUWQMAGLM-UHFFFAOYSA-N 0 1 315.335 3.482 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1ccccc1-n1cncn1 ZINC000769145788 622501562 /nfs/dbraw/zinc/50/15/62/622501562.db2.gz MXDBTHYGVCSPGW-UHFFFAOYSA-N 0 1 320.371 3.042 20 30 DGEDMN C#CCOc1ccccc1CN(CCCO)Cc1ccccc1 ZINC000769326821 622518346 /nfs/dbraw/zinc/51/83/46/622518346.db2.gz PGPVVGPNQPBXPN-UHFFFAOYSA-N 0 1 309.409 3.083 20 30 DGEDMN C=C1CCN(Cc2cc3ccccc3nc2N2CCOCC2)CC1 ZINC000769337038 622519371 /nfs/dbraw/zinc/51/93/71/622519371.db2.gz BORLJUVVIFNUAH-UHFFFAOYSA-N 0 1 323.440 3.223 20 30 DGEDMN C[C@H](C#N)C[N@H+](Cc1cc(Cl)cc([N+](=O)[O-])c1[O-])C1CC1 ZINC000769544365 622539577 /nfs/dbraw/zinc/53/95/77/622539577.db2.gz JWDNUTMOQCTIFN-SECBINFHSA-N 0 1 309.753 3.078 20 30 DGEDMN C[C@H](C#N)C[N@@H+](Cc1cc(Cl)cc([N+](=O)[O-])c1[O-])C1CC1 ZINC000769544365 622539581 /nfs/dbraw/zinc/53/95/81/622539581.db2.gz JWDNUTMOQCTIFN-SECBINFHSA-N 0 1 309.753 3.078 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@H]3CCC(F)(F)C3)[nH]c2c1 ZINC000871072680 622543247 /nfs/dbraw/zinc/54/32/47/622543247.db2.gz NRMOUTBPFPJEFU-SECBINFHSA-N 0 1 304.300 3.199 20 30 DGEDMN C[C@]1(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)CC1(Cl)Cl ZINC000871073039 622544269 /nfs/dbraw/zinc/54/42/69/622544269.db2.gz QMIQLOAIWFPTGK-ZDUSSCGKSA-N 0 1 323.183 3.347 20 30 DGEDMN CC(=O)[C@@H](C)CCCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871073347 622544296 /nfs/dbraw/zinc/54/42/96/622544296.db2.gz YPTYCCRHFPKVOT-NSHDSACASA-N 0 1 312.373 3.159 20 30 DGEDMN C[C@H](N[C@@H](CO)c1ccsc1)c1ccc(OCC#N)cc1 ZINC000769983804 622584955 /nfs/dbraw/zinc/58/49/55/622584955.db2.gz AEISPDRPNIKPFT-LRDDRELGSA-N 0 1 302.399 3.035 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cc(Cl)cn2C)cc1 ZINC000770306657 622619638 /nfs/dbraw/zinc/61/96/38/622619638.db2.gz ZODZQKUGPPZVAQ-UHFFFAOYSA-N 0 1 302.805 3.323 20 30 DGEDMN CN1CCN(c2ccc(/C=C\C(=O)c3cccc(O)c3)cc2)CC1 ZINC000771521076 622771914 /nfs/dbraw/zinc/77/19/14/622771914.db2.gz PYJAQXLEIHNOJF-YFHOEESVSA-N 0 1 322.408 3.040 20 30 DGEDMN CN1CCN(c2ccc(C=[NH+][N-]c3ccccc3F)cc2)CC1 ZINC000771550411 622774781 /nfs/dbraw/zinc/77/47/81/622774781.db2.gz ICNVFUQZLJGVFH-UHFFFAOYSA-N 0 1 312.392 3.024 20 30 DGEDMN C=CCCC(=O)COC(=O)c1[nH]c(-c2cccc(C)c2)nc1C ZINC000772101873 622846558 /nfs/dbraw/zinc/84/65/58/622846558.db2.gz FQIPKVBXVDFXGG-UHFFFAOYSA-N 0 1 312.369 3.386 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](C)c2ccc(F)c(Cl)c2)CC1 ZINC000772133321 622853349 /nfs/dbraw/zinc/85/33/49/622853349.db2.gz KBXZRHXRXABOHR-GFCCVEGCSA-N 0 1 323.795 3.429 20 30 DGEDMN C=C(Cl)CNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000772430095 622896181 /nfs/dbraw/zinc/89/61/81/622896181.db2.gz VKZYIAZVGPINRN-UHFFFAOYSA-N 0 1 300.696 3.375 20 30 DGEDMN CC(=NNc1ncccc1C(=O)OC(C)(C)C)c1cnoc1C ZINC000872398657 622903913 /nfs/dbraw/zinc/90/39/13/622903913.db2.gz AWUALMAJEGTTOB-UHFFFAOYSA-N 0 1 316.361 3.169 20 30 DGEDMN CC(C)N(C)c1ccc(C=NNC[C@H]2CCCC2(F)F)cn1 ZINC000872407291 622906198 /nfs/dbraw/zinc/90/61/98/622906198.db2.gz ZTWGREHNQHFUSC-CQSZACIVSA-N 0 1 310.392 3.285 20 30 DGEDMN Brc1cc(NN=Cc2csc(C3CC3)n2)ncn1 ZINC000872434674 622914257 /nfs/dbraw/zinc/91/42/57/622914257.db2.gz GNATTWSUIALFBY-UHFFFAOYSA-N 0 1 324.207 3.019 20 30 DGEDMN CCc1nc(C#N)cc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)n1 ZINC000872649046 622948465 /nfs/dbraw/zinc/94/84/65/622948465.db2.gz RHPCBDPOAVCTFU-CYBMUJFWSA-N 0 1 324.432 3.141 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@H](CO)c2cccc(F)c2)cc1 ZINC000773012043 622965692 /nfs/dbraw/zinc/96/56/92/622965692.db2.gz VKYLPXUGJJWKPM-IFXJQAMLSA-N 0 1 313.372 3.222 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccnc(Cl)c2)cc1C#N ZINC000773081215 622980579 /nfs/dbraw/zinc/98/05/79/622980579.db2.gz CGUQWCQHMAZZOZ-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN COC(=O)c1cc(C=NNc2c(F)cccc2Cl)cn1C ZINC000790176589 625612902 /nfs/dbraw/zinc/61/29/02/625612902.db2.gz QOVUJNXGKBNPBL-UHFFFAOYSA-N 0 1 309.728 3.050 20 30 DGEDMN CC(C)(C)C1CCN(N=Cc2cc(O)ccc2[N+](=O)[O-])CC1 ZINC000790180184 625613192 /nfs/dbraw/zinc/61/31/92/625613192.db2.gz BKCGVFIBEDHVBF-UHFFFAOYSA-N 0 1 305.378 3.392 20 30 DGEDMN C#C[C@@H](NC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1)C(C)C ZINC000873000622 623014537 /nfs/dbraw/zinc/01/45/37/623014537.db2.gz TVHARCJLQBQGTQ-HUUCEWRRSA-N 0 1 316.449 3.080 20 30 DGEDMN Cc1cccnc1N=NCc1cnn(Cc2ccc(F)cc2)c1 ZINC000790190217 625615023 /nfs/dbraw/zinc/61/50/23/625615023.db2.gz HLYIXXAXQYTXMI-UHFFFAOYSA-N 0 1 309.348 3.220 20 30 DGEDMN O=C1C/C(=C/c2cc(F)c(O)c(F)c2)C(=O)c2ccccc2N1 ZINC000774144083 623111914 /nfs/dbraw/zinc/11/19/14/623111914.db2.gz ZIHNCSVCJPRAEW-YHYXMXQVSA-N 0 1 315.275 3.279 20 30 DGEDMN CC[C@@H](NC1CN(C(=O)OC(C)(C)C)C1)c1ccc(C#N)cc1 ZINC000774217819 623121689 /nfs/dbraw/zinc/12/16/89/623121689.db2.gz MBORGWODHCQKJF-MRXNPFEDSA-N 0 1 315.417 3.218 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOC2CCOCC2)ccc1[O-] ZINC000774452535 623147044 /nfs/dbraw/zinc/14/70/44/623147044.db2.gz ZIGWFKAVWCASOZ-UHFFFAOYSA-N 0 1 320.433 3.154 20 30 DGEDMN Cc1nc([C@H](C)OC(=O)C2(c3ccc(C#N)cc3)CCCC2)n[nH]1 ZINC000873456386 623151211 /nfs/dbraw/zinc/15/12/11/623151211.db2.gz NRROZUPNGAMUMB-LBPRGKRZSA-N 0 1 324.384 3.101 20 30 DGEDMN COC(=O)[C@@H](C)n1cccc1C=NNc1cccc(Cl)c1F ZINC000774586906 623163612 /nfs/dbraw/zinc/16/36/12/623163612.db2.gz MQHQTSGGNABQBA-SNVBAGLBSA-N 0 1 323.755 3.461 20 30 DGEDMN CCCCCCCC[N@@H+]1C[C@@H](c2cnn(C)c2)C[C@H](C(=O)[O-])C1 ZINC000873643259 623203109 /nfs/dbraw/zinc/20/31/09/623203109.db2.gz GLGYSXQKJSPQCT-HOTGVXAUSA-N 0 1 321.465 3.271 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1ccc(-n2cccn2)cc1 ZINC000873940827 623222365 /nfs/dbraw/zinc/22/23/65/623222365.db2.gz ZCPDTGBZUBACCJ-UHFFFAOYSA-N 0 1 302.341 3.195 20 30 DGEDMN C=CC[C@H](Sc1nc(CCC2CCCC2)n[nH]1)C(=O)OC ZINC000882088606 625631135 /nfs/dbraw/zinc/63/11/35/625631135.db2.gz IEMGZIJNUHPYJE-LBPRGKRZSA-N 0 1 309.435 3.137 20 30 DGEDMN C=CC[C@H](Sc1n[nH]c(CCC2CCCC2)n1)C(=O)OC ZINC000882088606 625631138 /nfs/dbraw/zinc/63/11/38/625631138.db2.gz IEMGZIJNUHPYJE-LBPRGKRZSA-N 0 1 309.435 3.137 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H](CC)c1ccccc1OC ZINC000775088050 623230039 /nfs/dbraw/zinc/23/00/39/623230039.db2.gz PQOXNYMEQATSJP-NVXWUHKLSA-N 0 1 316.445 3.325 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC000775177974 623238421 /nfs/dbraw/zinc/23/84/21/623238421.db2.gz DXMYFMIYLZUIPJ-OAHLLOKOSA-N 0 1 322.408 3.401 20 30 DGEDMN C#C[C@H](C)N(C)Cc1ccc(OCC(F)(F)F)c(OCC)c1 ZINC000775183447 623239548 /nfs/dbraw/zinc/23/95/48/623239548.db2.gz MEJFYDDBWUJGDJ-LBPRGKRZSA-N 0 1 315.335 3.480 20 30 DGEDMN Cc1nn(Cc2ccc(C)cc2)c(C)c1C=N[N-]c1[nH+]ccn1C ZINC000790305259 625632325 /nfs/dbraw/zinc/63/23/25/625632325.db2.gz HDHHEVDHFPBQRS-UHFFFAOYSA-N 0 1 322.416 3.036 20 30 DGEDMN Cn1ccnc1NN=Cc1cc(Br)c(Cl)s1 ZINC000790302964 625632411 /nfs/dbraw/zinc/63/24/11/625632411.db2.gz OEAAAFIHZCKQFA-UHFFFAOYSA-N 0 1 319.615 3.344 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc(OCC#N)cc1 ZINC000775337931 623255686 /nfs/dbraw/zinc/25/56/86/623255686.db2.gz LFARBGJKXKSMNB-ZDUSSCGKSA-N 0 1 309.344 3.451 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCCC)c(OCC)c1)[C@H]1CCCO1 ZINC000775341316 623255981 /nfs/dbraw/zinc/25/59/81/623255981.db2.gz LKELMEGDGXTALS-IAGOWNOFSA-N 0 1 317.429 3.145 20 30 DGEDMN O=[N+]([O-])c1cccc(CN=Nc2cccc(F)c2[N+](=O)[O-])c1 ZINC000790307354 625633590 /nfs/dbraw/zinc/63/35/90/625633590.db2.gz WVAGGAQEPCGMJD-UHFFFAOYSA-N 0 1 304.237 3.088 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2cccs2)s1)[C@@H]1CCCO1 ZINC000775343057 623257006 /nfs/dbraw/zinc/25/70/06/623257006.db2.gz VBYSTZCIRIKEBR-OLZOCXBDSA-N 0 1 304.440 3.142 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1ccccc1 ZINC000775400448 623268593 /nfs/dbraw/zinc/26/85/93/623268593.db2.gz PLOKLADUDWOVHY-AEFFLSMTSA-N 0 1 300.446 3.234 20 30 DGEDMN C#CC[C@@H](NCC(=O)N1c2ccccc2C[C@H]1C)c1ccccc1 ZINC000775399454 623268911 /nfs/dbraw/zinc/26/89/11/623268911.db2.gz RQPVVOLUQSVWFT-VQIMIIECSA-N 0 1 318.420 3.318 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(OC(F)(F)F)cc1)[C@H]1CCCO1 ZINC000775572496 623291716 /nfs/dbraw/zinc/29/17/16/623291716.db2.gz RYKQTRGNXBVIEH-BYCMXARLSA-N 0 1 313.319 3.417 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(Br)s1)[C@@H]1CCCO1 ZINC000775572495 623291785 /nfs/dbraw/zinc/29/17/85/623291785.db2.gz BAPOAXKQCBFRDO-VWYCJHECSA-N 0 1 314.248 3.342 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(Br)cc1)[C@H]1CCCO1 ZINC000775573595 623291982 /nfs/dbraw/zinc/29/19/82/623291982.db2.gz ICYCVDBEAMJHAU-BYCMXARLSA-N 0 1 308.219 3.281 20 30 DGEDMN C#C[C@H](N[C@H]1CCc2cc(Br)ccc21)[C@H]1CCCO1 ZINC000775571130 623292040 /nfs/dbraw/zinc/29/20/40/623292040.db2.gz ARSZWWHRELESRA-HRCADAONSA-N 0 1 320.230 3.207 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1nc(-c2cccs2)n[nH]1 ZINC000775706765 623316816 /nfs/dbraw/zinc/31/68/16/623316816.db2.gz CXBOZTKNFICYGF-UHFFFAOYSA-N 0 1 320.418 3.235 20 30 DGEDMN C#C[C@H](NCc1cccc(Br)c1C)[C@H]1CCCO1 ZINC000775789992 623332255 /nfs/dbraw/zinc/33/22/55/623332255.db2.gz OJYXGJYCGBFYND-LSDHHAIUSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@@H](NCc1ccc(F)cc1C(F)(F)F)[C@@H]1CCCO1 ZINC000776003006 623363358 /nfs/dbraw/zinc/36/33/58/623363358.db2.gz UYUDSDIDLLZRBV-KGLIPLIRSA-N 0 1 301.283 3.115 20 30 DGEDMN COC(=O)c1ccccc1NN=C(C)c1ccc2c(c1)COC2 ZINC000776188633 623392649 /nfs/dbraw/zinc/39/26/49/623392649.db2.gz XXEUVUDLLQTENZ-UHFFFAOYSA-N 0 1 310.353 3.340 20 30 DGEDMN COC(=O)c1ccccc1NN=C(C)c1ccc(OCC#N)cc1 ZINC000776189695 623393754 /nfs/dbraw/zinc/39/37/54/623393754.db2.gz OSVYXKHMRDDNBW-UHFFFAOYSA-N 0 1 323.352 3.212 20 30 DGEDMN COC(=O)c1ccccc1NN=C(C)c1nc2ccccc2n1C ZINC000776189344 623393813 /nfs/dbraw/zinc/39/38/13/623393813.db2.gz GNLBIDYJINMVRH-UHFFFAOYSA-N 0 1 322.368 3.196 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(CC#N)cc1)N1CCCCCC1 ZINC000776214846 623397730 /nfs/dbraw/zinc/39/77/30/623397730.db2.gz SSFKMAWOONWNRA-OAHLLOKOSA-N 0 1 300.402 3.060 20 30 DGEDMN O=C(/C=C\c1nc2c(s1)CCCC2)c1ccc(O)cc1O ZINC000776266895 623404220 /nfs/dbraw/zinc/40/42/20/623404220.db2.gz UNDLBTOBXMOAQS-FPLPWBNLSA-N 0 1 301.367 3.329 20 30 DGEDMN N#CC1(NC(=O)C(F)(F)c2cc(F)cc(F)c2)CCCC1 ZINC000875063301 623412820 /nfs/dbraw/zinc/41/28/20/623412820.db2.gz XRLXOVNNFGXJOE-UHFFFAOYSA-N 0 1 300.255 3.009 20 30 DGEDMN N#C[C@@H](OC(=O)c1ccc2c(C=O)[nH]nc2c1)C1CCCCC1 ZINC000776397279 623424346 /nfs/dbraw/zinc/42/43/46/623424346.db2.gz QZUKUDGOPQTMFX-MRXNPFEDSA-N 0 1 311.341 3.005 20 30 DGEDMN C#CCCN1CCN(Cc2ccccc2Oc2cccnc2)CC1 ZINC000776594034 623445041 /nfs/dbraw/zinc/44/50/41/623445041.db2.gz RSQIXDMJVFFCOL-UHFFFAOYSA-N 0 1 321.424 3.015 20 30 DGEDMN C=CCc1cc(CN2CCO[C@@H](C(C)(C)C)C2)c(O)c(OC)c1 ZINC000790427111 625651831 /nfs/dbraw/zinc/65/18/31/625651831.db2.gz FMJKJPAZFHTXAM-QGZVFWFLSA-N 0 1 319.445 3.376 20 30 DGEDMN Fc1cc(Cl)cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1 ZINC000776719949 623454936 /nfs/dbraw/zinc/45/49/36/623454936.db2.gz FCWRCTIOHWXQPU-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccnn1-c1ccccc1 ZINC000790470709 625657120 /nfs/dbraw/zinc/65/71/20/625657120.db2.gz MFORQNBFUDWMGG-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2cnc3ccccc3n2)c(F)c1 ZINC000777886812 623580305 /nfs/dbraw/zinc/58/03/05/623580305.db2.gz SFLUOCSORSJNJL-UHFFFAOYSA-N 0 1 311.276 3.123 20 30 DGEDMN COC[C@@H](C)NN=C(C)c1ccc(N2CCCCC2)c(F)c1 ZINC000777894618 623582113 /nfs/dbraw/zinc/58/21/13/623582113.db2.gz MJHPUTKSEIZARN-CYBMUJFWSA-N 0 1 307.413 3.165 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1cc(Cl)ccn1)CCO2 ZINC000777906421 623583861 /nfs/dbraw/zinc/58/38/61/623583861.db2.gz JVADKOIGAFMZPQ-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN CN(C)c1ccc(C#N)cc1C[N@H+]1CCC[C@H]1c1cccn1C ZINC000876545979 623639771 /nfs/dbraw/zinc/63/97/71/623639771.db2.gz QRQUVHPJNHJKNI-IBGZPJMESA-N 0 1 308.429 3.300 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@H]1c1cccn1C ZINC000876545979 623639773 /nfs/dbraw/zinc/63/97/73/623639773.db2.gz QRQUVHPJNHJKNI-IBGZPJMESA-N 0 1 308.429 3.300 20 30 DGEDMN CC[C@@]1(CO)CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000778328831 623640218 /nfs/dbraw/zinc/64/02/18/623640218.db2.gz PZWXLYUQNZXSMW-QGZVFWFLSA-N 0 1 322.836 3.227 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2ccccc2Oc2ccccc2C#N)=NO1 ZINC000778405637 623654566 /nfs/dbraw/zinc/65/45/66/623654566.db2.gz PONVSWVDJKCPLH-GFCCVEGCSA-N 0 1 321.336 3.203 20 30 DGEDMN CC(C)(C(=O)OCC#Cc1ccccc1Cl)N1CCCC1 ZINC000805610240 623663149 /nfs/dbraw/zinc/66/31/49/623663149.db2.gz YAZAUISRDHVKHJ-UHFFFAOYSA-N 0 1 305.805 3.109 20 30 DGEDMN C=CCc1cc(OC)ccc1OC(=O)[C@H](CC)N(CC)CC ZINC000778602505 623675743 /nfs/dbraw/zinc/67/57/43/623675743.db2.gz ZJPDIMXAQRYPIO-INIZCTEOSA-N 0 1 305.418 3.450 20 30 DGEDMN C=CCN(CCc1ccco1)C[C@@H](O)c1c(F)cccc1F ZINC000778996887 623717985 /nfs/dbraw/zinc/71/79/85/623717985.db2.gz VJQDRKHRTDJZBE-MRXNPFEDSA-N 0 1 307.340 3.322 20 30 DGEDMN C=CC[C@@H](C)[C@@H](C)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC000779064892 623726573 /nfs/dbraw/zinc/72/65/73/623726573.db2.gz JGGKOSKREJCGGT-VXGBXAGGSA-N 0 1 306.397 3.337 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C[C@@H]1CCOC1)C1CC1 ZINC000779153534 623735406 /nfs/dbraw/zinc/73/54/06/623735406.db2.gz GDIUWHOYPDYJCG-AWEZNQCLSA-N 0 1 319.832 3.353 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCCOC(C)(C)C1 ZINC000779157891 623736744 /nfs/dbraw/zinc/73/67/44/623736744.db2.gz JNAYLSDUUIHBNO-UHFFFAOYSA-N 0 1 307.821 3.353 20 30 DGEDMN COc1ccc(O)cc1C(C)=NNc1nc2ccccc2n1C ZINC000779772213 623799801 /nfs/dbraw/zinc/79/98/01/623799801.db2.gz GCXFPIHINSQVDS-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN CC(=NNc1cc[nH+]cc1)c1ccc(O)c(C[NH+]2CCCCC2)c1 ZINC000779809348 623810933 /nfs/dbraw/zinc/81/09/33/623810933.db2.gz JBXJLRYSULYDEJ-UHFFFAOYSA-N 0 1 324.428 3.031 20 30 DGEDMN COc1cccc(NN=Cc2c(C)nn(-c3ccccc3)c2C)n1 ZINC000779817005 623812075 /nfs/dbraw/zinc/81/20/75/623812075.db2.gz MMGBFIKYUHICAG-UHFFFAOYSA-N 0 1 321.384 3.339 20 30 DGEDMN COc1cccc(N=NCc2c(Cl)n(C)nc2C(C)C)n1 ZINC000779816643 623813268 /nfs/dbraw/zinc/81/32/68/623813268.db2.gz IJNRKYFSFOMRRW-UHFFFAOYSA-N 0 1 307.785 3.047 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H]1CN1CCC(C)(C#N)CC1 ZINC000877182947 623820072 /nfs/dbraw/zinc/82/00/72/623820072.db2.gz VIAIPCQSHDCJHP-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN CC[C@H](C(=O)OCc1ccc(Cl)cc1C#N)N(CC)CC ZINC000780039915 623854755 /nfs/dbraw/zinc/85/47/55/623854755.db2.gz OKNPQPUTTBCGHA-OAHLLOKOSA-N 0 1 308.809 3.375 20 30 DGEDMN CC1(C)C[C@H](NN=Cc2cc(Cl)cc(F)c2O)CCO1 ZINC000780241393 623890594 /nfs/dbraw/zinc/89/05/94/623890594.db2.gz PHLNSEYSQCUVEN-LLVKDONJSA-N 0 1 300.761 3.066 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NN[C@H]1CCCOC1 ZINC000780252216 623895961 /nfs/dbraw/zinc/89/59/61/623895961.db2.gz SIRUUAJMHMAZRH-PBHICJAKSA-N 0 1 321.440 3.411 20 30 DGEDMN COc1ccc(N=NCc2cccc(OC)c2OC(C)C)nc1 ZINC000780266771 623900534 /nfs/dbraw/zinc/90/05/34/623900534.db2.gz BLVWFXGYPTUERV-UHFFFAOYSA-N 0 1 315.373 3.332 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc(N3CCCC3=O)cc2)nc1 ZINC000780270401 623901516 /nfs/dbraw/zinc/90/15/16/623901516.db2.gz IAAULWFVAWEEGP-UHFFFAOYSA-N 0 1 324.384 3.053 20 30 DGEDMN Fc1cccc(N2CCCC2)c1C=[NH+][N-]c1ncncc1Cl ZINC000780279454 623903249 /nfs/dbraw/zinc/90/32/49/623903249.db2.gz LTZAOIPFRSFPEX-UHFFFAOYSA-N 0 1 319.771 3.315 20 30 DGEDMN Clc1nc(Cl)c(C=NN=c2nc[nH]cc2Cl)s1 ZINC000780279342 623903281 /nfs/dbraw/zinc/90/32/81/623903281.db2.gz JWTBSAMVRGGDDH-UHFFFAOYSA-N 0 1 308.581 3.339 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)[C@@H]2CCc3ccccc32)c1 ZINC000780284351 623904371 /nfs/dbraw/zinc/90/43/71/623904371.db2.gz CLUUXEQBGYEEPH-LJQANCHMSA-N 0 1 304.393 3.226 20 30 DGEDMN C[C@H](O)C[C@H]1CCCCCN1Cc1cc(C#N)cnc1Cl ZINC000877517838 623920511 /nfs/dbraw/zinc/92/05/11/623920511.db2.gz QQFUESSCNZMZIQ-SWLSCSKDSA-N 0 1 307.825 3.122 20 30 DGEDMN Cc1cc(CNCc2ccc(C#N)cn2)cc(C)c1OC(F)F ZINC000780555273 623950324 /nfs/dbraw/zinc/95/03/24/623950324.db2.gz GBFZIZIGKBTUQP-UHFFFAOYSA-N 0 1 317.339 3.461 20 30 DGEDMN COc1cnc(F)c(CN[C@@H]2CCCc3cc(C#N)ccc32)c1 ZINC000877593986 623955790 /nfs/dbraw/zinc/95/57/90/623955790.db2.gz LPIQOVZVGXVEEN-QGZVFWFLSA-N 0 1 311.360 3.268 20 30 DGEDMN C#Cc1ccc(CN2Cc3cccnc3N3CCC[C@@H]3C2)cc1 ZINC000877713732 624002361 /nfs/dbraw/zinc/00/23/61/624002361.db2.gz NJCSGBBDOZKZIB-LJQANCHMSA-N 0 1 303.409 3.048 20 30 DGEDMN Cc1csc([C@@H]2CCN(Cc3ccc(C#N)cc3F)C2)n1 ZINC000877813555 624046733 /nfs/dbraw/zinc/04/67/33/624046733.db2.gz LSXGCFARNZJCEG-CQSZACIVSA-N 0 1 301.390 3.452 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c(C)cccc2Cl)nc(C)n1 ZINC000790769554 625695454 /nfs/dbraw/zinc/69/54/54/625695454.db2.gz ZJRKENHRMUWAKA-CQSZACIVSA-N 0 1 313.788 3.474 20 30 DGEDMN CC[C@@H](NCc1cc(C#N)cnc1Cl)[C@H](O)c1ccccc1 ZINC000877833186 624062575 /nfs/dbraw/zinc/06/25/75/624062575.db2.gz UQVUGXVEMBTXQL-HZPDHXFCSA-N 0 1 315.804 3.208 20 30 DGEDMN CC[C@H](NCc1cc(C#N)cnc1Cl)[C@H](O)c1ccccc1 ZINC000877833187 624062801 /nfs/dbraw/zinc/06/28/01/624062801.db2.gz UQVUGXVEMBTXQL-JKSUJKDBSA-N 0 1 315.804 3.208 20 30 DGEDMN C=CCN1C(=O)c2ccccc2N[C@H]1c1ccc(F)c(F)c1O ZINC000877842490 624065832 /nfs/dbraw/zinc/06/58/32/624065832.db2.gz CYMJIZOZWGVSAT-MRXNPFEDSA-N 0 1 316.307 3.423 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@H](C)C(=O)Nc1c(C)csc1C(=O)OC ZINC000877936459 624100146 /nfs/dbraw/zinc/10/01/46/624100146.db2.gz VCKBQLPFPLTEHE-GFCCVEGCSA-N 0 1 324.446 3.068 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)Nc1c(C)csc1C(=O)OC ZINC000877936459 624100149 /nfs/dbraw/zinc/10/01/49/624100149.db2.gz VCKBQLPFPLTEHE-GFCCVEGCSA-N 0 1 324.446 3.068 20 30 DGEDMN CC1(C)CCC[C@H]1NN=Cc1ccc(N2CCOCC2)s1 ZINC000782288482 624233772 /nfs/dbraw/zinc/23/37/72/624233772.db2.gz PMXWVFGPVSVNPZ-CQSZACIVSA-N 0 1 307.463 3.087 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000878579459 624314278 /nfs/dbraw/zinc/31/42/78/624314278.db2.gz LQNOMXPHIQTOOW-KDOFPFPSSA-N 0 1 309.413 3.290 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC000878843656 624370827 /nfs/dbraw/zinc/37/08/27/624370827.db2.gz FIZUYALQZRJPHZ-MELADBBJSA-N 0 1 301.352 3.269 20 30 DGEDMN CCCCNN=C(C)c1cc(Br)cc(F)c1O ZINC000783828653 624398814 /nfs/dbraw/zinc/39/88/14/624398814.db2.gz VQRACLKEKFRBKQ-UHFFFAOYSA-N 0 1 303.175 3.408 20 30 DGEDMN C[C@H](C#N)OCCNC(C)(C)c1nc2cc(Cl)ccc2o1 ZINC000879160351 624441413 /nfs/dbraw/zinc/44/14/13/624441413.db2.gz YBSOCDDFJBMUBH-SNVBAGLBSA-N 0 1 307.781 3.235 20 30 DGEDMN C=CCCCCCN1Cc2cccnc2N2C[C@H](OC)C[C@H]2C1 ZINC000879269380 624477496 /nfs/dbraw/zinc/47/74/96/624477496.db2.gz MZZCZMCHOCLOQL-ZWKOTPCHSA-N 0 1 315.461 3.237 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3cccn3)CC2)c(Cl)c1 ZINC000784340194 624506029 /nfs/dbraw/zinc/50/60/29/624506029.db2.gz CPXBIFQNSLVAJT-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1CNC1(CO)CCCCCCC1 ZINC000879497779 624568524 /nfs/dbraw/zinc/56/85/24/624568524.db2.gz HCWMGLZNWMGRQG-UHFFFAOYSA-N 0 1 317.389 3.031 20 30 DGEDMN C[C@H](CN[C@@H](C)c1ccc(C#N)cc1F)NC(=O)OC(C)(C)C ZINC000785488180 624676018 /nfs/dbraw/zinc/67/60/18/624676018.db2.gz IPQKUKNVFAEZBZ-NEPJUHHUSA-N 0 1 321.396 3.261 20 30 DGEDMN C[C@H](O)[C@H]1C[C@H](C)CCN1Cc1cc(Cl)ccc1OCC#N ZINC000785545513 624684318 /nfs/dbraw/zinc/68/43/18/624684318.db2.gz HMTFNNGKVUYSJB-DVOMOZLQSA-N 0 1 322.836 3.224 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)OCc1ccccc1C(C)(C)C#N ZINC000785740017 624721680 /nfs/dbraw/zinc/72/16/80/624721680.db2.gz IICSCEBAEAGHIY-GJZGRUSLSA-N 0 1 314.429 3.404 20 30 DGEDMN C#CCCN1CCN(CCc2cc(Cl)ccc2Cl)CC1 ZINC000785765174 624728584 /nfs/dbraw/zinc/72/85/84/624728584.db2.gz DLJYCGHYXMTDEV-UHFFFAOYSA-N 0 1 311.256 3.177 20 30 DGEDMN C=C(C)CN1C[C@@H](CNC(=O)OC(C)(C)C)[C@H](C(F)(F)F)C1 ZINC000880024453 624758293 /nfs/dbraw/zinc/75/82/93/624758293.db2.gz SENHWGXUDRUBNM-VXGBXAGGSA-N 0 1 322.371 3.198 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NNC1CCCCC1 ZINC000786042309 624785242 /nfs/dbraw/zinc/78/52/42/624785242.db2.gz QNLWVQOCXOVPFN-OAHLLOKOSA-N 0 1 300.450 3.202 20 30 DGEDMN C=CCCC[C@H](C(=O)NCc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC000880171203 624817234 /nfs/dbraw/zinc/81/72/34/624817234.db2.gz VOYSLQVCSYXVDV-INIZCTEOSA-N 0 1 324.428 3.438 20 30 DGEDMN CC(C)(C#N)CC(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000786305793 624846447 /nfs/dbraw/zinc/84/64/47/624846447.db2.gz CUGLLRQSNIVAOA-SNVBAGLBSA-N 0 1 321.739 3.066 20 30 DGEDMN COc1ccc(COC(=O)c2c(C)n[nH]c2C(C)C)cc1C#N ZINC000786339740 624856787 /nfs/dbraw/zinc/85/67/87/624856787.db2.gz FATPYGJINSGBAE-UHFFFAOYSA-N 0 1 313.357 3.079 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCC[C@@H](CF)C1)c1ccccc1 ZINC000880238431 624861706 /nfs/dbraw/zinc/86/17/06/624861706.db2.gz GHBOIUNWCHFKKW-WMZOPIPTSA-N 0 1 302.393 3.109 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCC(C)(C)C2)c1 ZINC000786551262 624920468 /nfs/dbraw/zinc/92/04/68/624920468.db2.gz CIBBCUWCCMKZOE-JKSUJKDBSA-N 0 1 312.413 3.146 20 30 DGEDMN N#Cc1cc(F)ccc1COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786879792 624961028 /nfs/dbraw/zinc/96/10/28/624961028.db2.gz JANNORRHLHTCQW-KRWDZBQOSA-N 0 1 316.376 3.148 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786890251 624961390 /nfs/dbraw/zinc/96/13/90/624961390.db2.gz QQXGIKWTVBAWJX-SFHVURJKSA-N 0 1 312.413 3.317 20 30 DGEDMN N#Cc1ccc(F)cc1COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786884092 624961727 /nfs/dbraw/zinc/96/17/27/624961727.db2.gz NXZHNKFLJUBSGG-QGZVFWFLSA-N 0 1 316.376 3.148 20 30 DGEDMN N#Cc1cc(COC(=O)[C@H]2CCCN2C2CCCC2)ccc1F ZINC000786884434 624961776 /nfs/dbraw/zinc/96/17/76/624961776.db2.gz WBHFLZMFBQHTDZ-QGZVFWFLSA-N 0 1 316.376 3.148 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786890248 624962110 /nfs/dbraw/zinc/96/21/10/624962110.db2.gz QQXGIKWTVBAWJX-GOSISDBHSA-N 0 1 312.413 3.317 20 30 DGEDMN N#CC(CC[N@@H+]1CC=C[C@H]1CO)(c1ccccc1)c1ccccc1 ZINC000880489576 624965944 /nfs/dbraw/zinc/96/59/44/624965944.db2.gz VSRHBVKZEUIHKM-FQEVSTJZSA-N 0 1 318.420 3.119 20 30 DGEDMN N#CC(CCN1CC=C[C@H]1CO)(c1ccccc1)c1ccccc1 ZINC000880489576 624965946 /nfs/dbraw/zinc/96/59/46/624965946.db2.gz VSRHBVKZEUIHKM-FQEVSTJZSA-N 0 1 318.420 3.119 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@@H](C)C1CC1)c1ccccc1 ZINC000787039650 624973554 /nfs/dbraw/zinc/97/35/54/624973554.db2.gz SLSZXBXSFYEQPI-XYPHTWIQSA-N 0 1 312.413 3.399 20 30 DGEDMN N#Cc1cc(NC(=O)N[C@H]2CCCc3cn[nH]c32)ccc1C1CC1 ZINC000881123238 625249733 /nfs/dbraw/zinc/24/97/33/625249733.db2.gz WMBVFYHNKPEGGX-INIZCTEOSA-N 0 1 321.384 3.358 20 30 DGEDMN CCc1cccc(Cl)c1C=NNc1ncnc2nc[nH]c21 ZINC000788714392 625250712 /nfs/dbraw/zinc/25/07/12/625250712.db2.gz IBWLKMYJNQBAKU-UHFFFAOYSA-N 0 1 300.753 3.015 20 30 DGEDMN N#Cc1ccc(COC(=O)c2ccc(O)c(Cl)c2)cc1F ZINC000791265642 625766705 /nfs/dbraw/zinc/76/67/05/625766705.db2.gz FLOOUVFVWAQRPK-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nccc2ccccc21 ZINC000792094832 625909294 /nfs/dbraw/zinc/90/92/94/625909294.db2.gz HJCYCDIZJAWXSJ-OSJSJHBBSA-N 0 1 306.365 3.394 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)C(C#N)c1cnc2ccccc2n1 ZINC000792111286 625911097 /nfs/dbraw/zinc/91/10/97/625911097.db2.gz ZOXXZTXTAIFWHP-LPMFXHHGSA-N 0 1 323.396 3.401 20 30 DGEDMN O=C(OCC#Cc1ccc(Cl)cc1)c1ccc2cncn2c1 ZINC000792551223 625954970 /nfs/dbraw/zinc/95/49/70/625954970.db2.gz VBSLZDSYJYMKLO-UHFFFAOYSA-N 0 1 310.740 3.196 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000796387039 626045472 /nfs/dbraw/zinc/04/54/72/626045472.db2.gz XMGVMHWIJRJIBN-VFZGTOFNSA-N 0 1 304.781 3.333 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000796387037 626045549 /nfs/dbraw/zinc/04/55/49/626045549.db2.gz XMGVMHWIJRJIBN-PSLIRLAXSA-N 0 1 304.781 3.333 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)c1ccccc1F ZINC000796385996 626045704 /nfs/dbraw/zinc/04/57/04/626045704.db2.gz ZDMCAEKSXUTMGF-GOSISDBHSA-N 0 1 319.376 3.023 20 30 DGEDMN CC[C@H](C)[C@@H](OC)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000796630431 626067848 /nfs/dbraw/zinc/06/78/48/626067848.db2.gz DKHYLDMFQKFMNQ-VZJVUDMVSA-N 0 1 322.792 3.048 20 30 DGEDMN CC[C@H](C)[C@@H](OC)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000796636795 626068281 /nfs/dbraw/zinc/06/82/81/626068281.db2.gz RBFRXPLOBXFTIO-FIXISWKDSA-N 0 1 322.792 3.048 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@H](C)NC(=O)OC(C)(C)C ZINC000796639169 626069080 /nfs/dbraw/zinc/06/90/80/626069080.db2.gz ICDLGDDUXOBHRG-HNNXBMFYSA-N 0 1 302.418 3.035 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCC1)C(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC000796805454 626085094 /nfs/dbraw/zinc/08/50/94/626085094.db2.gz ZKMNJHCSCFDMRT-DZUCGIPZSA-N 0 1 316.445 3.361 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1cc(C#N)ccc1NCC ZINC000797169844 626122098 /nfs/dbraw/zinc/12/20/98/626122098.db2.gz BSXQTOFIHFMWCV-UHFFFAOYSA-N 0 1 316.449 3.441 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CO[C@H]2CCCc3ccccc32)c1 ZINC000797749320 626167120 /nfs/dbraw/zinc/16/71/20/626167120.db2.gz YJFSEBFHOQFEFS-SFHVURJKSA-N 0 1 322.364 3.297 20 30 DGEDMN Brc1cncc(N=NC2CCCc3cccnc32)c1 ZINC000799112777 626272965 /nfs/dbraw/zinc/27/29/65/626272965.db2.gz ZLQUKWYVISXZQY-UHFFFAOYSA-N 0 1 317.190 3.392 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2cccc3ncccc32)[nH]n1 ZINC000799477812 626303975 /nfs/dbraw/zinc/30/39/75/626303975.db2.gz ZUSOHFJTKHMUMQ-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN CC(=NNc1ccc(C#N)cc1C(F)(F)F)c1nccn1C ZINC000799492041 626305382 /nfs/dbraw/zinc/30/53/82/626305382.db2.gz LFAHWAHJYHMZNG-UHFFFAOYSA-N 0 1 307.279 3.147 20 30 DGEDMN CCn1ccnc1CN=Nc1ccc(C#N)cc1C(F)(F)F ZINC000799493109 626305474 /nfs/dbraw/zinc/30/54/74/626305474.db2.gz RXZWLPDTQBUJIU-UHFFFAOYSA-N 0 1 307.279 3.239 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1CCCC(F)(F)C1 ZINC000800385039 626355162 /nfs/dbraw/zinc/35/51/62/626355162.db2.gz QEFBYTDQPJGZAM-QWHCGFSZSA-N 0 1 314.376 3.217 20 30 DGEDMN CCCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000800387915 626355561 /nfs/dbraw/zinc/35/55/61/626355561.db2.gz WUJJXDLQYUVGNO-QWHCGFSZSA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)O1 ZINC000800392005 626355984 /nfs/dbraw/zinc/35/59/84/626355984.db2.gz QCVVEEBJJIARSW-NVZXWFNYSA-N 0 1 318.373 3.425 20 30 DGEDMN N#Cc1ccc(CNCc2cc(C(F)(F)F)n[nH]2)cc1Cl ZINC000800895717 626383338 /nfs/dbraw/zinc/38/33/38/626383338.db2.gz KKUCQVOGNKGAQV-UHFFFAOYSA-N 0 1 314.698 3.243 20 30 DGEDMN C[C@@H](C#N)OCCN1CCC[C@H]1Cc1c(F)cccc1Cl ZINC000801912772 626425413 /nfs/dbraw/zinc/42/54/13/626425413.db2.gz CNRPEYGPTPJWTI-STQMWFEESA-N 0 1 310.800 3.415 20 30 DGEDMN C[C@@H](C#N)OCCN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000801937215 626426968 /nfs/dbraw/zinc/42/69/68/626426968.db2.gz HOOSJADBJAEQHS-GXFFZTMASA-N 0 1 304.287 3.337 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(Cl)s2)nc(C)n1 ZINC000802038971 626429512 /nfs/dbraw/zinc/42/95/12/626429512.db2.gz UQJUGMFTJWMPNZ-NSHDSACASA-N 0 1 305.790 3.227 20 30 DGEDMN CC(C)(C(=O)Nc1cc(C#N)ccc1O)c1cc(F)cc(C#N)c1 ZINC000802892089 626580970 /nfs/dbraw/zinc/58/09/70/626580970.db2.gz ZDMWQLYTWYJDBE-UHFFFAOYSA-N 0 1 323.327 3.191 20 30 DGEDMN C=CCC[C@@H](COc1ccccc1)NCc1nnc(C)s1 ZINC000883215886 626612017 /nfs/dbraw/zinc/61/20/17/626612017.db2.gz IFIFSVBAFPAJDN-AWEZNQCLSA-N 0 1 303.431 3.350 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2ccc(Br)cc2[N+](=O)[O-])C1 ZINC000883295593 626639609 /nfs/dbraw/zinc/63/96/09/626639609.db2.gz MUJZMEPJGRJDGI-SKDRFNHKSA-N 0 1 324.178 3.139 20 30 DGEDMN C[C@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000883358585 626660404 /nfs/dbraw/zinc/66/04/04/626660404.db2.gz OADXRDBFKCHIFN-QUBYGPBYSA-N 0 1 319.220 3.174 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)NC(=O)c1ccc(O)c(Cl)c1 ZINC000804455999 626682163 /nfs/dbraw/zinc/68/21/63/626682163.db2.gz PNVCCADMNOEPSB-OAHLLOKOSA-N 0 1 313.784 3.410 20 30 DGEDMN CC1CCC(N2CCN(c3nc(Cl)c(C#N)s3)CC2)CC1 ZINC000804461838 626682760 /nfs/dbraw/zinc/68/27/60/626682760.db2.gz VHCVKUUEAUPQAY-UHFFFAOYSA-N 0 1 324.881 3.369 20 30 DGEDMN COc1cc(OC)c2ncc(C#N)c(NOCC(C)C)c2c1 ZINC000804585753 626696119 /nfs/dbraw/zinc/69/61/19/626696119.db2.gz HFCFCEBBUWXZDY-UHFFFAOYSA-N 0 1 301.346 3.123 20 30 DGEDMN COc1ccc(C(F)(F)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000132487571 626721224 /nfs/dbraw/zinc/72/12/24/626721224.db2.gz FMGMZJAMHJNBFQ-UHFFFAOYSA-N 0 1 318.279 3.003 20 30 DGEDMN C=CCCC[C@H](NC(=O)N(C)Cc1nnc[nH]1)c1ccccc1 ZINC000883858444 626758938 /nfs/dbraw/zinc/75/89/38/626758938.db2.gz LBDFZMNZLVBBDO-HNNXBMFYSA-N 0 1 313.405 3.044 20 30 DGEDMN COCCOCc1ccc(CN=Nc2ccccc2F)cc1 ZINC000807961131 626780142 /nfs/dbraw/zinc/78/01/42/626780142.db2.gz COEQEGOWXBHAJX-UHFFFAOYSA-N 0 1 302.349 3.435 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2c(F)cccc2Cl)nc1 ZINC000807981610 626781947 /nfs/dbraw/zinc/78/19/47/626781947.db2.gz KBLPXTTYFYRVHJ-UHFFFAOYSA-N 0 1 321.739 3.497 20 30 DGEDMN COc1cc(F)cc(N=NC(C)c2cn3c(n2)CCCC3)c1 ZINC000807977629 626782058 /nfs/dbraw/zinc/78/20/58/626782058.db2.gz VAQKABWYHKWRMB-UHFFFAOYSA-N 0 1 302.353 3.203 20 30 DGEDMN CC(=NNCC1CCCC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000808006581 626786279 /nfs/dbraw/zinc/78/62/79/626786279.db2.gz WCFKYWQLMOHIPH-UHFFFAOYSA-N 0 1 319.424 3.166 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1C(=O)CCC1(C)C ZINC000808148171 626797769 /nfs/dbraw/zinc/79/77/69/626797769.db2.gz UGZKSPFZXLEZTN-UHFFFAOYSA-N 0 1 313.445 3.375 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC2(C)CCCC2)c1 ZINC000808979545 626873154 /nfs/dbraw/zinc/87/31/54/626873154.db2.gz JYPSVKUNFUHLQQ-OAHLLOKOSA-N 0 1 314.385 3.313 20 30 DGEDMN C[C@H](ON=C(N)CN1[C@H](C)CCC[C@H]1C)c1cccc(C#N)c1 ZINC000809374840 626907743 /nfs/dbraw/zinc/90/77/43/626907743.db2.gz QKUQFHRLUAOEPA-KFWWJZLASA-N 0 1 314.433 3.381 20 30 DGEDMN C#Cc1ccc(CN(CCOC)Cc2ccc3c(c2)OCO3)cc1 ZINC000809574895 626921361 /nfs/dbraw/zinc/92/13/61/626921361.db2.gz SVIYXBLVRGIMHU-UHFFFAOYSA-N 0 1 323.392 3.045 20 30 DGEDMN C#C[C@@H](C)NCc1cc(Br)c(OCC=C)c(OC)c1 ZINC000809683754 626935501 /nfs/dbraw/zinc/93/55/01/626935501.db2.gz MXLYAJLHRYZICI-LLVKDONJSA-N 0 1 324.218 3.134 20 30 DGEDMN C#C[C@@H](N[C@H](C)CCn1cccn1)c1ccc(Cl)cc1F ZINC000809699484 626937863 /nfs/dbraw/zinc/93/78/63/626937863.db2.gz PEAIRRUCVUIYRN-MLGOLLRUSA-N 0 1 305.784 3.418 20 30 DGEDMN C#Cc1ccc(CN(CC(=O)N2CCCCCC2)C(C)C)cc1 ZINC000809714727 626940440 /nfs/dbraw/zinc/94/04/40/626940440.db2.gz PTVKLLUCWURMOW-UHFFFAOYSA-N 0 1 312.457 3.281 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(C(=O)NC2CC2)cc1 ZINC000809717271 626940518 /nfs/dbraw/zinc/94/05/18/626940518.db2.gz YXXOHPLSVTYOQO-UHFFFAOYSA-N 0 1 318.420 3.214 20 30 DGEDMN Cc1ccc(N2CCC[C@H](NCc3nc(C#N)cs3)C2)cc1 ZINC000810145651 626980736 /nfs/dbraw/zinc/98/07/36/626980736.db2.gz GJUSWNRRMGAYNQ-AWEZNQCLSA-N 0 1 312.442 3.082 20 30 DGEDMN C=CC[C@@H](CO)[NH2+][C@@H](CC)c1ccc(C(F)(F)F)cc1[O-] ZINC000810237767 626991332 /nfs/dbraw/zinc/99/13/32/626991332.db2.gz VXQYSFOJBVILKR-AAEUAGOBSA-N 0 1 303.324 3.389 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)OCCC1(C#N)CCCCC1 ZINC000885258986 626995253 /nfs/dbraw/zinc/99/52/53/626995253.db2.gz KITNQOABGYLHSM-CJNGLKHVSA-N 0 1 315.417 3.483 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C2(F)CCCCC2)c1 ZINC000810529157 627012273 /nfs/dbraw/zinc/01/22/73/627012273.db2.gz ABGMPFAFQFXIQK-AWEZNQCLSA-N 0 1 318.348 3.015 20 30 DGEDMN CC(C)(C)[N@H+]1CC[C@@](F)(C(=O)OCCC#Cc2ccccc2)C1 ZINC000810597082 627021264 /nfs/dbraw/zinc/02/12/64/627021264.db2.gz XSTBSIUSRJBQKJ-IBGZPJMESA-N 0 1 317.404 3.184 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OCCC#Cc2ccccc2)C1 ZINC000810597082 627021267 /nfs/dbraw/zinc/02/12/67/627021267.db2.gz XSTBSIUSRJBQKJ-IBGZPJMESA-N 0 1 317.404 3.184 20 30 DGEDMN C#Cc1ccccc1CC(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000810640656 627030564 /nfs/dbraw/zinc/03/05/64/627030564.db2.gz FDOKZYQTGKSMLQ-SFHVURJKSA-N 0 1 323.392 3.011 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OCC2(C#N)CCCCC2)C1 ZINC000810661298 627033038 /nfs/dbraw/zinc/03/30/38/627033038.db2.gz IHOGYFSQRRZEAH-KRWDZBQOSA-N 0 1 310.413 3.216 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2CC[C@@H]3CCCCN23)c(Cl)c1 ZINC000885670179 627041436 /nfs/dbraw/zinc/04/14/36/627041436.db2.gz VQDYSTKJDOAKSF-XJKSGUPXSA-N 0 1 302.805 3.277 20 30 DGEDMN C[C@H](c1cc(F)ccc1F)N(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885958411 627069475 /nfs/dbraw/zinc/06/94/75/627069475.db2.gz GVTBEHUKRFNVRK-TZMCWYRMSA-N 0 1 308.372 3.402 20 30 DGEDMN C[C@H]1c2ccc(F)cc2CCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000886016363 627084376 /nfs/dbraw/zinc/08/43/76/627084376.db2.gz CTZMGRZGZOKYGM-BBRMVZONSA-N 0 1 302.393 3.190 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2C)C1 ZINC000886355798 627142230 /nfs/dbraw/zinc/14/22/30/627142230.db2.gz NWCYWYXTVPPCQD-KBPBESRZSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cccc([N+](=O)[O-])c2Br)C1 ZINC000886366031 627143456 /nfs/dbraw/zinc/14/34/56/627143456.db2.gz VPRHMGXFGUFIPK-NSHDSACASA-N 0 1 323.190 3.203 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@]3(CO)CCC[C@@H]23)c(C(F)(F)F)c1 ZINC000886634413 627177174 /nfs/dbraw/zinc/17/71/74/627177174.db2.gz DCURYEQRWQDASU-HZPDHXFCSA-N 0 1 324.346 3.314 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@@H]3CCCc4[nH]ncc43)cc2n1 ZINC000886647647 627179890 /nfs/dbraw/zinc/17/98/90/627179890.db2.gz WPGDLOQSOJBMIT-CQSZACIVSA-N 0 1 321.384 3.004 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@@H]3CCN3C3CCCC3)cc2n1 ZINC000886649342 627180385 /nfs/dbraw/zinc/18/03/85/627180385.db2.gz XUQPXTYFRWWQJM-SFHVURJKSA-N 0 1 324.428 3.178 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccnc(CN[C@H]2CC[C@H](C#N)C2)c1 ZINC000886681315 627188591 /nfs/dbraw/zinc/18/85/91/627188591.db2.gz WYELFCKDJSXVJX-STQMWFEESA-N 0 1 316.405 3.210 20 30 DGEDMN CC(C)OC(=O)C1CCN([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000886730519 627198143 /nfs/dbraw/zinc/19/81/43/627198143.db2.gz FRMYTZBWVQDDEZ-AWEZNQCLSA-N 0 1 300.402 3.283 20 30 DGEDMN C#CCn1ccc(CN(CC)CCc2ccc(F)cc2Cl)n1 ZINC000811497799 627281830 /nfs/dbraw/zinc/28/18/30/627281830.db2.gz VGWYEDJRDUFGPQ-UHFFFAOYSA-N 0 1 319.811 3.373 20 30 DGEDMN C#C[C@H](NCc1cn2ccc(Br)cc2n1)C(C)(C)C ZINC000811507834 627283563 /nfs/dbraw/zinc/28/35/63/627283563.db2.gz PMKZNSFVBGURBP-ZDUSSCGKSA-N 0 1 320.234 3.234 20 30 DGEDMN C#C[C@H](NCc1cc2c(cc1O)OCO2)c1ccc(Cl)cc1 ZINC000811516913 627286490 /nfs/dbraw/zinc/28/64/90/627286490.db2.gz XZERDGOZCBJEKI-AWEZNQCLSA-N 0 1 315.756 3.238 20 30 DGEDMN Cc1cc(NN=Cc2cc(O)cc(C(F)(F)F)c2)nc(C)n1 ZINC000811637761 627297252 /nfs/dbraw/zinc/29/72/52/627297252.db2.gz JYOFIRFULKSRRT-UHFFFAOYSA-N 0 1 310.279 3.264 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC1CCc2ccc(C#N)cc21 ZINC000811648797 627299197 /nfs/dbraw/zinc/29/91/97/627299197.db2.gz YZGWYCKIMVJEQB-UHFFFAOYSA-N 0 1 323.327 3.246 20 30 DGEDMN COCCCN=NC([C@@H](C)OCc1ccccc1)C(F)(F)F ZINC000811647975 627299331 /nfs/dbraw/zinc/29/93/31/627299331.db2.gz HWYSZEMAUGFEOH-GFCCVEGCSA-N 0 1 318.339 3.136 20 30 DGEDMN CC[C@@H](O)CNN=Cc1cc(F)ccc1N(C)C[C@@H](C)CC ZINC000811655918 627300621 /nfs/dbraw/zinc/30/06/21/627300621.db2.gz YBKAKOFPOBNBJQ-XJKSGUPXSA-N 0 1 309.429 3.002 20 30 DGEDMN C(=NNC[C@@H]1CCSC1)c1ccc(N2CCCCC2)s1 ZINC000811661356 627301986 /nfs/dbraw/zinc/30/19/86/627301986.db2.gz JONLDGWHAZUCLR-ZDUSSCGKSA-N 0 1 309.504 3.415 20 30 DGEDMN Cn1cc(C=NN=c2ccc3ccccc3[nH]2)c(C(F)F)n1 ZINC000812221758 627361667 /nfs/dbraw/zinc/36/16/67/627361667.db2.gz HBCJORZICOUUEX-UHFFFAOYSA-N 0 1 301.300 3.352 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)Cc2ccccc2CC#N)c1 ZINC000812706693 627413023 /nfs/dbraw/zinc/41/30/23/627413023.db2.gz WUMGSESRCLIQCH-UHFFFAOYSA-N 0 1 322.364 3.232 20 30 DGEDMN COc1ccc(F)cc1CNCc1ccc(OCC#N)cc1 ZINC000812755292 627417306 /nfs/dbraw/zinc/41/73/06/627417306.db2.gz QYIMNUAEXDBLLK-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN CO[C@](C)(C(=O)[C@@H](C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000813067350 627445312 /nfs/dbraw/zinc/44/53/12/627445312.db2.gz OMTWIGMYBAMHFK-BZNIZROVSA-N 0 1 318.373 3.427 20 30 DGEDMN N#C[C@@H](C(=O)C1CC(F)(F)C1)c1cc(C(F)(F)F)ccn1 ZINC000813218469 627468941 /nfs/dbraw/zinc/46/89/41/627468941.db2.gz WEMJELBWJZUZHC-SECBINFHSA-N 0 1 304.218 3.322 20 30 DGEDMN CCc1cc(C#N)ccc1OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000813238801 627471426 /nfs/dbraw/zinc/47/14/26/627471426.db2.gz JIPXBDSRLXCFCM-GOSISDBHSA-N 0 1 318.392 3.239 20 30 DGEDMN C=CCCC[C@H](NC(=O)N[C@H](C)c1nnc[nH]1)c1ccccc1 ZINC000889934294 627485512 /nfs/dbraw/zinc/48/55/12/627485512.db2.gz PPJDCOWOHYVOBK-HIFRSBDPSA-N 0 1 313.405 3.263 20 30 DGEDMN COc1ccc2nc(NN=Cc3snnc3C)c(C)cc2c1 ZINC000814226141 627552498 /nfs/dbraw/zinc/55/24/98/627552498.db2.gz PQHIYMGHMXCXAX-UHFFFAOYSA-N 0 1 313.386 3.158 20 30 DGEDMN Cc1cc2ccc(Cl)cc2nc1NN=Cc1cn(C)[nH]c1=O ZINC000814226288 627552538 /nfs/dbraw/zinc/55/25/38/627552538.db2.gz UEOSSSQGQMNUMA-UHFFFAOYSA-N 0 1 315.764 3.082 20 30 DGEDMN CCCCCCCCCN1CCC[C@H](CS(C)(=O)=O)C1 ZINC000814643418 627579977 /nfs/dbraw/zinc/57/99/77/627579977.db2.gz YVUVNHXMBAYELQ-INIZCTEOSA-N 0 1 303.512 3.494 20 30 DGEDMN Cc1cc(Cl)cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1 ZINC000814724311 627586570 /nfs/dbraw/zinc/58/65/70/627586570.db2.gz USYVEFCGKZDPSS-UHFFFAOYSA-N 0 1 320.727 3.430 20 30 DGEDMN COc1ccc(F)c(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)c1 ZINC000814795137 627591945 /nfs/dbraw/zinc/59/19/45/627591945.db2.gz RVSRCCNQUVWNOV-UHFFFAOYSA-N 0 1 320.274 3.435 20 30 DGEDMN Fc1cc2[nH]c(=NN=Cc3cccnc3Cl)[nH]c2cc1F ZINC000814796216 627592146 /nfs/dbraw/zinc/59/21/46/627592146.db2.gz QJJGPCBWPXQNIV-UHFFFAOYSA-N 0 1 307.691 3.336 20 30 DGEDMN Cc1cc(NN=C2COc3c2cc(Cl)cc3C)nc(C)n1 ZINC000814807291 627593268 /nfs/dbraw/zinc/59/32/68/627593268.db2.gz OKLUIDGYNUDBFO-UHFFFAOYSA-N 0 1 302.765 3.264 20 30 DGEDMN Cc1ccc(N=NC2CCC[N@@H+](Cc3ccccc3)[C@@H]2C)nn1 ZINC000814840028 627597387 /nfs/dbraw/zinc/59/73/87/627597387.db2.gz CEWPMCBXJRMFKG-OAHLLOKOSA-N 0 1 309.417 3.237 20 30 DGEDMN Cc1ccc(N=NC2CCCN(Cc3ccccc3)[C@@H]2C)nn1 ZINC000814840028 627597390 /nfs/dbraw/zinc/59/73/90/627597390.db2.gz CEWPMCBXJRMFKG-OAHLLOKOSA-N 0 1 309.417 3.237 20 30 DGEDMN COc1ccc(NN=Cc2cccc(O)c2Cl)c([N+](=O)[O-])c1 ZINC000814880598 627602400 /nfs/dbraw/zinc/60/24/00/627602400.db2.gz IPXCSNRNGJUPAU-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN COc1ccc(N=NCc2cccc(O)c2Cl)c([N+](=O)[O-])c1 ZINC000814880598 627602403 /nfs/dbraw/zinc/60/24/03/627602403.db2.gz IPXCSNRNGJUPAU-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN CC(CCCCCCC(=O)[O-])=[NH+]n1cc(Br)cn1 ZINC000814884126 627602525 /nfs/dbraw/zinc/60/25/25/627602525.db2.gz CYVKLCLGHYFWIQ-UHFFFAOYSA-N 0 1 316.199 3.295 20 30 DGEDMN COc1cccc(-c2n[nH]cc2CN=Nc2ncccc2C)c1 ZINC000814911507 627606785 /nfs/dbraw/zinc/60/67/85/627606785.db2.gz LHBPAAGYNLSNIZ-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)c1ccccc1C#N ZINC000814922957 627608175 /nfs/dbraw/zinc/60/81/75/627608175.db2.gz FGWPRDABKCRCCS-UHFFFAOYSA-N 0 1 311.316 3.320 20 30 DGEDMN Cn1nncc1NN=C(CCc1ccccc1)c1ccccc1 ZINC000814981240 627615179 /nfs/dbraw/zinc/61/51/79/627615179.db2.gz ZJJBIMAMCVOQOD-UHFFFAOYSA-N 0 1 305.385 3.264 20 30 DGEDMN CC(=NNc1cnnn1C)c1ccc(SCC(F)F)cc1 ZINC000814981404 627615280 /nfs/dbraw/zinc/61/52/80/627615280.db2.gz GRZJVKQKGOGOCC-UHFFFAOYSA-N 0 1 311.361 3.008 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCCN(Cc2ccccc2C)CC1 ZINC000815004315 627616126 /nfs/dbraw/zinc/61/61/26/627616126.db2.gz DOSLLQGLMHWZTF-UHFFFAOYSA-N 0 1 322.399 3.241 20 30 DGEDMN C#CCNC(=O)CCN(C)[C@H](C)c1ccc(Cl)cc1Cl ZINC000815010321 627616248 /nfs/dbraw/zinc/61/62/48/627616248.db2.gz SZDWGRRQEXSFLH-LLVKDONJSA-N 0 1 313.228 3.126 20 30 DGEDMN C=CCOCC[N@@H+](CC)Cc1cc(Br)ccc1[O-] ZINC000815055388 627619703 /nfs/dbraw/zinc/61/97/03/627619703.db2.gz YYHPVSILTGRCSN-UHFFFAOYSA-N 0 1 314.223 3.179 20 30 DGEDMN C=CCOCC[N@H+](CC)Cc1cc(Br)ccc1[O-] ZINC000815055388 627619707 /nfs/dbraw/zinc/61/97/07/627619707.db2.gz YYHPVSILTGRCSN-UHFFFAOYSA-N 0 1 314.223 3.179 20 30 DGEDMN CCCCC1(C(=O)OCC(=O)[C@H](C#N)c2nc(C)cs2)CC1 ZINC000924429006 627639155 /nfs/dbraw/zinc/63/91/55/627639155.db2.gz URJVTUXUERJPLQ-LBPRGKRZSA-N 0 1 320.414 3.141 20 30 DGEDMN CCCCC1(C(=O)OCC(=O)C(C#N)c2nc(C)cs2)CC1 ZINC000924429006 627639159 /nfs/dbraw/zinc/63/91/59/627639159.db2.gz URJVTUXUERJPLQ-LBPRGKRZSA-N 0 1 320.414 3.141 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1CC2CCC1CC2 ZINC000815904594 627711414 /nfs/dbraw/zinc/71/14/14/627711414.db2.gz KTKXCUYYWZVOSJ-FJBKBRRZSA-N 0 1 304.434 3.218 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC(C)(C)C1CC1 ZINC000815909216 627712062 /nfs/dbraw/zinc/71/20/62/627712062.db2.gz UPBAKURLTZNANA-CYBMUJFWSA-N 0 1 314.385 3.169 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F ZINC000815909020 627712172 /nfs/dbraw/zinc/71/21/72/627712172.db2.gz PFNFGWFXXWOUCS-QWRGUYRKSA-N 0 1 324.327 3.024 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F ZINC000815909019 627712256 /nfs/dbraw/zinc/71/22/56/627712256.db2.gz PFNFGWFXXWOUCS-MNOVXSKESA-N 0 1 324.327 3.024 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1ccnn1C1CCC1 ZINC000925369827 627731338 /nfs/dbraw/zinc/73/13/38/627731338.db2.gz VZIMJGFVXFSSDR-CQSZACIVSA-N 0 1 310.401 3.361 20 30 DGEDMN CCc1ccc(C#CC(=O)N[C@H](C)c2n[nH]c([C@@H](C)CC)n2)cc1 ZINC000816480465 627795245 /nfs/dbraw/zinc/79/52/45/627795245.db2.gz NSOAFQFKGMAWSC-UONOGXRCSA-N 0 1 324.428 3.110 20 30 DGEDMN CC[C@H]([NH2+]C1CC(N2CCCCC2)C1)c1cccc(C#N)c1[O-] ZINC000926337210 627881664 /nfs/dbraw/zinc/88/16/64/627881664.db2.gz MGXKXUQJHPXLKC-HTWSVDAQSA-N 0 1 313.445 3.321 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)[C@@H]1CC12CC2 ZINC000817713349 627937262 /nfs/dbraw/zinc/93/72/62/627937262.db2.gz RIKUBGJXLDGPIU-NEPJUHHUSA-N 0 1 323.179 3.441 20 30 DGEDMN C=C(Br)CNC[C@H](O)c1ccc(Cl)c(F)c1 ZINC000818979522 628071925 /nfs/dbraw/zinc/07/19/25/628071925.db2.gz PBUVNKXONSVKFY-NSHDSACASA-N 0 1 308.578 3.011 20 30 DGEDMN CCC(CC)c1cc(CNC[C@H](O)c2ccc(C#N)cc2)on1 ZINC000819104962 628092715 /nfs/dbraw/zinc/09/27/15/628092715.db2.gz BFUDDEUVVRWRLJ-SFHVURJKSA-N 0 1 313.401 3.273 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)Nc1ccc(CCC#N)cc1 ZINC000928404148 628148857 /nfs/dbraw/zinc/14/88/57/628148857.db2.gz JDYFINRKKWAJPO-UHFFFAOYSA-N 0 1 322.290 3.445 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](c2ccccc2)[C@H](C)CC)CC1 ZINC000928655036 628172913 /nfs/dbraw/zinc/17/29/13/628172913.db2.gz IUNGIXDFUGMOHT-VQIMIIECSA-N 0 1 312.457 3.030 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)[C@H](C)C2CCCCC2)o1 ZINC000819783546 628190619 /nfs/dbraw/zinc/19/06/19/628190619.db2.gz YYYMUUDPILUIMK-VIFPVBQESA-N 0 1 317.397 3.167 20 30 DGEDMN CC(=NNc1ncc(Br)cc1C)c1cn(C)nc1C ZINC000819827267 628194200 /nfs/dbraw/zinc/19/42/00/628194200.db2.gz HFNFHRDEJZQBPQ-UHFFFAOYSA-N 0 1 322.210 3.031 20 30 DGEDMN Cc1cc(Br)cnc1N=NCc1cncn1C(C)C ZINC000819829028 628194641 /nfs/dbraw/zinc/19/46/41/628194641.db2.gz YWGHTDHZSMNKJN-UHFFFAOYSA-N 0 1 322.210 3.376 20 30 DGEDMN N#CC1(CCCCN2CCN(Cc3ccco3)CC2)CCC1 ZINC000929190888 628223405 /nfs/dbraw/zinc/22/34/05/628223405.db2.gz DBJFKMUBBIPALG-UHFFFAOYSA-N 0 1 301.434 3.261 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2ccc(CN3CCCCC3)o2)cc1 ZINC000821010424 628325827 /nfs/dbraw/zinc/32/58/27/628325827.db2.gz YOHBUDZAXPMNMZ-UHFFFAOYSA-N 0 1 322.408 3.177 20 30 DGEDMN C=C(Br)CN1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000823660691 628584336 /nfs/dbraw/zinc/58/43/36/628584336.db2.gz NMMBSDOGUIJYAL-ZDUSSCGKSA-N 0 1 300.240 3.176 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000823736883 628591770 /nfs/dbraw/zinc/59/17/70/628591770.db2.gz CJTDPFNPTOBAAM-CABCVRRESA-N 0 1 307.438 3.313 20 30 DGEDMN N#CC1(CNC(=O)c2ccc(CN3CCCCC3)o2)CCCC1 ZINC000823852750 628603091 /nfs/dbraw/zinc/60/30/91/628603091.db2.gz XNRMVBIPQODZQH-UHFFFAOYSA-N 0 1 315.417 3.079 20 30 DGEDMN C=CCN(Cc1cccc(-c2nn[nH]n2)c1)[C@@H](C)c1ccccn1 ZINC000823914922 628609462 /nfs/dbraw/zinc/60/94/62/628609462.db2.gz OUWAUCRDQGUDCQ-AWEZNQCLSA-N 0 1 320.400 3.011 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccccc2F)s1)[C@@H]1CCCO1 ZINC000824195516 628636033 /nfs/dbraw/zinc/63/60/33/628636033.db2.gz GZDCJMCBNQXKAU-CVEARBPZSA-N 0 1 316.401 3.220 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@H]2CCCC[C@@H]2C)o1 ZINC000824352497 628653979 /nfs/dbraw/zinc/65/39/79/628653979.db2.gz FYNVTGZNMGTSQK-WDEREUQCSA-N 0 1 317.397 3.202 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN(C)CC(C)(C)C#N ZINC000824433030 628660515 /nfs/dbraw/zinc/66/05/15/628660515.db2.gz IFJIMLOFOOIDKQ-AWEZNQCLSA-N 0 1 311.470 3.265 20 30 DGEDMN CCC[N@@H+](Cc1ccc(F)c(C#N)c1)C1CCN(C(=O)[O-])CC1 ZINC000826911934 628902883 /nfs/dbraw/zinc/90/28/83/628902883.db2.gz JKZXNEBTUVAYEC-UHFFFAOYSA-N 0 1 319.380 3.052 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN1CC[C@@](C)(C#N)C1 ZINC000827650041 628979987 /nfs/dbraw/zinc/97/99/87/628979987.db2.gz MODRINCXGXYOLT-YOEHRIQHSA-N 0 1 309.454 3.019 20 30 DGEDMN C#CCCN(CCOC)Cc1c(C)nc2scc(C3CC3)n12 ZINC000827827645 628995080 /nfs/dbraw/zinc/99/50/80/628995080.db2.gz WGONQPFCIGXUQP-UHFFFAOYSA-N 0 1 317.458 3.053 20 30 DGEDMN Cc1nc2c(Cl)cccc2cc1C(=O)NC1=NO[C@H](C)C1 ZINC000867062783 629168276 /nfs/dbraw/zinc/16/82/76/629168276.db2.gz JJQNWILTNILNFT-MRVPVSSYSA-N 0 1 303.749 3.049 20 30 DGEDMN C#CC[C@@H]1CCCN(C[C@H](O)c2cccc(Br)c2)C1 ZINC000829774577 629190659 /nfs/dbraw/zinc/19/06/59/629190659.db2.gz QIVOQOIPNDYSOC-CJNGLKHVSA-N 0 1 322.246 3.218 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(SC)c2)C1 ZINC000829774730 629190906 /nfs/dbraw/zinc/19/09/06/629190906.db2.gz SPSRMEDNFRWWDJ-HUUCEWRRSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](CCCC)C(=O)Nc2cc(C)on2)C1 ZINC000829799494 629194572 /nfs/dbraw/zinc/19/45/72/629194572.db2.gz POMKCMAWCSSIST-JKSUJKDBSA-N 0 1 317.433 3.216 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc3oc4ccccc4c3c2)C1 ZINC000829969305 629213531 /nfs/dbraw/zinc/21/35/31/629213531.db2.gz OQTRFZABMKVCOZ-IBGZPJMESA-N 0 1 306.365 3.436 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2C)CC1 ZINC000830316051 629252150 /nfs/dbraw/zinc/25/21/50/629252150.db2.gz BWZXXFXUWLLZGN-ZDUSSCGKSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CC1 ZINC000830345645 629256716 /nfs/dbraw/zinc/25/67/16/629256716.db2.gz LGMVMHWVDZFGQX-UHFFFAOYSA-N 0 1 311.341 3.095 20 30 DGEDMN C=CCOCCCC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831904665 629426670 /nfs/dbraw/zinc/42/66/70/629426670.db2.gz GWMMXIUKZBGQBZ-OAHLLOKOSA-N 0 1 319.449 3.222 20 30 DGEDMN Cc1ccccc1[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000832977267 629543490 /nfs/dbraw/zinc/54/34/90/629543490.db2.gz GSBGPYZAFFOZQP-MJGOQNOKSA-N 0 1 320.392 3.482 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063796 629554584 /nfs/dbraw/zinc/55/45/84/629554584.db2.gz ATEXIPHSPYRQSK-JKSUJKDBSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063796 629554586 /nfs/dbraw/zinc/55/45/86/629554586.db2.gz ATEXIPHSPYRQSK-JKSUJKDBSA-N 0 1 310.353 3.250 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3cccc(F)c3)C2)c1 ZINC000833074334 629555442 /nfs/dbraw/zinc/55/54/42/629555442.db2.gz VRWHKWCNDQXWRM-WMZOPIPTSA-N 0 1 324.355 3.313 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3F)C2)c1 ZINC000833074474 629555623 /nfs/dbraw/zinc/55/56/23/629555623.db2.gz YPSWFTDBBXHFQP-QAPCUYQASA-N 0 1 324.355 3.313 20 30 DGEDMN C#CCOc1ccc(C[N@H+](C)Cc2ccc(C(=O)[O-])cc2)cc1 ZINC000833366996 629593105 /nfs/dbraw/zinc/59/31/05/629593105.db2.gz OIFDUBSJHOBFFW-UHFFFAOYSA-N 0 1 309.365 3.029 20 30 DGEDMN CCc1cnccc1[C@@H](C)[NH2+]Cc1cccc(C(=O)[O-])c1C#N ZINC000833674890 629639145 /nfs/dbraw/zinc/63/91/45/629639145.db2.gz VSIOAXGFPGVBBZ-GFCCVEGCSA-N 0 1 309.369 3.065 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000834888529 629798760 /nfs/dbraw/zinc/79/87/60/629798760.db2.gz NYBBJMBEFCFMDM-IUPBHXKESA-N 0 1 312.207 3.149 20 30 DGEDMN C#Cc1cccc(CN[C@@H](C)c2ccccc2OCC(=O)OC)c1 ZINC000834902230 629803762 /nfs/dbraw/zinc/80/37/62/629803762.db2.gz FYQVEFDEBASDFA-HNNXBMFYSA-N 0 1 323.392 3.071 20 30 DGEDMN CC1(C)OCC[C@H]1NN=Cc1c(Cl)cccc1N1CCCC1 ZINC000835016998 629824178 /nfs/dbraw/zinc/82/41/78/629824178.db2.gz PXHOXLGTZWBOSI-MRXNPFEDSA-N 0 1 321.852 3.431 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1ncc(F)cc1F ZINC000835022489 629826843 /nfs/dbraw/zinc/82/68/43/629826843.db2.gz LKJPIECFKAVWOO-GFCCVEGCSA-N 0 1 316.355 3.280 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C[C@@H]1C ZINC000835061451 629840775 /nfs/dbraw/zinc/84/07/75/629840775.db2.gz LEUNSZHCYQHXLJ-OHFALNGGSA-N 0 1 312.413 3.084 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H]1CC1(F)F ZINC000835064392 629842574 /nfs/dbraw/zinc/84/25/74/629842574.db2.gz VXJAUQKZPQBANJ-QWHCGFSZSA-N 0 1 320.339 3.114 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C2=CC[C@@H](C)CC2)n1 ZINC000835111226 629855372 /nfs/dbraw/zinc/85/53/72/629855372.db2.gz YEMFLAMDFZHOJV-PWSUYJOCSA-N 0 1 318.398 3.242 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000835341922 629910519 /nfs/dbraw/zinc/91/05/19/629910519.db2.gz XAKANRZWJFQAAX-IKGGRYGDSA-N 0 1 312.413 3.146 20 30 DGEDMN CC(C)CCCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000835341604 629910635 /nfs/dbraw/zinc/91/06/35/629910635.db2.gz VMOKQRXBSUEYGQ-CQSZACIVSA-N 0 1 322.355 3.116 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000835388182 629920711 /nfs/dbraw/zinc/92/07/11/629920711.db2.gz PNLQDEXVSKYWIG-JTQLQIEISA-N 0 1 323.418 3.452 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)O[C@H]2CC[C@@](C)(C#N)CC2)C1 ZINC000836152038 630074597 /nfs/dbraw/zinc/07/45/97/630074597.db2.gz WJZZHAOPTQQOMR-XOKHGSTOSA-N 0 1 310.413 3.215 20 30 DGEDMN C=CCC1(NC(=O)Cc2n[nH]c3c2CCCC3)CCCCC1 ZINC000836957622 630192984 /nfs/dbraw/zinc/19/29/84/630192984.db2.gz VMQXTFAFXGOLCN-UHFFFAOYSA-N 0 1 301.434 3.226 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)C#CC1CC1)c1ccccc1Cl ZINC000837325067 630239898 /nfs/dbraw/zinc/23/98/98/630239898.db2.gz IDQXLWUDERFQSG-QGZVFWFLSA-N 0 1 318.848 3.253 20 30 DGEDMN Cn1cc(CNc2nccn2C2CC2)c(-c2ccc(C#N)cc2)n1 ZINC000840887383 630372991 /nfs/dbraw/zinc/37/29/91/630372991.db2.gz MGXYLMZFDGBQQY-UHFFFAOYSA-N 0 1 318.384 3.102 20 30 DGEDMN C#CCCCS(=O)(=O)Nc1ccc2nc(C(C)(C)C)[nH]c2c1 ZINC000840947899 630382697 /nfs/dbraw/zinc/38/26/97/630382697.db2.gz BWELRKWANFIBAF-UHFFFAOYSA-N 0 1 319.430 3.016 20 30 DGEDMN Cc1nn(C)c(Cl)c1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000841894880 630474070 /nfs/dbraw/zinc/47/40/70/630474070.db2.gz ZLLQGRYPGSSIIX-MRXNPFEDSA-N 0 1 314.820 3.421 20 30 DGEDMN C#CC[C@@H]1CCC[N@@H+](Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000842628356 630554735 /nfs/dbraw/zinc/55/47/35/630554735.db2.gz BGKAGVDGQIMAGG-QGZVFWFLSA-N 0 1 304.397 3.115 20 30 DGEDMN CCCc1ccc(CCCC(=O)C(C#N)C(=O)NC(C)C)cc1 ZINC000842690365 630563456 /nfs/dbraw/zinc/56/34/56/630563456.db2.gz UTMFTBKDWDAOQG-KRWDZBQOSA-N 0 1 314.429 3.195 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2cc(Br)cnc2Cl)C1 ZINC000842713326 630566820 /nfs/dbraw/zinc/56/68/20/630566820.db2.gz BDWJSEABCSJNQT-GZMMTYOYSA-N 0 1 314.614 3.279 20 30 DGEDMN N#C[C@@H](C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940786 630596459 /nfs/dbraw/zinc/59/64/59/630596459.db2.gz DVSUNXDEWWDOJB-HJFNVNOESA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940786 630596460 /nfs/dbraw/zinc/59/64/60/630596460.db2.gz DVSUNXDEWWDOJB-HJFNVNOESA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940786 630596461 /nfs/dbraw/zinc/59/64/61/630596461.db2.gz DVSUNXDEWWDOJB-HJFNVNOESA-N 0 1 302.399 3.180 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccnn2CC(C)C)c(O)c1 ZINC000843269478 630639334 /nfs/dbraw/zinc/63/93/34/630639334.db2.gz NOYIUTAIRKCEFO-DAXSKMNVSA-N 0 1 300.358 3.149 20 30 DGEDMN N#CC1(NC(=O)C(F)(F)c2cccc(Cl)c2Cl)CC1 ZINC000843567414 630672609 /nfs/dbraw/zinc/67/26/09/630672609.db2.gz BYOPSTOAVPTUNT-UHFFFAOYSA-N 0 1 305.111 3.258 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCCN1Cc1ccccc1)c1ccccc1 ZINC000843640008 630682567 /nfs/dbraw/zinc/68/25/67/630682567.db2.gz WPAOGOZXJPNGOA-WOJBJXKFSA-N 0 1 318.420 3.142 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCCN1Cc1ccccc1)c1ccccc1 ZINC000843640006 630682732 /nfs/dbraw/zinc/68/27/32/630682732.db2.gz WPAOGOZXJPNGOA-VQTJNVASSA-N 0 1 318.420 3.142 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](CC)OC[C@H]2C)c1O ZINC000844729182 630815846 /nfs/dbraw/zinc/81/58/46/630815846.db2.gz GMRHTMDESOVMRH-CZUORRHYSA-N 0 1 305.418 3.129 20 30 DGEDMN CC[C@H](C)c1ccc(NC(=O)[C@@H](C#N)C(=O)c2cocn2)cc1 ZINC000105758556 631057485 /nfs/dbraw/zinc/05/74/85/631057485.db2.gz GAHQPPNYUWMQAM-FZMZJTMJSA-N 0 1 311.341 3.149 20 30 DGEDMN CC[C@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2cocn2)cc1 ZINC000105758556 631057490 /nfs/dbraw/zinc/05/74/90/631057490.db2.gz GAHQPPNYUWMQAM-FZMZJTMJSA-N 0 1 311.341 3.149 20 30 DGEDMN CC[C@@H](C)c1ccc(NC(=O)[C@@H](C#N)C(=O)c2cocn2)cc1 ZINC000105758551 631057724 /nfs/dbraw/zinc/05/77/24/631057724.db2.gz GAHQPPNYUWMQAM-RISCZKNCSA-N 0 1 311.341 3.149 20 30 DGEDMN CC[C@@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2cocn2)cc1 ZINC000105758551 631057727 /nfs/dbraw/zinc/05/77/27/631057727.db2.gz GAHQPPNYUWMQAM-RISCZKNCSA-N 0 1 311.341 3.149 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C)CC1 ZINC000845441029 631174910 /nfs/dbraw/zinc/17/49/10/631174910.db2.gz BHUCYJLFSQMHQY-IHRRRGAJSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCc3ccccc3C2)nc(C)n1 ZINC000845444159 631175421 /nfs/dbraw/zinc/17/54/21/631175421.db2.gz LMECOPOPUUTNHS-SJORKVTESA-N 0 1 305.381 3.075 20 30 DGEDMN N#CC(C(=O)CCc1ccco1)=C(O)C1(c2ccccc2)CC1 ZINC000845839867 631198495 /nfs/dbraw/zinc/19/84/95/631198495.db2.gz KEFUSVPVXYVRLN-INIZCTEOSA-N 0 1 307.349 3.222 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)CCC1=O ZINC000845840527 631198551 /nfs/dbraw/zinc/19/85/51/631198551.db2.gz DGHJCHULVLVADR-IJEWVQPXSA-N 0 1 323.392 3.001 20 30 DGEDMN N#CC(C(=O)[C@@H]1Cc2ccccc21)=C(O)C1(c2ccccc2)CC1 ZINC000845840797 631198564 /nfs/dbraw/zinc/19/85/64/631198564.db2.gz FUIBJTHUAHLPRH-MSOLQXFVSA-N 0 1 315.372 3.336 20 30 DGEDMN N#CC(C(=O)CCc1ccncc1)=C(O)C1(c2ccccc2)CC1 ZINC000845841728 631198646 /nfs/dbraw/zinc/19/86/46/631198646.db2.gz PNWLBKKQXQOIOT-KRWDZBQOSA-N 0 1 318.376 3.024 20 30 DGEDMN CC[C@H](C)[C@@H](OC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843397 631198769 /nfs/dbraw/zinc/19/87/69/631198769.db2.gz DAUKBTIYOVPDIV-YSVLISHTSA-N 0 1 313.397 3.057 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCSC1)=C(O)C1(c2ccccc2)CC1 ZINC000845845124 631198932 /nfs/dbraw/zinc/19/89/32/631198932.db2.gz VKRBUSBEXAXYKS-UKRRQHHQSA-N 0 1 313.422 3.139 20 30 DGEDMN CO[C@@H](CC(C)C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843919 631198946 /nfs/dbraw/zinc/19/89/46/631198946.db2.gz NUBXFDMGPZRXST-HOTGVXAUSA-N 0 1 313.397 3.057 20 30 DGEDMN Cc1nc(-c2ccccc2F)sc1C(=O)NC1=NO[C@@H](C)C1 ZINC000845900683 631201643 /nfs/dbraw/zinc/20/16/43/631201643.db2.gz UJLWCLFFOIZZHZ-QMMMGPOBSA-N 0 1 319.361 3.110 20 30 DGEDMN CC(C)c1ncc(CN(C)C[C@@H](O)c2cccc(C#N)c2)s1 ZINC000846007001 631205576 /nfs/dbraw/zinc/20/55/76/631205576.db2.gz MHDFOOYFTKXJQO-MRXNPFEDSA-N 0 1 315.442 3.304 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1ccc([C@H](C)C(F)(F)F)cc1 ZINC000846263207 631217446 /nfs/dbraw/zinc/21/74/46/631217446.db2.gz FXCAHCULIFKSIC-NSHDSACASA-N 0 1 301.308 3.425 20 30 DGEDMN C=C(C)CONC(=O)[C@H]1CCc2cc(Br)ccc21 ZINC000846531482 631255107 /nfs/dbraw/zinc/25/51/07/631255107.db2.gz BUUVORCFMYGDPL-ZDUSSCGKSA-N 0 1 310.191 3.103 20 30 DGEDMN C=C[C@@H](C)ONC(=O)C(C)(C)c1cccc(Br)c1 ZINC000846551985 631260062 /nfs/dbraw/zinc/26/00/62/631260062.db2.gz YSVYGOVDZRYJRJ-SNVBAGLBSA-N 0 1 312.207 3.349 20 30 DGEDMN C#CCn1ccc(CN(CC)CCCc2c(F)cccc2F)n1 ZINC000846879214 631338081 /nfs/dbraw/zinc/33/80/81/631338081.db2.gz IWQMXWKUOKSRAE-UHFFFAOYSA-N 0 1 317.383 3.249 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2cc(=O)oc3cc4c(cc23)CCC4)C1 ZINC000847033768 631385907 /nfs/dbraw/zinc/38/59/07/631385907.db2.gz ZSPBZGAZUFJGQY-AWEZNQCLSA-N 0 1 307.393 3.127 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)CCCCC#N)c1ccccc1 ZINC000847689502 631514827 /nfs/dbraw/zinc/51/48/27/631514827.db2.gz AMGHABVRPUNYHJ-HOTGVXAUSA-N 0 1 311.385 3.047 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@H](C)Cc1cnc[nH]1 ZINC000847856046 631560284 /nfs/dbraw/zinc/56/02/84/631560284.db2.gz LDAJVQLSMGCNRD-OAHLLOKOSA-N 0 1 313.401 3.125 20 30 DGEDMN CC(C)c1ccccc1CN1CCN(c2ncccc2C#N)CC1 ZINC000848882030 631768973 /nfs/dbraw/zinc/76/89/73/631768973.db2.gz XATHANJBILHTQO-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN CCc1cccc(CN2CCO[C@@H](c3cccc(C#N)c3)C2)c1O ZINC000848891460 631774254 /nfs/dbraw/zinc/77/42/54/631774254.db2.gz YLWBBRGJRBJJSE-LJQANCHMSA-N 0 1 322.408 3.400 20 30 DGEDMN C=CC[C@@H](NCc1cc(C(=O)OC)oc1C)c1ccncc1 ZINC000848897922 631777947 /nfs/dbraw/zinc/77/79/47/631777947.db2.gz WLIHVXGDNAGXRV-OAHLLOKOSA-N 0 1 300.358 3.177 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c[nH]nc2-c2c(F)cccc2F)C1 ZINC000848923198 631788352 /nfs/dbraw/zinc/78/83/52/631788352.db2.gz BDTSLDPBZBTKGG-LBPRGKRZSA-N 0 1 301.340 3.200 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cnc(NC(=O)OC(C)(C)C)s2)C1 ZINC000848924125 631789819 /nfs/dbraw/zinc/78/98/19/631789819.db2.gz OGDVTJCNMHLLKK-GFCCVEGCSA-N 0 1 321.446 3.335 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC000848924752 631790231 /nfs/dbraw/zinc/79/02/31/631790231.db2.gz ZGNYVQYMOSMVMV-ZDUSSCGKSA-N 0 1 305.443 3.271 20 30 DGEDMN C[C@H](NC[C@]12OCCC[C@H]1C2(F)F)c1ccc(C#N)cc1F ZINC000850533981 632184043 /nfs/dbraw/zinc/18/40/43/632184043.db2.gz HVDINXYBKAYLIA-VQISRLSMSA-N 0 1 310.319 3.162 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCC[C@@H]1NCC1(C#N)CCCCC1 ZINC000850551275 632190223 /nfs/dbraw/zinc/19/02/23/632190223.db2.gz UONSSZSZHOBCGQ-LSDHHAIUSA-N 0 1 321.465 3.496 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC[C@H]2CC2(Cl)Cl)nc(C)n1 ZINC000850754059 632215746 /nfs/dbraw/zinc/21/57/46/632215746.db2.gz HQJALRMGOFIYOC-WDEREUQCSA-N 0 1 312.200 3.244 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCc3cc(F)ccc32)nc(C)n1 ZINC000850754932 632216137 /nfs/dbraw/zinc/21/61/37/632216137.db2.gz AMWRMTVHEWQAFS-HZPDHXFCSA-N 0 1 309.344 3.139 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCC[C@H]1Cc1ccccc1)c1ccncn1 ZINC000850946939 632265100 /nfs/dbraw/zinc/26/51/00/632265100.db2.gz BGLQYBWQIQDYKC-BBWFWOEESA-N 0 1 305.381 3.312 20 30 DGEDMN C[C@H](CC#N)C(=O)OC[C@]1(F)CCN([C@H](C)c2ccccc2)C1 ZINC000850948186 632265471 /nfs/dbraw/zinc/26/54/71/632265471.db2.gz PMWSNFNWIDOAQO-RKVPGOIHSA-N 0 1 318.392 3.255 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000850977415 632275288 /nfs/dbraw/zinc/27/52/88/632275288.db2.gz UMYKHKFYSLWTQB-ZIAGYGMSSA-N 0 1 318.804 3.101 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccc(N(CC)C(C)C)cc1 ZINC000852097685 632553542 /nfs/dbraw/zinc/55/35/42/632553542.db2.gz ULHAOKZFNAOQRH-LJQANCHMSA-N 0 1 315.461 3.251 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1cc(Cl)ccc1OC ZINC000852099481 632554487 /nfs/dbraw/zinc/55/44/87/632554487.db2.gz AGEHAHXVTDFCSR-MEDUHNTESA-N 0 1 308.809 3.067 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1nc2ccc(F)cc2s1 ZINC000852099728 632554614 /nfs/dbraw/zinc/55/46/14/632554614.db2.gz DDBBKRDDMARLIR-QLJPJBMISA-N 0 1 319.405 3.154 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccc(SC(F)F)cc1 ZINC000852102504 632555442 /nfs/dbraw/zinc/55/54/42/632555442.db2.gz YONZGPMPKMQHEN-OAHLLOKOSA-N 0 1 312.385 3.331 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(Cl)o2)CC1(C)C ZINC000995455059 660211105 /nfs/dbraw/zinc/21/11/05/660211105.db2.gz JTKPELNDNXBYLX-NSHDSACASA-N 0 1 317.216 3.126 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@@H](C)C1 ZINC000944192395 660215073 /nfs/dbraw/zinc/21/50/73/660215073.db2.gz LFDFTFHCRFNRBW-RDJZCZTQSA-N 0 1 324.424 3.123 20 30 DGEDMN CCCCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174010917 659409902 /nfs/dbraw/zinc/40/99/02/659409902.db2.gz HIVXSBMGYQHCMG-KBPBESRZSA-N 0 1 301.390 3.335 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)=C2CCCC2)CC1(C)C ZINC000995652036 660235593 /nfs/dbraw/zinc/23/55/93/660235593.db2.gz WTCUFJSLNVHWPX-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C23CCC(CC2)C3)CC1(C)C ZINC000995983954 660273500 /nfs/dbraw/zinc/27/35/00/660273500.db2.gz SNWVOCQMWIJTOZ-XOYHFGRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2ccc(C)cc2)CC1(C)C ZINC000996007446 660274072 /nfs/dbraw/zinc/27/40/72/660274072.db2.gz NYXVERNCOHLAJC-INIZCTEOSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc[nH]c2C2CC2)CC1(C)C ZINC000996204491 660277702 /nfs/dbraw/zinc/27/77/02/660277702.db2.gz JBUQELYFKIXXNY-CQSZACIVSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC000996233635 660277864 /nfs/dbraw/zinc/27/78/64/660277864.db2.gz KXCSSLDPLOIPJK-RHSMWYFYSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)cc(C)c2)CC1(C)C ZINC000996558793 660285633 /nfs/dbraw/zinc/28/56/33/660285633.db2.gz VJZFVWLJNDALCE-MRXNPFEDSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)C2CCCC2)CC1(C)C ZINC000996499726 660283959 /nfs/dbraw/zinc/28/39/59/660283959.db2.gz FPPWATXSBIJKEK-UKRRQHHQSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)cccc2C)CC1(C)C ZINC000996343459 660279566 /nfs/dbraw/zinc/27/95/66/660279566.db2.gz OWEVOFCPJLHZJS-OAHLLOKOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(CC)CC)CC1(C)C ZINC000996639578 660288355 /nfs/dbraw/zinc/28/83/55/660288355.db2.gz ZZDWOKDQEODRKS-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1coc2ccc(CC)cc21 ZINC001032405073 660312460 /nfs/dbraw/zinc/31/24/60/660312460.db2.gz KVIMRIZWNKPJNV-HOTGVXAUSA-N 0 1 324.424 3.470 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc2ccc(CC)cc21 ZINC001032405073 660312462 /nfs/dbraw/zinc/31/24/62/660312462.db2.gz KVIMRIZWNKPJNV-HOTGVXAUSA-N 0 1 324.424 3.470 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001032404979 660312505 /nfs/dbraw/zinc/31/25/05/660312505.db2.gz HNUINVVGHIORIB-OKYOBFRVSA-N 0 1 324.468 3.287 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001032404979 660312507 /nfs/dbraw/zinc/31/25/07/660312507.db2.gz HNUINVVGHIORIB-OKYOBFRVSA-N 0 1 324.468 3.287 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)c2ccccc2c1 ZINC001032435639 660321509 /nfs/dbraw/zinc/32/15/09/660321509.db2.gz BBPSTRGVRMQSQU-IRXDYDNUSA-N 0 1 324.399 3.454 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@H](C)C1 ZINC000946314634 660341895 /nfs/dbraw/zinc/34/18/95/660341895.db2.gz TWUSCNZOCODWSF-CRAIPNDOSA-N 0 1 322.408 3.020 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCc2ccccc21 ZINC001032562432 660348997 /nfs/dbraw/zinc/34/89/97/660348997.db2.gz SPGQVDXJWUOUAJ-BQFCYCMXSA-N 0 1 310.441 3.171 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)c2ccc[nH]2)CC1 ZINC000997914235 660353918 /nfs/dbraw/zinc/35/39/18/660353918.db2.gz LZYAIKHXQCZSET-AWEZNQCLSA-N 0 1 309.841 3.084 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001016087719 660368363 /nfs/dbraw/zinc/36/83/63/660368363.db2.gz JPJZZQDLYZIDRI-CQSZACIVSA-N 0 1 313.228 3.374 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC000998112238 660370634 /nfs/dbraw/zinc/37/06/34/660370634.db2.gz SRLMWPJPUQAXKV-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H](C)N2CCC[C@H](C)C2)cc1 ZINC000073600637 660382286 /nfs/dbraw/zinc/38/22/86/660382286.db2.gz UUZAQGAOAGQNQQ-IRXDYDNUSA-N 0 1 313.445 3.362 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2sc(C)cc2C)C1 ZINC000999267509 660414442 /nfs/dbraw/zinc/41/44/42/660414442.db2.gz MGHXWCVFFSCTQD-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC000999494455 660421008 /nfs/dbraw/zinc/42/10/08/660421008.db2.gz PTQBJXWHMLQEBF-QLFBSQMISA-N 0 1 324.877 3.185 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2ccccc2CC)C1 ZINC000999487305 660421120 /nfs/dbraw/zinc/42/11/20/660421120.db2.gz WQBZMDXJJNNXRX-QGZVFWFLSA-N 0 1 320.864 3.125 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)Cc1ccccc1 ZINC001032718620 660424794 /nfs/dbraw/zinc/42/47/94/660424794.db2.gz GHQMGMCAGRGTGZ-ROUUACIJSA-N 0 1 312.457 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000999898230 660429798 /nfs/dbraw/zinc/42/97/98/660429798.db2.gz HUIASHCQGWGMIE-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(C)c1Cl ZINC001032732131 660435093 /nfs/dbraw/zinc/43/50/93/660435093.db2.gz XBYUPKHMEOWGAZ-GJZGRUSLSA-N 0 1 318.848 3.432 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1CCC(C(C)(C)C)CC1 ZINC001032762832 660440696 /nfs/dbraw/zinc/44/06/96/660440696.db2.gz BQXNSZYLEQEPMX-FOIPXRHGSA-N 0 1 316.489 3.147 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c2c1cccc2CC ZINC001032801819 660450695 /nfs/dbraw/zinc/45/06/95/660450695.db2.gz CKWRHQFYWKLRJF-HOTGVXAUSA-N 0 1 323.440 3.205 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001032804700 660451063 /nfs/dbraw/zinc/45/10/63/660451063.db2.gz QQPNWUFPANAUGF-NEWSRXKRSA-N 0 1 312.457 3.035 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001032814758 660454324 /nfs/dbraw/zinc/45/43/24/660454324.db2.gz XEUPQDPXZLKLIW-IRXDYDNUSA-N 0 1 321.424 3.157 20 30 DGEDMN C#Cc1ccc(C(=O)NC2CCN(Cc3ccsc3)CC2)cc1 ZINC001003413303 660492964 /nfs/dbraw/zinc/49/29/64/660492964.db2.gz WZQWDLJTOAKYQQ-UHFFFAOYSA-N 0 1 324.449 3.124 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001044261802 660504884 /nfs/dbraw/zinc/50/48/84/660504884.db2.gz XEGUBHISKDTECQ-UHFFFAOYSA-N 0 1 312.457 3.288 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](C)c2cc3ccccc3o2)CC1 ZINC001003930355 660518117 /nfs/dbraw/zinc/51/81/17/660518117.db2.gz LIKKTHMQYSDKRJ-OAHLLOKOSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc3ccccc3c2)CC1 ZINC001004040473 660526894 /nfs/dbraw/zinc/52/68/94/660526894.db2.gz RZUWPXXWLXUZMV-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2oc3ccccc3c2CC)CC1 ZINC001004237564 660534745 /nfs/dbraw/zinc/53/47/45/660534745.db2.gz FIURGDWUWMTPFP-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(C(C)C)c(Cl)c2)CC1 ZINC001004246593 660535044 /nfs/dbraw/zinc/53/50/44/660535044.db2.gz ZJVISDRXAVPSBM-UHFFFAOYSA-N 0 1 318.848 3.291 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2oc3c(cccc3F)c2C)C1 ZINC001033148741 660560588 /nfs/dbraw/zinc/56/05/88/660560588.db2.gz FEIKOIHKBASDFZ-CYBMUJFWSA-N 0 1 316.376 3.213 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2ccc(CC(C)C)s2)C1 ZINC001033152321 660565723 /nfs/dbraw/zinc/56/57/23/660565723.db2.gz QIGAUPQITGPKRM-HNNXBMFYSA-N 0 1 318.486 3.116 20 30 DGEDMN CCc1ccc(C(=O)C(C#N)c2nnc3n2CCCCC3)s1 ZINC000073973322 660578590 /nfs/dbraw/zinc/57/85/90/660578590.db2.gz FVAAQTDFDBQIOC-GFCCVEGCSA-N 0 1 314.414 3.118 20 30 DGEDMN CCc1ccc(C(=O)[C@@H](C#N)c2nnc3n2CCCCC3)s1 ZINC000073973322 660578592 /nfs/dbraw/zinc/57/85/92/660578592.db2.gz FVAAQTDFDBQIOC-GFCCVEGCSA-N 0 1 314.414 3.118 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001033204547 660589358 /nfs/dbraw/zinc/58/93/58/660589358.db2.gz XYIWMCWHUOCNGV-GFCCVEGCSA-N 0 1 310.800 3.120 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001033290340 660604063 /nfs/dbraw/zinc/60/40/63/660604063.db2.gz QROKBRCCRYHPRZ-LBPRGKRZSA-N 0 1 310.800 3.120 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001033324115 660608383 /nfs/dbraw/zinc/60/83/83/660608383.db2.gz CELNCUITUDWVAV-CQSZACIVSA-N 0 1 306.837 3.289 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3ncccc3s2)C1 ZINC001033322170 660608558 /nfs/dbraw/zinc/60/85/58/660608558.db2.gz SKBSHUZGFRHPNK-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001033459609 660625122 /nfs/dbraw/zinc/62/51/22/660625122.db2.gz MLWKUPBMQUBSKD-CQSZACIVSA-N 0 1 304.434 3.218 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001033481577 660626395 /nfs/dbraw/zinc/62/63/95/660626395.db2.gz ARSXFRRHSWKZDA-HNNXBMFYSA-N 0 1 319.449 3.301 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc3sccc3c2)C1 ZINC001033549115 660631341 /nfs/dbraw/zinc/63/13/41/660631341.db2.gz WGAUHZKYQDNWDP-MRXNPFEDSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001033569662 660634326 /nfs/dbraw/zinc/63/43/26/660634326.db2.gz WYVVFKFLBRANMN-SFHVURJKSA-N 0 1 310.397 3.279 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(Cl)cc2Cl)C1 ZINC001033606547 660637902 /nfs/dbraw/zinc/63/79/02/660637902.db2.gz OFIWVDHUPIZJOE-LBPRGKRZSA-N 0 1 313.228 3.326 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(C)c(CC)s2)C1 ZINC001033644687 660645258 /nfs/dbraw/zinc/64/52/58/660645258.db2.gz PBNQRNAASHZFTJ-CQSZACIVSA-N 0 1 306.475 3.341 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(CC)c(C)s2)C1 ZINC001033648280 660646101 /nfs/dbraw/zinc/64/61/01/660646101.db2.gz NDSFLFQSYRUMEO-HNNXBMFYSA-N 0 1 306.475 3.341 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(OCC(C)C)c2)C1 ZINC001033649376 660646518 /nfs/dbraw/zinc/64/65/18/660646518.db2.gz ZAMWTINWRUJORO-KRWDZBQOSA-N 0 1 316.445 3.054 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001033693257 660650466 /nfs/dbraw/zinc/65/04/66/660650466.db2.gz QZCCLLJKFRDMFK-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700416 660651821 /nfs/dbraw/zinc/65/18/21/660651821.db2.gz YIMQTQNKOUHIQQ-IEBWSBKVSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700416 660651822 /nfs/dbraw/zinc/65/18/22/660651822.db2.gz YIMQTQNKOUHIQQ-IEBWSBKVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cscc2C(F)(F)F)C1 ZINC001033723114 660653968 /nfs/dbraw/zinc/65/39/68/660653968.db2.gz OBHHJOIKNKJXOC-JTQLQIEISA-N 0 1 318.364 3.099 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2coc(C(C)(C)C)n2)C1 ZINC001033835128 660668234 /nfs/dbraw/zinc/66/82/34/660668234.db2.gz HPEGLMXQLWUHLK-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001033844271 660669958 /nfs/dbraw/zinc/66/99/58/660669958.db2.gz QNALVHAUURSMTC-KRWDZBQOSA-N 0 1 316.445 3.034 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2ccc(CCC)s2)C1 ZINC001033849004 660670255 /nfs/dbraw/zinc/67/02/55/660670255.db2.gz HKWRTGBMCIGCRN-OAHLLOKOSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2c(C)oc(C)c2C)C1 ZINC001033888578 660674221 /nfs/dbraw/zinc/67/42/21/660674221.db2.gz CKCFMJLGNHUJQF-INIZCTEOSA-N 0 1 304.434 3.317 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2c(C)oc(C)c2C)C1 ZINC001033888578 660674222 /nfs/dbraw/zinc/67/42/22/660674222.db2.gz CKCFMJLGNHUJQF-INIZCTEOSA-N 0 1 304.434 3.317 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2nc3ccccc3cc2C)C1 ZINC001033906856 660678057 /nfs/dbraw/zinc/67/80/57/660678057.db2.gz QFGDIGAZPUUVJM-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cccc(F)c2F)C1 ZINC001033938736 660684256 /nfs/dbraw/zinc/68/42/56/660684256.db2.gz CFAQTDLMRLUVHY-ZDUSSCGKSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(Cl)c2F)C1 ZINC001033938863 660684575 /nfs/dbraw/zinc/68/45/75/660684575.db2.gz SLJZJYUHSCYQRG-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)cc2C)C1 ZINC001033944427 660685532 /nfs/dbraw/zinc/68/55/32/660685532.db2.gz SLPIORNQMWWXFO-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001033986405 660689316 /nfs/dbraw/zinc/68/93/16/660689316.db2.gz QKYVOZMAHZSYRI-YSIASYRMSA-N 0 1 324.468 3.045 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccn2C2CCCC2)C1 ZINC001034005574 660695096 /nfs/dbraw/zinc/69/50/96/660695096.db2.gz NSQXMGIUZQLXFB-QGZVFWFLSA-N 0 1 315.461 3.326 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2sccc2CC)C1 ZINC001034049767 660701724 /nfs/dbraw/zinc/70/17/24/660701724.db2.gz VILGFYLLMBOSDI-HNNXBMFYSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001034030352 660696666 /nfs/dbraw/zinc/69/66/66/660696666.db2.gz ACVIEKAUXASJNL-DOTOQJQBSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(OC(C)C)cc2)C1 ZINC001034031950 660697102 /nfs/dbraw/zinc/69/71/02/660697102.db2.gz KDKLBVSEMOENJX-QGZVFWFLSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3c(s2)CCCC3)C1 ZINC001034034963 660697610 /nfs/dbraw/zinc/69/76/10/660697610.db2.gz IBGXZUDCBMVJRW-HNNXBMFYSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC001034229032 660725749 /nfs/dbraw/zinc/72/57/49/660725749.db2.gz MBZLLQIYQYVFPT-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001034270283 660730631 /nfs/dbraw/zinc/73/06/31/660730631.db2.gz FBTRYSQRFOKBOP-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC001034314889 660736537 /nfs/dbraw/zinc/73/65/37/660736537.db2.gz AOIMLYLVKDCOBA-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001034416667 660751333 /nfs/dbraw/zinc/75/13/33/660751333.db2.gz BCEPEOLXWYLNKA-QGZVFWFLSA-N 0 1 320.436 3.366 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001034507041 660763454 /nfs/dbraw/zinc/76/34/54/660763454.db2.gz FWTAPTYRCODMER-ACBHZAAOSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001034507041 660763456 /nfs/dbraw/zinc/76/34/56/660763456.db2.gz FWTAPTYRCODMER-ACBHZAAOSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2oc(C3CC3)nc2C)C1 ZINC001034434173 660753223 /nfs/dbraw/zinc/75/32/23/660753223.db2.gz YFEBUGIKLCUWLX-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001034432084 660753503 /nfs/dbraw/zinc/75/35/03/660753503.db2.gz BMJLAXFTFPKHOL-SLFFLAALSA-N 0 1 324.468 3.011 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC001034434204 660753578 /nfs/dbraw/zinc/75/35/78/660753578.db2.gz YXDCDNXQGSZLBX-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC001034450184 660755861 /nfs/dbraw/zinc/75/58/61/660755861.db2.gz FOCOBFYAJNWAMV-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001034479094 660757515 /nfs/dbraw/zinc/75/75/15/660757515.db2.gz RKBASKRBDNQHNR-OLZOCXBDSA-N 0 1 324.877 3.109 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001034497919 660760894 /nfs/dbraw/zinc/76/08/94/660760894.db2.gz HUBPSJYNVXQNNY-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001034515385 660765671 /nfs/dbraw/zinc/76/56/71/660765671.db2.gz SCZXAODAZHJGNB-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001034544937 660771545 /nfs/dbraw/zinc/77/15/45/660771545.db2.gz VRHVBIYCQDEVSJ-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCC(C)(C)C2)C1 ZINC001034544367 660771570 /nfs/dbraw/zinc/77/15/70/660771570.db2.gz NTBDZWKXWMHETQ-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001034544365 660771771 /nfs/dbraw/zinc/77/17/71/660771771.db2.gz QQCZGBABTGHLEV-HNNXBMFYSA-N 0 1 324.424 3.213 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC=CCCC2)CC1 ZINC001005584429 660781501 /nfs/dbraw/zinc/78/15/01/660781501.db2.gz ZSVGCKLGHFJAPJ-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCc3ccccc32)CC1 ZINC001005587006 660781690 /nfs/dbraw/zinc/78/16/90/660781690.db2.gz BOZWTHKRPJFFQX-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNCc1c(F)cccc1F ZINC001035014559 660809391 /nfs/dbraw/zinc/80/93/91/660809391.db2.gz MXMDWMPSHWHRNX-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1cccs1)CC2 ZINC001035157402 660819847 /nfs/dbraw/zinc/81/98/47/660819847.db2.gz SPBITQMJJVOHLV-UHFFFAOYSA-N 0 1 324.877 3.429 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C(F)=C(C)C)CC2 ZINC001035174444 660822181 /nfs/dbraw/zinc/82/21/81/660822181.db2.gz ZOBAOTUNPWILLX-UHFFFAOYSA-N 0 1 314.832 3.317 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC[C@@H]1CC)CC2 ZINC001035734040 660847887 /nfs/dbraw/zinc/84/78/87/660847887.db2.gz NQVKSVNZUJILRD-FUHWJXTLSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(C)cc(F)cc1C)CC2 ZINC001035764406 660849611 /nfs/dbraw/zinc/84/96/11/660849611.db2.gz LUPSXHRDNZXMPX-UHFFFAOYSA-N 0 1 316.420 3.167 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001035767523 660849928 /nfs/dbraw/zinc/84/99/28/660849928.db2.gz SLFQWOAZJXPATR-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCc1ccc(C)cc1)CC2 ZINC001035750396 660850935 /nfs/dbraw/zinc/85/09/35/660850935.db2.gz ZOEFELYBOHMNMW-UHFFFAOYSA-N 0 1 312.457 3.038 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccn(C(C)C)c1C)CC2 ZINC001035774280 660853323 /nfs/dbraw/zinc/85/33/23/660853323.db2.gz LFPFHCRXMPERCE-UHFFFAOYSA-N 0 1 315.461 3.101 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@H](C(C)C)CC1)CC2 ZINC001035823120 660853938 /nfs/dbraw/zinc/85/39/38/660853938.db2.gz USSZDSWLJGQLIH-IYARVYRRSA-N 0 1 316.489 3.006 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H](C)c1ccccc1)CC2 ZINC001035847330 660855905 /nfs/dbraw/zinc/85/59/05/660855905.db2.gz KFJRIAULOGNTNN-SFHVURJKSA-N 0 1 324.468 3.128 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@]1(CC)C(C)C)CC2 ZINC001035827349 660856088 /nfs/dbraw/zinc/85/60/88/660856088.db2.gz MWYCJJPTJZYKDH-YLJYHZDGSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(C)c(F)c(C)c1)CC2 ZINC001035853378 660859533 /nfs/dbraw/zinc/85/95/33/660859533.db2.gz QUMLQQBLQMXRHZ-UHFFFAOYSA-N 0 1 316.420 3.167 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1CC(c3ccccc3)C1)CC2 ZINC001035859799 660860859 /nfs/dbraw/zinc/86/08/59/660860859.db2.gz IXYZUTAGABRHJK-UHFFFAOYSA-N 0 1 324.468 3.291 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCCC1(C)C)CC2 ZINC001035860997 660861149 /nfs/dbraw/zinc/86/11/49/660861149.db2.gz YKOSANXVZBPIJR-MRXNPFEDSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cccc(F)c3)CC[C@@H]21 ZINC001036634774 660935219 /nfs/dbraw/zinc/93/52/19/660935219.db2.gz YDYSCTSSLBLIMK-ZBFHGGJFSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccsc3)CC[C@@H]21 ZINC001036628017 660937347 /nfs/dbraw/zinc/93/73/47/660937347.db2.gz UKIHFBWRPBSDGM-OCCSQVGLSA-N 0 1 310.850 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(C)s3)CC[C@H]21 ZINC001036629534 660937740 /nfs/dbraw/zinc/93/77/40/660937740.db2.gz SDDOOTWFXCOKMR-ZIAGYGMSSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cscc3C)CC[C@@H]21 ZINC001036679082 660944603 /nfs/dbraw/zinc/94/46/03/660944603.db2.gz AZVLGJJUYVCOKN-ZFWWWQNUSA-N 0 1 324.877 3.345 20 30 DGEDMN C[C@@H]1C[C@H]1CC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005929617 660950403 /nfs/dbraw/zinc/95/04/03/660950403.db2.gz KGKCLLCXGWAPST-MJGOQNOKSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC001006051715 660959605 /nfs/dbraw/zinc/95/96/05/660959605.db2.gz HHDXCJODSARBJI-ROUUACIJSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccsc3C)CC[C@@H]21 ZINC001036708990 660960146 /nfs/dbraw/zinc/96/01/46/660960146.db2.gz OOCUNJSSVBMPSV-ZFWWWQNUSA-N 0 1 324.877 3.345 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C)c3ccccc23)CC1 ZINC001006064652 660960888 /nfs/dbraw/zinc/96/08/88/660960888.db2.gz JMTUGHNKRSYIST-UHFFFAOYSA-N 0 1 320.436 3.318 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cccc3ccsc32)CC1 ZINC001006251450 660971314 /nfs/dbraw/zinc/97/13/14/660971314.db2.gz LGVABQWZNNAQHZ-UHFFFAOYSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)c2ccc(C)s2)CC1 ZINC001006288789 660973022 /nfs/dbraw/zinc/97/30/22/660973022.db2.gz IUMAVLCIZMMJDR-HNNXBMFYSA-N 0 1 318.486 3.106 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C(C)C)cc2)CC1 ZINC001006328626 660975416 /nfs/dbraw/zinc/97/54/16/660975416.db2.gz XXJVWHGHRVBGED-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN C[C@H](NN=C1CCN(Cc2ccccn2)C[C@@H]1C)C(C)(C)C ZINC000793114588 661005619 /nfs/dbraw/zinc/00/56/19/661005619.db2.gz HSYRKVZNXZOFEA-GJZGRUSLSA-N 0 1 302.466 3.304 20 30 DGEDMN Cc1ccccc1-n1cc(C=NNc2cccc(Cl)n2)nn1 ZINC000793181443 661010762 /nfs/dbraw/zinc/01/07/62/661010762.db2.gz RBIKOIYARQBNCY-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN CC[C@@H](NCc1cnc2ccc(C#N)cn12)c1ccccc1OC ZINC000793584719 661047722 /nfs/dbraw/zinc/04/77/22/661047722.db2.gz RATCMSHTAVGHLE-QGZVFWFLSA-N 0 1 320.396 3.455 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)O[C@@H](C3CC3)C2)c1O ZINC000794648277 661095648 /nfs/dbraw/zinc/09/56/48/661095648.db2.gz YTFOADRPEWWAPL-SCLBCKFNSA-N 0 1 317.429 3.129 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc(Br)cn2)nc1 ZINC000794536624 661089695 /nfs/dbraw/zinc/08/96/95/661089695.db2.gz ROMSBRQRYROKRJ-UHFFFAOYSA-N 0 1 321.178 3.084 20 30 DGEDMN C=C(Br)CSc1nc(Cc2ccccc2)n[nH]1 ZINC000794562453 661091002 /nfs/dbraw/zinc/09/10/02/661091002.db2.gz AJUAJIKLNHNWIM-UHFFFAOYSA-N 0 1 310.220 3.396 20 30 DGEDMN Fc1cc(CN=Nc2cncnc2)ccc1OCC(F)(F)F ZINC000794970404 661117694 /nfs/dbraw/zinc/11/76/94/661117694.db2.gz BPMZSNJUKBIFMW-UHFFFAOYSA-N 0 1 314.242 3.003 20 30 DGEDMN CC(=NNc1cncnc1)c1c(C)nn(-c2ccccc2)c1C ZINC000794978484 661118439 /nfs/dbraw/zinc/11/84/39/661118439.db2.gz NENVGJWICNJLCO-UHFFFAOYSA-N 0 1 306.373 3.115 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc(C)c(CC)o1 ZINC000969111825 655523516 /nfs/dbraw/zinc/52/35/16/655523516.db2.gz UKWYWICYBRCLCE-HNNXBMFYSA-N 0 1 304.434 3.311 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(C(F)F)c1 ZINC000969118310 655524993 /nfs/dbraw/zinc/52/49/93/655524993.db2.gz LGXIBCJJZTVLGP-INIZCTEOSA-N 0 1 320.383 3.232 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c(F)cccc1Cl ZINC000969127219 655526311 /nfs/dbraw/zinc/52/63/11/655526311.db2.gz SKHHHKSNKGIMHM-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cc2[nH]ccc2s1 ZINC000969127331 655526430 /nfs/dbraw/zinc/52/64/30/655526430.db2.gz UURLILXASXSOCZ-ZDUSSCGKSA-N 0 1 317.458 3.390 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969131062 655526650 /nfs/dbraw/zinc/52/66/50/655526650.db2.gz WRXHMOYJPRVZMF-CYBMUJFWSA-N 0 1 324.371 3.215 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969131062 655526653 /nfs/dbraw/zinc/52/66/53/655526653.db2.gz WRXHMOYJPRVZMF-CYBMUJFWSA-N 0 1 324.371 3.215 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC000969139454 655527228 /nfs/dbraw/zinc/52/72/28/655527228.db2.gz MJRHEEIBUFUJKB-HNNXBMFYSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc2ccccc2o1 ZINC000969139065 655527480 /nfs/dbraw/zinc/52/74/80/655527480.db2.gz HMOSKSCYYRRUTQ-QGZVFWFLSA-N 0 1 324.424 3.431 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cccc(C(C)C)c2)C1 ZINC000969202542 655532397 /nfs/dbraw/zinc/53/23/97/655532397.db2.gz NRIFUUJMGZUWLH-QGZVFWFLSA-N 0 1 320.864 3.296 20 30 DGEDMN O=C1c2cccc(F)c2OC/C1=C/c1cc(F)c(O)c(F)c1 ZINC000175325554 655543626 /nfs/dbraw/zinc/54/36/26/655543626.db2.gz MDSDFIFCARJSPI-WTKPLQERSA-N 0 1 306.239 3.468 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC000969570882 655550939 /nfs/dbraw/zinc/55/09/39/655550939.db2.gz YRONJAQGWRSEKE-OAHLLOKOSA-N 0 1 304.409 3.119 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC000969869413 655561512 /nfs/dbraw/zinc/56/15/12/655561512.db2.gz QVBLUMQWGXWCHN-SZMVWBNQSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC000969958734 655565643 /nfs/dbraw/zinc/56/56/43/655565643.db2.gz NZFCMJNTQXSRGY-LBPRGKRZSA-N 0 1 313.445 3.006 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2coc3cc(F)ccc23)C1 ZINC000970000525 655567555 /nfs/dbraw/zinc/56/75/55/655567555.db2.gz MFIRCAMFKDOJJO-LBPRGKRZSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2c(F)cccc2F)CC1 ZINC000949306342 655567569 /nfs/dbraw/zinc/56/75/69/655567569.db2.gz ZVMZAPCYZCILAY-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(F)c2Cl)C1 ZINC000970084510 655572865 /nfs/dbraw/zinc/57/28/65/655572865.db2.gz BGHOSLJHQNDKGZ-SNVBAGLBSA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC000970084933 655573328 /nfs/dbraw/zinc/57/33/28/655573328.db2.gz FELPHCTUDFRYHW-ILXRZTDVSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc(Cl)ccc2F)C1 ZINC000970096066 655574609 /nfs/dbraw/zinc/57/46/09/655574609.db2.gz LXXCILQXHCYZHJ-NSHDSACASA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2occc2-c2ccccc2)C1 ZINC000970104140 655575599 /nfs/dbraw/zinc/57/55/99/655575599.db2.gz HIQHYBGJZCZIBY-AWEZNQCLSA-N 0 1 310.397 3.183 20 30 DGEDMN COc1cccc([C@@H](C)CC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000175553339 655582310 /nfs/dbraw/zinc/58/23/10/655582310.db2.gz KSAVLQOZNMACBS-LBPRGKRZSA-N 0 1 310.353 3.405 20 30 DGEDMN CC(C)c1ccc(S(=O)(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000175685977 655584907 /nfs/dbraw/zinc/58/49/07/655584907.db2.gz ZJOUBEKOJJDLCC-UHFFFAOYSA-N 0 1 316.382 3.188 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC000970269219 655591281 /nfs/dbraw/zinc/59/12/81/655591281.db2.gz VBYPFLCHHSLUDP-CQSZACIVSA-N 0 1 318.848 3.030 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC000970319624 655593963 /nfs/dbraw/zinc/59/39/63/655593963.db2.gz XPEUXZUUYDSPGH-NSHDSACASA-N 0 1 318.804 3.322 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000970465623 655601288 /nfs/dbraw/zinc/60/12/88/655601288.db2.gz VICVJRSVMUZCBR-CQSZACIVSA-N 0 1 324.399 3.052 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000970498373 655603072 /nfs/dbraw/zinc/60/30/72/655603072.db2.gz YBEKCLXBUGNMGD-INIZCTEOSA-N 0 1 320.436 3.222 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000970497649 655603087 /nfs/dbraw/zinc/60/30/87/655603087.db2.gz UCCAEVWYBUBCCM-OAHLLOKOSA-N 0 1 308.425 3.384 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC000970500395 655603239 /nfs/dbraw/zinc/60/32/39/655603239.db2.gz DIYNQCAPFFQCBO-HUUCEWRRSA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc(C(CC)CC)no2)C1 ZINC000970537727 655605072 /nfs/dbraw/zinc/60/50/72/655605072.db2.gz GMYKAMRUHIZUPD-ZDUSSCGKSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC000970559709 655606405 /nfs/dbraw/zinc/60/64/05/655606405.db2.gz DGYNRGSZOSULCB-AFAVFJNCSA-N 0 1 318.848 3.066 20 30 DGEDMN N#CCOc1ccc(CC(=O)Nc2cccc(Cl)c2O)cc1 ZINC000077011488 655610226 /nfs/dbraw/zinc/61/02/26/655610226.db2.gz DPCFDIHGNOWEAQ-UHFFFAOYSA-N 0 1 316.744 3.129 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2oc3ccccc3c2Cl)C1 ZINC000970730359 655615069 /nfs/dbraw/zinc/61/50/69/655615069.db2.gz DDNYEGNMNVOOSE-NSHDSACASA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC000970862089 655631161 /nfs/dbraw/zinc/63/11/61/655631161.db2.gz UEEYJBNSPXIUKZ-OAHLLOKOSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2sc(CCC)nc2C)C1 ZINC000970872596 655632073 /nfs/dbraw/zinc/63/20/73/655632073.db2.gz PUTVXJQSTKOGGD-GFCCVEGCSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(CC)oc3ccccc32)C1 ZINC000970931293 655638553 /nfs/dbraw/zinc/63/85/53/655638553.db2.gz LCRSHFDOQKZQMO-CYBMUJFWSA-N 0 1 312.413 3.231 20 30 DGEDMN C[C@@H](CCC(=O)C(C#N)C(=O)Nc1ccccn1)c1ccccc1 ZINC000160473930 655641396 /nfs/dbraw/zinc/64/13/96/655641396.db2.gz RYCXJGGLAFSNBA-GOEBONIOSA-N 0 1 321.380 3.313 20 30 DGEDMN C#Cc1cccc(NC(=O)CN[C@@H](CC)c2ccc(F)cc2)c1 ZINC000160794874 655678228 /nfs/dbraw/zinc/67/82/28/655678228.db2.gz ADJFNKGJGDYCQG-SFHVURJKSA-N 0 1 310.372 3.486 20 30 DGEDMN C#CCC1(NCc2nnc(-c3ccc(Cl)cc3)n2C)CCC1 ZINC000933296942 655697797 /nfs/dbraw/zinc/69/77/97/655697797.db2.gz SLDGWOWUIVYOFE-UHFFFAOYSA-N 0 1 314.820 3.171 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccccc2CC(C)(C)C)C1 ZINC000971756490 655724595 /nfs/dbraw/zinc/72/45/95/655724595.db2.gz MUKDKXFYHYFUDJ-KRWDZBQOSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC000972071781 655762017 /nfs/dbraw/zinc/76/20/17/655762017.db2.gz BFJCCXZHGAWKBU-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](c1ncccc1C)C(C)(C)C ZINC000934293167 655764496 /nfs/dbraw/zinc/76/44/96/655764496.db2.gz LNMHAYARZCHDCM-SFHVURJKSA-N 0 1 315.461 3.267 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Cc3nccs3)C2)cc1F ZINC000934331596 655768487 /nfs/dbraw/zinc/76/84/87/655768487.db2.gz FJYDHQARMOPDAI-CYBMUJFWSA-N 0 1 301.390 3.218 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000934466589 655777087 /nfs/dbraw/zinc/77/70/87/655777087.db2.gz TWLNHUUKKGMHJE-GXTWGEPZSA-N 0 1 308.372 3.135 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000934466591 655777195 /nfs/dbraw/zinc/77/71/95/655777195.db2.gz TWLNHUUKKGMHJE-JSGCOSHPSA-N 0 1 308.372 3.135 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC000972248209 655782785 /nfs/dbraw/zinc/78/27/85/655782785.db2.gz XJVIPZAOSWNGBA-MJGOQNOKSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)C[C@@H]1C ZINC000947538123 655811709 /nfs/dbraw/zinc/81/17/09/655811709.db2.gz VKAAPPZOKPILRK-YOEHRIQHSA-N 0 1 324.399 3.195 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cc3ccccc3cc2F)C1 ZINC001023481476 655836670 /nfs/dbraw/zinc/83/66/70/655836670.db2.gz GIGJKJMVZITWHT-HNNXBMFYSA-N 0 1 324.399 3.054 20 30 DGEDMN COc1nc(C(=O)Nc2cc(C#N)ccc2O)cc2ccccc21 ZINC000176817244 655847506 /nfs/dbraw/zinc/84/75/06/655847506.db2.gz JJOSBLSKSNNUSI-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN Cc1cc2ccccc2n1CC(=O)Nc1cc(C#N)ccc1O ZINC000176819026 655848008 /nfs/dbraw/zinc/84/80/08/655848008.db2.gz NAPZOFBAIIONCJ-UHFFFAOYSA-N 0 1 305.337 3.166 20 30 DGEDMN CC(C)c1cccc(OCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000176830784 655849767 /nfs/dbraw/zinc/84/97/67/655849767.db2.gz FXIDCMNOJCNTKH-UHFFFAOYSA-N 0 1 310.353 3.405 20 30 DGEDMN CCCN(C)c1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176829405 655849783 /nfs/dbraw/zinc/84/97/83/655849783.db2.gz IRZPMXCIUFWIDU-UHFFFAOYSA-N 0 1 309.369 3.362 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(N3CCCC3=O)cc2)s1 ZINC000176943383 655879863 /nfs/dbraw/zinc/87/98/63/655879863.db2.gz RAAPFGOSMPRWNS-UHFFFAOYSA-N 0 1 311.410 3.036 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(C)noc1CCC ZINC001024433800 655905643 /nfs/dbraw/zinc/90/56/43/655905643.db2.gz NWSVIYPGWHCZKY-HNNXBMFYSA-N 0 1 319.449 3.096 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)(C)C)cn1 ZINC001024442435 655906019 /nfs/dbraw/zinc/90/60/19/655906019.db2.gz PWOALSLHKIYOEX-INIZCTEOSA-N 0 1 315.461 3.149 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1ccc2occc2c1 ZINC001024453311 655906483 /nfs/dbraw/zinc/90/64/83/655906483.db2.gz HPQRPKWTFOHYMH-KRWDZBQOSA-N 0 1 310.397 3.040 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc2occc2c1 ZINC001024453311 655906484 /nfs/dbraw/zinc/90/64/84/655906484.db2.gz HPQRPKWTFOHYMH-KRWDZBQOSA-N 0 1 310.397 3.040 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(F)cc1F ZINC001024504169 655911371 /nfs/dbraw/zinc/91/13/71/655911371.db2.gz IHXOBMVYYMQTTA-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC001024508370 655911675 /nfs/dbraw/zinc/91/16/75/655911675.db2.gz IWBHLYJLJVCSCY-ICSRJNTNSA-N 0 1 324.468 3.101 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2nc(Cl)ccc2Cl)c1 ZINC000179729689 655912915 /nfs/dbraw/zinc/91/29/15/655912915.db2.gz OWMHSHIXKFYYIE-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(F)ccc1CC ZINC001024530343 655913494 /nfs/dbraw/zinc/91/34/94/655913494.db2.gz GJBWKWKWAVSLFF-INIZCTEOSA-N 0 1 304.409 3.158 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc(C)cc(Cl)c1 ZINC001024553733 655916325 /nfs/dbraw/zinc/91/63/25/655916325.db2.gz FGHFXFOPYAEFDL-QGZVFWFLSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1nc2ccccc2cc1C ZINC001024563412 655917337 /nfs/dbraw/zinc/91/73/37/655917337.db2.gz ZMJWALOQVPXJBZ-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)c1ccc(F)cc1 ZINC000065958266 655921581 /nfs/dbraw/zinc/92/15/81/655921581.db2.gz CDVZGGKVJYYULK-SFHVURJKSA-N 0 1 301.336 3.134 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccn(C(C)C)c1C ZINC001024618502 655924776 /nfs/dbraw/zinc/92/47/76/655924776.db2.gz VSXXAIVJDNKNAD-MRXNPFEDSA-N 0 1 303.450 3.148 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)c(C)c1 ZINC001024633261 655926360 /nfs/dbraw/zinc/92/63/60/655926360.db2.gz GMOAJBPJQVSXFZ-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001024704511 655932118 /nfs/dbraw/zinc/93/21/18/655932118.db2.gz NRLFKXMIZVQMQT-GBESFXJTSA-N 0 1 312.457 3.255 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1sc(CC)nc1C ZINC001024704628 655932171 /nfs/dbraw/zinc/93/21/71/655932171.db2.gz ONXUSOFXWQBVDH-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(OC)cc1Cl ZINC001024714003 655933228 /nfs/dbraw/zinc/93/32/28/655933228.db2.gz RBDZXVZYXYQMGN-CYBMUJFWSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2scnc2c1 ZINC001024740358 655934109 /nfs/dbraw/zinc/93/41/09/655934109.db2.gz QZHRSVPTIFIONF-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001024762652 655936315 /nfs/dbraw/zinc/93/63/15/655936315.db2.gz VAJNPWRHRCOCTK-IBGZPJMESA-N 0 1 310.441 3.416 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001024784001 655938254 /nfs/dbraw/zinc/93/82/54/655938254.db2.gz WXEXZYPBYMVGFZ-RDJZCZTQSA-N 0 1 318.848 3.136 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC001024790428 655939340 /nfs/dbraw/zinc/93/93/40/655939340.db2.gz IOAFBQXCALSFJK-GOSISDBHSA-N 0 1 312.457 3.219 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC001024790532 655939435 /nfs/dbraw/zinc/93/94/35/655939435.db2.gz LETRWIPFKTZVSI-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001024789620 655939555 /nfs/dbraw/zinc/93/95/55/655939555.db2.gz WVDCWCIYUCUXGD-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001024809501 655940915 /nfs/dbraw/zinc/94/09/15/655940915.db2.gz ULFNODYOCAHEGT-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001024809501 655940918 /nfs/dbraw/zinc/94/09/18/655940918.db2.gz ULFNODYOCAHEGT-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCCc2ccccc21 ZINC001024814207 655941150 /nfs/dbraw/zinc/94/11/50/655941150.db2.gz PHNSRUTVAFTFCF-ICSRJNTNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1sccc1CC ZINC001024814003 655941273 /nfs/dbraw/zinc/94/12/73/655941273.db2.gz LQLAWAZWGDCCHI-HNNXBMFYSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1CCC(C)(C)CC1 ZINC001024819487 655941480 /nfs/dbraw/zinc/94/14/80/655941480.db2.gz PPECAGOIFHLHHC-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1csc(C2CC2)n1 ZINC001024825132 655942994 /nfs/dbraw/zinc/94/29/94/655942994.db2.gz SJLALAXRQMDMTQ-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C)CC=CC3)CC[C@@H]21 ZINC001036813131 661207323 /nfs/dbraw/zinc/20/73/23/661207323.db2.gz ROBLWEMOPHOHPS-CABCVRRESA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C)CC(=C)C3)CC[C@H]21 ZINC001036816154 661208342 /nfs/dbraw/zinc/20/83/42/661208342.db2.gz QLTFCXDVAFGBGT-LSDHHAIUSA-N 0 1 308.853 3.018 20 30 DGEDMN Cc1cc(/C=C\C(=O)c2ccc(O)c(F)c2)ccc1-n1cncn1 ZINC000255925168 655968170 /nfs/dbraw/zinc/96/81/70/655968170.db2.gz QZZABAXEBGBEGA-UTCJRWHESA-N 0 1 323.327 3.317 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1[C@H]1CCCN1CCF ZINC001025259587 655985307 /nfs/dbraw/zinc/98/53/07/655985307.db2.gz QKMNNVQYJKHDGY-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2ccc(F)cc2)C1 ZINC001025323608 655993696 /nfs/dbraw/zinc/99/36/96/655993696.db2.gz QYCHEOYBCFIHCX-DZGCQCFKSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2CC(F)(F)C2)C1 ZINC001025376083 656001990 /nfs/dbraw/zinc/00/19/90/656001990.db2.gz VBHOOFLTXYLPBQ-VXGBXAGGSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2c(C)coc2C)C1 ZINC001025409396 656006660 /nfs/dbraw/zinc/00/66/60/656006660.db2.gz SQXORPBGXUHUNY-DZGCQCFKSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C3CC3)C3CC3)CC[C@@H]21 ZINC001036864211 661215944 /nfs/dbraw/zinc/21/59/44/661215944.db2.gz TXJKLEKMDZPYRZ-CVEARBPZSA-N 0 1 322.880 3.098 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C3CC3)C3CC3)CC[C@@H]21 ZINC001036864212 661215974 /nfs/dbraw/zinc/21/59/74/661215974.db2.gz TXJKLEKMDZPYRZ-HOTGVXAUSA-N 0 1 322.880 3.098 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2cccc(C3CC3)c2)C1 ZINC001025630779 656052521 /nfs/dbraw/zinc/05/25/21/656052521.db2.gz VZASFLDMIKZJPL-JXFKEZNVSA-N 0 1 324.468 3.418 20 30 DGEDMN CCC(=O)C(C#N)C(=O)N[C@H](C)c1ccc(Cl)cc1Cl ZINC000193726909 656193213 /nfs/dbraw/zinc/19/32/13/656193213.db2.gz KAAPRNNPLFFVEI-LDYMZIIASA-N 0 1 313.184 3.289 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)C(C)(C)C1 ZINC000974711669 656223884 /nfs/dbraw/zinc/22/38/84/656223884.db2.gz LKNNVCVHOSCMPD-MRXNPFEDSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cccc(C(C)C)n2)C(C)(C)C1 ZINC000974767455 656228356 /nfs/dbraw/zinc/22/83/56/656228356.db2.gz PRCOJYFAIOMTSJ-KRWDZBQOSA-N 0 1 315.461 3.221 20 30 DGEDMN C=CC[N@H+]1C[C@@H](NC(=O)Cc2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000974803564 656230712 /nfs/dbraw/zinc/23/07/12/656230712.db2.gz BWKUCXIVZNJGFG-OAHLLOKOSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C(C)(C)C1 ZINC000974855646 656234961 /nfs/dbraw/zinc/23/49/61/656234961.db2.gz OUEHSLXZYVEGSK-WCXIOVBPSA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974974136 656245017 /nfs/dbraw/zinc/24/50/17/656245017.db2.gz NZDIHFWNPQQKRY-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974974136 656245019 /nfs/dbraw/zinc/24/50/19/656245019.db2.gz NZDIHFWNPQQKRY-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)C(C)(C)C1 ZINC000974998232 656247343 /nfs/dbraw/zinc/24/73/43/656247343.db2.gz UFIAGHGFWVKMSC-CQSZACIVSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)C(C)(C)C1 ZINC000974998232 656247344 /nfs/dbraw/zinc/24/73/44/656247344.db2.gz UFIAGHGFWVKMSC-CQSZACIVSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@H](NC(=O)[C@H](C)c2cccs2)C(C)(C)C1 ZINC000975032248 656252182 /nfs/dbraw/zinc/25/21/82/656252182.db2.gz VMJYJWYMBVDXIN-HIFRSBDPSA-N 0 1 306.475 3.254 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(F)c2Cl)C(C)(C)C1 ZINC000975076221 656256099 /nfs/dbraw/zinc/25/60/99/656256099.db2.gz PISSRRNQURSTAJ-CQSZACIVSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001008811571 656257430 /nfs/dbraw/zinc/25/74/30/656257430.db2.gz SPOPIXYJRSEXMR-ARFHVFGLSA-N 0 1 318.486 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001008811571 656257431 /nfs/dbraw/zinc/25/74/31/656257431.db2.gz SPOPIXYJRSEXMR-ARFHVFGLSA-N 0 1 318.486 3.317 20 30 DGEDMN N#CCC[N@H+](Cc1cc(Cl)cc([N+](=O)[O-])c1[O-])CC1CC1 ZINC000093091349 656266037 /nfs/dbraw/zinc/26/60/37/656266037.db2.gz URHPTXAKCXOHEJ-UHFFFAOYSA-N 0 1 309.753 3.079 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027306025 656284511 /nfs/dbraw/zinc/28/45/11/656284511.db2.gz XLLXWQXVRZQFDR-UONOGXRCSA-N 0 1 319.474 3.089 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001038720240 656290045 /nfs/dbraw/zinc/29/00/45/656290045.db2.gz ADAIWEJUSAEWCK-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000977354892 656323955 /nfs/dbraw/zinc/32/39/55/656323955.db2.gz JFOHMMIUYJTYMQ-HNNXBMFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccc(CC)cc2)C(C)(C)C1 ZINC000977350557 656324101 /nfs/dbraw/zinc/32/41/01/656324101.db2.gz RFIXFDQYYYEYFQ-QAPCUYQASA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001009743840 656327077 /nfs/dbraw/zinc/32/70/77/656327077.db2.gz NEZHMWCRRUPKIA-INIZCTEOSA-N 0 1 300.446 3.039 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2scnc2C)C1 ZINC001027522265 656329203 /nfs/dbraw/zinc/32/92/03/656329203.db2.gz VKBKWWVCTSLGNJ-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000977449832 656330579 /nfs/dbraw/zinc/33/05/79/656330579.db2.gz DJGHUKKBBFGTQT-LJQANCHMSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C(C)(C)C1 ZINC000977608287 656347810 /nfs/dbraw/zinc/34/78/10/656347810.db2.gz WNJLGWOKOSGLHZ-CJNGLKHVSA-N 0 1 320.864 3.456 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCC(C)(C)CC2)C(C)(C)C1 ZINC000977648939 656352174 /nfs/dbraw/zinc/35/21/74/656352174.db2.gz KDMDDHONZMJDRD-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCCC4(CC4)C3)[C@@H]2C1 ZINC000978124343 656366770 /nfs/dbraw/zinc/36/67/70/656366770.db2.gz BRBQGPKHHHMFRV-FPCVCCKLSA-N 0 1 322.880 3.003 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(C)cc(C)cc2C)CC1 ZINC001006379881 661258567 /nfs/dbraw/zinc/25/85/67/661258567.db2.gz GCDIJCFDZGILMI-UHFFFAOYSA-N 0 1 312.457 3.172 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(C)c(F)c2)CC1 ZINC001052540469 656442781 /nfs/dbraw/zinc/44/27/81/656442781.db2.gz FVPIIIMKEJPEHE-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc[nH]c2C2CC2)CC1 ZINC001052663684 656454205 /nfs/dbraw/zinc/45/42/05/656454205.db2.gz WMJASGFNSDXJPF-AWEZNQCLSA-N 0 1 321.852 3.229 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(F)c(C(F)F)c1 ZINC001027887253 656462014 /nfs/dbraw/zinc/46/20/14/656462014.db2.gz LDKOPCPWSYWNJB-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC2(CC2)C1 ZINC001039375168 656489472 /nfs/dbraw/zinc/48/94/72/656489472.db2.gz RZRBLIAFXFEKRX-KSZLIROESA-N 0 1 314.473 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1CCC ZINC001039403048 656493978 /nfs/dbraw/zinc/49/39/78/656493978.db2.gz GHQWAZYUNZVYBM-MOPGFXCFSA-N 0 1 324.468 3.341 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CCC2)CCC1 ZINC001039411938 656495998 /nfs/dbraw/zinc/49/59/98/656495998.db2.gz SZSDZZCIIDMMAN-MSOLQXFVSA-N 0 1 314.473 3.045 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ccccc1)C2 ZINC001048496873 656500706 /nfs/dbraw/zinc/50/07/06/656500706.db2.gz QDDPJHPXRXPCLA-ACHSLPGTSA-N 0 1 318.848 3.412 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001039442690 656502181 /nfs/dbraw/zinc/50/21/81/656502181.db2.gz OJXFSWGFSLOTFD-XMTFNYHQSA-N 0 1 316.489 3.454 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1csc(C(C)C)n1 ZINC001039452148 656504504 /nfs/dbraw/zinc/50/45/04/656504504.db2.gz IVLACVMBFBJDFQ-KGLIPLIRSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CCC ZINC001039458965 656506156 /nfs/dbraw/zinc/50/61/56/656506156.db2.gz WPJPSBCXZRHBGK-CABCVRRESA-N 0 1 302.418 3.097 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(CC)o1 ZINC001039459553 656506423 /nfs/dbraw/zinc/50/64/23/656506423.db2.gz BDULMJNPHHJGTK-CABCVRRESA-N 0 1 302.418 3.015 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cccc1F ZINC001039463355 656507973 /nfs/dbraw/zinc/50/79/73/656507973.db2.gz KAVUPNKWWLMUMF-KGLIPLIRSA-N 0 1 320.383 3.220 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1ccccc1 ZINC001039466162 656509026 /nfs/dbraw/zinc/50/90/26/656509026.db2.gz VUUQILATOIBTMA-RLLQIKCJSA-N 0 1 324.468 3.269 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(C)(C)C1 ZINC001039475785 656511665 /nfs/dbraw/zinc/51/16/65/656511665.db2.gz JIGQXSOGFPPDHV-KSZLIROESA-N 0 1 316.489 3.291 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)c2sc(C(C)(C)C)nc2C)C1 ZINC000980549835 656522648 /nfs/dbraw/zinc/52/26/48/656522648.db2.gz GSFROKAQMXMVGB-ZDUSSCGKSA-N 0 1 321.490 3.081 20 30 DGEDMN C[C@H](C#N)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCC1CCCC1 ZINC001039532132 656525554 /nfs/dbraw/zinc/52/55/54/656525554.db2.gz IIXVYNZQIXKTKT-NXHRZFHOSA-N 0 1 317.477 3.182 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001039822196 656536115 /nfs/dbraw/zinc/53/61/15/656536115.db2.gz CPJJKYHZBZZWPC-IXDOHACOSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@@H]2CCC[N@@H+]2CC(=C)C)cc1 ZINC001027973850 656560636 /nfs/dbraw/zinc/56/06/36/656560636.db2.gz AXGQPYOECIPCMV-KRWDZBQOSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCCC[C@@H]3CC)C[C@@H]2C1 ZINC001049141280 656564457 /nfs/dbraw/zinc/56/44/57/656564457.db2.gz MFOUOTKRYNXOPQ-NXOAAHMSSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1sc(C)nc1CC(C)C ZINC001028001645 656587858 /nfs/dbraw/zinc/58/78/58/656587858.db2.gz IVTGXMLTSSRZFJ-AWEZNQCLSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1sc(C)nc1CC(C)C ZINC001028001645 656587862 /nfs/dbraw/zinc/58/78/62/656587862.db2.gz IVTGXMLTSSRZFJ-AWEZNQCLSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1occc1-c1ccccc1 ZINC001028013674 656598618 /nfs/dbraw/zinc/59/86/18/656598618.db2.gz PVNHACUYDITBSJ-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1occc1-c1ccccc1 ZINC001028013675 656598866 /nfs/dbraw/zinc/59/88/66/656598866.db2.gz PVNHACUYDITBSJ-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3CC34CCC4)C2)CC1 ZINC001040868246 656611931 /nfs/dbraw/zinc/61/19/31/656611931.db2.gz QIBZOBUKOBGMKC-OAHLLOKOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3CC[C@@H](C)C3)C2)CC1 ZINC001040875163 656612824 /nfs/dbraw/zinc/61/28/24/656612824.db2.gz UNJLSQAXWCQBJZ-GDBMZVCRSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490368 656628011 /nfs/dbraw/zinc/62/80/11/656628011.db2.gz LKOMWOSIEXJUMW-OLZOCXBDSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2sc(C3CC3)nc2C)CC1 ZINC000981148052 656633939 /nfs/dbraw/zinc/63/39/39/656633939.db2.gz AFPFTMHYFNIYFN-UHFFFAOYSA-N 0 1 319.474 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)C(C)(C)C ZINC001049638269 656654125 /nfs/dbraw/zinc/65/41/25/656654125.db2.gz AHMAURDQSBXVOJ-CVEARBPZSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2C3CC4CC(C3)CC2C4)CC1 ZINC000981341016 656679334 /nfs/dbraw/zinc/67/93/34/656679334.db2.gz PQBYWEGZIDSEED-UHFFFAOYSA-N 0 1 316.489 3.169 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)C1CCCCC1 ZINC001049773795 656684771 /nfs/dbraw/zinc/68/47/71/656684771.db2.gz SGCBPVYVBOOLAP-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc(C2CCC2)c1 ZINC001049846136 656697635 /nfs/dbraw/zinc/69/76/35/656697635.db2.gz DBJUIQBXRMAHAV-PMACEKPBSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(C2CC2)s1 ZINC001049850208 656698327 /nfs/dbraw/zinc/69/83/27/656698327.db2.gz SHHLSBOKXQKKRA-GJZGRUSLSA-N 0 1 316.470 3.490 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049873841 656707871 /nfs/dbraw/zinc/70/78/71/656707871.db2.gz ZUCHPRIZZGDECQ-JKSUJKDBSA-N 0 1 310.397 3.298 20 30 DGEDMN C=CCN1CCCN(C(=O)c2[nH]c3ccccc3c2Cl)CC1 ZINC000981542010 656718643 /nfs/dbraw/zinc/71/86/43/656718643.db2.gz FPZJFUKGPFXZOA-UHFFFAOYSA-N 0 1 317.820 3.155 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912927 656720064 /nfs/dbraw/zinc/72/00/64/656720064.db2.gz QWXMWBWWFIRKSZ-IRXDYDNUSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(CCC)s3)C[C@H]21 ZINC001042079500 656720184 /nfs/dbraw/zinc/72/01/84/656720184.db2.gz DQRLIPBFYJVEOM-GDBMZVCRSA-N 0 1 318.486 3.423 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2c(cccc2C)o1 ZINC001049922329 656723930 /nfs/dbraw/zinc/72/39/30/656723930.db2.gz GSVIJCIJIQHXHP-DLBZAZTESA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(CC)c(CC)c1 ZINC001049935791 656727284 /nfs/dbraw/zinc/72/72/84/656727284.db2.gz GXMWVKUEKVIZMV-UXHICEINSA-N 0 1 324.468 3.124 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(CC)c(CC)c1 ZINC001049935792 656727333 /nfs/dbraw/zinc/72/73/33/656727333.db2.gz GXMWVKUEKVIZMV-VQTJNVASSA-N 0 1 324.468 3.124 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC000981584273 656729612 /nfs/dbraw/zinc/72/96/12/656729612.db2.gz ZBBVSJHYMRJWBB-DLBZAZTESA-N 0 1 304.478 3.335 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)ccc3C3CC3)C[C@H]21 ZINC001042163441 656729703 /nfs/dbraw/zinc/72/97/03/656729703.db2.gz UBRPKWBLYOIPPX-FXAWDEMLSA-N 0 1 322.452 3.042 20 30 DGEDMN CC(C)CC(=O)N1CC2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC000981591499 656730587 /nfs/dbraw/zinc/73/05/87/656730587.db2.gz HJNMMUQVBIHEPB-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN Cc1csc(N2CCN(CCCCC3(C#N)CCC3)CC2)n1 ZINC000929269129 661292760 /nfs/dbraw/zinc/29/27/60/661292760.db2.gz AGTIOZMHRGOEEO-UHFFFAOYSA-N 0 1 318.490 3.438 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2cc(Cl)cc(C#N)c2)CC1 ZINC000929251582 661291245 /nfs/dbraw/zinc/29/12/45/661291245.db2.gz KMDWZUAOKMLWCG-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2nc3ccccc3cc2C)CC1 ZINC000981639357 656740715 /nfs/dbraw/zinc/74/07/15/656740715.db2.gz LMPQWSYFIWMNGY-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001052729781 656755064 /nfs/dbraw/zinc/75/50/64/656755064.db2.gz ZEUUGGGQRYPSHG-BZUAXINKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC000981738311 656755375 /nfs/dbraw/zinc/75/53/75/656755375.db2.gz QYFUQIOICYENOA-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=CCC[N@H+]1CCCN(C(=O)c2cnc3ccsc3c2)CC1 ZINC000981742634 656756381 /nfs/dbraw/zinc/75/63/81/656756381.db2.gz IBMVRNKRHMZVSR-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2cccc(CC)c2)CC1 ZINC000981750791 656757404 /nfs/dbraw/zinc/75/74/04/656757404.db2.gz JUXSNIJLDTUUPD-UHFFFAOYSA-N 0 1 314.473 3.496 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2cccc(C)c2)CC1 ZINC000981751465 656757475 /nfs/dbraw/zinc/75/74/75/656757475.db2.gz SBDZCOKPBXVMNA-UHFFFAOYSA-N 0 1 300.446 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2cccc(C)c2)CC1 ZINC000981751465 656757481 /nfs/dbraw/zinc/75/74/81/656757481.db2.gz SBDZCOKPBXVMNA-UHFFFAOYSA-N 0 1 300.446 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@@H+](C/C=C\c2ccccc2)CC1 ZINC000981751858 656757803 /nfs/dbraw/zinc/75/78/03/656757803.db2.gz ZIKVPUMCHQEZAB-WQLSENKSSA-N 0 1 312.457 3.446 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(C/C=C\c2ccccc2)CC1 ZINC000981751858 656757808 /nfs/dbraw/zinc/75/78/08/656757808.db2.gz ZIKVPUMCHQEZAB-WQLSENKSSA-N 0 1 312.457 3.446 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2cc(F)ccc2C)CC1 ZINC000981751710 656758120 /nfs/dbraw/zinc/75/81/20/656758120.db2.gz WEFVSYPCJSYYOJ-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2cc(F)ccc2C)CC1 ZINC000981751710 656758125 /nfs/dbraw/zinc/75/81/25/656758125.db2.gz WEFVSYPCJSYYOJ-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccccc3CC(C)C)C[C@H]21 ZINC001042376042 656768745 /nfs/dbraw/zinc/76/87/45/656768745.db2.gz NSFNJRYUMGADRD-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001052886129 656783041 /nfs/dbraw/zinc/78/30/41/656783041.db2.gz GYYOTCBXNXNRLA-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(C)nc2C)CC1 ZINC001052954742 656795981 /nfs/dbraw/zinc/79/59/81/656795981.db2.gz RDYILNVMPCFXKA-OAHLLOKOSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001042733149 656795966 /nfs/dbraw/zinc/79/59/66/656795966.db2.gz HPTCXIHKMIZQRM-HKUYNNGSSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccccc1O[C@H](C)CC ZINC001028171795 656832309 /nfs/dbraw/zinc/83/23/09/656832309.db2.gz JGHGDKNKKAESHD-CVEARBPZSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC[C@H](C(F)(F)F)C2)C1 ZINC001043652988 656845063 /nfs/dbraw/zinc/84/50/63/656845063.db2.gz JOQIUDCGOXFYAD-STQMWFEESA-N 0 1 318.383 3.074 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCCN(C[C@H](F)CC)C3)CC1 ZINC000981983286 656845768 /nfs/dbraw/zinc/84/57/68/656845768.db2.gz HNTGDTNDHFCACN-QGZVFWFLSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(CCC3CCCC3)C2)CC1 ZINC001043760353 656854196 /nfs/dbraw/zinc/85/41/96/656854196.db2.gz WBPDJWCHBSHSRX-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(C[C@@H]3CC[C@H]4C[C@H]4C3)C2)CC1 ZINC001043759220 656854546 /nfs/dbraw/zinc/85/45/46/656854546.db2.gz GBPXCWYWRMJYPJ-BPQIPLTHSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCCN(C[C@H](F)CC)C3)C1 ZINC000982001029 656856978 /nfs/dbraw/zinc/85/69/78/656856978.db2.gz PWXMKSPQXYTQJL-OAHLLOKOSA-N 0 1 308.441 3.015 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C)c(OCC)c2)CC1 ZINC000982071743 656887730 /nfs/dbraw/zinc/88/77/30/656887730.db2.gz JRSJXWQEVLYZPL-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CC=CCC1 ZINC001054032876 656887857 /nfs/dbraw/zinc/88/78/57/656887857.db2.gz KJMPZBVDLQYCFW-XLIONFOSSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001054038020 656890361 /nfs/dbraw/zinc/89/03/61/656890361.db2.gz UBELKWFDZFTDFJ-ROUUACIJSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CC12CCC2 ZINC001054055557 656895010 /nfs/dbraw/zinc/89/50/10/656895010.db2.gz OCRIZLWLBYFCIX-MOPGFXCFSA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001044201689 656896185 /nfs/dbraw/zinc/89/61/85/656896185.db2.gz UCGXKZGFDIIKKR-UHFFFAOYSA-N 0 1 314.473 3.381 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CC[C@H](CC)CC3)C2)C1 ZINC000982125608 656900136 /nfs/dbraw/zinc/90/01/36/656900136.db2.gz WXQQUSPJLAZHCY-IYARVYRRSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001044222753 656900198 /nfs/dbraw/zinc/90/01/98/656900198.db2.gz XIGIGWGWQGIESL-UHFFFAOYSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001044246193 656902554 /nfs/dbraw/zinc/90/25/54/656902554.db2.gz IPTCQRDOBPPESC-KRWDZBQOSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)CC1 ZINC000982151783 656906461 /nfs/dbraw/zinc/90/64/61/656906461.db2.gz MGIZTPRMBFJOPV-QUCCMNQESA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C=C(\C)C1CC1)C2 ZINC001054092280 656909039 /nfs/dbraw/zinc/90/90/39/656909039.db2.gz IAYWUDFHELOTKO-MDNBWPLSSA-N 0 1 322.880 3.410 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@]3(C)CCC(C)=C(C)C3)C2)C1 ZINC000982167743 656909658 /nfs/dbraw/zinc/90/96/58/656909658.db2.gz RERJBTATUAADEE-IBGZPJMESA-N 0 1 314.473 3.071 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3CC(C(C)(C)C)C3)C2)C1 ZINC000982168842 656910535 /nfs/dbraw/zinc/91/05/35/656910535.db2.gz FDQCLIKYPATFNO-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CC3CCC(C)(C)CC3)C2)C1 ZINC000982176807 656911046 /nfs/dbraw/zinc/91/10/46/656911046.db2.gz QXZGZFDFZDZHPY-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C(C)(C)CC)C2 ZINC001054187479 656930391 /nfs/dbraw/zinc/93/03/91/656930391.db2.gz SLUXBWCITMIKJX-KRWDZBQOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1(C)CC(=C)C1)C2 ZINC001054193609 656932772 /nfs/dbraw/zinc/93/27/72/656932772.db2.gz AOECYCVOOCICFW-GOSISDBHSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1(C(C)C)CC1)C2 ZINC001054194869 656934699 /nfs/dbraw/zinc/93/46/99/656934699.db2.gz YNYMOUVCLMWEAH-KRWDZBQOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cc[nH]c3C)cc2C1 ZINC001054260217 656952834 /nfs/dbraw/zinc/95/28/34/656952834.db2.gz GUIYCGPKIMDBFR-UHFFFAOYSA-N 0 1 309.413 3.145 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cc(C)[nH]c3C)cc2C1 ZINC001054284223 656960885 /nfs/dbraw/zinc/96/08/85/656960885.db2.gz JIZVYBQNMSBHKW-UHFFFAOYSA-N 0 1 323.440 3.453 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccccc2CCC)CC1 ZINC000949216929 656964516 /nfs/dbraw/zinc/96/45/16/656964516.db2.gz LQZSZHNEGUKSRJ-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001028302546 656987072 /nfs/dbraw/zinc/98/70/72/656987072.db2.gz SSYYCWYFLMAEJX-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001028302546 656987074 /nfs/dbraw/zinc/98/70/74/656987074.db2.gz SSYYCWYFLMAEJX-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(CC(C)C)cc1 ZINC001028306470 656989109 /nfs/dbraw/zinc/98/91/09/656989109.db2.gz HUKHHQWRKCAQKO-LJQANCHMSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001045149228 656995683 /nfs/dbraw/zinc/99/56/83/656995683.db2.gz WIQFBTWFBFWYLF-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCCc2ccccc21 ZINC001028339453 656998182 /nfs/dbraw/zinc/99/81/82/656998182.db2.gz UPQNHIRIWQNDFC-UYAOXDASSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2cccc(Cl)c2o1 ZINC001028357891 657005289 /nfs/dbraw/zinc/00/52/89/657005289.db2.gz NGIAWZUZACKUTP-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)oc(C)c2C)C[C@H]1C ZINC001054879347 657017305 /nfs/dbraw/zinc/01/73/05/657017305.db2.gz IHAMPCLFTODUFS-OTYXRUKQSA-N 0 1 310.825 3.007 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)CC1 ZINC001045442532 657023697 /nfs/dbraw/zinc/02/36/97/657023697.db2.gz WSAACIFDHOLKKR-MSOLQXFVSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2cc(F)ccc2F)C1 ZINC001054976874 657027590 /nfs/dbraw/zinc/02/75/90/657027590.db2.gz QIGNXFOJHUWOIG-WBMJQRKESA-N 0 1 322.399 3.114 20 30 DGEDMN C#Cc1ccc(C(=O)NC2(C)CCN(C/C=C\Cl)CC2)cc1 ZINC001045518632 657032660 /nfs/dbraw/zinc/03/26/60/657032660.db2.gz IHSYQQXPOMZQKS-WCIBSUBMSA-N 0 1 316.832 3.005 20 30 DGEDMN C=C1CCC(C(=O)NC2(C)CCN(C/C=C\Cl)CC2)CC1 ZINC001045546312 657036103 /nfs/dbraw/zinc/03/61/03/657036103.db2.gz QWBSLBQYYLGEPX-KMKOMSMNSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cccc(F)c2Cl)CC1 ZINC001045571551 657038447 /nfs/dbraw/zinc/03/84/47/657038447.db2.gz WFCPWMDDYGCXLR-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001045825935 657070031 /nfs/dbraw/zinc/07/00/31/657070031.db2.gz OXJSICDIQWROFD-LTBMOJJQSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001045840841 657072997 /nfs/dbraw/zinc/07/29/97/657072997.db2.gz RJGMNMQFJHFWHK-DFWYTYFRSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C(C)(F)F)C2 ZINC001045906567 657083715 /nfs/dbraw/zinc/08/37/15/657083715.db2.gz CLKFREXKTZTWKA-OAHLLOKOSA-N 0 1 320.811 3.099 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001000090895 657092636 /nfs/dbraw/zinc/09/26/36/657092636.db2.gz ACBQFERFTBBACV-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)/C(C)=C/C)C2 ZINC001045929968 657096134 /nfs/dbraw/zinc/09/61/34/657096134.db2.gz HYBHIXYXDBIIIN-MYRMFFISSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc[nH]c2C2CCC2)C1 ZINC001000187517 657102544 /nfs/dbraw/zinc/10/25/44/657102544.db2.gz YHGXVPSPNQDQIL-AWEZNQCLSA-N 0 1 321.852 3.229 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001045955742 657103953 /nfs/dbraw/zinc/10/39/53/657103953.db2.gz JEWARJWKOWXKJK-SFHVURJKSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCC[C@]3(CCN(CCF)C3)C2)C1 ZINC001045963968 657107485 /nfs/dbraw/zinc/10/74/85/657107485.db2.gz VJHHSGNOHDTONR-GOSISDBHSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@@]3(CCN(CCF)C3)C2)CC1 ZINC001045963380 657107738 /nfs/dbraw/zinc/10/77/38/657107738.db2.gz YOPZOYMTGAVKSO-IBGZPJMESA-N 0 1 322.468 3.407 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cscc2C(F)F)CC1 ZINC001000708544 657145477 /nfs/dbraw/zinc/14/54/77/657145477.db2.gz NDGAJAQXPFYJPX-UHFFFAOYSA-N 0 1 324.396 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(CC)c(CC)o2)C1 ZINC001000713713 657145508 /nfs/dbraw/zinc/14/55/08/657145508.db2.gz WNXHQCPJCDVJBA-CQSZACIVSA-N 0 1 324.852 3.351 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C3CCC3)cc2)CC1 ZINC001000755265 657150196 /nfs/dbraw/zinc/15/01/96/657150196.db2.gz SLPSKHJZOLVIJZ-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001046375895 657155202 /nfs/dbraw/zinc/15/52/02/657155202.db2.gz DVLZJGGQNAMTEX-ZDUSSCGKSA-N 0 1 319.257 3.435 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001046375895 657155207 /nfs/dbraw/zinc/15/52/07/657155207.db2.gz DVLZJGGQNAMTEX-ZDUSSCGKSA-N 0 1 319.257 3.435 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc3c(c2)CCC3)C1 ZINC001000891035 657161966 /nfs/dbraw/zinc/16/19/66/657161966.db2.gz MRCSFGWDJQBBBM-KRWDZBQOSA-N 0 1 318.848 3.122 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001046451987 657163180 /nfs/dbraw/zinc/16/31/80/657163180.db2.gz QYBOETMFJZEYSL-IBGZPJMESA-N 0 1 316.445 3.199 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccn2C(C)C)C1 ZINC001000939097 657166366 /nfs/dbraw/zinc/16/63/66/657166366.db2.gz UDRAUKKNTRTSIB-AWEZNQCLSA-N 0 1 309.841 3.016 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001046472150 657167423 /nfs/dbraw/zinc/16/74/23/657167423.db2.gz CBXPBGHFRRHJKM-GOSISDBHSA-N 0 1 314.385 3.310 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524019 657172707 /nfs/dbraw/zinc/17/27/07/657172707.db2.gz LSIDSZAZYJTBOL-GOSISDBHSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2c(C)cccc2Cl)CC1 ZINC001001116449 657187608 /nfs/dbraw/zinc/18/76/08/657187608.db2.gz ASHALZCPAYZIPJ-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001046726194 657208349 /nfs/dbraw/zinc/20/83/49/657208349.db2.gz INCBBCCOUAYZMP-HXUWFJFHSA-N 0 1 321.424 3.129 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C(C)C)cc2)CC1 ZINC001001392973 657214110 /nfs/dbraw/zinc/21/41/10/657214110.db2.gz ORIPDKLSPRKFAM-UHFFFAOYSA-N 0 1 310.441 3.195 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2c(C)csc2Cl)CC1 ZINC001001400874 657215385 /nfs/dbraw/zinc/21/53/85/657215385.db2.gz DLYDGLIEMBZSAN-UHFFFAOYSA-N 0 1 322.861 3.095 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccccc2C2CCC2)CC1 ZINC001001417004 657216444 /nfs/dbraw/zinc/21/64/44/657216444.db2.gz WFVWSQLKYAATTB-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001046778988 657216986 /nfs/dbraw/zinc/21/69/86/657216986.db2.gz MBZFDNPEUHAOFI-SFHVURJKSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001046778986 657217154 /nfs/dbraw/zinc/21/71/54/657217154.db2.gz MBZFDNPEUHAOFI-GOSISDBHSA-N 0 1 304.434 3.175 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2oc3c(cccc3C)c2C)CC1 ZINC001001473144 657224843 /nfs/dbraw/zinc/22/48/43/657224843.db2.gz XDHNFLFLISPYJD-UHFFFAOYSA-N 0 1 322.408 3.045 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)c2cccs2)C1 ZINC001046909306 657245132 /nfs/dbraw/zinc/24/51/32/657245132.db2.gz CLOYPDJKECHDJE-GFCCVEGCSA-N 0 1 314.401 3.011 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2ccccc2CC)C1 ZINC001046969663 657249227 /nfs/dbraw/zinc/24/92/27/657249227.db2.gz DGONQYSAOGCOOW-OAHLLOKOSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]3CN(C/C=C/Cl)C[C@H]32)CC1 ZINC001050132083 657255753 /nfs/dbraw/zinc/25/57/53/657255753.db2.gz FVQOLXCVZBCHTH-PFSYBUSQSA-N 0 1 322.880 3.408 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](C)C3CCCCC3)[C@@H]2C1 ZINC001050163267 657263429 /nfs/dbraw/zinc/26/34/29/657263429.db2.gz JJBFFZMUOWFEOB-NZSAHSFTSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc4cc(C)ccc4o3)[C@@H]2C1 ZINC001050207333 657270336 /nfs/dbraw/zinc/27/03/36/657270336.db2.gz KZTYNNWEONWNQA-DOTOQJQBSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)C(C)C)C2)CC1 ZINC001047224392 657281899 /nfs/dbraw/zinc/28/18/99/657281899.db2.gz AYPKBNMLKNRNKT-MRXNPFEDSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@]3(C)CCC[C@@H]3C)C2)CC1 ZINC001050652548 657339017 /nfs/dbraw/zinc/33/90/17/657339017.db2.gz VIFGFAZVJHLTGN-WMLDXEAASA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cccc(C)c3)cccc2C1 ZINC001051274140 657376645 /nfs/dbraw/zinc/37/66/45/657376645.db2.gz COYPQEATALNEBQ-UHFFFAOYSA-N 0 1 320.436 3.469 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3[nH]c(C)cc3C)cccc2C1 ZINC001051293262 657382354 /nfs/dbraw/zinc/38/23/54/657382354.db2.gz PXQBFVXXYUMTRE-UHFFFAOYSA-N 0 1 323.440 3.106 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCC[C@H](C)C3)cccc2C1 ZINC001051298580 657383573 /nfs/dbraw/zinc/38/35/73/657383573.db2.gz XORBUCYRPIABEF-IRXDYDNUSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC34CCC4)cccc2C1 ZINC001051301303 657384621 /nfs/dbraw/zinc/38/46/21/657384621.db2.gz CHZFJHXZUIABOB-SFHVURJKSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3C[C@@H]3C(C)C)cccc2C1 ZINC001051306335 657385962 /nfs/dbraw/zinc/38/59/62/657385962.db2.gz TUNIIPLLGQPLJK-RTBURBONSA-N 0 1 312.457 3.139 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC000968355205 657393121 /nfs/dbraw/zinc/39/31/21/657393121.db2.gz ZEDVRPSWHLJMNT-WBMJQRKESA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(Cl)cs2)C1 ZINC000968386829 657401905 /nfs/dbraw/zinc/40/19/05/657401905.db2.gz QADUKWCHWLRXNC-WCQYABFASA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc(C)c2C)C1 ZINC000957345327 657404437 /nfs/dbraw/zinc/40/44/37/657404437.db2.gz AMYQHWHTWBFNRC-UHFFFAOYSA-N 0 1 300.446 3.416 20 30 DGEDMN CC(C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1)=C1CCCC1 ZINC000968395193 657404501 /nfs/dbraw/zinc/40/45/01/657404501.db2.gz VJZGIHWSSDIFOX-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC000968400859 657406578 /nfs/dbraw/zinc/40/65/78/657406578.db2.gz UTTPMBMNQNKMBF-KGLIPLIRSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC000968423012 657409704 /nfs/dbraw/zinc/40/97/04/657409704.db2.gz LJTGIHBZASJCSX-RHSMWYFYSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968471889 657414700 /nfs/dbraw/zinc/41/47/00/657414700.db2.gz MRHYUQIEASDQAX-BRSBDYLESA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2ccc(C(C)(C)C)cc2)CC1 ZINC000950814311 657416785 /nfs/dbraw/zinc/41/67/85/657416785.db2.gz JEICADRTLCZSPD-UHFFFAOYSA-N 0 1 314.473 3.247 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968506638 657419285 /nfs/dbraw/zinc/41/92/85/657419285.db2.gz VNZBWLWRPHWBPM-CRAIPNDOSA-N 0 1 300.446 3.436 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC000968544892 657423903 /nfs/dbraw/zinc/42/39/03/657423903.db2.gz WEOIPEGPIDJHSN-OXQOHEQNSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2Cc3ccc(Cl)cc32)CC1 ZINC000957503333 657424314 /nfs/dbraw/zinc/42/43/14/657424314.db2.gz HLJVLCVIWVVANH-QGZVFWFLSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC000968596271 657436613 /nfs/dbraw/zinc/43/66/13/657436613.db2.gz IPJCLVTVVTWUBR-MLGOLLRUSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccsc2C(F)F)C1 ZINC000968599047 657437712 /nfs/dbraw/zinc/43/77/12/657437712.db2.gz PYPJYFFROZHDNF-PWSUYJOCSA-N 0 1 314.401 3.312 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968605522 657439919 /nfs/dbraw/zinc/43/99/19/657439919.db2.gz AELRIJDGCBELBU-JXFKEZNVSA-N 0 1 320.436 3.303 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(CC(C)C)cc2)CC1 ZINC000957607985 657440404 /nfs/dbraw/zinc/44/04/04/657440404.db2.gz YDQYETIGIAAJOT-UHFFFAOYSA-N 0 1 300.446 3.219 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968611255 657441609 /nfs/dbraw/zinc/44/16/09/657441609.db2.gz UYUQVNKGRBVWNX-MLGOLLRUSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968681629 657455975 /nfs/dbraw/zinc/45/59/75/657455975.db2.gz KUNDDGOYRNRUHR-ZBFHGGJFSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC000968682957 657456487 /nfs/dbraw/zinc/45/64/87/657456487.db2.gz WQLZIBYLNSYEQI-SJLPKXTDSA-N 0 1 312.457 3.039 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC000968685368 657457678 /nfs/dbraw/zinc/45/76/78/657457678.db2.gz RPKCWXWCMXAOED-CABCVRRESA-N 0 1 318.486 3.335 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC000968708514 657465984 /nfs/dbraw/zinc/46/59/84/657465984.db2.gz BWUIAMKCUOAWNC-KBPBESRZSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)Cc2cccc(Cl)c2)CC1 ZINC000957939240 657473340 /nfs/dbraw/zinc/47/33/40/657473340.db2.gz BUZNADPTHGOFIL-HNNXBMFYSA-N 0 1 320.864 3.239 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2c(C)oc3ccccc32)CC1 ZINC000957963670 657475004 /nfs/dbraw/zinc/47/50/04/657475004.db2.gz ATNPBOFOYQZELI-UHFFFAOYSA-N 0 1 312.413 3.004 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(Cl)c2C)C1 ZINC000968752828 657479813 /nfs/dbraw/zinc/47/98/13/657479813.db2.gz VHBODFQDKMGBQF-GFCCVEGCSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(C)CN1CCCCC[C@H]1CNC(=O)c1cnc(C)s1 ZINC000968780780 657485538 /nfs/dbraw/zinc/48/55/38/657485538.db2.gz IXWBLHWURMSQHY-AWEZNQCLSA-N 0 1 307.463 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC2(c3ccccc3)CC2)C1 ZINC000968876777 657508626 /nfs/dbraw/zinc/50/86/26/657508626.db2.gz CWDWAWMIMFHGSO-MRXNPFEDSA-N 0 1 318.848 3.051 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C)c(F)c1 ZINC000968941242 657518422 /nfs/dbraw/zinc/51/84/22/657518422.db2.gz KFOFJTUJBOVIQB-KRWDZBQOSA-N 0 1 316.420 3.132 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1coc(C(F)F)c1 ZINC000969005159 657530595 /nfs/dbraw/zinc/53/05/95/657530595.db2.gz MMKYDTTVQKAIDI-ZDUSSCGKSA-N 0 1 312.360 3.378 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@H]1CNC(=O)c1nc(CC)oc1C ZINC000969065696 657548863 /nfs/dbraw/zinc/54/88/63/657548863.db2.gz VZRCATQAEIUWEJ-HNNXBMFYSA-N 0 1 319.449 3.096 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccccc2C)CC1 ZINC000985229972 657577957 /nfs/dbraw/zinc/57/79/57/657577957.db2.gz ZSLLPTBJWTWKIK-UHFFFAOYSA-N 0 1 306.837 3.284 20 30 DGEDMN C=C[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2CC(=C)C)c1ccccc1 ZINC001029592793 657617750 /nfs/dbraw/zinc/61/77/50/657617750.db2.gz OBTUCCIZLXDPJU-CEXWTWQISA-N 0 1 310.441 3.208 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001007698375 657629865 /nfs/dbraw/zinc/62/98/65/657629865.db2.gz HPFDRPXYFPHDSJ-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001007784526 657633149 /nfs/dbraw/zinc/63/31/49/657633149.db2.gz CPMRMZGNGMIWHH-LLVKDONJSA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001007807983 657634413 /nfs/dbraw/zinc/63/44/13/657634413.db2.gz CMEPFTCEZVARLF-MRXNPFEDSA-N 0 1 316.445 3.199 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccccc2CCC)C1 ZINC001007884956 657644617 /nfs/dbraw/zinc/64/46/17/657644617.db2.gz YAQDTPKYMRYODP-KRWDZBQOSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001008137693 657663560 /nfs/dbraw/zinc/66/35/60/657663560.db2.gz ZHKWPAANKNUBAQ-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001008146655 657665128 /nfs/dbraw/zinc/66/51/28/657665128.db2.gz HBVYGLKYVJCOJS-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(Cc3ccccc3)C2)cc1 ZINC001008143209 657665710 /nfs/dbraw/zinc/66/57/10/657665710.db2.gz BDVWIKJPQAVGEA-FQEVSTJZSA-N 0 1 318.420 3.062 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H]2CCC[C@@H]2C)CC1 ZINC000985449621 657676959 /nfs/dbraw/zinc/67/69/59/657676959.db2.gz AYWBCCITRUTBHF-DZGCQCFKSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccn(C(C)C)c2C)C1 ZINC001008269884 657678653 /nfs/dbraw/zinc/67/86/53/657678653.db2.gz QSERSTKHAGCPPB-INIZCTEOSA-N 0 1 303.450 3.148 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001008414412 657693261 /nfs/dbraw/zinc/69/32/61/657693261.db2.gz ZXAJANBHVABUQP-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(Cc3ccsc3)C2)C1 ZINC001008466077 657697997 /nfs/dbraw/zinc/69/79/97/657697997.db2.gz UTFCHVDYEOFFNL-HNNXBMFYSA-N 0 1 304.459 3.185 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001008811881 657731008 /nfs/dbraw/zinc/73/10/08/657731008.db2.gz UIXFHUDICASGQW-IXDOHACOSA-N 0 1 318.848 3.210 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC000985517758 657732173 /nfs/dbraw/zinc/73/21/73/657732173.db2.gz SIORRIHAPJLLOL-ZNMIVQPWSA-N 0 1 310.869 3.098 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001008896442 657737848 /nfs/dbraw/zinc/73/78/48/657737848.db2.gz WAYXBIHTCOICAW-MAUKXSAKSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001008896444 657737929 /nfs/dbraw/zinc/73/79/29/657737929.db2.gz WAYXBIHTCOICAW-QAPCUYQASA-N 0 1 300.446 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)c2cc(C)oc2C)C1 ZINC001008891454 657738696 /nfs/dbraw/zinc/73/86/96/657738696.db2.gz FZGRBSXDMAKHII-ZBFHGGJFSA-N 0 1 304.434 3.157 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001008962857 657741807 /nfs/dbraw/zinc/74/18/07/657741807.db2.gz RBVJUHRPUWPCMK-PXNSSMCTSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001029800112 657747281 /nfs/dbraw/zinc/74/72/81/657747281.db2.gz KLLFUOLXOUOWPV-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(C3CC3)CCC2)CC1 ZINC000985556350 657751355 /nfs/dbraw/zinc/75/13/55/657751355.db2.gz CNSVGYOHTHDSMN-UHFFFAOYSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC001009169801 657753243 /nfs/dbraw/zinc/75/32/43/657753243.db2.gz VUBUVWRCOBMZNB-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCCC(C)(C)C1 ZINC000960333448 657779175 /nfs/dbraw/zinc/77/91/75/657779175.db2.gz LURLRIMPRSBKDG-QXAKKESOSA-N 0 1 324.468 3.208 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001009415458 657780995 /nfs/dbraw/zinc/78/09/95/657780995.db2.gz QEUKDQCLPNGEAL-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2Cc3ccccc32)CC1 ZINC000985618303 657784701 /nfs/dbraw/zinc/78/47/01/657784701.db2.gz QTNNFUFIMYUYOO-KRWDZBQOSA-N 0 1 318.848 3.002 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001009431056 657786012 /nfs/dbraw/zinc/78/60/12/657786012.db2.gz DEIQXXAQXQYUHR-AWEZNQCLSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001009542415 657795054 /nfs/dbraw/zinc/79/50/54/657795054.db2.gz FGYYMZBPKBIIAH-SFHVURJKSA-N 0 1 312.457 3.102 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2CC(c3cccc(F)c3)C2)C1 ZINC001009747579 657813979 /nfs/dbraw/zinc/81/39/79/657813979.db2.gz YXRRVLYPWIPQDX-HTWSVDAQSA-N 0 1 316.420 3.086 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960448115 657818642 /nfs/dbraw/zinc/81/86/42/657818642.db2.gz FSIPTHHGGORNQP-KBPBESRZSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H](C)c2ccco2)CC1 ZINC000985757304 657835027 /nfs/dbraw/zinc/83/50/27/657835027.db2.gz CUBYNPXRBMWPKE-ZDUSSCGKSA-N 0 1 324.852 3.449 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cc2cccc(F)c2)CC1 ZINC000985779398 657848299 /nfs/dbraw/zinc/84/82/99/657848299.db2.gz ZDBIDXYRPDHSRI-UHFFFAOYSA-N 0 1 324.827 3.044 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1ncccc1C ZINC000960791684 657876785 /nfs/dbraw/zinc/87/67/85/657876785.db2.gz QLGRRFNBGNRIMW-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC000960840141 657894586 /nfs/dbraw/zinc/89/45/86/657894586.db2.gz WKRSLPYJTSYJSY-HKUYNNGSSA-N 0 1 315.461 3.107 20 30 DGEDMN CC(C(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1)=C1CCCC1 ZINC001010508576 657907726 /nfs/dbraw/zinc/90/77/26/657907726.db2.gz SMMAWHCXCKPTBV-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)c3ccoc3)C2)C1 ZINC000961100291 657949009 /nfs/dbraw/zinc/94/90/09/657949009.db2.gz JJPFPLDOGIKBEL-CABCVRRESA-N 0 1 322.836 3.206 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CC1CCCC1 ZINC000113140827 658009311 /nfs/dbraw/zinc/00/93/11/658009311.db2.gz BXXNJPLIIDYTHV-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN CC(C(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@@H]1C)=C1CCC1 ZINC000986287118 658031850 /nfs/dbraw/zinc/03/18/50/658031850.db2.gz PSMNRHUBFYBPRA-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(F)c2C)[C@@H]1C ZINC000986316641 658037379 /nfs/dbraw/zinc/03/73/79/658037379.db2.gz QMOBLTSNNKGJQO-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)nc2)[C@H]1C ZINC000986359442 658040173 /nfs/dbraw/zinc/04/01/73/658040173.db2.gz PAMWKVRYOCKTTG-XJKSGUPXSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CC)s2)[C@H]1C ZINC000986500433 658056933 /nfs/dbraw/zinc/05/69/33/658056933.db2.gz MWLYKPIFAXBEBR-AAEUAGOBSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001014864315 658057050 /nfs/dbraw/zinc/05/70/50/658057050.db2.gz QTIRORLRZKLXSD-OAHLLOKOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CCC3(CC3)CC2)[C@@H]1C ZINC000986569312 658070335 /nfs/dbraw/zinc/07/03/35/658070335.db2.gz LAXOPXODAYACEO-HIFRSBDPSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CCC3(CC3)CC2)[C@@H]1C ZINC000986569314 658070516 /nfs/dbraw/zinc/07/05/16/658070516.db2.gz LAXOPXODAYACEO-UKRRQHHQSA-N 0 1 310.869 3.288 20 30 DGEDMN COc1ccccc1C=Nn1c(=S)[nH]nc1-c1ccco1 ZINC000254350316 658081213 /nfs/dbraw/zinc/08/12/13/658081213.db2.gz PVISCAOOUBWELI-UHFFFAOYSA-N 0 1 300.343 3.091 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(CN(C)Cc2ccns2)CC1 ZINC001029984833 658082355 /nfs/dbraw/zinc/08/23/55/658082355.db2.gz OGIMBLUUWDLPBP-UHFFFAOYSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccoc2C2CC2)[C@H]1C ZINC000986737989 658095600 /nfs/dbraw/zinc/09/56/00/658095600.db2.gz ZSGLSOMOHJNUOS-SMDDNHRTSA-N 0 1 308.809 3.102 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001015338927 658111877 /nfs/dbraw/zinc/11/18/77/658111877.db2.gz BINSOFGMEKDXCU-OAHLLOKOSA-N 0 1 314.429 3.415 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3cc[nH]c3c2)[C@H]1C ZINC000987073733 658151378 /nfs/dbraw/zinc/15/13/78/658151378.db2.gz CEUVPZDPBODLSF-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN Cc1c(C=NN=c2[nH]c3ccccc3[nH]2)c2ccccc2n1C ZINC000254762726 658168004 /nfs/dbraw/zinc/16/80/04/658168004.db2.gz KINGBZOGECVTFJ-UHFFFAOYSA-N 0 1 303.369 3.231 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)cc2C)[C@H]1C ZINC000987378042 658195693 /nfs/dbraw/zinc/19/56/93/658195693.db2.gz QQXXEQRNXJAQNU-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc(C)ccc2F)[C@@H]1C ZINC000987385027 658197164 /nfs/dbraw/zinc/19/71/64/658197164.db2.gz MISLLVGYGGHBAY-CJNGLKHVSA-N 0 1 324.827 3.008 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc3ccccc3c2)C1 ZINC001015872467 658198376 /nfs/dbraw/zinc/19/83/76/658198376.db2.gz HQHXFCKXTZBVMY-SLFFLAALSA-N 0 1 320.436 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)cc2)[C@H]1C ZINC000987395661 658202599 /nfs/dbraw/zinc/20/25/99/658202599.db2.gz ZRGOIOIQJJTNDN-SMDDNHRTSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001015929462 658205223 /nfs/dbraw/zinc/20/52/23/658205223.db2.gz VIYUXRCATOICES-OAHLLOKOSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CC)cc2)[C@@H]1C ZINC000987445434 658210303 /nfs/dbraw/zinc/21/03/03/658210303.db2.gz ZACBZCSNZOVKSY-CZUORRHYSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cccs2)[C@@H]1C ZINC000987490457 658219410 /nfs/dbraw/zinc/21/94/10/658219410.db2.gz AZTQVPNXPAAFGQ-TZMCWYRMSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccn2C(C)C)[C@@H]1C ZINC000987539275 658227673 /nfs/dbraw/zinc/22/76/73/658227673.db2.gz RVGWUTDGSCSCPM-KGLIPLIRSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CF)cc2)[C@H]1C ZINC000987591124 658237797 /nfs/dbraw/zinc/23/77/97/658237797.db2.gz QLHXLNXPILDZJE-WFASDCNBSA-N 0 1 310.800 3.101 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC001016230533 658259710 /nfs/dbraw/zinc/25/97/10/658259710.db2.gz VLIRPWBJIGSNPR-AWEZNQCLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cc(C)oc2C)CC1 ZINC001016503559 658296064 /nfs/dbraw/zinc/29/60/64/658296064.db2.gz RCZPUFKZXFFDHQ-HNNXBMFYSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001016749748 658324034 /nfs/dbraw/zinc/32/40/34/658324034.db2.gz SLXLJXNYOJFOFU-JYYAWHABSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2ccccc2CC)C1 ZINC000989034621 658426163 /nfs/dbraw/zinc/42/61/63/658426163.db2.gz NBMXGEIMSCMPGG-YOEHRIQHSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C)c1F ZINC001017579961 658441661 /nfs/dbraw/zinc/44/16/61/658441661.db2.gz MHVPYZOPHWLHKW-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C)c(F)c2)C1 ZINC000989139563 658441891 /nfs/dbraw/zinc/44/18/91/658441891.db2.gz VOLPJYJLBHKOGK-TZMCWYRMSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)oc(C)c1C ZINC001017719755 658454706 /nfs/dbraw/zinc/45/47/06/658454706.db2.gz NAVZUMOZEVEIIS-IYBDPMFKSA-N 0 1 302.418 3.070 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccsc2[nH]1 ZINC001017782696 658461174 /nfs/dbraw/zinc/46/11/74/658461174.db2.gz GRCYYUVGEFRWON-OKILXGFUSA-N 0 1 315.442 3.094 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc[nH]c2C(C)C)C1 ZINC000989329544 658465198 /nfs/dbraw/zinc/46/51/98/658465198.db2.gz PJOPUBOXGFZYQL-OLZOCXBDSA-N 0 1 309.841 3.083 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C(F)F)c1 ZINC001017855042 658467958 /nfs/dbraw/zinc/46/79/58/658467958.db2.gz FWYXGORJEOKNRC-BETUJISGSA-N 0 1 310.344 3.082 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2cc(C)cc(C)c2)C1 ZINC000989394906 658471942 /nfs/dbraw/zinc/47/19/42/658471942.db2.gz ARTVSXAZAIONJD-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(F)F)nc2)C1 ZINC000966558582 658482347 /nfs/dbraw/zinc/48/23/47/658482347.db2.gz DZFUTJYRIAFMDI-WFASDCNBSA-N 0 1 323.387 3.036 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc([C@H](C)CC)no1 ZINC001018040760 658495520 /nfs/dbraw/zinc/49/55/20/658495520.db2.gz FHGQIWZMBDKXOG-KFWWJZLASA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(Cl)s1 ZINC001018042753 658495807 /nfs/dbraw/zinc/49/58/07/658495807.db2.gz KTQWTDZUXCVUPB-BETUJISGSA-N 0 1 324.877 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)C2CCC(F)(F)CC2)C1 ZINC000989485957 658497321 /nfs/dbraw/zinc/49/73/21/658497321.db2.gz SVSOLOXOMDBLOQ-WCQYABFASA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)C2CCC(F)(F)CC2)C1 ZINC000989485958 658497798 /nfs/dbraw/zinc/49/77/98/658497798.db2.gz SVSOLOXOMDBLOQ-YPMHNXCESA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc3cc[nH]c3c2)C1 ZINC000989487908 658499727 /nfs/dbraw/zinc/49/97/27/658499727.db2.gz OFJLGQTXQUOGRC-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(C)C)c1 ZINC001018142358 658505847 /nfs/dbraw/zinc/50/58/47/658505847.db2.gz PVTFXLBNTBZHAG-KDURUIRLSA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2csc(Cl)c2)C1 ZINC000989518770 658506235 /nfs/dbraw/zinc/50/62/35/658506235.db2.gz HYVKMEQFMAXLJF-KOLCDFICSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000989545419 658511629 /nfs/dbraw/zinc/51/16/29/658511629.db2.gz QMJOTUGSHJFEJA-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oc(CC)cc1C ZINC001018181850 658512026 /nfs/dbraw/zinc/51/20/26/658512026.db2.gz UCOSKGUTZXLJDS-IYBDPMFKSA-N 0 1 316.445 3.405 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1OC ZINC001018197811 658514675 /nfs/dbraw/zinc/51/46/75/658514675.db2.gz WBPHGCXMEATBCP-OKILXGFUSA-N 0 1 320.458 3.012 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2csc3c2CCCC3)CC1 ZINC000989617008 658528883 /nfs/dbraw/zinc/52/88/83/658528883.db2.gz MPUDDXMCHXADJE-UHFFFAOYSA-N 0 1 318.486 3.351 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCC[C@H]1C ZINC001018331962 658539254 /nfs/dbraw/zinc/53/92/54/658539254.db2.gz AHLQVMVZNCCHTL-XDNAFOTISA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C(C)C)n2)C1 ZINC000967265031 658554489 /nfs/dbraw/zinc/55/44/89/658554489.db2.gz SAZYYOJUNHHHAR-YJBOKZPZSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3ccccc3[nH]2)C1 ZINC000989768572 658569716 /nfs/dbraw/zinc/56/97/16/658569716.db2.gz HHMJORBVYNQYGJ-JSGCOSHPSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)Cc2ccc(F)cc2)CC1 ZINC000989778031 658576155 /nfs/dbraw/zinc/57/61/55/658576155.db2.gz SIUDBJDAPVHKFY-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001018909732 658597026 /nfs/dbraw/zinc/59/70/26/658597026.db2.gz AAZGSEWFGOLBFV-AWEZNQCLSA-N 0 1 324.827 3.295 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2CCC)C1 ZINC001019123270 658608802 /nfs/dbraw/zinc/60/88/02/658608802.db2.gz CWXTYUZSLBBHFJ-HNNXBMFYSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(Cl)ccc2F)C1 ZINC001019113719 658609388 /nfs/dbraw/zinc/60/93/88/658609388.db2.gz CATZUUIIVOACCL-NSHDSACASA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@]2(NC(=O)c3ccccc3O)CCC[C@@H]12 ZINC000989960179 658650723 /nfs/dbraw/zinc/65/07/23/658650723.db2.gz DPGGWEMIARDSAV-IEBWSBKVSA-N 0 1 314.429 3.085 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001019521184 658653507 /nfs/dbraw/zinc/65/35/07/658653507.db2.gz HUTWEAKPLDYFNJ-PBHICJAKSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccoc2C2CC2)C1 ZINC000968052953 658657727 /nfs/dbraw/zinc/65/77/27/658657727.db2.gz CMEUVVBNDNIKQT-XJKSGUPXSA-N 0 1 302.418 3.173 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC001019671866 658660076 /nfs/dbraw/zinc/66/00/76/658660076.db2.gz VIZRKYGWJZMSTR-ZBFHGGJFSA-N 0 1 306.837 3.042 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3ccccc3c2)C1 ZINC001019698919 658665067 /nfs/dbraw/zinc/66/50/67/658665067.db2.gz VBGIUJRHNMTODM-KRWDZBQOSA-N 0 1 314.816 3.396 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC000968083763 658666348 /nfs/dbraw/zinc/66/63/48/658666348.db2.gz XAHRYTGVEHKROW-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968174271 658677181 /nfs/dbraw/zinc/67/71/81/658677181.db2.gz KENVKDRFIASHRH-SUMWQHHRSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191852 658681478 /nfs/dbraw/zinc/68/14/78/658681478.db2.gz PJMCTKULTPQPIJ-PBHICJAKSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CN(Cc3ccsc3)CC[C@@H]2C)C1 ZINC000968277119 658690475 /nfs/dbraw/zinc/69/04/75/658690475.db2.gz XOCDDYQCZYZDLL-HOCLYGCPSA-N 0 1 318.486 3.431 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2ccc(F)cc2s1 ZINC001038490760 658727496 /nfs/dbraw/zinc/72/74/96/658727496.db2.gz CCGPPQSXYOYQNW-AWEZNQCLSA-N 0 1 318.417 3.421 20 30 DGEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3cscc3C(F)F)CCC[C@@H]12 ZINC000990712774 658731070 /nfs/dbraw/zinc/73/10/70/658731070.db2.gz PHNNHDOJBJGYLU-CZUORRHYSA-N 0 1 324.396 3.046 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cscc3C(F)F)CCC[C@@H]12 ZINC000990712774 658731076 /nfs/dbraw/zinc/73/10/76/658731076.db2.gz PHNNHDOJBJGYLU-CZUORRHYSA-N 0 1 324.396 3.046 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F ZINC001038359948 658746362 /nfs/dbraw/zinc/74/63/62/658746362.db2.gz CNCHFWUWZYQGLI-MGPQQGTHSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001038499292 658762815 /nfs/dbraw/zinc/76/28/15/658762815.db2.gz UXHWBMJLVYUCPL-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2c(o1)c(F)ccc2C ZINC001038547434 658763332 /nfs/dbraw/zinc/76/33/32/658763332.db2.gz YLTSYSDXLRRZAP-ZDUSSCGKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001038646110 658772916 /nfs/dbraw/zinc/77/29/16/658772916.db2.gz OEINFRJATWMVDI-NZSAHSFTSA-N 0 1 312.457 3.119 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C4CCC4)cc3)CCC[C@H]12 ZINC000990970590 658785431 /nfs/dbraw/zinc/78/54/31/658785431.db2.gz APKQLXJLUFMVSB-PZJWPPBQSA-N 0 1 322.452 3.314 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2cscc2s1 ZINC001038516579 658819312 /nfs/dbraw/zinc/81/93/12/658819312.db2.gz KAXDMGOCRAYJOI-LBPRGKRZSA-N 0 1 306.456 3.343 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cc(F)c(C)cc3F)CCC[C@@H]12 ZINC000991139369 658827831 /nfs/dbraw/zinc/82/78/31/658827831.db2.gz ACLVCEKCPIWMRN-AEFFLSMTSA-N 0 1 320.383 3.186 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001038383861 658877706 /nfs/dbraw/zinc/87/77/06/658877706.db2.gz WMCUQQHWNDFWKI-HNNXBMFYSA-N 0 1 300.446 3.364 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccccc2O)ccc1OCC#N ZINC000156599531 658927372 /nfs/dbraw/zinc/92/73/72/658927372.db2.gz NBGOCAYNGHMSQV-SOFGYWHQSA-N 0 1 309.321 3.199 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1coc2ccc(Cl)cc12 ZINC001038969176 658968381 /nfs/dbraw/zinc/96/83/81/658968381.db2.gz XWBDQQDZMHDCAV-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(OCC(C)C)cc1 ZINC001038984022 658971842 /nfs/dbraw/zinc/97/18/42/658971842.db2.gz COQGVQBXMLXHEB-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(-c2cccs2)o1 ZINC001038984609 658972093 /nfs/dbraw/zinc/97/20/93/658972093.db2.gz PNLWOGIRAHWTNB-CYBMUJFWSA-N 0 1 316.426 3.388 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1oc2c(cccc2C)c1C ZINC001039041496 658985189 /nfs/dbraw/zinc/98/51/89/658985189.db2.gz DWGCQPVKPXYSCI-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccccc1CC(C)C ZINC001039061718 658991241 /nfs/dbraw/zinc/99/12/41/658991241.db2.gz SXMZUEKGZOYQMD-KRWDZBQOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)/C=C\C2CC2)C1 ZINC001039196249 659033845 /nfs/dbraw/zinc/03/38/45/659033845.db2.gz UNNFQNZQSDGWTL-IIAQQRLMSA-N 0 1 322.880 3.408 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccc(CC)s3)CCC[C@H]12 ZINC000991676557 659120462 /nfs/dbraw/zinc/12/04/62/659120462.db2.gz CJCGYAIULOJQEN-FUHWJXTLSA-N 0 1 316.470 3.061 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc3c(c2)OCCO3)c(O)c1 ZINC000032275677 659191516 /nfs/dbraw/zinc/19/15/16/659191516.db2.gz VNJIXIJBFWSXDA-KXFIGUGUSA-N 0 1 312.321 3.068 20 30 DGEDMN N#Cc1ccc(OC(=O)[C@H]2CCC[N@@H+](Cc3ccccc3)C2)cc1 ZINC000170699787 659226237 /nfs/dbraw/zinc/22/62/37/659226237.db2.gz AJGQABPGTWRZDD-SFHVURJKSA-N 0 1 320.392 3.376 20 30 DGEDMN CNC(=O)C(C#N)C(=O)CCCCc1ccc(C(C)(C)C)cc1 ZINC000170709809 659226369 /nfs/dbraw/zinc/22/63/69/659226369.db2.gz JYHJCXNYIQAGLK-INIZCTEOSA-N 0 1 314.429 3.152 20 30 DGEDMN CC(C)c1nc(C(=O)C(C#N)C(=O)Nc2ccccc2)cs1 ZINC000171146142 659263830 /nfs/dbraw/zinc/26/38/30/659263830.db2.gz LEBCWQRGJISDHZ-GFCCVEGCSA-N 0 1 313.382 3.228 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C(C)C)cc1Cl ZINC000172021286 659310925 /nfs/dbraw/zinc/31/09/25/659310925.db2.gz ZDSYHFIFDGIWCR-ZYHUDNBSSA-N 0 1 322.792 3.288 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C(C)C ZINC000172021005 659310983 /nfs/dbraw/zinc/31/09/83/659310983.db2.gz UAPUPMJQTGGDOE-QWHCGFSZSA-N 0 1 302.374 3.025 20 30 DGEDMN CC(C)(C)OC(=O)N(CCCN1CCC(C#N)CC1)C1CC1 ZINC000929416037 659403246 /nfs/dbraw/zinc/40/32/46/659403246.db2.gz XSODSCWADCLPTD-UHFFFAOYSA-N 0 1 307.438 3.012 20 30 DGEDMN COc1ccc(CNCc2ccc(OCC3CC3)nc2)cc1C#N ZINC000174326915 659427324 /nfs/dbraw/zinc/42/73/24/659427324.db2.gz SGCUEJPWVRHCTQ-UHFFFAOYSA-N 0 1 323.396 3.040 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccsc3Cl)CCC[C@H]12 ZINC000992305820 659518842 /nfs/dbraw/zinc/51/88/42/659518842.db2.gz UGGUGYHXUGAAFE-BBRMVZONSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc(C(C)C)oc3C)CCC[C@@H]12 ZINC000992397726 659541712 /nfs/dbraw/zinc/54/17/12/659541712.db2.gz XTSRGKAZOAQIHS-IEBWSBKVSA-N 0 1 314.429 3.071 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ccccc3Cl)CCC[C@@H]12 ZINC000992420020 659545147 /nfs/dbraw/zinc/54/51/47/659545147.db2.gz HEQCIUCCEVCVDP-NVXWUHKLSA-N 0 1 304.821 3.253 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3sccc3CC)CCC[C@H]12 ZINC000992500280 659564666 /nfs/dbraw/zinc/56/46/66/659564666.db2.gz VGBXOGHKGWLFFG-YJBOKZPZSA-N 0 1 316.470 3.061 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@@H]12 ZINC000992516111 659568804 /nfs/dbraw/zinc/56/88/04/659568804.db2.gz NGUACHSQSDIBKM-YBSITQRDSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C(C)C)s3)CCC[C@H]12 ZINC000992517756 659569595 /nfs/dbraw/zinc/56/95/95/659569595.db2.gz QUFORRRVQCGMCI-FUHWJXTLSA-N 0 1 316.470 3.232 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C2=CCCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993193674 659695996 /nfs/dbraw/zinc/69/59/96/659695996.db2.gz BLBWXMKOXYJWFW-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3scnc32)[C@@H]1C ZINC000993335288 659716435 /nfs/dbraw/zinc/71/64/35/659716435.db2.gz VUZMMUDJOSUXLH-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)oc3ccccc32)[C@H]1C ZINC000993370342 659722976 /nfs/dbraw/zinc/72/29/76/659722976.db2.gz VBGJKEOVOSUFLC-RHSMWYFYSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(OC)c(C)c2)[C@@H]1C ZINC000993378741 659724019 /nfs/dbraw/zinc/72/40/19/659724019.db2.gz LQAJMXUMJKRVNZ-RDJZCZTQSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@@H]1C ZINC000993500276 659750744 /nfs/dbraw/zinc/75/07/44/659750744.db2.gz ZLSWMPKRBDCLSC-BLLLJJGKSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CCCN(CC=C)[C@H]1C ZINC000993520439 659755427 /nfs/dbraw/zinc/75/54/27/659755427.db2.gz LZTVLSGNKRCXOZ-RDJZCZTQSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CCCN(CC=C)[C@@H]1C ZINC000993520443 659755890 /nfs/dbraw/zinc/75/58/90/659755890.db2.gz LZTVLSGNKRCXOZ-WBVHZDCISA-N 0 1 314.429 3.020 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)onc2C2CC2)[C@H]1C ZINC000993609953 659765094 /nfs/dbraw/zinc/76/50/94/659765094.db2.gz ZDNFJSZXMIXGRK-DOMZBBRYSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nc3ccccc3cc2C)[C@H]1C ZINC000993616746 659766223 /nfs/dbraw/zinc/76/62/23/659766223.db2.gz XZRISRFSMVWRRT-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)[C@@H]1C ZINC000993669523 659774359 /nfs/dbraw/zinc/77/43/59/659774359.db2.gz BHRIZFUHEGRKDI-GOEBONIOSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2cccs2)[C@H]1C ZINC000993684779 659776401 /nfs/dbraw/zinc/77/64/01/659776401.db2.gz DVIDOPCZVDVRKT-KGLIPLIRSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(F)ccc3[nH]2)[C@@H]1C ZINC000993658426 659777254 /nfs/dbraw/zinc/77/72/54/659777254.db2.gz GZECCBQDROFLJE-WFASDCNBSA-N 0 1 315.392 3.076 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2OC)[C@@H]1C ZINC000993718110 659780256 /nfs/dbraw/zinc/78/02/56/659780256.db2.gz SKNFTYWXXCPKEZ-SWLSCSKDSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nccc3ccccc32)[C@@H]1C ZINC000993724176 659780339 /nfs/dbraw/zinc/78/03/39/659780339.db2.gz GLILCXISLOCQMR-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@H]1C ZINC000993697613 659781446 /nfs/dbraw/zinc/78/14/46/659781446.db2.gz DZBGNHKQZLVSAX-NVXWUHKLSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cncc3sccc32)[C@H]1C ZINC000993727570 659786434 /nfs/dbraw/zinc/78/64/34/659786434.db2.gz LIVJZQVJTXEXSM-DOMZBBRYSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H]1C ZINC000993764469 659788149 /nfs/dbraw/zinc/78/81/49/659788149.db2.gz TYEPDUYGUXZMNS-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncsc2C2CC2)[C@H]1C ZINC000993837432 659794677 /nfs/dbraw/zinc/79/46/77/659794677.db2.gz ZISWKWMJSKBGIH-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc[nH]c2C2CCC2)[C@H]1C ZINC000993835950 659795098 /nfs/dbraw/zinc/79/50/98/659795098.db2.gz WMQVKIQNGOHSDE-CJNGLKHVSA-N 0 1 301.434 3.051 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H]1C ZINC000993959874 659803691 /nfs/dbraw/zinc/80/36/91/659803691.db2.gz HEVRDCVVAXKODP-OXQOHEQNSA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(F)F)cc2)[C@@H]1C ZINC000994005574 659807931 /nfs/dbraw/zinc/80/79/31/659807931.db2.gz MEBOYQUOHQIWBK-WFASDCNBSA-N 0 1 308.372 3.393 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sc(CC)nc2C)[C@@H]1C ZINC000993972327 659808104 /nfs/dbraw/zinc/80/81/04/659808104.db2.gz MCACCYOJCXHYPL-KBPBESRZSA-N 0 1 321.490 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@@H]1C ZINC000994014523 659809058 /nfs/dbraw/zinc/80/90/58/659809058.db2.gz ZKEIZFJUANVUJZ-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC)cc2Cl)[C@@H]1C ZINC000994010812 659809883 /nfs/dbraw/zinc/80/98/83/659809883.db2.gz QSMSGIRFRFQDGT-LRDDRELGSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3F)CC2)[C@@H]1C ZINC000994135186 659813974 /nfs/dbraw/zinc/81/39/74/659813974.db2.gz QMANHIJJCBEESU-YOEHRIQHSA-N 0 1 316.420 3.012 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C(C)C)c2)[C@@H]1C ZINC000994143364 659814339 /nfs/dbraw/zinc/81/43/39/659814339.db2.gz FKDRDKKPLORSOX-LPHOPBHVSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ccncc3c2)[C@@H]1C ZINC000994172602 659816315 /nfs/dbraw/zinc/81/63/15/659816315.db2.gz YABWPLAAZUINNK-KBXCAEBGSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sccc2COC)[C@@H]1C ZINC000994235077 659822415 /nfs/dbraw/zinc/82/24/15/659822415.db2.gz VEUQQVSRDZYUSG-ZFWWWQNUSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@H]1C ZINC000994238230 659822992 /nfs/dbraw/zinc/82/29/92/659822992.db2.gz IIMAUKYICJQHLJ-SJLPKXTDSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)[C@H]1C ZINC000994291306 659831963 /nfs/dbraw/zinc/83/19/63/659831963.db2.gz WJYHQSQSKQJWLA-MOAASNJQSA-N 0 1 316.489 3.358 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3ccccc3c2)[C@H]1C ZINC000994301885 659836175 /nfs/dbraw/zinc/83/61/75/659836175.db2.gz SZXKRODUDDITBR-UZLBHIALSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc3ccccc32)[C@@H]1C ZINC000994357652 659844910 /nfs/dbraw/zinc/84/49/10/659844910.db2.gz YFGWAEZAPNLDES-OXJNMPFZSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cccc(F)c3o2)[C@H]1C ZINC000994444813 659855368 /nfs/dbraw/zinc/85/53/68/659855368.db2.gz UUEJAAHKYBENCN-DOMZBBRYSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C3CCCC3)no2)[C@H]1C ZINC000994452243 659857223 /nfs/dbraw/zinc/85/72/23/659857223.db2.gz PCSOTXKEZCYKOJ-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c3c2CCC3)[C@@H]1C ZINC000994475382 659859671 /nfs/dbraw/zinc/85/96/71/659859671.db2.gz RDIHEKATYCXKSC-HNAYVOBHSA-N 0 1 312.457 3.252 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1C ZINC000994484680 659860566 /nfs/dbraw/zinc/86/05/66/659860566.db2.gz AHMVFCNVPUYWHK-ZNVHBHFFSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccc(C)s2)[C@H]1C ZINC000994488994 659860610 /nfs/dbraw/zinc/86/06/10/659860610.db2.gz SEZRCLGTDMHYJR-GDBMZVCRSA-N 0 1 306.475 3.144 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C3CC3)c2)[C@H]1C ZINC000994497800 659863058 /nfs/dbraw/zinc/86/30/58/659863058.db2.gz RFRMYMRUJTYXHS-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(CC(C)C)n2)[C@H]1C ZINC000994519453 659869001 /nfs/dbraw/zinc/86/90/01/659869001.db2.gz OGECEXZBZZWYGR-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2C)[C@@H]1C ZINC000994518318 659869134 /nfs/dbraw/zinc/86/91/34/659869134.db2.gz MBRRUGKRBNCLDG-FUHWJXTLSA-N 0 1 314.473 3.428 20 30 DGEDMN CC(F)(F)CCCN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000929985213 659870496 /nfs/dbraw/zinc/87/04/96/659870496.db2.gz BGOHSIJMKDBMBR-MRXNPFEDSA-N 0 1 307.388 3.304 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cc(C)c(Cl)cc1C ZINC001038584272 659906842 /nfs/dbraw/zinc/90/68/42/659906842.db2.gz UEDQCJBSCDGNDI-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2cncc(F)c2)CC1 ZINC000930120483 659911111 /nfs/dbraw/zinc/91/11/11/659911111.db2.gz CCCRNECFUAFYGK-UHFFFAOYSA-N 0 1 324.403 3.031 20 30 DGEDMN CC(=O)N(C)[C@@H]1CCCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000948111691 659931583 /nfs/dbraw/zinc/93/15/83/659931583.db2.gz GQFCOSHZHWALGP-GOSISDBHSA-N 0 1 318.848 3.024 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2sc3ccccc3c2C)C1 ZINC001031829192 660003040 /nfs/dbraw/zinc/00/30/40/660003040.db2.gz ILHNGYQWWLLNJY-UHFFFAOYSA-N 0 1 314.454 3.447 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2C(C)C)C[C@H]1C ZINC000939826464 660015730 /nfs/dbraw/zinc/01/57/30/660015730.db2.gz PIDPJGJCPGXLRO-BXUZGUMPSA-N 0 1 310.825 3.206 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3CCC3)cc2)C(C)(C)C1 ZINC000940788787 660063691 /nfs/dbraw/zinc/06/36/91/660063691.db2.gz FIMIJERYINEKFR-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)oc3ccccc32)C(C)(C)C1 ZINC000940775012 660064179 /nfs/dbraw/zinc/06/41/79/660064179.db2.gz YNHTZZLAVLQVRR-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(CC)s2)C(C)(C)C1 ZINC000940873338 660071188 /nfs/dbraw/zinc/07/11/88/660071188.db2.gz LDIMCJNONGMMET-MRXNPFEDSA-N 0 1 318.486 3.164 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccoc2C2CC2)C(C)(C)C1 ZINC000940983835 660077952 /nfs/dbraw/zinc/07/79/52/660077952.db2.gz BUPVSUZZPQMLGX-INIZCTEOSA-N 0 1 314.429 3.011 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](C/C=C\Cl)CC2(C)C)C1 ZINC000941018209 660080005 /nfs/dbraw/zinc/08/00/05/660080005.db2.gz SRNQLZMQMLPMFW-VSKVDJIOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(C/C=C\Cl)CC2(C)C)C1 ZINC000941018209 660080008 /nfs/dbraw/zinc/08/00/08/660080008.db2.gz SRNQLZMQMLPMFW-VSKVDJIOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cnc3ccccc3c2)C(C)(C)C1 ZINC000941061898 660083902 /nfs/dbraw/zinc/08/39/02/660083902.db2.gz SVCREJZDQURGQS-GOSISDBHSA-N 0 1 323.440 3.251 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccoc2CCC)C(C)(C)C1 ZINC000941164536 660089864 /nfs/dbraw/zinc/08/98/64/660089864.db2.gz VGPPHFMBCSWDID-QGZVFWFLSA-N 0 1 316.445 3.086 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(C(F)F)o2)C(C)(C)C1 ZINC000941190589 660093045 /nfs/dbraw/zinc/09/30/45/660093045.db2.gz FSQCFXCFJIONMU-CQSZACIVSA-N 0 1 324.371 3.071 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2sccc2C2CC2)C(C)(C)C1 ZINC000941199840 660094053 /nfs/dbraw/zinc/09/40/53/660094053.db2.gz SIKRMYRIIQQTGR-OAHLLOKOSA-N 0 1 316.470 3.089 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)csc2Cl)C(C)(C)C1 ZINC000941184372 660094209 /nfs/dbraw/zinc/09/42/09/660094209.db2.gz LRNWKYLYKTXSLP-LBPRGKRZSA-N 0 1 324.877 3.173 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2cccc(F)c2)C(C)(C)C1 ZINC000941229463 660096789 /nfs/dbraw/zinc/09/67/89/660096789.db2.gz AMGIFKCMYFFLOY-YOEHRIQHSA-N 0 1 318.436 3.332 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cccc2cn[nH]c21 ZINC000183102290 660101753 /nfs/dbraw/zinc/10/17/53/660101753.db2.gz PEAQDRMYVXYYFS-NSHDSACASA-N 0 1 306.325 3.106 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2c(C)ccn2C)CC1 ZINC000948323115 660102082 /nfs/dbraw/zinc/10/20/82/660102082.db2.gz ACHAAUOXHDOTNW-OAHLLOKOSA-N 0 1 323.868 3.013 20 30 DGEDMN C=C1CCC(C(=O)NCC2CN(Cc3csc(C)c3)C2)CC1 ZINC001031951431 660104991 /nfs/dbraw/zinc/10/49/91/660104991.db2.gz HSNIXFSOARXLRC-UHFFFAOYSA-N 0 1 318.486 3.351 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)[C@H](C)C1 ZINC000942062381 660133781 /nfs/dbraw/zinc/13/37/81/660133781.db2.gz YOEMSCPAONUCFO-KDOFPFPSSA-N 0 1 324.424 3.069 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2scc(C)c2Cl)[C@H](C)C1 ZINC000942080470 660135070 /nfs/dbraw/zinc/13/50/70/660135070.db2.gz YCKUROVOZHBQOZ-ZYHUDNBSSA-N 0 1 312.866 3.336 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@H](C)C1 ZINC000942079806 660135875 /nfs/dbraw/zinc/13/58/75/660135875.db2.gz QKWZLWBAFALOFG-YPMHNXCESA-N 0 1 324.877 3.173 20 30 DGEDMN Cc1cc(CN2CCC(Oc3ccc(C#N)cc3)CC2)c(C)nn1 ZINC000929517334 661314642 /nfs/dbraw/zinc/31/46/42/661314642.db2.gz SHTHDIWXOHGYQO-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN CC(C)(C)CN(CCC#N)C[C@H](O)CC[C@H](O)c1ccccc1 ZINC000929691491 661329207 /nfs/dbraw/zinc/32/92/07/661329207.db2.gz BNUKFWAPFUTEPB-MSOLQXFVSA-N 0 1 318.461 3.123 20 30 DGEDMN CN(C)c1cccc([C@H]2CCCN2Cc2cncc(C#N)c2)c1 ZINC000929718788 661331765 /nfs/dbraw/zinc/33/17/65/661331765.db2.gz TVCNHDVBDDNMJK-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2ccc(CN3CCCCC3)o2)cc1 ZINC000929942120 661352394 /nfs/dbraw/zinc/35/23/94/661352394.db2.gz LBZAQIQWTHRIDO-UHFFFAOYSA-N 0 1 323.396 3.067 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC000930019855 661359158 /nfs/dbraw/zinc/35/91/58/661359158.db2.gz NDXHMKNIFPUZOP-INIZCTEOSA-N 0 1 314.433 3.061 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@]2(CO)CCc3ccccc32)c1 ZINC000930063255 661362606 /nfs/dbraw/zinc/36/26/06/661362606.db2.gz YMIZNTZIPNQGPU-SFHVURJKSA-N 0 1 312.800 3.135 20 30 DGEDMN N#Cc1cncc(CN[C@H](c2ccccc2)C2(CO)CC=CC2)c1 ZINC000930825142 661432979 /nfs/dbraw/zinc/43/29/79/661432979.db2.gz MHXARJMNLNSVCQ-LJQANCHMSA-N 0 1 319.408 3.113 20 30 DGEDMN C[C@@H]1CN(C[C@H]2CCC3(CCCCCC3)O2)C[C@@H](C)N1CC#N ZINC000930827647 661433400 /nfs/dbraw/zinc/43/34/00/661433400.db2.gz GHBOWUBARCCFJP-KZNAEPCWSA-N 0 1 319.493 3.177 20 30 DGEDMN CCc1ncc(CN2CCC(Nc3ccccc3C#N)CC2)o1 ZINC000931033795 661447187 /nfs/dbraw/zinc/44/71/87/661447187.db2.gz UIMQZWOBPBXWQR-UHFFFAOYSA-N 0 1 310.401 3.185 20 30 DGEDMN COC[C@H](C)N(Cc1cncc(C#N)c1)[C@@H]1CCc2ccccc21 ZINC000931332513 661468203 /nfs/dbraw/zinc/46/82/03/661468203.db2.gz GOJARGCHQJHXER-MGPUTAFESA-N 0 1 321.424 3.478 20 30 DGEDMN N#Cc1ccccc1CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000931487348 661481412 /nfs/dbraw/zinc/48/14/12/661481412.db2.gz CTFTVKLTARCVEN-PMACEKPBSA-N 0 1 303.409 3.017 20 30 DGEDMN N#C[C@H](c1ccccc1)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000931491471 661482126 /nfs/dbraw/zinc/48/21/26/661482126.db2.gz PKDGJVXYOYNVLY-SLFFLAALSA-N 0 1 303.409 3.210 20 30 DGEDMN N#C[C@H](c1ccccc1)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000931491471 661482128 /nfs/dbraw/zinc/48/21/28/661482128.db2.gz PKDGJVXYOYNVLY-SLFFLAALSA-N 0 1 303.409 3.210 20 30 DGEDMN CC(C)C[C@@H](C#N)NC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000931574707 661490279 /nfs/dbraw/zinc/49/02/79/661490279.db2.gz XHDLCEORYPODMH-VIFPVBQESA-N 0 1 306.316 3.169 20 30 DGEDMN Cn1ccc([C@@H]2CCCN(CC#Cc3ccc(Cl)cc3)C2)n1 ZINC000932132911 661540605 /nfs/dbraw/zinc/54/06/05/661540605.db2.gz PLLCTNBUJNTJCB-MRXNPFEDSA-N 0 1 313.832 3.305 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCC[C@@H]1C(=O)NC(C)(C)C ZINC000932434275 661569585 /nfs/dbraw/zinc/56/95/85/661569585.db2.gz FXHKBIPAROIBFN-QGZVFWFLSA-N 0 1 313.445 3.054 20 30 DGEDMN C#CCC1(NCc2ccn(-c3ccc(F)cc3F)n2)CCC1 ZINC000933296466 661628157 /nfs/dbraw/zinc/62/81/57/661628157.db2.gz HKIRKMJOHORJSK-UHFFFAOYSA-N 0 1 301.340 3.186 20 30 DGEDMN C#CCC1(N[C@@H](C)c2nc3sc(C)c(C)c3c(N)n2)CCC1 ZINC000933298647 661628502 /nfs/dbraw/zinc/62/85/02/661628502.db2.gz IAAUYCWJQVVZHL-NSHDSACASA-N 0 1 314.458 3.487 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)c(Cl)c1 ZINC000933362498 661630481 /nfs/dbraw/zinc/63/04/81/661630481.db2.gz BGDRWIZREYMENL-JTQLQIEISA-N 0 1 316.792 3.106 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(c3ccc(C#N)cc3)CC2)o1 ZINC000933614532 661645142 /nfs/dbraw/zinc/64/51/42/661645142.db2.gz RYCIFXAVIKQREW-UHFFFAOYSA-N 0 1 324.380 3.317 20 30 DGEDMN C[C@@H](CCC#N)N1CCC[C@@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC000933635701 661646861 /nfs/dbraw/zinc/64/68/61/661646861.db2.gz ZWOCMNBRWGOULG-XJKSGUPXSA-N 0 1 319.836 3.226 20 30 DGEDMN O=C1C=COC2(CCN(Cc3cnc4ccccc4c3)CC2)C1 ZINC000933647123 661647748 /nfs/dbraw/zinc/64/77/48/661647748.db2.gz VZCDOOIMDJVSHE-UHFFFAOYSA-N 0 1 308.381 3.073 20 30 DGEDMN Cc1ccccc1NC(=O)CCN(C)Cc1cc(C#N)cs1 ZINC000933774478 661656942 /nfs/dbraw/zinc/65/69/42/661656942.db2.gz RQCOCJOWGANMBF-UHFFFAOYSA-N 0 1 313.426 3.389 20 30 DGEDMN N#Cc1cc(CN2CC[C@@H](Cc3nccs3)C2)ccc1F ZINC000934332188 661698140 /nfs/dbraw/zinc/69/81/40/661698140.db2.gz IMRXOEYYIXBKJW-ZDUSSCGKSA-N 0 1 301.390 3.218 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N1CCc2sccc2[C@@H]1CC ZINC000934472680 661709525 /nfs/dbraw/zinc/70/95/25/661709525.db2.gz PHEGWKJUPPSDMR-HIFRSBDPSA-N 0 1 304.459 3.234 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N1CCc2sccc2[C@H]1CC ZINC000934472679 661709633 /nfs/dbraw/zinc/70/96/33/661709633.db2.gz PHEGWKJUPPSDMR-DZGCQCFKSA-N 0 1 304.459 3.234 20 30 DGEDMN Cc1c(Cl)cccc1N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000934729058 661730755 /nfs/dbraw/zinc/73/07/55/661730755.db2.gz XDPQAEWGEAXHEQ-HUUCEWRRSA-N 0 1 303.837 3.463 20 30 DGEDMN C[C@@H]1CN(CCCC(C)(C)C#N)C[C@H](C)N1CC(F)(F)F ZINC000934792438 661735180 /nfs/dbraw/zinc/73/51/80/661735180.db2.gz JZZKLHVKYOSKLA-BETUJISGSA-N 0 1 305.388 3.273 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@H](C)c2n[nH]c([C@@H](C)CC)n2)cc1 ZINC000934928224 661749221 /nfs/dbraw/zinc/74/92/21/661749221.db2.gz KOQZPJQHRRVYLL-NWDGAFQWSA-N 0 1 311.389 3.182 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1ccccc1CN1CCC(O)CC1 ZINC000935141852 661763071 /nfs/dbraw/zinc/76/30/71/661763071.db2.gz WWTSZTRUTBWDJP-OAHLLOKOSA-N 0 1 316.445 3.184 20 30 DGEDMN N#CC(C(=O)Cc1c[nH]c2ccccc12)c1nc2ccccc2[nH]1 ZINC000041497902 661835003 /nfs/dbraw/zinc/83/50/03/661835003.db2.gz ZKFTVRJLZDILGZ-AWEZNQCLSA-N 0 1 314.348 3.463 20 30 DGEDMN COc1ccccc1OCCN(C)CC#Cc1ccc(F)cc1 ZINC000156264470 661861489 /nfs/dbraw/zinc/86/14/89/661861489.db2.gz MCFHVHOFWBIVLI-UHFFFAOYSA-N 0 1 313.372 3.197 20 30 DGEDMN CCOc1cc(/C=C/C(=O)c2ccc(O)cc2O)ccc1OC ZINC000156632871 661864174 /nfs/dbraw/zinc/86/41/74/661864174.db2.gz CCSUOUVFLDLQAD-XBXARRHUSA-N 0 1 314.337 3.401 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C=C2CCC2)CCN1Cc1ccccc1C#N ZINC000947187718 661898183 /nfs/dbraw/zinc/89/81/83/661898183.db2.gz YTZJXBFQKPWYFF-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2occc2-c2ccccc2)C[C@H]1C ZINC000947524301 661913836 /nfs/dbraw/zinc/91/38/36/661913836.db2.gz RNJAFFCOMOKEAW-NVXWUHKLSA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)C[C@H]1C ZINC000947538120 661915028 /nfs/dbraw/zinc/91/50/28/661915028.db2.gz VKAAPPZOKPILRK-PBHICJAKSA-N 0 1 324.399 3.195 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000188437097 661931263 /nfs/dbraw/zinc/93/12/63/661931263.db2.gz RKKZCWLGOYSUNM-UHFFFAOYSA-N 0 1 305.337 3.133 20 30 DGEDMN CN(C(=O)C1(C)CC1)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948397283 661973104 /nfs/dbraw/zinc/97/31/04/661973104.db2.gz OUULORMBXCHLOT-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2(F)CCCC2)CC1 ZINC000948569915 661983858 /nfs/dbraw/zinc/98/38/58/661983858.db2.gz FXAWXJLQXPZMHG-AWEZNQCLSA-N 0 1 316.848 3.334 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC000948631142 661987081 /nfs/dbraw/zinc/98/70/81/661987081.db2.gz LDSJCSCQUXKJDD-ULQDDVLXSA-N 0 1 324.896 3.488 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948680227 661990170 /nfs/dbraw/zinc/99/01/70/661990170.db2.gz FCQQVYKSDAJEJP-MISYRCLQSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@@H](N(C)C(=O)[C@H]2CCC2(C)C)CC1 ZINC000948684959 661990671 /nfs/dbraw/zinc/99/06/71/661990671.db2.gz SUAQIVOPCTUYLN-HUUCEWRRSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2CCC2(C)C)CC1 ZINC000948684959 661990673 /nfs/dbraw/zinc/99/06/73/661990673.db2.gz SUAQIVOPCTUYLN-HUUCEWRRSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CC=CCC1 ZINC000948893593 662006238 /nfs/dbraw/zinc/00/62/38/662006238.db2.gz AVEOTAIXYQXZHM-UYAOXDASSA-N 0 1 322.452 3.082 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CC1(C)C ZINC000948910464 662007494 /nfs/dbraw/zinc/00/74/94/662007494.db2.gz SIYUTZXZFHZRRS-ZWKOTPCHSA-N 0 1 312.457 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CCC1(C)C ZINC000948954334 662009637 /nfs/dbraw/zinc/00/96/37/662009637.db2.gz LNPUDWUNIFTBPP-RTBURBONSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2nc3ccccc3cc2C)CC1 ZINC000949363598 662014863 /nfs/dbraw/zinc/01/48/63/662014863.db2.gz FLPPNZSYVBGPPZ-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc(F)c2C)C1 ZINC000950380973 662062168 /nfs/dbraw/zinc/06/21/68/662062168.db2.gz CLSZTQVJAGTXSP-UHFFFAOYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCn1cccc1C(=O)N(CC)C1CN(CC2CCCC2)C1 ZINC000950512532 662069294 /nfs/dbraw/zinc/06/92/94/662069294.db2.gz NMHLQYZYQFLBIM-UHFFFAOYSA-N 0 1 315.461 3.011 20 30 DGEDMN CCCCCCCCCN(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000192102668 662087223 /nfs/dbraw/zinc/08/72/23/662087223.db2.gz USAWGCKLYYZNKK-MRXNPFEDSA-N 0 1 301.496 3.389 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000079000895 662094391 /nfs/dbraw/zinc/09/43/91/662094391.db2.gz LFENUWPNYDFUAV-CQSZACIVSA-N 0 1 323.396 3.040 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C23CCC(CC2)C3(C)C)CC1 ZINC000951566690 662140011 /nfs/dbraw/zinc/14/00/11/662140011.db2.gz SXKSFLYYEVRIOS-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@]2(C)CCCc3ccccc32)CC1 ZINC000951762620 662150681 /nfs/dbraw/zinc/15/06/81/662150681.db2.gz GGCGEBRIJXDDCF-FQEVSTJZSA-N 0 1 312.457 3.001 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC000952301630 662180623 /nfs/dbraw/zinc/18/06/23/662180623.db2.gz HDZQNIBQIMDERX-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc3ccccn3c2)C1 ZINC000952397939 662187155 /nfs/dbraw/zinc/18/71/55/662187155.db2.gz SWYMADZRQWIISZ-UHFFFAOYSA-N 0 1 311.429 3.052 20 30 DGEDMN CC(C)C[C@@H]1COCCN1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245746971 662240259 /nfs/dbraw/zinc/24/02/59/662240259.db2.gz MZHHZNGPCVDGCF-NVXWUHKLSA-N 0 1 302.418 3.224 20 30 DGEDMN Cc1noc(C)c1CN(C)Cc1ccc(N(C)CCC#N)cc1 ZINC000091812762 662319132 /nfs/dbraw/zinc/31/91/32/662319132.db2.gz MXJQUHHETFRIJX-UHFFFAOYSA-N 0 1 312.417 3.273 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1cc(Cl)ccc1OC(F)F ZINC000092467370 662331842 /nfs/dbraw/zinc/33/18/42/662331842.db2.gz GCVVXKSQODTYEB-UHFFFAOYSA-N 0 1 305.708 3.014 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC000957119969 662351579 /nfs/dbraw/zinc/35/15/79/662351579.db2.gz HXCPXCLAOGVQIM-LBPRGKRZSA-N 0 1 312.866 3.225 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2scnc2C(C)(C)C)C1 ZINC000970549384 685335105 /nfs/dbraw/zinc/33/51/05/685335105.db2.gz VNXQVHXBOADNMN-LBPRGKRZSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2oc(C(F)F)cc2C)C1 ZINC001033868202 685394138 /nfs/dbraw/zinc/39/41/38/685394138.db2.gz RNDKPTBFJDKOFY-GFCCVEGCSA-N 0 1 312.360 3.248 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001046386095 685399741 /nfs/dbraw/zinc/39/97/41/685399741.db2.gz WLANSTIBGUGYGO-BJLQDIEVSA-N 0 1 316.489 3.197 20 30 DGEDMN C#C[C@](C)(CC)NCc1ccc(Br)cc1[N+](=O)[O-] ZINC000384230308 685170683 /nfs/dbraw/zinc/17/06/83/685170683.db2.gz WYSNUZMLTLLYBC-CYBMUJFWSA-N 0 1 311.179 3.249 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)C(CC)(CC)CC)C[C@@H](C)O2 ZINC001071224751 686601783 /nfs/dbraw/zinc/60/17/83/686601783.db2.gz AASDNEVWPIJBDP-APWZRJJASA-N 0 1 322.493 3.081 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C=C2CCC2)CN1Cc1cccc(C#N)c1 ZINC001071420582 686659247 /nfs/dbraw/zinc/65/92/47/686659247.db2.gz PPWCPYFYHWIXLW-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(CCC)s2)CC[C@@H]1C ZINC001071542169 686684631 /nfs/dbraw/zinc/68/46/31/686684631.db2.gz DJQPMOSWEVPGEK-UONOGXRCSA-N 0 1 306.475 3.469 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C(C)C)CC[C@H]1C ZINC001071548324 686687193 /nfs/dbraw/zinc/68/71/93/686687193.db2.gz DQCHPIYRAAPTEI-IAGOWNOFSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)CC[C@@H]1C ZINC001071572613 686692363 /nfs/dbraw/zinc/69/23/63/686692363.db2.gz YGACNPDYWNIYMV-NDHMYGHJSA-N 0 1 316.489 3.214 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nccc3ccsc32)CC[C@H]1C ZINC001071579337 686694014 /nfs/dbraw/zinc/69/40/14/686694014.db2.gz CGQNZNMSGABUSO-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2C(C)C)CC[C@H]1C ZINC001071583620 686694654 /nfs/dbraw/zinc/69/46/54/686694654.db2.gz JPJBKDKBAFNWDV-HIFRSBDPSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@H]1C ZINC001071594427 686697290 /nfs/dbraw/zinc/69/72/90/686697290.db2.gz QSJKSXOCJHXGCE-CHWSQXEVSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2F)CC[C@H]1C ZINC001071660150 686714806 /nfs/dbraw/zinc/71/48/06/686714806.db2.gz QQSJWXPGJNIJMC-YPMHNXCESA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@@H]1C ZINC001071670533 686719944 /nfs/dbraw/zinc/71/99/44/686719944.db2.gz MGUTXPOLAIZJAB-QWHCGFSZSA-N 0 1 308.372 3.042 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2CCC)CC[C@@H]1C ZINC001071672925 686720392 /nfs/dbraw/zinc/72/03/92/686720392.db2.gz GIHXPILTVVEQQY-WMZOPIPTSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@@H]1C ZINC001071686574 686723112 /nfs/dbraw/zinc/72/31/12/686723112.db2.gz WGCVBDSNYWNAGZ-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@H]1C ZINC001071772932 686748025 /nfs/dbraw/zinc/74/80/25/686748025.db2.gz TWIIEGYPPZJJMT-RHSMWYFYSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ncccc3c2)CC[C@@H]1C ZINC001071787029 686753212 /nfs/dbraw/zinc/75/32/12/686753212.db2.gz ANSSASWWJHYDET-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)cc2C)CC[C@@H]1C ZINC001071789600 686754534 /nfs/dbraw/zinc/75/45/34/686754534.db2.gz WFHXQJHEJABKEL-GOEBONIOSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2C)CC[C@@H]1C ZINC001071792326 686755039 /nfs/dbraw/zinc/75/50/39/686755039.db2.gz HSASGKGZKDJTBE-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cccc2Cl)CC[C@@H]1C ZINC001071798788 686757860 /nfs/dbraw/zinc/75/78/60/686757860.db2.gz CAXXTSQIRZJWCA-GJZGRUSLSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2cccc(F)c2)CC[C@H]1C ZINC001071824792 686762407 /nfs/dbraw/zinc/76/24/07/686762407.db2.gz LZNMWOOZDUXDAA-RHSMWYFYSA-N 0 1 318.436 3.258 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(F)c3ccccc23)CC[C@@H]1C ZINC001071835639 686765455 /nfs/dbraw/zinc/76/54/55/686765455.db2.gz OOMBTQZDJPFDRS-GJZGRUSLSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)CC[C@@H]1C ZINC001071854718 686772526 /nfs/dbraw/zinc/77/25/26/686772526.db2.gz FCOMXIWULNXDFR-YOEHRIQHSA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(C)cc2OC)CC[C@@H]1C ZINC001071869284 686776280 /nfs/dbraw/zinc/77/62/80/686776280.db2.gz PGWCSMYIUJTCOX-JSGCOSHPSA-N 0 1 322.474 3.224 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(Cl)cs2)CC[C@H]1C ZINC001071868252 686776729 /nfs/dbraw/zinc/77/67/29/686776729.db2.gz IIVQOVFWGXJJPM-DGCLKSJQSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@H]1C ZINC001071883447 686780567 /nfs/dbraw/zinc/78/05/67/686780567.db2.gz LMDTZWCIMMVMAL-BEFAXECRSA-N 0 1 310.441 3.415 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(C(C)C)nc2C)CC[C@@H]1C ZINC001071883023 686780875 /nfs/dbraw/zinc/78/08/75/686780875.db2.gz DWKRYJHUDFJODI-DZGCQCFKSA-N 0 1 319.449 3.265 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@H]1C ZINC001071934620 686793069 /nfs/dbraw/zinc/79/30/69/686793069.db2.gz PJXOBOSYUAWPAO-TVFCKZIOSA-N 0 1 304.478 3.051 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)CC[C@H]1C ZINC001071954691 686795054 /nfs/dbraw/zinc/79/50/54/686795054.db2.gz TXOXJMBAODMRPM-GDBMZVCRSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3scnc3c2)CC[C@@H]1C ZINC001071961652 686798696 /nfs/dbraw/zinc/79/86/96/686798696.db2.gz UDTQZJNGAARGQC-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncoc2C(C)(C)C)CC[C@@H]1C ZINC001071973559 686801828 /nfs/dbraw/zinc/80/18/28/686801828.db2.gz HDHSAOBJQMZGMR-KBPBESRZSA-N 0 1 319.449 3.131 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc(C3CCC3)c2)CC[C@@H]1C ZINC001071988337 686807452 /nfs/dbraw/zinc/80/74/52/686807452.db2.gz IKEAQSRMWSRTBB-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(CC)cc2C)CC[C@H]1C ZINC001071991147 686807530 /nfs/dbraw/zinc/80/75/30/686807530.db2.gz DFQVIHUIBYOWMC-CABCVRRESA-N 0 1 304.434 3.309 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(CC)cc2C)CC[C@@H]1C ZINC001071991148 686807552 /nfs/dbraw/zinc/80/75/52/686807552.db2.gz DFQVIHUIBYOWMC-GJZGRUSLSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)CC[C@H]1C ZINC001072002341 686811420 /nfs/dbraw/zinc/81/14/20/686811420.db2.gz KHEOKXTUONXQPO-SJLPKXTDSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)CC[C@H]1C ZINC001072004816 686812306 /nfs/dbraw/zinc/81/23/06/686812306.db2.gz GMQNQSRGBAFFHA-RHSMWYFYSA-N 0 1 318.436 3.258 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC001072016869 686823133 /nfs/dbraw/zinc/82/31/33/686823133.db2.gz VMXMCOGIQRQHRW-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)CC[C@@H]1C ZINC001072018935 686824307 /nfs/dbraw/zinc/82/43/07/686824307.db2.gz ABPDINZZPQOXQE-GGEQCSGTSA-N 0 1 316.489 3.358 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3ccccc3c2)CC[C@H]1C ZINC001072019850 686826819 /nfs/dbraw/zinc/82/68/19/686826819.db2.gz PNOUHZJGAVDMQV-UZLBHIALSA-N 0 1 320.436 3.446 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3[nH]ccc3s2)CC[C@@H]1C ZINC001072030709 686833177 /nfs/dbraw/zinc/83/31/77/686833177.db2.gz USXKMVXMUSRVCV-QWHCGFSZSA-N 0 1 317.458 3.388 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc3ccccc32)CC[C@H]1C ZINC001072043893 686839893 /nfs/dbraw/zinc/83/98/93/686839893.db2.gz GEEBCQMRKSOXHA-SJLPKXTDSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc3ccccc32)CC[C@@H]1C ZINC001072043894 686840213 /nfs/dbraw/zinc/84/02/13/686840213.db2.gz GEEBCQMRKSOXHA-WMZOPIPTSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(CC)s2)CC[C@H]1C ZINC001072054422 686848478 /nfs/dbraw/zinc/84/84/78/686848478.db2.gz CSQIRLBUIKWREL-ZIAGYGMSSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@@H]1C ZINC001072055330 686849647 /nfs/dbraw/zinc/84/96/47/686849647.db2.gz SLRYPTYMKSJJSW-ZWKOTPCHSA-N 0 1 312.457 3.218 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)CC[C@@H]1C ZINC001072088186 686858925 /nfs/dbraw/zinc/85/89/25/686858925.db2.gz HINKOQIZAZFETP-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@@H]1C ZINC001072098795 686864407 /nfs/dbraw/zinc/86/44/07/686864407.db2.gz GCLOMQIECGLBDO-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sccc2CC)CC[C@@H]1C ZINC001072098827 686864521 /nfs/dbraw/zinc/86/45/21/686864521.db2.gz GYCJLAVIPGIYJV-DZGCQCFKSA-N 0 1 306.475 3.469 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3c(cccc3C)o2)CC[C@@H]1C ZINC001072101154 686866044 /nfs/dbraw/zinc/86/60/44/686866044.db2.gz FBHURPZKCQMFIY-JKSUJKDBSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(C(C)C)s2)CC[C@@H]1C ZINC001072104654 686867328 /nfs/dbraw/zinc/86/73/28/686867328.db2.gz CPAVIINPNMYBEV-LSDHHAIUSA-N 0 1 318.486 3.478 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@@H]1C ZINC001072104663 686868205 /nfs/dbraw/zinc/86/82/05/686868205.db2.gz CPODEHHMDCWRLC-DLBZAZTESA-N 0 1 324.468 3.090 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2coc3ccccc23)CC[C@@H]1C ZINC001072118932 686875340 /nfs/dbraw/zinc/87/53/40/686875340.db2.gz BHMFRMNJAOLZIO-GJZGRUSLSA-N 0 1 310.397 3.039 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C(C)C)s2)CC[C@H]1C ZINC001072125903 686876312 /nfs/dbraw/zinc/87/63/12/686876312.db2.gz HKVALJPRVFNFDL-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(CC)c(CC)c2)CC[C@@H]1C ZINC001072124292 686877089 /nfs/dbraw/zinc/87/70/89/686877089.db2.gz YXWUDAPVKVNACU-HNAYVOBHSA-N 0 1 312.457 3.027 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C)C(C)(C)C2(C)C)CC[C@@H]1C ZINC001072120508 686877383 /nfs/dbraw/zinc/87/73/83/686877383.db2.gz VMAGJGRICULZCW-GJZGRUSLSA-N 0 1 304.478 3.051 20 30 DGEDMN CC#CCN1CCC2(CN(C(=O)c3ccc(C(C)C)s3)C2)C1 ZINC001073469784 687200189 /nfs/dbraw/zinc/20/01/89/687200189.db2.gz JHJGWPFJCHWFQG-UHFFFAOYSA-N 0 1 316.470 3.043 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(C4CCC4)cc3)[C@@H]2C1 ZINC001075859962 687448098 /nfs/dbraw/zinc/44/80/98/687448098.db2.gz HFDVFQZKMWITMJ-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3sccc3CC)[C@@H]2C1 ZINC001076601834 687542073 /nfs/dbraw/zinc/54/20/73/687542073.db2.gz KMCRNULGEFPQIO-LSDHHAIUSA-N 0 1 304.459 3.033 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2csc3cc(C)ccc23)C1 ZINC001079768863 687888604 /nfs/dbraw/zinc/88/86/04/687888604.db2.gz QACNDOHOEDJYTI-CZUORRHYSA-N 0 1 314.454 3.446 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001079776028 687889616 /nfs/dbraw/zinc/88/96/16/687889616.db2.gz FAKCLGSASCKPAV-UKRRQHHQSA-N 0 1 306.475 3.327 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001080258106 687936023 /nfs/dbraw/zinc/93/60/23/687936023.db2.gz PPYVFWZVFHBFKW-RHSMWYFYSA-N 0 1 318.848 3.030 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001080781244 688012091 /nfs/dbraw/zinc/01/20/91/688012091.db2.gz SMNYDHWLFDJAJN-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001080923916 688028308 /nfs/dbraw/zinc/02/83/08/688028308.db2.gz YZRRZUDDTJKXOO-KZULUSFZSA-N 0 1 311.429 3.021 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001081021171 688035345 /nfs/dbraw/zinc/03/53/45/688035345.db2.gz QKNBTLARILWARB-SJLPKXTDSA-N 0 1 312.457 3.039 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1ccc(F)cc1F ZINC001660158576 1196452653 /nfs/dbraw/zinc/45/26/53/1196452653.db2.gz HMBJQCURTJBKRE-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN N#Cc1ccccc1OCC[N@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001620575383 1192000686 /nfs/dbraw/zinc/00/06/86/1192000686.db2.gz OVDQQXDIRJKCRM-AZUAARDMSA-N 0 1 304.393 3.211 20 30 DGEDMN Cc1cc(CN2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)c(C)s1 ZINC001660984368 1196550266 /nfs/dbraw/zinc/55/02/66/1196550266.db2.gz VTKAYOKPYNXZLQ-MRXNPFEDSA-N 0 1 318.486 3.105 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](COC)c2ccc(F)cc2)cc1 ZINC001620816662 1192135953 /nfs/dbraw/zinc/13/59/53/1192135953.db2.gz QBUCMCUZKJNNDW-IBGZPJMESA-N 0 1 313.372 3.315 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(Cl)cc3F)[nH]c2c1 ZINC001587347225 1192393813 /nfs/dbraw/zinc/39/38/13/1192393813.db2.gz CFTRIAJBIJGIAW-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C=CCOCC(=O)Nc1nc2cc(Cl)cc(Cl)c2[nH]1 ZINC001587344461 1192393856 /nfs/dbraw/zinc/39/38/56/1192393856.db2.gz ZHXNLWNUTCIRQQ-UHFFFAOYSA-N 0 1 300.145 3.011 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1ccc(-c2nn[nH]n2)cc1Cl ZINC001588527773 1192448462 /nfs/dbraw/zinc/44/84/62/1192448462.db2.gz WEJYHISKOBGOEZ-ZDUSSCGKSA-N 0 1 303.797 3.061 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2ccc(-c3nn[nH]n3)cc2)CCCC1(C)C ZINC001588563919 1192449874 /nfs/dbraw/zinc/44/98/74/1192449874.db2.gz FDYRJONDNOEKCF-MRXNPFEDSA-N 0 1 311.433 3.433 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NCC2CCC(C(C)C)CC2)o1 ZINC001592338406 1192607394 /nfs/dbraw/zinc/60/73/94/1192607394.db2.gz AFJDNAIPWARSML-UHFFFAOYSA-N 0 1 317.397 3.023 20 30 DGEDMN CC#CCCCC(=O)NCC1CN(Cc2cc(C)sc2C)C1 ZINC001665320619 1197122674 /nfs/dbraw/zinc/12/26/74/1197122674.db2.gz PSKLSLMDPXFEMR-UHFFFAOYSA-N 0 1 318.486 3.107 20 30 DGEDMN CCCCCCCCNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001596338409 1192690328 /nfs/dbraw/zinc/69/03/28/1192690328.db2.gz SVRHJCUCFUZIBE-UHFFFAOYSA-N 0 1 314.433 3.486 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)C(C)C)C1 ZINC001690195412 1176022685 /nfs/dbraw/zinc/02/26/85/1176022685.db2.gz VDXIAYKYSZSDGE-HUUCEWRRSA-N 0 1 300.874 3.201 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1Cl ZINC001772511612 1176041093 /nfs/dbraw/zinc/04/10/93/1176041093.db2.gz WXDTZBRFVOVVJV-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN CCN(CCNC(=O)C#CC1CC1)[C@H](C)c1ccccc1Cl ZINC001691017760 1176099239 /nfs/dbraw/zinc/09/92/39/1176099239.db2.gz RTZXXLSKAGRILF-CQSZACIVSA-N 0 1 318.848 3.253 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C1CCC1)C1CCC1)C1CC1 ZINC001670512610 1176156691 /nfs/dbraw/zinc/15/66/91/1176156691.db2.gz MOMLHVBJZPYLMO-MRXNPFEDSA-N 0 1 324.896 3.440 20 30 DGEDMN CC#CC[N@H+](CC)CCNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001691040607 1176199979 /nfs/dbraw/zinc/19/99/79/1176199979.db2.gz OXEXNBBSGQENMU-SFHVURJKSA-N 0 1 314.473 3.340 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001691040607 1176199980 /nfs/dbraw/zinc/19/99/80/1176199980.db2.gz OXEXNBBSGQENMU-SFHVURJKSA-N 0 1 314.473 3.340 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC/C=C/c1ccccc1 ZINC001691058031 1176282325 /nfs/dbraw/zinc/28/23/25/1176282325.db2.gz GZTUUZBMVUMHDP-BEBFYNPSSA-N 0 1 310.441 3.036 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001691082130 1176300300 /nfs/dbraw/zinc/30/03/00/1176300300.db2.gz GAZCDGJUDCWLSL-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccccc1F ZINC001691091630 1176304784 /nfs/dbraw/zinc/30/47/84/1176304784.db2.gz CSSBYCFINVLEGB-HNNXBMFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1cnc(C)s1 ZINC001691092820 1176306181 /nfs/dbraw/zinc/30/61/81/1176306181.db2.gz YLNDRLXZWCBKIF-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC/C=C/c1ccccc1 ZINC001691097987 1176309309 /nfs/dbraw/zinc/30/93/09/1176309309.db2.gz WVRWGFFHCPWDBM-LKQLOZHYSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)CC(C)(C)C1CCCCC1 ZINC001691106726 1176315681 /nfs/dbraw/zinc/31/56/81/1176315681.db2.gz ZSCQIXPJFULMRV-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCC(=O)NCCN(Cc1ccc(F)c(F)c1)C1CC1 ZINC001691210735 1176392928 /nfs/dbraw/zinc/39/29/28/1176392928.db2.gz COKGRWUBBRTJOF-UHFFFAOYSA-N 0 1 322.399 3.402 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CC=C)CCCCC2)[C@H]1CC ZINC001692027448 1176400064 /nfs/dbraw/zinc/40/00/64/1176400064.db2.gz VLAZIEDMLYTAET-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN(CCNC(=O)CC(C)(C)C1CCCCC1)C1CC1 ZINC001691232777 1176406655 /nfs/dbraw/zinc/40/66/55/1176406655.db2.gz KWPSBXLQMWQWQE-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](NCc2csc(C)n2)C1 ZINC001691243022 1176410553 /nfs/dbraw/zinc/41/05/53/1176410553.db2.gz BJLQLYNKCMCBOE-UONOGXRCSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1cccc(Cl)c1 ZINC001691392877 1176461311 /nfs/dbraw/zinc/46/13/11/1176461311.db2.gz ZOVOLPVTAGROME-KRWDZBQOSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)C(C)(C)CC(C)(C)C ZINC001691416452 1176476453 /nfs/dbraw/zinc/47/64/53/1176476453.db2.gz JQQHJADROHNCNY-INIZCTEOSA-N 0 1 324.509 3.184 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)C(C)(C)CC(C)(C)C ZINC001691416452 1176476457 /nfs/dbraw/zinc/47/64/57/1176476457.db2.gz JQQHJADROHNCNY-INIZCTEOSA-N 0 1 324.509 3.184 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC1(c2ccccc2)CC1 ZINC001691786848 1176563392 /nfs/dbraw/zinc/56/33/92/1176563392.db2.gz ABYNEWPWODUFKY-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001754448104 1176576102 /nfs/dbraw/zinc/57/61/02/1176576102.db2.gz RZZSHBNLGVFQFF-SEVUFMINSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H]1CCC[C@@H]1C ZINC001731309518 1176612537 /nfs/dbraw/zinc/61/25/37/1176612537.db2.gz RARQPCRKTLNBEG-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2cc(C)ns2)CCC1 ZINC001752058697 1176652995 /nfs/dbraw/zinc/65/29/95/1176652995.db2.gz RDPIUBPCECSINH-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cscc1Cl ZINC001671313736 1176804632 /nfs/dbraw/zinc/80/46/32/1176804632.db2.gz UTQINKUKSCOQTJ-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C[C@@H](NC1(CNC(=O)C#CC(C)(C)C)CC1)c1ccccc1F ZINC001670780998 1176837443 /nfs/dbraw/zinc/83/74/43/1176837443.db2.gz BWOUXVGNGUNKQY-CQSZACIVSA-N 0 1 316.420 3.175 20 30 DGEDMN C=CCCC(=O)N[C@@](C)(CNC/C(Cl)=C/Cl)C1CC1 ZINC001753514185 1176904504 /nfs/dbraw/zinc/90/45/04/1176904504.db2.gz DAWNEJOMUVEJJG-UNJUUPHPSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(CCC)CC1)C(C)(C)C ZINC001700999368 1177057453 /nfs/dbraw/zinc/05/74/53/1177057453.db2.gz TZKHITUFUSVKTP-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001670829517 1177213566 /nfs/dbraw/zinc/21/35/66/1177213566.db2.gz OXZRNLFOBDBWFT-CABCVRRESA-N 0 1 310.869 3.194 20 30 DGEDMN CCC(=CC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F)CC ZINC001752867209 1177360698 /nfs/dbraw/zinc/36/06/98/1177360698.db2.gz ZQSPOVCRKZUMGB-ZDUSSCGKSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2cnc(C)s2)C1 ZINC001691846430 1177387602 /nfs/dbraw/zinc/38/76/02/1177387602.db2.gz XBQSOKSVUZZIBQ-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001670880888 1177454344 /nfs/dbraw/zinc/45/43/44/1177454344.db2.gz UKFICTNSOMGZJG-OLZOCXBDSA-N 0 1 319.474 3.040 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001691682250 1177684870 /nfs/dbraw/zinc/68/48/70/1177684870.db2.gz JFXOXRJVYJLUDS-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001691682250 1177684875 /nfs/dbraw/zinc/68/48/75/1177684875.db2.gz JFXOXRJVYJLUDS-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccc(F)c(F)c2)CC1 ZINC001113655001 1177727385 /nfs/dbraw/zinc/72/73/85/1177727385.db2.gz SZEGFJRZQZZHPS-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CCC2CC2)CC1)c1ccccc1 ZINC001113666804 1177728249 /nfs/dbraw/zinc/72/82/49/1177728249.db2.gz BTNSYXFOGZBSTB-GOSISDBHSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCC[C@H](O)CNCC(=O)c1ccc(Cl)c(Cl)c1 ZINC001252617517 1177857060 /nfs/dbraw/zinc/85/70/60/1177857060.db2.gz DUAGHIFHSDASSD-NSHDSACASA-N 0 1 302.201 3.093 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)sn1)C(C)C ZINC001691715966 1177882609 /nfs/dbraw/zinc/88/26/09/1177882609.db2.gz RYYXCJQBNXVFAR-UHFFFAOYSA-N 0 1 315.870 3.034 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@](C)(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001671769654 1178040557 /nfs/dbraw/zinc/04/05/57/1178040557.db2.gz WOOYYKRWENNIFB-KSSFIOAISA-N 0 1 319.449 3.084 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1Cl ZINC001331407531 1178205956 /nfs/dbraw/zinc/20/59/56/1178205956.db2.gz RWVIDAIGQOZRGU-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](C)c1ccccc1F)C(C)C ZINC001332734583 1178486998 /nfs/dbraw/zinc/48/69/98/1178486998.db2.gz BQKPYEVZEHAPDH-MRXNPFEDSA-N 0 1 318.436 3.121 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc2cnccc21)C(C)C ZINC001332740589 1178489569 /nfs/dbraw/zinc/48/95/69/1178489569.db2.gz NIMJWUOBRYVMMR-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001333103220 1178555919 /nfs/dbraw/zinc/55/59/19/1178555919.db2.gz UIIAMRWIIAGFEW-NTMALXAHSA-N 0 1 305.249 3.097 20 30 DGEDMN N#CC[C@@H]1CCC[N@@H+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064309 1192915065 /nfs/dbraw/zinc/91/50/65/1192915065.db2.gz SNKRJSOXBSTOLD-HZMBPMFUSA-N 0 1 310.756 3.230 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064309 1192915069 /nfs/dbraw/zinc/91/50/69/1192915069.db2.gz SNKRJSOXBSTOLD-HZMBPMFUSA-N 0 1 310.756 3.230 20 30 DGEDMN N#CCC[C@@H]([NH2+][C@H]1CCCn2nc(C(=O)[O-])cc21)c1ccccc1 ZINC001601066596 1192915969 /nfs/dbraw/zinc/91/59/69/1192915969.db2.gz OACZJTYSUHVYJH-CABCVRRESA-N 0 1 324.384 3.051 20 30 DGEDMN N#Cc1ccc(C[NH2+][C@H](C[C@@H]2CCCc3ccccc32)C(=O)[O-])o1 ZINC001601101496 1192918354 /nfs/dbraw/zinc/91/83/54/1192918354.db2.gz CBZMKPFQGMKUML-KBXCAEBGSA-N 0 1 324.380 3.204 20 30 DGEDMN N#Cc1ccc(C[NH2+][C@H](C[C@H]2CCCc3ccccc32)C(=O)[O-])o1 ZINC001601101502 1192918368 /nfs/dbraw/zinc/91/83/68/1192918368.db2.gz CBZMKPFQGMKUML-RDTXWAMCSA-N 0 1 324.380 3.204 20 30 DGEDMN N#CC1(CNC[C@H](O)c2cccc(C(F)(F)F)c2)CCCC1 ZINC001335447706 1178911825 /nfs/dbraw/zinc/91/18/25/1178911825.db2.gz IQMXJOWAKQUFCB-AWEZNQCLSA-N 0 1 312.335 3.412 20 30 DGEDMN N#Cc1cccc(C[N@@H+](CC(=O)[O-])Cc2ccc(Cl)cc2)c1 ZINC001601122517 1192920370 /nfs/dbraw/zinc/92/03/70/1192920370.db2.gz MRGXEMOQCIMXHS-UHFFFAOYSA-N 0 1 314.772 3.298 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)c2ccc(F)c(F)c2)nc(C)n1 ZINC001341899480 1179078562 /nfs/dbraw/zinc/07/85/62/1179078562.db2.gz SEPIOQOKLJNLPF-MFKMUULPSA-N 0 1 315.323 3.352 20 30 DGEDMN C=CCCC[C@H](NC(=O)[C@H](N)Cc1ccccn1)c1ccccc1 ZINC001342456202 1179142209 /nfs/dbraw/zinc/14/22/09/1179142209.db2.gz YAHOKCQIVPCKCJ-MOPGFXCFSA-N 0 1 323.440 3.165 20 30 DGEDMN N#CC(C(=O)C[C@H]1OCCc2ccccc21)c1cccc(F)n1 ZINC001342560292 1179153195 /nfs/dbraw/zinc/15/31/95/1179153195.db2.gz FOBIFTRSIBRSNE-WMLDXEAASA-N 0 1 310.328 3.101 20 30 DGEDMN N#C[C@@H](C(=O)C[C@@H]1C=CCCC1)c1nc(N)c2ccccc2n1 ZINC001342595217 1179157996 /nfs/dbraw/zinc/15/79/96/1179157996.db2.gz IRSBDKNILLIBJP-OCCSQVGLSA-N 0 1 306.369 3.135 20 30 DGEDMN CCCC[C@@H](C(=O)C(C#N)C(=O)Nc1ccccn1)C(C)C ZINC001342632127 1179163656 /nfs/dbraw/zinc/16/36/56/1179163656.db2.gz ZIXHDHIYQXVFCQ-KGLIPLIRSA-N 0 1 301.390 3.191 20 30 DGEDMN N#CC(C(=O)C1=CCCCCC1)c1nc(N)c2ccccc2n1 ZINC001343462841 1179280652 /nfs/dbraw/zinc/28/06/52/1179280652.db2.gz LQLXRUASXZLLNT-CQSZACIVSA-N 0 1 306.369 3.279 20 30 DGEDMN N#C[C@H](C(=O)C1=CCCCCC1)c1nc(N)c2ccccc2n1 ZINC001343462841 1179280660 /nfs/dbraw/zinc/28/06/60/1179280660.db2.gz LQLXRUASXZLLNT-CQSZACIVSA-N 0 1 306.369 3.279 20 30 DGEDMN N#CC(=C(O)C1=CCCCCC1)c1nc(N)c2ccccc2n1 ZINC001343462841 1179280666 /nfs/dbraw/zinc/28/06/66/1179280666.db2.gz LQLXRUASXZLLNT-CQSZACIVSA-N 0 1 306.369 3.279 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCC1(C)CC1 ZINC001343591966 1179301026 /nfs/dbraw/zinc/30/10/26/1179301026.db2.gz YPSRPWCKZVTPHC-ZDUSSCGKSA-N 0 1 314.385 3.313 20 30 DGEDMN N#C[C@@H](C(=O)C[C@@H]1C[C@H]1Cc1ccccc1)C(=O)NC1CCCC1 ZINC001343641944 1179308542 /nfs/dbraw/zinc/30/85/42/1179308542.db2.gz NBPVEUKGFQDDDO-RYRKJORJSA-N 0 1 324.424 3.023 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)[C@@H]2CCCC[C@@H]12 ZINC001601826669 1192947849 /nfs/dbraw/zinc/94/78/49/1192947849.db2.gz LXKPNNVMZALWGJ-RCCFBDPRSA-N 0 1 315.388 3.143 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@H](c1cccs1)N(C)C)c1ccccc1 ZINC001345527547 1179569729 /nfs/dbraw/zinc/56/97/29/1179569729.db2.gz TVGSAQVKKGADDD-CVEARBPZSA-N 0 1 314.454 3.437 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1coc2cc(OC)ccc12 ZINC001346095877 1179653253 /nfs/dbraw/zinc/65/32/53/1179653253.db2.gz KVVPZGCYRWCUTC-OAHLLOKOSA-N 0 1 323.352 3.077 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@H](C)c2cccc(Cl)c2)CC1 ZINC001346160633 1179663697 /nfs/dbraw/zinc/66/36/97/1179663697.db2.gz FTOBABPZNFZZCD-CYBMUJFWSA-N 0 1 305.805 3.084 20 30 DGEDMN CC[C@@H](C)c1ccc(NC(=O)[C@H](C#N)C(=O)c2[nH]cnc2C)cc1 ZINC001346248796 1179676401 /nfs/dbraw/zinc/67/64/01/1179676401.db2.gz PVDUBOAHIKASIQ-IAQYHMDHSA-N 0 1 324.384 3.193 20 30 DGEDMN C=CCCONC(=O)Cc1csc(NC2(C)CCCC2)n1 ZINC001347122869 1179779619 /nfs/dbraw/zinc/77/96/19/1179779619.db2.gz ZOFFCZAYNRYKMF-UHFFFAOYSA-N 0 1 309.435 3.054 20 30 DGEDMN C[C@H]1CC(NC(=O)c2cc(OCc3ccccc3)cs2)=NO1 ZINC001348468120 1179838153 /nfs/dbraw/zinc/83/81/53/1179838153.db2.gz MOMDKAAROZWLLQ-NSHDSACASA-N 0 1 316.382 3.179 20 30 DGEDMN C#CC[C@H]([NH2+][C@@H](C)c1ncc(C(=O)[O-])s1)c1ccccc1 ZINC001602693952 1192994674 /nfs/dbraw/zinc/99/46/74/1192994674.db2.gz DLUJWFMRCRKYLC-AAEUAGOBSA-N 0 1 300.383 3.257 20 30 DGEDMN C#CC[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001602701244 1192994929 /nfs/dbraw/zinc/99/49/29/1192994929.db2.gz CMMRULSRQMEWKH-FZMZJTMJSA-N 0 1 311.303 3.176 20 30 DGEDMN C#CC[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001602701245 1192995072 /nfs/dbraw/zinc/99/50/72/1192995072.db2.gz CMMRULSRQMEWKH-RISCZKNCSA-N 0 1 311.303 3.176 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])c2n1CCCC2)C1CCCCC1 ZINC001602719329 1192995245 /nfs/dbraw/zinc/99/52/45/1192995245.db2.gz DOZMFKQQZDNVAL-UHFFFAOYSA-N 0 1 314.429 3.291 20 30 DGEDMN C=CC(C)(C)CCCNc1nc(-c2nn[nH]n2)c(Cl)s1 ZINC001602786850 1192997680 /nfs/dbraw/zinc/99/76/80/1192997680.db2.gz MXRFHCBSHQCKJJ-UHFFFAOYSA-N 0 1 312.830 3.381 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC001602836155 1192999827 /nfs/dbraw/zinc/99/98/27/1192999827.db2.gz HHXIIWZTORDMAH-LBPRGKRZSA-N 0 1 300.185 3.381 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCC[N@@H+]1Cc1oc(C)nc1C ZINC001480322770 1180366477 /nfs/dbraw/zinc/36/64/77/1180366477.db2.gz FBKOXBDIUFJQSE-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1oc(C)nc1C ZINC001480322770 1180366481 /nfs/dbraw/zinc/36/64/81/1180366481.db2.gz FBKOXBDIUFJQSE-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C)[C@@H](C(C)(C)C)C2)CCCCC1 ZINC001300096384 1180387202 /nfs/dbraw/zinc/38/72/02/1180387202.db2.gz HNCASBRYAMQUGE-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN N#CC1(CNC[C@H](O)c2ccccc2C(F)(F)F)CCCC1 ZINC001353352118 1180535349 /nfs/dbraw/zinc/53/53/49/1180535349.db2.gz CGVYMQXSMBGIBE-AWEZNQCLSA-N 0 1 312.335 3.412 20 30 DGEDMN C[C@H](CNC(=O)C1(C)CCCCC1)NCC#Cc1ccccc1 ZINC001480530699 1180610286 /nfs/dbraw/zinc/61/02/86/1180610286.db2.gz MTZMEMYGLDFFFM-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN CC[C@@H]([NH2+]C[C@@H]1CCCN(CC)C1)c1cccc(C#N)c1[O-] ZINC001354203692 1180743935 /nfs/dbraw/zinc/74/39/35/1180743935.db2.gz DOPJGLNCXFKJEH-WMLDXEAASA-N 0 1 301.434 3.036 20 30 DGEDMN Cn1ccnc1[C@H]1CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC001354714471 1180883917 /nfs/dbraw/zinc/88/39/17/1180883917.db2.gz RVZHMNLRWAJEIL-HNNXBMFYSA-N 0 1 314.820 3.325 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100644796 1180886040 /nfs/dbraw/zinc/88/60/40/1180886040.db2.gz ILVCDPDBEGDZBD-UMEGNPCGSA-N 0 1 319.276 3.392 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2nc(N)c3ccccc3n2)ccc1F ZINC001356004058 1181124550 /nfs/dbraw/zinc/12/45/50/1181124550.db2.gz VGUCMJLLFJLRGU-CYBMUJFWSA-N 0 1 320.327 3.150 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)ccc1F ZINC001356004058 1181124556 /nfs/dbraw/zinc/12/45/56/1181124556.db2.gz VGUCMJLLFJLRGU-CYBMUJFWSA-N 0 1 320.327 3.150 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC001604468847 1193074815 /nfs/dbraw/zinc/07/48/15/1193074815.db2.gz ZYBYRHBJICGVBQ-NIKGAXFTSA-N 0 1 320.392 3.419 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC=C(C)CC1 ZINC001356143259 1181148425 /nfs/dbraw/zinc/14/84/25/1181148425.db2.gz IRUALLAHVATVNY-UHFFFAOYSA-N 0 1 320.400 3.164 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@H](C(=O)[O-])c1ccccc1C(F)(F)F ZINC001604980716 1193103570 /nfs/dbraw/zinc/10/35/70/1193103570.db2.gz MAZLPEUEJPSXTP-SKDRFNHKSA-N 0 1 300.280 3.113 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)Nc1ccc(-c2nnc[nH]2)cc1F ZINC001436090187 1181865787 /nfs/dbraw/zinc/86/57/87/1181865787.db2.gz UBDREFCMXNNPTO-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)c1cc(C(F)F)[nH]n1 ZINC001442078307 1182074895 /nfs/dbraw/zinc/07/48/95/1182074895.db2.gz RKMANEQKTUJAPX-UHFFFAOYSA-N 0 1 309.291 3.315 20 30 DGEDMN C=C[C@H](O)C(=O)Nc1ccc2nc(CC3CCCCC3)[nH]c2c1 ZINC001445231432 1182141207 /nfs/dbraw/zinc/14/12/07/1182141207.db2.gz GUGFKESXXPGBSE-INIZCTEOSA-N 0 1 313.401 3.171 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(O)c(F)cc2F)ccc1Cl ZINC001450897342 1182258952 /nfs/dbraw/zinc/25/89/52/1182258952.db2.gz MUJZGVCPZAJQFC-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN N#Cc1cccc2c1CCN2C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001451856277 1182277309 /nfs/dbraw/zinc/27/73/09/1182277309.db2.gz CHDMXSBOPJNLHI-UHFFFAOYSA-N 0 1 320.377 3.213 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001454585370 1182347585 /nfs/dbraw/zinc/34/75/85/1182347585.db2.gz XEIGSYWEHQVOSR-UHFFFAOYSA-N 0 1 312.417 3.118 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)Nc1ccc2cncn2c1 ZINC001456442360 1182416480 /nfs/dbraw/zinc/41/64/80/1182416480.db2.gz CMEQVSHMKHLLMF-OAHLLOKOSA-N 0 1 302.378 3.244 20 30 DGEDMN C=CC[C@@H]1CCN1C(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC001456851665 1182432256 /nfs/dbraw/zinc/43/22/56/1182432256.db2.gz PRSCSADYSHEZIG-CYBMUJFWSA-N 0 1 311.337 3.255 20 30 DGEDMN CCc1ccccc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458039654 1182491601 /nfs/dbraw/zinc/49/16/01/1182491601.db2.gz AZNKGBCLBSNOEU-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H](C3CCCC3)C2)c1C#N ZINC001460266874 1182670075 /nfs/dbraw/zinc/67/00/75/1182670075.db2.gz TXYUQZOTTPMKFO-HNNXBMFYSA-N 0 1 312.413 3.357 20 30 DGEDMN CCc1ccc([C@@H](C#N)N(C)C(=O)c2cccc(F)c2O)cc1 ZINC001460592213 1182697919 /nfs/dbraw/zinc/69/79/19/1182697919.db2.gz AALPFOIERLRTKT-MRXNPFEDSA-N 0 1 312.344 3.431 20 30 DGEDMN Cc1csc(C[C@@H]2CCCN(Cc3cc(C#N)ccn3)C2)n1 ZINC001460790008 1182722626 /nfs/dbraw/zinc/72/26/26/1182722626.db2.gz DQOWIWZHOJZUDB-HNNXBMFYSA-N 0 1 312.442 3.173 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(Cc1ccc3c(c1)CCC3)C2 ZINC001460894256 1182736446 /nfs/dbraw/zinc/73/64/46/1182736446.db2.gz JOSRRDAXRZAGCA-UHFFFAOYSA-N 0 1 309.438 3.249 20 30 DGEDMN C=CCC[C@H](O)CN(CC)Cc1nc2c(s1)C[C@H](C)CC2 ZINC001460964531 1182747075 /nfs/dbraw/zinc/74/70/75/1182747075.db2.gz IOXNNUVJVKZGBE-KGLIPLIRSA-N 0 1 308.491 3.417 20 30 DGEDMN CCN(C(=O)OC(C)(C)C)C1CCN(CC2(CC#N)CC2)CC1 ZINC001460984756 1182751681 /nfs/dbraw/zinc/75/16/81/1182751681.db2.gz IFJXJAACBBHXGJ-UHFFFAOYSA-N 0 1 321.465 3.402 20 30 DGEDMN N#Cc1cccc(CNCc2ccc(Br)nc2)c1 ZINC001461031368 1182758712 /nfs/dbraw/zinc/75/87/12/1182758712.db2.gz YXYCXPSGENWGSA-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN CCO[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001461916432 1182877537 /nfs/dbraw/zinc/87/75/37/1182877537.db2.gz FAKQBJJOETWHBS-MRXNPFEDSA-N 0 1 320.352 3.151 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC001462387541 1182945820 /nfs/dbraw/zinc/94/58/20/1182945820.db2.gz ZRCKOGPUATZPSD-NSHDSACASA-N 0 1 312.291 3.459 20 30 DGEDMN CC(C)c1nnc(-c2ccccc2NC(=O)c2coc(C#N)c2)[nH]1 ZINC001464400065 1183089273 /nfs/dbraw/zinc/08/92/73/1183089273.db2.gz MRNIZGSKRGDOQR-UHFFFAOYSA-N 0 1 321.340 3.312 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1 ZINC001464550253 1183100061 /nfs/dbraw/zinc/10/00/61/1183100061.db2.gz SVIKNIGVVPULCS-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1cc(F)cc(F)c1O ZINC001464653276 1183106106 /nfs/dbraw/zinc/10/61/06/1183106106.db2.gz JDEUQYOMFVXOQR-UHFFFAOYSA-N 0 1 319.282 3.085 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN([C@H](C)CC)CC1 ZINC001470786486 1183514392 /nfs/dbraw/zinc/51/43/92/1183514392.db2.gz JDAVQEQRHZODHT-ZACQAIPSSA-N 0 1 307.482 3.247 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2ccc(C(F)(F)F)cc2O)c1 ZINC001471085933 1183536651 /nfs/dbraw/zinc/53/66/51/1183536651.db2.gz ONQRKUDXVXNOKW-UHFFFAOYSA-N 0 1 322.242 3.006 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)c1 ZINC001471086307 1183537055 /nfs/dbraw/zinc/53/70/55/1183537055.db2.gz XYVPDABFRBWVCD-IXDOHACOSA-N 0 1 324.767 3.208 20 30 DGEDMN C=CCCC[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])c1ccccc1 ZINC001471588246 1183575691 /nfs/dbraw/zinc/57/56/91/1183575691.db2.gz DIHVZSRTTRRSOM-ZDUSSCGKSA-N 0 1 314.345 3.145 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC001472290346 1183632165 /nfs/dbraw/zinc/63/21/65/1183632165.db2.gz JRYZOVMBIGMQKU-AWEZNQCLSA-N 0 1 313.788 3.358 20 30 DGEDMN N#CC[C@@H](C(=O)Nc1cccc(-c2cn[nH]n2)c1)c1ccccc1 ZINC001472542759 1183651552 /nfs/dbraw/zinc/65/15/52/1183651552.db2.gz HEJNGEWRXVEYJF-MRXNPFEDSA-N 0 1 317.352 3.108 20 30 DGEDMN CC(C)(C)C1CC(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001472636103 1183659819 /nfs/dbraw/zinc/65/98/19/1183659819.db2.gz VSNMAFMPOPQCKJ-UHFFFAOYSA-N 0 1 323.400 3.354 20 30 DGEDMN CCN1CC[C@H]1CNc1cc(C(F)(F)F)c(F)cc1C#N ZINC001472863018 1183692327 /nfs/dbraw/zinc/69/23/27/1183692327.db2.gz WTHFMJAHVATEGJ-JTQLQIEISA-N 0 1 301.287 3.222 20 30 DGEDMN C[C@](CO)(NCc1cc(Cl)cc(C#N)c1)c1ccccc1 ZINC001473392890 1183751236 /nfs/dbraw/zinc/75/12/36/1183751236.db2.gz MSZQPHFKYUIZFV-QGZVFWFLSA-N 0 1 300.789 3.209 20 30 DGEDMN CC[C@@H]1CCN([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)[C@H]1C ZINC001473402444 1183752431 /nfs/dbraw/zinc/75/24/31/1183752431.db2.gz KOFLBPOGOXUJPZ-OUCADQQQSA-N 0 1 303.406 3.216 20 30 DGEDMN CN(CCc1ccc2c(c1)OC(F)(F)O2)[C@H]1CCC[C@H]1C#N ZINC001473707124 1183791264 /nfs/dbraw/zinc/79/12/64/1183791264.db2.gz HNMWUELNQWXUOS-STQMWFEESA-N 0 1 308.328 3.175 20 30 DGEDMN CC#CC[C@H](CO)NCc1ccc(-c2ccccc2)cc1OC ZINC001474195766 1183881435 /nfs/dbraw/zinc/88/14/35/1183881435.db2.gz FDORMFUYHOHSKY-LJQANCHMSA-N 0 1 309.409 3.226 20 30 DGEDMN C#CCC[C@H](O)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001474253433 1183890731 /nfs/dbraw/zinc/89/07/31/1183890731.db2.gz JGINCJJGSBKXRD-HNNXBMFYSA-N 0 1 320.845 3.327 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001479269806 1184224171 /nfs/dbraw/zinc/22/41/71/1184224171.db2.gz BFAZODMAOKQFEK-RHSMWYFYSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCCCC1(C)C ZINC001479272900 1184224348 /nfs/dbraw/zinc/22/43/48/1184224348.db2.gz BZXVMGAJBPKBCF-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](C)C[C@H]1CNC/C(Cl)=C\Cl ZINC001479555031 1184268262 /nfs/dbraw/zinc/26/82/62/1184268262.db2.gz ATJJCUPTYUEKGM-IPUYDCKKSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CCc1ccoc1 ZINC001479558476 1184268751 /nfs/dbraw/zinc/26/87/51/1184268751.db2.gz XYPMVBPYMUQCRC-CJNGLKHVSA-N 0 1 324.852 3.181 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC)CC2CCCCC2)[C@H]1C ZINC001479721901 1184300775 /nfs/dbraw/zinc/30/07/75/1184300775.db2.gz WVPMRTDRWRCKGG-NXHRZFHOSA-N 0 1 304.478 3.195 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001479804870 1184331268 /nfs/dbraw/zinc/33/12/68/1184331268.db2.gz PXJDUIAMUGSBOR-QZTJIDSGSA-N 0 1 314.473 3.158 20 30 DGEDMN O=C(C=C1CCC1)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001479868128 1184358481 /nfs/dbraw/zinc/35/84/81/1184358481.db2.gz XUJQWTMJKIAQME-UHFFFAOYSA-N 0 1 322.452 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001479910029 1184377995 /nfs/dbraw/zinc/37/79/95/1184377995.db2.gz CCKVJKLKEAIRDV-IRXDYDNUSA-N 0 1 322.493 3.271 20 30 DGEDMN C#Cc1ccc(C(=O)N(CCC)C2CCN(CC#CC)CC2)cc1 ZINC001479994534 1184406841 /nfs/dbraw/zinc/40/68/41/1184406841.db2.gz SIINJUZENLACBR-UHFFFAOYSA-N 0 1 322.452 3.008 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C=C)cc2)CC1 ZINC001479995107 1184407022 /nfs/dbraw/zinc/40/70/22/1184407022.db2.gz HHLOIDDZZMCDFY-UHFFFAOYSA-N 0 1 310.441 3.279 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001480152920 1184446356 /nfs/dbraw/zinc/44/63/56/1184446356.db2.gz XFQVOLMBZZZDEX-AVGNSLFASA-N 0 1 322.399 3.475 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1sc(C)nc1C ZINC001480312915 1184488584 /nfs/dbraw/zinc/48/85/84/1184488584.db2.gz PXWRYGKWFYWFJI-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001480346632 1184500848 /nfs/dbraw/zinc/50/08/48/1184500848.db2.gz VWBVGSVPXCSALX-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@H](CNC(=O)C1CCCCCC1)NCc1ccccc1C#N ZINC001480431535 1184529195 /nfs/dbraw/zinc/52/91/95/1184529195.db2.gz HXEXKJHLIANOCM-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN CCC(CC)CC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001480563364 1184549698 /nfs/dbraw/zinc/54/96/98/1184549698.db2.gz YBZFUAGBBRBGRA-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CCc1ccc(C(=O)NC[C@@H](C)NCc2ccccc2C#N)cc1 ZINC001480582363 1184553066 /nfs/dbraw/zinc/55/30/66/1184553066.db2.gz LXSBSPYKZYPIJO-OAHLLOKOSA-N 0 1 321.424 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001480585876 1184554488 /nfs/dbraw/zinc/55/44/88/1184554488.db2.gz PEUSPKYUPKJMHY-HOCLYGCPSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@H](NCc2ncc(C)o2)C1 ZINC001480696056 1184579131 /nfs/dbraw/zinc/57/91/31/1184579131.db2.gz GOBDSECEDXDRTC-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1[C@H](C)c1ccccc1F ZINC001493098477 1184649387 /nfs/dbraw/zinc/64/93/87/1184649387.db2.gz KAGJDUNPLDOZLV-HZPDHXFCSA-N 0 1 316.420 3.127 20 30 DGEDMN CN(CCNCc1ccccc1C#N)C(=O)C1CCCCCC1 ZINC001493494440 1184712580 /nfs/dbraw/zinc/71/25/80/1184712580.db2.gz HDLJOEPLSQCGIE-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(F)F)c(F)c1 ZINC001493695018 1184727767 /nfs/dbraw/zinc/72/77/67/1184727767.db2.gz LIYYVXSMVANDHW-UHFFFAOYSA-N 0 1 320.742 3.177 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1CCCCC1)c1ccccc1 ZINC001493879427 1184757142 /nfs/dbraw/zinc/75/71/42/1184757142.db2.gz AVTRGTBNNLXPFJ-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1C(C)(C)C1(C)C)c1ccccc1 ZINC001493887754 1184758489 /nfs/dbraw/zinc/75/84/89/1184758489.db2.gz VOLOEODYCIOMBF-INIZCTEOSA-N 0 1 312.457 3.139 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC/C=C\Cl)c1ccccc1 ZINC001493892479 1184760086 /nfs/dbraw/zinc/76/00/86/1184760086.db2.gz NLRJLBZHBQKQLO-MEODZPNASA-N 0 1 306.837 3.398 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CCC)C(=O)c2ccc(C)c(C)c2)C1 ZINC001493973621 1184781868 /nfs/dbraw/zinc/78/18/68/1184781868.db2.gz LUNHTWZAQOXJCS-IBGZPJMESA-N 0 1 312.457 3.253 20 30 DGEDMN C=CCCCC(=O)NCC[C@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC001494041500 1184796248 /nfs/dbraw/zinc/79/62/48/1184796248.db2.gz ACVSSGHVKLBUSA-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](CC)CCC)C1 ZINC001494066970 1184800903 /nfs/dbraw/zinc/80/09/03/1184800903.db2.gz OHJYNSXXIGJEII-GJZGRUSLSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2c(C)cccc2C)C1 ZINC001494064081 1184802154 /nfs/dbraw/zinc/80/21/54/1184802154.db2.gz ZPGHNHYDHVRNDE-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCc2sccc2C)C1 ZINC001494329270 1184857730 /nfs/dbraw/zinc/85/77/30/1184857730.db2.gz GCBVMSGAUNGKIS-HNNXBMFYSA-N 0 1 306.475 3.146 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCCc2ccsc2)C1 ZINC001494404915 1184866418 /nfs/dbraw/zinc/86/64/18/1184866418.db2.gz HSRBFPVMASSVTE-CQSZACIVSA-N 0 1 306.475 3.226 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CCC)c1ccccc1 ZINC001494683892 1184905421 /nfs/dbraw/zinc/90/54/21/1184905421.db2.gz ODZFHNWSWIHXQM-FHWLQOOXSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2ccc(OC)cc2)C1 ZINC001494826410 1184936637 /nfs/dbraw/zinc/93/66/37/1184936637.db2.gz YDNMYKHFCHZEDT-KRWDZBQOSA-N 0 1 316.445 3.084 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001494842863 1184939879 /nfs/dbraw/zinc/93/98/79/1184939879.db2.gz JRYLJRHDASAGTC-WPBBSXQFSA-N 0 1 323.440 3.113 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001494848083 1184941422 /nfs/dbraw/zinc/94/14/22/1184941422.db2.gz PXOQPPZKQOBMBY-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCC(=O)N(CC)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001494881597 1184953759 /nfs/dbraw/zinc/95/37/59/1184953759.db2.gz XNZSVMHZIUPSBJ-GOSISDBHSA-N 0 1 313.445 3.171 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001494920556 1184962645 /nfs/dbraw/zinc/96/26/45/1184962645.db2.gz YHCXHWKHGSJYLG-SJORKVTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1sccc1C1CC1 ZINC001495103968 1184997316 /nfs/dbraw/zinc/99/73/16/1184997316.db2.gz MOBZYIPNEDVNDP-GFCCVEGCSA-N 0 1 312.866 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)c(CC)s1 ZINC001495104062 1184997336 /nfs/dbraw/zinc/99/73/36/1184997336.db2.gz ULDZUDXOMOQVQX-GFCCVEGCSA-N 0 1 314.882 3.469 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1ccccc1CC ZINC001495561404 1185073621 /nfs/dbraw/zinc/07/36/21/1185073621.db2.gz UOKZTLGAMYTJOY-QGZVFWFLSA-N 0 1 320.864 3.125 20 30 DGEDMN Cc1ccccc1C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001495991328 1185122449 /nfs/dbraw/zinc/12/24/49/1185122449.db2.gz CCIRBAOOJWZUPQ-MRXNPFEDSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(F)cc2ccoc21 ZINC001496097529 1185134380 /nfs/dbraw/zinc/13/43/80/1185134380.db2.gz GTQISJXCBAEBOA-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)CC(CC)CC ZINC001496192621 1185146123 /nfs/dbraw/zinc/14/61/23/1185146123.db2.gz PATOBQZNGHDHCZ-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H](C)C1CCC1 ZINC001496275052 1185157536 /nfs/dbraw/zinc/15/75/36/1185157536.db2.gz MIVSJIHCYFUFOO-HIFRSBDPSA-N 0 1 300.874 3.296 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC001496804451 1185250544 /nfs/dbraw/zinc/25/05/44/1185250544.db2.gz FFIFLLOWYQCLEE-IRXDYDNUSA-N 0 1 306.494 3.252 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001497686892 1185376811 /nfs/dbraw/zinc/37/68/11/1185376811.db2.gz WLUUYILNHAVPPR-ZPUOCTKUSA-N 0 1 320.864 3.441 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H]1CCc2ccccc21 ZINC001497705303 1185379460 /nfs/dbraw/zinc/37/94/60/1185379460.db2.gz HMDBAHIRRSXUIR-YIEVGGLXSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001497715832 1185380548 /nfs/dbraw/zinc/38/05/48/1185380548.db2.gz WIDRFFKLDHHRKI-CMHIOQGKSA-N 0 1 312.885 3.463 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1oc2c(cccc2F)c1C ZINC001497828195 1185397676 /nfs/dbraw/zinc/39/76/76/1185397676.db2.gz UTNSOMILLOWZIO-SNVBAGLBSA-N 0 1 324.783 3.341 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC001498267043 1185460831 /nfs/dbraw/zinc/46/08/31/1185460831.db2.gz UDKDGYBJMNVWCE-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001498295550 1185464239 /nfs/dbraw/zinc/46/42/39/1185464239.db2.gz UJUBFSQHBSBCGO-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C[C@@H]([NH2+]Cc1ccc(C#N)c(Cl)c1)c1cc(C(=O)[O-])co1 ZINC001609782438 1193356929 /nfs/dbraw/zinc/35/69/29/1193356929.db2.gz ZCIPDTHXLMKPLX-SECBINFHSA-N 0 1 304.733 3.354 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001499041452 1185598461 /nfs/dbraw/zinc/59/84/61/1185598461.db2.gz GJLAEFFHULXRIW-YJBOKZPZSA-N 0 1 318.436 3.449 20 30 DGEDMN CCC[C@H](CC)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001499403548 1185645926 /nfs/dbraw/zinc/64/59/26/1185645926.db2.gz XCXLTLIGRIDKLB-ZDUSSCGKSA-N 0 1 319.474 3.184 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C2CCC2)C2CCC2)C1 ZINC001499737880 1185690046 /nfs/dbraw/zinc/69/00/46/1185690046.db2.gz XPHCQPHQBPOGAA-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCN(C(C)=O)C1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC001500020063 1185731706 /nfs/dbraw/zinc/73/17/06/1185731706.db2.gz QCROUXPUJQEPPV-CQSZACIVSA-N 0 1 318.848 3.347 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCCC)C1CCN(C/C=C\Cl)CC1 ZINC001500034832 1185732809 /nfs/dbraw/zinc/73/28/09/1185732809.db2.gz WPPDSSYZRHESAT-MRDWYFFCSA-N 0 1 324.896 3.491 20 30 DGEDMN C[N@@H+](CCc1ccccc1F)Cc1cccc(C(=O)[O-])c1C#N ZINC001610119900 1193377033 /nfs/dbraw/zinc/37/70/33/1193377033.db2.gz RDCLWCQPYXUKFM-UHFFFAOYSA-N 0 1 312.344 3.070 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(CC(C)C)CCC2)C1 ZINC001500508723 1185810552 /nfs/dbraw/zinc/81/05/52/1185810552.db2.gz NVJXEANCDYBSFF-SFHVURJKSA-N 0 1 304.478 3.054 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(C)cc2Cl)C1 ZINC001500531228 1185815863 /nfs/dbraw/zinc/81/58/63/1185815863.db2.gz YSSJJSMVQRAEML-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CC=C)c1ccccc1 ZINC001501408437 1185901088 /nfs/dbraw/zinc/90/10/88/1185901088.db2.gz WXQFMSYZNWUONG-XUVXKRRUSA-N 0 1 322.452 3.045 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1[nH]c(C)cc1C ZINC001501662692 1185918003 /nfs/dbraw/zinc/91/80/03/1185918003.db2.gz FJYZOFPYVGSKGR-UHFFFAOYSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)[C@H](C)CC)C2)CC1 ZINC001501746984 1185927238 /nfs/dbraw/zinc/92/72/38/1185927238.db2.gz YDKCGZQMGXBPML-UKRRQHHQSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC3CCCCC3)cccc2C1 ZINC001501850351 1185931959 /nfs/dbraw/zinc/93/19/59/1185931959.db2.gz CNPLHTAOFXADTH-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)C(CC)CC)CCC1 ZINC001501971252 1185942493 /nfs/dbraw/zinc/94/24/93/1185942493.db2.gz QFRDCTZHYLNRNM-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3ccccc3o2)CCC1 ZINC001502022636 1185948825 /nfs/dbraw/zinc/94/88/25/1185948825.db2.gz OMVVSHFOPFCBRT-UHFFFAOYSA-N 0 1 318.804 3.427 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](CC)CC(F)F)CC1 ZINC001502126271 1185956542 /nfs/dbraw/zinc/95/65/42/1185956542.db2.gz UKQHLSWPQMNWQN-QWHCGFSZSA-N 0 1 322.827 3.391 20 30 DGEDMN CN(CCCN(C)C(=O)C#CC1CC1)Cc1sccc1Cl ZINC001502193273 1185970090 /nfs/dbraw/zinc/97/00/90/1185970090.db2.gz XLACIIDEFJWOSY-UHFFFAOYSA-N 0 1 324.877 3.095 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)CC[C@@H](C)CC)O2 ZINC001502440957 1185983417 /nfs/dbraw/zinc/98/34/17/1185983417.db2.gz OGPRHGAXSOTBQJ-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001502532796 1185992516 /nfs/dbraw/zinc/99/25/16/1185992516.db2.gz YEROWAHCFIVHPP-MJGOQNOKSA-N 0 1 300.446 3.152 20 30 DGEDMN C#CCCCC(=O)NCc1ccc2c(c1)CN(CC=C(C)C)C2 ZINC001502648148 1185998796 /nfs/dbraw/zinc/99/87/96/1185998796.db2.gz GUNATEGPZDHMFX-UHFFFAOYSA-N 0 1 310.441 3.388 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)CCC2CC2)CCN1CC#Cc1ccccc1 ZINC001502910214 1186027192 /nfs/dbraw/zinc/02/71/92/1186027192.db2.gz KJGZOOPENKXOTR-FXAWDEMLSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)CCCCC ZINC001503668134 1186050037 /nfs/dbraw/zinc/05/00/37/1186050037.db2.gz PVBKMEAGUIVXKL-IBGZPJMESA-N 0 1 312.457 3.306 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCCCC ZINC001503668134 1186050043 /nfs/dbraw/zinc/05/00/43/1186050043.db2.gz PVBKMEAGUIVXKL-IBGZPJMESA-N 0 1 312.457 3.306 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1Cc2ccccc21 ZINC001505408324 1186070124 /nfs/dbraw/zinc/07/01/24/1186070124.db2.gz UDGYQSSZHASJPE-DOTOQJQBSA-N 0 1 318.848 3.050 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001505438534 1186080225 /nfs/dbraw/zinc/08/02/25/1186080225.db2.gz JCGFEXHXACXAPK-UXHICEINSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(Cl)ccc1C ZINC001505449898 1186083976 /nfs/dbraw/zinc/08/39/76/1186083976.db2.gz RDUZRDFTSLRTHD-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)C(C)(C)C(C)C ZINC001505609632 1186113509 /nfs/dbraw/zinc/11/35/09/1186113509.db2.gz HRWZAYHJSMCLLK-NEPJUHHUSA-N 0 1 319.287 3.060 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cnc2ccsc2c1 ZINC001505671023 1186121254 /nfs/dbraw/zinc/12/12/54/1186121254.db2.gz MGJAUBSCKZBEMY-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1CCC(F)(F)CC1 ZINC001505698542 1186127339 /nfs/dbraw/zinc/12/73/39/1186127339.db2.gz QXKOXBHIOLWGHW-MNOVXSKESA-N 0 1 308.800 3.047 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2cccc(F)c2o1 ZINC001505761218 1186135053 /nfs/dbraw/zinc/13/50/53/1186135053.db2.gz RLJPAZPQHOHOJC-GHMZBOCLSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc(C)nc(C)c1 ZINC001506536982 1186241676 /nfs/dbraw/zinc/24/16/76/1186241676.db2.gz LQOXWICIQAAELE-MRXNPFEDSA-N 0 1 323.868 3.329 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001506647183 1186267218 /nfs/dbraw/zinc/26/72/18/1186267218.db2.gz YPGOGQIHZPRBLO-MVMZHWFQSA-N 0 1 323.440 3.017 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C(C)(C)C2CCCCC2)C1 ZINC001506663797 1186271376 /nfs/dbraw/zinc/27/13/76/1186271376.db2.gz WTVGWGIPIVBVLU-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001506677064 1186273333 /nfs/dbraw/zinc/27/33/33/1186273333.db2.gz CYXFQROQVHWEHE-RDJZCZTQSA-N 0 1 312.457 3.119 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001506682898 1186273968 /nfs/dbraw/zinc/27/39/68/1186273968.db2.gz PWQXNJUBADJHKA-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CCc1ccccc1 ZINC001507033132 1186344495 /nfs/dbraw/zinc/34/44/95/1186344495.db2.gz DLRQHDKLDIIXOG-QGZVFWFLSA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001507054982 1186351686 /nfs/dbraw/zinc/35/16/86/1186351686.db2.gz DMYLVQUXZWMYPF-QWHCGFSZSA-N 0 1 310.388 3.429 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)sc1C ZINC001507098001 1186359505 /nfs/dbraw/zinc/35/95/05/1186359505.db2.gz JHHDFSVKGRUSFH-JTQLQIEISA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1cccc(C2CC2)c1 ZINC001507109282 1186361770 /nfs/dbraw/zinc/36/17/70/1186361770.db2.gz XBGLGUWOCJFVEO-CQSZACIVSA-N 0 1 320.864 3.296 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@H](C)c1ccccc1)C(C)C ZINC001507862919 1186452019 /nfs/dbraw/zinc/45/20/19/1186452019.db2.gz NCXIZVVXDIYLGZ-SFHVURJKSA-N 0 1 314.473 3.372 20 30 DGEDMN CCCC(=O)N[C@@H](C)C1CCN(Cc2ccccc2C#N)CC1 ZINC001508067395 1186475003 /nfs/dbraw/zinc/47/50/03/1186475003.db2.gz BNEQERRDYUTMNN-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@H](c2ccccc2)C(C)C)CC1 ZINC001508226817 1186491251 /nfs/dbraw/zinc/49/12/51/1186491251.db2.gz CAKDODLXTMIWTN-FQEVSTJZSA-N 0 1 324.468 3.198 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@@H](CC)c2ccc(C)cc2)CC1 ZINC001508217683 1186491333 /nfs/dbraw/zinc/49/13/33/1186491333.db2.gz AUSRVCHSJHFTGE-LJQANCHMSA-N 0 1 324.468 3.260 20 30 DGEDMN C=C[C@@H](CC(=O)NCC1=CCN(CC#CC)CC1)c1ccccc1 ZINC001508228077 1186492144 /nfs/dbraw/zinc/49/21/44/1186492144.db2.gz CQHJFQDPWBNFPP-IBGZPJMESA-N 0 1 322.452 3.118 20 30 DGEDMN O=C(c1cc(C(F)F)[nH]n1)N(O)CCCCc1ccccc1 ZINC001611653136 1193439143 /nfs/dbraw/zinc/43/91/43/1193439143.db2.gz FAPXJMYIFHPDHZ-UHFFFAOYSA-N 0 1 309.316 3.202 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccncc2)s1)c1cccc(F)n1 ZINC001516731620 1186791108 /nfs/dbraw/zinc/79/11/08/1186791108.db2.gz YHRVVVRYIYHAEH-LLVKDONJSA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(-c2ccncc2)s1)c1cccc(F)n1 ZINC001516731620 1186791109 /nfs/dbraw/zinc/79/11/09/1186791109.db2.gz YHRVVVRYIYHAEH-LLVKDONJSA-N 0 1 324.340 3.229 20 30 DGEDMN Cc1ccc2[nH]c(C(=O)C(C#N)c3ccncn3)c(Cl)c2c1 ZINC001516768148 1186792839 /nfs/dbraw/zinc/79/28/39/1186792839.db2.gz QYJMYEIJCQRFLZ-LLVKDONJSA-N 0 1 310.744 3.410 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC(=O)c2ccccc21)c1cccc(F)n1 ZINC001516821524 1186793557 /nfs/dbraw/zinc/79/35/57/1186793557.db2.gz SQVNNZZPGKGNRB-ZIAGYGMSSA-N 0 1 308.312 3.157 20 30 DGEDMN C=CC[C@@H]1CCCN(c2nnc(-c3ccc4nc[nH]c4c3)n2C)C1 ZINC001519494535 1186878624 /nfs/dbraw/zinc/87/86/24/1186878624.db2.gz QFNGHUZQDUKOGS-CYBMUJFWSA-N 0 1 322.416 3.151 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CCCc2ccc(OC)cc21 ZINC001520035277 1186912229 /nfs/dbraw/zinc/91/22/29/1186912229.db2.gz AHYVPWLRNBVLGS-RDJZCZTQSA-N 0 1 323.396 3.208 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@H](C)c2ccc(Cl)cc2)CC1 ZINC001520069394 1186915327 /nfs/dbraw/zinc/91/53/27/1186915327.db2.gz QLXADEOGQAJCPD-CYBMUJFWSA-N 0 1 305.805 3.084 20 30 DGEDMN CCn1nc(C2CC2)cc1C(=O)C(C#N)c1nc(C)cs1 ZINC001523760511 1187186134 /nfs/dbraw/zinc/18/61/34/1187186134.db2.gz JPBZUXUKCNMIOL-NSHDSACASA-N 0 1 300.387 3.035 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCCCCCC1 ZINC001524338272 1187236020 /nfs/dbraw/zinc/23/60/20/1187236020.db2.gz SJLXAVISLZATRX-UHFFFAOYSA-N 0 1 314.437 3.323 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@@H]1SCCc2ccccc21 ZINC001526593628 1187377604 /nfs/dbraw/zinc/37/76/04/1187377604.db2.gz HYJOIYJOYHFETI-GDBMZVCRSA-N 0 1 311.410 3.110 20 30 DGEDMN Cc1c(C(=O)N2CCCc3c(C#N)cccc32)ccc2cncn21 ZINC001527653713 1187441031 /nfs/dbraw/zinc/44/10/31/1187441031.db2.gz PQKGUGZFTSIOEJ-UHFFFAOYSA-N 0 1 316.364 3.107 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1csc(NC2(C)CCCC2)n1 ZINC001528517985 1187495183 /nfs/dbraw/zinc/49/51/83/1187495183.db2.gz QRAQTMPBNCISDG-LLVKDONJSA-N 0 1 309.435 3.053 20 30 DGEDMN C#C[C@H](NC(=O)c1n[nH]c2ccc(Cl)cc21)c1ccccc1 ZINC001530141622 1187608694 /nfs/dbraw/zinc/60/86/94/1187608694.db2.gz BCHQGWKPUPZTTO-AWEZNQCLSA-N 0 1 309.756 3.321 20 30 DGEDMN Cc1ccc2oc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)cc2n1 ZINC001534359106 1187893121 /nfs/dbraw/zinc/89/31/21/1187893121.db2.gz SVIYYNLKJIWFPD-UHFFFAOYSA-N 0 1 317.308 3.137 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@H]3OCc4ccccc43)[nH]c2c1 ZINC001534357728 1187893710 /nfs/dbraw/zinc/89/37/10/1187893710.db2.gz HZCSWOVVWNPDMJ-MRXNPFEDSA-N 0 1 318.336 3.035 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)CC(C)C)CCN1Cc1ccc(C#N)s1 ZINC001656685584 1196061389 /nfs/dbraw/zinc/06/13/89/1196061389.db2.gz LSPRFYYBFYNDON-JKSUJKDBSA-N 0 1 319.474 3.135 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2ccc(Cl)c(O)c2)cc1 ZINC001538396873 1188140147 /nfs/dbraw/zinc/14/01/47/1188140147.db2.gz BAVXBGVGJWRKGX-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC001539288419 1188184943 /nfs/dbraw/zinc/18/49/43/1188184943.db2.gz BZMCWBDILGBHPR-GOSISDBHSA-N 0 1 312.457 3.331 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cc(C)cc(C=O)c1O ZINC001542285419 1188280914 /nfs/dbraw/zinc/28/09/14/1188280914.db2.gz NHTVKQHSQYHCCB-UHFFFAOYSA-N 0 1 324.336 3.036 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2ncc3ccccc3c2O)c1 ZINC001543039897 1188311861 /nfs/dbraw/zinc/31/18/61/1188311861.db2.gz VBSBHZXCYMVYOZ-UHFFFAOYSA-N 0 1 319.320 3.095 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3c(F)cc(F)cc3F)[nH]c2c1 ZINC001543403629 1188331054 /nfs/dbraw/zinc/33/10/54/1188331054.db2.gz FVMSJOBBEIIBQN-UHFFFAOYSA-N 0 1 316.242 3.104 20 30 DGEDMN CCOC(=O)[C@@H]1CCCC[C@@H]1NCc1ccc(Cl)cc1C#N ZINC001617918997 1193585651 /nfs/dbraw/zinc/58/56/51/1193585651.db2.gz VQDCTWYAKZUBAZ-CVEARBPZSA-N 0 1 320.820 3.423 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)Nc2sccc2C#N)n[nH]1 ZINC001563025039 1188876711 /nfs/dbraw/zinc/87/67/11/1188876711.db2.gz YJUMXJZWIHDBMK-IUCAKERBSA-N 0 1 318.406 3.134 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1csc2c1CCCC2 ZINC001556466112 1189001934 /nfs/dbraw/zinc/00/19/34/1189001934.db2.gz JNSXJLPWCYKFBR-UHFFFAOYSA-N 0 1 306.475 3.207 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)Nc1c(=O)[nH][nH]c1-c1ccccc1 ZINC001556753482 1189017896 /nfs/dbraw/zinc/01/78/96/1189017896.db2.gz GAMYSGNAJFPSSX-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN CC(C)Cc1ccc(CC(=O)NOc2cccc(C#N)c2)cc1 ZINC001557921233 1189092747 /nfs/dbraw/zinc/09/27/47/1189092747.db2.gz QWNHPDDUOKMFKK-UHFFFAOYSA-N 0 1 308.381 3.409 20 30 DGEDMN COc1ccc(NC(=O)c2ccc(O)c(Cl)c2)cc1C#N ZINC001558012161 1189098435 /nfs/dbraw/zinc/09/84/35/1189098435.db2.gz WLQAOKNBERJSOL-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC001558276739 1189114053 /nfs/dbraw/zinc/11/40/53/1189114053.db2.gz OCNDKBSYWNLTMH-UHFFFAOYSA-N 0 1 320.190 3.313 20 30 DGEDMN N#CC[C@H](C(=O)Nc1ncc(C(F)(F)F)[nH]1)c1ccccc1 ZINC001558981138 1189160139 /nfs/dbraw/zinc/16/01/39/1189160139.db2.gz ZZDWGGHFMJZZIQ-JTQLQIEISA-N 0 1 308.263 3.064 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@@H]3CCC=CCCC3)n2)c1 ZINC001559046800 1189165593 /nfs/dbraw/zinc/16/55/93/1189165593.db2.gz TVGGWYILVMMCTQ-CQSZACIVSA-N 0 1 321.384 3.418 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1ccc(C)cc1C#N ZINC001559458543 1189206805 /nfs/dbraw/zinc/20/68/05/1189206805.db2.gz LVGWCZVWLAYIDC-UHFFFAOYSA-N 0 1 315.398 3.367 20 30 DGEDMN C=C(C)[C@@H](NC[C@@H](O)c1ccccn1)c1ccc(F)c(F)c1 ZINC001559766188 1189239908 /nfs/dbraw/zinc/23/99/08/1189239908.db2.gz BHAJCLKERHVLGW-IAGOWNOFSA-N 0 1 304.340 3.300 20 30 DGEDMN Cc1ccccc1CCN(C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC001559863006 1189251250 /nfs/dbraw/zinc/25/12/50/1189251250.db2.gz KCFCLSXAYLSAOI-INIZCTEOSA-N 0 1 321.424 3.368 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)CC(C)(F)F)CC(C)(C)C1 ZINC001657102596 1196106506 /nfs/dbraw/zinc/10/65/06/1196106506.db2.gz WOUWKWGSXHEODT-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](c2ccccc2OC)CC1 ZINC001560094899 1189278262 /nfs/dbraw/zinc/27/82/62/1189278262.db2.gz SQBDTPYCJLIFIE-WBVHZDCISA-N 0 1 317.429 3.382 20 30 DGEDMN CN(C)c1nc2c(s1)CN(CCCCC(C)(C)C#N)CC2 ZINC001560114392 1189281169 /nfs/dbraw/zinc/28/11/69/1189281169.db2.gz MCFOPUMXUCUCGB-UHFFFAOYSA-N 0 1 306.479 3.287 20 30 DGEDMN C[C@@H](NC[C@H](O)c1cccc(C#N)c1)c1c(F)cccc1F ZINC001560160464 1189285009 /nfs/dbraw/zinc/28/50/09/1189285009.db2.gz XJYDCDLAWHZMEW-BZNIZROVSA-N 0 1 302.324 3.221 20 30 DGEDMN C[C@@H](NC[C@@H](O)c1cccc(C#N)c1)c1c(F)cccc1F ZINC001560160463 1189285241 /nfs/dbraw/zinc/28/52/41/1189285241.db2.gz XJYDCDLAWHZMEW-BDJLRTHQSA-N 0 1 302.324 3.221 20 30 DGEDMN C=CCC[C@H]1CCCN1Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001560200255 1189290130 /nfs/dbraw/zinc/29/01/30/1189290130.db2.gz QSEYPYUJWQEVJS-LBPRGKRZSA-N 0 1 300.362 3.402 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1ccc(C(=O)OC)n1C ZINC001560700810 1189364167 /nfs/dbraw/zinc/36/41/67/1189364167.db2.gz ZVZQQKDTAFMWLK-UHFFFAOYSA-N 0 1 312.413 3.042 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)OC(C)(C)C)CN1CC#Cc1ccccc1 ZINC001560893682 1189401741 /nfs/dbraw/zinc/40/17/41/1189401741.db2.gz UPLRYJNPAFYDJI-SJLPKXTDSA-N 0 1 313.441 3.480 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NC/C(Cl)=C\Cl ZINC001567962111 1189435435 /nfs/dbraw/zinc/43/54/35/1189435435.db2.gz NIPADRHUMODDLI-JATZPVMKSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(CC)C[C@H]2C)c1 ZINC001562325126 1189479961 /nfs/dbraw/zinc/47/99/61/1189479961.db2.gz PTRVVWPBLOUWNN-OAHLLOKOSA-N 0 1 317.433 3.199 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)oc1C ZINC001565811901 1189674155 /nfs/dbraw/zinc/67/41/55/1189674155.db2.gz ZKYYXBRFJNTVBI-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@@H](C)C1CC1 ZINC001565979622 1189709730 /nfs/dbraw/zinc/70/97/30/1189709730.db2.gz YHBUDCQZPFUQSY-IOASZLSFSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001565997177 1189712603 /nfs/dbraw/zinc/71/26/03/1189712603.db2.gz HOMQVSNFKKPZOF-HZMVEIRTSA-N 0 1 322.880 3.264 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H](C)c2ccc(F)cc2)C1 ZINC001566617195 1189824416 /nfs/dbraw/zinc/82/44/16/1189824416.db2.gz YBNBIGZREDHGII-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001566622903 1189828821 /nfs/dbraw/zinc/82/88/21/1189828821.db2.gz CPAWGVXINYTEBN-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001566631073 1189838026 /nfs/dbraw/zinc/83/80/26/1189838026.db2.gz HXGMVQYXYIIZNH-CABCVRRESA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001566674064 1189853377 /nfs/dbraw/zinc/85/33/77/1189853377.db2.gz CGCPRBXCUZLQQT-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H]2CC23CCC3)C1 ZINC001566742710 1189886946 /nfs/dbraw/zinc/88/69/46/1189886946.db2.gz BCJQTUOMKIHJCS-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](N[C@@H](C)c2nc3ccccc3o2)C1 ZINC001566833251 1189916934 /nfs/dbraw/zinc/91/69/34/1189916934.db2.gz DNCVQAGLOMCVIB-MELADBBJSA-N 0 1 313.401 3.092 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001566928796 1189958277 /nfs/dbraw/zinc/95/82/77/1189958277.db2.gz MSNBCQWSXFSFST-OALUTQOASA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1occ2c1CCC2 ZINC001566944160 1189962803 /nfs/dbraw/zinc/96/28/03/1189962803.db2.gz XDEJTXCSMKXZSE-AWEZNQCLSA-N 0 1 322.836 3.105 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001567070455 1190019000 /nfs/dbraw/zinc/01/90/00/1190019000.db2.gz XOPKBKYELCDCAZ-HZPDHXFCSA-N 0 1 315.461 3.225 20 30 DGEDMN COc1cccc(C[C@H](C)CC(=O)Nc2cc(C#N)ccc2O)c1 ZINC001567072372 1190019927 /nfs/dbraw/zinc/01/99/27/1190019927.db2.gz CDVDROMUUMQWKS-ZDUSSCGKSA-N 0 1 324.380 3.480 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)Cc1cccc(F)c1 ZINC001567341674 1190139926 /nfs/dbraw/zinc/13/99/26/1190139926.db2.gz KWBMWJIJYURIKU-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001567350202 1190145495 /nfs/dbraw/zinc/14/54/95/1190145495.db2.gz FQBSGIXQZZFPNF-IBGZPJMESA-N 0 1 314.473 3.278 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(C)(C)C)s1 ZINC001567354033 1190147903 /nfs/dbraw/zinc/14/79/03/1190147903.db2.gz OGNDVULRNICZIP-UHFFFAOYSA-N 0 1 306.475 3.121 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001567380670 1190161437 /nfs/dbraw/zinc/16/14/37/1190161437.db2.gz UJOFPQULMGZTSC-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN CCC1(C(=O)NC[C@@H]2CCN(CC#Cc3ccccc3)C2)CCC1 ZINC001567408344 1190176039 /nfs/dbraw/zinc/17/60/39/1190176039.db2.gz XZTZMAATVKWAMG-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)CCC(=O)NCCN(Cc1ccc(F)cc1)C1CC1 ZINC001567443421 1190195764 /nfs/dbraw/zinc/19/57/64/1190195764.db2.gz ZLFSREBTRCJPHB-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C(F)F)ccc1F ZINC001567496947 1190210978 /nfs/dbraw/zinc/21/09/78/1190210978.db2.gz DQQDWCIIPLSHBM-UHFFFAOYSA-N 0 1 320.742 3.177 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001567504131 1190211643 /nfs/dbraw/zinc/21/16/43/1190211643.db2.gz YKYLBFZKMKTOBI-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)Cc1ccsc1)C(C)C ZINC001567636768 1190233445 /nfs/dbraw/zinc/23/34/45/1190233445.db2.gz SBGQBPUOFOQCCR-UHFFFAOYSA-N 0 1 314.882 3.260 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCC)c1ccc(C(C)C)cc1 ZINC001567654053 1190238769 /nfs/dbraw/zinc/23/87/69/1190238769.db2.gz JMXFSTNTVHEWJY-SFHVURJKSA-N 0 1 300.446 3.380 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCc1cccc(C)c1)c1ccccc1 ZINC001567661281 1190240990 /nfs/dbraw/zinc/24/09/90/1190240990.db2.gz VGFOMABHGSAGFI-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)C1CCCC1)c1ccccc1 ZINC001567663832 1190242591 /nfs/dbraw/zinc/24/25/91/1190242591.db2.gz LOSPZPJOZWLENH-QFBILLFUSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCCC ZINC001567674764 1190245239 /nfs/dbraw/zinc/24/52/39/1190245239.db2.gz QUWUSZISBZRIFH-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2oc(C(C)C)nc2C)C1 ZINC001567688693 1190253439 /nfs/dbraw/zinc/25/34/39/1190253439.db2.gz PBDZZROQYYBELX-OAHLLOKOSA-N 0 1 319.449 3.219 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001567751906 1190287609 /nfs/dbraw/zinc/28/76/09/1190287609.db2.gz XGCIEVAGEXXKLC-CJNGLKHVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C(C)(C)CC)CC1 ZINC001567750509 1190288056 /nfs/dbraw/zinc/28/80/56/1190288056.db2.gz PEDVNDKISVQXSM-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001567777767 1190295811 /nfs/dbraw/zinc/29/58/11/1190295811.db2.gz OGURXKPDMPWILQ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(c3ccccc3C)CC2)C1 ZINC001567820567 1190310180 /nfs/dbraw/zinc/31/01/80/1190310180.db2.gz ONKKSBFUPIWWJG-INIZCTEOSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001567857276 1190323111 /nfs/dbraw/zinc/32/31/11/1190323111.db2.gz ZFKSRSUFZXPCGJ-OALUTQOASA-N 0 1 310.441 3.240 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001567894112 1190336250 /nfs/dbraw/zinc/33/62/50/1190336250.db2.gz MVGXXVHBOCSNND-OAHLLOKOSA-N 0 1 306.475 3.349 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567911812 1190347879 /nfs/dbraw/zinc/34/78/79/1190347879.db2.gz RFMGZYNIZHGRBP-CRAIPNDOSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1csc(C(C)C)n1 ZINC001567980870 1190386452 /nfs/dbraw/zinc/38/64/52/1190386452.db2.gz FBCWUWUOCKXULI-NSHDSACASA-N 0 1 315.870 3.117 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CCNCc2coc(C)n2)C1 ZINC001568066149 1190412212 /nfs/dbraw/zinc/41/22/12/1190412212.db2.gz JPUSSQNYZYAJNL-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1[nH]c(C)cc1C ZINC001568331149 1190492879 /nfs/dbraw/zinc/49/28/79/1190492879.db2.gz MDIANNZYDWKQPA-CQSZACIVSA-N 0 1 311.857 3.118 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@H](C)NCc2ncc(C)o2)C1 ZINC001568406016 1190512740 /nfs/dbraw/zinc/51/27/40/1190512740.db2.gz BTCDHWWFWXQMAQ-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1ccc(Cl)cc1F ZINC001568842259 1190593869 /nfs/dbraw/zinc/59/38/69/1190593869.db2.gz QRBQCAFXWRFXNM-AATRIKPKSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1cccc(C(F)F)c1 ZINC001569006075 1190607385 /nfs/dbraw/zinc/60/73/85/1190607385.db2.gz FQORZANJIHGTEU-LLVKDONJSA-N 0 1 316.779 3.014 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC(C)(C)CC(F)(F)F ZINC001569032824 1190611057 /nfs/dbraw/zinc/61/10/57/1190611057.db2.gz HTSAIMSAWUGWSP-SNVBAGLBSA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(CCC)c(C)s1 ZINC001569034117 1190611531 /nfs/dbraw/zinc/61/15/31/1190611531.db2.gz CTFPSGSKSKZXFE-LLVKDONJSA-N 0 1 314.882 3.469 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001569078076 1190617525 /nfs/dbraw/zinc/61/75/25/1190617525.db2.gz VNCCAWOFFZSTCW-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)c1cc(C)c(Cl)cc1C ZINC001569130913 1190633658 /nfs/dbraw/zinc/63/36/58/1190633658.db2.gz FVZIEIZNPBLZRR-CQSZACIVSA-N 0 1 306.837 3.030 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(C)c(Cl)cc1C ZINC001569130913 1190633660 /nfs/dbraw/zinc/63/36/60/1190633660.db2.gz FVZIEIZNPBLZRR-CQSZACIVSA-N 0 1 306.837 3.030 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCCC2(CC2)C1)C1CC1 ZINC001569303484 1190662728 /nfs/dbraw/zinc/66/27/28/1190662728.db2.gz QGACPFJHNROTAR-GJZGRUSLSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1C(C)C)C1CC1 ZINC001569331719 1190668124 /nfs/dbraw/zinc/66/81/24/1190668124.db2.gz RGBNZBPXNUCIAV-CQSZACIVSA-N 0 1 310.825 3.254 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccccc1CC ZINC001569359997 1190676179 /nfs/dbraw/zinc/67/61/79/1190676179.db2.gz PSPDIASADOBLOS-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001569367383 1190678621 /nfs/dbraw/zinc/67/86/21/1190678621.db2.gz GERUIAOKXJFNPC-IBGZPJMESA-N 0 1 312.457 3.269 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC001569375795 1190683428 /nfs/dbraw/zinc/68/34/28/1190683428.db2.gz BYFFRGFCAICYRT-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001569378343 1190684322 /nfs/dbraw/zinc/68/43/22/1190684322.db2.gz PQOIGVGAQZSMGR-CRAIPNDOSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccsc2)C1 ZINC001569482063 1190706206 /nfs/dbraw/zinc/70/62/06/1190706206.db2.gz PYJJATYDOKRYPL-UHFFFAOYSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)C1 ZINC001569494851 1190707980 /nfs/dbraw/zinc/70/79/80/1190707980.db2.gz JWMBZIXAYIJTRO-SJORKVTESA-N 0 1 304.478 3.334 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)c(F)c(C)c1 ZINC001569564715 1190717517 /nfs/dbraw/zinc/71/75/17/1190717517.db2.gz IDRNJJXHWFPXDF-HNNXBMFYSA-N 0 1 324.827 3.389 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1csc(C)n1)C1CCCC1 ZINC001569601178 1190721665 /nfs/dbraw/zinc/72/16/65/1190721665.db2.gz RGEQDCKZDWRYTJ-MRXNPFEDSA-N 0 1 321.490 3.182 20 30 DGEDMN CC(C)C#CC(=O)N[C@@]1(C)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001569637138 1190729426 /nfs/dbraw/zinc/72/94/26/1190729426.db2.gz GKWAYQGDURKQCT-KXBFYZLASA-N 0 1 316.420 3.127 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3cc(F)c(C#N)c(F)c3)[nH]c2c1 ZINC001569699633 1190742610 /nfs/dbraw/zinc/74/26/10/1190742610.db2.gz XBOHUMHCGFPHPX-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](C)C2CCC2)CC1 ZINC001569702071 1190743338 /nfs/dbraw/zinc/74/33/38/1190743338.db2.gz MXEQOWHPZSLSKU-AWEZNQCLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cc(C)co2)CC1 ZINC001569704435 1190743933 /nfs/dbraw/zinc/74/39/33/1190743933.db2.gz NLMYNROWTMATFD-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](CCC)C(C)C ZINC001570028319 1190783276 /nfs/dbraw/zinc/78/32/76/1190783276.db2.gz RBHMBFWKTJETEV-IBGZPJMESA-N 0 1 314.473 3.440 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCC(C)C)cccc2C1 ZINC001570077952 1190791518 /nfs/dbraw/zinc/79/15/18/1190791518.db2.gz GXHADNOPADYALB-UHFFFAOYSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001570089828 1190792807 /nfs/dbraw/zinc/79/28/07/1190792807.db2.gz RUECZLOFTZPXNG-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccn(C(C)C)c2C)CCC1 ZINC001570114276 1190798355 /nfs/dbraw/zinc/79/83/55/1190798355.db2.gz YBVPEXIFVDQVLX-UHFFFAOYSA-N 0 1 323.868 3.372 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCN(C/C=C\Cl)CC1(C)C ZINC001570393401 1190851394 /nfs/dbraw/zinc/85/13/94/1190851394.db2.gz IUWMTZLAKYBRMW-LBIZBFCRSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1ccc(F)c(F)c1 ZINC001570526705 1190875370 /nfs/dbraw/zinc/87/53/70/1190875370.db2.gz ZBGNOFWIZIQVIR-HNNXBMFYSA-N 0 1 322.399 3.354 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H]1C[N@@H+](C)C/C=C\Cl ZINC001570538064 1190880408 /nfs/dbraw/zinc/88/04/08/1190880408.db2.gz KIOHFQYKKVURPW-CKDIWJPDSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H]1CN(C)C/C=C\Cl ZINC001570538064 1190880411 /nfs/dbraw/zinc/88/04/11/1190880411.db2.gz KIOHFQYKKVURPW-CKDIWJPDSA-N 0 1 310.869 3.245 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)c1cccc(C)c1 ZINC001570542264 1190882324 /nfs/dbraw/zinc/88/23/24/1190882324.db2.gz QKABHIHTHIVHPO-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001570543289 1190883915 /nfs/dbraw/zinc/88/39/15/1190883915.db2.gz FVULKSUBORVGAK-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc2ccccc2c1 ZINC001570544937 1190884343 /nfs/dbraw/zinc/88/43/43/1190884343.db2.gz HUSRIIZMDVVLHL-IBGZPJMESA-N 0 1 306.409 3.009 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CCC=C)c1ccccc1 ZINC001570548907 1190885438 /nfs/dbraw/zinc/88/54/38/1190885438.db2.gz RUOOBWXIEWOYFS-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(C)cc(F)cc1C ZINC001570623775 1190904256 /nfs/dbraw/zinc/90/42/56/1190904256.db2.gz FMMLHHWGGPKIRN-QWHCGFSZSA-N 0 1 312.816 3.291 20 30 DGEDMN CCCC1(C(=O)N[C@H](C)[C@H](C)NCc2ccccc2C#N)CC1 ZINC001570633023 1190906214 /nfs/dbraw/zinc/90/62/14/1190906214.db2.gz BLDAWRYQDXRBGL-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2cc[nH]c2c1 ZINC001570637791 1190907407 /nfs/dbraw/zinc/90/74/07/1190907407.db2.gz ZZJKUZXOELRSLO-NWDGAFQWSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccccc1OC(C)C ZINC001570643014 1190908552 /nfs/dbraw/zinc/90/85/52/1190908552.db2.gz ACNURRBEMWQWFM-ZIAGYGMSSA-N 0 1 324.852 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1coc2ccccc12 ZINC001570642737 1190908735 /nfs/dbraw/zinc/90/87/35/1190908735.db2.gz XFAHOQFUXDPGRS-QWHCGFSZSA-N 0 1 320.820 3.211 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001570648046 1190909693 /nfs/dbraw/zinc/90/96/93/1190909693.db2.gz SEYCQRRQVGQGAD-YIUBPGBESA-N 0 1 324.896 3.438 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC(C)(C)CNCc1ncc(CC)o1 ZINC001570681381 1190916226 /nfs/dbraw/zinc/91/62/26/1190916226.db2.gz WPQLJKVIVYPQBA-UHFFFAOYSA-N 0 1 321.465 3.023 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1cn(C(C)C)nc1C ZINC001570714632 1190928378 /nfs/dbraw/zinc/92/83/78/1190928378.db2.gz SFMQKQVATLCIAV-OAHLLOKOSA-N 0 1 320.481 3.065 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C2CN(CCC(F)(F)F)C2)CCC1 ZINC001570853625 1190966398 /nfs/dbraw/zinc/96/63/98/1190966398.db2.gz FJRLCPVJSVQSCF-LBPRGKRZSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CC[C@H](C)CC)C2)C1 ZINC001570886873 1190969960 /nfs/dbraw/zinc/96/99/60/1190969960.db2.gz QLHQHLGACKSKMJ-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001570909625 1190974897 /nfs/dbraw/zinc/97/48/97/1190974897.db2.gz NEXIZGBJCVASQT-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C3(CC(C)C)CCCC3)C2)C1 ZINC001571048810 1190981514 /nfs/dbraw/zinc/98/15/14/1190981514.db2.gz JZHQNHVQJDBZQH-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cncc2sccc21 ZINC001571401659 1191015162 /nfs/dbraw/zinc/01/51/62/1191015162.db2.gz IHPDAWBYEKTEGF-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(F)c(Cl)c1 ZINC001571403507 1191015981 /nfs/dbraw/zinc/01/59/81/1191015981.db2.gz TZJYDJBIVYUCNK-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](c1cccnc1)C(C)C ZINC001571411084 1191017949 /nfs/dbraw/zinc/01/79/49/1191017949.db2.gz WXVCSVOQNUPMAD-ZBFHGGJFSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(OC(C)C)cc1 ZINC001571414964 1191019756 /nfs/dbraw/zinc/01/97/56/1191019756.db2.gz BJKQKWDJQMEXHQ-CQSZACIVSA-N 0 1 324.852 3.276 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)Cc1ccccc1 ZINC001571418397 1191020726 /nfs/dbraw/zinc/02/07/26/1191020726.db2.gz WTVUZTURVADWTP-DZGCQCFKSA-N 0 1 308.853 3.054 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(CCC)s1)C(C)C ZINC001571612559 1191058995 /nfs/dbraw/zinc/05/89/95/1191058995.db2.gz ONTDDWDALSPXIQ-UHFFFAOYSA-N 0 1 306.475 3.116 20 30 DGEDMN C=CCCC[C@H](NC(=O)N1CCN[C@H](C)C1)c1ccccc1 ZINC001574907182 1191457452 /nfs/dbraw/zinc/45/74/52/1191457452.db2.gz AZRKBOQNWKEJOS-WBVHZDCISA-N 0 1 301.434 3.087 20 30 DGEDMN C=CCCC[C@@H](NC(=O)N1CCN[C@H](C)C1)c1ccccc1 ZINC001574907180 1191458209 /nfs/dbraw/zinc/45/82/09/1191458209.db2.gz AZRKBOQNWKEJOS-NVXWUHKLSA-N 0 1 301.434 3.087 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H](N)c1ccccc1 ZINC001574915184 1191458265 /nfs/dbraw/zinc/45/82/65/1191458265.db2.gz BUUBNZCIFFRNEO-SFHVURJKSA-N 0 1 310.397 3.223 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(OCC(C)C)c(Cl)c1 ZINC001574969333 1191460908 /nfs/dbraw/zinc/46/09/08/1191460908.db2.gz GDATZWALPOWONB-CQSZACIVSA-N 0 1 308.809 3.054 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)C(=O)CCCCCCCCC ZINC001575117940 1191466965 /nfs/dbraw/zinc/46/69/65/1191466965.db2.gz HUVUOBQINXTCJT-UHFFFAOYSA-N 0 1 304.438 3.297 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC001618790634 1193752093 /nfs/dbraw/zinc/75/20/93/1193752093.db2.gz BJNWCGSBZZMPTG-AWEZNQCLSA-N 0 1 304.821 3.322 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H]1CCN(C(=O)OC(C)(C)C)C12CCC2 ZINC001621227039 1193818694 /nfs/dbraw/zinc/81/86/94/1193818694.db2.gz JLZDQOMYFASKMJ-UONOGXRCSA-N 0 1 307.438 3.200 20 30 DGEDMN C#CC1(NCc2c(C(C)C)nc3sc(C)nn23)CCCC1 ZINC001621495592 1193850610 /nfs/dbraw/zinc/85/06/10/1193850610.db2.gz YCLFBHXADDIELY-UHFFFAOYSA-N 0 1 302.447 3.258 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1c[nH]c2ccccc12 ZINC001622610533 1193906878 /nfs/dbraw/zinc/90/68/78/1193906878.db2.gz AAKBPVKURULDLR-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN N#CC[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001623604230 1193941190 /nfs/dbraw/zinc/94/11/90/1193941190.db2.gz DBGJEDBCWAHVDY-AWEZNQCLSA-N 0 1 315.336 3.071 20 30 DGEDMN N#CCCC[C@@H](NC(=O)c1cncc2nc[nH]c21)c1ccccc1 ZINC001625077695 1194031732 /nfs/dbraw/zinc/03/17/32/1194031732.db2.gz FYBDAHQBODZGHY-OAHLLOKOSA-N 0 1 319.368 3.123 20 30 DGEDMN C=CC[C@@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC001626457717 1194077762 /nfs/dbraw/zinc/07/77/62/1194077762.db2.gz GTSDYHHXDXZCLY-CYBMUJFWSA-N 0 1 308.341 3.363 20 30 DGEDMN N#CCc1cccc(NC(=O)c2ncc3ccccc3c2O)c1 ZINC001627757393 1194143024 /nfs/dbraw/zinc/14/30/24/1194143024.db2.gz JHSPAYWKWXZTJG-UHFFFAOYSA-N 0 1 303.321 3.259 20 30 DGEDMN N#C[C@@H](NC(=O)c1cccc2nc[nH]c21)c1ccc(Cl)cc1 ZINC001628135113 1194162274 /nfs/dbraw/zinc/16/22/74/1194162274.db2.gz SWABZXBNLPWYKG-CQSZACIVSA-N 0 1 310.744 3.211 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2ccccc2CC#N)cc1F ZINC001628987667 1194207882 /nfs/dbraw/zinc/20/78/82/1194207882.db2.gz WJAVQDJRZFLWQQ-UHFFFAOYSA-N 0 1 311.360 3.206 20 30 DGEDMN CSc1cc(F)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001630834727 1194307130 /nfs/dbraw/zinc/30/71/30/1194307130.db2.gz GUEJNCUCVILOAW-UHFFFAOYSA-N 0 1 302.330 3.377 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)CCc1cc(C)[nH]n1 ZINC001630879263 1194309394 /nfs/dbraw/zinc/30/93/94/1194309394.db2.gz YTGGSGVRLNSDNR-UHFFFAOYSA-N 0 1 301.365 3.005 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3cc(Cl)cc(Cl)c3[nH]2)c[nH]1 ZINC001631012280 1194314522 /nfs/dbraw/zinc/31/45/22/1194314522.db2.gz NEXBDQRGDCWKDF-UHFFFAOYSA-N 0 1 320.139 3.322 20 30 DGEDMN C=CC[C@@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccncc1 ZINC001633820773 1194457975 /nfs/dbraw/zinc/45/79/75/1194457975.db2.gz XDRHBXMPAPLDJD-CQSZACIVSA-N 0 1 302.761 3.488 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001634168458 1194477978 /nfs/dbraw/zinc/47/79/78/1194477978.db2.gz VGLCQLOVJNKBMG-KRWDZBQOSA-N 0 1 316.445 3.396 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4ccsc4[nH]3)[nH]c2c1 ZINC001634529081 1194499750 /nfs/dbraw/zinc/49/97/50/1194499750.db2.gz QORPLYXWMRBQNC-UHFFFAOYSA-N 0 1 307.338 3.230 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)c1ncc(Br)cc1O ZINC001637229093 1194658029 /nfs/dbraw/zinc/65/80/29/1194658029.db2.gz HRKPIBGDWPXQGI-SECBINFHSA-N 0 1 313.195 3.024 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC001637384202 1194666859 /nfs/dbraw/zinc/66/68/59/1194666859.db2.gz MTKAQGPHNPJUNC-LLVKDONJSA-N 0 1 305.341 3.113 20 30 DGEDMN C#CCOCCN1CCC[C@H]1c1cccc(Br)c1 ZINC001641540753 1194859008 /nfs/dbraw/zinc/85/90/08/1194859008.db2.gz TYFLQMBCFLELFY-HNNXBMFYSA-N 0 1 308.219 3.236 20 30 DGEDMN C#CCCCCCCN1CCN(C(=O)c2cccc(F)c2)CC1 ZINC001641754899 1194869690 /nfs/dbraw/zinc/86/96/90/1194869690.db2.gz FMHJQOOSVCETRN-UHFFFAOYSA-N 0 1 316.420 3.167 20 30 DGEDMN CCCCCc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)n[nH]1 ZINC001641796763 1194871678 /nfs/dbraw/zinc/87/16/78/1194871678.db2.gz MIEHJYUWDVWDQU-UHFFFAOYSA-N 0 1 322.372 3.143 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2[nH]nc3c2C[C@H](C)CC3)cc1 ZINC001641797625 1194872204 /nfs/dbraw/zinc/87/22/04/1194872204.db2.gz GUPUUMLUDVXLIA-SJKOYZFVSA-N 0 1 322.412 3.092 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)OCc1ccccc1 ZINC001641935856 1194880269 /nfs/dbraw/zinc/88/02/69/1194880269.db2.gz ZYMSPDWILJDQSJ-UHFFFAOYSA-N 0 1 302.418 3.382 20 30 DGEDMN COC[C@@H](NCc1ccc(OCC#N)cc1)c1ccc(F)cc1 ZINC001642433019 1194910678 /nfs/dbraw/zinc/91/06/78/1194910678.db2.gz CQEAZCBCSRKWQE-GOSISDBHSA-N 0 1 314.360 3.205 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)Cc1[nH]nc2ccccc21 ZINC001642540255 1194918490 /nfs/dbraw/zinc/91/84/90/1194918490.db2.gz INMJOZHXMLWWMI-MRXNPFEDSA-N 0 1 319.408 3.409 20 30 DGEDMN C[C@@H](CSc1cccc(Cl)c1C#N)CN1CCOCC1 ZINC001643815857 1195056891 /nfs/dbraw/zinc/05/68/91/1195056891.db2.gz HOBGJBUNMZCTIE-GFCCVEGCSA-N 0 1 310.850 3.272 20 30 DGEDMN CC(C)c1cccc(CN2CCN(c3ccc(C#N)nc3)CC2)c1 ZINC001644412164 1195107405 /nfs/dbraw/zinc/10/74/05/1195107405.db2.gz NMUMHHWIASIHDW-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C#CCN(CC(=O)NCCc1c(C)cc(C)cc1C)C(C)(C)C ZINC001644574817 1195117845 /nfs/dbraw/zinc/11/78/45/1195117845.db2.gz PHWCHZSQLHYFIL-UHFFFAOYSA-N 0 1 314.473 3.004 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001644706971 1195128335 /nfs/dbraw/zinc/12/83/35/1195128335.db2.gz XSCSAIFXVWHPBR-AOIWGVFYSA-N 0 1 302.462 3.374 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)CN(C)CCc1ccccc1 ZINC001644914524 1195142119 /nfs/dbraw/zinc/14/21/19/1195142119.db2.gz WGVMOECBOUQPCZ-UHFFFAOYSA-N 0 1 302.462 3.270 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(-c3ccccc3C#N)cc2)CC[N@@H+]1C ZINC001645163216 1195162845 /nfs/dbraw/zinc/16/28/45/1195162845.db2.gz LFPLOWUISRTQJV-MRXNPFEDSA-N 0 1 305.425 3.361 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCCC[C@@H]2c2cc(C)[nH]n2)cc1 ZINC001645509910 1195189865 /nfs/dbraw/zinc/18/98/65/1195189865.db2.gz LAOXXNLNXGXQEX-LJQANCHMSA-N 0 1 321.424 3.386 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2ccc3cncn3c2)cc1 ZINC001645632291 1195195869 /nfs/dbraw/zinc/19/58/69/1195195869.db2.gz LOHROLCCFHKBQH-UHFFFAOYSA-N 0 1 307.353 3.080 20 30 DGEDMN CN(Cc1cccc(C#N)c1F)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001646080413 1195213053 /nfs/dbraw/zinc/21/30/53/1195213053.db2.gz JFLANLQEYJBDQS-QGZVFWFLSA-N 0 1 321.359 3.037 20 30 DGEDMN C[C@H]1CN([C@@H](C#N)c2ccccc2)CCN1Cc1ccccc1 ZINC001646164949 1195215782 /nfs/dbraw/zinc/21/57/82/1195215782.db2.gz MYGPVYXEQMSRJW-PXNSSMCTSA-N 0 1 305.425 3.458 20 30 DGEDMN C#C[C@H](NCc1ccc(C(F)(F)F)nc1N(C)C)C(C)(C)C ZINC001647043193 1195243781 /nfs/dbraw/zinc/24/37/81/1195243781.db2.gz RKZUYVLAUSVMFZ-LBPRGKRZSA-N 0 1 313.367 3.304 20 30 DGEDMN Cc1cccc(Cl)c1CC(=O)NOc1cccc(C#N)c1 ZINC001648729340 1195326081 /nfs/dbraw/zinc/32/60/81/1195326081.db2.gz IYEBANPHERUHJH-UHFFFAOYSA-N 0 1 300.745 3.173 20 30 DGEDMN N#Cc1ccc(Oc2ccc(Oc3nccnc3CN)cc2)cc1 ZINC001650813460 1195385071 /nfs/dbraw/zinc/38/50/71/1195385071.db2.gz DPAWEEARLFSFDM-UHFFFAOYSA-N 0 1 318.336 3.392 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(Cl)c(O)c1)c1cccc(C#N)c1 ZINC001651926687 1195524398 /nfs/dbraw/zinc/52/43/98/1195524398.db2.gz YMDIJMZYHPWMSY-SNVBAGLBSA-N 0 1 300.745 3.408 20 30 DGEDMN CCC#C[C@@H](C)N1CCCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001652344822 1195585350 /nfs/dbraw/zinc/58/53/50/1195585350.db2.gz OLNOEQIQWSPNTL-OAHLLOKOSA-N 0 1 318.848 3.290 20 30 DGEDMN CC[C@](C)(NC[C@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001652830628 1195657168 /nfs/dbraw/zinc/65/71/68/1195657168.db2.gz QDLQZICNXQRZAL-RDJZCZTQSA-N 0 1 315.442 3.272 20 30 DGEDMN CC(C)N1C(=O)CN(CCCCC2(C#N)CCC2)CC1(C)C ZINC001653479342 1195727980 /nfs/dbraw/zinc/72/79/80/1195727980.db2.gz SPWYKVNLVGURRW-UHFFFAOYSA-N 0 1 305.466 3.182 20 30 DGEDMN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@@H](O)c1cccc(C#N)c1 ZINC001654113381 1195776179 /nfs/dbraw/zinc/77/61/79/1195776179.db2.gz PLPGQQOBZOLXKR-BYCMXARLSA-N 0 1 312.335 3.254 20 30 DGEDMN Cc1cc(C(=O)Nc2nc(Cl)ccc2O)cc(C)c1C#N ZINC001654500144 1195809980 /nfs/dbraw/zinc/80/99/80/1195809980.db2.gz KWPRPNKEDSJXGV-UHFFFAOYSA-N 0 1 301.733 3.181 20 30 DGEDMN Cc1cc(C)c(CN2CCN(c3ccc(C#N)cc3)CC2)c(C)n1 ZINC001654540723 1195814635 /nfs/dbraw/zinc/81/46/35/1195814635.db2.gz JAPNHQZFUNNSOM-UHFFFAOYSA-N 0 1 320.440 3.201 20 30 DGEDMN Cc1c(F)cccc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001654861696 1195849159 /nfs/dbraw/zinc/84/91/59/1195849159.db2.gz FZAOKWIKZVJDER-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(CCc3ccccc3)CC2)c1 ZINC001654932198 1195855808 /nfs/dbraw/zinc/85/58/08/1195855808.db2.gz RFTAEHIVUGBOMN-UHFFFAOYSA-N 0 1 323.415 3.371 20 30 DGEDMN COC(=O)[C@H](CC(C)C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001654932775 1195856028 /nfs/dbraw/zinc/85/60/28/1195856028.db2.gz PBMIJQDYURHGEZ-SFHVURJKSA-N 0 1 314.429 3.325 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)C1 ZINC001655562223 1195931644 /nfs/dbraw/zinc/93/16/44/1195931644.db2.gz AFLVJVGHXXGOQZ-LLVKDONJSA-N 0 1 312.291 3.459 20 30 DGEDMN CN(Cc1cc(C#N)cs1)Cc1cc2ccccc2n(C)c1=O ZINC001655727573 1195957436 /nfs/dbraw/zinc/95/74/36/1195957436.db2.gz XCDLDUOADPFBOW-UHFFFAOYSA-N 0 1 323.421 3.104 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)N(CCC)CC(F)(F)F ZINC001655793864 1195963647 /nfs/dbraw/zinc/96/36/47/1195963647.db2.gz CWUFOKRXMHLGGQ-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCN1CC[C@H](N2CC=C(c3cccc(C)c3C)CC2)C1=O ZINC001655841278 1195968167 /nfs/dbraw/zinc/96/81/67/1195968167.db2.gz OJJTXGRSZVVXSY-IBGZPJMESA-N 0 1 310.441 3.179 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccccc1F ZINC001656097240 1195999897 /nfs/dbraw/zinc/99/98/97/1195999897.db2.gz IUQLSBDGURPZBV-GJZGRUSLSA-N 0 1 304.409 3.038 20 30 DGEDMN C[C@@H](CSc1ccc(Cl)cc1C#N)CN1CCOCC1 ZINC001656331513 1196030981 /nfs/dbraw/zinc/03/09/81/1196030981.db2.gz FNBPMVMFXNDUQR-GFCCVEGCSA-N 0 1 310.850 3.272 20 30 DGEDMN CC#CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)/C=C(/C)CC)C1 ZINC001656665378 1196060033 /nfs/dbraw/zinc/06/00/33/1196060033.db2.gz VCDYKZNYLCOZGC-SBCIKOMTSA-N 0 1 324.468 3.340 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)c2ccccc2C)[C@H]1CC ZINC001656741205 1196067450 /nfs/dbraw/zinc/06/74/50/1196067450.db2.gz GWTRXERUKRKTMZ-YTQUADARSA-N 0 1 312.457 3.091 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)[C@@H]1C ZINC001656988043 1196090150 /nfs/dbraw/zinc/09/01/50/1196090150.db2.gz WUHUSVXRXBKBME-PBHICJAKSA-N 0 1 318.436 3.425 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc2ccccc21 ZINC001657079991 1196101592 /nfs/dbraw/zinc/10/15/92/1196101592.db2.gz YPZCZZFYBZMLGK-SFHVURJKSA-N 0 1 320.436 3.400 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)CC(C)C)CC(C)(C)C1 ZINC001657091261 1196104466 /nfs/dbraw/zinc/10/44/66/1196104466.db2.gz ITZNXHKQQNVPGA-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@H](COC)NCc1cnc(-c2c(F)cccc2F)s1 ZINC001657209866 1196118244 /nfs/dbraw/zinc/11/82/44/1196118244.db2.gz LOOZNDMMYUXRBM-SNVBAGLBSA-N 0 1 310.369 3.379 20 30 DGEDMN COc1ccc(CNCc2cccc(Cl)c2N)cc1C#N ZINC001657888561 1196190682 /nfs/dbraw/zinc/19/06/82/1196190682.db2.gz LXYSGLLVZCRCOM-UHFFFAOYSA-N 0 1 301.777 3.092 20 30 DGEDMN C#C[C@H](C)[N@@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC001657930403 1196194530 /nfs/dbraw/zinc/19/45/30/1196194530.db2.gz BLLNVUKTEVDGGW-QMMMGPOBSA-N 0 1 302.599 3.262 20 30 DGEDMN C#C[C@@H](C)[N@@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC001657930401 1196195050 /nfs/dbraw/zinc/19/50/50/1196195050.db2.gz BLLNVUKTEVDGGW-MRVPVSSYSA-N 0 1 302.599 3.262 20 30 DGEDMN N#Cc1ccc(CNCc2cc3ccc(F)cc3[nH]c2=O)s1 ZINC001658150558 1196218851 /nfs/dbraw/zinc/21/88/51/1196218851.db2.gz XIPGLHBMRBCQFA-UHFFFAOYSA-N 0 1 313.357 3.302 20 30 DGEDMN C#C[C@@H](NC[C@@H](OC)C1CC1)c1ccc(Br)cc1 ZINC001658193910 1196224315 /nfs/dbraw/zinc/22/43/15/1196224315.db2.gz WGTNQVRDIMZKNA-HUUCEWRRSA-N 0 1 308.219 3.138 20 30 DGEDMN CN(Cc1cc(O)cc(Cl)c1)C[C@@H](O)c1cccc(C#N)c1 ZINC001658506892 1196267373 /nfs/dbraw/zinc/26/73/73/1196267373.db2.gz QFZSYDIXZDPUAN-QGZVFWFLSA-N 0 1 316.788 3.083 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@](C)(O)C(F)(F)C(F)(F)F ZINC001658571914 1196274314 /nfs/dbraw/zinc/27/43/14/1196274314.db2.gz NKDQVXVPGAHEJL-ZDUSSCGKSA-N 0 1 321.289 3.070 20 30 DGEDMN C#C[C@@H](NCc1c2cc(C)ccc2[nH]c1C(=O)OCC)C(C)C ZINC001659148659 1196353733 /nfs/dbraw/zinc/35/37/33/1196353733.db2.gz CXNAEZLVVHOJQR-MRXNPFEDSA-N 0 1 312.413 3.400 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(OC)c1O)c1ccc(F)cc1 ZINC001659868947 1196423949 /nfs/dbraw/zinc/42/39/49/1196423949.db2.gz REBIKXGVOLHXDI-HNNXBMFYSA-N 0 1 315.344 3.013 20 30 DGEDMN CCC(=CC(=O)NCCCN(C)Cc1ccccc1C#N)CC ZINC001660099751 1196444625 /nfs/dbraw/zinc/44/46/25/1196444625.db2.gz YERWLSOQPFCBLW-UHFFFAOYSA-N 0 1 313.445 3.243 20 30 DGEDMN C#CC[C@@H]1CCN(CC(=O)N[C@@H](c2cccs2)C(C)(C)C)C1 ZINC001660118837 1196448404 /nfs/dbraw/zinc/44/84/04/1196448404.db2.gz RTUJVJGCXFKQIY-PBHICJAKSA-N 0 1 318.486 3.297 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001660260035 1196463821 /nfs/dbraw/zinc/46/38/21/1196463821.db2.gz UWOPXPGDVVYCGP-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN C(#Cc1ccccc1)CN[C@H]1C[C@@]2(CCOC2)Oc2ccccc21 ZINC001660269447 1196464300 /nfs/dbraw/zinc/46/43/00/1196464300.db2.gz BRZTYCJEIINRNC-PZJWPPBQSA-N 0 1 319.404 3.311 20 30 DGEDMN CCC[C@H]1CCCN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC001660495054 1196490986 /nfs/dbraw/zinc/49/09/86/1196490986.db2.gz OKWHRPWIHWKRGJ-AWEZNQCLSA-N 0 1 305.809 3.415 20 30 DGEDMN Cc1cc(CN2CCN(c3cccc(F)c3)CC2)ccc1C#N ZINC001660513250 1196492917 /nfs/dbraw/zinc/49/29/17/1196492917.db2.gz KCRDYMYLMOKNMK-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(CC)Cc1nc(C)c(C)s1 ZINC001660571432 1196498014 /nfs/dbraw/zinc/49/80/14/1196498014.db2.gz IBRPCASUFYLWGY-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN CC/C=C(/C)C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001660655897 1196509661 /nfs/dbraw/zinc/50/96/61/1196509661.db2.gz TWGRAWRYBUWPPD-NVMNQCDNSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001661152461 1196573122 /nfs/dbraw/zinc/57/31/22/1196573122.db2.gz QQCOHOMUXFBZJE-OTAKNEKHSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1CCC(C2CC2)CC1)C1CC1 ZINC001661188077 1196577727 /nfs/dbraw/zinc/57/77/27/1196577727.db2.gz WVVQYSCASKPSRL-PREGVCBESA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccs1 ZINC001661239816 1196586992 /nfs/dbraw/zinc/58/69/92/1196586992.db2.gz ODMYDYVGHIFJGD-SOUVJXGZSA-N 0 1 304.459 3.392 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNc1cnn(-c2ccncc2)c1 ZINC001661273635 1196591108 /nfs/dbraw/zinc/59/11/08/1196591108.db2.gz VDDWPQMXDRFKJC-UHFFFAOYSA-N 0 1 303.369 3.368 20 30 DGEDMN CCCCC(=O)N1CC[C@H](NCC#Cc2cccc(Cl)c2)C1 ZINC001661285307 1196591875 /nfs/dbraw/zinc/59/18/75/1196591875.db2.gz YTHOGSVXVNUHEH-KRWDZBQOSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001661352885 1196597284 /nfs/dbraw/zinc/59/72/84/1196597284.db2.gz OOOWROFVAGFNLH-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc2c(c1)C[C@H](C)O2 ZINC001661468261 1196608899 /nfs/dbraw/zinc/60/88/99/1196608899.db2.gz LMVUHLSVCMGWFO-HNNXBMFYSA-N 0 1 307.393 3.312 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001661487032 1196611053 /nfs/dbraw/zinc/61/10/53/1196611053.db2.gz MJALALGDPOTMCU-WBAXXEDZSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)c2cnn(C)c2)cc1Cl ZINC001661546679 1196617612 /nfs/dbraw/zinc/61/76/12/1196617612.db2.gz ZDXCHCCJMXSDSJ-GFCCVEGCSA-N 0 1 305.809 3.489 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2c(Cl)cccc2OC)C1 ZINC001661577634 1196620765 /nfs/dbraw/zinc/62/07/65/1196620765.db2.gz OWRNSJRGAHQXGX-BETUJISGSA-N 0 1 322.836 3.052 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1ccsc1 ZINC001661900685 1196661103 /nfs/dbraw/zinc/66/11/03/1196661103.db2.gz VUWIDIBTLXBYDF-AWEZNQCLSA-N 0 1 312.866 3.014 20 30 DGEDMN CC(C)C#CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1C/C=C/Cl ZINC001661978547 1196669779 /nfs/dbraw/zinc/66/97/79/1196669779.db2.gz VLIOKDVJQXIAHQ-OEXQGVITSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@H]1CCCN(CC(=C)Cl)C1 ZINC001661988567 1196671930 /nfs/dbraw/zinc/67/19/30/1196671930.db2.gz ADGOLAIZDOSNCT-CVEARBPZSA-N 0 1 310.869 3.149 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccsc2)s1)[C@H]1CCCO1 ZINC001662265993 1196701122 /nfs/dbraw/zinc/70/11/22/1196701122.db2.gz GDXKYHPHFVDAER-ZIAGYGMSSA-N 0 1 304.440 3.142 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2ccccc2F)CC1 ZINC001662328312 1196707940 /nfs/dbraw/zinc/70/79/40/1196707940.db2.gz ABLVBUNCRXTERR-CQSZACIVSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001662419562 1196719936 /nfs/dbraw/zinc/71/99/36/1196719936.db2.gz HHPWCCVENVFPQV-NEPJUHHUSA-N 0 1 315.244 3.290 20 30 DGEDMN CCC(C)(CC)C(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001662420410 1196719999 /nfs/dbraw/zinc/71/99/99/1196719999.db2.gz QYWBFGRDVUAAPK-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2cc(C)on2)C1 ZINC001662772573 1196749775 /nfs/dbraw/zinc/74/97/75/1196749775.db2.gz IINMJKMHEHABBU-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001662863309 1196764024 /nfs/dbraw/zinc/76/40/24/1196764024.db2.gz UPDPKULXHVKVDF-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCC(=O)NCCC[C@@H]1CCCN1Cc1csc(C)n1 ZINC001663036636 1196785922 /nfs/dbraw/zinc/78/59/22/1196785922.db2.gz PXXLJMWCOWZSOB-MRXNPFEDSA-N 0 1 321.490 3.279 20 30 DGEDMN CCCC(C)(C)C(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001663263020 1196822792 /nfs/dbraw/zinc/82/27/92/1196822792.db2.gz UPUZSYHTMWKQDF-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1[C@@H](C)c1cccc(F)c1 ZINC001663493631 1196886176 /nfs/dbraw/zinc/88/61/76/1196886176.db2.gz RZRGKUSWEVNADS-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1[C@@H](C)c1cccc(F)c1 ZINC001663493631 1196886181 /nfs/dbraw/zinc/88/61/81/1196886181.db2.gz RZRGKUSWEVNADS-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN CC/C=C(\C)C(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001663653176 1196921685 /nfs/dbraw/zinc/92/16/85/1196921685.db2.gz NQESVNMEMQJTIW-VCHYOVAHSA-N 0 1 312.457 3.223 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@@H](NC(=O)c3ccccc3)C2)cc1 ZINC001663776668 1196944227 /nfs/dbraw/zinc/94/42/27/1196944227.db2.gz TXAPOMREAUHMJQ-HXUWFJFHSA-N 0 1 318.420 3.062 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](C)c1cccc(Cl)c1)C1CC1 ZINC001663777593 1196945006 /nfs/dbraw/zinc/94/50/06/1196945006.db2.gz PRECPFISYOCDFR-AWEZNQCLSA-N 0 1 318.848 3.047 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C#N)cc3)CC2)cc1C ZINC001664074446 1196969255 /nfs/dbraw/zinc/96/92/55/1196969255.db2.gz CABHROVDISKDSN-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C)c(Cl)cc1C ZINC001664111731 1196972513 /nfs/dbraw/zinc/97/25/13/1196972513.db2.gz SDWHVBFVSIXFMZ-UHFFFAOYSA-N 0 1 315.244 3.371 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN[C@H](C)c1cc(F)ccc1F ZINC001664116258 1196972859 /nfs/dbraw/zinc/97/28/59/1196972859.db2.gz RTGBVRXSHIGYHY-GFCCVEGCSA-N 0 1 310.388 3.286 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001664360211 1196991800 /nfs/dbraw/zinc/99/18/00/1196991800.db2.gz AGIWQLZUNVPEMH-UHFFFAOYSA-N 0 1 319.836 3.199 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(CC)ccn1)C(C)C ZINC001664510746 1197008650 /nfs/dbraw/zinc/00/86/50/1197008650.db2.gz WGCKDCDLYNTAAD-UHFFFAOYSA-N 0 1 323.868 3.227 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)c(F)cc2F)C1 ZINC001664673465 1197031691 /nfs/dbraw/zinc/03/16/91/1197031691.db2.gz LCMGFVQRTRPGMR-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cc(C)ccc2C)C1 ZINC001664687917 1197036527 /nfs/dbraw/zinc/03/65/27/1197036527.db2.gz ZBPVRCBQYZXQNQ-SFHVURJKSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2(F)CCCCC2)C1 ZINC001664750669 1197050115 /nfs/dbraw/zinc/05/01/15/1197050115.db2.gz RSDZPILRUKSEMH-AWEZNQCLSA-N 0 1 316.848 3.240 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(C)cc2C)C1 ZINC001664755808 1197051880 /nfs/dbraw/zinc/05/18/80/1197051880.db2.gz YROWXUNHXKHYDH-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001664760480 1197054345 /nfs/dbraw/zinc/05/43/45/1197054345.db2.gz UBHMLQKDYQZSDO-ODZFXCKXSA-N 0 1 324.896 3.393 20 30 DGEDMN C[C@H](CCC#N)CN[C@@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001664767132 1197055490 /nfs/dbraw/zinc/05/54/90/1197055490.db2.gz WIARBCIDKRZULC-NEPJUHHUSA-N 0 1 319.396 3.064 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2nccc3ccsc32)C1 ZINC001665148544 1197103115 /nfs/dbraw/zinc/10/31/15/1197103115.db2.gz UKSURNAXKNVXRJ-LBPRGKRZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001665216459 1197109942 /nfs/dbraw/zinc/10/99/42/1197109942.db2.gz IBCXFHCHTPKCLX-VXGBXAGGSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001665354516 1197127120 /nfs/dbraw/zinc/12/71/20/1197127120.db2.gz ILFNMKTZIGJWKY-UHFFFAOYSA-N 0 1 312.457 3.041 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2CCCc1ccsc1 ZINC001665410733 1197133882 /nfs/dbraw/zinc/13/38/82/1197133882.db2.gz ZXHNSELCNDWINC-IRXDYDNUSA-N 0 1 318.486 3.322 20 30 DGEDMN CNc1ccccc1CN1CCN(c2ccc(F)cc2C#N)CC1 ZINC001665479505 1197141394 /nfs/dbraw/zinc/14/13/94/1197141394.db2.gz IGAXDNFPHPLHAK-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@H]1CC[N@@H+](C/C=C/c2ccccc2)C1 ZINC001665631674 1197161165 /nfs/dbraw/zinc/16/11/65/1197161165.db2.gz MAKJQGFUCNDLBY-BLRBJFNZSA-N 0 1 324.468 3.282 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001665631674 1197161169 /nfs/dbraw/zinc/16/11/69/1197161169.db2.gz MAKJQGFUCNDLBY-BLRBJFNZSA-N 0 1 324.468 3.282 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@@H]1CC[N@@H+](C/C=C\c2ccccc2)C1 ZINC001665631676 1197161607 /nfs/dbraw/zinc/16/16/07/1197161607.db2.gz MAKJQGFUCNDLBY-SCEWNMGZSA-N 0 1 324.468 3.282 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001665631676 1197161610 /nfs/dbraw/zinc/16/16/10/1197161610.db2.gz MAKJQGFUCNDLBY-SCEWNMGZSA-N 0 1 324.468 3.282 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001665670232 1197167929 /nfs/dbraw/zinc/16/79/29/1197167929.db2.gz DBQMLZAKNUTYNT-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN N#CC1(c2ccccc2)CC(NCc2cccc3c2OCC3)C1 ZINC001665734574 1197183607 /nfs/dbraw/zinc/18/36/07/1197183607.db2.gz DDNPIVFWYZHPQW-UHFFFAOYSA-N 0 1 304.393 3.335 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCCc2ccccc21 ZINC001665808449 1197200119 /nfs/dbraw/zinc/20/01/19/1197200119.db2.gz CSWMWXYSPZMWGG-WBVHZDCISA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1nc(C)cs1 ZINC001665827684 1197203030 /nfs/dbraw/zinc/20/30/30/1197203030.db2.gz ANCGXOQRISWJCX-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(Cl)cs1 ZINC001665856980 1197208097 /nfs/dbraw/zinc/20/80/97/1197208097.db2.gz YMFUVGMALKPKCG-SNVBAGLBSA-N 0 1 307.246 3.252 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(C2CC2)c1 ZINC001665892790 1197212641 /nfs/dbraw/zinc/21/26/41/1197212641.db2.gz HAXGYQMIRMFLAG-INIZCTEOSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](N[C@@H](C)c2ncc(C)o2)CC1 ZINC001666287051 1197248744 /nfs/dbraw/zinc/24/87/44/1197248744.db2.gz MXOXRHQIFMODTO-JYJNAYRXSA-N 0 1 319.449 3.417 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001666360178 1197255576 /nfs/dbraw/zinc/25/55/76/1197255576.db2.gz YKCQOHVDNABXTC-FVQHAEBGSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H](C)CC)CC1 ZINC001666364248 1197255980 /nfs/dbraw/zinc/25/59/80/1197255980.db2.gz LLYAURWYICVDLE-ZDUSSCGKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CCC2(F)F)CC1 ZINC001666368304 1197256454 /nfs/dbraw/zinc/25/64/54/1197256454.db2.gz ULXKQHHWKFZBGT-CYBMUJFWSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(CF)cc1 ZINC001666486470 1197262450 /nfs/dbraw/zinc/26/24/50/1197262450.db2.gz DDJVWUJMUGMZID-OAHLLOKOSA-N 0 1 310.800 3.103 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CN(CC2CCCCCC2)CCO1 ZINC001666720066 1197279761 /nfs/dbraw/zinc/27/97/61/1197279761.db2.gz NZFQYNJOSSHDFW-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)C(CC)CC)CC2 ZINC001666825891 1197288030 /nfs/dbraw/zinc/28/80/30/1197288030.db2.gz WVMWBJVHHWOWPO-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCCCCCCC)CC2 ZINC001666835377 1197288940 /nfs/dbraw/zinc/28/89/40/1197288940.db2.gz YMLIVDVLFOXXDA-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)CC(C)(C)CC ZINC001666907511 1197297354 /nfs/dbraw/zinc/29/73/54/1197297354.db2.gz DVYOXZUDMWZMOR-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(Cl)ccc1C ZINC001667018603 1197308641 /nfs/dbraw/zinc/30/86/41/1197308641.db2.gz RMGCJMTYVUFCNH-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001667060013 1197312575 /nfs/dbraw/zinc/31/25/75/1197312575.db2.gz YRTUYTUENOSTHI-ZBFHGGJFSA-N 0 1 322.880 3.363 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CCNCc1ccon1 ZINC001667278796 1197334792 /nfs/dbraw/zinc/33/47/92/1197334792.db2.gz DXZWPIOTKLPMFO-WBVHZDCISA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(C)c1Cl ZINC001669095757 1197440064 /nfs/dbraw/zinc/44/00/64/1197440064.db2.gz GHAXITQWWQJZQM-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN CCC[C@](C)(CC)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001669434442 1197454633 /nfs/dbraw/zinc/45/46/33/1197454633.db2.gz OXZYJESWKIJGEJ-BEFAXECRSA-N 0 1 315.461 3.369 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](c1ccccc1)C1CC1 ZINC001669614674 1197465096 /nfs/dbraw/zinc/46/50/96/1197465096.db2.gz YEBLEXFNSGYJJW-XJKSGUPXSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001669678498 1197470167 /nfs/dbraw/zinc/47/01/67/1197470167.db2.gz PNTGYYONTDORRE-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001669710510 1197471997 /nfs/dbraw/zinc/47/19/97/1197471997.db2.gz BRYFPHJRTSGTDD-STQMWFEESA-N 0 1 320.820 3.383 20 30 DGEDMN C=CC[N@H+](C)C[C@H](C)NC(=O)c1cc2cccc(C)c2s1 ZINC001669833043 1197488843 /nfs/dbraw/zinc/48/88/43/1197488843.db2.gz MQRYXTBXXWWCSE-ZDUSSCGKSA-N 0 1 302.443 3.446 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CC(C1CCC1)C1CCC1 ZINC001669883012 1197498181 /nfs/dbraw/zinc/49/81/81/1197498181.db2.gz UZQQKOHJLBNPAH-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001671853815 1197575469 /nfs/dbraw/zinc/57/54/69/1197575469.db2.gz GFMYJEJIMMGBJD-LBPRGKRZSA-N 0 1 322.827 3.249 20 30 DGEDMN CCC(C)(C)c1ccc(NC(=O)NCC#CCN(C)C)cc1 ZINC001671523439 1197545367 /nfs/dbraw/zinc/54/53/67/1197545367.db2.gz LXZIYBFFPIKMQM-UHFFFAOYSA-N 0 1 301.434 3.061 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cccs2)CC1 ZINC001671820372 1197572259 /nfs/dbraw/zinc/57/22/59/1197572259.db2.gz OCEPOADNBPCWDG-UHFFFAOYSA-N 0 1 312.866 3.333 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H](C)C2CC2)CC1 ZINC001671867674 1197576709 /nfs/dbraw/zinc/57/67/09/1197576709.db2.gz HUOODQQBWUNOHO-CYBMUJFWSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1CC[C@H](C)C1 ZINC001672930005 1197629250 /nfs/dbraw/zinc/62/92/50/1197629250.db2.gz YRHKOEBRBGMHLC-IRXDYDNUSA-N 0 1 312.457 3.194 20 30 DGEDMN CC/C(C)=C/C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001673164815 1197641303 /nfs/dbraw/zinc/64/13/03/1197641303.db2.gz ZYJYJTOXVACRQP-BMRADRMJSA-N 0 1 310.441 3.023 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CCC1CCC1 ZINC001673633995 1197681769 /nfs/dbraw/zinc/68/17/69/1197681769.db2.gz MHYIUEQJJKBOGY-FQEVSTJZSA-N 0 1 324.468 3.133 20 30 DGEDMN C[N@H+](Cc1nc(=O)c2sccc2[n-]1)CC1CCC(C#N)CC1 ZINC001771948196 1197696665 /nfs/dbraw/zinc/69/66/65/1197696665.db2.gz GOBSASWEWOTBOO-UHFFFAOYSA-N 0 1 316.430 3.159 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2cc(F)ccc2F)C1 ZINC001673871920 1197700027 /nfs/dbraw/zinc/70/00/27/1197700027.db2.gz JSGGWMBOQQMDSC-SUMWQHHRSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN(Cc2ccccc2F)[C@@H](C)C1 ZINC001674126395 1197735197 /nfs/dbraw/zinc/73/51/97/1197735197.db2.gz DXCOJVUTAHLJHR-DOTOQJQBSA-N 0 1 316.420 3.098 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCN(CCF)[C@@H](C)C2)CCCCC1 ZINC001674146317 1197739319 /nfs/dbraw/zinc/73/93/19/1197739319.db2.gz CKFMVUHCMIUMMU-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)C[C@@H]1C ZINC001674155980 1197740639 /nfs/dbraw/zinc/74/06/39/1197740639.db2.gz RYKVPGOVCJFLCC-GGVAOPBYSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C(C)CCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(F)F ZINC001674266766 1197753371 /nfs/dbraw/zinc/75/33/71/1197753371.db2.gz JNSIOXKFQSJLES-MRXNPFEDSA-N 0 1 322.399 3.323 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CC[C@H](C)CC1 ZINC001674429422 1197771665 /nfs/dbraw/zinc/77/16/65/1197771665.db2.gz IFXLIWIFPFQXNG-XNFNUYLZSA-N 0 1 324.468 3.208 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CN(C)C[C@H](F)CC)CCC1 ZINC001674481278 1197784575 /nfs/dbraw/zinc/78/45/75/1197784575.db2.gz RYOGFYUGWWTNCH-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccncc1Cl ZINC001674714720 1197842932 /nfs/dbraw/zinc/84/29/32/1197842932.db2.gz CLRHMHDZASLBKY-STQMWFEESA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccn(C(C)C)c1C ZINC001674715561 1197845863 /nfs/dbraw/zinc/84/58/63/1197845863.db2.gz IMHUMTDBRRIZNE-CHWSQXEVSA-N 0 1 311.857 3.226 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccncc1Cl ZINC001674733882 1197854344 /nfs/dbraw/zinc/85/43/44/1197854344.db2.gz DXZUKVVHEXLFJJ-QWHCGFSZSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(CC(C)C)c[nH]1 ZINC001674755020 1197859307 /nfs/dbraw/zinc/85/93/07/1197859307.db2.gz PKXSTVAKWXCIJM-STQMWFEESA-N 0 1 311.857 3.062 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1ccccc1Cl ZINC001674766464 1197865017 /nfs/dbraw/zinc/86/50/17/1197865017.db2.gz ODSXGJZDBABILI-NWDGAFQWSA-N 0 1 315.244 3.118 20 30 DGEDMN C=CCCC(=O)N(C)C1CCC(NCc2nc(C)oc2C)CC1 ZINC001674787746 1197874211 /nfs/dbraw/zinc/87/42/11/1197874211.db2.gz KADALPLZCIQIJO-UHFFFAOYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)Cc1cccc(C(C)C)n1 ZINC001674975996 1197942298 /nfs/dbraw/zinc/94/22/98/1197942298.db2.gz NZDAIHZJAPJKCF-HNNXBMFYSA-N 0 1 317.477 3.354 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001675332037 1198046457 /nfs/dbraw/zinc/04/64/57/1198046457.db2.gz JBTNBAAADFIDHJ-CKDIWJPDSA-N 0 1 312.457 3.349 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C3(CC=C)CCCCC3)C2)C1 ZINC001675677068 1198113202 /nfs/dbraw/zinc/11/32/02/1198113202.db2.gz BRMVOEWINHXVSY-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H](NCc2sccc2Cl)C[C@H]1C ZINC001676722476 1198373420 /nfs/dbraw/zinc/37/34/20/1198373420.db2.gz KISRADDTTNIXLA-OLZOCXBDSA-N 0 1 324.877 3.284 20 30 DGEDMN C=CCCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1cncs1)CC2 ZINC001676816602 1198398786 /nfs/dbraw/zinc/39/87/86/1198398786.db2.gz SSYZPYIQYJESJZ-DOTOQJQBSA-N 0 1 319.474 3.113 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCC[N@H+](CCOCC)[C@@H]2C)CCCC1 ZINC001676898840 1198427884 /nfs/dbraw/zinc/42/78/84/1198427884.db2.gz SJIPJGGXWPSUPO-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)N(CC[N@@H+](C)C[C@H]1CCCCO1)C(C)C ZINC001677201721 1198485159 /nfs/dbraw/zinc/48/51/59/1198485159.db2.gz OYROJDLMWDOBOZ-QGZVFWFLSA-N 0 1 310.482 3.081 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CC(C)(C)CC(F)F)C(C)C ZINC001677205447 1198487811 /nfs/dbraw/zinc/48/78/11/1198487811.db2.gz UPEJXVNQGRIVAI-UHFFFAOYSA-N 0 1 316.436 3.250 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(Cl)ccc1C)C(C)C ZINC001677215233 1198491593 /nfs/dbraw/zinc/49/15/93/1198491593.db2.gz GXPJJEZCEFRXDD-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001677399302 1198528061 /nfs/dbraw/zinc/52/80/61/1198528061.db2.gz IQESNYPLHLRUFY-FLIBITNWSA-N 0 1 305.249 3.241 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001677419454 1198530922 /nfs/dbraw/zinc/53/09/22/1198530922.db2.gz KNBLNOSXERIQAP-DHZHZOJOSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C[C@@H](C)ONC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001682405255 1199159586 /nfs/dbraw/zinc/15/95/86/1199159586.db2.gz RSVUIJSQTUGMOX-KLAILNCOSA-N 0 1 323.481 3.035 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCC[N@H+](CC)CC2)CCCC1 ZINC001683823774 1199309250 /nfs/dbraw/zinc/30/92/50/1199309250.db2.gz RVCWFQFOYZCIBA-UHFFFAOYSA-N 0 1 307.482 3.250 20 30 DGEDMN C=CCN(CC=C)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001684369990 1199379948 /nfs/dbraw/zinc/37/99/48/1199379948.db2.gz OHCPXZLHYANFDI-UHFFFAOYSA-N 0 1 322.412 3.131 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(CC)C[C@@H]2CC)CCCC1 ZINC001686451598 1199565360 /nfs/dbraw/zinc/56/53/60/1199565360.db2.gz MUCPNJMDICVEJS-INIZCTEOSA-N 0 1 307.482 3.249 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(CC)C[C@H]2C)CCCC1 ZINC001687039770 1199614218 /nfs/dbraw/zinc/61/42/18/1199614218.db2.gz TWCCOZTVJVVQMO-MRXNPFEDSA-N 0 1 307.482 3.249 20 30 DGEDMN C[C@H](CC1CCCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000114618622 1199706378 /nfs/dbraw/zinc/70/63/78/1199706378.db2.gz NQYZTSRTZOHOMQ-HIFRSBDPSA-N 0 1 313.401 3.335 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001688878956 1199938969 /nfs/dbraw/zinc/93/89/69/1199938969.db2.gz SRIPIEWZRQMSSI-RBUKOAKNSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1c(C)cc(C)cc1C ZINC001754064881 1200091170 /nfs/dbraw/zinc/09/11/70/1200091170.db2.gz JJAREGZXFNJPMU-UHFFFAOYSA-N 0 1 322.880 3.345 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2ccccn2)CCCC1 ZINC001754085796 1200100093 /nfs/dbraw/zinc/10/00/93/1200100093.db2.gz LLCGDHLTFWIDLJ-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C[C@@H]1CCC[C@]1(C)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001754166084 1200120481 /nfs/dbraw/zinc/12/04/81/1200120481.db2.gz DLRYXTFMKFMBSR-RVLLMHTFSA-N 0 1 324.468 3.126 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001754165886 1200121284 /nfs/dbraw/zinc/12/12/84/1200121284.db2.gz ASLXHEQBEYGOCX-GLCUZYPYSA-N 0 1 324.468 3.126 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001754231926 1200143434 /nfs/dbraw/zinc/14/34/34/1200143434.db2.gz GRHQSANSPPGFJN-CABCVRRESA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](F)CC1CCCCC1 ZINC001754249479 1200154217 /nfs/dbraw/zinc/15/42/17/1200154217.db2.gz QAYFGMSJJMUNRK-OCCSQVGLSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CNCc2cnc(C)o2)CCCCC1 ZINC001754287299 1200173446 /nfs/dbraw/zinc/17/34/46/1200173446.db2.gz VSXVINTZQDAKGZ-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001754287879 1200173848 /nfs/dbraw/zinc/17/38/48/1200173848.db2.gz UKMPDNNVPGEIIS-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1coc2cc(C)c(C)cc12 ZINC001754319098 1200204623 /nfs/dbraw/zinc/20/46/23/1200204623.db2.gz QLMOTOKQRLEJCJ-HNNXBMFYSA-N 0 1 312.413 3.123 20 30 DGEDMN C/C=C(/C)C(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001689795811 1200284974 /nfs/dbraw/zinc/28/49/74/1200284974.db2.gz PSAFLSGSOMLIJZ-TVPGTPATSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1[C@H](C)c1cccc(OC)c1 ZINC001754485408 1200296150 /nfs/dbraw/zinc/29/61/50/1200296150.db2.gz UOUYSKBIAVPADI-NVXWUHKLSA-N 0 1 316.445 3.303 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1[C@@H](C)c1cccc(OC)c1 ZINC001754492067 1200301894 /nfs/dbraw/zinc/30/18/94/1200301894.db2.gz UZLYNEWZAHEGCP-RDJZCZTQSA-N 0 1 316.445 3.303 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001754495936 1200310260 /nfs/dbraw/zinc/31/02/60/1200310260.db2.gz BVRJIZMQIZZDDI-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001754496915 1200310806 /nfs/dbraw/zinc/31/08/06/1200310806.db2.gz YBRKTHLXXSKHJW-MOPGFXCFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCC(=O)NCC1(N[C@H](C)c2ccc(F)cc2F)CC1 ZINC001754509481 1200326861 /nfs/dbraw/zinc/32/68/61/1200326861.db2.gz KEUOMVNEXLTJGF-GFCCVEGCSA-N 0 1 308.372 3.230 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CCC2CCCCCC2)C1 ZINC001754574014 1200372100 /nfs/dbraw/zinc/37/21/00/1200372100.db2.gz FKRNWGPNDCXSLQ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN CCC1(C(=O)N(C)C2CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001690027816 1200373235 /nfs/dbraw/zinc/37/32/35/1200373235.db2.gz ZOXALIISHPXRTA-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(F)ccc2F)C1 ZINC001754576138 1200373733 /nfs/dbraw/zinc/37/37/33/1200373733.db2.gz AZTKSFHMOZXREJ-GFCCVEGCSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001754584126 1200381056 /nfs/dbraw/zinc/38/10/56/1200381056.db2.gz YQIFKQKMKXADRY-UKRRQHHQSA-N 0 1 304.434 3.189 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2ncccc2C)C1 ZINC001690044134 1200383375 /nfs/dbraw/zinc/38/33/75/1200383375.db2.gz AVKYOLKOOONSKW-SJORKVTESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001690098923 1200396207 /nfs/dbraw/zinc/39/62/07/1200396207.db2.gz DCLOFWFRPZYXBE-HNNXBMFYSA-N 0 1 312.413 3.336 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)C1CC1)C1CCN(C/C=C\Cl)CC1 ZINC001754643239 1200412220 /nfs/dbraw/zinc/41/22/20/1200412220.db2.gz PWQOAPFQGRXZSY-KHSJVXASSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccc(F)cc2)C1 ZINC001690182388 1200440289 /nfs/dbraw/zinc/44/02/89/1200440289.db2.gz SWKQGCKLQKKQGX-CQSZACIVSA-N 0 1 324.827 3.362 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](CC)SC)CC1 ZINC001754717166 1200461417 /nfs/dbraw/zinc/46/14/17/1200461417.db2.gz IVSZGJRWGYSLBX-CYBMUJFWSA-N 0 1 318.914 3.099 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)[C@@H](C)CC ZINC001754946728 1200547904 /nfs/dbraw/zinc/54/79/04/1200547904.db2.gz USNZEOMFXGUVGH-HOTGVXAUSA-N 0 1 300.446 3.050 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CCC1(C)CC1 ZINC001754954256 1200554732 /nfs/dbraw/zinc/55/47/32/1200554732.db2.gz OKPFPULFIKQEDS-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)Cc1ccccc1F ZINC001690455295 1200569662 /nfs/dbraw/zinc/56/96/62/1200569662.db2.gz RTYHWELYSFGTKT-DOTOQJQBSA-N 0 1 318.436 3.161 20 30 DGEDMN CN(CCCN(C)C(=O)CC1CCC1)Cc1ccccc1C#N ZINC001755037740 1200604149 /nfs/dbraw/zinc/60/41/49/1200604149.db2.gz XHHIHBPBDUSOMH-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCCC(=O)NC1CCC(NCc2ncc(C(C)C)o2)CC1 ZINC001690528859 1200608279 /nfs/dbraw/zinc/60/82/79/1200608279.db2.gz MMEAMHZNNBFXNW-UHFFFAOYSA-N 0 1 319.449 3.281 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001690554485 1200622900 /nfs/dbraw/zinc/62/29/00/1200622900.db2.gz CMIASJQBDGLBFC-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1cc(F)ccc1Cl ZINC001690573401 1200632097 /nfs/dbraw/zinc/63/20/97/1200632097.db2.gz URXUTDXZTLITQE-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(CC=C)CC=C)cc2C1 ZINC001755101916 1200637022 /nfs/dbraw/zinc/63/70/22/1200637022.db2.gz BDHATIAVEWVONZ-UHFFFAOYSA-N 0 1 322.452 3.410 20 30 DGEDMN C#CCC1(C(=O)N2C[C@@H](C)[C@@H](NCC(=C)Cl)C2)CCCCC1 ZINC001755125216 1200646745 /nfs/dbraw/zinc/64/67/45/1200646745.db2.gz QLJXLRDSDYCBJO-ZBFHGGJFSA-N 0 1 322.880 3.149 20 30 DGEDMN CC[C@H](C)CCC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001690633944 1200660469 /nfs/dbraw/zinc/66/04/69/1200660469.db2.gz NDUYWQAQELPXRW-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@](C)(CC)CCC ZINC001690635370 1200661961 /nfs/dbraw/zinc/66/19/61/1200661961.db2.gz MEKZEQPBIZWPLH-TZMCWYRMSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cnc(C)o2)[C@@H](C)C1 ZINC001755160679 1200666034 /nfs/dbraw/zinc/66/60/34/1200666034.db2.gz QCFATGOKHQOAHO-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2conc2C)[C@@H](C)C1 ZINC001755160523 1200666842 /nfs/dbraw/zinc/66/68/42/1200666842.db2.gz PMJMRKJZFCIGQY-BBRMVZONSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(C)ns2)[C@@H](C)C1 ZINC001755163420 1200670063 /nfs/dbraw/zinc/67/00/63/1200670063.db2.gz HTKFVAQIFUMZRM-UONOGXRCSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001690649988 1200670565 /nfs/dbraw/zinc/67/05/65/1200670565.db2.gz CGMJRZLAFLIEDD-GOEBONIOSA-N 0 1 320.864 3.343 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)F ZINC001755171893 1200679126 /nfs/dbraw/zinc/67/91/26/1200679126.db2.gz FZWLJPMGRFVQQB-MRXNPFEDSA-N 0 1 304.409 3.026 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001755192189 1200691725 /nfs/dbraw/zinc/69/17/25/1200691725.db2.gz AFMOHHPSGFUGFR-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC001755194868 1200692988 /nfs/dbraw/zinc/69/29/88/1200692988.db2.gz DHQGOOMYGGNIHH-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2C[N@@H+](C)C/C=C/Cl)CCC1 ZINC001755198913 1200696484 /nfs/dbraw/zinc/69/64/84/1200696484.db2.gz KWKRYHVOVCYFLC-VQCVXAJWSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CN(C)C/C=C/Cl)CCC1 ZINC001755198913 1200696488 /nfs/dbraw/zinc/69/64/88/1200696488.db2.gz KWKRYHVOVCYFLC-VQCVXAJWSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC001755198536 1200696857 /nfs/dbraw/zinc/69/68/57/1200696857.db2.gz BQDOBOMTOSQWQV-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)C(C)(C)C ZINC001755200896 1200698930 /nfs/dbraw/zinc/69/89/30/1200698930.db2.gz LBHGIUOBXKSXHE-GOSISDBHSA-N 0 1 312.457 3.007 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C12CCC(CC1)C2(C)C ZINC001755211834 1200705667 /nfs/dbraw/zinc/70/56/67/1200705667.db2.gz XDFYLSLDGGRHOA-OHTSDLOESA-N 0 1 316.489 3.149 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CC1CCCC1 ZINC001755223067 1200712075 /nfs/dbraw/zinc/71/20/75/1200712075.db2.gz ISAUFYQRJQZXOZ-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1nc(C(C)(C)C)cs1 ZINC001755228544 1200717621 /nfs/dbraw/zinc/71/76/21/1200717621.db2.gz QBPIYEROXAAWJE-CHWSQXEVSA-N 0 1 323.506 3.390 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(F)c(C)cc1F ZINC001755239491 1200726525 /nfs/dbraw/zinc/72/65/25/1200726525.db2.gz CMNZBYFTTQVLQH-WDEREUQCSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001690811489 1200729378 /nfs/dbraw/zinc/72/93/78/1200729378.db2.gz SMRIDELIAJVKCO-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1ccc(C)cc1C ZINC001755245938 1200730179 /nfs/dbraw/zinc/73/01/79/1200730179.db2.gz IMKBFSFLBCGUQM-HZPDHXFCSA-N 0 1 322.880 3.471 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001755248629 1200733878 /nfs/dbraw/zinc/73/38/78/1200733878.db2.gz JCYQHSWYYMKWSX-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2ccncc2Cl)C1 ZINC001755252438 1200738660 /nfs/dbraw/zinc/73/86/60/1200738660.db2.gz HWVRMJQPPATEPB-QWHCGFSZSA-N 0 1 321.852 3.074 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1CCC(C)(C)CC1 ZINC001755271661 1200753468 /nfs/dbraw/zinc/75/34/68/1200753468.db2.gz VHBIDPIGQIGMHD-STQMWFEESA-N 0 1 300.874 3.438 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1cc(C)on1 ZINC001755286546 1200762269 /nfs/dbraw/zinc/76/22/69/1200762269.db2.gz UIGSFFCHRBLUAV-GOSISDBHSA-N 0 1 321.465 3.160 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)Cc1cc2ccccc2o1 ZINC001690936518 1200796167 /nfs/dbraw/zinc/79/61/67/1200796167.db2.gz FRCNKNIDTNXCPO-UHFFFAOYSA-N 0 1 312.413 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@H]1C=CCC1 ZINC001691789232 1200808875 /nfs/dbraw/zinc/80/88/75/1200808875.db2.gz NWFNNNDYVUXEPR-KBMXLJTQSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CCF)CC(C)(C)C2)CCC1 ZINC001691819899 1200821379 /nfs/dbraw/zinc/82/13/79/1200821379.db2.gz ZAWALYRZABERCC-HNNXBMFYSA-N 0 1 310.457 3.309 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1nccs1 ZINC001691838131 1200828686 /nfs/dbraw/zinc/82/86/86/1200828686.db2.gz XWKNFTSHZMJAQF-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001691855800 1200840001 /nfs/dbraw/zinc/84/00/01/1200840001.db2.gz AOYOCZXDYOJQCU-BRWVUGGUSA-N 0 1 304.478 3.005 20 30 DGEDMN CCCC(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001755362539 1200846266 /nfs/dbraw/zinc/84/62/66/1200846266.db2.gz QJHNPQUQUBULSJ-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@@]1(C)CC=CCC1 ZINC001755363230 1200847420 /nfs/dbraw/zinc/84/74/20/1200847420.db2.gz FAZPKKIOKUCYFX-HKUYNNGSSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001755382297 1200866534 /nfs/dbraw/zinc/86/65/34/1200866534.db2.gz NCGYESLVQLZSGV-IFXJQAMLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001691908572 1200868150 /nfs/dbraw/zinc/86/81/50/1200868150.db2.gz KXWVFEIMMDUJSW-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001755387299 1200869843 /nfs/dbraw/zinc/86/98/43/1200869843.db2.gz GWMXPUCZBOMJPQ-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)/C(C)=C\C)C1 ZINC001691938710 1200880917 /nfs/dbraw/zinc/88/09/17/1200880917.db2.gz VXXUNWZFEDDPOD-OWSOAGIPSA-N 0 1 316.420 3.252 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(C/C=C/Cl)[C@@H]1CC ZINC001691979864 1200895260 /nfs/dbraw/zinc/89/52/60/1200895260.db2.gz CIALINNTXKUXJH-YWUZZIELSA-N 0 1 310.869 3.476 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2c(C)noc2C)[C@@H]1CC ZINC001691980310 1200896723 /nfs/dbraw/zinc/89/67/23/1200896723.db2.gz JUXLQAIREZONNB-DLBZAZTESA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001692022854 1200914514 /nfs/dbraw/zinc/91/45/14/1200914514.db2.gz IQKPJXAYTRPAEI-DLBZAZTESA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H](C)SC)CC(C)(C)C1 ZINC001692272960 1200998510 /nfs/dbraw/zinc/99/85/10/1200998510.db2.gz OGXXHBNBLFOMQL-CHWSQXEVSA-N 0 1 318.914 3.097 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H](C)c2ccccc2C)[C@H]1C ZINC001692208812 1200970799 /nfs/dbraw/zinc/97/07/99/1200970799.db2.gz YQMUDWKYAPQSSY-SCTDSRPQSA-N 0 1 312.457 3.091 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC001692217781 1200972477 /nfs/dbraw/zinc/97/24/77/1200972477.db2.gz RQDCWADBRUQVQS-HNNXBMFYSA-N 0 1 312.457 3.262 20 30 DGEDMN C[C@@H](CN(C)C(=O)[C@@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001755593318 1200976714 /nfs/dbraw/zinc/97/67/14/1200976714.db2.gz VNIVSZCRIJCLKF-LSDHHAIUSA-N 0 1 315.461 3.177 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001692227506 1200976797 /nfs/dbraw/zinc/97/67/97/1200976797.db2.gz IBEIKDVUCZVAPS-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1c(C)onc1CC ZINC001755605377 1200982837 /nfs/dbraw/zinc/98/28/37/1200982837.db2.gz CUWDPPWOLPFUCJ-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1c(C)cc(C)cc1C ZINC001755605649 1200984492 /nfs/dbraw/zinc/98/44/92/1200984492.db2.gz KTBMBJHVIHZFRI-INIZCTEOSA-N 0 1 322.880 3.343 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccsc1C ZINC001692251818 1200987695 /nfs/dbraw/zinc/98/76/95/1200987695.db2.gz CVEXIZWCRQCCDN-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(c2ccccc2F)CC1 ZINC001755611967 1200989645 /nfs/dbraw/zinc/98/96/45/1200989645.db2.gz XZFIXNHJXNZYRT-CYBMUJFWSA-N 0 1 324.827 3.046 20 30 DGEDMN C#CCC1(C(=O)NC2CN([C@H](C)CCC=C)C2)CCCCC1 ZINC001692270877 1200998226 /nfs/dbraw/zinc/99/82/26/1200998226.db2.gz RUOXBLPCTHPJLS-MRXNPFEDSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2nc(C)sc2C)C[C@H]1C ZINC001755677496 1201009729 /nfs/dbraw/zinc/00/97/29/1201009729.db2.gz YMETXZMKVKOYJF-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001692311176 1201010688 /nfs/dbraw/zinc/01/06/88/1201010688.db2.gz YWMORAQRKRNGIL-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc3c(c2)CCC3)C1 ZINC001692310816 1201010807 /nfs/dbraw/zinc/01/08/07/1201010807.db2.gz NCVOVDFLQYOFIB-UHFFFAOYSA-N 0 1 312.457 3.080 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc(C4CC4)cc3)C2)C1 ZINC001692330833 1201022448 /nfs/dbraw/zinc/02/24/48/1201022448.db2.gz BDHSGAFXRWZUDV-UHFFFAOYSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](CNCc2cscn2)C1 ZINC001755736076 1201053987 /nfs/dbraw/zinc/05/39/87/1201053987.db2.gz QXBDRWUNFCSYKI-KGLIPLIRSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCC(C)C)CC1(C)C ZINC001755762085 1201066857 /nfs/dbraw/zinc/06/68/57/1201066857.db2.gz VJZVAUXXFSUUBE-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCCC(F)(F)C1)C(C)C ZINC001755812492 1201077907 /nfs/dbraw/zinc/07/79/07/1201077907.db2.gz QWGZNWSMLAKPOJ-HNNXBMFYSA-N 0 1 314.420 3.004 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccsc1)C(C)(C)C ZINC001755817201 1201083513 /nfs/dbraw/zinc/08/35/13/1201083513.db2.gz YQGBHDRPTNFEIN-CYBMUJFWSA-N 0 1 314.882 3.164 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1occ2c1CCC2)C(C)(C)C ZINC001755828461 1201090929 /nfs/dbraw/zinc/09/09/29/1201090929.db2.gz ITLKWDXIHIQQAT-AWEZNQCLSA-N 0 1 324.852 3.255 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001698560601 1201230918 /nfs/dbraw/zinc/23/09/18/1201230918.db2.gz MHWNNXOISAZVAL-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001698568425 1201239431 /nfs/dbraw/zinc/23/94/31/1201239431.db2.gz CCPJYADYZUQBAX-HKUYNNGSSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001698570501 1201241640 /nfs/dbraw/zinc/24/16/40/1201241640.db2.gz ZEBVSXRYEKOASO-KRWDZBQOSA-N 0 1 318.436 3.379 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001698571142 1201242026 /nfs/dbraw/zinc/24/20/26/1201242026.db2.gz LAQDQFLMAFPSRK-MOPGFXCFSA-N 0 1 314.473 3.282 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698576103 1201246009 /nfs/dbraw/zinc/24/60/09/1201246009.db2.gz JXCNGNBZNRMOBJ-CRAIPNDOSA-N 0 1 313.445 3.027 20 30 DGEDMN O=C(C=Cc1nc(-c2ccccc2)c[nH]1)c1ccc(O)cc1O ZINC000193141751 1201262407 /nfs/dbraw/zinc/26/24/07/1201262407.db2.gz OTPJHUDPQKLQGD-CMDGGOBGSA-N 0 1 306.321 3.384 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CC(C)(C)CC(F)F ZINC001698731632 1201372509 /nfs/dbraw/zinc/37/25/09/1201372509.db2.gz SUCZXKKSANEDNP-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CCCC(=O)N1CCC2(CN(Cc3ccsc3)C2)CC1 ZINC001698807596 1201440881 /nfs/dbraw/zinc/44/08/81/1201440881.db2.gz ULRDXINXKXRXBA-UHFFFAOYSA-N 0 1 304.459 3.139 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)Cc1ccccc1 ZINC001698859190 1201517449 /nfs/dbraw/zinc/51/74/49/1201517449.db2.gz BIQYTQDTRKOWIQ-HIFRSBDPSA-N 0 1 308.853 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1CC(C(C)(C)C)C1 ZINC001698864902 1201523451 /nfs/dbraw/zinc/52/34/51/1201523451.db2.gz ZVPGFJKWHJBNNQ-IYXRBSQSSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1CCC(F)CC1 ZINC001698874849 1201535011 /nfs/dbraw/zinc/53/50/11/1201535011.db2.gz XEAJJXRCQOBJMT-YMAMQOFZSA-N 0 1 318.864 3.388 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CCNCc1nc(C)oc1C ZINC001698907106 1201567007 /nfs/dbraw/zinc/56/70/07/1201567007.db2.gz INLPRJHRJNUGKH-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@H](C)NCc2cc(C)on2)C1 ZINC001698927624 1201582232 /nfs/dbraw/zinc/58/22/32/1201582232.db2.gz FZVWHRHROYNQHA-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCCC1(C)C ZINC001750931849 1201728255 /nfs/dbraw/zinc/72/82/55/1201728255.db2.gz SVAPEHMETRUVMZ-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cc(C)no2)CC(C)(C)C1 ZINC001750942012 1201731081 /nfs/dbraw/zinc/73/10/81/1201731081.db2.gz LYZKLHYSKYLEEZ-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1cc(C)ccc1F ZINC001699182635 1201746609 /nfs/dbraw/zinc/74/66/09/1201746609.db2.gz DUHJYCBXOJLATP-UHFFFAOYSA-N 0 1 306.425 3.429 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1ccc(Cl)nc1 ZINC001752055622 1201769615 /nfs/dbraw/zinc/76/96/15/1201769615.db2.gz FGPDPVHZDFXQTP-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001699288372 1201777547 /nfs/dbraw/zinc/77/75/47/1201777547.db2.gz JZLARUYXSKHPKT-ARJAWSKDSA-N 0 1 317.820 3.104 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1cc(F)cc(F)c1 ZINC001699303288 1201782901 /nfs/dbraw/zinc/78/29/01/1201782901.db2.gz IBMNYCGUCCRXIU-HPFMTEOYSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C)(C)c1ccc(F)cc1 ZINC001699335348 1201794119 /nfs/dbraw/zinc/79/41/19/1201794119.db2.gz WNBYEHVJYBIRSL-SNAWJCMRSA-N 0 1 324.827 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc2ccc(C)nc21 ZINC001699367620 1201813938 /nfs/dbraw/zinc/81/39/38/1201813938.db2.gz OANBDQQZEIQIRA-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1c(F)cccc1Cl ZINC001699378141 1201825728 /nfs/dbraw/zinc/82/57/28/1201825728.db2.gz VVXHHMUHDCRLCI-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001699391798 1201834112 /nfs/dbraw/zinc/83/41/12/1201834112.db2.gz VJTYHWRPVLDJRH-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H](C)c2ccco2)CC1 ZINC001752441247 1201840275 /nfs/dbraw/zinc/84/02/75/1201840275.db2.gz CCZLTZRQBNWAOJ-MRXNPFEDSA-N 0 1 316.445 3.109 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1coc2ccc(C)cc12 ZINC001699405323 1201842636 /nfs/dbraw/zinc/84/26/36/1201842636.db2.gz WSBPBOCNXOFNSZ-ZDUSSCGKSA-N 0 1 320.820 3.131 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1cccc(F)c1F)C1CC1 ZINC001752460000 1201845687 /nfs/dbraw/zinc/84/56/87/1201845687.db2.gz NYDBYABBUBGXCT-INIZCTEOSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(C(C)C)cn1)C1CC1 ZINC001752485445 1201852924 /nfs/dbraw/zinc/85/29/24/1201852924.db2.gz YALSNCLLWHVHBW-MRXNPFEDSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(C)c(F)c(C)c1)C1CC1 ZINC001752498461 1201856605 /nfs/dbraw/zinc/85/66/05/1201856605.db2.gz BECQRPZIVBQTIH-OAHLLOKOSA-N 0 1 324.827 3.293 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1csc2c1CCCC2 ZINC001699429217 1201860743 /nfs/dbraw/zinc/86/07/43/1201860743.db2.gz DQEYXOPWEWPKDZ-NSHDSACASA-N 0 1 312.866 3.087 20 30 DGEDMN C[C@@H](CN(C)Cc1ccc(F)c(C#N)c1)NC(=O)CC(C)(C)C ZINC001699439713 1201873325 /nfs/dbraw/zinc/87/33/25/1201873325.db2.gz RSODKMRGPZUHHR-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001752752650 1201905468 /nfs/dbraw/zinc/90/54/68/1201905468.db2.gz DJKZYEPZKHNCDI-OXRLHVDVSA-N 0 1 317.260 3.126 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001752753225 1201907171 /nfs/dbraw/zinc/90/71/71/1201907171.db2.gz AWTISLLUYBPXCX-MCIONIFRSA-N 0 1 322.399 3.304 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1cccnc1C ZINC001752821080 1201946799 /nfs/dbraw/zinc/94/67/99/1201946799.db2.gz VOOHCZWVABNJQH-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CC/C=C/c1ccccc1 ZINC001752822759 1201949826 /nfs/dbraw/zinc/94/98/26/1201949826.db2.gz NMWLISIDKOZRDX-LOULHMMLSA-N 0 1 324.468 3.474 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@H]1CCCCN1CC#CC)c1ccccc1 ZINC001752824582 1201952358 /nfs/dbraw/zinc/95/23/58/1201952358.db2.gz AFAUJKJACFCNTR-UYAOXDASSA-N 0 1 324.468 3.340 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(CC)CCCCC1 ZINC001752825096 1201953623 /nfs/dbraw/zinc/95/36/23/1201953623.db2.gz LGWOCIWOEBLZJP-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001752853863 1201977741 /nfs/dbraw/zinc/97/77/41/1201977741.db2.gz OLIYRJYLKQHSET-JMLCCBQJSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cccc(F)c1Cl ZINC001752874267 1201987410 /nfs/dbraw/zinc/98/74/10/1201987410.db2.gz DCSFSAQUDWAHCS-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001752881460 1201991544 /nfs/dbraw/zinc/99/15/44/1201991544.db2.gz BFHIBQXWAWXLLY-HUUCEWRRSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CC/C=C/c1ccccc1 ZINC001699626592 1201991659 /nfs/dbraw/zinc/99/16/59/1201991659.db2.gz MOABQPMTORTZHN-RXNMKEHESA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001699631999 1201996057 /nfs/dbraw/zinc/99/60/57/1201996057.db2.gz IKTGYHGMGKHCSI-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001752910020 1202006689 /nfs/dbraw/zinc/00/66/89/1202006689.db2.gz OJSYRLIGPVRASD-HNAYVOBHSA-N 0 1 315.461 3.369 20 30 DGEDMN CC(C)CC(C)(C)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001752910035 1202007204 /nfs/dbraw/zinc/00/72/04/1202007204.db2.gz AZRUQFZNNNEDSK-HNNXBMFYSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001699652678 1202015489 /nfs/dbraw/zinc/01/54/89/1202015489.db2.gz DHOMBAZTVORKQE-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001699659264 1202018836 /nfs/dbraw/zinc/01/88/36/1202018836.db2.gz OQAUAZYAEITCHN-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N[C@@H](C)c2cc(F)ccc2F)CC1 ZINC001699659043 1202019285 /nfs/dbraw/zinc/01/92/85/1202019285.db2.gz KWHADGIHTGSMLL-LBPRGKRZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)CCC)C1CCCC1 ZINC001699793391 1202080843 /nfs/dbraw/zinc/08/08/43/1202080843.db2.gz SXOMZVHJYBMYGO-SWLSCSKDSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC)c1ccccc1 ZINC001699698747 1202038602 /nfs/dbraw/zinc/03/86/02/1202038602.db2.gz VFQFGSPBLIPASN-OTWHNJEPSA-N 0 1 312.457 3.432 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC[C@@H]2CCC[C@H](C)C2)C1 ZINC001699711944 1202046934 /nfs/dbraw/zinc/04/69/34/1202046934.db2.gz CFSGFFGUXJKANP-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001699725593 1202055637 /nfs/dbraw/zinc/05/56/37/1202055637.db2.gz LDVIVJGGBAYVPD-IDMDMSBLSA-N 0 1 304.478 3.310 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C)cc1F ZINC001699760807 1202068940 /nfs/dbraw/zinc/06/89/40/1202068940.db2.gz RODTXVIYPMVSEX-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CCC)C1CCN(C/C=C\Cl)CC1 ZINC001699785552 1202077137 /nfs/dbraw/zinc/07/71/37/1202077137.db2.gz SUJHLIOAEAGOMJ-MRDWYFFCSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1)C1CCCC1 ZINC001699787675 1202078559 /nfs/dbraw/zinc/07/85/59/1202078559.db2.gz MSVFSPCKGFKGHA-MRXNPFEDSA-N 0 1 306.837 3.317 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC001699803081 1202085322 /nfs/dbraw/zinc/08/53/22/1202085322.db2.gz WKGWUIOGNCWWPF-CABCVRRESA-N 0 1 319.474 3.065 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001753085798 1202116215 /nfs/dbraw/zinc/11/62/15/1202116215.db2.gz QNXSZOCHDBMHQN-RTBURBONSA-N 0 1 312.457 3.032 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001699863395 1202119160 /nfs/dbraw/zinc/11/91/60/1202119160.db2.gz SXUJJDRCSLAADH-RHSMWYFYSA-N 0 1 310.869 3.147 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2cncs2)C1 ZINC001699875413 1202132965 /nfs/dbraw/zinc/13/29/65/1202132965.db2.gz VGIMEXRGMWUSAO-QGZVFWFLSA-N 0 1 321.490 3.218 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@](C)(C=C)CC)c1ccccc1CC ZINC001699929865 1202138622 /nfs/dbraw/zinc/13/86/22/1202138622.db2.gz BDXXKLNQKMWGOI-QUCCMNQESA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CC2(CCC2)C1)c1ccccc1CC ZINC001699930069 1202139969 /nfs/dbraw/zinc/13/99/69/1202139969.db2.gz FWUOUMQBEBEROQ-IBGZPJMESA-N 0 1 324.468 3.209 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001753112409 1202142529 /nfs/dbraw/zinc/14/25/29/1202142529.db2.gz CCLFDDDCYXQHGB-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H]1CCCN1C/C=C/Cl)c1ccccc1 ZINC001753116355 1202144839 /nfs/dbraw/zinc/14/48/39/1202144839.db2.gz UVFXZVYDMPGOER-LWBCESNNSA-N 0 1 318.848 3.289 20 30 DGEDMN C#CCN(CCNC(=O)C[C@H]1C[C@H](C)CC(C)(C)C1)C1CC1 ZINC001753161041 1202175427 /nfs/dbraw/zinc/17/54/27/1202175427.db2.gz KMNFBKMSQGSYQI-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)c1ccco1 ZINC001700090386 1202177240 /nfs/dbraw/zinc/17/72/40/1202177240.db2.gz GFOIGLNDTLPINL-INIZCTEOSA-N 0 1 324.424 3.155 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001753194541 1202182442 /nfs/dbraw/zinc/18/24/42/1202182442.db2.gz NDQDEBPOMWVVPD-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C)(C)CC=C)cccc2C1 ZINC001700122926 1202184393 /nfs/dbraw/zinc/18/43/93/1202184393.db2.gz NKMVRLQIENGTSP-UHFFFAOYSA-N 0 1 312.457 3.449 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2cccc(F)c2Cl)C1 ZINC001753231561 1202186925 /nfs/dbraw/zinc/18/69/25/1202186925.db2.gz QMZGPODSCRMZBV-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc3[nH]ccc32)CCC1 ZINC001700138182 1202190062 /nfs/dbraw/zinc/19/00/62/1202190062.db2.gz KSDYIBQCROJIGT-UHFFFAOYSA-N 0 1 317.820 3.163 20 30 DGEDMN CCC(CC)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001700183933 1202200332 /nfs/dbraw/zinc/20/03/32/1202200332.db2.gz STHJPEJOMGSDEY-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)c1cccc(F)c1)c1ccccc1 ZINC001753303392 1202200818 /nfs/dbraw/zinc/20/08/18/1202200818.db2.gz XQMCQZARYFLWSC-DNVCBOLYSA-N 0 1 324.399 3.010 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CCF)CC2)CCCCC1 ZINC001700184972 1202201382 /nfs/dbraw/zinc/20/13/82/1202201382.db2.gz RFPMTAHXAAVMHC-MRXNPFEDSA-N 0 1 310.457 3.453 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1cncc(F)c1 ZINC001700190077 1202204176 /nfs/dbraw/zinc/20/41/76/1202204176.db2.gz JXMOXISIKWPTAI-UHFFFAOYSA-N 0 1 321.440 3.103 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(C(C)C)n2)C1 ZINC001753317168 1202206728 /nfs/dbraw/zinc/20/67/28/1202206728.db2.gz JCOKSIJCNXAMCF-INIZCTEOSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(CC)s2)C1 ZINC001753319193 1202208078 /nfs/dbraw/zinc/20/80/78/1202208078.db2.gz XQEVWUIOQBWZNC-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccc(Cl)c2)C1 ZINC001753322527 1202209920 /nfs/dbraw/zinc/20/99/20/1202209920.db2.gz HZAKDWRNEHUPAS-QGZVFWFLSA-N 0 1 318.848 3.290 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)CCC)O2 ZINC001700204848 1202210774 /nfs/dbraw/zinc/21/07/74/1202210774.db2.gz JQKNVJJFTQFPJC-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CC1(C)CC1 ZINC001700228663 1202216696 /nfs/dbraw/zinc/21/66/96/1202216696.db2.gz VWUPCVXZRCGDIH-GOSISDBHSA-N 0 1 312.457 3.296 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@@H](CNC(=O)C(C)(CC)CC)O2 ZINC001700236586 1202217221 /nfs/dbraw/zinc/21/72/21/1202217221.db2.gz MTZSXZWHFKAWTA-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]2CN(C)CCC(F)(F)F)C1 ZINC001700355696 1202246578 /nfs/dbraw/zinc/24/65/78/1202246578.db2.gz ADPBPNTZHKWXRH-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1occc1C ZINC001753416330 1202247120 /nfs/dbraw/zinc/24/71/20/1202247120.db2.gz CADSSPKOEZJPHQ-HOTGVXAUSA-N 0 1 304.434 3.457 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001700357478 1202247659 /nfs/dbraw/zinc/24/76/59/1202247659.db2.gz VZODTGOJEDANDR-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C/C=C/c1ccc(C)cc1 ZINC001700364272 1202251378 /nfs/dbraw/zinc/25/13/78/1202251378.db2.gz MXJKEIWFOBOSTM-CPSHHHPTSA-N 0 1 324.468 3.344 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](F)CC1CCCCC1 ZINC001700366050 1202251779 /nfs/dbraw/zinc/25/17/79/1202251779.db2.gz ZPPZPZWVJXBHRC-QZTJIDSGSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCC1(C(=O)N(C)[C@H]2CCN(C[C@H](F)CC)C2)CCCCC1 ZINC001753449331 1202258976 /nfs/dbraw/zinc/25/89/76/1202258976.db2.gz FDKPSTKGEYKXNK-SJORKVTESA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1nsc2ccccc21 ZINC001700401728 1202263080 /nfs/dbraw/zinc/26/30/80/1202263080.db2.gz QICZMUZCIDRCHG-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001700413415 1202265903 /nfs/dbraw/zinc/26/59/03/1202265903.db2.gz WXCLIZXGUKWDLQ-VXGBXAGGSA-N 0 1 310.388 3.160 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CCCC1CC1 ZINC001700412538 1202266234 /nfs/dbraw/zinc/26/62/34/1202266234.db2.gz FAOWVXLGBGRHLA-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CC1(c2ccccc2)CC1 ZINC001753474841 1202268875 /nfs/dbraw/zinc/26/88/75/1202268875.db2.gz FCZRVODTCHOXKN-MRXNPFEDSA-N 0 1 320.864 3.345 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2(F)CCCC2)cc1 ZINC001753589148 1202294522 /nfs/dbraw/zinc/29/45/22/1202294522.db2.gz PDORZAAOIISIIT-UHFFFAOYSA-N 0 1 324.827 3.427 20 30 DGEDMN CCCC(=O)NCCC1CCN(Cc2ccccc2C#N)CC1 ZINC001753592133 1202295658 /nfs/dbraw/zinc/29/56/58/1202295658.db2.gz ALCQVMCHJXDKRD-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2CC(C)C2)cc1 ZINC001753598978 1202296780 /nfs/dbraw/zinc/29/67/80/1202296780.db2.gz ZPSXGWJTRGWNFI-UHFFFAOYSA-N 0 1 306.837 3.191 20 30 DGEDMN C=CCCCC(=O)NCCC1CCN(Cc2cncs2)CC1 ZINC001753597059 1202297130 /nfs/dbraw/zinc/29/71/30/1202297130.db2.gz OCFKJOIRRQQMPS-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001700530572 1202307163 /nfs/dbraw/zinc/30/71/63/1202307163.db2.gz FFILAYNGIFJXKZ-IEBWSBKVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)C(C)(C)CCC)C2)C1 ZINC001700563367 1202313760 /nfs/dbraw/zinc/31/37/60/1202313760.db2.gz WJJXVKLWDXBAQZ-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC001700693506 1202336291 /nfs/dbraw/zinc/33/62/91/1202336291.db2.gz GRSNXIATDPWJQW-UHFFFAOYSA-N 0 1 321.490 3.168 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1nc(C)cs1 ZINC001753804883 1202336656 /nfs/dbraw/zinc/33/66/56/1202336656.db2.gz KFWZSXAMVNZQFW-UKRRQHHQSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1nc(C)oc1C)C(C)C ZINC001753829643 1202342968 /nfs/dbraw/zinc/34/29/68/1202342968.db2.gz AUELKUMTAMVJEX-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001700764127 1202350670 /nfs/dbraw/zinc/35/06/70/1202350670.db2.gz DDODZBGJNGXNFM-HOTGVXAUSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001700846712 1202358355 /nfs/dbraw/zinc/35/83/55/1202358355.db2.gz QADNLPPLMHETBT-VXGBXAGGSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001700848996 1202358820 /nfs/dbraw/zinc/35/88/20/1202358820.db2.gz ZVHYEHWYSGUEOP-WDEREUQCSA-N 0 1 324.774 3.051 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc2ccccc2c1)C(C)C ZINC001700976590 1202374953 /nfs/dbraw/zinc/37/49/53/1202374953.db2.gz WGNAGIGOLDVIKQ-UHFFFAOYSA-N 0 1 308.425 3.255 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001701017454 1202381955 /nfs/dbraw/zinc/38/19/55/1202381955.db2.gz GWFIYOFBDYRIIO-ZRDIBKRKSA-N 0 1 317.260 3.078 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccccc1C ZINC001707052239 1202569818 /nfs/dbraw/zinc/56/98/18/1202569818.db2.gz FKUIKIKOXSHEDM-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C\Cl)[C@H]2C1(C)C ZINC001707191214 1202575976 /nfs/dbraw/zinc/57/59/76/1202575976.db2.gz YMLLKTCQNRYCQC-FEAYKRTFSA-N 0 1 322.880 3.004 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001707255496 1202578501 /nfs/dbraw/zinc/57/85/01/1202578501.db2.gz KDZXEKPNTKFPCB-WBVHZDCISA-N 0 1 318.486 3.411 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001707261557 1202578741 /nfs/dbraw/zinc/57/87/41/1202578741.db2.gz WCSFXSZLKLPVTH-YCPHGPKFSA-N 0 1 306.475 3.429 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2ccccc2F)C1 ZINC001707402541 1202582833 /nfs/dbraw/zinc/58/28/33/1202582833.db2.gz AQVIEYIZDYDFHP-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=CCCC(=O)N(C)C1CCN(Cc2ccc(C)nc2C)CC1 ZINC001713051821 1202612985 /nfs/dbraw/zinc/61/29/85/1202612985.db2.gz RQDXWQAXSKMTLS-UHFFFAOYSA-N 0 1 315.461 3.087 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H](CC)C(C)(C)C)CC1 ZINC001713056997 1202613384 /nfs/dbraw/zinc/61/33/84/1202613384.db2.gz BCOPTWIIPGVJNF-MRXNPFEDSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CC(C)(C)C ZINC001713142825 1202621624 /nfs/dbraw/zinc/62/16/24/1202621624.db2.gz IWXQAQQRCWZRHZ-ZACQAIPSSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccn(CC)c1C ZINC001713265411 1202633201 /nfs/dbraw/zinc/63/32/01/1202633201.db2.gz FJXXUYSHBYXXFM-HNNXBMFYSA-N 0 1 323.868 3.153 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001713266641 1202633409 /nfs/dbraw/zinc/63/34/09/1202633409.db2.gz DZBOBIRBYSZQTI-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001713305420 1202637702 /nfs/dbraw/zinc/63/77/02/1202637702.db2.gz PYMPTNVIILLZCQ-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001713320330 1202638751 /nfs/dbraw/zinc/63/87/51/1202638751.db2.gz RUXIOYXNWLULTP-LLVKDONJSA-N 0 1 319.836 3.245 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@H](NCc2nc(C)c(C)o2)C1 ZINC001713379482 1202643211 /nfs/dbraw/zinc/64/32/11/1202643211.db2.gz RSZWZUMXOCFGBR-JKSUJKDBSA-N 0 1 319.449 3.165 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H](N(C)C[C@H](F)CC)C1 ZINC001713395326 1202644873 /nfs/dbraw/zinc/64/48/73/1202644873.db2.gz GCISLLCNJLEXCN-IAGOWNOFSA-N 0 1 310.457 3.241 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(CC)Cc1ccnc(Cl)c1 ZINC001713485144 1202657907 /nfs/dbraw/zinc/65/79/07/1202657907.db2.gz ILKMQQGABOPEEE-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1ccc(Cl)cc1F ZINC001713596318 1202672832 /nfs/dbraw/zinc/67/28/32/1202672832.db2.gz NXEMRKKPKHFDGS-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1cccc(F)c1Cl ZINC001713628750 1202673973 /nfs/dbraw/zinc/67/39/73/1202673973.db2.gz FCBCAHKTQAKDFQ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1cc(F)ccc1Cl ZINC001713629120 1202674045 /nfs/dbraw/zinc/67/40/45/1202674045.db2.gz OTXOFQGXRVCXLT-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1coc2ccccc12)c1ccccc1 ZINC001713703543 1202678885 /nfs/dbraw/zinc/67/88/85/1202678885.db2.gz FYYFNHFGWWHSMJ-GOSISDBHSA-N 0 1 318.376 3.127 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001713720711 1202681161 /nfs/dbraw/zinc/68/11/61/1202681161.db2.gz BKDWDCZTQQHRTD-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2cc(Cl)cs2)C1 ZINC001713720093 1202681263 /nfs/dbraw/zinc/68/12/63/1202681263.db2.gz NXUKUAUFHNIOKT-CQSZACIVSA-N 0 1 324.877 3.351 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2coc(C(F)F)c2)C1 ZINC001713720065 1202681377 /nfs/dbraw/zinc/68/13/77/1202681377.db2.gz NFBWHNJRYIVGMG-ZDUSSCGKSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CCCC23CC3)C1 ZINC001713729868 1202683310 /nfs/dbraw/zinc/68/33/10/1202683310.db2.gz DJINSZNFSPXUHJ-CABCVRRESA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001713731377 1202683313 /nfs/dbraw/zinc/68/33/13/1202683313.db2.gz JSOMMCJIDHPORH-STQMWFEESA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](CC)CC(F)F)C1 ZINC001713731912 1202683694 /nfs/dbraw/zinc/68/36/94/1202683694.db2.gz VBVCANCRCLTCFJ-CHWSQXEVSA-N 0 1 322.827 3.249 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(CC)cc2)C1 ZINC001713739398 1202684658 /nfs/dbraw/zinc/68/46/58/1202684658.db2.gz DGCUKTUZEKRNAB-INIZCTEOSA-N 0 1 320.864 3.443 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(C)s2)C1 ZINC001713746563 1202685599 /nfs/dbraw/zinc/68/55/99/1202685599.db2.gz PQDRRKNAYSWTPG-ZDUSSCGKSA-N 0 1 312.866 3.203 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cccc(F)c2C)C1 ZINC001713752673 1202686449 /nfs/dbraw/zinc/68/64/49/1202686449.db2.gz TWXPHFXCMHPFBM-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)c2ccccc2)C1 ZINC001713759946 1202687326 /nfs/dbraw/zinc/68/73/26/1202687326.db2.gz URHWZOGDYSVDRU-HOTGVXAUSA-N 0 1 320.864 3.323 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)CCC)C1 ZINC001713762281 1202687722 /nfs/dbraw/zinc/68/77/22/1202687722.db2.gz NEYFFAYYRKPNCA-LJQANCHMSA-N 0 1 312.457 3.303 20 30 DGEDMN C=C(C)CN1CCC[C@H](N(Cc2ccccc2)C(=O)C(F)F)C1 ZINC001713762895 1202687751 /nfs/dbraw/zinc/68/77/51/1202687751.db2.gz XLZYFOZARNDDRK-INIZCTEOSA-N 0 1 322.399 3.321 20 30 DGEDMN C=C(C)CN1CCC[C@@H](N(Cc2ccccc2)C(=O)C(F)F)C1 ZINC001713762896 1202687825 /nfs/dbraw/zinc/68/78/25/1202687825.db2.gz XLZYFOZARNDDRK-MRXNPFEDSA-N 0 1 322.399 3.321 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccccc2CCC)C1 ZINC001713830759 1202693784 /nfs/dbraw/zinc/69/37/84/1202693784.db2.gz XIPKAIAVRDEAGB-OAHLLOKOSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001713833044 1202694155 /nfs/dbraw/zinc/69/41/55/1202694155.db2.gz TVLWZLXLCLDLNH-AWEZNQCLSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CC1(CC(=O)NC2CN(CC3CC(C)C3)C2)CCCCC1 ZINC001713841726 1202695020 /nfs/dbraw/zinc/69/50/20/1202695020.db2.gz FVDCNZVMMHFVDG-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cnc(C3CC3)o2)CC1 ZINC001713853356 1202695863 /nfs/dbraw/zinc/69/58/63/1202695863.db2.gz OKNNETZQJVDZFL-UHFFFAOYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccccc1CCC)C1CC1 ZINC001713869642 1202697365 /nfs/dbraw/zinc/69/73/65/1202697365.db2.gz BNRSJGQCEOBRFC-QGZVFWFLSA-N 0 1 320.864 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2C=CC=CC=C2)C1 ZINC001713891105 1202699779 /nfs/dbraw/zinc/69/97/79/1202699779.db2.gz FDRLRJYGWDNNGO-INIZCTEOSA-N 0 1 320.864 3.208 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN(C)Cc2cc(C)no2)C1 ZINC001713897237 1202700203 /nfs/dbraw/zinc/70/02/03/1202700203.db2.gz IODTZQWXZNYDCV-MRXNPFEDSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001713936249 1202703770 /nfs/dbraw/zinc/70/37/70/1202703770.db2.gz TXQHXXAWLRSTPA-SZMVWBNQSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NCc1ccncc1Cl ZINC001714103714 1202777111 /nfs/dbraw/zinc/77/71/11/1202777111.db2.gz HJVRDSZJFYRCQR-PBHICJAKSA-N 0 1 323.868 3.322 20 30 DGEDMN O=C(C#CC1CC1)NC[C@H]1CCCCN1C/C=C/c1ccccc1 ZINC001714103491 1202777720 /nfs/dbraw/zinc/77/77/20/1202777720.db2.gz JBIGBVFKFPOYLR-AQDCRGGLSA-N 0 1 322.452 3.084 20 30 DGEDMN CC[C@H](CNC(=O)C(C)(CC)CC)NCc1ccccc1C#N ZINC001714123215 1202790894 /nfs/dbraw/zinc/79/08/94/1202790894.db2.gz WQAGNNLJXQOPTP-QGZVFWFLSA-N 0 1 315.461 3.369 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CCC(C)C)C1 ZINC001714144982 1202800612 /nfs/dbraw/zinc/80/06/12/1202800612.db2.gz ODSZJPGVQIXEDC-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](CCNCc2cscn2)C1 ZINC001714220458 1202836994 /nfs/dbraw/zinc/83/69/94/1202836994.db2.gz BTGPRIKBISNOOC-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001714263407 1202853609 /nfs/dbraw/zinc/85/36/09/1202853609.db2.gz BTUUNZULPQECIX-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1ccc(Cl)cc1F ZINC001714265507 1202854689 /nfs/dbraw/zinc/85/46/89/1202854689.db2.gz XUKFRPGZGFGOBQ-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001714270624 1202857536 /nfs/dbraw/zinc/85/75/36/1202857536.db2.gz RLBOJJZJRODBAE-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)cc1 ZINC001714275497 1202859895 /nfs/dbraw/zinc/85/98/95/1202859895.db2.gz SIMQMYXAXPEACW-QGZVFWFLSA-N 0 1 318.420 3.089 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2nocc2C)C1 ZINC001714408877 1202917046 /nfs/dbraw/zinc/91/70/46/1202917046.db2.gz YOSNZGMHRGDKIP-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN CC[C@H](CC(=O)NCCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001714572470 1203014037 /nfs/dbraw/zinc/01/40/37/1203014037.db2.gz WVLZPBQXMLVJCR-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001714619623 1203054065 /nfs/dbraw/zinc/05/40/65/1203054065.db2.gz KCLPMRCJSMSWSA-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN CCCN(CCNC(=O)CC1(C)CC1)Cc1ccc(C#N)s1 ZINC001714654490 1203078394 /nfs/dbraw/zinc/07/83/94/1203078394.db2.gz SXUFRQQFVKFSBW-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CC#CCN(CCNC(=O)C1(CC(C)C)CCCC1)C1CC1 ZINC001714675050 1203095906 /nfs/dbraw/zinc/09/59/06/1203095906.db2.gz ZQTAIAVQFCFIOM-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(F)cc(C)cc1F ZINC001714685359 1203100132 /nfs/dbraw/zinc/10/01/32/1203100132.db2.gz CYZCJCIWZRBADQ-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1ccn(C(C)C)n1 ZINC001714715118 1203107866 /nfs/dbraw/zinc/10/78/66/1203107866.db2.gz DFRKCISSVOTAFS-OAHLLOKOSA-N 0 1 320.481 3.003 20 30 DGEDMN CCCC1(C(=O)N(C)[C@H](C)CNCc2ccccc2C#N)CC1 ZINC001714744916 1203115692 /nfs/dbraw/zinc/11/56/92/1203115692.db2.gz DNMJRSRGJULKTC-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H](C)c1ccc(F)cc1 ZINC001714798470 1203128776 /nfs/dbraw/zinc/12/87/76/1203128776.db2.gz UIRIHDHTAOUNAD-LBPRGKRZSA-N 0 1 312.816 3.120 20 30 DGEDMN CC[C@H](F)CN[C@H](CNC(=O)C#CC(C)(C)C)c1ccccc1 ZINC001714835423 1203144692 /nfs/dbraw/zinc/14/46/92/1203144692.db2.gz IETJSEFGGLYGMJ-DLBZAZTESA-N 0 1 318.436 3.231 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001714853894 1203152845 /nfs/dbraw/zinc/15/28/45/1203152845.db2.gz ATVZSFKLUNBKOW-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCCC(=O)N(CCC)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001714854902 1203155571 /nfs/dbraw/zinc/15/55/71/1203155571.db2.gz IDIAUAXBAYSIRN-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccsc2)C1 ZINC001714869400 1203166767 /nfs/dbraw/zinc/16/67/67/1203166767.db2.gz FRANSZPRGLMFIB-RDJZCZTQSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001714872471 1203168328 /nfs/dbraw/zinc/16/83/28/1203168328.db2.gz AVEMUCYGDPEITG-CQSZACIVSA-N 0 1 323.868 3.332 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC(C2CC2)C2CC2)C1 ZINC001714884910 1203177701 /nfs/dbraw/zinc/17/77/01/1203177701.db2.gz QIFIQRRIGPVVHJ-AWEZNQCLSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC001714922083 1203200863 /nfs/dbraw/zinc/20/08/63/1203200863.db2.gz IYOSGIDSAGCVFM-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1ncc(C)s1)CC(C)C ZINC001714928986 1203204649 /nfs/dbraw/zinc/20/46/49/1203204649.db2.gz UESPOEKJUHWFHO-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)co1 ZINC001714990478 1203227376 /nfs/dbraw/zinc/22/73/76/1203227376.db2.gz SWAMCVMBSUCVFW-HOTGVXAUSA-N 0 1 304.434 3.457 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001715018798 1203239687 /nfs/dbraw/zinc/23/96/87/1203239687.db2.gz IVGCJYOEGKPSPC-HKUYNNGSSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001715018929 1203240342 /nfs/dbraw/zinc/24/03/42/1203240342.db2.gz TWWAVKHMGKQUAX-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001715041160 1203250104 /nfs/dbraw/zinc/25/01/04/1203250104.db2.gz AZZJBZPVCNTEIV-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001715042760 1203251628 /nfs/dbraw/zinc/25/16/28/1203251628.db2.gz GPNNZTUNNWZFLM-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c[nH]c2ccccc21 ZINC001715067329 1203260817 /nfs/dbraw/zinc/26/08/17/1203260817.db2.gz LTEKZHNQRSWQBD-GFCCVEGCSA-N 0 1 305.809 3.018 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CN(CCCCC)CCO2)CCCC1 ZINC001715299361 1203309757 /nfs/dbraw/zinc/30/97/57/1203309757.db2.gz ZKJLYPPZYCFXHY-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cscn2)CCCC1 ZINC001715303122 1203310760 /nfs/dbraw/zinc/31/07/60/1203310760.db2.gz JFSQZAZOTPNBNA-INIZCTEOSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1ccsc1 ZINC001715379392 1203329221 /nfs/dbraw/zinc/32/92/21/1203329221.db2.gz HYYIYEFNNONJTR-AWEZNQCLSA-N 0 1 314.882 3.164 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1CCCC12CC2 ZINC001715384993 1203330468 /nfs/dbraw/zinc/33/04/68/1203330468.db2.gz NJJKGLKUWWCCGI-GJZGRUSLSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cnc(C(C)C)s1 ZINC001715385182 1203331440 /nfs/dbraw/zinc/33/14/40/1203331440.db2.gz SRWFUACTFLYDET-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C(C)(C)C1CC1 ZINC001715387068 1203331959 /nfs/dbraw/zinc/33/19/59/1203331959.db2.gz DTVJYZOQKADNPK-AWEZNQCLSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1nc(C)oc1C)C(C)C ZINC001715718712 1203383896 /nfs/dbraw/zinc/38/38/96/1203383896.db2.gz FNBSSZXNFJZBNS-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1oc(C)nc1C)C(C)C ZINC001715720633 1203384162 /nfs/dbraw/zinc/38/41/62/1203384162.db2.gz GIWAVYOTTJUSCP-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)C(C)(C)C(C)C ZINC001715731577 1203385613 /nfs/dbraw/zinc/38/56/13/1203385613.db2.gz AGQPFMUITPLJSF-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(F)cc(Cl)c1 ZINC001715760872 1203389207 /nfs/dbraw/zinc/38/92/07/1203389207.db2.gz WEIALCUKDITPBW-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001715855380 1203397757 /nfs/dbraw/zinc/39/77/57/1203397757.db2.gz VEQBXOCQXLXLNV-ONEGZZNKSA-N 0 1 317.820 3.104 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CNCc1nc(C)c(C(C)(C)C)s1 ZINC001715965881 1203412627 /nfs/dbraw/zinc/41/26/27/1203412627.db2.gz AGZKWBFHDCGBNT-LBPRGKRZSA-N 0 1 323.506 3.310 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(CC(C)C)CCCC1 ZINC001716006244 1203420248 /nfs/dbraw/zinc/42/02/48/1203420248.db2.gz VEOGXWRZLNWCGE-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001716049295 1203435773 /nfs/dbraw/zinc/43/57/73/1203435773.db2.gz ALAPGQGOFJYRIQ-LSDHHAIUSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1CC(C(C)(C)C)C1 ZINC001716258036 1203454949 /nfs/dbraw/zinc/45/49/49/1203454949.db2.gz NULQDKDTHDPRQZ-UHFFFAOYSA-N 0 1 300.874 3.249 20 30 DGEDMN CC(C)C#CC(=O)NC/C=C/CN[C@@H](C)c1ccccc1Cl ZINC001716291459 1203457654 /nfs/dbraw/zinc/45/76/54/1203457654.db2.gz QRGGUZGRFXGFOM-LFAOLKIESA-N 0 1 318.848 3.322 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H](C)CC(C)(C)C ZINC001716344934 1203461204 /nfs/dbraw/zinc/46/12/04/1203461204.db2.gz FVWZMYAGMKIJOA-KTRBRXNASA-N 0 1 300.874 3.463 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C\CNCc2ccc(F)cc2F)C1 ZINC001716342286 1203461299 /nfs/dbraw/zinc/46/12/99/1203461299.db2.gz QHRMHSPDPNEFAP-ARJAWSKDSA-N 0 1 320.383 3.083 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1CCC(C2CC2)CC1 ZINC001716370937 1203463019 /nfs/dbraw/zinc/46/30/19/1203463019.db2.gz RIRMIABJEVGMAS-NSCUHMNNSA-N 0 1 310.869 3.217 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccc(C)c(Cl)c1 ZINC001716402332 1203467241 /nfs/dbraw/zinc/46/72/41/1203467241.db2.gz WYQMQNXRHQPJIR-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001716407286 1203467563 /nfs/dbraw/zinc/46/75/63/1203467563.db2.gz GFXAYILQIAEZGM-ABAIWWIYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1CCCc2ccccc21 ZINC001716420513 1203470188 /nfs/dbraw/zinc/47/01/88/1203470188.db2.gz RZXYXNDKIGWPAV-ZBFHGGJFSA-N 0 1 320.864 3.343 20 30 DGEDMN C[C@@H](CNCc1cc(F)ccc1Cl)NC(=O)C#CC(C)(C)C ZINC001716437103 1203473554 /nfs/dbraw/zinc/47/35/54/1203473554.db2.gz UPQOAJMGOAOJHY-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1c(F)cccc1F)C1CC1 ZINC001716612738 1203506467 /nfs/dbraw/zinc/50/64/67/1203506467.db2.gz MAMDLMDKLPLTAY-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCCc1cccc(F)c1 ZINC001716652265 1203513351 /nfs/dbraw/zinc/51/33/51/1203513351.db2.gz KRCRJNGVYDBBFU-GOSISDBHSA-N 0 1 318.436 3.305 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001716652055 1203513691 /nfs/dbraw/zinc/51/36/91/1203513691.db2.gz HLVBTXHYASGAMA-IBGZPJMESA-N 0 1 312.457 3.454 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1cccc2ccccc21 ZINC001716669670 1203521330 /nfs/dbraw/zinc/52/13/30/1203521330.db2.gz ULSGMWBSWTYQEE-SFHVURJKSA-N 0 1 308.425 3.149 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001716744868 1203535915 /nfs/dbraw/zinc/53/59/15/1203535915.db2.gz SIEQZPOBYHOKJO-CYBMUJFWSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001716749776 1203536920 /nfs/dbraw/zinc/53/69/20/1203536920.db2.gz LYCUMRLHUAUBPV-OAHLLOKOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccccc2C)C1 ZINC001716752653 1203538010 /nfs/dbraw/zinc/53/80/10/1203538010.db2.gz BTSQBNWATHNONU-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ncc(CC)o2)C[C@@H]1C ZINC001716765186 1203540016 /nfs/dbraw/zinc/54/00/16/1203540016.db2.gz UIAOKRCEKSDHJD-LSDHHAIUSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1(C(F)F)CCCC1 ZINC001716783111 1203541917 /nfs/dbraw/zinc/54/19/17/1203541917.db2.gz BGEAPPYSJRXFFW-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc2[nH]ccc2c1 ZINC001716783808 1203542010 /nfs/dbraw/zinc/54/20/10/1203542010.db2.gz YHBJADYTNIXVKH-HNNXBMFYSA-N 0 1 317.820 3.115 20 30 DGEDMN C#CCN(C(=O)C[C@H]1C=CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001716802377 1203545264 /nfs/dbraw/zinc/54/52/64/1203545264.db2.gz HIGMGWQVVNCWIJ-DLBZAZTESA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCC1(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001716809614 1203545656 /nfs/dbraw/zinc/54/56/56/1203545656.db2.gz NBNQOHXZXOAOHV-DOTOQJQBSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)CCCCCC)C1 ZINC001716899116 1203565604 /nfs/dbraw/zinc/56/56/04/1203565604.db2.gz AWZUKRUETYNJMV-PKOBYXMFSA-N 0 1 306.494 3.444 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C(C)=C\CC)cccc2C1 ZINC001717143566 1203590378 /nfs/dbraw/zinc/59/03/78/1203590378.db2.gz ONGUMPLJEKOQKC-PXNMLYILSA-N 0 1 310.441 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2ccc(C)c(C)c2)CCC1 ZINC001717162284 1203594489 /nfs/dbraw/zinc/59/44/89/1203594489.db2.gz MXKDQUKLPDIDJG-UHFFFAOYSA-N 0 1 320.864 3.227 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001717206905 1203601231 /nfs/dbraw/zinc/60/12/31/1203601231.db2.gz UDCSUFANFGOWRA-SDNWHVSQSA-N 0 1 319.474 3.256 20 30 DGEDMN CN(CCCN(C)C(=O)C1=CCCC1)Cc1ccc(C#N)s1 ZINC001717206529 1203601382 /nfs/dbraw/zinc/60/13/82/1203601382.db2.gz KDJIYDQFBMDJIN-UHFFFAOYSA-N 0 1 317.458 3.010 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCN(C)Cc2cc(C)ns2)C1 ZINC001717211086 1203603241 /nfs/dbraw/zinc/60/32/41/1203603241.db2.gz CYJUFVCFJLLYLG-UHFFFAOYSA-N 0 1 321.490 3.088 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCC2(CN(CCCCC)C2)O1 ZINC001717224634 1203606492 /nfs/dbraw/zinc/60/64/92/1203606492.db2.gz PWICBYCMJXOASZ-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2c(C)noc2C)[C@@H](C)C1 ZINC001717331326 1203619420 /nfs/dbraw/zinc/61/94/20/1203619420.db2.gz HKVXSAUBGFTBAZ-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)NC1CC(CNCc2ncoc2C(C)C)C1 ZINC001717384955 1203632639 /nfs/dbraw/zinc/63/26/39/1203632639.db2.gz ZQZHKKZEWBFOED-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001717390624 1203633576 /nfs/dbraw/zinc/63/35/76/1203633576.db2.gz JCMVRIINCLNTFA-UMEGNPCGSA-N 0 1 319.276 3.392 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001717399006 1203635956 /nfs/dbraw/zinc/63/59/56/1203635956.db2.gz MINPVZIATWQQAC-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(F)cccc1Cl ZINC001717435193 1203644027 /nfs/dbraw/zinc/64/40/27/1203644027.db2.gz OXCXBJCUYOENIA-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001717496875 1203666078 /nfs/dbraw/zinc/66/60/78/1203666078.db2.gz RURQEICSQWWBAC-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cnccc1C ZINC001717528770 1203672601 /nfs/dbraw/zinc/67/26/01/1203672601.db2.gz FYXONCBEEGJGHM-CQSZACIVSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](NC(=O)c2ccccc2O)C(C)(C)C1 ZINC001717575535 1203683480 /nfs/dbraw/zinc/68/34/80/1203683480.db2.gz GJTIADOJWMHOKS-RHSMWYFYSA-N 0 1 316.445 3.187 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CCCCC(C)C)[C@@H]2C1 ZINC001717603587 1203689152 /nfs/dbraw/zinc/68/91/52/1203689152.db2.gz DZBJNRZLNCQLFB-PHZGNYQRSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1nccc2ccccc21 ZINC001717738820 1203707421 /nfs/dbraw/zinc/70/74/21/1203707421.db2.gz NHTXJXVCZMBSMB-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)c1cccs1 ZINC001717741644 1203707747 /nfs/dbraw/zinc/70/77/47/1203707747.db2.gz UKRYEMLEIULNCQ-RYUDHWBXSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1ccccc1Cl ZINC001717754433 1203710617 /nfs/dbraw/zinc/71/06/17/1203710617.db2.gz QSVFOSRHCLWPLV-GFCCVEGCSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCCc2ccccc2)C1 ZINC001717822473 1203716388 /nfs/dbraw/zinc/71/63/88/1203716388.db2.gz SKFLGRLHWZCBRY-DOTOQJQBSA-N 0 1 320.864 3.341 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C(C)C)cn1)C(C)C ZINC001717949951 1203733589 /nfs/dbraw/zinc/73/35/89/1203733589.db2.gz GVCVMFSCOYUPDR-UHFFFAOYSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(C)c1)C(C)(C)C ZINC001717956984 1203735862 /nfs/dbraw/zinc/73/58/62/1203735862.db2.gz WENTWWNXOAJGHW-HNNXBMFYSA-N 0 1 308.853 3.482 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001718028957 1203744621 /nfs/dbraw/zinc/74/46/21/1203744621.db2.gz LCGXLTSDJAGMET-SFHVURJKSA-N 0 1 324.468 3.342 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001752999100 1203948627 /nfs/dbraw/zinc/94/86/27/1203948627.db2.gz SKUOQFKGAYQCQG-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1CCC(F)(F)C1)C1CC1 ZINC001723302690 1203962532 /nfs/dbraw/zinc/96/25/32/1203962532.db2.gz UWBGPESONICPBR-WCQYABFASA-N 0 1 320.811 3.049 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001723446033 1203973052 /nfs/dbraw/zinc/97/30/52/1203973052.db2.gz INIDGSLSKUYVMZ-SFHVURJKSA-N 0 1 312.457 3.467 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001723451755 1203973693 /nfs/dbraw/zinc/97/36/93/1203973693.db2.gz RDCFTMKWKPZBBN-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001723454086 1203974253 /nfs/dbraw/zinc/97/42/53/1203974253.db2.gz UZSLVHYSUGOGMT-FUHWJXTLSA-N 0 1 320.502 3.474 20 30 DGEDMN Cc1ccc(CNC2(CNC(=O)C#CC(C)C)CC2)c(Cl)c1 ZINC001723513921 1203979806 /nfs/dbraw/zinc/97/98/06/1203979806.db2.gz ADJUAPMIHVXSLV-UHFFFAOYSA-N 0 1 318.848 3.046 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CCC)cc2)CC1 ZINC001723554835 1203982330 /nfs/dbraw/zinc/98/23/30/1203982330.db2.gz MMTUMPVXERMELU-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001723790334 1203992939 /nfs/dbraw/zinc/99/29/39/1203992939.db2.gz FUKRGOTZUBKKCG-SJLPKXTDSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001723796336 1203993034 /nfs/dbraw/zinc/99/30/34/1203993034.db2.gz YNAXXBVXHIEAAV-UHFFFAOYSA-N 0 1 318.436 3.212 20 30 DGEDMN CN(C(=O)CC#Cc1ccccc1)C1CN(CC2CC(C)(C)C2)C1 ZINC001723819941 1203994638 /nfs/dbraw/zinc/99/46/38/1203994638.db2.gz LXTKMSVPSPNQSE-UHFFFAOYSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C(C)C)nc1 ZINC001723906814 1203998362 /nfs/dbraw/zinc/99/83/62/1203998362.db2.gz XHBDLWZIAIFHMK-HNNXBMFYSA-N 0 1 321.852 3.152 20 30 DGEDMN C=C[C@H](CC(=O)NC1(C)CCN(CCF)CC1)c1ccccc1 ZINC001724026512 1204001937 /nfs/dbraw/zinc/00/19/37/1204001937.db2.gz VXUGCRVNPQJBLW-MRXNPFEDSA-N 0 1 318.436 3.287 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C(CC(C)C)CC(C)C)CC1 ZINC001724026441 1204002098 /nfs/dbraw/zinc/00/20/98/1204002098.db2.gz SUUGDDDVWOQBPF-UHFFFAOYSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)C1CC1)C1CCN(C/C=C\Cl)CC1 ZINC001724037655 1204002274 /nfs/dbraw/zinc/00/22/74/1204002274.db2.gz CHSOVFZSDSOYQG-UXLDDYGISA-N 0 1 320.864 3.021 20 30 DGEDMN C=CC1(CC(=O)N[C@]2(C)CCN(CC#CC)C2)CCCCC1 ZINC001724175318 1204011597 /nfs/dbraw/zinc/01/15/97/1204011597.db2.gz NKTAHFUPIDUBLB-GOSISDBHSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCC(=O)NCC1(C)CCN([C@@H](C)c2ncc(C)o2)CC1 ZINC001724283271 1204017578 /nfs/dbraw/zinc/01/75/78/1204017578.db2.gz IYSPZIFLGZNGNA-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2conc2C)C1 ZINC001724322813 1204021473 /nfs/dbraw/zinc/02/14/73/1204021473.db2.gz HEXQWGDJJMLRQR-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001724343117 1204023592 /nfs/dbraw/zinc/02/35/92/1204023592.db2.gz HCFCJRQKNLPPEJ-GZNAHNRGSA-N 0 1 324.468 3.250 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C[C@H](C)CCC)C1 ZINC001724377292 1204025450 /nfs/dbraw/zinc/02/54/50/1204025450.db2.gz WHZZTTJXTWVWTI-CABCVRRESA-N 0 1 316.436 3.462 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001724725335 1204040166 /nfs/dbraw/zinc/04/01/66/1204040166.db2.gz VMDSQTBDDAGLCY-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)CC(C)=C(C)C)CO2 ZINC001724878219 1204061365 /nfs/dbraw/zinc/06/13/65/1204061365.db2.gz ANABOVJYNZYTLL-DLBZAZTESA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C=C(/C)CC)C2 ZINC001724896800 1204064549 /nfs/dbraw/zinc/06/45/49/1204064549.db2.gz RQJVPNRWXJUUGK-QXSWTLKVSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C(C)=C/CC)C2 ZINC001724896510 1204065021 /nfs/dbraw/zinc/06/50/21/1204065021.db2.gz NBYBZHWSDFLLPF-ZZZKQGFOSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001724942259 1204068258 /nfs/dbraw/zinc/06/82/58/1204068258.db2.gz IXGCADHARWBMPW-DOMZBBRYSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CCCC#CC ZINC001725015142 1204080209 /nfs/dbraw/zinc/08/02/09/1204080209.db2.gz YVWFZZKWMXPQAE-HXUWFJFHSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc2c1CCCC2 ZINC001725040028 1204083505 /nfs/dbraw/zinc/08/35/05/1204083505.db2.gz FKLSAEQJKMJHTG-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C(F)F)cc1 ZINC001725049822 1204085629 /nfs/dbraw/zinc/08/56/29/1204085629.db2.gz OBYPOSFFOVTRSI-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2ccc(F)cc2F)C1 ZINC001725112547 1204097129 /nfs/dbraw/zinc/09/71/29/1204097129.db2.gz WRONBWYTAUGZAQ-CHWSQXEVSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(OC(C)C)cc1 ZINC001725128339 1204099908 /nfs/dbraw/zinc/09/99/08/1204099908.db2.gz KWTNANBBCLPNLG-KGLIPLIRSA-N 0 1 324.852 3.323 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H](CCCC)CNCC(=C)Cl)cc1 ZINC001725231346 1204122660 /nfs/dbraw/zinc/12/26/60/1204122660.db2.gz IRUZYXIJPOIMSG-QGZVFWFLSA-N 0 1 318.848 3.299 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001725251935 1204127973 /nfs/dbraw/zinc/12/79/73/1204127973.db2.gz GHFUCXPKFCNZBC-AWEZNQCLSA-N 0 1 304.409 3.037 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCCC[C@H](C)CC)C2)C1 ZINC001725338261 1204138206 /nfs/dbraw/zinc/13/82/06/1204138206.db2.gz NNZPPVFNZBUSRP-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001725464154 1204153496 /nfs/dbraw/zinc/15/34/96/1204153496.db2.gz RSPZZTYSOVVECI-FSBNRTBOSA-N 0 1 319.276 3.344 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001725487658 1204158042 /nfs/dbraw/zinc/15/80/42/1204158042.db2.gz WHDAPTGDHKAFRE-ZIAGYGMSSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C/C=C\Cc1ccccc1 ZINC001725499636 1204159689 /nfs/dbraw/zinc/15/96/89/1204159689.db2.gz XEWWOBNOMOXHFK-PTZVLDCSSA-N 0 1 320.864 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cncc2ccccc21 ZINC001725519879 1204164994 /nfs/dbraw/zinc/16/49/94/1204164994.db2.gz BWPWLIPOJLAXAS-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)[C@@H]1C ZINC001725619587 1204181981 /nfs/dbraw/zinc/18/19/81/1204181981.db2.gz HQAQEOXWIJYMCL-UFFSWFLQSA-N 0 1 324.468 3.391 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(CC(C)C)CCC2)[C@H]1C ZINC001725623668 1204183507 /nfs/dbraw/zinc/18/35/07/1204183507.db2.gz LLTJMAGURGWZCS-SJORKVTESA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC/C=C/c2ccccc2)[C@@H]1C ZINC001725642250 1204189062 /nfs/dbraw/zinc/18/90/62/1204189062.db2.gz UZHCBOIQFYEWEM-DMSRVCJBSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001753063287 1204305507 /nfs/dbraw/zinc/30/55/07/1204305507.db2.gz NNEXQSQEBVEBFQ-GOSISDBHSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H](C)c1cccs1 ZINC001731316855 1204371713 /nfs/dbraw/zinc/37/17/13/1204371713.db2.gz FTPKURLJRICSII-ZDUSSCGKSA-N 0 1 314.882 3.432 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2c(o1)c(F)ccc2C ZINC001753215628 1204336623 /nfs/dbraw/zinc/33/66/23/1204336623.db2.gz VCZSKWKVAMLVDU-UHFFFAOYSA-N 0 1 324.783 3.294 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)Cc2ccsc2)CC1 ZINC001731384717 1204390948 /nfs/dbraw/zinc/39/09/48/1204390948.db2.gz OXMVZXJXXLDBLE-UHFFFAOYSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCCN2CC#CC)CCCC1 ZINC001731543597 1204453904 /nfs/dbraw/zinc/45/39/04/1204453904.db2.gz YZOUZOTVCYTJLY-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001731549017 1204455780 /nfs/dbraw/zinc/45/57/80/1204455780.db2.gz UNQPNMGIIIRNGO-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C(CC)CC)C1 ZINC001731557399 1204460741 /nfs/dbraw/zinc/46/07/41/1204460741.db2.gz RCPAMIKUUHOSPM-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN CCCC[C@H](CC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001731582656 1204471773 /nfs/dbraw/zinc/47/17/73/1204471773.db2.gz YELDAJFODZJXLR-MJGOQNOKSA-N 0 1 314.473 3.349 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001731588256 1204474165 /nfs/dbraw/zinc/47/41/65/1204474165.db2.gz NYOQUKGWYPZJGX-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001731613863 1204482849 /nfs/dbraw/zinc/48/28/49/1204482849.db2.gz DDOXAVDJPQUSRL-LRDDRELGSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001731783014 1204554791 /nfs/dbraw/zinc/55/47/91/1204554791.db2.gz AZRAFGMFOHARIB-SFHVURJKSA-N 0 1 306.425 3.284 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@@H](CCC)CC1CCCCC1 ZINC001731786422 1204557802 /nfs/dbraw/zinc/55/78/02/1204557802.db2.gz SVYBRVZNIONJAB-SFHVURJKSA-N 0 1 306.494 3.444 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H](C)c1ccccc1C ZINC001731837126 1204595482 /nfs/dbraw/zinc/59/54/82/1204595482.db2.gz ZFHOSDZZOPITPD-ROUUACIJSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@H](C)c2cc(F)ccc2F)C1 ZINC001731841983 1204598978 /nfs/dbraw/zinc/59/89/78/1204598978.db2.gz JDGDNPFRMLCDKE-ZIAGYGMSSA-N 0 1 322.399 3.430 20 30 DGEDMN CC#CCN(CCNC(=O)C1CC(C)(C)CC(C)(C)C1)C1CC1 ZINC001731881098 1204620485 /nfs/dbraw/zinc/62/04/85/1204620485.db2.gz NYGQTNYCOLOSBL-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN(CCNC(=O)CC[C@@H](C)c1ccccc1)C1CC1 ZINC001731882736 1204623512 /nfs/dbraw/zinc/62/35/12/1204623512.db2.gz SEMBOINIIBNTSK-QGZVFWFLSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN(CCNC(=O)c1ccc(Cl)c(CCC)c1)C1CC1 ZINC001731884285 1204623950 /nfs/dbraw/zinc/62/39/50/1204623950.db2.gz ILSNHANKRRYISH-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(C)CCC(F)(F)CC1 ZINC001731924410 1204631113 /nfs/dbraw/zinc/63/11/13/1204631113.db2.gz KGOIUFUXTPRCSP-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN CCC[C@@H](C(=O)N(C)CCNCc1ccccc1C#N)C(C)C ZINC001731958233 1204636882 /nfs/dbraw/zinc/63/68/82/1204636882.db2.gz CFLHRPONEQNQHW-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001731967134 1204637548 /nfs/dbraw/zinc/63/75/48/1204637548.db2.gz QWDPIGYJEHXRRO-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C(C)(C)C1CC1)C(C)C ZINC001732011813 1204647268 /nfs/dbraw/zinc/64/72/68/1204647268.db2.gz AHIJVQYUPYEXBA-UHFFFAOYSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc[nH]c1C(C)C)c1ccccc1 ZINC001732022268 1204652568 /nfs/dbraw/zinc/65/25/68/1204652568.db2.gz NRLOHRRCOUEEGZ-GOSISDBHSA-N 0 1 323.440 3.222 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC[C@@H](F)CC)c1ccccc1 ZINC001732024748 1204653206 /nfs/dbraw/zinc/65/32/06/1204653206.db2.gz XYENZTDKTTZWJJ-JKSUJKDBSA-N 0 1 306.425 3.394 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CC(C(C)(C)C)C1)c1ccccc1 ZINC001732026684 1204654041 /nfs/dbraw/zinc/65/40/41/1204654041.db2.gz MASVVOJHZKOIEX-DAWZGUTISA-N 0 1 312.457 3.139 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC(=C)C)CCCCC1 ZINC001732035170 1204658188 /nfs/dbraw/zinc/65/81/88/1204658188.db2.gz UFYBEWFZJTXSLQ-HDICACEKSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2cccc(C)c2)C1 ZINC001732038406 1204659900 /nfs/dbraw/zinc/65/99/00/1204659900.db2.gz GPRVJGXXUIOKDG-IBGZPJMESA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)c2ccncc2)C1 ZINC001732040247 1204661314 /nfs/dbraw/zinc/66/13/14/1204661314.db2.gz XMDSISDKRMBQEE-FUHWJXTLSA-N 0 1 315.461 3.074 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC2(C)CCCCC2)C1 ZINC001732039707 1204661624 /nfs/dbraw/zinc/66/16/24/1204661624.db2.gz RVDQHAIVLTVDDT-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)c2ccncc2)C1 ZINC001732040246 1204661811 /nfs/dbraw/zinc/66/18/11/1204661811.db2.gz XMDSISDKRMBQEE-AEFFLSMTSA-N 0 1 315.461 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(F)c(C)c2)C1 ZINC001732052140 1204669609 /nfs/dbraw/zinc/66/96/09/1204669609.db2.gz DUIMFRSZOUSRQX-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@H]2C=CCCC2)C1 ZINC001732073361 1204679410 /nfs/dbraw/zinc/67/94/10/1204679410.db2.gz YTBKJPXUBZSNJC-JKSUJKDBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001732110979 1204696447 /nfs/dbraw/zinc/69/64/47/1204696447.db2.gz YRNJWTCHISQTJO-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccc(F)cc2F)C1 ZINC001732113645 1204698449 /nfs/dbraw/zinc/69/84/49/1204698449.db2.gz SEOTTWREAOKACR-ZDUSSCGKSA-N 0 1 322.399 3.053 20 30 DGEDMN C#CCC1(C(=O)NC2CN(C[C@H](C)CC(C)C)C2)CCCCC1 ZINC001732118961 1204701358 /nfs/dbraw/zinc/70/13/58/1204701358.db2.gz LJXLTFSWALGDHJ-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(CC)c1 ZINC001732147788 1204715008 /nfs/dbraw/zinc/71/50/08/1204715008.db2.gz CLLWXTFRHYBRKF-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001732153099 1204715723 /nfs/dbraw/zinc/71/57/23/1204715723.db2.gz WOJRXYNNCUYJAR-PKOBYXMFSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001732158440 1204719877 /nfs/dbraw/zinc/71/98/77/1204719877.db2.gz OYFROOPCIIOLAC-RHSMWYFYSA-N 0 1 304.409 3.386 20 30 DGEDMN CCN(C(=O)C#CC(C)C)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001732185270 1204737103 /nfs/dbraw/zinc/73/71/03/1204737103.db2.gz YRIOVARZOQTZPO-NHHWLVAYSA-N 0 1 324.468 3.282 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)Cc2coc3ccccc23)C1 ZINC001732185723 1204737180 /nfs/dbraw/zinc/73/71/80/1204737180.db2.gz PDOGYVWLDGIKQD-INIZCTEOSA-N 0 1 312.413 3.084 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1cc(F)ccc1F ZINC001732199756 1204746609 /nfs/dbraw/zinc/74/66/09/1204746609.db2.gz HOUQDYQHWKJJRS-HNNXBMFYSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2c(c1)CCCC2 ZINC001732218648 1204756158 /nfs/dbraw/zinc/75/61/58/1204756158.db2.gz GZGBQWGJDPONHW-QGZVFWFLSA-N 0 1 320.864 3.416 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2cnc(C)o2)CCCC1 ZINC001732221471 1204757123 /nfs/dbraw/zinc/75/71/23/1204757123.db2.gz JINWOCREMUPSMJ-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CCC2CC2)cc1 ZINC001732315670 1204788887 /nfs/dbraw/zinc/78/88/87/1204788887.db2.gz NUOVZHHRFASVEO-UHFFFAOYSA-N 0 1 306.837 3.335 20 30 DGEDMN CC[C@H](C)C(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001732389742 1204815078 /nfs/dbraw/zinc/81/50/78/1204815078.db2.gz LKPBQXBDFNAERN-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cscn2)CCCC1 ZINC001732395877 1204818534 /nfs/dbraw/zinc/81/85/34/1204818534.db2.gz WPWQDTDQQCCVAX-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001732440975 1204842322 /nfs/dbraw/zinc/84/23/22/1204842322.db2.gz QPKSNFSWRRJEIW-HNNXBMFYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)/C=C/C(C)(C)C ZINC001732464415 1204856951 /nfs/dbraw/zinc/85/69/51/1204856951.db2.gz VJEHACUSELZHJV-NPQIQWPPSA-N 0 1 300.874 3.462 20 30 DGEDMN C/C=C(\C)C(=O)N(CC)CCNCC#Cc1ccc(Cl)cc1 ZINC001732766293 1204940502 /nfs/dbraw/zinc/94/05/02/1204940502.db2.gz CNMFAIZORRGCJK-SYZQJQIISA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1nsc2ccccc21 ZINC001732777212 1204941772 /nfs/dbraw/zinc/94/17/72/1204941772.db2.gz RLRRXIYJFQMALD-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN CC(C(=O)NC/C=C\CNCC#Cc1ccccc1)=C1CCCC1 ZINC001732840124 1204950796 /nfs/dbraw/zinc/95/07/96/1204950796.db2.gz WWFUQFPIWWTPJQ-FPLPWBNLSA-N 0 1 322.452 3.191 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cccc(C(C)C)n1 ZINC001732885779 1204954433 /nfs/dbraw/zinc/95/44/33/1204954433.db2.gz MIOIRDWTTNOJEC-BQYQJAHWSA-N 0 1 315.461 3.179 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001732950012 1204963618 /nfs/dbraw/zinc/96/36/18/1204963618.db2.gz FPIHWSKGAPFLAQ-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001732967693 1204967715 /nfs/dbraw/zinc/96/77/15/1204967715.db2.gz HPRUYCMKJHNWLC-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001732968279 1204967784 /nfs/dbraw/zinc/96/77/84/1204967784.db2.gz BUHLGIVGEDXCRM-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1ccc(F)cc1Cl ZINC001732970085 1204968565 /nfs/dbraw/zinc/96/85/65/1204968565.db2.gz SQWFFWPXFKWKGH-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001732979913 1204972457 /nfs/dbraw/zinc/97/24/57/1204972457.db2.gz IQBHOZVDQFKJRW-JISDDCRVSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(CCC)s1 ZINC001732997801 1204977329 /nfs/dbraw/zinc/97/73/29/1204977329.db2.gz PVGXYVNWKLMYPQ-NSHDSACASA-N 0 1 300.855 3.161 20 30 DGEDMN CCC[C@](C)(CC)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001733017621 1204982664 /nfs/dbraw/zinc/98/26/64/1204982664.db2.gz OXZYJESWKIJGEJ-KXBFYZLASA-N 0 1 315.461 3.369 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(Cl)sc1Cl ZINC001733046034 1204997975 /nfs/dbraw/zinc/99/79/75/1204997975.db2.gz UOSIJCVIVQHRHV-VIFPVBQESA-N 0 1 319.257 3.128 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N2CC[C@@H]2CNC(=O)C#CC(C)C)c1 ZINC001733212459 1205046266 /nfs/dbraw/zinc/04/62/66/1205046266.db2.gz OUTREZXNQXKNMF-QZTJIDSGSA-N 0 1 312.457 3.214 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001733219172 1205051150 /nfs/dbraw/zinc/05/11/50/1205051150.db2.gz KONQJOYYNYCWDW-KRWDZBQOSA-N 0 1 300.446 3.206 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001733238982 1205065367 /nfs/dbraw/zinc/06/53/67/1205065367.db2.gz WWUZMJPBQORPAT-CJNGLKHVSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(F)cccc2Cl)CC1 ZINC001733261385 1205073455 /nfs/dbraw/zinc/07/34/55/1205073455.db2.gz AEYCVHUZOXLFMA-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CC3CCCCC3)C2)CCC1 ZINC001733313980 1205092066 /nfs/dbraw/zinc/09/20/66/1205092066.db2.gz TZVQTOGGESKEQT-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3cc[nH]c32)C1 ZINC001733313843 1205092361 /nfs/dbraw/zinc/09/23/61/1205092361.db2.gz VYDHCUYXLWAQRE-AWEZNQCLSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(C)cccc2F)C1 ZINC001733319457 1205094959 /nfs/dbraw/zinc/09/49/59/1205094959.db2.gz RWMGDXMGFLNTML-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1c(C)cccc1F ZINC001733354881 1205103960 /nfs/dbraw/zinc/10/39/60/1205103960.db2.gz SDRICIOANKAGDD-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CCC)C1CCN(C/C=C\Cl)CC1 ZINC001733367289 1205107818 /nfs/dbraw/zinc/10/78/18/1205107818.db2.gz XYQVYWSCJZIWDQ-CXSQMQJXSA-N 0 1 324.896 3.491 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](CCC)C(C)C)C1 ZINC001733476593 1205148926 /nfs/dbraw/zinc/14/89/26/1205148926.db2.gz JDFCFTJWBATEIS-LSDHHAIUSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001733475596 1205149647 /nfs/dbraw/zinc/14/96/47/1205149647.db2.gz BKVYOWZIIXRTPG-HOCLYGCPSA-N 0 1 314.420 3.238 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](CCCC)C(C)C)[C@@H]2C1 ZINC001733605016 1205172354 /nfs/dbraw/zinc/17/23/54/1205172354.db2.gz AXGOPLNXRHKHLU-OKZBNKHCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2c2ccsc2)CCC1 ZINC001733718610 1205193142 /nfs/dbraw/zinc/19/31/42/1205193142.db2.gz OWULLYJAPSKSRO-UONOGXRCSA-N 0 1 324.877 3.233 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1scnc1C ZINC001733771869 1205209156 /nfs/dbraw/zinc/20/91/56/1205209156.db2.gz ZMQULLXUBHEULA-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)C(C)(C)CCC)CO2 ZINC001733802191 1205220780 /nfs/dbraw/zinc/22/07/80/1205220780.db2.gz NFGKRRCHIPMOTP-HZPDHXFCSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)/C=C(/C)CC ZINC001733809068 1205222901 /nfs/dbraw/zinc/22/29/01/1205222901.db2.gz RRYXBNSFKRGPCW-LZOLKVDOSA-N 0 1 312.457 3.462 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C[C@H](C=C)CC ZINC001733812936 1205225055 /nfs/dbraw/zinc/22/50/55/1205225055.db2.gz VJZRRYVSJGWSAV-YLJYHZDGSA-N 0 1 324.468 3.155 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001733942996 1205267470 /nfs/dbraw/zinc/26/74/70/1205267470.db2.gz FQRGRXDCRUEFBY-FGTMMUONSA-N 0 1 316.420 3.038 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001733959061 1205270637 /nfs/dbraw/zinc/27/06/37/1205270637.db2.gz INPDILTTYFTXCO-KBPBESRZSA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001733959063 1205271061 /nfs/dbraw/zinc/27/10/61/1205271061.db2.gz INPDILTTYFTXCO-UONOGXRCSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(C2CC2)c1 ZINC001734003674 1205285865 /nfs/dbraw/zinc/28/58/65/1205285865.db2.gz GQPVXCFXZOUZFJ-QWHCGFSZSA-N 0 1 306.837 3.413 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(C)c(C)cc1Cl ZINC001734046146 1205303191 /nfs/dbraw/zinc/30/31/91/1205303191.db2.gz VRURCABVUWIEBG-CQSZACIVSA-N 0 1 306.837 3.030 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001734051706 1205306106 /nfs/dbraw/zinc/30/61/06/1205306106.db2.gz ROQPXDUVJWQOHU-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H](CCCC)CNCc1ccccc1C#N ZINC001734094194 1205320102 /nfs/dbraw/zinc/32/01/02/1205320102.db2.gz GNQSDDQIARSVHR-IQXODGKNSA-N 0 1 313.445 3.289 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001734121231 1205329336 /nfs/dbraw/zinc/32/93/36/1205329336.db2.gz IAKLIANSIAUYHI-HGZMWJMZSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)Cc2cccc(F)c2F)CC1 ZINC001734267171 1205351811 /nfs/dbraw/zinc/35/18/11/1205351811.db2.gz WWJIGESFXKFENB-UHFFFAOYSA-N 0 1 322.399 3.354 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1nccc2ccsc21 ZINC001734329537 1205365582 /nfs/dbraw/zinc/36/55/82/1205365582.db2.gz GXRCQLLMZFNIEW-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)[C@H](CC)CCC ZINC001734349520 1205369402 /nfs/dbraw/zinc/36/94/02/1205369402.db2.gz QINJNBMDEKLABU-QWHCGFSZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)[C@H]1C ZINC001734397212 1205372456 /nfs/dbraw/zinc/37/24/56/1205372456.db2.gz ZIQQAFQKJIKVNZ-CXAGYDPISA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cccc(OC)c2)[C@@H]1C ZINC001734451179 1205379014 /nfs/dbraw/zinc/37/90/14/1205379014.db2.gz QVUDJDSOYXRJJJ-QAPCUYQASA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)[C@@H]1C ZINC001734463201 1205382220 /nfs/dbraw/zinc/38/22/20/1205382220.db2.gz WRNDMUCTAVMAMU-CTMIRSMHSA-N 0 1 310.441 3.001 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2ccns2)CC1 ZINC001734586585 1205401246 /nfs/dbraw/zinc/40/12/46/1205401246.db2.gz SCOLJYVKNNKHAO-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCc1ccc(CNCC#CC)cc1F ZINC001734641073 1205408561 /nfs/dbraw/zinc/40/85/61/1205408561.db2.gz AGIXZFWMOYMAIG-HNNXBMFYSA-N 0 1 316.420 3.157 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1csc(C)c1C ZINC001666421008 1197259847 /nfs/dbraw/zinc/25/98/47/1197259847.db2.gz DLRCQAMYRPOIRQ-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN CCCc1cc(C#N)c(N2C[C@@H](C)N(C)C[C@@H]2C)nc1Cl ZINC001164641821 719211895 /nfs/dbraw/zinc/21/18/95/719211895.db2.gz VIRNYJCQPMOBTE-NEPJUHHUSA-N 0 1 306.841 3.088 20 30 DGEDMN C[C@@H]1CN(c2nc3c(cc2C#N)CCCC3(C)C)[C@H](C)CN1C ZINC001164637900 719254842 /nfs/dbraw/zinc/25/48/42/719254842.db2.gz XCZRCPFHHUFHFU-ZIAGYGMSSA-N 0 1 312.461 3.096 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)cc(OC)c1C ZINC001668487259 1197415973 /nfs/dbraw/zinc/41/59/73/1197415973.db2.gz NIJDWDYGRWNVME-UHFFFAOYSA-N 0 1 324.852 3.116 20 30 DGEDMN CC(C)(C)C[N@@H+](CC(=O)[O-])Cc1ccc(C2(C#N)CC2)cc1 ZINC001588655704 960409551 /nfs/dbraw/zinc/40/95/51/960409551.db2.gz ITWUTPAKBYCSOH-UHFFFAOYSA-N 0 1 300.402 3.174 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2CCc3c(cccc3C(=O)[O-])C2)cc1 ZINC000399035976 973188832 /nfs/dbraw/zinc/18/88/32/973188832.db2.gz OEQQWEOSRVTRKC-UHFFFAOYSA-N 0 1 306.365 3.009 20 30 DGEDMN CCC(CC)C[N@H+](CCC#N)Cc1cc(C(=O)[O-])c(C)n1C ZINC001595111551 979458272 /nfs/dbraw/zinc/45/82/72/979458272.db2.gz LHAMDWKMZIPIHO-UHFFFAOYSA-N 0 1 305.422 3.184 20 30 DGEDMN CCC(CC)C[N@@H+](CCC#N)Cc1cc(C(=O)[O-])c(C)n1C ZINC001595111551 979458274 /nfs/dbraw/zinc/45/82/74/979458274.db2.gz LHAMDWKMZIPIHO-UHFFFAOYSA-N 0 1 305.422 3.184 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1ccc(C#N)c(OC)c1)CC1CC1 ZINC001594788338 981835116 /nfs/dbraw/zinc/83/51/16/981835116.db2.gz VFTZGCCKXZUOCM-SFHVURJKSA-N 0 1 316.401 3.032 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC001595704601 982276889 /nfs/dbraw/zinc/27/68/89/982276889.db2.gz CJWNATXBGQXJKM-GJZGRUSLSA-N 0 1 313.438 3.075 20 30 DGEDMN CCC[N@@H+](Cc1ccc(C#N)cc1)Cc1cncc(C(=O)[O-])c1 ZINC001595886048 982913042 /nfs/dbraw/zinc/91/30/42/982913042.db2.gz UQVREVRDNWPZGM-UHFFFAOYSA-N 0 1 309.369 3.064 20 30 DGEDMN C#CC[C@H]1CC[N@H+](Cc2ccc(-c3ccc(C(=O)[O-])cc3)o2)C1 ZINC001588434877 983472817 /nfs/dbraw/zinc/47/28/17/983472817.db2.gz WTBFXRRQHPBBDS-AWEZNQCLSA-N 0 1 309.365 3.490 20 30 DGEDMN C#CC[N@H+](Cc1ccc(-c2ccccc2C(=O)[O-])o1)CC1CC1 ZINC001588469506 983502142 /nfs/dbraw/zinc/50/21/42/983502142.db2.gz IXWNTYLTNNDVJE-UHFFFAOYSA-N 0 1 309.365 3.490 20 30 DGEDMN C#CCOc1ccccc1C[N@@H+](C)Cc1ccc(C(=O)[O-])cc1 ZINC001588504258 983546951 /nfs/dbraw/zinc/54/69/51/983546951.db2.gz OVWDVAARFNBQAF-UHFFFAOYSA-N 0 1 309.365 3.029 20 30 DGEDMN C=C(C)CN1CCC([NH2+]Cc2cc(C(=O)[O-])ccc2Cl)CC1 ZINC001588585690 983664113 /nfs/dbraw/zinc/66/41/13/983664113.db2.gz UNFYUZHKNLIACK-UHFFFAOYSA-N 0 1 322.836 3.168 20 30 DGEDMN C=CCCCCC[N@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001588799689 984241242 /nfs/dbraw/zinc/24/12/42/984241242.db2.gz APKIMWMLBOCQFM-UHFFFAOYSA-N 0 1 304.390 3.002 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-] ZINC001588800070 984244174 /nfs/dbraw/zinc/24/41/74/984244174.db2.gz ZDDWCZFHBMBJDX-UHFFFAOYSA-N 0 1 306.362 3.471 20 30 DGEDMN C[C@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1ccc(F)c(F)c1 ZINC001599901246 984830895 /nfs/dbraw/zinc/83/08/95/984830895.db2.gz CQPCDRMWPCPHIR-JTQLQIEISA-N 0 1 316.307 3.385 20 30 DGEDMN CC(=[NH+]Nc1ccc(CC(=O)[O-])cc1)c1ccccc1N(C)C ZINC001589016545 984996558 /nfs/dbraw/zinc/99/65/58/984996558.db2.gz YHLQPEZDLXORTO-UHFFFAOYSA-N 0 1 311.385 3.216 20 30 DGEDMN C[C@]12C[N@H+]([C@@H](C(=O)[O-])c3ccc(C#N)cc3)C[C@H]1[C@H]1CC[C@@H]2C1 ZINC001599938478 985425166 /nfs/dbraw/zinc/42/51/66/985425166.db2.gz USDIQGOVLKHMCJ-HTBDUDNFSA-N 0 1 310.397 3.052 20 30 DGEDMN C[C@H](C(=O)[O-])[N@@H+](Cc1ccc(C(C)(C)C#N)cc1)C1CCC1 ZINC001589487102 987042713 /nfs/dbraw/zinc/04/27/13/987042713.db2.gz QRNNYGRSNXBJIC-CYBMUJFWSA-N 0 1 300.402 3.315 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@@H]1CCCC[C@H]1C(=O)[O-] ZINC001593698457 996164757 /nfs/dbraw/zinc/16/47/57/996164757.db2.gz LAVQIQOVXYKQON-XOKHGSTOSA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@H](C(=O)[O-])C1 ZINC001594426432 999594064 /nfs/dbraw/zinc/59/40/64/999594064.db2.gz LQFMOFYGAFYUPH-BBRMVZONSA-N 0 1 300.402 3.173 20 30 DGEDMN CN(Cc1ccc(Br)n1C)Cc1ccc(C#N)cc1 ZINC001248775890 1105159962 /nfs/dbraw/zinc/15/99/62/1105159962.db2.gz YCUFMRDBLOEDGA-UHFFFAOYSA-N 0 1 318.218 3.291 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCc2cc(C)sc2C)C1 ZINC001266251643 1081578713 /nfs/dbraw/zinc/57/87/13/1081578713.db2.gz DWZPJMXEGYZSAA-QGZVFWFLSA-N 0 1 320.502 3.454 20 30 DGEDMN C=CCCC(=O)NCCN(C)C[C@H]1[C@H](c2ccccc2)C1(F)F ZINC001266262150 1081593496 /nfs/dbraw/zinc/59/34/96/1081593496.db2.gz IMIYQSWZHUUXHJ-RDJZCZTQSA-N 0 1 322.399 3.050 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](F)CC)C[C@H]2C)CCCC1 ZINC001211493191 1081623519 /nfs/dbraw/zinc/62/35/19/1081623519.db2.gz ZJNYPRHEMQSYKA-BZUAXINKSA-N 0 1 310.457 3.308 20 30 DGEDMN C=CCN(CCN1Cc2ccccc2C[C@@H]1C)C(=O)OCC ZINC001209021214 1081675342 /nfs/dbraw/zinc/67/53/42/1081675342.db2.gz SQFSVJDOBSCGHF-HNNXBMFYSA-N 0 1 302.418 3.078 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001266362053 1081702135 /nfs/dbraw/zinc/70/21/35/1081702135.db2.gz XKQXEEDHSZKBTB-AEFFLSMTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H](C)C(C)(C)C)CC1 ZINC001266373593 1081714520 /nfs/dbraw/zinc/71/45/20/1081714520.db2.gz HDUXZLLGRCNVMC-UONOGXRCSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2CCCCC2)CC1 ZINC001266382221 1081721936 /nfs/dbraw/zinc/72/19/36/1081721936.db2.gz JMPOLVPAKSPQDF-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)C2CCCC2)CC1 ZINC001266392812 1081737663 /nfs/dbraw/zinc/73/76/63/1081737663.db2.gz OCKFHWRIKUEUKL-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccoc1C1CC1)C1CC1 ZINC001266427271 1081790686 /nfs/dbraw/zinc/79/06/86/1081790686.db2.gz VWFPWKLZGCMFNB-CQSZACIVSA-N 0 1 308.809 3.008 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109353720 1081809480 /nfs/dbraw/zinc/80/94/80/1081809480.db2.gz UILRFKMXEJDLBR-AGIUHOORSA-N 0 1 304.356 3.017 20 30 DGEDMN CC(C)CCCC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001167238728 1081836552 /nfs/dbraw/zinc/83/65/52/1081836552.db2.gz KODXKHTZYNIHJO-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001266451760 1081840387 /nfs/dbraw/zinc/84/03/87/1081840387.db2.gz GMRUYQJQNIMTNJ-NCOADZHNSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccc3[nH]ccc3c1)C2 ZINC001109530481 1081861684 /nfs/dbraw/zinc/86/16/84/1081861684.db2.gz KBJXNVXLQNBJDX-NEWSRXKRSA-N 0 1 323.440 3.008 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)C(C)(F)F)C1 ZINC001266466308 1081864005 /nfs/dbraw/zinc/86/40/05/1081864005.db2.gz MGLIXQCCQHODAS-OLZOCXBDSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCOC(C)C ZINC001109634369 1081888991 /nfs/dbraw/zinc/88/89/91/1081888991.db2.gz KUMYRVAFKMNRHO-MHORFTMASA-N 0 1 322.493 3.125 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC/C=C/c1ccccc1)C2 ZINC001109712720 1081902782 /nfs/dbraw/zinc/90/27/82/1081902782.db2.gz CBVRPTHGYNTOFH-QURSKLJASA-N 0 1 322.452 3.225 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(CC(C)C)CC(C)C ZINC001266501743 1081907593 /nfs/dbraw/zinc/90/75/93/1081907593.db2.gz JCJCUILTGVDLAO-KDURUIRLSA-N 0 1 318.505 3.393 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)CC1CCCC1)C2 ZINC001109794936 1081917050 /nfs/dbraw/zinc/91/70/50/1081917050.db2.gz PQKRVQVNMXHLLY-YRXWBPOGSA-N 0 1 316.489 3.338 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC001266518310 1081935221 /nfs/dbraw/zinc/93/52/21/1081935221.db2.gz YHUNTCISSBULLF-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](CC)C(C)(C)C)C2 ZINC001109966346 1081941829 /nfs/dbraw/zinc/94/18/29/1081941829.db2.gz MLBOUXMXDWKYDI-YVSFHVDLSA-N 0 1 304.478 3.194 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CCC)c1ccccc1)C2 ZINC001110070097 1081959010 /nfs/dbraw/zinc/95/90/10/1081959010.db2.gz JDCHMGVJMLWYMD-FYQPLNBISA-N 0 1 324.468 3.315 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2cccs2)CC1 ZINC001112597510 1081969449 /nfs/dbraw/zinc/96/94/49/1081969449.db2.gz DLYJQHFGIYORJW-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H](C)SC)CC1 ZINC001112773273 1082000570 /nfs/dbraw/zinc/00/05/70/1082000570.db2.gz HDDNXWSPRSSLRU-OAHLLOKOSA-N 0 1 300.512 3.243 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001112774412 1082001608 /nfs/dbraw/zinc/00/16/08/1082001608.db2.gz VZHOCZWAGISDAD-LJQANCHMSA-N 0 1 310.441 3.012 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC001112775331 1082002362 /nfs/dbraw/zinc/00/23/62/1082002362.db2.gz QGSSOYKRVSBTDR-IBGZPJMESA-N 0 1 312.457 3.476 20 30 DGEDMN C#CCCCC(=O)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001112809530 1082008403 /nfs/dbraw/zinc/00/84/03/1082008403.db2.gz KBHNUABVAHYPQP-LJQANCHMSA-N 0 1 310.441 3.012 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC[C@H]2CCc3ccccc32)CC1 ZINC001112809558 1082008451 /nfs/dbraw/zinc/00/84/51/1082008451.db2.gz KWOCEAKOFGGXMC-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(CCC2CCCCCC2)CC1 ZINC001112833094 1082015384 /nfs/dbraw/zinc/01/53/84/1082015384.db2.gz GLHOWXLZKTUIIW-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001112851030 1082023580 /nfs/dbraw/zinc/02/35/80/1082023580.db2.gz RLEBMKCRYAOISH-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN CCCN(C/C=C\Cl)CCNC(=O)CC#Cc1ccccc1 ZINC001266579183 1082025625 /nfs/dbraw/zinc/02/56/25/1082025625.db2.gz HOYYDAZFBWHGLN-GHXNOFRVSA-N 0 1 318.848 3.009 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(Cl)c2C)CC1 ZINC001112869851 1082030406 /nfs/dbraw/zinc/03/04/06/1082030406.db2.gz WLNGLPWZEFOMNJ-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001167370154 1082032938 /nfs/dbraw/zinc/03/29/38/1082032938.db2.gz ZAQYSGCEYDQJGA-UHFFFAOYSA-N 0 1 321.465 3.170 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCN(CCC2CCCC2)CC1 ZINC001112886081 1082035768 /nfs/dbraw/zinc/03/57/68/1082035768.db2.gz ZYWOPKLLNDDYGN-UHFFFAOYSA-N 0 1 304.478 3.479 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001112896000 1082041363 /nfs/dbraw/zinc/04/13/63/1082041363.db2.gz AVEQHIRXZMRAIW-SFHVURJKSA-N 0 1 312.457 3.420 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H](OCC)C(=C)C)CC1 ZINC001112907060 1082047860 /nfs/dbraw/zinc/04/78/60/1082047860.db2.gz XQHXJFVXDOQNIT-SFHVURJKSA-N 0 1 322.493 3.248 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2(COC)CCCC2)CC1 ZINC001112934865 1082055480 /nfs/dbraw/zinc/05/54/80/1082055480.db2.gz SXGJLEXWHAINRY-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C(C)C)nc2)CC1 ZINC001112964478 1082068529 /nfs/dbraw/zinc/06/85/29/1082068529.db2.gz XYFFRRQZPKCWKP-INIZCTEOSA-N 0 1 315.461 3.318 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)co1 ZINC001125451751 1082076526 /nfs/dbraw/zinc/07/65/26/1082076526.db2.gz ZQGXYTVICXZTAL-OAHLLOKOSA-N 0 1 322.324 3.131 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cncc(Cl)c2C)CC1 ZINC001112985462 1082076729 /nfs/dbraw/zinc/07/67/29/1082076729.db2.gz JMHWMQOBGQABJQ-CYBMUJFWSA-N 0 1 321.852 3.156 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(C)c(Cl)c2)CC1 ZINC001113003234 1082082344 /nfs/dbraw/zinc/08/23/44/1082082344.db2.gz WEACZMDOKFJNIO-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)COC2CCCCC2)CC1 ZINC001113053594 1082102178 /nfs/dbraw/zinc/10/21/78/1082102178.db2.gz KFWSQRCZFVHQIA-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(C(C)C)n2)CC1 ZINC001113071748 1082107508 /nfs/dbraw/zinc/10/75/08/1082107508.db2.gz RVOGJJWFLFYPGY-INIZCTEOSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(CC)s2)CC1 ZINC001113076801 1082109124 /nfs/dbraw/zinc/10/91/24/1082109124.db2.gz HJCTUHMNXYEDDA-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)sc2C)CC1 ZINC001113076058 1082109563 /nfs/dbraw/zinc/10/95/63/1082109563.db2.gz NPWZGYPMCNTLNO-CYBMUJFWSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cccc(C)c2Cl)CC1 ZINC001113094513 1082113617 /nfs/dbraw/zinc/11/36/17/1082113617.db2.gz XLVCXUBNMFIVAF-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001266652110 1082115860 /nfs/dbraw/zinc/11/58/60/1082115860.db2.gz QYAPZEWFASVUER-UCMJJCQDSA-N 0 1 310.869 3.453 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2CCCC[C@H]2C2CC2)CC1 ZINC001113141614 1082124058 /nfs/dbraw/zinc/12/40/58/1082124058.db2.gz BISXRGYJWJPEII-ROUUACIJSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cccc(C3CC3)c2)CC1 ZINC001113139719 1082125940 /nfs/dbraw/zinc/12/59/40/1082125940.db2.gz YCAWNUZLUYKAJW-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(C3CCC3)CCC2)CC1 ZINC001113161886 1082128807 /nfs/dbraw/zinc/12/88/07/1082128807.db2.gz NRYNDBNPOXJMET-INIZCTEOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2oc(C)cc2C)C1 ZINC001086581011 1082130066 /nfs/dbraw/zinc/13/00/66/1082130066.db2.gz DJQFSELDPJGRSC-ZWKOTPCHSA-N 0 1 324.424 3.280 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](OCC)C2CCCC2)CC1 ZINC001113167012 1082130245 /nfs/dbraw/zinc/13/02/45/1082130245.db2.gz WJQSUKLPBXXKMM-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3ccsc3[nH]2)CC1 ZINC001113174296 1082131301 /nfs/dbraw/zinc/13/13/01/1082131301.db2.gz BVXUTXKLHHLCMF-CYBMUJFWSA-N 0 1 317.458 3.342 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC001113218559 1082142248 /nfs/dbraw/zinc/14/22/48/1082142248.db2.gz DXHIFHXIGTVOLZ-LJQANCHMSA-N 0 1 312.457 3.476 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001113228939 1082143154 /nfs/dbraw/zinc/14/31/54/1082143154.db2.gz AEVMKUUXDLEFAD-SJORKVTESA-N 0 1 304.478 3.479 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001113228938 1082143197 /nfs/dbraw/zinc/14/31/97/1082143197.db2.gz AEVMKUUXDLEFAD-IRXDYDNUSA-N 0 1 304.478 3.479 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113230275 1082143861 /nfs/dbraw/zinc/14/38/61/1082143861.db2.gz ZXBATZCSMGJNPO-JKSUJKDBSA-N 0 1 304.478 3.169 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001113247951 1082147686 /nfs/dbraw/zinc/14/76/86/1082147686.db2.gz LOMIWKNJFDOBHC-CABCVRRESA-N 0 1 314.420 3.168 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2C[C@@H](NC/C(Cl)=C\Cl)C2)CC1 ZINC001266711332 1082161432 /nfs/dbraw/zinc/16/14/32/1082161432.db2.gz SSWHPTBLCXVDNP-SBHOUVOZSA-N 0 1 317.260 3.289 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3ncccc3c2)CC1 ZINC001113292060 1082166878 /nfs/dbraw/zinc/16/68/78/1082166878.db2.gz NSDAEOXBCWPTEB-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3ncccc3c2)CC1 ZINC001113292061 1082167121 /nfs/dbraw/zinc/16/71/21/1082167121.db2.gz NSDAEOXBCWPTEB-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2cc(C)on2)CC1 ZINC001113335862 1082179918 /nfs/dbraw/zinc/17/99/18/1082179918.db2.gz URKABEZLSASHNS-UHFFFAOYSA-N 0 1 321.465 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113390496 1082193587 /nfs/dbraw/zinc/19/35/87/1082193587.db2.gz FXQWNQHVQAUARQ-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)Cc2cccs2)CC1 ZINC001113391446 1082194446 /nfs/dbraw/zinc/19/44/46/1082194446.db2.gz DEWVGTUNLPZQKP-JKSUJKDBSA-N 0 1 320.502 3.426 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@H]2CCCCO2)CC1 ZINC001113391491 1082194598 /nfs/dbraw/zinc/19/45/98/1082194598.db2.gz GKXCDLDVVCPVMI-GOSISDBHSA-N 0 1 324.509 3.450 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2c[nH]nc2C2CC2)CC1 ZINC001113488822 1082229519 /nfs/dbraw/zinc/22/95/19/1082229519.db2.gz QJZKYKXKCZOEGY-UHFFFAOYSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C2CCC2)C1 ZINC001086595018 1082230284 /nfs/dbraw/zinc/23/02/84/1082230284.db2.gz GUVZGZYVCXJBIA-ZYSHUDEJSA-N 0 1 312.457 3.193 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc3c([nH]2)CCC3)CC1 ZINC001113525317 1082238469 /nfs/dbraw/zinc/23/84/69/1082238469.db2.gz KFKHDORCKAJVRS-UHFFFAOYSA-N 0 1 317.477 3.232 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)c(CC)o2)CC1 ZINC001113529024 1082240691 /nfs/dbraw/zinc/24/06/91/1082240691.db2.gz ATAGWFNPWSMHEJ-OAHLLOKOSA-N 0 1 304.434 3.263 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001113569925 1082252523 /nfs/dbraw/zinc/25/25/23/1082252523.db2.gz CQTCWWWHFIBDPD-AWEZNQCLSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3[nH]ccc3s2)CC1 ZINC001113583455 1082254809 /nfs/dbraw/zinc/25/48/09/1082254809.db2.gz MJEHRHMSNDIOST-ZDUSSCGKSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2CCC(C(F)F)CC2)CC1 ZINC001113594872 1082257316 /nfs/dbraw/zinc/25/73/16/1082257316.db2.gz FMMQMMSQJZXHIL-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001113612744 1082264119 /nfs/dbraw/zinc/26/41/19/1082264119.db2.gz QPNNERRIYXQPSA-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2cccs2)CC1 ZINC001113614566 1082265656 /nfs/dbraw/zinc/26/56/56/1082265656.db2.gz OAMOVYZMNBPYNV-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](CCC)c1ccccn1 ZINC001266853673 1082268888 /nfs/dbraw/zinc/26/88/88/1082268888.db2.gz QLOMSPBOAOEGFD-DLBZAZTESA-N 0 1 315.461 3.122 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(Cl)cn2CC)CC1 ZINC001113635703 1082268923 /nfs/dbraw/zinc/26/89/23/1082268923.db2.gz JBXWTHWVDSRSCM-AWEZNQCLSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cccn2CC)CC1 ZINC001113635460 1082269141 /nfs/dbraw/zinc/26/91/41/1082269141.db2.gz AJDKVMZYXYCKOD-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccc(F)cc2F)CC1 ZINC001113652881 1082271389 /nfs/dbraw/zinc/27/13/89/1082271389.db2.gz LOQDYTHLVPQEMM-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@H]2CC[C@H](C)O2)CC1 ZINC001113660045 1082272346 /nfs/dbraw/zinc/27/23/46/1082272346.db2.gz STRXZEKJLOXLOB-ZWKOTPCHSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC001113673126 1082278343 /nfs/dbraw/zinc/27/83/43/1082278343.db2.gz CDGVJWFODMHFOT-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)Cc2ccc(C)cc2)CC1 ZINC001113691140 1082280872 /nfs/dbraw/zinc/28/08/72/1082280872.db2.gz JHDIQQWRSQDTSZ-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](CC)c2ccc(F)cc2)CC1 ZINC001113695193 1082281989 /nfs/dbraw/zinc/28/19/89/1082281989.db2.gz REYDWEVSMNNOPX-GOSISDBHSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2OC)CC1 ZINC001113703678 1082284810 /nfs/dbraw/zinc/28/48/10/1082284810.db2.gz HLOJCBSHHUHIKP-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCC1(C(=O)N2CCN([C@@H](C)CCC=C)CC2)CCCCC1 ZINC001113705122 1082289883 /nfs/dbraw/zinc/28/98/83/1082289883.db2.gz OLGRMZHVJGTFHJ-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](OC)C2CCCCC2)CC1 ZINC001113747111 1082294290 /nfs/dbraw/zinc/29/42/90/1082294290.db2.gz IPLOODMLKZXSRC-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cc(C)no2)C1 ZINC001266896200 1082314277 /nfs/dbraw/zinc/31/42/77/1082314277.db2.gz XUQZKVBQGBEXEI-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccccc3OCC#N)c2)CC1 ZINC001212600459 1082328219 /nfs/dbraw/zinc/32/82/19/1082328219.db2.gz VGKBKRGBJHWDRM-UHFFFAOYSA-N 0 1 322.412 3.084 20 30 DGEDMN CCC(CC)(CC)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001266919930 1082341001 /nfs/dbraw/zinc/34/10/01/1082341001.db2.gz JTJNNUIJTLICBA-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CCCCNCc1cscn1 ZINC001170283758 1082342231 /nfs/dbraw/zinc/34/22/31/1082342231.db2.gz YGCAVRFSEQDNHI-OAHLLOKOSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1ccc(C)c(OC)c1 ZINC001480906596 1082377425 /nfs/dbraw/zinc/37/74/25/1082377425.db2.gz CTCMHSMBGGLNDV-IBGZPJMESA-N 0 1 318.461 3.154 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001480906590 1082377767 /nfs/dbraw/zinc/37/77/67/1082377767.db2.gz COJNLNFPEUPZFX-KRWDZBQOSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2ccc(F)cc2Cl)C1 ZINC001266970881 1082389557 /nfs/dbraw/zinc/38/95/57/1082389557.db2.gz OVFYGKMJQONKKG-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(C)c1Cl ZINC001266980433 1082397944 /nfs/dbraw/zinc/39/79/44/1082397944.db2.gz RQWFLLGLWTZWAL-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001267017283 1082437452 /nfs/dbraw/zinc/43/74/52/1082437452.db2.gz VETAWKPIXPITLP-ZBFHGGJFSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2ncc(C)o2)C1 ZINC001267085932 1082491508 /nfs/dbraw/zinc/49/15/08/1082491508.db2.gz KUCCADLYXAIOBM-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CCCC)CC1 ZINC001279578558 1082550781 /nfs/dbraw/zinc/55/07/81/1082550781.db2.gz ZBWSHYVRURRSLG-IAGOWNOFSA-N 0 1 302.462 3.045 20 30 DGEDMN Cc1ccc(CC(=O)Nc2cc(C#N)ccc2O)cc1Cl ZINC001137934351 1082599640 /nfs/dbraw/zinc/59/96/40/1082599640.db2.gz TXBSWJBJRKVGNT-UHFFFAOYSA-N 0 1 300.745 3.407 20 30 DGEDMN C#C[C@@H](N[C@H](CCCC)C(=O)Nc1cc(C)on1)C(C)(C)C ZINC000806622319 1082606664 /nfs/dbraw/zinc/60/66/64/1082606664.db2.gz ILGXQVCWYJAUOT-ZIAGYGMSSA-N 0 1 305.422 3.118 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccc(Cl)s1)C2 ZINC001110103055 1082638480 /nfs/dbraw/zinc/63/84/80/1082638480.db2.gz BLEBRSWYXAMANS-XBFCOCLRSA-N 0 1 324.877 3.242 20 30 DGEDMN CCCCCCCC(=O)N1CCN[C@@H](Cc2ccccc2)C1 ZINC001170550051 1082658516 /nfs/dbraw/zinc/65/85/16/1082658516.db2.gz YRKNIRRDYZBDIO-SFHVURJKSA-N 0 1 302.462 3.390 20 30 DGEDMN Cc1cc(C)c(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)s1 ZINC001131673874 1082705158 /nfs/dbraw/zinc/70/51/58/1082705158.db2.gz CWMSJPBBBQXSJS-UHFFFAOYSA-N 0 1 310.382 3.294 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2c(cccc2F)s1 ZINC001267256048 1082710552 /nfs/dbraw/zinc/71/05/52/1082710552.db2.gz JRIDRHBCWZUACY-UHFFFAOYSA-N 0 1 318.417 3.115 20 30 DGEDMN CC#CC[N@@H+](CC)CCNC(=O)CCC(C)(C)c1ccccc1 ZINC001267257472 1082713001 /nfs/dbraw/zinc/71/30/01/1082713001.db2.gz WZNMTRGEORQHGS-UHFFFAOYSA-N 0 1 314.473 3.206 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CCC(C)(C)c1ccccc1 ZINC001267257472 1082713004 /nfs/dbraw/zinc/71/30/04/1082713004.db2.gz WZNMTRGEORQHGS-UHFFFAOYSA-N 0 1 314.473 3.206 20 30 DGEDMN CC(C)CCC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001267261025 1082718352 /nfs/dbraw/zinc/71/83/52/1082718352.db2.gz KUQPMWQEHHWDJA-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1C=CC=CC=C1)C(C)C ZINC001267265529 1082724675 /nfs/dbraw/zinc/72/46/75/1082724675.db2.gz PBFAXIWJXBGGPK-UHFFFAOYSA-N 0 1 308.853 3.254 20 30 DGEDMN Cc1ccc(CCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001131903812 1082759616 /nfs/dbraw/zinc/75/96/16/1082759616.db2.gz OZABDCHLUNHVHQ-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001267299618 1082761499 /nfs/dbraw/zinc/76/14/99/1082761499.db2.gz ZIRHTZHPYKWXQD-CYBMUJFWSA-N 0 1 322.399 3.115 20 30 DGEDMN CCCN(CC#Cc1ccc(Cl)cc1)CCNC(=O)C(C)C ZINC001267311555 1082777822 /nfs/dbraw/zinc/77/78/22/1082777822.db2.gz WKXUUKNVMXLZFL-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccccc2F)C1 ZINC001132003191 1082790805 /nfs/dbraw/zinc/79/08/05/1082790805.db2.gz RBGGPBYUEIHBQO-DOTOQJQBSA-N 0 1 316.420 3.098 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132072909 1082809948 /nfs/dbraw/zinc/80/99/48/1082809948.db2.gz RKOGWHXMEZNRGG-CHWSQXEVSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2scnc2C)C1 ZINC001132065546 1082814192 /nfs/dbraw/zinc/81/41/92/1082814192.db2.gz VRXDCXBZLUEGHD-ZFWWWQNUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CC[N@@H+](CCNC(=O)c1ccc(-c2cccs2)o1)C1CC1 ZINC001267337373 1082815161 /nfs/dbraw/zinc/81/51/61/1082815161.db2.gz SWYJMUCCCFLKTG-UHFFFAOYSA-N 0 1 316.426 3.388 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCc2ccsc2)CC[C@@H]1C ZINC001132114792 1082821566 /nfs/dbraw/zinc/82/15/66/1082821566.db2.gz IKXWTQHKYUQAQV-RDJZCZTQSA-N 0 1 318.486 3.063 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](CC)CC(F)F)c1ccccc1 ZINC001267361600 1082832831 /nfs/dbraw/zinc/83/28/31/1082832831.db2.gz DCWOBPCPZBZBSG-HOCLYGCPSA-N 0 1 322.399 3.138 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC(C)(C)CC(F)F)CC[C@@H]1C ZINC001132190650 1082838122 /nfs/dbraw/zinc/83/81/22/1082838122.db2.gz MANUXHGIWRJPLZ-UONOGXRCSA-N 0 1 314.420 3.050 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC12CCCC2)c1ccccc1 ZINC001267377938 1082847317 /nfs/dbraw/zinc/84/73/17/1082847317.db2.gz UVHWZAYQLCMXIN-MSOLQXFVSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ncccc2C)C1 ZINC001132256738 1082859733 /nfs/dbraw/zinc/85/97/33/1082859733.db2.gz PRCOZCSBRZDGKM-IRXDYDNUSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC/C=C/c2ccccc2)CC[C@@H]1C ZINC001132294488 1082874592 /nfs/dbraw/zinc/87/45/92/1082874592.db2.gz DHEABBPJGHYYOA-KOXHKMTQSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001267422607 1082876687 /nfs/dbraw/zinc/87/66/87/1082876687.db2.gz DXDBQIWHCOSYIR-KDURUIRLSA-N 0 1 310.441 3.055 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCC2CCCC2)CC[C@@H]1C ZINC001132526071 1082934419 /nfs/dbraw/zinc/93/44/19/1082934419.db2.gz XSZDFTKJWBUYDJ-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC[C@@H](C)N(CC#CC)C2)CCCC1 ZINC001132545338 1082939294 /nfs/dbraw/zinc/93/92/94/1082939294.db2.gz ABNDZTSMHMGIDV-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccccc2OC)C1 ZINC001267488821 1082945797 /nfs/dbraw/zinc/94/57/97/1082945797.db2.gz QBKYKVMCHHVOPU-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132569385 1082948256 /nfs/dbraw/zinc/94/82/56/1082948256.db2.gz PUCGEIOCUUOQPK-NWDGAFQWSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132576337 1082950801 /nfs/dbraw/zinc/95/08/01/1082950801.db2.gz IYFKLRMBRNQMQO-OLZOCXBDSA-N 0 1 324.852 3.013 20 30 DGEDMN CCC(=O)NCCC[N@@H+](CC#Cc1ccc(Cl)cc1)C(C)C ZINC001267495424 1082954194 /nfs/dbraw/zinc/95/41/94/1082954194.db2.gz SEOHHRZYKUIRBM-UHFFFAOYSA-N 0 1 320.864 3.318 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132653014 1082967803 /nfs/dbraw/zinc/96/78/03/1082967803.db2.gz BTYJYOFHVLVKAS-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132653600 1082968176 /nfs/dbraw/zinc/96/81/76/1082968176.db2.gz PLTVCGWDMBXODO-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132653218 1082968256 /nfs/dbraw/zinc/96/82/56/1082968256.db2.gz HMGAXGKNZRZSOC-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132654035 1082968906 /nfs/dbraw/zinc/96/89/06/1082968906.db2.gz XHOYGLCKWFZVPR-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1[nH]ccc1C)c1ccc(C(C)C)cc1 ZINC001267512612 1082972423 /nfs/dbraw/zinc/97/24/23/1082972423.db2.gz OZANQEJXFPXSIG-SFHVURJKSA-N 0 1 323.440 3.140 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132659767 1082973455 /nfs/dbraw/zinc/97/34/55/1082973455.db2.gz COCJPZJFKQQNKK-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)C(C)C)c1ccc(C(C)C)cc1 ZINC001267517531 1082976898 /nfs/dbraw/zinc/97/68/98/1082976898.db2.gz NFEPBKUAWVYMCJ-APWZRJJASA-N 0 1 314.473 3.482 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(Cl)o1)c1ccccc1 ZINC001267518364 1082977535 /nfs/dbraw/zinc/97/75/35/1082977535.db2.gz WGBQFOBQBFQZFI-AWEZNQCLSA-N 0 1 316.788 3.017 20 30 DGEDMN Cc1ccccc1CN[C@H](CNC(=O)[C@@H](C)C#N)c1ccccc1 ZINC001267517940 1082977618 /nfs/dbraw/zinc/97/76/18/1082977618.db2.gz VAQXMRHDCNMKIK-QFBILLFUSA-N 0 1 321.424 3.102 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)cs2)C1 ZINC001267523701 1082984499 /nfs/dbraw/zinc/98/44/99/1082984499.db2.gz KXFLKJQPLPUJSP-ZDUSSCGKSA-N 0 1 312.866 3.251 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(F)c2)C1 ZINC001267544525 1083013104 /nfs/dbraw/zinc/01/31/04/1083013104.db2.gz QQTQHVZAQNRPOY-KRWDZBQOSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cnc3ccccc3c2)C1 ZINC001267546955 1083015414 /nfs/dbraw/zinc/01/54/14/1083015414.db2.gz GFCJCGDYCYBQFW-SFHVURJKSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001267548455 1083019352 /nfs/dbraw/zinc/01/93/52/1083019352.db2.gz GDRYXTZISVBROG-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C)(C)C(C)C)C1 ZINC001267558885 1083034744 /nfs/dbraw/zinc/03/47/44/1083034744.db2.gz IVZSVRWZMUXKDG-CQSZACIVSA-N 0 1 300.874 3.249 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1c(Cl)oc2ccccc21 ZINC001480995258 1083067317 /nfs/dbraw/zinc/06/73/17/1083067317.db2.gz KSLKWCZHVARZGH-UHFFFAOYSA-N 0 1 318.804 3.161 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)ccc1F ZINC001133191223 1083069733 /nfs/dbraw/zinc/06/97/33/1083069733.db2.gz YQECENAUZYOXHQ-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001133379349 1083110019 /nfs/dbraw/zinc/11/00/19/1083110019.db2.gz YFYHUNKWBXSPKH-INIZCTEOSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C1CCC(C(=O)NCCN[C@H](C)c2ccc(F)cc2F)CC1 ZINC001133376013 1083113360 /nfs/dbraw/zinc/11/33/60/1083113360.db2.gz RLSJTCAXHVNQFJ-CYBMUJFWSA-N 0 1 322.399 3.478 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2sccc2Cl)CCC1 ZINC001133392207 1083114701 /nfs/dbraw/zinc/11/47/01/1083114701.db2.gz MBTSFUUOJUONSQ-UHFFFAOYSA-N 0 1 312.866 3.354 20 30 DGEDMN N#Cc1csc(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)c1 ZINC001133406268 1083116225 /nfs/dbraw/zinc/11/62/25/1083116225.db2.gz NOWXGHJLFGMUQW-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cc(F)ccc1C ZINC001481052112 1083135644 /nfs/dbraw/zinc/13/56/44/1083135644.db2.gz KLJQQMWXSXZJBU-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001481051605 1083135936 /nfs/dbraw/zinc/13/59/36/1083135936.db2.gz FFPAYSQDAGCMQM-AEFFLSMTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001133763230 1083190645 /nfs/dbraw/zinc/19/06/45/1083190645.db2.gz OEBXGUXHEVXZST-ZDUSSCGKSA-N 0 1 308.853 3.201 20 30 DGEDMN C=CCC[C@H](C(=O)NC[C@@H]1CCN(CCF)C1)c1ccccc1 ZINC001481125334 1083202440 /nfs/dbraw/zinc/20/24/40/1083202440.db2.gz DHURAHOWOYMAAE-WMZOPIPTSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H]1CCN(C/C=C/Cl)C1)c1ccccc1 ZINC001481126982 1083202926 /nfs/dbraw/zinc/20/29/26/1083202926.db2.gz NVLMIZINSYCYSL-OEKIROHGSA-N 0 1 318.848 3.147 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(Cl)n1 ZINC001133884021 1083205643 /nfs/dbraw/zinc/20/56/43/1083205643.db2.gz KICOHXURBSXVNZ-QWRGUYRKSA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1nc(C(C)C)ns1 ZINC001133885417 1083206181 /nfs/dbraw/zinc/20/61/81/1083206181.db2.gz ZLJORQUTFUAWHK-QWHCGFSZSA-N 0 1 324.494 3.001 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H](C)c1ccccc1Cl ZINC001481132418 1083206471 /nfs/dbraw/zinc/20/64/71/1083206471.db2.gz OFFGKKREKNYKAB-ZIAGYGMSSA-N 0 1 321.852 3.389 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001133888299 1083207429 /nfs/dbraw/zinc/20/74/29/1083207429.db2.gz HXZFVCMZZODSPN-QWHCGFSZSA-N 0 1 309.479 3.045 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C1(C2CC2)CC1 ZINC001481138893 1083208263 /nfs/dbraw/zinc/20/82/63/1083208263.db2.gz ACWBQJDYWZZQLB-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001267617564 1083214356 /nfs/dbraw/zinc/21/43/56/1083214356.db2.gz KDZVKYKVOYGRFJ-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](C)CC1CCCCC1)C1CC1 ZINC001481187246 1083232237 /nfs/dbraw/zinc/23/22/37/1083232237.db2.gz IABQZYGQZPDIRV-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001087380996 1083239021 /nfs/dbraw/zinc/23/90/21/1083239021.db2.gz BQMILOKNVXTPBN-FZKCQIBNSA-N 0 1 322.880 3.120 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001134164054 1083248664 /nfs/dbraw/zinc/24/86/64/1083248664.db2.gz JYCGADCVMYYFKR-KBPBESRZSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@@H](CC)c2ccc(F)cc2)C1 ZINC001267676574 1083259229 /nfs/dbraw/zinc/25/92/29/1083259229.db2.gz MWRWYUVBYGVGEF-KRWDZBQOSA-N 0 1 304.409 3.291 20 30 DGEDMN C[C@@H](CC(=O)NCCNCc1ccccc1C#N)CC(C)(C)C ZINC001134258498 1083264767 /nfs/dbraw/zinc/26/47/67/1083264767.db2.gz KAGKYFPYAKCSME-HNNXBMFYSA-N 0 1 315.461 3.226 20 30 DGEDMN C=Cc1ccc(C(=O)N2CC[C@@H](CN(C)CC(=C)Cl)C2)cc1 ZINC001267691385 1083266399 /nfs/dbraw/zinc/26/63/99/1083266399.db2.gz XJVNURAQLGIIEZ-INIZCTEOSA-N 0 1 318.848 3.476 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001267712443 1083276911 /nfs/dbraw/zinc/27/69/11/1083276911.db2.gz AEHGMVXQOQMLCP-UFYCRDLUSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001134448925 1083288910 /nfs/dbraw/zinc/28/89/10/1083288910.db2.gz QZTXMOAJHTVVKR-QWHCGFSZSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001267733188 1083291875 /nfs/dbraw/zinc/29/18/75/1083291875.db2.gz GJDUTGHRUDVOOR-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001134470137 1083294487 /nfs/dbraw/zinc/29/44/87/1083294487.db2.gz NPSICNJXLZVHIB-CHWSQXEVSA-N 0 1 307.438 3.021 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001134476011 1083298139 /nfs/dbraw/zinc/29/81/39/1083298139.db2.gz LNZXMNSWFUWIEP-QWHCGFSZSA-N 0 1 307.438 3.021 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001267757065 1083323122 /nfs/dbraw/zinc/32/31/22/1083323122.db2.gz RMHCCBGQJHMIFR-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001267769167 1083332024 /nfs/dbraw/zinc/33/20/24/1083332024.db2.gz AULSWNUJKUZPMN-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001267769654 1083332566 /nfs/dbraw/zinc/33/25/66/1083332566.db2.gz CQJXUDBARQIPCT-IMTHGLKYSA-N 0 1 324.468 3.426 20 30 DGEDMN CCN(C(=O)C#CC1CC1)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001267783892 1083345189 /nfs/dbraw/zinc/34/51/89/1083345189.db2.gz MFSSEJNSYMFAIH-ZGBFETHSSA-N 0 1 322.452 3.036 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001267785193 1083346421 /nfs/dbraw/zinc/34/64/21/1083346421.db2.gz CZKFSVMSMGQGIN-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN CC[C@H](CNC(=O)CC1CCCC1)NCc1ccccc1C#N ZINC001267792722 1083350880 /nfs/dbraw/zinc/35/08/80/1083350880.db2.gz GNAKLDLWFMBDMO-GOSISDBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCC[C@H]1CCC ZINC001273468601 1083388487 /nfs/dbraw/zinc/38/84/87/1083388487.db2.gz SJAWIBZYOAXIAQ-CABCVRRESA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2c(F)cccc2F)CCCC1 ZINC001267834614 1083410095 /nfs/dbraw/zinc/41/00/95/1083410095.db2.gz WZSUHUJMVZKBFT-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(CNCc2ccon2)CCCC[C@@H]1C ZINC001267865295 1083478580 /nfs/dbraw/zinc/47/85/80/1083478580.db2.gz AHHUJMRVPLLKIL-YJBOKZPZSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(CNCc2ccon2)CCCC[C@H]1C ZINC001267865292 1083479176 /nfs/dbraw/zinc/47/91/76/1083479176.db2.gz AHHUJMRVPLLKIL-CRAIPNDOSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@@H]1CCCCN1CC ZINC001182002149 1083602868 /nfs/dbraw/zinc/60/28/68/1083602868.db2.gz YMRUCTVYIOEXMU-WBVHZDCISA-N 0 1 316.445 3.303 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C(C)(C)C(F)F)CC1 ZINC001267947326 1083622569 /nfs/dbraw/zinc/62/25/69/1083622569.db2.gz QSGSQFIVACRMOV-UHFFFAOYSA-N 0 1 322.827 3.249 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H]2[C@H](C1)C2(F)F ZINC001182238294 1083659257 /nfs/dbraw/zinc/65/92/57/1083659257.db2.gz KUUILQJLMNIFRR-OLZOCXBDSA-N 0 1 311.759 3.439 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001481288840 1083675662 /nfs/dbraw/zinc/67/56/62/1083675662.db2.gz LUHAHPQPRQXURY-QGZVFWFLSA-N 0 1 322.880 3.364 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)[C@@H]1CCCN1CC1CC1 ZINC001182556377 1083745801 /nfs/dbraw/zinc/74/58/01/1083745801.db2.gz YVNNJFLSFWKTAI-AWEZNQCLSA-N 0 1 309.369 3.117 20 30 DGEDMN CC(C)C(=O)NCCCN(Cc1cc(F)ccc1C#N)C(C)C ZINC001481347762 1083797719 /nfs/dbraw/zinc/79/77/19/1083797719.db2.gz NWESQCSLDDYISA-UHFFFAOYSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)C(C)C ZINC001481350307 1083803580 /nfs/dbraw/zinc/80/35/80/1083803580.db2.gz KMTDJLXHLUTMBP-XHSDSOJGSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001268028287 1083832037 /nfs/dbraw/zinc/83/20/37/1083832037.db2.gz BUTZDBURWSPOPM-MRXNPFEDSA-N 0 1 324.509 3.232 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(CC)cc1)c1ccccc1 ZINC001481372966 1083833897 /nfs/dbraw/zinc/83/38/97/1083833897.db2.gz SSQKCEQVKAHNNW-FQEVSTJZSA-N 0 1 320.436 3.333 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1csc(C)c1 ZINC001481376706 1083838082 /nfs/dbraw/zinc/83/80/82/1083838082.db2.gz OMRXDTKWBXSXKD-IYBDPMFKSA-N 0 1 304.459 3.198 20 30 DGEDMN N#C[C@H](CC(=O)NCc1cnc[nH]1)c1c(Cl)cccc1Cl ZINC001183091624 1083867977 /nfs/dbraw/zinc/86/79/77/1083867977.db2.gz KTEQNJLRDMKEIW-VIFPVBQESA-N 0 1 323.183 3.030 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001481403001 1083871365 /nfs/dbraw/zinc/87/13/65/1083871365.db2.gz JKGKDDOWPVQQRC-MSOLQXFVSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cccc(Cl)c2)C1 ZINC001481406553 1083875401 /nfs/dbraw/zinc/87/54/01/1083875401.db2.gz UKBDGPFDBFSLEK-KRWDZBQOSA-N 0 1 320.864 3.381 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H]1CCN(CC=C(Cl)Cl)C1 ZINC001481430609 1083907335 /nfs/dbraw/zinc/90/73/35/1083907335.db2.gz AWTXFGABZAOBMJ-ZDUSSCGKSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001481440706 1083918922 /nfs/dbraw/zinc/91/89/22/1083918922.db2.gz TWVUPBWYYOCYPV-RRFJBIMHSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)C2(C)CC2)C1 ZINC001087428248 1084009959 /nfs/dbraw/zinc/00/99/59/1084009959.db2.gz UGKJNDURYDXDHC-QZTJIDSGSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2(C)CC2)C1 ZINC001087428248 1084009969 /nfs/dbraw/zinc/00/99/69/1084009969.db2.gz UGKJNDURYDXDHC-QZTJIDSGSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)C[N@H+](C)CC1CCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001481518557 1084059241 /nfs/dbraw/zinc/05/92/41/1084059241.db2.gz YAHUKQNRYPKIOE-LBPRGKRZSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001481518557 1084059251 /nfs/dbraw/zinc/05/92/51/1084059251.db2.gz YAHUKQNRYPKIOE-LBPRGKRZSA-N 0 1 322.827 3.201 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001268063553 1084079906 /nfs/dbraw/zinc/07/99/06/1084079906.db2.gz BIVSSSODXGRWSP-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cccnc2C)C1 ZINC001481535217 1084104056 /nfs/dbraw/zinc/10/40/56/1084104056.db2.gz RJNWFEPIOSUFNO-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001110344916 1084114590 /nfs/dbraw/zinc/11/45/90/1084114590.db2.gz BTRCHTKIEHLLJE-JPBRJMFGSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001268079654 1084116019 /nfs/dbraw/zinc/11/60/19/1084116019.db2.gz DCTMHSXCEQPYAR-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001268079655 1084116506 /nfs/dbraw/zinc/11/65/06/1084116506.db2.gz DCTMHSXCEQPYAR-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001268082562 1084123274 /nfs/dbraw/zinc/12/32/74/1084123274.db2.gz DDKBWUDHKJKPSB-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN CCCCCCN(O)C(=O)[C@@H]1CCCN(Cc2cccnc2)C1 ZINC001184305826 1084143972 /nfs/dbraw/zinc/14/39/72/1084143972.db2.gz SNECGLXYEWVWSY-QGZVFWFLSA-N 0 1 319.449 3.092 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001268093454 1084152590 /nfs/dbraw/zinc/15/25/90/1084152590.db2.gz QDXJSJHCAJFSRV-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2cccc(C)c2)C1 ZINC001481575452 1084156697 /nfs/dbraw/zinc/15/66/97/1084156697.db2.gz OGBNFKRLQKWPGC-MRXNPFEDSA-N 0 1 300.446 3.083 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC2CCC(C)(C)CC2)C1 ZINC001481579067 1084169284 /nfs/dbraw/zinc/16/92/84/1084169284.db2.gz SQTFYEDCPVQBMQ-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1(C(C)(F)F)CC1 ZINC001268111710 1084185430 /nfs/dbraw/zinc/18/54/30/1084185430.db2.gz QSNCOVUBLZPOTF-LBPRGKRZSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC12CC2)C1CCCCC1 ZINC001268120534 1084200719 /nfs/dbraw/zinc/20/07/19/1084200719.db2.gz OOOWAZSVDBGAKU-HUUCEWRRSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001481586215 1084225181 /nfs/dbraw/zinc/22/51/81/1084225181.db2.gz AAYZXTDSPWURLE-GFCCVEGCSA-N 0 1 322.836 3.117 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C1(CC2CC2)CCC(F)(F)CC1 ZINC001184658252 1084238555 /nfs/dbraw/zinc/23/85/55/1084238555.db2.gz VNRAOOSLEZTXNX-UHFFFAOYSA-N 0 1 308.332 3.216 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001481606800 1084249456 /nfs/dbraw/zinc/24/94/56/1084249456.db2.gz ARQIYMFYBQJFLY-LRDDRELGSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001481606802 1084250166 /nfs/dbraw/zinc/25/01/66/1084250166.db2.gz ARQIYMFYBQJFLY-MLGOLLRUSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCCC[N@@H+]1CCC[C@H](NC(=O)c2coc(C(C)(C)C)n2)C1 ZINC001268193875 1084303216 /nfs/dbraw/zinc/30/32/16/1084303216.db2.gz CITAHKJDFIWZSU-AWEZNQCLSA-N 0 1 319.449 3.133 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC001185018892 1084313354 /nfs/dbraw/zinc/31/33/54/1084313354.db2.gz CWLSVRPIIHYTIM-CYBMUJFWSA-N 0 1 324.877 3.286 20 30 DGEDMN C[C@@H](Cc1ccccc1)c1cc(C(=O)N2CCC[C@@H](C#N)C2)n[nH]1 ZINC001184984094 1084314549 /nfs/dbraw/zinc/31/45/49/1084314549.db2.gz KDLDEVGHIAYFLC-HOCLYGCPSA-N 0 1 322.412 3.132 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](NCc2nccs2)C(C)C)CC1 ZINC001268217488 1084344406 /nfs/dbraw/zinc/34/44/06/1084344406.db2.gz XSALUDSRQUTXRH-HNNXBMFYSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001481643021 1084350058 /nfs/dbraw/zinc/35/00/58/1084350058.db2.gz TVLRTAQWLCEGKU-LJQANCHMSA-N 0 1 300.446 3.145 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001268223740 1084354730 /nfs/dbraw/zinc/35/47/30/1084354730.db2.gz LFLAJJSILMTZHJ-MAUKXSAKSA-N 0 1 315.461 3.081 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc4c(c3)CCC4)C2)C1 ZINC001481645584 1084383295 /nfs/dbraw/zinc/38/32/95/1084383295.db2.gz RGTQPLFJZUSZIT-UHFFFAOYSA-N 0 1 324.468 3.080 20 30 DGEDMN C=CCn1cc(CN2CCC(CC(=O)OC(C)(C)C)CC2)cn1 ZINC001139770772 1084419311 /nfs/dbraw/zinc/41/93/11/1084419311.db2.gz ABAPIHYSZVWMDQ-UHFFFAOYSA-N 0 1 319.449 3.013 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)oc(C)c1C ZINC001481673431 1084466987 /nfs/dbraw/zinc/46/69/87/1084466987.db2.gz DIVZGTSCSHCNRV-HOTGVXAUSA-N 0 1 302.418 3.070 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(CC)c1 ZINC001481676943 1084469403 /nfs/dbraw/zinc/46/94/03/1084469403.db2.gz SOUUPWRUTYVAAM-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C2CCCC2)cc1 ZINC001492993965 1084472280 /nfs/dbraw/zinc/47/22/80/1084472280.db2.gz WRZBYAHYKTWXJK-UHFFFAOYSA-N 0 1 312.457 3.419 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001481704431 1084495239 /nfs/dbraw/zinc/49/52/39/1084495239.db2.gz CIBBQFCCHTUUKV-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN Cc1cc(C)c(NS(=O)(=O)c2ccc(Cl)nc2)cc1C#N ZINC001185688245 1084502779 /nfs/dbraw/zinc/50/27/79/1084502779.db2.gz VMJVUTRIQPEJJW-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001481721427 1084533581 /nfs/dbraw/zinc/53/35/81/1084533581.db2.gz HWEXELMGMCAKGC-KTZABMDBSA-N 0 1 324.468 3.426 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001481738246 1084553979 /nfs/dbraw/zinc/55/39/79/1084553979.db2.gz RQSABQUUMADHRW-QGZVFWFLSA-N 0 1 318.486 3.283 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001481768898 1084619516 /nfs/dbraw/zinc/61/95/16/1084619516.db2.gz MUBWSJFFLNKLLE-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC001268453899 1084629073 /nfs/dbraw/zinc/62/90/73/1084629073.db2.gz LMMPQYTVCGDDQX-GFCCVEGCSA-N 0 1 324.827 3.177 20 30 DGEDMN CCCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1Cl ZINC001151548232 1084631379 /nfs/dbraw/zinc/63/13/79/1084631379.db2.gz BBPDGDFCHZYONN-OAHLLOKOSA-N 0 1 320.864 3.318 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(F)c1Cl ZINC001481855402 1084671821 /nfs/dbraw/zinc/67/18/21/1084671821.db2.gz BDPURYQEUKJMPP-JTQLQIEISA-N 0 1 319.207 3.330 20 30 DGEDMN CC[C@H](CNC(=O)CC(C)(C)CC)NCc1ccccc1C#N ZINC001481814617 1084648038 /nfs/dbraw/zinc/64/80/38/1084648038.db2.gz GIBRQSPKGJIVKE-QGZVFWFLSA-N 0 1 315.461 3.369 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)[C@H](C)C(C)(C)C ZINC001268462668 1084658270 /nfs/dbraw/zinc/65/82/70/1084658270.db2.gz UHCLUBYBXXUEOZ-LBPRGKRZSA-N 0 1 319.287 3.015 20 30 DGEDMN CC[C@H](C)CCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186537201 1084663964 /nfs/dbraw/zinc/66/39/64/1084663964.db2.gz LIROCJVGQLANSP-PKOBYXMFSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(c2ccccc2C)CC1 ZINC001481885275 1084687927 /nfs/dbraw/zinc/68/79/27/1084687927.db2.gz PXWANPHFLWFPGK-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN C=C(C)CCC(=O)N[C@](C)(CNCc1nc(C)cs1)C1CC1 ZINC001481930669 1084713996 /nfs/dbraw/zinc/71/39/96/1084713996.db2.gz NTYLKPFOJPHQIX-QGZVFWFLSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](N[C@H](C)c2ncc(C)o2)CC1 ZINC001482021230 1084772720 /nfs/dbraw/zinc/77/27/20/1084772720.db2.gz UKHGYHSCUQMDTM-RBSFLKMASA-N 0 1 319.449 3.273 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](N[C@@H](C)c2ncc(C)o2)CC1 ZINC001482021229 1084772805 /nfs/dbraw/zinc/77/28/05/1084772805.db2.gz UKHGYHSCUQMDTM-KKUMJFAQSA-N 0 1 319.449 3.273 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@H](NCc2ocnc2C)C1 ZINC001187341099 1084793872 /nfs/dbraw/zinc/79/38/72/1084793872.db2.gz LKIJNGROWSCSLV-MRXNPFEDSA-N 0 1 321.465 3.424 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(Cl)s1 ZINC001268621704 1084898319 /nfs/dbraw/zinc/89/83/19/1084898319.db2.gz XTXPJKDSUWXNSM-IHWYPQMZSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001268647031 1084912635 /nfs/dbraw/zinc/91/26/35/1084912635.db2.gz QIWDGOXBGWTMBT-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2ccccc2C)CCC1 ZINC001268713866 1084965274 /nfs/dbraw/zinc/96/52/74/1084965274.db2.gz WVKKPBIHXINRDA-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1ccc(CC)cc1 ZINC001268715351 1084966938 /nfs/dbraw/zinc/96/69/38/1084966938.db2.gz HGDUHPPHMDSOLI-AWEZNQCLSA-N 0 1 308.853 3.028 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCNCc1cc(C(C)(C)C)on1 ZINC001268813435 1085060436 /nfs/dbraw/zinc/06/04/36/1085060436.db2.gz WJUNTMNYMNZEMG-UHFFFAOYSA-N 0 1 321.465 3.122 20 30 DGEDMN C#CCCCCC(=O)NCC1CN([C@H](C)c2cccc(F)c2)C1 ZINC001268822798 1085062894 /nfs/dbraw/zinc/06/28/94/1085062894.db2.gz KZJWPWMXLSJHMZ-OAHLLOKOSA-N 0 1 316.420 3.128 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ocnc1CC ZINC001268965322 1085087576 /nfs/dbraw/zinc/08/75/76/1085087576.db2.gz OTLHQIVXXOJLRT-GJZGRUSLSA-N 0 1 319.449 3.106 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001269029921 1085102268 /nfs/dbraw/zinc/10/22/68/1085102268.db2.gz SRBWYTKWNUAIJD-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc2c(s1)CCC2)C1CC1 ZINC001269145586 1085161042 /nfs/dbraw/zinc/16/10/42/1085161042.db2.gz WVGJNMUANBQVQO-ZDUSSCGKSA-N 0 1 324.877 3.087 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1csnc1C ZINC001269222813 1085218612 /nfs/dbraw/zinc/21/86/12/1085218612.db2.gz IYJDDZIXIBAWQA-CQSZACIVSA-N 0 1 309.479 3.226 20 30 DGEDMN CC[C@H](c1ccccc1)N1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001269235696 1085226133 /nfs/dbraw/zinc/22/61/33/1085226133.db2.gz NMUQDUKLDDAAEE-QZTJIDSGSA-N 0 1 312.457 3.378 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001269261853 1085244739 /nfs/dbraw/zinc/24/47/39/1085244739.db2.gz DDHIKWQJRVMSPS-QGZVFWFLSA-N 0 1 318.436 3.379 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)ccc1Br ZINC001225683377 1085341383 /nfs/dbraw/zinc/34/13/83/1085341383.db2.gz BZRGSEGKRWMBGI-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)N[C@H](C)c1cc(F)ccc1F ZINC001269399233 1085366858 /nfs/dbraw/zinc/36/68/58/1085366858.db2.gz YDWOBKLLIIRYHH-UKRRQHHQSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(N[C@H](C)c3ccccc3F)CC2)C1 ZINC001269489020 1085417253 /nfs/dbraw/zinc/41/72/53/1085417253.db2.gz DSTZNGRXGNKTBJ-CQSZACIVSA-N 0 1 316.420 3.481 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1ccc(Cl)cc1 ZINC001269503462 1085427602 /nfs/dbraw/zinc/42/76/02/1085427602.db2.gz WLBTVHLZZYAWAY-CQSZACIVSA-N 0 1 315.244 3.119 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCc1cccs1 ZINC001269655469 1085511703 /nfs/dbraw/zinc/51/17/03/1085511703.db2.gz XGAAKPHYHRHCDT-IYBDPMFKSA-N 0 1 318.486 3.322 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccccc2)C1 ZINC001269812277 1085572406 /nfs/dbraw/zinc/57/24/06/1085572406.db2.gz BDRXJPJNUWSQEL-UHFFFAOYSA-N 0 1 302.462 3.342 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccsc2)C1 ZINC001269849654 1085585405 /nfs/dbraw/zinc/58/54/05/1085585405.db2.gz ZCMHKJQEKKYPSE-UONOGXRCSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)oc2C)C[C@H]1C ZINC001269939191 1085622975 /nfs/dbraw/zinc/62/29/75/1085622975.db2.gz WZATVWHTAJUFEY-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001270129350 1085702224 /nfs/dbraw/zinc/70/22/24/1085702224.db2.gz OTVKTGFJGODNGU-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCN(C(=O)C1CCCCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001270279140 1085759321 /nfs/dbraw/zinc/75/93/21/1085759321.db2.gz FEESCRRGNANHQH-KRWDZBQOSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc2c1CCCC2 ZINC001482285389 1085796816 /nfs/dbraw/zinc/79/68/16/1085796816.db2.gz GYPKPEPMOWGHFF-AWEZNQCLSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CCCC1 ZINC001270434567 1085811722 /nfs/dbraw/zinc/81/17/22/1085811722.db2.gz YSIGISUDVWPDSR-FXUDXRNXSA-N 0 1 310.869 3.050 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(CCc2c(F)cccc2F)C1 ZINC001270556254 1085860872 /nfs/dbraw/zinc/86/08/72/1085860872.db2.gz CHNYBXMGNXBYIK-GOSISDBHSA-N 0 1 322.399 3.054 20 30 DGEDMN C=CC[N@H+]1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C(C)(C)C)C1 ZINC001087469362 1085882989 /nfs/dbraw/zinc/88/29/89/1085882989.db2.gz YPAKALOVWMRNCW-IAGOWNOFSA-N 0 1 318.436 3.332 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C(C)(C)C)C1 ZINC001087469362 1085883002 /nfs/dbraw/zinc/88/30/02/1085883002.db2.gz YPAKALOVWMRNCW-IAGOWNOFSA-N 0 1 318.436 3.332 20 30 DGEDMN CCCCCCCN1CCO[C@H](CNC(=O)/C=C/C(C)(C)C)C1 ZINC001270629646 1085902148 /nfs/dbraw/zinc/90/21/48/1085902148.db2.gz SGJLRCSGOFWNCT-SXSDINLZSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(CC)s1 ZINC001482307343 1085912908 /nfs/dbraw/zinc/91/29/08/1085912908.db2.gz WTWCCAGTVZUJHT-LLVKDONJSA-N 0 1 300.855 3.113 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CCCCC(C)(C)C)C1 ZINC001270651169 1085919756 /nfs/dbraw/zinc/91/97/56/1085919756.db2.gz SQYPHIFWDLWNCJ-QGZVFWFLSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001270727071 1085966044 /nfs/dbraw/zinc/96/60/44/1085966044.db2.gz NLHDHQORFLWUMY-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2oc(C)cc2C)CC1 ZINC001270874850 1086109263 /nfs/dbraw/zinc/10/92/63/1086109263.db2.gz PRQYBRBZKBJFHN-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN CC(C)C(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001496707937 1086129301 /nfs/dbraw/zinc/12/93/01/1086129301.db2.gz NDUQEFXNHNWPOW-UHFFFAOYSA-N 0 1 315.461 3.131 20 30 DGEDMN CC#CC[N@H+]1CCC[C@](C)(CNC(=O)CC(C)(C)C2CC2)C1 ZINC001270957983 1086217080 /nfs/dbraw/zinc/21/70/80/1086217080.db2.gz DXMHNSZSGKTNNZ-LJQANCHMSA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(F)c(C)cc1F ZINC001271005333 1086244231 /nfs/dbraw/zinc/24/42/31/1086244231.db2.gz OSWYLTOOJKWIOQ-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(F)ccc1CC ZINC001271021230 1086255900 /nfs/dbraw/zinc/25/59/00/1086255900.db2.gz KLCSMYOBBYHACY-GFCCVEGCSA-N 0 1 312.816 3.191 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001271099419 1086290995 /nfs/dbraw/zinc/29/09/95/1086290995.db2.gz CMQBYKNTQADMGZ-ZBFHGGJFSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@H]2N(CCF)CC23CCC3)CC1 ZINC001105396592 1086327491 /nfs/dbraw/zinc/32/74/91/1086327491.db2.gz PZRPJZLBADXOSU-GOSISDBHSA-N 0 1 322.468 3.405 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@H]1CC ZINC001087673861 1086354021 /nfs/dbraw/zinc/35/40/21/1086354021.db2.gz DGPJMMGVUONUOY-DLBZAZTESA-N 0 1 318.505 3.441 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H]1CC ZINC001087676464 1086355189 /nfs/dbraw/zinc/35/51/89/1086355189.db2.gz PGFBZPPJADCWHK-QFBILLFUSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3occc3s2)[C@H]1CC ZINC001087722962 1086380491 /nfs/dbraw/zinc/38/04/91/1086380491.db2.gz XPBWGVHUQKAWPT-NWDGAFQWSA-N 0 1 304.415 3.263 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H]1CC ZINC001087839995 1086436634 /nfs/dbraw/zinc/43/66/34/1086436634.db2.gz CKMSVGRIUAUXIR-RBUKOAKNSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)[C@H]1CC ZINC001087851929 1086447402 /nfs/dbraw/zinc/44/74/02/1086447402.db2.gz YGQAQVOBOYDKNY-ZWKOTPCHSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C[C@H](C(=O)N(C)[C@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001271215311 1086546576 /nfs/dbraw/zinc/54/65/76/1086546576.db2.gz FBGMZQAVWXUHEH-ZBFHGGJFSA-N 0 1 306.837 3.145 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)CC)c1ccccc1CC ZINC001271438193 1086625604 /nfs/dbraw/zinc/62/56/04/1086625604.db2.gz MAROUHHQZZHKFY-CRAIPNDOSA-N 0 1 300.446 3.065 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc([C@@H](C)CC)cc3)C[C@@H]2C1 ZINC001088213313 1086655341 /nfs/dbraw/zinc/65/53/41/1086655341.db2.gz WDLNLRYPCCQBID-DBVUQKKJSA-N 0 1 324.468 3.227 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCC(C)(C)C3)C[C@@H]2C1 ZINC001088216150 1086657647 /nfs/dbraw/zinc/65/76/47/1086657647.db2.gz SIKHNPWMKXSOAX-KURKYZTESA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C1C=CC=CC=C1 ZINC001482493384 1086713975 /nfs/dbraw/zinc/71/39/75/1086713975.db2.gz TVPCQYKZXJCLME-WMLDXEAASA-N 0 1 320.864 3.254 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1ocnc1C ZINC001271728883 1086729842 /nfs/dbraw/zinc/72/98/42/1086729842.db2.gz VLAWJOAJECDSNL-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1nc(C)sc1C)C(C)C ZINC001271843997 1086789911 /nfs/dbraw/zinc/78/99/11/1086789911.db2.gz CGOPZFYPSZNITP-HNNXBMFYSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1nc(C)cs1)C(C)C ZINC001482555378 1086882519 /nfs/dbraw/zinc/88/25/19/1086882519.db2.gz UMZSNPVMYRJPTI-WMLDXEAASA-N 0 1 323.506 3.284 20 30 DGEDMN COC(=O)C1(C#N)CCN([C@@H](C)Cc2ccc(Cl)cc2)CC1 ZINC001168192060 1087038679 /nfs/dbraw/zinc/03/86/79/1087038679.db2.gz MAOHDXZQZBYMKF-ZDUSSCGKSA-N 0 1 320.820 3.050 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001272072047 1087054688 /nfs/dbraw/zinc/05/46/88/1087054688.db2.gz RRSDZWGUTAFHHM-IRMRGROASA-N 0 1 322.880 3.430 20 30 DGEDMN CC1(C)C[N@@H+](Cc2ccccc2)C(C)(C)CN1C(=O)C#CC1CC1 ZINC001168208945 1087057731 /nfs/dbraw/zinc/05/77/31/1087057731.db2.gz HBEVITJQUBIRPP-UHFFFAOYSA-N 0 1 324.468 3.301 20 30 DGEDMN CC1(C)CN(C(=O)C#CC2CC2)C(C)(C)CN1Cc1ccccc1 ZINC001168208945 1087057737 /nfs/dbraw/zinc/05/77/37/1087057737.db2.gz HBEVITJQUBIRPP-UHFFFAOYSA-N 0 1 324.468 3.301 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCN(C)Cc1cccc(OC)c1 ZINC001482631122 1087094176 /nfs/dbraw/zinc/09/41/76/1087094176.db2.gz LCPASANFBFLPIF-UHFFFAOYSA-N 0 1 318.461 3.188 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2csc3cc(C)ccc23)[C@H]1C ZINC001088592143 1087118163 /nfs/dbraw/zinc/11/81/63/1087118163.db2.gz QVUZGCKLGIIHIS-CJNGLKHVSA-N 0 1 312.438 3.036 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNCc1cc(C(C)(C)C)on1 ZINC001482860331 1087171781 /nfs/dbraw/zinc/17/17/81/1087171781.db2.gz HKWRWTLRMSARNS-ZDUSSCGKSA-N 0 1 321.465 3.169 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2c(C)cccn2c1 ZINC001482963698 1087202275 /nfs/dbraw/zinc/20/22/75/1087202275.db2.gz WOFMPOADBYINPU-UHFFFAOYSA-N 0 1 319.836 3.052 20 30 DGEDMN CCC(=CC(=O)NC/C=C\CNCC#Cc1ccccc1)CC ZINC001482998967 1087228626 /nfs/dbraw/zinc/22/86/26/1087228626.db2.gz UNARQTMEXVAHLU-HJWRWDBZSA-N 0 1 310.441 3.047 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCC=C(Cl)Cl ZINC001483058209 1087309162 /nfs/dbraw/zinc/30/91/62/1087309162.db2.gz UQAUHEVIEMPLSI-PSKZRQQASA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCCC(C)C)CC[C@H]21 ZINC001272216033 1087316043 /nfs/dbraw/zinc/31/60/43/1087316043.db2.gz NPHZIEAMHHLIKF-HZPDHXFCSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1[C@@H]2CCCCCC[C@H]12 ZINC001483064881 1087324619 /nfs/dbraw/zinc/32/46/19/1087324619.db2.gz NIBZVCKOSKGYIA-GPUXQPSCSA-N 0 1 310.869 3.217 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)[C@H]1C ZINC001088737245 1087327115 /nfs/dbraw/zinc/32/71/15/1087327115.db2.gz JLPMRBGKTLAPQI-KUHUBIRLSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@H]1C ZINC001088767463 1087346228 /nfs/dbraw/zinc/34/62/28/1087346228.db2.gz VFJOFFZFFQVODV-YPMHNXCESA-N 0 1 310.800 3.166 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(CCC(F)(F)F)[C@@H]2C)CC1 ZINC001088838549 1087434710 /nfs/dbraw/zinc/43/47/10/1087434710.db2.gz POJDTHSVWCCVMO-OCCSQVGLSA-N 0 1 318.383 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)CC1CCCC1 ZINC001483271058 1087454741 /nfs/dbraw/zinc/45/47/41/1087454741.db2.gz NKFOEOHJGHMFGA-ZFWWWQNUSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1cccc(C(F)F)c1 ZINC001483247956 1087449401 /nfs/dbraw/zinc/44/94/01/1087449401.db2.gz FQORZANJIHGTEU-NSHDSACASA-N 0 1 316.779 3.014 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2cnccc2C)CCC1 ZINC001483381080 1087485956 /nfs/dbraw/zinc/48/59/56/1087485956.db2.gz RUTKKTSDIBHISI-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCCc3ccccc32)[C@H]1C ZINC001088923062 1087605233 /nfs/dbraw/zinc/60/52/33/1087605233.db2.gz OPHOYVHGXXNLRR-MOXGXCLJSA-N 0 1 312.457 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@H]1C ZINC001088950963 1087608723 /nfs/dbraw/zinc/60/87/23/1087608723.db2.gz QRWQQLPAIMDIIK-WBVHZDCISA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)[C@H]1C ZINC001088967971 1087611783 /nfs/dbraw/zinc/61/17/83/1087611783.db2.gz ARZDAYQEXJRGDZ-LZWOXQAQSA-N 0 1 312.413 3.301 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001483673435 1087625323 /nfs/dbraw/zinc/62/53/23/1087625323.db2.gz QAQUTSXFGXELTR-SJLPKXTDSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)[C@H]1C ZINC001089031292 1087625546 /nfs/dbraw/zinc/62/55/46/1087625546.db2.gz AURKANOOXDPYNR-GUTXKFCHSA-N 0 1 318.486 3.252 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2sc(C(C)C)nc2C)[C@H]1C ZINC001089035900 1087626081 /nfs/dbraw/zinc/62/60/81/1087626081.db2.gz BIZCTEWNMUZGBB-KGLIPLIRSA-N 0 1 321.490 3.344 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2[C@@H]2CCc3ccccc32)C1 ZINC001483680328 1087641667 /nfs/dbraw/zinc/64/16/67/1087641667.db2.gz ZUPKLVPXUCLUTD-SJLPKXTDSA-N 0 1 310.441 3.221 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001483684563 1087650139 /nfs/dbraw/zinc/65/01/39/1087650139.db2.gz VWZAZXKASHDTSN-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001272448017 1087708534 /nfs/dbraw/zinc/70/85/34/1087708534.db2.gz AGQHUGCDYCJVPP-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCCN1C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001158261961 1087746012 /nfs/dbraw/zinc/74/60/12/1087746012.db2.gz KZECXFIQUAWMOM-XMTFNYHQSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001158254805 1087747090 /nfs/dbraw/zinc/74/70/90/1087747090.db2.gz RSIOOQMDVQFXRN-AKHDSKFASA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001158254806 1087747150 /nfs/dbraw/zinc/74/71/50/1087747150.db2.gz RSIOOQMDVQFXRN-FCGDIQPGSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCCN1C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001158261962 1087747288 /nfs/dbraw/zinc/74/72/88/1087747288.db2.gz KZECXFIQUAWMOM-ZJPYXAASSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C(C)(F)F)CC(C)(C)C1 ZINC001089299444 1087757133 /nfs/dbraw/zinc/75/71/33/1087757133.db2.gz SWZABAWHDBRSIO-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCC[N@H+]2CCCC(F)(F)F ZINC001272506544 1087766884 /nfs/dbraw/zinc/76/68/84/1087766884.db2.gz OPQPEVLFVQUKHI-OAHLLOKOSA-N 0 1 318.383 3.362 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1nc2ccc(Br)cc2[nH]1 ZINC001151827341 1087787160 /nfs/dbraw/zinc/78/71/60/1087787160.db2.gz XUIYQADBFJGRHR-UHFFFAOYSA-N 0 1 322.206 3.364 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2ccccn2)CC(C)(C)C1 ZINC001089301371 1087780649 /nfs/dbraw/zinc/78/06/49/1087780649.db2.gz RNSIRXSFEVDDDN-AWEZNQCLSA-N 0 1 321.852 3.055 20 30 DGEDMN CCCCCC(C)(C)C(=O)NCCNCc1ccccc1C#N ZINC001151869580 1087803109 /nfs/dbraw/zinc/80/31/09/1087803109.db2.gz AGQHKLQOJKYLED-UHFFFAOYSA-N 0 1 315.461 3.371 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(C)(C)C)s1 ZINC001151947456 1087838080 /nfs/dbraw/zinc/83/80/80/1087838080.db2.gz YUYSWUNHYDKOHF-UHFFFAOYSA-N 0 1 300.855 3.118 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCCC[C@@H]2C[N@H+](C)CC#CC)cc1 ZINC001158518757 1087884262 /nfs/dbraw/zinc/88/42/62/1087884262.db2.gz MUOBKUCQTGCZGT-LJQANCHMSA-N 0 1 310.441 3.279 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCCC[C@@H]2CN(C)CC#CC)cc1 ZINC001158518757 1087884280 /nfs/dbraw/zinc/88/42/80/1087884280.db2.gz MUOBKUCQTGCZGT-LJQANCHMSA-N 0 1 310.441 3.279 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cscc2Cl)CC1 ZINC001483743554 1087891761 /nfs/dbraw/zinc/89/17/61/1087891761.db2.gz ZWFWIBOGZDDUMX-UHFFFAOYSA-N 0 1 305.230 3.006 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C2CC2)cc1Cl ZINC000176534868 1087896293 /nfs/dbraw/zinc/89/62/93/1087896293.db2.gz MRFAKUACVLGPOG-CABZTGNLSA-N 0 1 320.776 3.042 20 30 DGEDMN CCN(Cc1c(C)cccc1Cl)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152414287 1087970627 /nfs/dbraw/zinc/97/06/27/1087970627.db2.gz YVHNOYWIOOZQJS-ZIAGYGMSSA-N 0 1 321.852 3.135 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCNCc1csc(CCC)n1 ZINC001158887000 1088084748 /nfs/dbraw/zinc/08/47/48/1088084748.db2.gz NJAJNEQBCDVKRZ-UHFFFAOYSA-N 0 1 323.506 3.294 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001158894361 1088085219 /nfs/dbraw/zinc/08/52/19/1088085219.db2.gz ICSCOCLZXKXJAX-UHFFFAOYSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C[C@@H](C(=O)N1CCCC[C@@H]1CN(C)CC#CC)c1ccccc1 ZINC001158900421 1088089500 /nfs/dbraw/zinc/08/95/00/1088089500.db2.gz FFAMLCXPDUKIPV-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1ccccc1C ZINC001158900653 1088091337 /nfs/dbraw/zinc/09/13/37/1088091337.db2.gz IRGUJUVFNSYSPL-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN CCc1cc(NC(=O)c2cc3c[nH]cnc-3n2)cc(CC)c1C#N ZINC001152996153 1088133558 /nfs/dbraw/zinc/13/35/58/1088133558.db2.gz YMZPPQNEDATNMU-UHFFFAOYSA-N 0 1 319.368 3.158 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)C/C=C\c1ccccc1 ZINC001153025055 1088144473 /nfs/dbraw/zinc/14/44/73/1088144473.db2.gz MYNXYPWHOJBYQX-DEQJRXDXSA-N 0 1 312.457 3.330 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)Nc1cccc(CC#N)n1 ZINC001153246490 1088198257 /nfs/dbraw/zinc/19/82/57/1088198257.db2.gz YOHJUJNNPZCNTI-UHFFFAOYSA-N 0 1 317.352 3.099 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](CN[C@H](C)c2ncc(C)o2)[C@H](C)C1 ZINC001106609813 1088245336 /nfs/dbraw/zinc/24/53/36/1088245336.db2.gz PLADEBYEBPVTIG-FVQBIDKESA-N 0 1 319.449 3.084 20 30 DGEDMN CC[C@H](C)C(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159326145 1088297566 /nfs/dbraw/zinc/29/75/66/1088297566.db2.gz ZXNBQGXCJRBISJ-SFHVURJKSA-N 0 1 324.468 3.223 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3cc(F)c(C#N)cc3C)c2[nH]1 ZINC001153608944 1088303312 /nfs/dbraw/zinc/30/33/12/1088303312.db2.gz QJXSRTYNBTZFHH-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1oc(CC)nc1C ZINC001153626021 1088309002 /nfs/dbraw/zinc/30/90/02/1088309002.db2.gz VIEILJRVCVISIX-CQSZACIVSA-N 0 1 321.465 3.228 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001153641865 1088318825 /nfs/dbraw/zinc/31/88/25/1088318825.db2.gz INUWNMOOYJUMLD-MRXNPFEDSA-N 0 1 303.450 3.073 20 30 DGEDMN N#CC(C(=O)CSC1CC1)c1nc2c(cccc2Cl)[nH]1 ZINC001123249044 1088377295 /nfs/dbraw/zinc/37/72/95/1088377295.db2.gz WWOOQXHSOSXOND-SECBINFHSA-N 0 1 305.790 3.288 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(-c2ccccc2)co1 ZINC001153848195 1088384136 /nfs/dbraw/zinc/38/41/36/1088384136.db2.gz GGVPWBABVHSNKF-UHFFFAOYSA-N 0 1 304.777 3.019 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(F)CCCCC1 ZINC001099297821 1088498027 /nfs/dbraw/zinc/49/80/27/1088498027.db2.gz JDOWZHNRNVOOGN-OAHLLOKOSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001483757444 1088528815 /nfs/dbraw/zinc/52/88/15/1088528815.db2.gz YPQCOBISUBDYOX-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001483760548 1088555647 /nfs/dbraw/zinc/55/56/47/1088555647.db2.gz QJWBQQRXHOEDNP-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2C[C@H]2C2CC2)CC(C)(C)C1 ZINC001089513991 1088707313 /nfs/dbraw/zinc/70/73/13/1088707313.db2.gz HNXRFBZVHXMEBB-HRCADAONSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCc1ccccc1CN(C)CC#CC ZINC001272675533 1088824087 /nfs/dbraw/zinc/82/40/87/1088824087.db2.gz OXKKTFGGKKTZIV-UHFFFAOYSA-N 0 1 312.457 3.360 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)CC1 ZINC001483775142 1088824808 /nfs/dbraw/zinc/82/48/08/1088824808.db2.gz OLMKVRAKROWMHC-JKSUJKDBSA-N 0 1 318.848 3.089 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1oc(C)cc1C ZINC001089622557 1088836604 /nfs/dbraw/zinc/83/66/04/1088836604.db2.gz ILFVQMXRBLNCSU-MRXNPFEDSA-N 0 1 316.445 3.140 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1csc(C)c1C ZINC001089645960 1088877016 /nfs/dbraw/zinc/87/70/16/1088877016.db2.gz FJXRLUHOWPNOIR-HNNXBMFYSA-N 0 1 318.486 3.219 20 30 DGEDMN CC[N@@H+](CC#Cc1ccccc1)[C@H](C)CNC(=O)C1(CC)CC1 ZINC001155055993 1088920150 /nfs/dbraw/zinc/92/01/50/1088920150.db2.gz DLPRUHUHQQUFDK-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C1(CC)CC1 ZINC001155055993 1088920164 /nfs/dbraw/zinc/92/01/64/1088920164.db2.gz DLPRUHUHQQUFDK-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](CC)CCCC)CC1 ZINC001160714332 1088928575 /nfs/dbraw/zinc/92/85/75/1088928575.db2.gz KNFZGMTVTRGJHW-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN CCCCCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](C)O ZINC001483830031 1088931759 /nfs/dbraw/zinc/93/17/59/1088931759.db2.gz IGNSTZVTVGKTKT-OKZBNKHCSA-N 0 1 324.509 3.183 20 30 DGEDMN N#Cc1ccc2ccnc(NCCN3CCC(F)(F)CC3)c2c1 ZINC001160748889 1088941680 /nfs/dbraw/zinc/94/16/80/1088941680.db2.gz DQABWWOXPLMCKU-UHFFFAOYSA-N 0 1 316.355 3.249 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H](C)C(F)(F)F)C1 ZINC001483874808 1088974392 /nfs/dbraw/zinc/97/43/92/1088974392.db2.gz CHDCPXDKOOGXGN-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001483880286 1088978378 /nfs/dbraw/zinc/97/83/78/1088978378.db2.gz KYYXQWOXHROWNV-PIIMJCKOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001483891646 1088994306 /nfs/dbraw/zinc/99/43/06/1088994306.db2.gz MDXHEDFEZFWZSM-GJZGRUSLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001483891647 1088994400 /nfs/dbraw/zinc/99/44/00/1088994400.db2.gz MDXHEDFEZFWZSM-HUUCEWRRSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CC(C1CC1)C1CC1 ZINC001483959400 1089036387 /nfs/dbraw/zinc/03/63/87/1089036387.db2.gz SRDCHDPQKDOPSK-OAHLLOKOSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ncccc1CC ZINC001089660332 1089040064 /nfs/dbraw/zinc/04/00/64/1089040064.db2.gz HMRWSMUGGOCVPH-INIZCTEOSA-N 0 1 315.461 3.050 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160968393 1089046719 /nfs/dbraw/zinc/04/67/19/1089046719.db2.gz UOHPLTPTQCTQTE-WBVHZDCISA-N 0 1 310.457 3.475 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001483983010 1089059556 /nfs/dbraw/zinc/05/95/56/1089059556.db2.gz MGGQRMFATYJNQL-JKSUJKDBSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001483985285 1089070228 /nfs/dbraw/zinc/07/02/28/1089070228.db2.gz RBNWCBHIWIDGIE-JXMROGBWSA-N 0 1 324.896 3.491 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001089672529 1089102589 /nfs/dbraw/zinc/10/25/89/1089102589.db2.gz KROVEENUHWSJQK-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(CCc2ccccc2Cl)C1 ZINC001484035142 1089117079 /nfs/dbraw/zinc/11/70/79/1089117079.db2.gz RKKCDFVYEOWWLD-GOSISDBHSA-N 0 1 320.864 3.429 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001155524204 1089145734 /nfs/dbraw/zinc/14/57/34/1089145734.db2.gz VAEZETJYIQEJNS-CQSZACIVSA-N 0 1 319.424 3.120 20 30 DGEDMN Cc1cc(Cl)nc(N[C@@H]2CNCc3ccsc32)c1C#N ZINC001155560428 1089164632 /nfs/dbraw/zinc/16/46/32/1089164632.db2.gz ZTRVYNKURSWQAG-LLVKDONJSA-N 0 1 304.806 3.233 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)[C@H](C)C(C)C ZINC001155581324 1089173675 /nfs/dbraw/zinc/17/36/75/1089173675.db2.gz PWAYETZVSUVZHV-ZIAGYGMSSA-N 0 1 321.490 3.238 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1ocnc1CC ZINC001089690806 1089181100 /nfs/dbraw/zinc/18/11/00/1089181100.db2.gz FXKBUMWCAFBTIS-AWEZNQCLSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCC1(C(=O)NCC2(C)CCN(CCF)CC2)CCCCC1 ZINC001484147704 1089223753 /nfs/dbraw/zinc/22/37/53/1089223753.db2.gz ZRAOMAMEPMVNSF-UHFFFAOYSA-N 0 1 322.468 3.148 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CCC2(C)C)CC1 ZINC001484140612 1089226738 /nfs/dbraw/zinc/22/67/38/1089226738.db2.gz GCFIHDWSFFGSKM-CQSZACIVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CCC[N@@H+](Cc2ccsc2)C1 ZINC001484152984 1089228673 /nfs/dbraw/zinc/22/86/73/1089228673.db2.gz SDVGADPSWJEUDI-QGZVFWFLSA-N 0 1 306.475 3.433 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)c1cccc2cncn21 ZINC001155786850 1089245529 /nfs/dbraw/zinc/24/55/29/1089245529.db2.gz JDPCOGLWDQGZMG-UHFFFAOYSA-N 0 1 307.357 3.015 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC2(C=C)CCCCC2)CC1 ZINC001161452562 1089252412 /nfs/dbraw/zinc/25/24/12/1089252412.db2.gz SUOMCFJOESNVFV-UHFFFAOYSA-N 0 1 314.473 3.285 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC001161477987 1089257965 /nfs/dbraw/zinc/25/79/65/1089257965.db2.gz MBPGXNRUEFTUJA-KRWDZBQOSA-N 0 1 324.468 3.124 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C(F)F)cc2)CC1 ZINC001161485819 1089259186 /nfs/dbraw/zinc/25/91/86/1089259186.db2.gz WLIWCJYXIRNHKN-UHFFFAOYSA-N 0 1 318.367 3.009 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2conc2C)C1 ZINC001484167121 1089261776 /nfs/dbraw/zinc/26/17/76/1089261776.db2.gz PPTFDASLPVZZSK-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001484175253 1089270553 /nfs/dbraw/zinc/27/05/53/1089270553.db2.gz UVSCIDIWBLZCJB-QFBILLFUSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1Cl ZINC001089723690 1089301663 /nfs/dbraw/zinc/30/16/63/1089301663.db2.gz NLZBNNNBXQKGGF-CQSZACIVSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1Cl ZINC001089723690 1089301669 /nfs/dbraw/zinc/30/16/69/1089301669.db2.gz NLZBNNNBXQKGGF-CQSZACIVSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCCC1(C)C ZINC001089734761 1089316942 /nfs/dbraw/zinc/31/69/42/1089316942.db2.gz XCAXHYZKGRIQTN-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(C2CC2)c1 ZINC001089737594 1089320176 /nfs/dbraw/zinc/32/01/76/1089320176.db2.gz YKFFMFUWWKFIIP-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC001161704742 1089360691 /nfs/dbraw/zinc/36/06/91/1089360691.db2.gz HHLJLYSXSSZADJ-SFHVURJKSA-N 0 1 320.452 3.067 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccn1 ZINC001484462101 1089433935 /nfs/dbraw/zinc/43/39/35/1089433935.db2.gz LAVBSLZTAIKOFQ-QZTJIDSGSA-N 0 1 313.445 3.003 20 30 DGEDMN CCN1CCCC[C@@H]1C(=O)N(O)Cc1cccc2ccccc21 ZINC001161842753 1089434852 /nfs/dbraw/zinc/43/48/52/1089434852.db2.gz NJJNKZHNZYHGOS-GOSISDBHSA-N 0 1 312.413 3.432 20 30 DGEDMN C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC=C)CCCC1 ZINC001484467550 1089436635 /nfs/dbraw/zinc/43/66/35/1089436635.db2.gz LARXXELZUPUKSL-SJORKVTESA-N 0 1 302.462 3.374 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CCC)CC(C)C)CC1 ZINC001161968320 1089497507 /nfs/dbraw/zinc/49/75/07/1089497507.db2.gz OPMIBSNLMPANKU-GOSISDBHSA-N 0 1 304.478 3.220 20 30 DGEDMN N#Cc1cc(Cl)cc(NCC2CN(Cc3ccccc3)C2)n1 ZINC001156399882 1089504539 /nfs/dbraw/zinc/50/45/39/1089504539.db2.gz AYCCUOOLIHLFKM-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1ccc(F)c(C)c1 ZINC001272685525 1089526350 /nfs/dbraw/zinc/52/63/50/1089526350.db2.gz AXCIVYFFRZXMHA-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)C[C@H]2C=CCC2)CCC1 ZINC001484623471 1089546823 /nfs/dbraw/zinc/54/68/23/1089546823.db2.gz DXSANZCPDROASX-INIZCTEOSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1sccc1Cl ZINC001272686808 1089551299 /nfs/dbraw/zinc/55/12/99/1089551299.db2.gz IPZXGDHPCTXKIB-CHWSQXEVSA-N 0 1 310.850 3.153 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@@H]2C2CCCC2)CCC1 ZINC001484655633 1089573771 /nfs/dbraw/zinc/57/37/71/1089573771.db2.gz GAJLIVXKDUVAIF-CABCVRRESA-N 0 1 310.869 3.194 20 30 DGEDMN CN1CCC(C#N)(Nc2nccc3c(Cl)cccc32)CC1 ZINC001162227020 1089620883 /nfs/dbraw/zinc/62/08/83/1089620883.db2.gz IBSIYRMITDEKFB-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1cc2c(s1)CCCCC2 ZINC001156733750 1089669238 /nfs/dbraw/zinc/66/92/38/1089669238.db2.gz JHWHMVVDYPUAKQ-CQSZACIVSA-N 0 1 318.486 3.090 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3(CC)CCC3)cc2C1 ZINC001484873644 1089713724 /nfs/dbraw/zinc/71/37/24/1089713724.db2.gz HJKJABSBXSDSLG-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN [NH3+][C@@H]1CCC[C@H](Nc2cc(/C=N/[O-])cc(C(F)(F)F)c2)C1 ZINC001162401250 1089717537 /nfs/dbraw/zinc/71/75/37/1089717537.db2.gz KQNQCHSJVVPXHQ-IKRVMBNNSA-N 0 1 301.312 3.195 20 30 DGEDMN CCN(C/C=C\Cl)[C@H](C)CNC(=O)CC#Cc1ccccc1 ZINC001157074909 1089813552 /nfs/dbraw/zinc/81/35/52/1089813552.db2.gz JRXVXYOUYFDXRJ-LPDYGMJQSA-N 0 1 318.848 3.007 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@H](CC)C(C)C ZINC001485045495 1089865302 /nfs/dbraw/zinc/86/53/02/1089865302.db2.gz ZNIQACIYXGQAEB-QKYXUNIQSA-N 0 1 312.457 3.064 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1CNC/C(Cl)=C/Cl ZINC001485036429 1089872403 /nfs/dbraw/zinc/87/24/03/1089872403.db2.gz BKYXZIWFDORDRY-ZVHGMHCTSA-N 0 1 317.260 3.080 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCCN1C(=O)C1CCC1 ZINC001157417014 1089955526 /nfs/dbraw/zinc/95/55/26/1089955526.db2.gz QLDVDWQMPMYEOT-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(F)c1Cl ZINC001485201940 1090016608 /nfs/dbraw/zinc/01/66/08/1090016608.db2.gz NNQYRXMQEVLPDY-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C(C)(C)C1CCCC1 ZINC001485159361 1089997894 /nfs/dbraw/zinc/99/78/94/1089997894.db2.gz WXKBSKOVPIQPTQ-STQMWFEESA-N 0 1 300.874 3.438 20 30 DGEDMN Cc1cc(CN(C)[C@@H](C)CNC(=O)C#CC(C)(C)C)cs1 ZINC001485304508 1090102313 /nfs/dbraw/zinc/10/23/13/1090102313.db2.gz REYLQECVJVYITG-ZDUSSCGKSA-N 0 1 306.475 3.043 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C(F)=C1CCCC1 ZINC001157802404 1090114035 /nfs/dbraw/zinc/11/40/35/1090114035.db2.gz DFCGKVLANSTEGZ-MRXNPFEDSA-N 0 1 306.425 3.120 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)Cc2ccc(Cl)nc2)C1 ZINC001485348703 1090117524 /nfs/dbraw/zinc/11/75/24/1090117524.db2.gz FYHHPMZVWIGNAJ-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)sc1C ZINC001158190228 1090197903 /nfs/dbraw/zinc/19/79/03/1090197903.db2.gz DYHORUIJABLUHT-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001485535119 1090205584 /nfs/dbraw/zinc/20/55/84/1090205584.db2.gz KVDKQFJOSQATAI-VQIMIIECSA-N 0 1 312.457 3.030 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)CC)C2)C1 ZINC001485553590 1090207724 /nfs/dbraw/zinc/20/77/24/1090207724.db2.gz SXUQHURGUCXVGN-HKUYNNGSSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)C3(C)CC3)C2)C1 ZINC001485555674 1090207826 /nfs/dbraw/zinc/20/78/26/1090207826.db2.gz FFJQONLADRILNW-IBGZPJMESA-N 0 1 322.493 3.060 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCCCC(C)C)C(C)(C)C1 ZINC001485578007 1090213970 /nfs/dbraw/zinc/21/39/70/1090213970.db2.gz QKKPDLCIVIFZIR-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN CN1CCN(c2cc(Cl)cc(C#N)n2)[C@H](c2ccccc2)C1 ZINC001163669068 1090227105 /nfs/dbraw/zinc/22/71/05/1090227105.db2.gz JDHFXDPLMDEIKP-INIZCTEOSA-N 0 1 312.804 3.100 20 30 DGEDMN N=C(Nc1ccc2c(c1)COC2=O)c1ccc(C(F)(F)F)cc1 ZINC001163680625 1090231153 /nfs/dbraw/zinc/23/11/53/1090231153.db2.gz TVTMFBUVPGJIJY-UHFFFAOYSA-N 0 1 320.270 3.413 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001485695272 1090244726 /nfs/dbraw/zinc/24/47/26/1090244726.db2.gz JCMVRIINCLNTFA-XBIGZVMTSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCCCCCCCCN1CC2(C1)COCC(=O)N2CC=C ZINC001272711435 1090316176 /nfs/dbraw/zinc/31/61/76/1090316176.db2.gz DCNYKHSISTWVBE-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN CC(C)[C@H](C)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001124685667 1090318843 /nfs/dbraw/zinc/31/88/43/1090318843.db2.gz CEVRIGDKWJFTDD-OAHLLOKOSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCN1CC[C@@]2(CCN(Cc3cc(Cl)ccc3F)C2)C1=O ZINC001272726739 1090334697 /nfs/dbraw/zinc/33/46/97/1090334697.db2.gz UDKRMZKREZDRBS-QGZVFWFLSA-N 0 1 322.811 3.090 20 30 DGEDMN CC(C)(C)N1CCN(c2nc(Cl)c(Cl)cc2C#N)CC1 ZINC001165091257 1090464142 /nfs/dbraw/zinc/46/41/42/1090464142.db2.gz NFMMLALLVRMSDN-UHFFFAOYSA-N 0 1 313.232 3.181 20 30 DGEDMN Cc1ccc(C#N)c(N2C[C@H](C)N(Cc3ccccc3)C[C@H]2C)n1 ZINC001165201450 1090479095 /nfs/dbraw/zinc/47/90/95/1090479095.db2.gz MSEXDXRQUUYLTJ-DLBZAZTESA-N 0 1 320.440 3.361 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@H](CC)CCCC)C1 ZINC001107764410 1090500387 /nfs/dbraw/zinc/50/03/87/1090500387.db2.gz XBEZSILLCWYORP-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C=C2CCCCC2)C1 ZINC001107788039 1090503992 /nfs/dbraw/zinc/50/39/92/1090503992.db2.gz OAXYVKOJBZIIRR-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CN(CCCCCCC)CCO1 ZINC001107801802 1090506634 /nfs/dbraw/zinc/50/66/34/1090506634.db2.gz BDHLGHXHUKKTJR-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@@]1(C)CN(CCCCCCC)CCO1 ZINC001107855639 1090516328 /nfs/dbraw/zinc/51/63/28/1090516328.db2.gz WUDOEMLLIABJHH-SCFNNSENSA-N 0 1 310.482 3.130 20 30 DGEDMN N#Cc1cc(NCC2CN(Cc3ccccc3)C2)ncc1Cl ZINC001165413676 1090518525 /nfs/dbraw/zinc/51/85/25/1090518525.db2.gz RZUMBXSNBSYJSY-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=CCCC1(C(=O)NCCCNC/C(Cl)=C/Cl)CC1 ZINC001165913466 1090560722 /nfs/dbraw/zinc/56/07/22/1090560722.db2.gz BLZPAPOWFYPQMR-BENRWUELSA-N 0 1 305.249 3.148 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CCC(F)(F)F)C2)CC1 ZINC001272798492 1090586227 /nfs/dbraw/zinc/58/62/27/1090586227.db2.gz DOIFBJJFUOEAGG-UHFFFAOYSA-N 0 1 324.774 3.006 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCC[C@H](C)CCC)C1 ZINC001107968861 1090589480 /nfs/dbraw/zinc/58/94/80/1090589480.db2.gz IOHLQJVLSWXOQY-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001272810959 1090617379 /nfs/dbraw/zinc/61/73/79/1090617379.db2.gz PZGBKQXYLOXKGD-LHHJGKSTSA-N 0 1 308.853 3.186 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cc(C)ccc1F ZINC001128084811 1090645540 /nfs/dbraw/zinc/64/55/40/1090645540.db2.gz DMTNLOFPMJJKSR-UHFFFAOYSA-N 0 1 304.409 3.108 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C3(CCC)CC3)cccc2C1 ZINC001272917493 1090681532 /nfs/dbraw/zinc/68/15/32/1090681532.db2.gz ZZJUOLKAFOZVIL-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)oc1C ZINC001272923583 1090690840 /nfs/dbraw/zinc/69/08/40/1090690840.db2.gz LVKWTLRMYVIVLA-CQSZACIVSA-N 0 1 310.825 3.233 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC1(CNCc2cscn2)CC1 ZINC001167018520 1090695847 /nfs/dbraw/zinc/69/58/47/1090695847.db2.gz LSTAPKNVYIYGIK-INIZCTEOSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1oc(CC)nc1C ZINC001485927882 1090729832 /nfs/dbraw/zinc/72/98/32/1090729832.db2.gz SNXKAFCCKLKDAI-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCc2nc(C)cs2)C[C@@H]1C ZINC001486112356 1090752318 /nfs/dbraw/zinc/75/23/18/1090752318.db2.gz OOZLEPOYTGNPAY-UONOGXRCSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2scnc2C)[C@H]1C ZINC001486178808 1090776902 /nfs/dbraw/zinc/77/69/02/1090776902.db2.gz FHVKHFKSVFFUQU-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(F)cc(Cl)c1)C(C)C ZINC001486376047 1090813791 /nfs/dbraw/zinc/81/37/91/1090813791.db2.gz KAZQXIHPONTSHE-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CCCC(=O)N[C@H](CNCc1cc(F)ccc1C#N)C(C)(C)C ZINC001486382800 1090818353 /nfs/dbraw/zinc/81/83/53/1090818353.db2.gz JFFYRCVZKVMLMH-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1oc(CC)nc1C)C(C)(C)C ZINC001486390022 1090821201 /nfs/dbraw/zinc/82/12/01/1090821201.db2.gz TWHPRFOWZWOEJK-OAHLLOKOSA-N 0 1 321.465 3.132 20 30 DGEDMN COc1cccc(O[C@@H]2CCCN(Cc3ccc(C#N)cc3)C2)n1 ZINC001203906723 1090835039 /nfs/dbraw/zinc/83/50/39/1090835039.db2.gz WBKNNFFYGILWGA-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cccc(O[C@H]2CCCN(Cc3ccc(C#N)cc3)C2)n1 ZINC001203906722 1090835561 /nfs/dbraw/zinc/83/55/61/1090835561.db2.gz WBKNNFFYGILWGA-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cc(CN2CCC(C#N)(c3ccccc3)CC2)ccc1O ZINC001204112406 1090903982 /nfs/dbraw/zinc/90/39/82/1090903982.db2.gz PGURXZUBDYTCJG-UHFFFAOYSA-N 0 1 322.408 3.458 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001128208978 1090907098 /nfs/dbraw/zinc/90/70/98/1090907098.db2.gz LISLLOKWLKNXKN-UHFFFAOYSA-N 0 1 323.868 3.190 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cccc(F)c2F)CCC1 ZINC001280483166 1090967906 /nfs/dbraw/zinc/96/79/06/1090967906.db2.gz OGTULEZCFUGBBD-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN CC(C)Oc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001204453400 1090973271 /nfs/dbraw/zinc/97/32/71/1090973271.db2.gz MJDCYWNOZMVBQP-MRXNPFEDSA-N 0 1 303.427 3.248 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001276469394 1090985994 /nfs/dbraw/zinc/98/59/94/1090985994.db2.gz WDYAMHDOUORTFM-KBXCAEBGSA-N 0 1 318.436 3.188 20 30 DGEDMN O=C1C=C2CN(Cc3cccc(OC(F)F)c3)CCC2S1 ZINC001204822071 1091042748 /nfs/dbraw/zinc/04/27/48/1091042748.db2.gz CPOCZDRSLQQWTR-ZDUSSCGKSA-N 0 1 311.353 3.062 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C(C)(C)C=C)C1 ZINC001108119550 1091100479 /nfs/dbraw/zinc/10/04/79/1091100479.db2.gz PEDGLOUAEXLJCF-LJQANCHMSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1ccc(C)c(F)c1)C2 ZINC001205228472 1091139168 /nfs/dbraw/zinc/13/91/68/1091139168.db2.gz VVJPQCOFRXHHKZ-PKOBYXMFSA-N 0 1 319.420 3.460 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccsc2)cc1OC ZINC001138349384 1091148682 /nfs/dbraw/zinc/14/86/82/1091148682.db2.gz XEWAFWPNCOKTBQ-UHFFFAOYSA-N 0 1 301.411 3.401 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108149384 1091154174 /nfs/dbraw/zinc/15/41/74/1091154174.db2.gz VCTFNWBSECBBJN-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3cccc(C)c3)CC2)cc1 ZINC001138556264 1091228192 /nfs/dbraw/zinc/22/81/92/1091228192.db2.gz MMCYHSNUXLIEEU-UHFFFAOYSA-N 0 1 320.436 3.329 20 30 DGEDMN C#CCOc1ccc(CN2CCCc3cc(O)c(O)cc3C2)cc1 ZINC001138560542 1091230433 /nfs/dbraw/zinc/23/04/33/1091230433.db2.gz ZMDSBSVDGNPWEX-UHFFFAOYSA-N 0 1 323.392 3.058 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)C[N@@H+](CC/C=C\CCC)CCO1 ZINC001108168448 1091256165 /nfs/dbraw/zinc/25/61/65/1091256165.db2.gz ANMWPYUYNMOOFD-OLHLWXQYSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CN(CC/C=C\CCC)CCO1 ZINC001108168448 1091256173 /nfs/dbraw/zinc/25/61/73/1091256173.db2.gz ANMWPYUYNMOOFD-OLHLWXQYSA-N 0 1 322.493 3.296 20 30 DGEDMN C=C(C)COc1ccccc1CN(C)[C@H]1CCCN(C(C)=O)C1 ZINC001138665618 1091280479 /nfs/dbraw/zinc/28/04/79/1091280479.db2.gz APONBNVNVYEJBC-SFHVURJKSA-N 0 1 316.445 3.084 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCc2c(cc[nH]c2=O)C1 ZINC001138666502 1091281617 /nfs/dbraw/zinc/28/16/17/1091281617.db2.gz VNHYAUXHAKIYJU-UHFFFAOYSA-N 0 1 310.397 3.300 20 30 DGEDMN N#CCc1cc(F)cc(-c2ccc(CCN3CCOCC3)cc2)c1 ZINC001205680259 1091309498 /nfs/dbraw/zinc/30/94/98/1091309498.db2.gz XWNMSAUQYLNJRH-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(CC(C)C)c[nH]2)CCC1 ZINC001273187477 1091360639 /nfs/dbraw/zinc/36/06/39/1091360639.db2.gz VUMWQLFKZNFSEQ-UHFFFAOYSA-N 0 1 323.868 3.208 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108188761 1091374462 /nfs/dbraw/zinc/37/44/62/1091374462.db2.gz YJDJRAVLFPDYLL-AMQGLKLZSA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC1CCCCC1 ZINC001098872663 1091408310 /nfs/dbraw/zinc/40/83/10/1091408310.db2.gz DEDJRBNSBADKSH-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCOc1ccccc1CN1C[C@@H](OCC)[C@H]2OCCC[C@H]21 ZINC001139028077 1091422427 /nfs/dbraw/zinc/42/24/27/1091422427.db2.gz KTXGDIFRUSJLIV-QRQLOZEOSA-N 0 1 317.429 3.020 20 30 DGEDMN CCCCCCC[N@@H+](C)Cc1cnc(N2CCOCC2)s1 ZINC001139046520 1091428391 /nfs/dbraw/zinc/42/83/91/1091428391.db2.gz OKWUQMXFJCDBEN-UHFFFAOYSA-N 0 1 311.495 3.382 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C(F)F)ccc3F)[C@@H]2C1 ZINC001084349614 1091479762 /nfs/dbraw/zinc/47/97/62/1091479762.db2.gz STXKFHVCWDXYEC-IUODEOHRSA-N 0 1 324.346 3.096 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3coc4ccc(C)cc34)[C@@H]2C1 ZINC001084380140 1091485002 /nfs/dbraw/zinc/48/50/02/1091485002.db2.gz HWPPXFOEOMLDRQ-CRAIPNDOSA-N 0 1 324.424 3.002 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(Cl)cc3F)[C@@H]2C1 ZINC001084498204 1091495427 /nfs/dbraw/zinc/49/54/27/1091495427.db2.gz OWJSJMDRVIUOFJ-MLGOLLRUSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001084573812 1091505961 /nfs/dbraw/zinc/50/59/61/1091505961.db2.gz BMHPCPGLPKSJGV-CHWSQXEVSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(Cl)c(F)c3)[C@@H]2C1 ZINC001084680335 1091534703 /nfs/dbraw/zinc/53/47/03/1091534703.db2.gz KGOIQCTVLUXHLZ-CZUORRHYSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3csc(Cl)c3)[C@@H]2C1 ZINC001084702769 1091543395 /nfs/dbraw/zinc/54/33/95/1091543395.db2.gz PSQGSULHSSBHDB-DGCLKSJQSA-N 0 1 310.850 3.124 20 30 DGEDMN CC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139404354 1091547290 /nfs/dbraw/zinc/54/72/90/1091547290.db2.gz BTWFIILLULUFAZ-IBGZPJMESA-N 0 1 305.381 3.174 20 30 DGEDMN CC(=O)[C@@H]1CCCN1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139404354 1091547298 /nfs/dbraw/zinc/54/72/98/1091547298.db2.gz BTWFIILLULUFAZ-IBGZPJMESA-N 0 1 305.381 3.174 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCCC1=O ZINC001139400554 1091547517 /nfs/dbraw/zinc/54/75/17/1091547517.db2.gz WUOPGKFLLRSZFT-OAHLLOKOSA-N 0 1 319.408 3.421 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C)c3ccccc3F)[C@@H]2C1 ZINC001084724557 1091548931 /nfs/dbraw/zinc/54/89/31/1091548931.db2.gz JRMFZKDZXYDLAR-HDMKZQKVSA-N 0 1 316.420 3.038 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)[C@@H](C)CO1 ZINC001139406228 1091550506 /nfs/dbraw/zinc/55/05/06/1091550506.db2.gz NIDDFERWPUZXNW-LSDHHAIUSA-N 0 1 307.397 3.229 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1[nH]nc2c1cccc2Cl ZINC001295329461 1091552065 /nfs/dbraw/zinc/55/20/65/1091552065.db2.gz BHZDACCTFOBMIG-UHFFFAOYSA-N 0 1 301.758 3.268 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)oc3C)[C@@H]2C1 ZINC001084751577 1091553837 /nfs/dbraw/zinc/55/38/37/1091553837.db2.gz RMXOZFWHRVOGPP-NVXWUHKLSA-N 0 1 316.445 3.434 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(C(C)C)oc3C)[C@@H]2C1 ZINC001084751577 1091553844 /nfs/dbraw/zinc/55/38/44/1091553844.db2.gz RMXOZFWHRVOGPP-NVXWUHKLSA-N 0 1 316.445 3.434 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3C[C@H]4[C@H](CF)[C@H]4C3)cn2)cc1 ZINC001139427319 1091556580 /nfs/dbraw/zinc/55/65/80/1091556580.db2.gz CRDKVZXMIXCBBM-NNZMDNLPSA-N 0 1 323.371 3.393 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc4cc(C)ccc4o3)[C@@H]2C1 ZINC001084852147 1091589202 /nfs/dbraw/zinc/58/92/02/1091589202.db2.gz IVMXRIIKLBUWDB-NVXWUHKLSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(=O)C(F)(F)F)cc1 ZINC001230700885 1091623649 /nfs/dbraw/zinc/62/36/49/1091623649.db2.gz YTFNMWKCFHEUCB-SSDOTTSWSA-N 0 1 300.232 3.030 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2[nH]nc3ccc(Br)cc32)C1 ZINC001139660384 1091636725 /nfs/dbraw/zinc/63/67/25/1091636725.db2.gz AGLRPYSGWDKYLH-CQSZACIVSA-N 0 1 319.206 3.061 20 30 DGEDMN CO[C@H]1CCC[C@@H]2CN(Cc3cccc(Cl)c3C#N)C[C@@H]21 ZINC001139689086 1091643192 /nfs/dbraw/zinc/64/31/92/1091643192.db2.gz IJTIEBSAXNTSDA-KMFMINBZSA-N 0 1 304.821 3.459 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)c2ccccc2F)C[C@H]1C ZINC001206510030 1091653904 /nfs/dbraw/zinc/65/39/04/1091653904.db2.gz WPRASLQNDXJJIM-JKIFEVAISA-N 0 1 304.409 3.289 20 30 DGEDMN Cc1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1C#N ZINC001139722951 1091654128 /nfs/dbraw/zinc/65/41/28/1091654128.db2.gz LYNNQKHJZAKQFK-UHFFFAOYSA-N 0 1 306.356 3.257 20 30 DGEDMN Cc1ccc(CN2CCC(Oc3ncncc3C)CC2)cc1C#N ZINC001139727197 1091656195 /nfs/dbraw/zinc/65/61/95/1091656195.db2.gz IVISMOYWFKHHQA-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCc3ccccc3C1)C2 ZINC001098280671 1091665209 /nfs/dbraw/zinc/66/52/09/1091665209.db2.gz ZZXGJGQDWXERGJ-XLNTUCKNSA-N 0 1 324.468 3.089 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)nc1 ZINC001139840371 1091688089 /nfs/dbraw/zinc/68/80/89/1091688089.db2.gz ONDXQXLSFNFBAT-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN N#CC1(c2ccc(-c3cc(F)c(O)cc3F)nc2)CCOCC1 ZINC001206767495 1091730303 /nfs/dbraw/zinc/73/03/03/1091730303.db2.gz AVOSKKZZTJIAKY-UHFFFAOYSA-N 0 1 316.307 3.304 20 30 DGEDMN Cc1ccc2n[nH]c(CN3CCc4cc(C#N)ccc4C3)c2c1 ZINC001140328478 1091826959 /nfs/dbraw/zinc/82/69/59/1091826959.db2.gz DZJAMJHJPFKXFX-UHFFFAOYSA-N 0 1 302.381 3.301 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc2scnc21 ZINC001085594427 1091846846 /nfs/dbraw/zinc/84/68/46/1091846846.db2.gz GLHMCPCWRXPUHI-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001085594021 1091847389 /nfs/dbraw/zinc/84/73/89/1091847389.db2.gz XFJKYBPHKXRSNF-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2nccc(C)c2c1 ZINC001085617028 1091859036 /nfs/dbraw/zinc/85/90/36/1091859036.db2.gz BUQKAPYYBGLIBR-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1oc(C(F)F)cc1C ZINC001085652268 1091871684 /nfs/dbraw/zinc/87/16/84/1091871684.db2.gz QZDGZHKIIFAOCK-LBPRGKRZSA-N 0 1 312.360 3.248 20 30 DGEDMN C#CC1CCN(Cc2c[nH]c3ncc(Br)cc23)CC1 ZINC001140460286 1091874311 /nfs/dbraw/zinc/87/43/11/1091874311.db2.gz DVSXDQCOAUTRFJ-UHFFFAOYSA-N 0 1 318.218 3.171 20 30 DGEDMN C=CCN(CCN(CC)Cc1ccccn1)C(=O)OC(C)(C)C ZINC001207203663 1091886424 /nfs/dbraw/zinc/88/64/24/1091886424.db2.gz MJRSTWRLJBHRKK-UHFFFAOYSA-N 0 1 319.449 3.327 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)cn1 ZINC001140546254 1091903939 /nfs/dbraw/zinc/90/39/39/1091903939.db2.gz BAHOYRISCIOAJQ-KRWDZBQOSA-N 0 1 313.401 3.459 20 30 DGEDMN CCCCN(CCC#N)CC12CC(NC(=O)OC(C)(C)C)(C1)C2 ZINC001207265456 1091904793 /nfs/dbraw/zinc/90/47/93/1091904793.db2.gz BLOLYIUNSOITNE-UHFFFAOYSA-N 0 1 321.465 3.450 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001085738497 1091906075 /nfs/dbraw/zinc/90/60/75/1091906075.db2.gz NROJSAQYODWBHM-FJIDUMEYSA-N 0 1 304.478 3.312 20 30 DGEDMN N#Cc1ccc2c(c1)C[N@H+](Cc1c([O-])cc(F)cc1F)CC2 ZINC001140583070 1091920744 /nfs/dbraw/zinc/92/07/44/1091920744.db2.gz VINWYNCSRUEVRA-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN N#Cc1ccc2c(c1)C[N@@H+](Cc1c([O-])cc(F)cc1F)CC2 ZINC001140583070 1091920752 /nfs/dbraw/zinc/92/07/52/1091920752.db2.gz VINWYNCSRUEVRA-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN CCCCCC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001207353997 1091935680 /nfs/dbraw/zinc/93/56/80/1091935680.db2.gz YMBFSBGFDAOAAH-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1ccc(C)c2ccccc12 ZINC001085842972 1091972228 /nfs/dbraw/zinc/97/22/28/1091972228.db2.gz ALXKCAGAEMCMCG-INIZCTEOSA-N 0 1 308.425 3.481 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(OCC)c(C)c1 ZINC001085850305 1091978277 /nfs/dbraw/zinc/97/82/77/1091978277.db2.gz SZDRVUBRFMGELB-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN Cn1cnc2ccc(CN3CCc4cc(C#N)ccc4C3)cc21 ZINC001140740976 1091981259 /nfs/dbraw/zinc/98/12/59/1091981259.db2.gz FMLPKCJJTHCZKT-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C12CCC(CC1)C2(C)C ZINC001085861329 1091985035 /nfs/dbraw/zinc/98/50/35/1091985035.db2.gz VWCQAOFKWUDSHS-WUJZJPHMSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(OC)cc1Cl ZINC001085876922 1091993745 /nfs/dbraw/zinc/99/37/45/1091993745.db2.gz OHHYFFKUNWGTQB-CYBMUJFWSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN([C@H](C)CC)CC1)c1ccccc1 ZINC001297958834 1092004281 /nfs/dbraw/zinc/00/42/81/1092004281.db2.gz AXEDETVPWUWXOW-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C2CC2)s1 ZINC001085925164 1092013805 /nfs/dbraw/zinc/01/38/05/1092013805.db2.gz PVMUMSYKFFOQTG-CQSZACIVSA-N 0 1 304.459 3.348 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C(C)(C)C)cc1 ZINC001085939229 1092022105 /nfs/dbraw/zinc/02/21/05/1092022105.db2.gz AXNSJIWNSVLDKY-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccccc1C1CCC1 ZINC001085950805 1092041532 /nfs/dbraw/zinc/04/15/32/1092041532.db2.gz ONHYZXPWBVHDBF-QGZVFWFLSA-N 0 1 310.441 3.124 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc2ccccc21 ZINC001085956180 1092044848 /nfs/dbraw/zinc/04/48/48/1092044848.db2.gz VDLLPBZHIURKEQ-KRWDZBQOSA-N 0 1 306.409 3.009 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2ncsc2c1 ZINC001085957969 1092048744 /nfs/dbraw/zinc/04/87/44/1092048744.db2.gz OUCKOEGTOFPJCN-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001207859498 1092122046 /nfs/dbraw/zinc/12/20/46/1092122046.db2.gz AIBBAROJAUMSMN-JWAFFJSPSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@@H](CCC)C(C)C)C1 ZINC001108252762 1092160864 /nfs/dbraw/zinc/16/08/64/1092160864.db2.gz ZADLHRCRQLOACJ-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cccc(F)c2F)CCC1 ZINC001491580904 1092182022 /nfs/dbraw/zinc/18/20/22/1092182022.db2.gz YBGYWKWQPKFCTF-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1coc2cc(C)ccc12 ZINC001491664038 1092205184 /nfs/dbraw/zinc/20/51/84/1092205184.db2.gz HEYIOSQQDBKWAQ-CYBMUJFWSA-N 0 1 320.820 3.131 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@@H](C)CCCCC)C1 ZINC001108262088 1092211725 /nfs/dbraw/zinc/21/17/25/1092211725.db2.gz CSYPCDFLQUPFBS-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001491805302 1092221484 /nfs/dbraw/zinc/22/14/84/1092221484.db2.gz CRJUTJXYDDLDFQ-LSDHHAIUSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCN(c3cc(C)ncn3)CC2)CC1 ZINC001208178410 1092243044 /nfs/dbraw/zinc/24/30/44/1092243044.db2.gz CUMINSGHXSCWRM-GOSISDBHSA-N 0 1 312.461 3.210 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)Cc1ccnc(Cl)c1 ZINC001493000870 1092265400 /nfs/dbraw/zinc/26/54/00/1092265400.db2.gz HOEKBZYRCPANQQ-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccccc2F)CC1(C)C ZINC001276561318 1092274743 /nfs/dbraw/zinc/27/47/43/1092274743.db2.gz KVTNNAJZWZCJQU-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cccc(Cl)c2)C1 ZINC001208231844 1092283046 /nfs/dbraw/zinc/28/30/46/1092283046.db2.gz WINLHMONPJWNCQ-RHSMWYFYSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](C)CCCCCC)C1 ZINC001108291788 1092313561 /nfs/dbraw/zinc/31/35/61/1092313561.db2.gz XELNNNQXAPUZAG-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc3c(c2)Cc2ccccc2-3)CCC1=O ZINC001141739197 1092327672 /nfs/dbraw/zinc/32/76/72/1092327672.db2.gz HOHKQLGVGFDNON-KRWDZBQOSA-N 0 1 302.377 3.172 20 30 DGEDMN CSc1nc(CN2CCC(c3ccncc3)CC2)ccc1C#N ZINC001141938275 1092366372 /nfs/dbraw/zinc/36/63/72/1092366372.db2.gz AANRRNMAKABGOZ-UHFFFAOYSA-N 0 1 324.453 3.450 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)c1cccc2nc[nH]c21 ZINC001142075714 1092403854 /nfs/dbraw/zinc/40/38/54/1092403854.db2.gz MOQCDLDLMJSHNA-OAHLLOKOSA-N 0 1 305.381 3.480 20 30 DGEDMN CC(C)(C)[C@@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@@H]1O ZINC001168886210 1092426519 /nfs/dbraw/zinc/42/65/19/1092426519.db2.gz QKOPMGDFIXKUNQ-CVEARBPZSA-N 0 1 306.837 3.441 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001108412676 1092437965 /nfs/dbraw/zinc/43/79/65/1092437965.db2.gz MXSSVKNMXPDLTM-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001108412674 1092438105 /nfs/dbraw/zinc/43/81/05/1092438105.db2.gz MXSSVKNMXPDLTM-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN N#CCCN1CCN(Cc2ccccc2-c2ccccc2)CC1 ZINC001142339266 1092469172 /nfs/dbraw/zinc/46/91/72/1092469172.db2.gz MDYNMARLHZUZFE-UHFFFAOYSA-N 0 1 305.425 3.385 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1ccc(O)c(Cl)c1 ZINC001142562021 1092503593 /nfs/dbraw/zinc/50/35/93/1092503593.db2.gz UIPPTWRHUSHLOM-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1cccc2[nH]cnc21 ZINC001142574971 1092504931 /nfs/dbraw/zinc/50/49/31/1092504931.db2.gz XHYYZQZMUKGCMY-UHFFFAOYSA-N 0 1 318.380 3.485 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1nocc1C ZINC001316673816 1092529315 /nfs/dbraw/zinc/52/93/15/1092529315.db2.gz CBFZLCKAZONJMT-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCCN(CCCc1ccc(C#N)cc1)CC(=O)OCC ZINC001208864648 1092551268 /nfs/dbraw/zinc/55/12/68/1092551268.db2.gz PODHOJYYJMUSDD-UHFFFAOYSA-N 0 1 302.418 3.156 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(C[C@H](C)C(F)(F)F)CCO2 ZINC001208891621 1092567061 /nfs/dbraw/zinc/56/70/61/1092567061.db2.gz LVQKXETZGBJVDZ-RRFJBIMHSA-N 0 1 321.383 3.259 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001208961199 1092610555 /nfs/dbraw/zinc/61/05/55/1092610555.db2.gz ILUGQEHQYGKNIM-KGTBHZDVSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCN(CCN1CCC[C@@H]1c1ccc(C)nc1)C(=O)OCC ZINC001209020844 1092626668 /nfs/dbraw/zinc/62/66/68/1092626668.db2.gz OZZPBFPUUQXTPN-QGZVFWFLSA-N 0 1 317.433 3.171 20 30 DGEDMN CO[C@H]1CCC[C@@H]2CN(Cc3c(F)ccc(C#N)c3F)C[C@@H]21 ZINC001143171295 1092627617 /nfs/dbraw/zinc/62/76/17/1092627617.db2.gz WGJDPRIWJFNROT-WWGRRREGSA-N 0 1 306.356 3.083 20 30 DGEDMN C=CCCCCN1Cc2ncn(C)c2[C@H](COCC(C)C)C1 ZINC001209200361 1092690868 /nfs/dbraw/zinc/69/08/68/1092690868.db2.gz ILCHOTXSLVZWKY-INIZCTEOSA-N 0 1 305.466 3.348 20 30 DGEDMN C=CCCCCN1CCO[C@H]2CN(C(=O)OC(C)(C)C)CC[C@@H]21 ZINC001209199802 1092691866 /nfs/dbraw/zinc/69/18/66/1092691866.db2.gz BMBKCWAASGGOCT-HOTGVXAUSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CCCCCN1Cc2nccn2C[C@H](COCC2CC2)C1 ZINC001209202390 1092692758 /nfs/dbraw/zinc/69/27/58/1092692758.db2.gz CEVMXARWXSGUTR-QGZVFWFLSA-N 0 1 303.450 3.098 20 30 DGEDMN C#CCCCN(C)[C@@H](CC(C)C)C(=O)OCc1ccccc1 ZINC001209268898 1092719402 /nfs/dbraw/zinc/71/94/02/1092719402.db2.gz AFBLIWXYVQTTCV-SFHVURJKSA-N 0 1 301.430 3.490 20 30 DGEDMN N#Cc1ccccc1OC1CN(CC2Cc3ccccc3C2)C1 ZINC001209322619 1092735841 /nfs/dbraw/zinc/73/58/41/1092735841.db2.gz FXAKKHKGQJIIGI-UHFFFAOYSA-N 0 1 304.393 3.036 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001209334790 1092737656 /nfs/dbraw/zinc/73/76/56/1092737656.db2.gz GJUGEQHWNVHNCF-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2nc(C)sc2C)C1 ZINC001209365942 1092743978 /nfs/dbraw/zinc/74/39/78/1092743978.db2.gz NAISSZXHKBZRER-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCCCCC[N@H+]1CCC[C@H]1C(=O)[N-]C(=O)OC(C)(C)C ZINC001209433175 1092773637 /nfs/dbraw/zinc/77/36/37/1092773637.db2.gz RBEWVGMGROFTNA-AWEZNQCLSA-N 0 1 310.438 3.249 20 30 DGEDMN C=CCCCCC[N@@H+]1CCC[C@H]1C(=O)[N-]C(=O)OC(C)(C)C ZINC001209433175 1092773641 /nfs/dbraw/zinc/77/36/41/1092773641.db2.gz RBEWVGMGROFTNA-AWEZNQCLSA-N 0 1 310.438 3.249 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](c1ccccc1)[C@H](C)CC ZINC001150647487 1092804595 /nfs/dbraw/zinc/80/45/95/1092804595.db2.gz PZRFOZORDSAZIN-CJNGLKHVSA-N 0 1 308.853 3.275 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](CCC)C(C)C)C1 ZINC001209892614 1092969007 /nfs/dbraw/zinc/96/90/07/1092969007.db2.gz WMRMOHDFBLVSLK-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1ncccc1Nc1ccc(F)c(Br)c1O ZINC001210149008 1093060880 /nfs/dbraw/zinc/06/08/80/1093060880.db2.gz ZKHSFFFLUGJCBJ-UHFFFAOYSA-N 0 1 308.110 3.304 20 30 DGEDMN CCOc1cncc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001210660016 1093243260 /nfs/dbraw/zinc/24/32/60/1093243260.db2.gz XEGFVPJYIZTRPU-UHFFFAOYSA-N 0 1 314.414 3.145 20 30 DGEDMN CCOC(=O)c1cccc(Nc2cc(C#N)c(F)cc2O)c1 ZINC001211205687 1093417886 /nfs/dbraw/zinc/41/78/86/1093417886.db2.gz QDBCEKJPTPGPGP-UHFFFAOYSA-N 0 1 300.289 3.323 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@@H](F)CC)C[C@H]2C)CCCCC1 ZINC001211410733 1093485674 /nfs/dbraw/zinc/48/56/74/1093485674.db2.gz GBYDLVDVWIQNNV-IXDOHACOSA-N 0 1 322.468 3.145 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)ccc3N)sc2C1 ZINC001214196538 1093730908 /nfs/dbraw/zinc/73/09/08/1093730908.db2.gz CCUNROHAQYQFTI-UHFFFAOYSA-N 0 1 302.378 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215471853 1093987912 /nfs/dbraw/zinc/98/79/12/1093987912.db2.gz FQARLRRACBKAEI-CPCWQNSCSA-N 0 1 319.276 3.344 20 30 DGEDMN CN(C)Cc1cccc(Nc2nc3ncccc3cc2C#N)c1F ZINC001216228034 1094153079 /nfs/dbraw/zinc/15/30/79/1094153079.db2.gz XEWWWKLIBQTHGP-UHFFFAOYSA-N 0 1 321.359 3.446 20 30 DGEDMN CC(C)(C)OC(=O)n1ccc(Nc2cc(C#N)c(F)cc2O)n1 ZINC001216678214 1094247838 /nfs/dbraw/zinc/24/78/38/1094247838.db2.gz SHFKNFLSWNDUHP-UHFFFAOYSA-N 0 1 318.308 3.126 20 30 DGEDMN COc1cc(-c2noc(-c3ccc(O)c(C#N)c3)n2)ccc1F ZINC001216968128 1094285709 /nfs/dbraw/zinc/28/57/09/1094285709.db2.gz RZRISCFNWZVSCF-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN CCOc1ccccc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216969810 1094286036 /nfs/dbraw/zinc/28/60/36/1094286036.db2.gz RJTTYNFVXDWWRA-UHFFFAOYSA-N 0 1 307.309 3.380 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3ccc4cncn4c3)n2)c1 ZINC001217345664 1094382350 /nfs/dbraw/zinc/38/23/50/1094382350.db2.gz SRHNCXMERSVARW-UHFFFAOYSA-N 0 1 305.272 3.062 20 30 DGEDMN N#Cc1ccc(-c2noc(-c3[nH]nc4c3cccc4Cl)n2)nc1 ZINC001217408698 1094402989 /nfs/dbraw/zinc/40/29/89/1094402989.db2.gz VXCVAOMKWXWLHX-UHFFFAOYSA-N 0 1 322.715 3.200 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135189347 1094426632 /nfs/dbraw/zinc/42/66/32/1094426632.db2.gz XZCBPJGSPUDEGR-VXGBXAGGSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001135182214 1094431964 /nfs/dbraw/zinc/43/19/64/1094431964.db2.gz ZVZARUXBKACSJH-OLZOCXBDSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001135185493 1094434918 /nfs/dbraw/zinc/43/49/18/1094434918.db2.gz YUCKAVQZRSPCEK-OLZOCXBDSA-N 0 1 321.465 3.267 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001135353577 1094499756 /nfs/dbraw/zinc/49/97/56/1094499756.db2.gz JMALJMSENDUWGG-STQMWFEESA-N 0 1 307.438 3.021 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@H](C)NCc2nocc2C)CC1 ZINC001135381954 1094510067 /nfs/dbraw/zinc/51/00/67/1094510067.db2.gz BSVXWKIWMCALAT-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2nocc2C)CC1 ZINC001135381951 1094510176 /nfs/dbraw/zinc/51/01/76/1094510176.db2.gz BSVXWKIWMCALAT-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2ncc(C)o2)CC1 ZINC001135382585 1094510949 /nfs/dbraw/zinc/51/09/49/1094510949.db2.gz JZVIIHOCDAFJMD-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2cc(C)on2)CC1 ZINC001135383736 1094511436 /nfs/dbraw/zinc/51/14/36/1094511436.db2.gz VVXSVBBGRKAXJS-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@H](C)NCc2cc(C)on2)CC1 ZINC001135415918 1094527897 /nfs/dbraw/zinc/52/78/97/1094527897.db2.gz OEFIOWXURJXAFD-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C[C@@H](C)NCc2csc(C)n2)C1 ZINC001135426826 1094534582 /nfs/dbraw/zinc/53/45/82/1094534582.db2.gz IIXPXDHNFVHDGC-CHWSQXEVSA-N 0 1 321.490 3.181 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[NH2+]C[C@H]1C ZINC001217987207 1094544575 /nfs/dbraw/zinc/54/45/75/1094544575.db2.gz MHIUHDRRBGPTDQ-PXAZEXFGSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001135565207 1094579230 /nfs/dbraw/zinc/57/92/30/1094579230.db2.gz QWCYKVVBKFCDTP-OLZOCXBDSA-N 0 1 321.465 3.267 20 30 DGEDMN CC(C)c1cc(O[C@H]2CNC[C@H]2C#N)ccc1Br ZINC001218199871 1094654851 /nfs/dbraw/zinc/65/48/51/1094654851.db2.gz CKZSJVUHOPXWGQ-YGRLFVJLSA-N 0 1 309.207 3.063 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC001218199240 1094654965 /nfs/dbraw/zinc/65/49/65/1094654965.db2.gz BZVFUETYIGWOPK-RDDDGLTNSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1c(Br)ccc2ccccc21 ZINC001218200237 1094655157 /nfs/dbraw/zinc/65/51/57/1094655157.db2.gz KMYZUGKDRSVSDY-FZMZJTMJSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc2ccc(Br)cc2c1 ZINC001218201656 1094656794 /nfs/dbraw/zinc/65/67/94/1094656794.db2.gz SNVVNKOPYBOKOC-WFASDCNBSA-N 0 1 317.186 3.093 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CC[NH2+]CC1(C)C ZINC001218311950 1094708556 /nfs/dbraw/zinc/70/85/56/1094708556.db2.gz REYOOCOGHPXXIE-MRXNPFEDSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@@H](C)C[C@@H]1C ZINC001121614360 1094728436 /nfs/dbraw/zinc/72/84/36/1094728436.db2.gz YSMGTBMLPXBEFV-STQMWFEESA-N 0 1 322.416 3.242 20 30 DGEDMN COc1cccc(/C=C\c2ccccc2O[C@H]2CNC[C@@H]2C#N)c1 ZINC001218409069 1094760302 /nfs/dbraw/zinc/76/03/02/1094760302.db2.gz NXRGWWJVANOKLS-VDQJSUDESA-N 0 1 320.392 3.356 20 30 DGEDMN C=CC[C@H](CCCC)Oc1ccc(C(=O)N2CCNCC2)cc1 ZINC001218439634 1094776076 /nfs/dbraw/zinc/77/60/76/1094776076.db2.gz VDXYXRMYSNEEJF-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@]12CCC[C@H]1CN(CC#Cc1ccccc1)C2 ZINC001111592403 1094922057 /nfs/dbraw/zinc/92/20/57/1094922057.db2.gz QLKQHAAXGPYVOX-LMNJBCLMSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)[C@@H](N)Cc1ccc2cc[nH]c2c1 ZINC001122201772 1094923907 /nfs/dbraw/zinc/92/39/07/1094923907.db2.gz UEGJOTALBBYPME-INIZCTEOSA-N 0 1 313.445 3.146 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1Cc1ccc(C(C)C)cc1 ZINC001276801498 1094934118 /nfs/dbraw/zinc/93/41/18/1094934118.db2.gz QATOAHCOUKMXGM-GOSISDBHSA-N 0 1 300.446 3.467 20 30 DGEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCC[C@@H](C)CCC)C1 ZINC001111761685 1094959633 /nfs/dbraw/zinc/95/96/33/1094959633.db2.gz HAHFZODCUMLZTE-LNLFQRSKSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1C[N@@H+](C/C=C\Cl)C2 ZINC001111760809 1094959960 /nfs/dbraw/zinc/95/99/60/1094959960.db2.gz PDPPMWOKOVRVNW-GQIOVFISSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111760809 1094959972 /nfs/dbraw/zinc/95/99/72/1094959972.db2.gz PDPPMWOKOVRVNW-GQIOVFISSA-N 0 1 310.869 3.312 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H](F)CC ZINC001122448938 1094978732 /nfs/dbraw/zinc/97/87/32/1094978732.db2.gz QLFWRPPOWNOTBU-KBPBESRZSA-N 0 1 304.365 3.207 20 30 DGEDMN Cc1ccn(-c2cccc(C(=O)C(C#N)c3nc(C)cs3)c2)n1 ZINC001122492451 1094988790 /nfs/dbraw/zinc/98/87/90/1094988790.db2.gz SPDJYTJLZZDBHK-OAHLLOKOSA-N 0 1 322.393 3.436 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccc(C#N)cc1F ZINC001337504560 1095002106 /nfs/dbraw/zinc/00/21/06/1095002106.db2.gz SJHKXZZXWXNSML-CYBMUJFWSA-N 0 1 309.344 3.451 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)C[C@H](C)C1 ZINC001122532835 1095002501 /nfs/dbraw/zinc/00/25/01/1095002501.db2.gz ZRXCIUWHCHVFIB-BETUJISGSA-N 0 1 314.437 3.035 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3cc(F)cc(C#N)c3)[nH]c2c1 ZINC001219799440 1095020600 /nfs/dbraw/zinc/02/06/00/1095020600.db2.gz RWJZGYGKKVCJDD-UHFFFAOYSA-N 0 1 323.331 3.204 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cc3cccnc3cc2C)cc1 ZINC001170984691 1095077926 /nfs/dbraw/zinc/07/79/26/1095077926.db2.gz XERDEKAWMBBYPT-UHFFFAOYSA-N 0 1 319.364 3.367 20 30 DGEDMN N#CC(C(=O)c1cnn(C2CCC2)c1)c1nc(C2CC2)cs1 ZINC001122980878 1095119613 /nfs/dbraw/zinc/11/96/13/1095119613.db2.gz KRFKUOFSLYRTRY-CYBMUJFWSA-N 0 1 312.398 3.432 20 30 DGEDMN N#CCc1cc(NCc2cc(-c3cccc(Cl)c3)no2)ccn1 ZINC001171026810 1095152058 /nfs/dbraw/zinc/15/20/58/1095152058.db2.gz KGXJDLDQUMCCFF-UHFFFAOYSA-N 0 1 324.771 3.490 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@@H](C)c1csnn1 ZINC001171042884 1095181000 /nfs/dbraw/zinc/18/10/00/1095181000.db2.gz HIEIYWWRKNEIRS-KGLIPLIRSA-N 0 1 324.494 3.220 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCN(C/C=C\c3ccccc3)C[C@H]21 ZINC001221519221 1095291926 /nfs/dbraw/zinc/29/19/26/1095291926.db2.gz FNNUCUCNYIRQME-JFYHIKNKSA-N 0 1 322.452 3.036 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(C(F)(F)F)cc2Cl)CCC1=O ZINC001143711873 1095352242 /nfs/dbraw/zinc/35/22/42/1095352242.db2.gz JXAXRTLRDKNFBI-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC/C=C/c3ccccc3)[C@@H]2C1 ZINC001221813436 1095363748 /nfs/dbraw/zinc/36/37/48/1095363748.db2.gz JQPQLMPWSXKCQQ-CTDFLUCESA-N 0 1 310.441 3.199 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](CCCCC)C(C)C)[C@@H]2C1 ZINC001221926867 1095390032 /nfs/dbraw/zinc/39/00/32/1095390032.db2.gz IPFRZLLSUKEUKP-CEXWTWQISA-N 0 1 318.505 3.395 20 30 DGEDMN O=C([O-])c1ccc(N[NH+]=Cc2ccccc2N2CCCC2)cc1 ZINC001115813104 1095399975 /nfs/dbraw/zinc/39/99/75/1095399975.db2.gz OEVLAYDHOOBVLO-UHFFFAOYSA-N 0 1 309.369 3.431 20 30 DGEDMN C=C1CCN(C(=O)c2cc(-c3ccccc3OCC)[nH]n2)CC1 ZINC001144026692 1095479818 /nfs/dbraw/zinc/47/98/18/1095479818.db2.gz JXIMHBFLCPMJOC-UHFFFAOYSA-N 0 1 311.385 3.268 20 30 DGEDMN COc1cccc(CC2CCN(Cc3cncc(C#N)c3)CC2)c1 ZINC001144083420 1095505143 /nfs/dbraw/zinc/50/51/43/1095505143.db2.gz GFXKUFPNOVLDBF-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)Nc1c2nn[nH]c2ccc1C ZINC001339268760 1095567376 /nfs/dbraw/zinc/56/73/76/1095567376.db2.gz YJSWAHXHJHJYRS-CYBMUJFWSA-N 0 1 317.393 3.176 20 30 DGEDMN CCc1cccc(CN2CCN(c3ccccc3C#N)CC2)c1 ZINC001144315061 1095605807 /nfs/dbraw/zinc/60/58/07/1095605807.db2.gz JBZWSBKAZAMBQJ-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cccc(CC)c2)C1 ZINC001144328632 1095608492 /nfs/dbraw/zinc/60/84/92/1095608492.db2.gz BCVRDMZIIKXORP-QGZVFWFLSA-N 0 1 302.418 3.126 20 30 DGEDMN N#C[C@H]1CN([C@@H]2CCc3cc(Cl)c(Cl)cc3C2)CCC1=O ZINC001171160961 1095611416 /nfs/dbraw/zinc/61/14/16/1095611416.db2.gz CSOBUYKMDWEWIY-QWHCGFSZSA-N 0 1 323.223 3.265 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](N[C@@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171174489 1095643198 /nfs/dbraw/zinc/64/31/98/1095643198.db2.gz AAYBOCQIJZZTKP-SCLBCKFNSA-N 0 1 305.381 3.081 20 30 DGEDMN Cc1nc2cncc(NC(=N)c3ccc4cc(O)ccc4c3)c2[nH]1 ZINC001171186203 1095664809 /nfs/dbraw/zinc/66/48/09/1095664809.db2.gz OPOSJLOTZRHGDO-UHFFFAOYSA-N 0 1 317.352 3.162 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(OCC)cc3)CC2)cc1 ZINC001144578842 1095715356 /nfs/dbraw/zinc/71/53/56/1095715356.db2.gz ROZLVGVPRAOSFT-UHFFFAOYSA-N 0 1 320.436 3.389 20 30 DGEDMN C#Cc1ccc(CN2CC[C@@H](OC(=O)OC(C)(C)C)C2)cc1 ZINC001144567241 1095718020 /nfs/dbraw/zinc/71/80/20/1095718020.db2.gz FTKMDOKXZQLHRT-MRXNPFEDSA-N 0 1 301.386 3.194 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)CCCC[C@H](C)CC)C[C@@H]21 ZINC001222762588 1095746564 /nfs/dbraw/zinc/74/65/64/1095746564.db2.gz CPUSUJZFCNEHNG-KURKYZTESA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc([C@@H](C)NCc2cccc(OCC#N)c2)cc1O ZINC001117672160 1095794774 /nfs/dbraw/zinc/79/47/74/1095794774.db2.gz VDBGEHCTSNYCHW-CYBMUJFWSA-N 0 1 312.369 3.154 20 30 DGEDMN Nc1ccc(CN=Nc2nccnc2C2CCC2)c(Cl)c1 ZINC001117678495 1095797588 /nfs/dbraw/zinc/79/75/88/1095797588.db2.gz ANNNKZUSLRONEW-UHFFFAOYSA-N 0 1 301.781 3.426 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(SC(F)(F)F)cc2)CCC1=O ZINC001137955044 1095802394 /nfs/dbraw/zinc/80/23/94/1095802394.db2.gz DDWGXQKVUUPRDI-NSHDSACASA-N 0 1 314.332 3.213 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OC(F)(F)c1ccc(F)c(F)c1F ZINC001222983602 1095815910 /nfs/dbraw/zinc/81/59/10/1095815910.db2.gz RANCPCBUKQUHRU-RXMQYKEDSA-N 0 1 308.202 3.389 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C[C@H](O)c1ccccc1 ZINC001117866756 1095898117 /nfs/dbraw/zinc/89/81/17/1095898117.db2.gz WRWLVNNTGSAOKS-AQNXPRMDSA-N 0 1 306.409 3.492 20 30 DGEDMN C=CCC(CC=C)C(=O)NCC1CCN(C/C=C/Cl)CC1 ZINC001223305564 1095931403 /nfs/dbraw/zinc/93/14/03/1095931403.db2.gz ZWLNAOIEOSDEDY-BJMVGYQFSA-N 0 1 310.869 3.336 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2cc(C#N)ccn2)C1 ZINC001223370170 1095953304 /nfs/dbraw/zinc/95/33/04/1095953304.db2.gz NXNPZEIBBYKFQH-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C#C[C@H](CC)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223403467 1095959498 /nfs/dbraw/zinc/95/94/98/1095959498.db2.gz MJJUJFOLVFJEKV-RFAUZJTJSA-N 0 1 324.739 3.097 20 30 DGEDMN C#CC[C@@H](C)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223401494 1095960015 /nfs/dbraw/zinc/96/00/15/1095960015.db2.gz AWWYXBYKEDWAFM-PSLIRLAXSA-N 0 1 324.739 3.097 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CC[N@H+](C/C=C\Cl)[C@H]3C2)CCC1 ZINC001223459846 1095974647 /nfs/dbraw/zinc/97/46/47/1095974647.db2.gz UKWJYBMEHMMSEZ-ZEVIUFPDSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CCN(C/C=C\Cl)[C@H]3C2)CCC1 ZINC001223459846 1095974655 /nfs/dbraw/zinc/97/46/55/1095974655.db2.gz UKWJYBMEHMMSEZ-ZEVIUFPDSA-N 0 1 322.880 3.408 20 30 DGEDMN COc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)cn1 ZINC001171275611 1096004651 /nfs/dbraw/zinc/00/46/51/1096004651.db2.gz YKVBIXZSINWTBS-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN N#C[C@H](O[C@H]1COCC[N@@H+](Cc2ccccc2)C1)c1ccccc1 ZINC001223710589 1096041527 /nfs/dbraw/zinc/04/15/27/1096041527.db2.gz CMJKAZPANQEGDS-UXHICEINSA-N 0 1 322.408 3.169 20 30 DGEDMN N#C[C@H](O[C@H]1COCCN(Cc2ccccc2)C1)c1ccccc1 ZINC001223710589 1096041538 /nfs/dbraw/zinc/04/15/38/1096041538.db2.gz CMJKAZPANQEGDS-UXHICEINSA-N 0 1 322.408 3.169 20 30 DGEDMN CCc1ncncc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001171277823 1096059432 /nfs/dbraw/zinc/05/94/32/1096059432.db2.gz RMFKHIOPYLYDKZ-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN C[C@]1(C#N)CCN(C2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccccc2)C1 ZINC001171281482 1096078077 /nfs/dbraw/zinc/07/80/77/1096078077.db2.gz NZGOOLNTUQTRKY-NHJHPMQKSA-N 0 1 323.440 3.058 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C[C@@H](CC)C(C)(C)C)C[C@@H]21 ZINC001223894248 1096089867 /nfs/dbraw/zinc/08/98/67/1096089867.db2.gz ASYDFYPEEAVRNE-KURKYZTESA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2ccon2)CCCC1 ZINC001146822102 1096110551 /nfs/dbraw/zinc/11/05/51/1096110551.db2.gz PWYKNDFDNVKOKT-GJZGRUSLSA-N 0 1 319.449 3.184 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2cc3c(s2)CCNC3)cc1 ZINC001341107162 1096115967 /nfs/dbraw/zinc/11/59/67/1096115967.db2.gz BABCGUGNGXHMHB-UHFFFAOYSA-N 0 1 311.410 3.102 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224187667 1096156544 /nfs/dbraw/zinc/15/65/44/1096156544.db2.gz XAPFKZSWCIZONG-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001119308021 1096161010 /nfs/dbraw/zinc/16/10/10/1096161010.db2.gz GSKWVFXVMQIYQM-SWLSCSKDSA-N 0 1 316.228 3.304 20 30 DGEDMN CCc1ccc(-c2noc(COC3=C(C)O[C@@H](C)C3=O)n2)cc1 ZINC001224230049 1096166925 /nfs/dbraw/zinc/16/69/25/1096166925.db2.gz CIJNZFRTAQNONQ-JTQLQIEISA-N 0 1 314.341 3.035 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@H](CC)C(C)(C)C ZINC001147466769 1096225869 /nfs/dbraw/zinc/22/58/69/1096225869.db2.gz VVXCEBHYTVFOIO-LBPRGKRZSA-N 0 1 319.287 3.063 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)CCCc1ccccc1 ZINC001276976277 1096226368 /nfs/dbraw/zinc/22/63/68/1096226368.db2.gz AHNXAAXOVNKCOB-HKUYNNGSSA-N 0 1 314.473 3.412 20 30 DGEDMN CC[C@@H](CC(=O)NCCNCc1ccccc1C#N)C(C)(C)C ZINC001147474250 1096226885 /nfs/dbraw/zinc/22/68/85/1096226885.db2.gz HNZULQFASLNFBQ-KRWDZBQOSA-N 0 1 315.461 3.226 20 30 DGEDMN C=C[C@H](COC)N[C@@H]1CCCc2ncc(Br)cc21 ZINC001119632071 1096238177 /nfs/dbraw/zinc/23/81/77/1096238177.db2.gz LGKWENOKOAOLER-BXUZGUMPSA-N 0 1 311.223 3.012 20 30 DGEDMN C=C[C@@H](C(=O)NCc1nc2ccc(F)cc2[nH]1)c1ccccc1 ZINC001147960458 1096297118 /nfs/dbraw/zinc/29/71/18/1096297118.db2.gz SFCDDPKTFPYTSH-CQSZACIVSA-N 0 1 309.344 3.288 20 30 DGEDMN C#C[C@@H](C)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152563 1096354924 /nfs/dbraw/zinc/35/49/24/1096354924.db2.gz WAQIKCFBUMUYNE-APWZRJJASA-N 0 1 301.430 3.094 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CCC)CC3CCCC3)C2)C1 ZINC001148371392 1096359931 /nfs/dbraw/zinc/35/99/31/1096359931.db2.gz MLRPMTUGUYRYJA-SFHVURJKSA-N 0 1 316.489 3.151 20 30 DGEDMN N#Cc1cc(N2CCC3(CN(Cc4ccc[nH]4)C3)CC2)ccc1F ZINC001277035266 1096401040 /nfs/dbraw/zinc/40/10/40/1096401040.db2.gz FHLAZKJRUUSTFZ-UHFFFAOYSA-N 0 1 324.403 3.128 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1conc1C ZINC001148821064 1096454753 /nfs/dbraw/zinc/45/47/53/1096454753.db2.gz UPCIIRZZPIEXNL-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C=CC(=O)OCC)c(F)c1 ZINC001225528729 1096458857 /nfs/dbraw/zinc/45/88/57/1096458857.db2.gz QRKSRKUYDIFKDD-PCGIRMHASA-N 0 1 320.316 3.000 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2C[C@@H](C)C(F)(F)F ZINC001273446603 1096482410 /nfs/dbraw/zinc/48/24/10/1096482410.db2.gz QLFAXJMISSUWGV-HIFRSBDPSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@]1(C)CCC[C@@H]1CC ZINC001273448967 1096483554 /nfs/dbraw/zinc/48/35/54/1096483554.db2.gz HLWCKYKVZXDBOC-GOEBONIOSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)CC3CC3)C2)CC1 ZINC001086896757 1096494935 /nfs/dbraw/zinc/49/49/35/1096494935.db2.gz UGTXETREQILPAO-AWEZNQCLSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)c3ccco3)C2)CC1 ZINC001086898260 1096497042 /nfs/dbraw/zinc/49/70/42/1096497042.db2.gz FCNNYUJAPGCFPN-CQSZACIVSA-N 0 1 322.836 3.349 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)/C=C\C3CC3)C2)CC1 ZINC001086910870 1096505202 /nfs/dbraw/zinc/50/52/02/1096505202.db2.gz DANIHPLQBOSEEZ-IYKSTZQJSA-N 0 1 322.880 3.408 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCC[C@H]1NC/C(Cl)=C/Cl ZINC001225921444 1096564427 /nfs/dbraw/zinc/56/44/27/1096564427.db2.gz RXFFKQWEHGQUNP-HVDISPMTSA-N 0 1 317.260 3.126 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)CCCCC)C2 ZINC001110133646 1096618083 /nfs/dbraw/zinc/61/80/83/1096618083.db2.gz ANKYKSJGLHBZRK-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001149658489 1096627061 /nfs/dbraw/zinc/62/70/61/1096627061.db2.gz YDZIUQLGFPOZJF-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)[C@H](C)C1 ZINC001092848052 1096632897 /nfs/dbraw/zinc/63/28/97/1096632897.db2.gz MLSZJYQHTWQRJN-USACIQFYSA-N 0 1 324.896 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2occc2C(C)C)[C@H](C)C1 ZINC001092911244 1096638196 /nfs/dbraw/zinc/63/81/96/1096638196.db2.gz OTPUPBLFEDEPSF-TZMCWYRMSA-N 0 1 324.852 3.453 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@@H]1CCc3ccccc31)C2 ZINC001110138144 1096639844 /nfs/dbraw/zinc/63/98/44/1096639844.db2.gz GWQGEDSMQQKXNE-HNJRGHQBSA-N 0 1 324.468 3.404 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CCCC2(C)C)[C@H](C)C1 ZINC001092896670 1096640925 /nfs/dbraw/zinc/64/09/25/1096640925.db2.gz XSYCAGGCURMIGS-YUELXQCFSA-N 0 1 312.885 3.249 20 30 DGEDMN COc1cccc(C#N)c1O[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001226247127 1096645435 /nfs/dbraw/zinc/64/54/35/1096645435.db2.gz IYNPCCBMWCESQG-KRWDZBQOSA-N 0 1 308.381 3.220 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(Cl)cc1C(=O)OC ZINC001226311728 1096659380 /nfs/dbraw/zinc/65/93/80/1096659380.db2.gz UYFXZWZXGXFITB-NSHDSACASA-N 0 1 310.733 3.115 20 30 DGEDMN C[C@@H](CS)Oc1[nH]c(=O)nc2cc(-c3ccccc3)[nH]c21 ZINC001226378394 1096675643 /nfs/dbraw/zinc/67/56/43/1096675643.db2.gz PRHKSAKXGWWNHQ-VIFPVBQESA-N 0 1 301.371 3.028 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001226481120 1096700426 /nfs/dbraw/zinc/70/04/26/1096700426.db2.gz BCGKBPUPEZOPTJ-HZPDHXFCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)NC1CCN(CCc2c(F)cccc2F)CC1 ZINC001226533890 1096715339 /nfs/dbraw/zinc/71/53/39/1096715339.db2.gz AQHJPFMONPDATD-UHFFFAOYSA-N 0 1 322.399 3.054 20 30 DGEDMN Cc1ccc(C#N)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001226757493 1096768776 /nfs/dbraw/zinc/76/87/76/1096768776.db2.gz XFUDNNJYUPVRCH-NVXWUHKLSA-N 0 1 307.397 3.476 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2ccc(F)cc2C#N)C1 ZINC001227021279 1096846286 /nfs/dbraw/zinc/84/62/86/1096846286.db2.gz FYTBDUKVJBZBAT-CZUORRHYSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(F)cc2C#N)C1 ZINC001227021279 1096846296 /nfs/dbraw/zinc/84/62/96/1096846296.db2.gz FYTBDUKVJBZBAT-CZUORRHYSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@H](COCc1ccccc1)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227215808 1096897188 /nfs/dbraw/zinc/89/71/88/1096897188.db2.gz KODIUSDBFZDLKA-CYBMUJFWSA-N 0 1 307.353 3.419 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN(Cc2ccccc2Cl)CC1 ZINC001227244687 1096903994 /nfs/dbraw/zinc/90/39/94/1096903994.db2.gz QQSFICTWEYMHBZ-UHFFFAOYSA-N 0 1 318.848 3.080 20 30 DGEDMN N#Cc1cnc(CN[C@@H]2CCc3cc(F)c(F)c(F)c3C2)s1 ZINC001171520075 1096916657 /nfs/dbraw/zinc/91/66/57/1096916657.db2.gz LTBVCKAFKQWRHC-SECBINFHSA-N 0 1 323.343 3.079 20 30 DGEDMN C=C[C@H](CC)Oc1cc(O)c(Br)cc1C(=O)OC ZINC001227360403 1096920190 /nfs/dbraw/zinc/92/01/90/1096920190.db2.gz UKNNCWBUDXNSBY-MRVPVSSYSA-N 0 1 315.163 3.285 20 30 DGEDMN C=C[C@@H](OC1=CC(=O)C=CC1=N[N-]c1cccc[nH+]1)C(=O)OC ZINC001227493215 1096941244 /nfs/dbraw/zinc/94/12/44/1096941244.db2.gz WSBYHXPVQOKWEE-NIVDNSEKSA-N 0 1 313.313 3.309 20 30 DGEDMN CCCCCCCCN1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001277230825 1096967701 /nfs/dbraw/zinc/96/77/01/1096967701.db2.gz CPHNKHBRXPIWLM-UHFFFAOYSA-N 0 1 316.449 3.098 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(OC)cc(C(F)(F)F)c1 ZINC001227858227 1097001205 /nfs/dbraw/zinc/00/12/05/1097001205.db2.gz NRPQPHOOZPWNAO-SSDOTTSWSA-N 0 1 302.248 3.312 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228111461 1097052647 /nfs/dbraw/zinc/05/26/47/1097052647.db2.gz BEXGICACAHYQGU-KBRIMQKVSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@@H]([C@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283829 1097086573 /nfs/dbraw/zinc/08/65/73/1097086573.db2.gz HHPNUGKELKJJRL-IAGOWNOFSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283829 1097086581 /nfs/dbraw/zinc/08/65/81/1097086581.db2.gz HHPNUGKELKJJRL-IAGOWNOFSA-N 0 1 322.880 3.101 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)NCc1nnc(-c2ccccc2)o1 ZINC001172290844 1097105552 /nfs/dbraw/zinc/10/55/52/1097105552.db2.gz SNYATRXSFRUDTN-CQSZACIVSA-N 0 1 318.380 3.329 20 30 DGEDMN CC1(C)C[C@@H](N2CCC(C#N)(c3ccccc3F)CC2)CO1 ZINC001172292585 1097107012 /nfs/dbraw/zinc/10/70/12/1097107012.db2.gz PVSRKBUMYFZCHN-CQSZACIVSA-N 0 1 302.393 3.250 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)NC1(c2ccc(F)cc2)COC1 ZINC001172300147 1097109758 /nfs/dbraw/zinc/10/97/58/1097109758.db2.gz SEZJLTAHMHVCQS-AWEZNQCLSA-N 0 1 310.372 3.144 20 30 DGEDMN C=C[C@]1(C)CC[C@H](Oc2nc(Cl)nc3nc[nH]c32)C(C)(C)O1 ZINC001228507517 1097132674 /nfs/dbraw/zinc/13/26/74/1097132674.db2.gz SFTCRJOEMDYPIE-BJOHPYRUSA-N 0 1 322.796 3.287 20 30 DGEDMN C[C@@H](CCCC#N)N1CCC[C@H]1C(=O)OCc1ccccc1 ZINC001172492257 1097168143 /nfs/dbraw/zinc/16/81/43/1097168143.db2.gz JUAUMZJHBMWMLS-RDJZCZTQSA-N 0 1 300.402 3.277 20 30 DGEDMN C[C@H](CCCC#N)N1CC2(C1)CCCN2C(=O)OC(C)(C)C ZINC001172496153 1097170362 /nfs/dbraw/zinc/17/03/62/1097170362.db2.gz KKNTWVHJFRZQOT-CQSZACIVSA-N 0 1 307.438 3.154 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001172498993 1097171737 /nfs/dbraw/zinc/17/17/37/1097171737.db2.gz UAJRZPOMTHQVNO-AWEZNQCLSA-N 0 1 319.836 3.180 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228749927 1097182469 /nfs/dbraw/zinc/18/24/69/1097182469.db2.gz VQJNLQVTVNMKLK-GJZGRUSLSA-N 0 1 318.436 3.365 20 30 DGEDMN CCCCCCCNC(=O)N1CC2(CCN2C2CCCC2)C1 ZINC001277329773 1097191501 /nfs/dbraw/zinc/19/15/01/1097191501.db2.gz BQIILISKRSLDHH-UHFFFAOYSA-N 0 1 307.482 3.369 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001228810122 1097195648 /nfs/dbraw/zinc/19/56/48/1097195648.db2.gz QYQDBQFAWDZFNN-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CN(C)c1ccccc1O[C@H]1CCN(Cc2ccccc2C#N)C1 ZINC001228872392 1097208211 /nfs/dbraw/zinc/20/82/11/1097208211.db2.gz ZMVKYQVGFSGTMG-SFHVURJKSA-N 0 1 321.424 3.278 20 30 DGEDMN CCCCN(CCC#N)[C@H]1CCN(Cc2ccccc2)C(=O)C1 ZINC001172675668 1097212658 /nfs/dbraw/zinc/21/26/58/1097212658.db2.gz INNNHSKUMJMOHM-SFHVURJKSA-N 0 1 313.445 3.193 20 30 DGEDMN N#Cc1ccc(Cl)c(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c1 ZINC001229250554 1097284614 /nfs/dbraw/zinc/28/46/14/1097284614.db2.gz MXRDQSCPXJZDPE-GASCZTMLSA-N 0 1 320.820 3.234 20 30 DGEDMN C=C(C)[C@@H]1CC[C@H](C)C[C@H]1Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-] ZINC001229382488 1097309791 /nfs/dbraw/zinc/30/97/91/1097309791.db2.gz TZWCWNVTVKIYLO-ZMLRMANQSA-N 0 1 307.350 3.159 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(NC(=O)CCC)cc1 ZINC001229484679 1097329394 /nfs/dbraw/zinc/32/93/94/1097329394.db2.gz PYSMJDVRIWMPAO-CQSZACIVSA-N 0 1 303.358 3.413 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1ccc(F)cc1Cl ZINC001491553598 1097337691 /nfs/dbraw/zinc/33/76/91/1097337691.db2.gz JAXYRJRLDJWZSJ-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CC1(CC(=O)NC2CCN(CC#CC)CC2)CCCCC1 ZINC001229574935 1097347479 /nfs/dbraw/zinc/34/74/79/1097347479.db2.gz JFJCINIABGKJBA-UHFFFAOYSA-N 0 1 302.462 3.117 20 30 DGEDMN CCCCCCC[C@H](C)NC(=O)N1CCCC2(CN(C)C2)C1 ZINC001277423966 1097394999 /nfs/dbraw/zinc/39/49/99/1097394999.db2.gz WRWPOHDMIQWDEO-INIZCTEOSA-N 0 1 309.498 3.473 20 30 DGEDMN C=CCOC1CCC([N@@H+]2CCC[C@H]2C(=O)OC(C)(C)C)CC1 ZINC001173327551 1097418374 /nfs/dbraw/zinc/41/83/74/1097418374.db2.gz OFUIFNINJBNPKV-GPANFISMSA-N 0 1 309.450 3.306 20 30 DGEDMN CCOCc1ncn2c1CN(C1CCC(CC#N)CC1)CCC2 ZINC001173333843 1097419690 /nfs/dbraw/zinc/41/96/90/1097419690.db2.gz RSIDAGONJBATPW-UHFFFAOYSA-N 0 1 316.449 3.098 20 30 DGEDMN CC(C)(C)CC(=O)N1CCN(C2CCC(CC#N)CC2)CC1 ZINC001173336581 1097420580 /nfs/dbraw/zinc/42/05/80/1097420580.db2.gz FJJABOOCHKGMQP-UHFFFAOYSA-N 0 1 305.466 3.039 20 30 DGEDMN CCN1CC[C@@H](NC2=C(c3ccccc3)C(=O)c3ccccc32)C1 ZINC001173351473 1097425904 /nfs/dbraw/zinc/42/59/04/1097425904.db2.gz YNEHHOSBPWMMNX-MRXNPFEDSA-N 0 1 318.420 3.435 20 30 DGEDMN C#C[C@H](C)Oc1nc(C)c(C(=O)c2ccc(SC)cc2)[nH]1 ZINC001230129233 1097448415 /nfs/dbraw/zinc/44/84/15/1097448415.db2.gz FGKRXJQJNIVUSP-JTQLQIEISA-N 0 1 300.383 3.072 20 30 DGEDMN C#C[C@H](CC)Oc1nc(C)c(C(=O)c2ccc(SC)cc2)[nH]1 ZINC001230136861 1097450092 /nfs/dbraw/zinc/45/00/92/1097450092.db2.gz VUBQWFAKZMLQBJ-CYBMUJFWSA-N 0 1 314.410 3.462 20 30 DGEDMN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C1CCC(C)(C#N)CC1 ZINC001173594082 1097467379 /nfs/dbraw/zinc/46/73/79/1097467379.db2.gz QWFIYZFGHDQMCZ-SYJJWHGVSA-N 0 1 321.465 3.400 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CC)c2ccc(Cl)cc2)CC1 ZINC001230332070 1097477527 /nfs/dbraw/zinc/47/75/27/1097477527.db2.gz PZGRIOXFLLVUQG-QGZVFWFLSA-N 0 1 318.848 3.047 20 30 DGEDMN C[C@@H]1CC(N2CC[C@@](C)(C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173684374 1097489066 /nfs/dbraw/zinc/48/90/66/1097489066.db2.gz UUPCCOFWGJFELR-LBTNJELSSA-N 0 1 321.465 3.398 20 30 DGEDMN N#C[C@@H](Oc1cc2[nH]ncc2cc1[N+](=O)[O-])c1ccccc1F ZINC001230601277 1097527895 /nfs/dbraw/zinc/52/78/95/1097527895.db2.gz LPLYFKHBHPUFFJ-OAHLLOKOSA-N 0 1 312.260 3.254 20 30 DGEDMN C[C@H](C#C[Si](C)(C)C)Oc1cc2[nH]ncc2cc1[N+](=O)[O-] ZINC001230602018 1097528158 /nfs/dbraw/zinc/52/81/58/1097528158.db2.gz UCRDNUZHWZQZPN-SNVBAGLBSA-N 0 1 303.394 3.119 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C(=O)C(F)(F)F)cc1 ZINC001230700817 1097560187 /nfs/dbraw/zinc/56/01/87/1097560187.db2.gz VYOGXILHFCDLSV-NSHDSACASA-N 0 1 314.259 3.420 20 30 DGEDMN C=CC[N@H+](CCCNC(=O)[C@@H](C)CCC)Cc1cccnc1 ZINC001230714166 1097563345 /nfs/dbraw/zinc/56/33/45/1097563345.db2.gz XDRDXMLABGFYFQ-INIZCTEOSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)CCC)Cc1cccnc1 ZINC001230714166 1097563350 /nfs/dbraw/zinc/56/33/50/1097563350.db2.gz XDRDXMLABGFYFQ-INIZCTEOSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)CC(C)(C)C=C)Cc1cccnc1 ZINC001230769338 1097578531 /nfs/dbraw/zinc/57/85/31/1097578531.db2.gz MBTILKZCMNLOHR-UHFFFAOYSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCN(CCCNC(=O)CC[C@H](C)CC)Cc1cccnc1 ZINC001230877053 1097611652 /nfs/dbraw/zinc/61/16/52/1097611652.db2.gz YPMLEJINRDIDDD-QGZVFWFLSA-N 0 1 317.477 3.402 20 30 DGEDMN C[C@@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2cccc(F)c21 ZINC001231074448 1097662657 /nfs/dbraw/zinc/66/26/57/1097662657.db2.gz NXSDECXKVJCRLS-JTQLQIEISA-N 0 1 304.397 3.123 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1cc2ccccc2o1 ZINC001231113167 1097671984 /nfs/dbraw/zinc/67/19/84/1097671984.db2.gz GHKAYZWARLDUHL-INIZCTEOSA-N 0 1 312.413 3.432 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1[C@@H]1CCc2c1cccc2F ZINC001231177723 1097689489 /nfs/dbraw/zinc/68/94/89/1097689489.db2.gz GTWOVSKOWZFSOK-RDTXWAMCSA-N 0 1 316.420 3.312 20 30 DGEDMN Cc1nc(C#N)ccc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174394748 1097689811 /nfs/dbraw/zinc/68/98/11/1097689811.db2.gz LUYRFBJIIWALQL-UHFFFAOYSA-N 0 1 304.397 3.463 20 30 DGEDMN N#Cc1ccc(O)c(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174397114 1097691609 /nfs/dbraw/zinc/69/16/09/1097691609.db2.gz OIXTXINGZHMFRW-UHFFFAOYSA-N 0 1 305.381 3.466 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](C(C)(C)Oc2nc(C)cc(O)c2[N+](=O)[O-])O1 ZINC001231261190 1097705880 /nfs/dbraw/zinc/70/58/80/1097705880.db2.gz ZHEABGUHXHCLIC-BLLLJJGKSA-N 0 1 322.361 3.285 20 30 DGEDMN Cc1ccccc1C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001231372506 1097732899 /nfs/dbraw/zinc/73/28/99/1097732899.db2.gz VIEPJZOSRKBCIW-UHFFFAOYSA-N 0 1 321.424 3.119 20 30 DGEDMN Cc1ccccc1C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001231372517 1097733543 /nfs/dbraw/zinc/73/35/43/1097733543.db2.gz WBDYLEFMZQVHLM-UHFFFAOYSA-N 0 1 320.436 3.098 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4c[nH]nc4c3)sc2C1 ZINC001174499830 1097737485 /nfs/dbraw/zinc/73/74/85/1097737485.db2.gz ZFJSQIZWAFMKHV-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4cn[nH]c4c3)sc2C1 ZINC001174499830 1097737489 /nfs/dbraw/zinc/73/74/89/1097737489.db2.gz ZFJSQIZWAFMKHV-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN C(#Cc1ccccc1CN1CC2(C1)CCOC2)c1ccccc1 ZINC001231577147 1097783122 /nfs/dbraw/zinc/78/31/22/1097783122.db2.gz POPMXRRESZAPOD-UHFFFAOYSA-N 0 1 303.405 3.309 20 30 DGEDMN C=CCOc1cccc(CN2CC[C@@]3(C2)CC(F)(F)CO3)c1 ZINC001231625619 1097796173 /nfs/dbraw/zinc/79/61/73/1097796173.db2.gz XXXQXLIOUKIPGO-INIZCTEOSA-N 0 1 309.356 3.252 20 30 DGEDMN C#Cc1cccc(CN2CCN(C/C=C/c3ccccc3)CC2)c1 ZINC001231656816 1097805376 /nfs/dbraw/zinc/80/53/76/1097805376.db2.gz SNZUXDLJKAYGEG-KPKJPENVSA-N 0 1 316.448 3.499 20 30 DGEDMN CNc1ccc(C#N)cc1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174813138 1097860484 /nfs/dbraw/zinc/86/04/84/1097860484.db2.gz ALZFAEHMVYYRQJ-UHFFFAOYSA-N 0 1 321.428 3.095 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001174850123 1097867548 /nfs/dbraw/zinc/86/75/48/1097867548.db2.gz UGYVFLNDRIFMLH-LSDHHAIUSA-N 0 1 307.438 3.042 20 30 DGEDMN CN(C)c1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1 ZINC001174877022 1097875045 /nfs/dbraw/zinc/87/50/45/1097875045.db2.gz CYWVGYGATFKJPZ-UHFFFAOYSA-N 0 1 312.442 3.417 20 30 DGEDMN COc1ccnc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)c1 ZINC001231968605 1097903134 /nfs/dbraw/zinc/90/31/34/1097903134.db2.gz OIMJFKHBTJTTTJ-IBGZPJMESA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001174987987 1097918528 /nfs/dbraw/zinc/91/85/28/1097918528.db2.gz VCEDYCGUNKFPAN-AWEZNQCLSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001175082371 1097941768 /nfs/dbraw/zinc/94/17/68/1097941768.db2.gz OANMJURFUFWXQS-KBXCAEBGSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001175082373 1097942053 /nfs/dbraw/zinc/94/20/53/1097942053.db2.gz OANMJURFUFWXQS-KDOFPFPSSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001277654738 1098028640 /nfs/dbraw/zinc/02/86/40/1098028640.db2.gz CUIKKWTVHLVPCB-STQMWFEESA-N 0 1 320.811 3.001 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001277670828 1098054313 /nfs/dbraw/zinc/05/43/13/1098054313.db2.gz KIBHKOLUGZKADW-MRXNPFEDSA-N 0 1 314.473 3.461 20 30 DGEDMN N#Cc1ccc(O)c(CN2CCc3c([nH]nc3C(F)(F)F)C2)c1 ZINC001232678240 1098111555 /nfs/dbraw/zinc/11/15/55/1098111555.db2.gz RJDKJZCHYXBFLM-CQSZACIVSA-N 0 1 322.290 3.120 20 30 DGEDMN COc1ccccc1[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232674287 1098111842 /nfs/dbraw/zinc/11/18/42/1098111842.db2.gz VKBIIIYGIXTSTG-OAHLLOKOSA-N 0 1 308.381 3.262 20 30 DGEDMN C[N@@H+](CCC(=O)c1cccs1)Cc1cc(C#N)ccc1[O-] ZINC001232678133 1098111890 /nfs/dbraw/zinc/11/18/90/1098111890.db2.gz QAEQBDDLCWFIMD-UHFFFAOYSA-N 0 1 300.383 3.030 20 30 DGEDMN CC[N@@H+](Cc1ccc(N(C)C)cc1)Cc1cc(C#N)ccc1[O-] ZINC001232677867 1098112050 /nfs/dbraw/zinc/11/20/50/1098112050.db2.gz LSUVOMFJRPLQET-UHFFFAOYSA-N 0 1 309.413 3.352 20 30 DGEDMN COc1ccc([C@H]2CC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)cc1 ZINC001232678326 1098112228 /nfs/dbraw/zinc/11/22/28/1098112228.db2.gz UXSLXEGCOQGSGM-INIZCTEOSA-N 0 1 308.381 3.262 20 30 DGEDMN CC[N@@H+](CCc1ccc(OC)cc1)Cc1cc(C#N)ccc1[O-] ZINC001232678153 1098112424 /nfs/dbraw/zinc/11/24/24/1098112424.db2.gz QCFAYRZBFYWMTA-UHFFFAOYSA-N 0 1 310.397 3.337 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H]2COCc2ccccc2)c1 ZINC001232679401 1098113370 /nfs/dbraw/zinc/11/33/70/1098113370.db2.gz JAYCWNAVKDNYJS-SFHVURJKSA-N 0 1 308.381 3.055 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001232681001 1098113415 /nfs/dbraw/zinc/11/34/15/1098113415.db2.gz JTIFFXQEKUPQFZ-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001232681001 1098113419 /nfs/dbraw/zinc/11/34/19/1098113419.db2.gz JTIFFXQEKUPQFZ-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H](Oc3ccc(F)cc3)C2)c1 ZINC001232679657 1098113667 /nfs/dbraw/zinc/11/36/67/1098113667.db2.gz PYHDSBIYVAGWBC-KRWDZBQOSA-N 0 1 312.344 3.056 20 30 DGEDMN Cc1[nH]nc2ccc(Nc3c(C#N)cnn3C3CCOCC3)cc12 ZINC001175693580 1098124367 /nfs/dbraw/zinc/12/43/67/1098124367.db2.gz DSEJWGOJQXRTSV-UHFFFAOYSA-N 0 1 322.372 3.035 20 30 DGEDMN COC(=O)c1cc(Nc2ccc3n[nH]c(C)c3c2)ccc1C#N ZINC001175701337 1098126717 /nfs/dbraw/zinc/12/67/17/1098126717.db2.gz DAGBTNZOVOWQNG-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN COc1cc(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c(OC)cc1C ZINC001232837389 1098149260 /nfs/dbraw/zinc/14/92/60/1098149260.db2.gz TZFMZDWPGCXCTI-MKZZRHPPSA-N 0 1 300.402 3.279 20 30 DGEDMN COc1cc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c(OC)cc1C ZINC001232837389 1098149264 /nfs/dbraw/zinc/14/92/64/1098149264.db2.gz TZFMZDWPGCXCTI-MKZZRHPPSA-N 0 1 300.402 3.279 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1c[nH]c3ccc(O)cc13)C2 ZINC001232861641 1098155604 /nfs/dbraw/zinc/15/56/04/1098155604.db2.gz AWFUQGKFORFANM-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCC[N@H+](Cc1cc(C)cc(O)c1)C2 ZINC001232881888 1098160853 /nfs/dbraw/zinc/16/08/53/1098160853.db2.gz QGJBMPLJYFYAAI-RTBURBONSA-N 0 1 317.429 3.027 20 30 DGEDMN CC1(C)OCCC12CCN(Cc1cc(F)ccc1C#N)CC2 ZINC001232892973 1098166200 /nfs/dbraw/zinc/16/62/00/1098166200.db2.gz CYWXTOJEXCNWHW-UHFFFAOYSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1ccc(CN2CCc3ncnc(C4CC4)c3CC2)c(C#N)c1 ZINC001232965839 1098185292 /nfs/dbraw/zinc/18/52/92/1098185292.db2.gz GUZROKHWEWXNBK-UHFFFAOYSA-N 0 1 318.424 3.135 20 30 DGEDMN N#Cc1ccc2c(c1)CC[N@H+](Cc1ccc(F)c(F)c1[O-])C2 ZINC001233075726 1098219021 /nfs/dbraw/zinc/21/90/21/1098219021.db2.gz AZHFGSVPXOYTMJ-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN Cc1ccc2c(n1)N(C)CCN(Cc1ccc(C#N)cc1C)C2 ZINC001233081899 1098222759 /nfs/dbraw/zinc/22/27/59/1098222759.db2.gz TZJKJRKUIQWNRE-UHFFFAOYSA-N 0 1 306.413 3.022 20 30 DGEDMN N#Cc1ccc(Br)c(Nc2ccc3nn[nH]c3c2)c1 ZINC001176003176 1098228829 /nfs/dbraw/zinc/22/88/29/1098228829.db2.gz DSKKNOYOSAORDM-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1ccc(Br)c(Nc2ccc3n[nH]nc3c2)c1 ZINC001176003176 1098228833 /nfs/dbraw/zinc/22/88/33/1098228833.db2.gz DSKKNOYOSAORDM-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c3ccccc3n(C)c2C(=O)OCC)C1 ZINC001233272980 1098270166 /nfs/dbraw/zinc/27/01/66/1098270166.db2.gz JHESZEFKWQKQLS-OAHLLOKOSA-N 0 1 324.424 3.200 20 30 DGEDMN N#Cc1cc(Nc2cnn(Cc3cccs3)c2)c(O)cc1F ZINC001176162126 1098280589 /nfs/dbraw/zinc/28/05/89/1098280589.db2.gz JSDMATZCILQRBT-UHFFFAOYSA-N 0 1 314.345 3.453 20 30 DGEDMN C#CCN1CCN(Cc2ccc(Cc3ccccc3)cc2)CC1 ZINC001233331080 1098290823 /nfs/dbraw/zinc/29/08/23/1098290823.db2.gz GQLRUXOURBUIML-UHFFFAOYSA-N 0 1 304.437 3.028 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@H](Oc3ccc(F)cc3)C2)c([O-])c1 ZINC001233386294 1098310034 /nfs/dbraw/zinc/31/00/34/1098310034.db2.gz NXILDUSRDBZWQW-KRWDZBQOSA-N 0 1 312.344 3.056 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@@H](Oc3ccc(F)cc3)C2)c([O-])c1 ZINC001233386295 1098310176 /nfs/dbraw/zinc/31/01/76/1098310176.db2.gz NXILDUSRDBZWQW-QGZVFWFLSA-N 0 1 312.344 3.056 20 30 DGEDMN Cc1ccc(Nc2ccc(CCN3CCOCC3)cc2)c(C#N)c1 ZINC001176382759 1098324578 /nfs/dbraw/zinc/32/45/78/1098324578.db2.gz HKBXQSYTQKLMEY-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN N#Cc1ccc(Nc2ccc(CCN3CCOCC3)cc2)cc1 ZINC001176382898 1098324886 /nfs/dbraw/zinc/32/48/86/1098324886.db2.gz VPQYQHVDOXBIEY-UHFFFAOYSA-N 0 1 307.397 3.177 20 30 DGEDMN Cc1cccc(Nc2ccc(CCN3CCOCC3)cc2)c1C#N ZINC001176383746 1098326560 /nfs/dbraw/zinc/32/65/60/1098326560.db2.gz REJGURTXZMTXSS-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1 ZINC001233760237 1098424423 /nfs/dbraw/zinc/42/44/23/1098424423.db2.gz ADEITAWDSGDCTE-LJQANCHMSA-N 0 1 312.457 3.221 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001277812164 1098442041 /nfs/dbraw/zinc/44/20/41/1098442041.db2.gz VXSYUJJOQMHXCG-KBPBESRZSA-N 0 1 322.399 3.266 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001233947676 1098464921 /nfs/dbraw/zinc/46/49/21/1098464921.db2.gz NQICBYRRVYEOIK-FQEVSTJZSA-N 0 1 324.468 3.400 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(Cl)c1C ZINC001277826901 1098483290 /nfs/dbraw/zinc/48/32/90/1098483290.db2.gz SZSATVCYPGSBSJ-NSHDSACASA-N 0 1 315.244 3.451 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1c[nH]c(=O)c2ccccc12 ZINC001233986679 1098471504 /nfs/dbraw/zinc/47/15/04/1098471504.db2.gz ALPDIWMHMXGTQD-UHFFFAOYSA-N 0 1 303.365 3.444 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H]2OCCN(CCC(C)(C)C)[C@H]2C1 ZINC001177089842 1098475507 /nfs/dbraw/zinc/47/55/07/1098475507.db2.gz VZLZHQUGDNWSDX-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(C)cc(Br)cc1C#N ZINC001234009789 1098476859 /nfs/dbraw/zinc/47/68/59/1098476859.db2.gz HFWSSSZXJZBBPE-MRVPVSSYSA-N 0 1 322.158 3.227 20 30 DGEDMN CCCCC(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001234035168 1098480899 /nfs/dbraw/zinc/48/08/99/1098480899.db2.gz LTPURRQTDFLSSR-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C#C[C@H](Oc1nc2cccc(C(=O)OC)c2[nH]1)c1ccccc1 ZINC001234039604 1098483330 /nfs/dbraw/zinc/48/33/30/1098483330.db2.gz QDBNMTSXOHFLGT-HNNXBMFYSA-N 0 1 306.321 3.103 20 30 DGEDMN CCC(CC)C(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001234180296 1098510105 /nfs/dbraw/zinc/51/01/05/1098510105.db2.gz CPNILWABOZPEOE-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CN(C[C@@H]1CCN1CC1=CCCCC1)C(=O)C#CC(C)(C)C ZINC001234194682 1098511823 /nfs/dbraw/zinc/51/18/23/1098511823.db2.gz FUNQBPGISBAVNP-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(F)(F)F)nc1Cl ZINC001234305360 1098533104 /nfs/dbraw/zinc/53/31/04/1098533104.db2.gz HKOWEOVKAUEDHE-YFKPBYRVSA-N 0 1 307.655 3.352 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C[C@@H]1CCN1CCOCCCC ZINC001234509786 1098573186 /nfs/dbraw/zinc/57/31/86/1098573186.db2.gz PTIDHMKELDCLIA-SFHVURJKSA-N 0 1 322.493 3.104 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CCCCC(C)(C)C ZINC001234508545 1098573494 /nfs/dbraw/zinc/57/34/94/1098573494.db2.gz BOJWSYGQFLQQSP-KRWDZBQOSA-N 0 1 324.509 3.328 20 30 DGEDMN COc1ccccc1[C@@H](C)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234516864 1098577300 /nfs/dbraw/zinc/57/73/00/1098577300.db2.gz YQHFUUZXUMEJBI-LLVKDONJSA-N 0 1 321.336 3.356 20 30 DGEDMN Cc1c(Cl)cc(NC(=O)C#Cc2cccnc2)c(O)c1Cl ZINC001177778389 1098593762 /nfs/dbraw/zinc/59/37/62/1098593762.db2.gz WMEVNQKFIUUFNA-UHFFFAOYSA-N 0 1 321.163 3.393 20 30 DGEDMN C=C[C@H](CCCC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234568317 1098603702 /nfs/dbraw/zinc/60/37/02/1098603702.db2.gz MCZFJXMGXLBAEG-SECBINFHSA-N 0 1 301.184 3.377 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1nc(Cl)ccc1Br ZINC001234582121 1098610276 /nfs/dbraw/zinc/61/02/76/1098610276.db2.gz CPMYQJGJPXTDHJ-YFKPBYRVSA-N 0 1 318.554 3.096 20 30 DGEDMN C[C@@]1(CF)CC(F)(F)CN1C(=O)C(C#N)Cc1cccs1 ZINC001177909447 1098644826 /nfs/dbraw/zinc/64/48/26/1098644826.db2.gz VAJJYVBUMCYATI-MFKMUULPSA-N 0 1 316.348 3.026 20 30 DGEDMN CN(CCc1cccs1)C(=O)C(C#N)Cc1cccs1 ZINC001177909949 1098644931 /nfs/dbraw/zinc/64/49/31/1098644931.db2.gz SEEUMBXWVRJJFF-GFCCVEGCSA-N 0 1 304.440 3.193 20 30 DGEDMN CC(C)CC1(NC(=O)C(C#N)Cc2cccs2)CCOCC1 ZINC001177917590 1098649017 /nfs/dbraw/zinc/64/90/17/1098649017.db2.gz KAVKPDSDDUGQDE-AWEZNQCLSA-N 0 1 320.458 3.142 20 30 DGEDMN C=CCCC(=O)NCCCCCCN[C@@H](C)c1ncc(C)o1 ZINC001178008169 1098676890 /nfs/dbraw/zinc/67/68/90/1098676890.db2.gz UURRSPVDIKUMBG-HNNXBMFYSA-N 0 1 307.438 3.276 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1cc(C2CC2)no1 ZINC001277899309 1098678549 /nfs/dbraw/zinc/67/85/49/1098678549.db2.gz CJAUFUKMGZOYJR-ZDUSSCGKSA-N 0 1 319.449 3.091 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2nc3sccc3[nH]2)C1 ZINC001234847196 1098680515 /nfs/dbraw/zinc/68/05/15/1098680515.db2.gz HGLDRRPPPZYRNE-CQSZACIVSA-N 0 1 324.409 3.149 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCc1ccccc1Cl ZINC001235069042 1098783285 /nfs/dbraw/zinc/78/32/85/1098783285.db2.gz BZCZWXIVLXBYNU-INIZCTEOSA-N 0 1 320.864 3.381 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C/c1ccc(C)cc1)C2 ZINC001110221270 1098868617 /nfs/dbraw/zinc/86/86/17/1098868617.db2.gz QEFCOKFNWBPWTM-UOUNDWCUSA-N 0 1 322.452 3.143 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001235362871 1098979929 /nfs/dbraw/zinc/97/99/29/1098979929.db2.gz COVREZRLKWFQSL-CQSZACIVSA-N 0 1 321.490 3.384 20 30 DGEDMN C#CCN(C(=O)c1cc(C2CC2)[nH]n1)[C@@H]1CCCc2ccccc21 ZINC001178954816 1098980866 /nfs/dbraw/zinc/98/08/66/1098980866.db2.gz QFKFRAPQPOZUAJ-LJQANCHMSA-N 0 1 319.408 3.440 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCNCc1nc(C)c(C)o1 ZINC001179235104 1099043700 /nfs/dbraw/zinc/04/37/00/1099043700.db2.gz FVMVYNPLDWQKDB-UHFFFAOYSA-N 0 1 321.465 3.270 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001179351090 1099065310 /nfs/dbraw/zinc/06/53/10/1099065310.db2.gz LKESOAJWFATIMZ-YLKCGPEDSA-N 0 1 323.440 3.160 20 30 DGEDMN COc1ccc(N2CCN(Cc3ccccc3CC#N)CC2)cc1 ZINC001235511641 1099069342 /nfs/dbraw/zinc/06/93/42/1099069342.db2.gz KESDDKWAVLAHHL-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179340229 1099077939 /nfs/dbraw/zinc/07/79/39/1099077939.db2.gz WIZAUNPYGLUCIA-MRXNPFEDSA-N 0 1 321.465 3.352 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C(=O)c1ccc(O)c(Cl)c1 ZINC001179366214 1099083271 /nfs/dbraw/zinc/08/32/71/1099083271.db2.gz GVFNVALWFPUCQH-UHFFFAOYSA-N 0 1 306.774 3.251 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2ncc(C)s2)[C@@H]1C ZINC001179384397 1099088625 /nfs/dbraw/zinc/08/86/25/1099088625.db2.gz LGTOYYXSPZEFJK-KKUMJFAQSA-N 0 1 321.490 3.133 20 30 DGEDMN CO[C@@H]1CCC[C@@H]2CN(Cc3c(F)cc(C#N)cc3F)C[C@@H]21 ZINC001235656505 1099110370 /nfs/dbraw/zinc/11/03/70/1099110370.db2.gz GUHFOWLRJVXOHL-IIYDPXPESA-N 0 1 306.356 3.083 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCCCCNCc2ncc(C)o2)C1 ZINC001179533727 1099120872 /nfs/dbraw/zinc/12/08/72/1099120872.db2.gz SHFQPVVZRRIWHJ-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001235741822 1099158211 /nfs/dbraw/zinc/15/82/11/1099158211.db2.gz JPNJYUFTHQOZSF-XFHJGTJQSA-N 0 1 316.420 3.338 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001235745533 1099162287 /nfs/dbraw/zinc/16/22/87/1099162287.db2.gz ZLOGPYPBEPLIAL-WRFKIARRSA-N 0 1 316.420 3.338 20 30 DGEDMN C[C@@H]1CC[C@](CO)(NCc2csc(C#N)c2)c2ccccc21 ZINC001179789588 1099203808 /nfs/dbraw/zinc/20/38/08/1099203808.db2.gz SYBZEWZYBGPMDD-FZKQIMNGSA-N 0 1 312.438 3.494 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C=Cc1ccc(F)cc1C(F)(F)F ZINC001179797832 1099206438 /nfs/dbraw/zinc/20/64/38/1099206438.db2.gz ULSITCJANXGDQD-DUXPYHPUSA-N 0 1 324.237 3.091 20 30 DGEDMN C#Cc1ccc(NC(=O)c2ccc(Nc3ccncc3)cc2)cc1 ZINC001180210969 1099343185 /nfs/dbraw/zinc/34/31/85/1099343185.db2.gz RTJIIHMIRLZVNJ-UHFFFAOYSA-N 0 1 313.360 3.481 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#C[Si](C)(C)C)c1)N1CCCC1 ZINC001180586517 1099466633 /nfs/dbraw/zinc/46/66/33/1099466633.db2.gz XEALKJVXOIPTFK-HNNXBMFYSA-N 0 1 314.505 3.338 20 30 DGEDMN CC[N@@H+](Cc1ccc(C#N)c(F)c1)[C@H](C)CNC(=O)CC(C)C ZINC001151669624 1099592164 /nfs/dbraw/zinc/59/21/64/1099592164.db2.gz HRRGNDBNURQUNP-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCCCC(=O)N(C)CCCN(C)Cc1ccccc1F ZINC001273548006 1099596792 /nfs/dbraw/zinc/59/67/92/1099596792.db2.gz MLGNKKFHBFTFOJ-UHFFFAOYSA-N 0 1 318.436 3.300 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1nc(C)ccc1O ZINC001187992956 1099651564 /nfs/dbraw/zinc/65/15/64/1099651564.db2.gz ANRDIJXQNPHALY-UHFFFAOYSA-N 0 1 300.424 3.198 20 30 DGEDMN CCCCCCCCS(=O)(=O)N(CC)C1CCN(C)CC1 ZINC001188011303 1099663986 /nfs/dbraw/zinc/66/39/86/1099663986.db2.gz YAPMNFYSNXWPCY-UHFFFAOYSA-N 0 1 318.527 3.093 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1c(C)ccc(C(C)C)c1O ZINC001188187979 1099708394 /nfs/dbraw/zinc/70/83/94/1099708394.db2.gz SPWDFLIXYIABBS-HNNXBMFYSA-N 0 1 316.445 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](CCC)CC(C)C)C1 ZINC001188244031 1099717701 /nfs/dbraw/zinc/71/77/01/1099717701.db2.gz UDVCOKKAZXLFFC-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(Br)ccc2N(C)C)C1 ZINC001236704991 1099749412 /nfs/dbraw/zinc/74/94/12/1099749412.db2.gz HBESTCTTYJRMKL-ZDUSSCGKSA-N 0 1 321.262 3.360 20 30 DGEDMN C#CC1CCN(Cc2cc(Br)ccc2N(C)C)CC1 ZINC001236707763 1099750698 /nfs/dbraw/zinc/75/06/98/1099750698.db2.gz MSWROFHVARNZLW-UHFFFAOYSA-N 0 1 321.262 3.360 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CC2CCC1CC2 ZINC001278007080 1099774301 /nfs/dbraw/zinc/77/43/01/1099774301.db2.gz UPEXTQVVEUFGBR-SSHXOBKSSA-N 0 1 310.869 3.146 20 30 DGEDMN Cc1[nH]c(NC(=O)c2ccc(O)c(C(F)(F)F)c2)c(C#N)c1C ZINC001188564076 1099784694 /nfs/dbraw/zinc/78/46/94/1099784694.db2.gz QJXLVLGMAKNFMO-UHFFFAOYSA-N 0 1 323.274 3.480 20 30 DGEDMN COC(=O)c1cc(F)cc(CN(C)Cc2cccc(C#N)c2)c1 ZINC001236787160 1099785666 /nfs/dbraw/zinc/78/56/66/1099785666.db2.gz VICDOIJMQOPSBJ-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN N#CCC1CN(Cc2cc(OCc3ccccc3)ccc2O)C1 ZINC001236794356 1099790291 /nfs/dbraw/zinc/79/02/91/1099790291.db2.gz ZCODTRJGUOMIIW-UHFFFAOYSA-N 0 1 308.381 3.317 20 30 DGEDMN N#Cc1ccc(NC(=O)c2ccc(O)c(C(F)(F)F)c2)c(O)c1 ZINC001188589684 1099800074 /nfs/dbraw/zinc/80/00/74/1099800074.db2.gz VKSCYMOIUNTTAT-UHFFFAOYSA-N 0 1 322.242 3.241 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001278018162 1099832130 /nfs/dbraw/zinc/83/21/30/1099832130.db2.gz XQMIHSGXJRMFFM-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN CCCCCC(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001188906061 1099898805 /nfs/dbraw/zinc/89/88/05/1099898805.db2.gz LADGEKRRYUVJKY-AWEZNQCLSA-N 0 1 319.474 3.233 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001188942167 1099905628 /nfs/dbraw/zinc/90/56/28/1099905628.db2.gz RROHWHVXJQKPBA-LJQANCHMSA-N 0 1 312.457 3.007 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)c1cc(-c2ccncc2)n[nH]1 ZINC001189328428 1100029544 /nfs/dbraw/zinc/02/95/44/1100029544.db2.gz DQWWSRMDHXEGJV-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H](Sc3ccncc3)C2)ccn1 ZINC001237470701 1100135542 /nfs/dbraw/zinc/13/55/42/1100135542.db2.gz URPXTICXHLIJRM-KRWDZBQOSA-N 0 1 310.426 3.105 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)c2ccccc2F)C1 ZINC001189926055 1100151797 /nfs/dbraw/zinc/15/17/97/1100151797.db2.gz KBNGRPINLLKWIW-JKSUJKDBSA-N 0 1 316.420 3.223 20 30 DGEDMN CC/C(C)=C\C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001190025800 1100185619 /nfs/dbraw/zinc/18/56/19/1100185619.db2.gz VUHFLGZXDPGDDL-RNQWEJQRSA-N 0 1 317.458 3.009 20 30 DGEDMN N#CCCN1CCN(Cc2ccc(-c3ccc(O)cc3)cc2)CC1 ZINC001237661213 1100207503 /nfs/dbraw/zinc/20/75/03/1100207503.db2.gz GSMAOUQLJBMDRQ-UHFFFAOYSA-N 0 1 321.424 3.090 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)CCCCC)[C@@H]2C1 ZINC001190289052 1100242908 /nfs/dbraw/zinc/24/29/08/1100242908.db2.gz BNQONDFXHAWPMW-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN N#CCCN(Cc1cccnc1)Cc1cc(F)cc(Cl)c1O ZINC001237808259 1100253661 /nfs/dbraw/zinc/25/36/61/1100253661.db2.gz SNBGORIEXUXHMZ-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)CCC(F)(F)F)C1 ZINC001190398556 1100259950 /nfs/dbraw/zinc/25/99/50/1100259950.db2.gz XKKCZOVAYJSZML-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001190398556 1100259958 /nfs/dbraw/zinc/25/99/58/1100259958.db2.gz XKKCZOVAYJSZML-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C3CCC3)cc2)C1 ZINC001190510680 1100284226 /nfs/dbraw/zinc/28/42/26/1100284226.db2.gz UEVKQPOQNIBISS-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(CC(C)C)s2)C1 ZINC001190698286 1100318522 /nfs/dbraw/zinc/31/85/22/1100318522.db2.gz VKLFRWDDKPJEPA-HNNXBMFYSA-N 0 1 318.486 3.116 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC001238086646 1100356031 /nfs/dbraw/zinc/35/60/31/1100356031.db2.gz LJJAGTLYEMLWOS-KRWDZBQOSA-N 0 1 320.820 3.377 20 30 DGEDMN C#CCN(C(=O)CC(CC)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001278194744 1100374042 /nfs/dbraw/zinc/37/40/42/1100374042.db2.gz SWHGACCDFUEJIA-KRWDZBQOSA-N 0 1 324.484 3.487 20 30 DGEDMN CN1CCC(C#N)(NC(=O)Nc2ccc(C(C)(C)C)cc2)CC1 ZINC001191165334 1100415010 /nfs/dbraw/zinc/41/50/10/1100415010.db2.gz GPWHNMVXYVFLQP-UHFFFAOYSA-N 0 1 314.433 3.094 20 30 DGEDMN COc1cc(CN(C)CCC(=O)c2ccccc2)ccc1C#N ZINC001238408867 1100435518 /nfs/dbraw/zinc/43/55/18/1100435518.db2.gz DYTFFHBXRFVIKF-UHFFFAOYSA-N 0 1 308.381 3.272 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCC2CCCCCC2)C1 ZINC001191382933 1100454354 /nfs/dbraw/zinc/45/43/54/1100454354.db2.gz NPBZHMNNNRREQO-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1nccs1)C1CCCC1 ZINC001278241620 1100461358 /nfs/dbraw/zinc/46/13/58/1100461358.db2.gz QIHZKVXXTVVBIH-OAHLLOKOSA-N 0 1 321.490 3.264 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001191569569 1100489794 /nfs/dbraw/zinc/48/97/94/1100489794.db2.gz PLRVHQYVQPNJGA-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001191569569 1100489802 /nfs/dbraw/zinc/48/98/02/1100489802.db2.gz PLRVHQYVQPNJGA-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001191911732 1100537023 /nfs/dbraw/zinc/53/70/23/1100537023.db2.gz JKYPDBOOUDCDBY-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001191912037 1100539935 /nfs/dbraw/zinc/53/99/35/1100539935.db2.gz MGYVLDRKDTUNQK-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@@H](N(C)CCC(F)(F)F)C2)CC1 ZINC001192207682 1100586194 /nfs/dbraw/zinc/58/61/94/1100586194.db2.gz YYRJAYWLEIPSGR-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC/C=C/c2ccccc2)C1 ZINC001192323637 1100604328 /nfs/dbraw/zinc/60/43/28/1100604328.db2.gz CRGDBZRPELKRAD-YRVHBARZSA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCNCC23CC3)c1 ZINC001239277587 1100619065 /nfs/dbraw/zinc/61/90/65/1100619065.db2.gz XCPXYEJOOUMBNV-UHFFFAOYSA-N 0 1 313.445 3.102 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(F)c3ccccc23)C1 ZINC001192464731 1100626069 /nfs/dbraw/zinc/62/60/69/1100626069.db2.gz STOSQFGFKXGKOD-OAHLLOKOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2C[C@@H](C)N[C@H](C)C2)c1 ZINC001239305336 1100626257 /nfs/dbraw/zinc/62/62/57/1100626257.db2.gz VMQBTMUMGXJHHL-HUUCEWRRSA-N 0 1 315.461 3.347 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@H](CNC(=O)C=C(C)C)O2 ZINC001273645594 1100655446 /nfs/dbraw/zinc/65/54/46/1100655446.db2.gz JZTIWROBKKYBLZ-QGZVFWFLSA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@H](CNC(=O)C=C(C)C)O2 ZINC001273646512 1100660259 /nfs/dbraw/zinc/66/02/59/1100660259.db2.gz MZBWMZOZNGVBLX-QGZVFWFLSA-N 0 1 320.477 3.049 20 30 DGEDMN CCCCCCC[C@H](C)NC(=O)NC1(C#N)CCN(C)CC1 ZINC001192949604 1100679712 /nfs/dbraw/zinc/67/97/12/1100679712.db2.gz IRSWRHRGAIGVSI-HNNXBMFYSA-N 0 1 308.470 3.023 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc3sccc3c2)C1 ZINC001193195987 1100715836 /nfs/dbraw/zinc/71/58/36/1100715836.db2.gz TWJLRYOOZNKCFP-MRXNPFEDSA-N 0 1 312.438 3.071 20 30 DGEDMN Cc1ccccc1CC(C)(C)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193519351 1100759979 /nfs/dbraw/zinc/75/99/79/1100759979.db2.gz VWRNSCQZDJHDHV-UHFFFAOYSA-N 0 1 308.381 3.323 20 30 DGEDMN CC(C)NC(=O)c1cccc(-c2nccc3[nH]cc(C#N)c32)c1 ZINC001240079459 1100856318 /nfs/dbraw/zinc/85/63/18/1100856318.db2.gz VFGFPNQODGWYBA-UHFFFAOYSA-N 0 1 304.353 3.240 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(C#N)c(F)c3)cc2[nH]1 ZINC001240161142 1100884588 /nfs/dbraw/zinc/88/45/88/1100884588.db2.gz YMAUAAGAFMLUIJ-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCOC(=O)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001194311504 1100910940 /nfs/dbraw/zinc/91/09/40/1100910940.db2.gz PQDYPMVIOJVHHF-QGZVFWFLSA-N 0 1 300.402 3.004 20 30 DGEDMN N#CCc1c(F)cccc1-c1cccc(CCN2CCOCC2)c1 ZINC001240277224 1100919731 /nfs/dbraw/zinc/91/97/31/1100919731.db2.gz OTKMKODABLUIIT-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN N#CCc1ccc(F)c(-c2cccc(CCN3CCOCC3)c2)c1 ZINC001240273313 1100920505 /nfs/dbraw/zinc/92/05/05/1100920505.db2.gz XZHQUHJXMKAZDH-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001194337152 1100926966 /nfs/dbraw/zinc/92/69/66/1100926966.db2.gz PJNFTKPOTBFRLM-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@@H](N(C)C[C@H](F)CC)C2)CCCCC1 ZINC001194381770 1100930283 /nfs/dbraw/zinc/93/02/83/1100930283.db2.gz NDEKYOCVKZKQCU-IAGOWNOFSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCC[C@@H]1CC ZINC001273687886 1101045379 /nfs/dbraw/zinc/04/53/79/1101045379.db2.gz MXSLILPSDDEJDK-LSDHHAIUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCC[C@H]1CC ZINC001273687880 1101046226 /nfs/dbraw/zinc/04/62/26/1101046226.db2.gz MXSLILPSDDEJDK-CABCVRRESA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCOC2CCCCCC2)CC1 ZINC001195232963 1101094757 /nfs/dbraw/zinc/09/47/57/1101094757.db2.gz OSNXUEIFYCDUJI-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN Cc1cccc(Cl)c1S(=O)(=O)Nc1ccc(F)c(C#N)c1 ZINC001195309009 1101118901 /nfs/dbraw/zinc/11/89/01/1101118901.db2.gz XFOZPTZCPHASII-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccn1 ZINC001278320482 1101150723 /nfs/dbraw/zinc/15/07/23/1101150723.db2.gz NVGAJVPLVNNGKL-MSOLQXFVSA-N 0 1 313.445 3.003 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc(CC#N)c3F)cc2)CC1 ZINC001240852600 1101157608 /nfs/dbraw/zinc/15/76/08/1101157608.db2.gz XDQDXKHHLIHPMX-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN COc1cc(NC(=O)c2ccc(C#N)cc2O)cc2cccnc21 ZINC001195757663 1101190570 /nfs/dbraw/zinc/19/05/70/1101190570.db2.gz FRGKPBWKNSSYAV-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(F)cc3cccnc32)c(O)c1 ZINC001195758251 1101190983 /nfs/dbraw/zinc/19/09/83/1101190983.db2.gz LTFVCGYNKFPJLA-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001195839625 1101212001 /nfs/dbraw/zinc/21/20/01/1101212001.db2.gz QLVQPGDLQFGCGR-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196829176 1101401971 /nfs/dbraw/zinc/40/19/71/1101401971.db2.gz DFGAKEVGEYHNGI-ROUUACIJSA-N 0 1 324.509 3.042 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC001196852905 1101418656 /nfs/dbraw/zinc/41/86/56/1101418656.db2.gz FAMGPCKDIGYLJU-IRXDYDNUSA-N 0 1 300.446 3.179 20 30 DGEDMN C=C1CCC(C(=O)N2CCCN(CCOCCCC)CC2)CC1 ZINC001197055627 1101459582 /nfs/dbraw/zinc/45/95/82/1101459582.db2.gz VZCKXPBNPXXBPT-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN N#Cc1ccc(N2CCN(CCOC3CCCCC3)CC2)cc1 ZINC001197031216 1101461790 /nfs/dbraw/zinc/46/17/90/1101461790.db2.gz IUNRQRIVYMYART-UHFFFAOYSA-N 0 1 313.445 3.030 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2ccc(C)cc2)CC1 ZINC001197036592 1101463511 /nfs/dbraw/zinc/46/35/11/1101463511.db2.gz IMPBOZGRXKPKGW-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001197428280 1101566846 /nfs/dbraw/zinc/56/68/46/1101566846.db2.gz VOMYCDMJKHPNND-IAGOWNOFSA-N 0 1 324.509 3.042 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2ncc(Cl)cc2O)c1 ZINC001197679447 1101640354 /nfs/dbraw/zinc/64/03/54/1101640354.db2.gz MERYPAAJLGKFKK-UHFFFAOYSA-N 0 1 304.762 3.121 20 30 DGEDMN Clc1cccc(C#CCN2CCC(c3ncccn3)CC2)c1 ZINC001197948725 1101711610 /nfs/dbraw/zinc/71/16/10/1101711610.db2.gz SDTXUPVEESVOJN-UHFFFAOYSA-N 0 1 311.816 3.361 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)CCCC2CCCCC2)CC1 ZINC001198040396 1101746562 /nfs/dbraw/zinc/74/65/62/1101746562.db2.gz HLTQUKGUHVRVOW-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)C(C)(CC)CC ZINC001273759636 1101763823 /nfs/dbraw/zinc/76/38/23/1101763823.db2.gz SMQWLYYBAVLYDL-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN CCC[C@@H]1CCCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC001198431635 1101855144 /nfs/dbraw/zinc/85/51/44/1101855144.db2.gz ZHZRDMOYIGBOBY-CQSZACIVSA-N 0 1 305.809 3.415 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC1CCCC1)c1ccccc1OC ZINC001198499688 1101879886 /nfs/dbraw/zinc/87/98/86/1101879886.db2.gz PIKPCWGXAVKPKE-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN CN(C)C(=O)c1cc(-c2nccc3[nH]cc(C#N)c32)ccc1Cl ZINC001242081735 1101897402 /nfs/dbraw/zinc/89/74/02/1101897402.db2.gz DHBQAPKCFKHAHU-UHFFFAOYSA-N 0 1 324.771 3.457 20 30 DGEDMN C=CCN(C(=O)c1cncn1-c1ccncc1)C1CCCCC1 ZINC001198549727 1101916525 /nfs/dbraw/zinc/91/65/25/1101916525.db2.gz SRDBXXQAIJQEIN-UHFFFAOYSA-N 0 1 310.401 3.228 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@@H](C)C(C)C)c1ccccc1OC ZINC001198606330 1101924304 /nfs/dbraw/zinc/92/43/04/1101924304.db2.gz QZLFYEJFHQIGTD-WBVHZDCISA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(CC)CC)c1ccccc1OC ZINC001198992707 1102046641 /nfs/dbraw/zinc/04/66/41/1102046641.db2.gz DWMMNCIDRLHOAD-MRXNPFEDSA-N 0 1 318.461 3.454 20 30 DGEDMN CC1(C)CC(=O)C=C(c2cncc([C@@H]3CN4CCC3CC4)n2)C1 ZINC001242317123 1102047383 /nfs/dbraw/zinc/04/73/83/1102047383.db2.gz RIQNLBWBJHUGMF-MRXNPFEDSA-N 0 1 311.429 3.058 20 30 DGEDMN CCn1cc(-c2cccc(F)c2O)c2sc(C#N)cc2c1=O ZINC001242354080 1102072409 /nfs/dbraw/zinc/07/24/09/1102072409.db2.gz QITVCRFOLOOLBY-UHFFFAOYSA-N 0 1 314.341 3.466 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1ccc(O)c(C#N)c1 ZINC001242563567 1102210963 /nfs/dbraw/zinc/21/09/63/1102210963.db2.gz HKUQFMFLUMSSNI-UHFFFAOYSA-N 0 1 320.352 3.182 20 30 DGEDMN CC(C)(C)c1noc(Nc2cc(O)cc([N+](=O)[O-])c2)c1C#N ZINC001199814421 1102218992 /nfs/dbraw/zinc/21/89/92/1102218992.db2.gz JTINGERHRBQNGS-UHFFFAOYSA-N 0 1 302.290 3.201 20 30 DGEDMN Cc1cc(Cl)nc(Nc2cc(O)cc([N+](=O)[O-])c2)c1C#N ZINC001199828636 1102227386 /nfs/dbraw/zinc/22/73/86/1102227386.db2.gz PIQIXUMNZBUBLH-UHFFFAOYSA-N 0 1 304.693 3.273 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1Cc2ccccc2CN1CCCF ZINC001273825648 1102343653 /nfs/dbraw/zinc/34/36/53/1102343653.db2.gz SIGWGBKKIFRHPS-QGZVFWFLSA-N 0 1 318.436 3.101 20 30 DGEDMN CC#Cc1cncc(-c2ccc3nc(C(=O)OCC)cc-3[nH]2)c1 ZINC001242938094 1102428756 /nfs/dbraw/zinc/42/87/56/1102428756.db2.gz QOIWPVFQLBIFMS-UHFFFAOYSA-N 0 1 305.337 3.173 20 30 DGEDMN N#CC1(c2ccccc2-c2ccc([O-])c(F)c2F)CC[NH2+]CC1 ZINC001243343489 1102673218 /nfs/dbraw/zinc/67/32/18/1102673218.db2.gz DROKWNRXFPGXDJ-UHFFFAOYSA-N 0 1 314.335 3.482 20 30 DGEDMN CCCCCCCCN1CCO[C@@]2(CCCN(C(C)=O)C2)C1 ZINC001201826155 1102740332 /nfs/dbraw/zinc/74/03/32/1102740332.db2.gz OMKIKALSYFHXQX-SFHVURJKSA-N 0 1 310.482 3.060 20 30 DGEDMN CCCCCCCCCN1Cc2nnn(C)c2[C@H](COC)C1 ZINC001201838725 1102743614 /nfs/dbraw/zinc/74/36/14/1102743614.db2.gz DYCRKJWBIFJKKU-HNNXBMFYSA-N 0 1 308.470 3.111 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)c1ccc(CC)cc1)C2 ZINC001111019785 1103018278 /nfs/dbraw/zinc/01/82/78/1103018278.db2.gz OHVMRLKFUMRDHA-OBZTUIKSSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)c1ccccc1F)C2 ZINC001111158012 1103056794 /nfs/dbraw/zinc/05/67/94/1103056794.db2.gz ZAXNGSNZQDRERS-JFTQMJAMSA-N 0 1 316.420 3.227 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(F)(F)F)CCCC1)C2 ZINC001111511568 1103070709 /nfs/dbraw/zinc/07/07/09/1103070709.db2.gz UVGHKXBKFRSLNG-UPJWGTAASA-N 0 1 316.367 3.017 20 30 DGEDMN C=C[C@H](COC)N[C@H](C)c1cc(Br)ccc1F ZINC001325620172 1103083847 /nfs/dbraw/zinc/08/38/47/1103083847.db2.gz QUDXQFHAWIQPEN-MWLCHTKSSA-N 0 1 302.187 3.440 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(C)c1 ZINC001488652445 1103087404 /nfs/dbraw/zinc/08/74/04/1103087404.db2.gz AJWKDUXWKAERAE-SFHVURJKSA-N 0 1 300.446 3.384 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H]1C[C@H]1C ZINC001325678381 1103099089 /nfs/dbraw/zinc/09/90/89/1103099089.db2.gz XLNSKESGKZNROY-CZUORRHYSA-N 0 1 306.837 3.013 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccc(F)cc1F ZINC001488672964 1103102953 /nfs/dbraw/zinc/10/29/53/1103102953.db2.gz AQTLHURGQIXENC-INIZCTEOSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001490843134 1103128045 /nfs/dbraw/zinc/12/80/45/1103128045.db2.gz DONRUTSSSYIMNW-NSHDSACASA-N 0 1 305.249 3.098 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C2 ZINC001111278691 1103168990 /nfs/dbraw/zinc/16/89/90/1103168990.db2.gz MMGYDSWXPLDCTQ-HZMVEIRTSA-N 0 1 304.478 3.194 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(C)(C)C)C2 ZINC001110593015 1103215204 /nfs/dbraw/zinc/21/52/04/1103215204.db2.gz DCXNEOGVRNBMJQ-ZACQAIPSSA-N 0 1 304.478 3.338 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1-c1cccc(CC#N)c1 ZINC001244159708 1103243049 /nfs/dbraw/zinc/24/30/49/1103243049.db2.gz ZFVPJWUQTULTRR-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](C)n1cccc1 ZINC001489031924 1103253998 /nfs/dbraw/zinc/25/39/98/1103253998.db2.gz XZKMPCZKKKYCQN-KBMXLJTQSA-N 0 1 323.868 3.018 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC[C@@H](C)CCC)C2 ZINC001110596637 1103264021 /nfs/dbraw/zinc/26/40/21/1103264021.db2.gz RPUZUFWKJJPDJT-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001326334506 1103277776 /nfs/dbraw/zinc/27/77/76/1103277776.db2.gz SFHLPSBBBNOXGX-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2oc(C)nc2C)[C@@H]1CC ZINC001489131844 1103288161 /nfs/dbraw/zinc/28/81/61/1103288161.db2.gz ARUXGXFZMVDWOD-JKSUJKDBSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](c2ccccc2)C(C)C)[C@H]1C ZINC001489293177 1103345185 /nfs/dbraw/zinc/34/51/85/1103345185.db2.gz LVFIZMLODGHWDU-NEWSRXKRSA-N 0 1 312.457 3.029 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C#N)cc3Cl)cn2)CC1 ZINC001244327239 1103363801 /nfs/dbraw/zinc/36/38/01/1103363801.db2.gz KUMCRBHEHMDNFU-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C#CCCCCC(=O)NCC1(NCC=C(Cl)Cl)CCC1 ZINC001326811554 1103451611 /nfs/dbraw/zinc/45/16/11/1103451611.db2.gz YHNOWQOLSWQNCG-UHFFFAOYSA-N 0 1 317.260 3.128 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(C)Cc1cc(F)ccc1F ZINC001490232219 1103516669 /nfs/dbraw/zinc/51/66/69/1103516669.db2.gz BBGCDSGFIUDMSV-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3cc(C#N)ccc3F)cnc2[nH]1 ZINC001244557383 1103520836 /nfs/dbraw/zinc/52/08/36/1103520836.db2.gz XSHMFGMBPHCBQA-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(C)CC#Cc2ccccc2)CC1 ZINC001490244555 1103522660 /nfs/dbraw/zinc/52/26/60/1103522660.db2.gz SKTBPVYSSOOKPY-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccns2)CC1 ZINC001327143545 1103548112 /nfs/dbraw/zinc/54/81/12/1103548112.db2.gz RSMKYKDUAPUSJI-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001327143545 1103548120 /nfs/dbraw/zinc/54/81/20/1103548120.db2.gz RSMKYKDUAPUSJI-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001327172114 1103566191 /nfs/dbraw/zinc/56/61/91/1103566191.db2.gz JTOCQBQMMMMVTR-FUHWJXTLSA-N 0 1 318.436 3.287 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001490537737 1103639616 /nfs/dbraw/zinc/63/96/16/1103639616.db2.gz LRFRCUYKLHKANL-MRXNPFEDSA-N 0 1 320.383 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001490554039 1103653093 /nfs/dbraw/zinc/65/30/93/1103653093.db2.gz FSWILGCXBDVARA-ZIAGYGMSSA-N 0 1 304.409 3.289 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc(C(F)F)c1)C2 ZINC001095928366 1103660899 /nfs/dbraw/zinc/66/08/99/1103660899.db2.gz YIUQKDWXHWAVMD-AGIUHOORSA-N 0 1 310.344 3.128 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(C)(C)C(C)C)CC1 ZINC001490596036 1103673787 /nfs/dbraw/zinc/67/37/87/1103673787.db2.gz SSRRHROFWGUMMA-CQSZACIVSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CC23CCN(CCF)CC3)CCCCC1 ZINC001490605176 1103677535 /nfs/dbraw/zinc/67/75/35/1103677535.db2.gz YFDVPQDMHIOTAM-MRXNPFEDSA-N 0 1 322.468 3.453 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)C1CC1 ZINC001490663282 1103698511 /nfs/dbraw/zinc/69/85/11/1103698511.db2.gz ZRVIUTBNCKBSBD-CABCVRRESA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(Cl)c1F)C2 ZINC001095952175 1103710640 /nfs/dbraw/zinc/71/06/40/1103710640.db2.gz SJOMALRZOJOYND-UGFHNGPFSA-N 0 1 322.811 3.390 20 30 DGEDMN COc1ncc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)cc1O ZINC001244847306 1103717588 /nfs/dbraw/zinc/71/75/88/1103717588.db2.gz DXEUFTRJADWFHX-KPSZGOFPSA-N 0 1 312.247 3.290 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@H]2C=CCC2)C1 ZINC001490749751 1103719995 /nfs/dbraw/zinc/71/99/95/1103719995.db2.gz YUKZMPJXKPAUOC-HOTGVXAUSA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C1(C)CC1)C2 ZINC001095999845 1103726981 /nfs/dbraw/zinc/72/69/81/1103726981.db2.gz PPCBFIPUBBNROS-MNEFBYGVSA-N 0 1 322.452 3.097 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](CN(C)CC(=C)Cl)C1 ZINC001490756471 1103728164 /nfs/dbraw/zinc/72/81/64/1103728164.db2.gz RCHVTEPERYBBFO-MRXNPFEDSA-N 0 1 310.869 3.103 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1[C@@H]3CCCCCC[C@@H]13)C2 ZINC001096055413 1103741592 /nfs/dbraw/zinc/74/15/92/1103741592.db2.gz CBTVDPJATGWSBQ-MTSZKFMLSA-N 0 1 302.462 3.110 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(F)c1Cl)C2 ZINC001096065109 1103747545 /nfs/dbraw/zinc/74/75/45/1103747545.db2.gz KALPASOTULZXDC-SWHYSGLUSA-N 0 1 308.784 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C)c1Cl)C2 ZINC001096201291 1103783978 /nfs/dbraw/zinc/78/39/78/1103783978.db2.gz JBEDZZPRGCZWRE-SNPRPXQTSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/c1cccc(F)c1)C2 ZINC001110681184 1103788854 /nfs/dbraw/zinc/78/88/54/1103788854.db2.gz CSASITRHDMSGTN-MKFMJCJJSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/c1cccc(F)c1)C2 ZINC001110681184 1103788867 /nfs/dbraw/zinc/78/88/67/1103788867.db2.gz CSASITRHDMSGTN-MKFMJCJJSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn1C1CCCC1)C2 ZINC001096250153 1103790674 /nfs/dbraw/zinc/79/06/74/1103790674.db2.gz FSHOPRIVERQCLJ-IKGGRYGDSA-N 0 1 313.445 3.124 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(F)cccc1Cl)C2 ZINC001096408028 1103825053 /nfs/dbraw/zinc/82/50/53/1103825053.db2.gz WIBRLKWNBNWZJX-UGFHNGPFSA-N 0 1 322.811 3.390 20 30 DGEDMN C=C[C@@](C)(O)CN1Cc2c(Br)cccc2C[C@@H]1C ZINC001252561504 1103841901 /nfs/dbraw/zinc/84/19/01/1103841901.db2.gz GWRSDRXWJMIIKU-XHDPSFHLSA-N 0 1 310.235 3.133 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc3ccccc3c1)C2 ZINC001096507550 1103853562 /nfs/dbraw/zinc/85/35/62/1103853562.db2.gz DNNJIKJROUOGFV-AABGKKOBSA-N 0 1 320.436 3.290 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(CCC(F)(F)F)C1 ZINC001278398015 1103863182 /nfs/dbraw/zinc/86/31/82/1103863182.db2.gz WZSRZTBXNIDUOR-AWEZNQCLSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)c3occc31)C2 ZINC001096576589 1103870485 /nfs/dbraw/zinc/87/04/85/1103870485.db2.gz KWOYGKBVCRQDMC-RLCCDNCMSA-N 0 1 314.360 3.093 20 30 DGEDMN CCOC(=O)C=Cc1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1 ZINC001245127122 1103872260 /nfs/dbraw/zinc/87/22/60/1103872260.db2.gz DYJCMSVSZODGEK-VMPITWQZSA-N 0 1 318.336 3.073 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3cc(C)ccc3o1)C2 ZINC001096607203 1103880143 /nfs/dbraw/zinc/88/01/43/1103880143.db2.gz WZVBTVKXZNKVBV-IKGGRYGDSA-N 0 1 322.408 3.100 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H](C)C1 ZINC001328282098 1103902963 /nfs/dbraw/zinc/90/29/63/1103902963.db2.gz XSKRLJIDSFUVHX-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cccc(F)c2)cc([N+](=O)[O-])c1O ZINC000205772630 1103905742 /nfs/dbraw/zinc/90/57/42/1103905742.db2.gz ZRKGHOFWLCSOJI-WAYWQWQTSA-N 0 1 317.272 3.344 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2ccc(CN(CC)CC)o2)cc1 ZINC001328468812 1103956433 /nfs/dbraw/zinc/95/64/33/1103956433.db2.gz PZRDJFOBTYGAQA-UHFFFAOYSA-N 0 1 310.397 3.033 20 30 DGEDMN N#CCOc1ccc(CN2CC[C@H]2COCc2ccccc2)cc1 ZINC001137119384 1103993142 /nfs/dbraw/zinc/99/31/42/1103993142.db2.gz KTDLEUHXICTFKO-IBGZPJMESA-N 0 1 322.408 3.380 20 30 DGEDMN N#Cc1c2c(cnc1C1=CC[C@@H](N3CCOCC3)CC1)CCCC2 ZINC001245423417 1104043488 /nfs/dbraw/zinc/04/34/88/1104043488.db2.gz NKWSLIOCTWBCJC-QGZVFWFLSA-N 0 1 323.440 3.100 20 30 DGEDMN C=CCNC(=O)Nc1cc(Br)cc(Cl)c1O ZINC001202574335 1104062711 /nfs/dbraw/zinc/06/27/11/1104062711.db2.gz MBSMUGSYGLVLED-UHFFFAOYSA-N 0 1 305.559 3.116 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC[C@H]1CN(C)CC#CC)c1ccccc1 ZINC001328795946 1104074298 /nfs/dbraw/zinc/07/42/98/1104074298.db2.gz FMYPPNJZSCWNPC-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CN[C@H](C)c1ccccc1Cl ZINC001273981354 1104081628 /nfs/dbraw/zinc/08/16/28/1104081628.db2.gz CZBVHMUYBOOKTG-XVJNWHFHSA-N 0 1 318.848 3.467 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001329103667 1104153980 /nfs/dbraw/zinc/15/39/80/1104153980.db2.gz NCEZWEVGUPVVKO-VXGBXAGGSA-N 0 1 310.388 3.160 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001329104007 1104154026 /nfs/dbraw/zinc/15/40/26/1104154026.db2.gz OUBDTZOEPCYZGD-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCCc1ccccc1 ZINC001329105559 1104154880 /nfs/dbraw/zinc/15/48/80/1104154880.db2.gz ACEMPWYTMRMKGN-HUUCEWRRSA-N 0 1 308.853 3.245 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCCc1ccccc1 ZINC001329105558 1104155209 /nfs/dbraw/zinc/15/52/09/1104155209.db2.gz ACEMPWYTMRMKGN-GJZGRUSLSA-N 0 1 308.853 3.245 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001329105442 1104155504 /nfs/dbraw/zinc/15/55/04/1104155504.db2.gz SVGDSGAEQPVDBZ-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2nc(C)cs2)CCC1 ZINC001329129650 1104164018 /nfs/dbraw/zinc/16/40/18/1104164018.db2.gz XAUMAEVNTYBVOQ-UONOGXRCSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2c(c1)CCCC2 ZINC001329182191 1104181680 /nfs/dbraw/zinc/18/16/80/1104181680.db2.gz BEWBUYFKQBSJDZ-KBPBESRZSA-N 0 1 320.864 3.414 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCC[N@H+]2Cc2ccc([S-])cc2)C1=O ZINC001274112978 1104251102 /nfs/dbraw/zinc/25/11/02/1104251102.db2.gz RMNWCBXSECNFQQ-GOSISDBHSA-N 0 1 316.470 3.118 20 30 DGEDMN C=CCN1CC[C@]2(CCCN(Cc3ccc(Cl)o3)CC2)C1=O ZINC001274137977 1104259645 /nfs/dbraw/zinc/25/96/45/1104259645.db2.gz DVSSTMZOBZJZKG-QGZVFWFLSA-N 0 1 322.836 3.324 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(F)ccc1CC ZINC001274164294 1104268300 /nfs/dbraw/zinc/26/83/00/1104268300.db2.gz RSXRRZXEVWGLNK-PLNGDYQASA-N 0 1 310.800 3.016 20 30 DGEDMN N#Cc1ccc(NC(=S)Nc2cc(O)c(F)c(F)c2)cc1 ZINC001245922097 1104311383 /nfs/dbraw/zinc/31/13/83/1104311383.db2.gz NWUNMAKHANZKML-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001329550538 1104314262 /nfs/dbraw/zinc/31/42/62/1104314262.db2.gz WKKIBPSJHHFOLX-HNNXBMFYSA-N 0 1 310.397 3.020 20 30 DGEDMN COc1ccc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)cc1OC ZINC001245937475 1104325935 /nfs/dbraw/zinc/32/59/35/1104325935.db2.gz OPQZUHOPGMDJET-UHFFFAOYSA-N 0 1 321.336 3.134 20 30 DGEDMN C#CCCc1cc(=O)n(Cc2ccc(OC(F)(F)F)cc2)[nH]1 ZINC001245951943 1104339498 /nfs/dbraw/zinc/33/94/98/1104339498.db2.gz HVLQUHAPEVMNJB-UHFFFAOYSA-N 0 1 310.275 3.101 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2c(cccc2F)s1 ZINC001329613342 1104347755 /nfs/dbraw/zinc/34/77/55/1104347755.db2.gz NPLIMBCBWRCTQX-LBPRGKRZSA-N 0 1 318.417 3.114 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1CC[C@@](N)(C(F)(F)F)C1 ZINC001246024899 1104355087 /nfs/dbraw/zinc/35/50/87/1104355087.db2.gz BEFINHOTVUEFDI-KGLIPLIRSA-N 0 1 310.404 3.063 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CC[N@@H+](Cc2ccsc2)CC1(C)C ZINC001274426339 1104383429 /nfs/dbraw/zinc/38/34/29/1104383429.db2.gz SLDYCUGETLWIMY-MRXNPFEDSA-N 0 1 318.486 3.124 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)CCc1cc(C)cc(C)c1 ZINC001274490787 1104396461 /nfs/dbraw/zinc/39/64/61/1104396461.db2.gz XYCYEIFHMLEXSS-SNAWJCMRSA-N 0 1 320.864 3.251 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001274587511 1104413572 /nfs/dbraw/zinc/41/35/72/1104413572.db2.gz BKINXXMLKLLFRH-ZIAGYGMSSA-N 0 1 319.474 3.135 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H](C)c1ccc(F)cc1 ZINC001274608076 1104419211 /nfs/dbraw/zinc/41/92/11/1104419211.db2.gz CZWOTEDUYUIOEQ-SCOBNMCVSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1cnc(C)o1 ZINC001329923326 1104424315 /nfs/dbraw/zinc/42/43/15/1104424315.db2.gz KGOXODWUTUIDRG-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN CC1(C(=O)NC[C@H]2CCCCCN2CC#Cc2ccccc2)CC1 ZINC001329923067 1104424862 /nfs/dbraw/zinc/42/48/62/1104424862.db2.gz HRTBMBZRRADUKS-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN([C@H](C)c2ccccc2F)C1 ZINC001329985633 1104445394 /nfs/dbraw/zinc/44/53/94/1104445394.db2.gz UPYGFASPGKKIOW-HUUCEWRRSA-N 0 1 316.420 3.127 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001274709877 1104465899 /nfs/dbraw/zinc/46/58/99/1104465899.db2.gz QJWAMHLONYKJGV-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)COc1ccc(C(C)C)cc1 ZINC001274830830 1104513836 /nfs/dbraw/zinc/51/38/36/1104513836.db2.gz RHBBDJCJZDQKNV-CQSZACIVSA-N 0 1 324.852 3.036 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](CCC)CC(C)C)C(C)(C)C1 ZINC001330261417 1104523878 /nfs/dbraw/zinc/52/38/78/1104523878.db2.gz GUAAFFKELVAOHM-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN CC/C(C)=C/C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001275107815 1104627472 /nfs/dbraw/zinc/62/74/72/1104627472.db2.gz GGGZSOIYOYZUOW-BONDDZEJSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(Cl)ccc1F ZINC001275120213 1104634849 /nfs/dbraw/zinc/63/48/49/1104634849.db2.gz BVKXAVKXQMWVJW-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](CCC)c1ccccn1 ZINC001275135597 1104643294 /nfs/dbraw/zinc/64/32/94/1104643294.db2.gz LFUNBHFIQLJKQK-IRXDYDNUSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1C[N@@H+](C)C[C@H]1CC(C)(C)CO1 ZINC001275135737 1104644171 /nfs/dbraw/zinc/64/41/71/1104644171.db2.gz NNCPBKCBIPIBAX-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)COc1cccc(C(C)C)c1 ZINC001275163513 1104659491 /nfs/dbraw/zinc/65/94/91/1104659491.db2.gz LRUPQOPSXHCTQY-AWEZNQCLSA-N 0 1 324.852 3.036 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001275175535 1104664880 /nfs/dbraw/zinc/66/48/80/1104664880.db2.gz IQSIMOZJWUUGNF-PBPGXSGUSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(Cl)ccc1F ZINC001275444116 1104761922 /nfs/dbraw/zinc/76/19/22/1104761922.db2.gz QCJGOEIKOHJHCR-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1cccc(C#N)c1)[C@H]1CNC(=O)C1 ZINC001246887372 1104724281 /nfs/dbraw/zinc/72/42/81/1104724281.db2.gz MNRYLIICJSGXDX-DNVCBOLYSA-N 0 1 319.408 3.010 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001275405090 1104749175 /nfs/dbraw/zinc/74/91/75/1104749175.db2.gz ULELSKYQEXAEKQ-CABCVRRESA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1cccc2ccccc21 ZINC001275417699 1104752587 /nfs/dbraw/zinc/75/25/87/1104752587.db2.gz PNUZLNAULONATO-AWEZNQCLSA-N 0 1 316.832 3.229 20 30 DGEDMN CCCCCCCCNC(=S)N1CCN2CCCC[C@@H]2C1 ZINC001247153139 1104817810 /nfs/dbraw/zinc/81/78/10/1104817810.db2.gz AWFCUIJBIXHNKF-MRXNPFEDSA-N 0 1 311.539 3.392 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001275620804 1104835671 /nfs/dbraw/zinc/83/56/71/1104835671.db2.gz ADXDMZKUPASQOQ-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN Cc1cc(-c2noc([C@@H]3CCCc4[nH]cnc43)n2)ccc1C#N ZINC001247275732 1104860546 /nfs/dbraw/zinc/86/05/46/1104860546.db2.gz LLLLERQXGAJBPQ-CYBMUJFWSA-N 0 1 305.341 3.108 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)[N@@H+](C)Cc1cc(C)sc1C ZINC001275904311 1104949415 /nfs/dbraw/zinc/94/94/15/1104949415.db2.gz GCTIVSRPYCJKBD-AWEZNQCLSA-N 0 1 320.502 3.495 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001275920808 1104957308 /nfs/dbraw/zinc/95/73/08/1104957308.db2.gz NVSRWKREHYGTOJ-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001297409938 1104991172 /nfs/dbraw/zinc/99/11/72/1104991172.db2.gz MZKHHCXQLKQPGH-KRWDZBQOSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3c1CCCC3)C2 ZINC001095460393 1105015153 /nfs/dbraw/zinc/01/51/53/1105015153.db2.gz MCZACGXTICKFIF-MNEFBYGVSA-N 0 1 310.441 3.087 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(C)=C(C)C1)C2 ZINC001095456278 1105015465 /nfs/dbraw/zinc/01/54/65/1105015465.db2.gz PBHNBNGUWKNRPN-BDXSIMOUSA-N 0 1 302.462 3.421 20 30 DGEDMN C=CC[C@H]([NH3+])c1nc(-c2ccc3[n-]c(=S)sc3c2)no1 ZINC001247963716 1105023396 /nfs/dbraw/zinc/02/33/96/1105023396.db2.gz UVTYCDNOLCGVIM-QMMMGPOBSA-N 0 1 304.400 3.211 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCNCc1nc(C)sc1C ZINC001276208484 1105047918 /nfs/dbraw/zinc/04/79/18/1105047918.db2.gz FGBDBJFKYIURKY-KRWDZBQOSA-N 0 1 323.506 3.300 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001280793499 1105064669 /nfs/dbraw/zinc/06/46/69/1105064669.db2.gz MACJPVSQURSPCG-GOSISDBHSA-N 0 1 314.473 3.255 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)ccc1CC)C2 ZINC001095685640 1105096121 /nfs/dbraw/zinc/09/61/21/1105096121.db2.gz OUYBBIFODDXQDO-NJAFHUGGSA-N 0 1 316.420 3.299 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3ccccc3s1)C2 ZINC001095704568 1105100306 /nfs/dbraw/zinc/10/03/06/1105100306.db2.gz WDZFAWBDKWJELA-PMPSAXMXSA-N 0 1 324.449 3.260 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1scnc1C ZINC001110964577 1105127955 /nfs/dbraw/zinc/12/79/55/1105127955.db2.gz QVAJDMXBUVNOLU-KFWWJZLASA-N 0 1 319.474 3.029 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001110986461 1105134536 /nfs/dbraw/zinc/13/45/36/1105134536.db2.gz UYISBPBWDGAJJQ-VXIBKDFQSA-N 0 1 322.493 3.127 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCC(O)(C(F)(F)F)CC1 ZINC001248748201 1105154471 /nfs/dbraw/zinc/15/44/71/1105154471.db2.gz ALTZIRDDNBBDFF-UHFFFAOYSA-N 0 1 312.335 3.010 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2ncccc2C)[C@H]1C ZINC001278510515 1105185968 /nfs/dbraw/zinc/18/59/68/1105185968.db2.gz MRVNZIMAJXCCMA-DLBZAZTESA-N 0 1 315.461 3.215 20 30 DGEDMN N#Cc1ccn2ncc(CN3CC=C(c4ccccc4)CC3)c2c1 ZINC001249113650 1105228231 /nfs/dbraw/zinc/22/82/31/1105228231.db2.gz MIXNTONHNVESNC-UHFFFAOYSA-N 0 1 314.392 3.495 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(F)c(Cl)c3F)no2)C1 ZINC001249231688 1105252564 /nfs/dbraw/zinc/25/25/64/1105252564.db2.gz MBJQNVRKNWKZDW-AWEZNQCLSA-N 0 1 311.719 3.433 20 30 DGEDMN N#Cc1ccc2cc(CN3CCC4(C[C@@H](F)CO4)CC3)[nH]c2c1 ZINC001249429595 1105285521 /nfs/dbraw/zinc/28/55/21/1105285521.db2.gz IPFLVFANUNPFQK-OAHLLOKOSA-N 0 1 313.376 3.133 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@H](Oc4ccncc4)C3)[nH]c2c1 ZINC001249430379 1105286456 /nfs/dbraw/zinc/28/64/56/1105286456.db2.gz PFNGHMQWWWSOGY-SFHVURJKSA-N 0 1 318.380 3.088 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)C1 ZINC001249532967 1105307845 /nfs/dbraw/zinc/30/78/45/1105307845.db2.gz HRYYTVZYUQORNY-JTQLQIEISA-N 0 1 324.306 3.197 20 30 DGEDMN N#CC1CCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)CC1 ZINC001249531349 1105308018 /nfs/dbraw/zinc/30/80/18/1105308018.db2.gz XZDQKIAMLSWHNK-UHFFFAOYSA-N 0 1 324.306 3.197 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)C1 ZINC001249533423 1105308374 /nfs/dbraw/zinc/30/83/74/1105308374.db2.gz BGOUKQVWPXYATC-AWEZNQCLSA-N 0 1 324.306 3.197 20 30 DGEDMN CCCCCCCNC(=S)Nc1cc(=O)[nH]c(Cl)n1 ZINC001249631726 1105334014 /nfs/dbraw/zinc/33/40/14/1105334014.db2.gz VTKYCBDKIQIWNV-UHFFFAOYSA-N 0 1 302.831 3.092 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cscc1Cl)C2 ZINC001097281281 1105378737 /nfs/dbraw/zinc/37/87/37/1105378737.db2.gz HBXDNELEZGOIPU-HONMWMINSA-N 0 1 310.850 3.313 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001278542154 1105398056 /nfs/dbraw/zinc/39/80/56/1105398056.db2.gz IBRXPDPRTJHHHR-QAPCUYQASA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)cc1F)C2 ZINC001097478222 1105398950 /nfs/dbraw/zinc/39/89/50/1105398950.db2.gz XVTZOGBBIROYKH-DFBGVHRSSA-N 0 1 308.784 3.000 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(Cl)cc(Cl)c2F)CCC1=O ZINC001249944893 1105412240 /nfs/dbraw/zinc/41/22/40/1105412240.db2.gz GALNRGWIFWCEPF-VIFPVBQESA-N 0 1 301.148 3.047 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(Cl)cc(Cl)c2F)CCC1=O ZINC001249944888 1105412464 /nfs/dbraw/zinc/41/24/64/1105412464.db2.gz GALNRGWIFWCEPF-SECBINFHSA-N 0 1 301.148 3.047 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2ccc(Br)c(Cl)n2)C1 ZINC001254641976 1105461136 /nfs/dbraw/zinc/46/11/36/1105461136.db2.gz BYZHHINFUDBNMV-IUCAKERBSA-N 0 1 314.614 3.279 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)c(F)c1)C2 ZINC001097912119 1105462457 /nfs/dbraw/zinc/46/24/57/1105462457.db2.gz SHRMUTUKACPXKI-DFBGVHRSSA-N 0 1 308.784 3.000 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(c1)C=CCC3)C2 ZINC001098024363 1105508263 /nfs/dbraw/zinc/50/82/63/1105508263.db2.gz JWLMNPWPOIZREE-AQNXPRMDSA-N 0 1 320.436 3.004 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1cc3ccccc3o1)C2 ZINC001098180548 1105560605 /nfs/dbraw/zinc/56/06/05/1105560605.db2.gz FIOZFTSDRXNTMJ-SVGFKBNWSA-N 0 1 324.424 3.444 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C3CCCCC3)CC1)C2 ZINC001098285866 1105566688 /nfs/dbraw/zinc/56/66/88/1105566688.db2.gz RMGVWSWASVNYRW-ZACQAIPSSA-N 0 1 302.462 3.254 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc3ccc(F)cc13)C2 ZINC001098283817 1105567629 /nfs/dbraw/zinc/56/76/29/1105567629.db2.gz JEHHNLCWQPSJCY-WQVCFCJDSA-N 0 1 314.360 3.093 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3ccccc31)C2 ZINC001098317207 1105568294 /nfs/dbraw/zinc/56/82/94/1105568294.db2.gz MBXXBSCRJURBSI-AHRSYUTCSA-N 0 1 318.420 3.198 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H]2C=CCC2)CC1 ZINC001278594602 1105572185 /nfs/dbraw/zinc/57/21/85/1105572185.db2.gz ZJGPYFXWYHUNRI-OAHLLOKOSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc3c(c1)CCCC3)C2 ZINC001098366950 1105572526 /nfs/dbraw/zinc/57/25/26/1105572526.db2.gz FQQGDVQETTWHKJ-AQNXPRMDSA-N 0 1 324.468 3.015 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(c3cc(C)cc(C)c3)CC1)C2 ZINC001098417125 1105574173 /nfs/dbraw/zinc/57/41/73/1105574173.db2.gz FOIIQUBNPGUGKT-QRVBRYPASA-N 0 1 324.468 3.243 20 30 DGEDMN CC(C)c1ccc(OC[C@@H](O)CN2CCC(C)(C#N)CC2)cc1 ZINC001250759060 1105578978 /nfs/dbraw/zinc/57/89/78/1105578978.db2.gz OTTCISGSUTYWDE-KRWDZBQOSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(c3ccc(C)cc3)CCC1)C2 ZINC001098479338 1105579208 /nfs/dbraw/zinc/57/92/08/1105579208.db2.gz CMDIWWXUFJMGKD-QRVBRYPASA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2c(C)coc2C)CC1 ZINC001278607032 1105594168 /nfs/dbraw/zinc/59/41/68/1105594168.db2.gz BKDKQRZFFZNYMN-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@]2(C)C=CCC2)CC1 ZINC001278618079 1105610358 /nfs/dbraw/zinc/61/03/58/1105610358.db2.gz OXOMOHBIOYOPLG-QGZVFWFLSA-N 0 1 310.869 3.314 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cccc3cccnc32)cc1C#N ZINC001251004104 1105618695 /nfs/dbraw/zinc/61/86/95/1105618695.db2.gz CQDNMUUXPCIXPK-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)C(C)C ZINC001098959895 1105619563 /nfs/dbraw/zinc/61/95/63/1105619563.db2.gz JWMWYNMYVSWNEK-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)/C=C\C(C)(C)C ZINC001098976030 1105621958 /nfs/dbraw/zinc/62/19/58/1105621958.db2.gz OXNRJSFZZFVFTE-SNGWPZLWSA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCC1CCC1 ZINC001099011860 1105627473 /nfs/dbraw/zinc/62/74/73/1105627473.db2.gz DICINGKMPBBWLA-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCC1CCC1 ZINC001099011861 1105627742 /nfs/dbraw/zinc/62/77/42/1105627742.db2.gz DICINGKMPBBWLA-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)CCCC ZINC001099048306 1105634342 /nfs/dbraw/zinc/63/43/42/1105634342.db2.gz FBBPACLEWOUPSH-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)c1ccccc1)C2 ZINC001111465065 1105636363 /nfs/dbraw/zinc/63/63/63/1105636363.db2.gz BEVCWTFFPRKECC-QRVBRYPASA-N 0 1 324.468 3.099 20 30 DGEDMN Cc1ccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)c(C#N)c1 ZINC001251239888 1105643728 /nfs/dbraw/zinc/64/37/28/1105643728.db2.gz DOEGARQFMYSLGZ-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2sccc2CC)C1 ZINC001099172942 1105653700 /nfs/dbraw/zinc/65/37/00/1105653700.db2.gz DFUWBHMHMDGECS-YOEHRIQHSA-N 0 1 304.459 3.081 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@](C)(CC)CCC ZINC001099286087 1105664509 /nfs/dbraw/zinc/66/45/09/1105664509.db2.gz OCZJWNSHVRZSBT-APWZRJJASA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(CC)CC ZINC001099349982 1105671328 /nfs/dbraw/zinc/67/13/28/1105671328.db2.gz WPLYPQSXZXCQGD-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)C1CC1 ZINC001099359506 1105673703 /nfs/dbraw/zinc/67/37/03/1105673703.db2.gz PZTHKTKYOROLKK-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)C1CC1 ZINC001099359506 1105673706 /nfs/dbraw/zinc/67/37/06/1105673706.db2.gz PZTHKTKYOROLKK-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001099378636 1105674504 /nfs/dbraw/zinc/67/45/04/1105674504.db2.gz DSEFVXWBTDTPGU-OAHLLOKOSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)Cc1ccc(C)cc1)C2 ZINC001111475989 1105705244 /nfs/dbraw/zinc/70/52/44/1105705244.db2.gz MZKCEZIJHFEVJC-OWYHZJEWSA-N 0 1 312.457 3.081 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001491236607 1105784363 /nfs/dbraw/zinc/78/43/63/1105784363.db2.gz MNGJFIPMBRMTPD-ROUUACIJSA-N 0 1 318.436 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1CCC=CCC1 ZINC001491272588 1105794103 /nfs/dbraw/zinc/79/41/03/1105794103.db2.gz YCMHWIGMFWDUOQ-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@@](C)(O)CN[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001252585502 1105798712 /nfs/dbraw/zinc/79/87/12/1105798712.db2.gz PSZQZMPIARKQHW-UYAOXDASSA-N 0 1 321.424 3.189 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCN(CCF)CC1 ZINC001252986808 1105867092 /nfs/dbraw/zinc/86/70/92/1105867092.db2.gz XDNOMMPFAUBUQM-SFHVURJKSA-N 0 1 316.505 3.465 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2sccc2C(C)C)C1 ZINC001278693670 1105880612 /nfs/dbraw/zinc/88/06/12/1105880612.db2.gz PMDQOIYEBJVMIN-SFHVURJKSA-N 0 1 318.486 3.337 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNc1nc(Cl)nc2[nH]cnc21 ZINC001253233102 1105905968 /nfs/dbraw/zinc/90/59/68/1105905968.db2.gz ZOBZKNUELPLLMP-LLVKDONJSA-N 0 1 323.828 3.257 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN1CCN(Cc2ccco2)CC1 ZINC001253239799 1105907241 /nfs/dbraw/zinc/90/72/41/1105907241.db2.gz SRYKBKJONZUDFM-GOSISDBHSA-N 0 1 320.477 3.285 20 30 DGEDMN C#CCN(CC1CC1)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC001253562736 1105959533 /nfs/dbraw/zinc/95/95/33/1105959533.db2.gz BDGBHKJWKBHRFL-UHFFFAOYSA-N 0 1 313.788 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CCC2CCCC2)C1 ZINC001278733807 1105966717 /nfs/dbraw/zinc/96/67/17/1105966717.db2.gz MZFXOOXBPILNIM-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)CCCC)[C@H](C)C1 ZINC001101930941 1105999336 /nfs/dbraw/zinc/99/93/36/1105999336.db2.gz CSPOGBSLIDXVQJ-UMVBOHGHSA-N 0 1 300.874 3.249 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC001254023442 1106010421 /nfs/dbraw/zinc/01/04/21/1106010421.db2.gz YSWDTEKVSHTISE-MRXNPFEDSA-N 0 1 309.498 3.351 20 30 DGEDMN N#CC[C@@H](Cc1nc([C@@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC000350079988 1106011102 /nfs/dbraw/zinc/01/11/02/1106011102.db2.gz UMWMEVLFWGBPDH-DLBZAZTESA-N 0 1 322.412 3.119 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN([C@H](C)c2ncc(C)o2)C[C@H]1C ZINC001102297320 1106047516 /nfs/dbraw/zinc/04/75/16/1106047516.db2.gz UQWFGIYRJXMWKZ-FVQBIDKESA-N 0 1 319.449 3.084 20 30 DGEDMN C[C@H]1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)CCC1=O ZINC001254353049 1106058679 /nfs/dbraw/zinc/05/86/79/1106058679.db2.gz OKVCBEUEPKNPRL-STJZUHDESA-N 0 1 314.404 3.441 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC2(CN([C@H]3CC[C@@H](C#N)C3)C2)C1 ZINC001254661007 1106126489 /nfs/dbraw/zinc/12/64/89/1106126489.db2.gz OJGDRNWDMQXTRE-CABCVRRESA-N 0 1 319.449 3.012 20 30 DGEDMN Cc1ccc2c(n1)N(CC1CC1)CCN([C@H]1CC[C@H](C#N)C1)C2 ZINC001254663441 1106127297 /nfs/dbraw/zinc/12/72/97/1106127297.db2.gz BSUSVFQDEGYFTC-WMZOPIPTSA-N 0 1 310.445 3.114 20 30 DGEDMN Cc1ccc2c(n1)N(CC1CC1)CCN([C@H]1CC[C@@H](C#N)C1)C2 ZINC001254663438 1106127644 /nfs/dbraw/zinc/12/76/44/1106127644.db2.gz BSUSVFQDEGYFTC-AEFFLSMTSA-N 0 1 310.445 3.114 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCN(c3nccc4ccccc43)CC2)C1 ZINC001254668213 1106128572 /nfs/dbraw/zinc/12/85/72/1106128572.db2.gz GQMUGHMWTRTVNB-DOTOQJQBSA-N 0 1 306.413 3.049 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC(C(=O)c3ccccc3F)CC2)C1 ZINC001254677275 1106131843 /nfs/dbraw/zinc/13/18/43/1106131843.db2.gz RRKDSMWATDPADX-HIFRSBDPSA-N 0 1 300.377 3.413 20 30 DGEDMN CC[C@@H](CC#N)N[C@H]1CN(C(=O)OC(C)(C)C)CCC1(C)C ZINC001255158567 1106193995 /nfs/dbraw/zinc/19/39/95/1106193995.db2.gz KZGXDUDTDNCSOR-KBPBESRZSA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CC(NC(=O)OC(C)(C)C)C2)CC1 ZINC001255167223 1106197652 /nfs/dbraw/zinc/19/76/52/1106197652.db2.gz WGAUZXQGNMRBFU-HNNXBMFYSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@H](CC#N)N1CCCC[C@H]1[C@@H](C(=O)OC)c1ccccc1 ZINC001255175144 1106199834 /nfs/dbraw/zinc/19/98/34/1106199834.db2.gz NEFPVEHIUXLVKI-SQNIBIBYSA-N 0 1 314.429 3.490 20 30 DGEDMN CC[C@H](CC#N)N(C(C)C)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255177313 1106200495 /nfs/dbraw/zinc/20/04/95/1106200495.db2.gz PVCIEFXUFNXIID-HUUCEWRRSA-N 0 1 309.454 3.398 20 30 DGEDMN C=C[C@H](COC)N[C@@H](C)c1ccc(Br)cc1F ZINC001255454465 1106252787 /nfs/dbraw/zinc/25/27/87/1106252787.db2.gz PWCULPKPDMIWHX-GXSJLCMTSA-N 0 1 302.187 3.440 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NS(=O)(=O)c1cccc(F)c1 ZINC001255575204 1106272195 /nfs/dbraw/zinc/27/21/95/1106272195.db2.gz NBQRCHVMXXNMIM-UHFFFAOYSA-N 0 1 321.377 3.055 20 30 DGEDMN CC#CC[N@@H+](C)CCN(C(=O)c1cc2ccsc2[nH]1)C(C)C ZINC001278914552 1106378290 /nfs/dbraw/zinc/37/82/90/1106378290.db2.gz HRTJTEWMZHHUKH-UHFFFAOYSA-N 0 1 317.458 3.035 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc2ccsc2[nH]1)C(C)C ZINC001278914552 1106378293 /nfs/dbraw/zinc/37/82/93/1106378293.db2.gz HRTJTEWMZHHUKH-UHFFFAOYSA-N 0 1 317.458 3.035 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CC(C1CC1)C1CC1)C(C)C ZINC001278930230 1106407066 /nfs/dbraw/zinc/40/70/66/1106407066.db2.gz ZHTBCAXNOCJJBL-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)C1CCC1)c1ccccc1CC ZINC001278969474 1106498863 /nfs/dbraw/zinc/49/88/63/1106498863.db2.gz KYHGZUQFJNZJQI-KXBFYZLASA-N 0 1 312.457 3.065 20 30 DGEDMN Cc1nc2ccccc2cc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC001258039004 1106662931 /nfs/dbraw/zinc/66/29/31/1106662931.db2.gz HSCZBTLGZVNZLH-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CCCCCCC[C@H](C)N1CCN(c2nccnc2C#N)CC1 ZINC001258049968 1106664992 /nfs/dbraw/zinc/66/49/92/1106664992.db2.gz QQFBIXBNAUVRMJ-INIZCTEOSA-N 0 1 315.465 3.219 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccccc2C#N)ccc1Cl ZINC001258266542 1106706247 /nfs/dbraw/zinc/70/62/47/1106706247.db2.gz NOHKHNOHJUJJKO-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN CCCc1cccc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC001258290532 1106710672 /nfs/dbraw/zinc/71/06/72/1106710672.db2.gz VKXIKLRTYRBHLE-UHFFFAOYSA-N 0 1 300.383 3.312 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1ccccc1C ZINC001316907708 1106752543 /nfs/dbraw/zinc/75/25/43/1106752543.db2.gz NBPRLCHXCAFSJZ-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001316923265 1106769447 /nfs/dbraw/zinc/76/94/47/1106769447.db2.gz ZOTXKOROPRJXBZ-UHFFFAOYSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001316948614 1106811000 /nfs/dbraw/zinc/81/10/00/1106811000.db2.gz WKDXVCTYTRIYFV-INIZCTEOSA-N 0 1 320.864 3.348 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001320042194 1106813623 /nfs/dbraw/zinc/81/36/23/1106813623.db2.gz KJGUQOZFHRNDKV-SFHVURJKSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001316952460 1106818980 /nfs/dbraw/zinc/81/89/80/1106818980.db2.gz GAXXEYCUHBMJBE-RHSMWYFYSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H]1CCC(C)(C)C1)CCC2 ZINC001258600183 1106840472 /nfs/dbraw/zinc/84/04/72/1106840472.db2.gz HIDJCEXEIOSHRY-OAHLLOKOSA-N 0 1 303.450 3.370 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001316981649 1106843076 /nfs/dbraw/zinc/84/30/76/1106843076.db2.gz URIAXQUVKUSGIO-LJQANCHMSA-N 0 1 323.440 3.017 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](c1ccccc1)[C@@H](C)CC ZINC001320080279 1106847840 /nfs/dbraw/zinc/84/78/40/1106847840.db2.gz KOVYKFFLUBBIEC-PKOBYXMFSA-N 0 1 314.473 3.230 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001316986325 1106853998 /nfs/dbraw/zinc/85/39/98/1106853998.db2.gz YIHOCNUMVMIUJX-CZUORRHYSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCC[C@@H](C)n1cc(C(=O)N2CCNCC2)c2ccccc21 ZINC001258735646 1106941290 /nfs/dbraw/zinc/94/12/90/1106941290.db2.gz YCFCGRPFBRGGJU-OAHLLOKOSA-N 0 1 311.429 3.214 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)c2ccco2)C1 ZINC001317041830 1106941686 /nfs/dbraw/zinc/94/16/86/1106941686.db2.gz JBMINYFREQWNRE-GJZGRUSLSA-N 0 1 324.852 3.306 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)c2ccco2)C1 ZINC001317041832 1106942178 /nfs/dbraw/zinc/94/21/78/1106942178.db2.gz JBMINYFREQWNRE-LSDHHAIUSA-N 0 1 324.852 3.306 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1ccccc1F ZINC001317165171 1107067687 /nfs/dbraw/zinc/06/76/87/1107067687.db2.gz HANHVVSKSHUDOC-MRXNPFEDSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1ccc(OC)cc1 ZINC001317165247 1107068531 /nfs/dbraw/zinc/06/85/31/1107068531.db2.gz HXAWWSWSBWENSN-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN Cc1cc(CN2CCCC[C@@H]2CNC(=O)C#CC(C)C)cs1 ZINC001317170927 1107076843 /nfs/dbraw/zinc/07/68/43/1107076843.db2.gz KDOXGDUFGOTEPS-QGZVFWFLSA-N 0 1 318.486 3.187 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1scnc1C ZINC001317172276 1107083528 /nfs/dbraw/zinc/08/35/28/1107083528.db2.gz HEIYMCBJWIWJOR-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccccc1C ZINC001317181629 1107103979 /nfs/dbraw/zinc/10/39/79/1107103979.db2.gz UOZMKROGUSMLQO-MSOLQXFVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)Cc2ccc(C)o2)C1 ZINC001317206414 1107124281 /nfs/dbraw/zinc/12/42/81/1107124281.db2.gz XKTDGFAQCDUHRV-HUUCEWRRSA-N 0 1 324.852 3.100 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCCN(Cc2cncs2)C1 ZINC001317211229 1107133076 /nfs/dbraw/zinc/13/30/76/1107133076.db2.gz XLUVBRDWITUMKN-KBPBESRZSA-N 0 1 321.490 3.072 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317229543 1107153839 /nfs/dbraw/zinc/15/38/39/1107153839.db2.gz BYQZCORTPGGXEX-HWIKDYBBSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC[C@@H](NC(=O)c1ccc2cncn2c1C)c1ccncc1 ZINC001320840539 1107159360 /nfs/dbraw/zinc/15/93/60/1107159360.db2.gz SXEUCIOABHUVGA-QGZVFWFLSA-N 0 1 306.369 3.085 20 30 DGEDMN Cc1cc(N[C@H]2CCC[C@H](C#N)C2)ccc1N1CCN(C)CC1 ZINC001320864410 1107166236 /nfs/dbraw/zinc/16/62/36/1107166236.db2.gz HCYWBHAKSBYZBD-IRXDYDNUSA-N 0 1 312.461 3.241 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(Cl)s1 ZINC001320909823 1107186028 /nfs/dbraw/zinc/18/60/28/1107186028.db2.gz HXHZHABKYJDMCM-UHFFFAOYSA-N 0 1 307.246 3.206 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCCC1(C)C ZINC001320928521 1107191955 /nfs/dbraw/zinc/19/19/55/1107191955.db2.gz DUYXVBPVCOANAI-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN C[C@@]1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CC=CCC1 ZINC001321070917 1107238800 /nfs/dbraw/zinc/23/88/00/1107238800.db2.gz RFKZVBSIHPACQQ-MHNQQFQPSA-N 0 1 322.452 3.047 20 30 DGEDMN N#Cc1ccc(CS(=O)(=O)Nc2cccc3ccoc32)cc1 ZINC001260045501 1107242528 /nfs/dbraw/zinc/24/25/28/1107242528.db2.gz VEYFNIGIWNOLOW-UHFFFAOYSA-N 0 1 312.350 3.246 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C/CNCc1cc(F)cc(F)c1 ZINC001321084183 1107244432 /nfs/dbraw/zinc/24/44/32/1107244432.db2.gz SIDTWSITXMGYAM-ONEGZZNKSA-N 0 1 308.372 3.083 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C\CNCc1cc(F)cc(F)c1 ZINC001321084182 1107244856 /nfs/dbraw/zinc/24/48/56/1107244856.db2.gz SIDTWSITXMGYAM-ARJAWSKDSA-N 0 1 308.372 3.083 20 30 DGEDMN CCCCC(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001317372413 1107275582 /nfs/dbraw/zinc/27/55/82/1107275582.db2.gz WNBKZMBIEJVEGR-IBGZPJMESA-N 0 1 312.457 3.151 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2scnc2C)C1 ZINC001317375009 1107280158 /nfs/dbraw/zinc/28/01/58/1107280158.db2.gz GMZRIZYIHQOIMS-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2ncccc2C)C1 ZINC001317376319 1107280692 /nfs/dbraw/zinc/28/06/92/1107280692.db2.gz OSTFYYTZQVBIDB-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2cnc(C#N)c(Cl)c2)c1C ZINC001260992908 1107336563 /nfs/dbraw/zinc/33/65/63/1107336563.db2.gz RZIKLZRAJGEZLN-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2c(F)cc(F)cc2C#N)c1C ZINC001260999234 1107339460 /nfs/dbraw/zinc/33/94/60/1107339460.db2.gz RGDRPCRTGVJOTP-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2cscn2)CCCC1 ZINC001317417195 1107341606 /nfs/dbraw/zinc/34/16/06/1107341606.db2.gz HXFVJNSSOQQWJB-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN CCCC[C@](C)(F)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001321560574 1107381887 /nfs/dbraw/zinc/38/18/87/1107381887.db2.gz SYRXJLAMDJICIX-KDOFPFPSSA-N 0 1 319.424 3.071 20 30 DGEDMN N#CC1(c2ccccc2)CC(Nc2cnn(-c3ccncc3)c2)C1 ZINC001321624949 1107395509 /nfs/dbraw/zinc/39/55/09/1107395509.db2.gz HLOBVULBZQAEIQ-UHFFFAOYSA-N 0 1 315.380 3.303 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001321702691 1107414690 /nfs/dbraw/zinc/41/46/90/1107414690.db2.gz GWBBMIFNYMNTAX-NXEZZACHSA-N 0 1 321.273 3.352 20 30 DGEDMN Cc1ccc(C(=O)NCCN(C)Cc2ccc(C#N)cc2)c(C)c1 ZINC001317469252 1107425944 /nfs/dbraw/zinc/42/59/44/1107425944.db2.gz KUXCQNGRLHIZDH-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1ccoc1C ZINC001261414659 1107427663 /nfs/dbraw/zinc/42/76/63/1107427663.db2.gz JRSKDIZZCNHBDV-LJQANCHMSA-N 0 1 324.424 3.493 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)(C)C)[C@@H](C)c1ccccc1F ZINC001317479449 1107434760 /nfs/dbraw/zinc/43/47/60/1107434760.db2.gz GCOVJKXPHZDVHN-HNNXBMFYSA-N 0 1 318.436 3.374 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001321978041 1107477165 /nfs/dbraw/zinc/47/71/65/1107477165.db2.gz KLHSCIGJPZECNE-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001321979305 1107477432 /nfs/dbraw/zinc/47/74/32/1107477432.db2.gz XLLPZMSYFRRECK-SCLBCKFNSA-N 0 1 321.465 3.303 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](C)c1ccc(Cl)cc1)C1CC1 ZINC001317556276 1107533375 /nfs/dbraw/zinc/53/33/75/1107533375.db2.gz FGUQGWOWOUBTLS-CQSZACIVSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@]12C[C@H]1CCC2)c1ccc(C(C)C)cc1 ZINC001317710863 1107615238 /nfs/dbraw/zinc/61/52/38/1107615238.db2.gz CXPZAKBODABJNH-SFHLNBCPSA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001317768010 1107651804 /nfs/dbraw/zinc/65/18/04/1107651804.db2.gz YEVXNOCABYWOQV-HKUYNNGSSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)CC(C)C)C1 ZINC001317770379 1107653850 /nfs/dbraw/zinc/65/38/50/1107653850.db2.gz RLZHCQGLOGVZJG-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(C)c(F)c2)C1 ZINC001317792017 1107670689 /nfs/dbraw/zinc/67/06/89/1107670689.db2.gz GQBMHRKTPBEYBE-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC[C@@H](C)CC)C1 ZINC001317804910 1107681772 /nfs/dbraw/zinc/68/17/72/1107681772.db2.gz FZJNKVUYNSQDMD-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC001317979375 1107777597 /nfs/dbraw/zinc/77/75/97/1107777597.db2.gz JGYCEVMKZZQCIC-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1csc(C)c1C)C1CC1 ZINC001323006157 1107803888 /nfs/dbraw/zinc/80/38/88/1107803888.db2.gz MOVSLYYMHTWQTH-AWEZNQCLSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CCC(F)(F)CC1)C1CC1 ZINC001323077466 1107825746 /nfs/dbraw/zinc/82/57/46/1107825746.db2.gz NNQZVWISUPHJRL-ZDUSSCGKSA-N 0 1 320.811 3.049 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC23CCCCCC3)CC1 ZINC001262823087 1107826428 /nfs/dbraw/zinc/82/64/28/1107826428.db2.gz UJMBSKUREQJRTP-UHFFFAOYSA-N 0 1 316.489 3.437 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001318078836 1107827428 /nfs/dbraw/zinc/82/74/28/1107827428.db2.gz RADRQECMMSMNKV-INIZCTEOSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C)CCC(C)CC1)C1CC1 ZINC001323113914 1107839745 /nfs/dbraw/zinc/83/97/45/1107839745.db2.gz OWBOSWPQHQLGPH-VXKCQOAXSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ncc(C)o2)[C@H](CC)C1 ZINC001323147065 1107851547 /nfs/dbraw/zinc/85/15/47/1107851547.db2.gz FCRIBABMOYHUQT-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)cs1 ZINC001318209862 1107898967 /nfs/dbraw/zinc/89/89/67/1107898967.db2.gz RLFQAQCXKRDDFM-IHRRRGAJSA-N 0 1 320.458 3.010 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc2ncccc2c1 ZINC001323278196 1107913400 /nfs/dbraw/zinc/91/34/00/1107913400.db2.gz OGRCBVUFWNKKQR-QGZVFWFLSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2Cl)C1 ZINC001318248857 1107915419 /nfs/dbraw/zinc/91/54/19/1107915419.db2.gz ZGTUCSDCZSNWNN-GJZGRUSLSA-N 0 1 318.848 3.395 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001323287362 1107918825 /nfs/dbraw/zinc/91/88/25/1107918825.db2.gz OZIHGZDQPNNQOU-AEFFLSMTSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2CCc2cccs2)CCC1 ZINC001323305187 1107927190 /nfs/dbraw/zinc/92/71/90/1107927190.db2.gz ZQGFRXJNLTZPAL-OAHLLOKOSA-N 0 1 318.486 3.228 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2cccc(C(C)C)n2)C1 ZINC001318385432 1107973359 /nfs/dbraw/zinc/97/33/59/1107973359.db2.gz GZKASZBWIVBXGS-KRWDZBQOSA-N 0 1 315.461 3.204 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC001323438625 1107974937 /nfs/dbraw/zinc/97/49/37/1107974937.db2.gz KJYUFXLASJFGQU-LBPRGKRZSA-N 0 1 310.800 3.330 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2c2ccccc2C)CC1 ZINC001323570899 1108016841 /nfs/dbraw/zinc/01/68/41/1108016841.db2.gz IVTOSNYGMIGMOY-JKSUJKDBSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC[C@H](C)CC1 ZINC001263340776 1108021795 /nfs/dbraw/zinc/02/17/95/1108021795.db2.gz UWDHRSLCWFMNJH-ZDUSSCGKSA-N 0 1 314.437 3.179 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@H](C)CC1 ZINC001263340775 1108023121 /nfs/dbraw/zinc/02/31/21/1108023121.db2.gz UWDHRSLCWFMNJH-CYBMUJFWSA-N 0 1 314.437 3.179 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001318490582 1108050533 /nfs/dbraw/zinc/05/05/33/1108050533.db2.gz CJUBLQHHUSMSHU-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN CCOc1ccc(C(=O)C(C#N)c2nc(C3CC3)cs2)cn1 ZINC001263478527 1108063238 /nfs/dbraw/zinc/06/32/38/1108063238.db2.gz ZTEGTPAMXGDWGD-LBPRGKRZSA-N 0 1 313.382 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cscc1Cl ZINC001318537107 1108084379 /nfs/dbraw/zinc/08/43/79/1108084379.db2.gz KJFMMSZXTHMPCE-SECBINFHSA-N 0 1 307.246 3.252 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2cccnc21 ZINC001318541508 1108086976 /nfs/dbraw/zinc/08/69/76/1108086976.db2.gz KCUDXSRSLUYTHO-AWEZNQCLSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001318556146 1108093276 /nfs/dbraw/zinc/09/32/76/1108093276.db2.gz IHVJVEGYWBIJQT-XYJFISCASA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C(C)=C/CC)C2)CC1 ZINC001323816832 1108094477 /nfs/dbraw/zinc/09/44/77/1108094477.db2.gz UCXSGMOZUFXTIC-LHHJGKSTSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NC/C(Cl)=C/Cl ZINC001318558214 1108095268 /nfs/dbraw/zinc/09/52/68/1108095268.db2.gz YVEYCIQQAWUFFN-SEVUFMINSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@H]1CCCC1(F)F ZINC001318562118 1108096523 /nfs/dbraw/zinc/09/65/23/1108096523.db2.gz MGONFQSXEWZHAT-NEPJUHHUSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@@H](C)CC)C2)CC1 ZINC001323825568 1108097042 /nfs/dbraw/zinc/09/70/42/1108097042.db2.gz IWTLGNZWGJEBDB-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(Cl)ccc1C ZINC001318582721 1108108874 /nfs/dbraw/zinc/10/88/74/1108108874.db2.gz LZOJTKFIOIYZKS-ZDUSSCGKSA-N 0 1 315.244 3.499 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1ccc(F)cc1F ZINC001263616767 1108112330 /nfs/dbraw/zinc/11/23/30/1108112330.db2.gz HTOKCGUWXRXUNK-ZWNOBZJWSA-N 0 1 303.312 3.161 20 30 DGEDMN C=C[C@@H](Cc1nc([C@@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC001323914175 1108118820 /nfs/dbraw/zinc/11/88/20/1108118820.db2.gz DRQJSTFBMDENIC-WMLDXEAASA-N 0 1 309.413 3.391 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001318626312 1108131574 /nfs/dbraw/zinc/13/15/74/1108131574.db2.gz KBGZTLALVMSMIB-UHFFFAOYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CCCC3CCC3)C2)CCC1 ZINC001323977687 1108134543 /nfs/dbraw/zinc/13/45/43/1108134543.db2.gz BJDYMJAANOLHIB-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001324030605 1108152996 /nfs/dbraw/zinc/15/29/96/1108152996.db2.gz LOOTZTYLASEMFD-KRWDZBQOSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2coc(C)n2)CCCC[C@H]1C ZINC001318743896 1108178642 /nfs/dbraw/zinc/17/86/42/1108178642.db2.gz SAZGPFYLFYWLPQ-KDOFPFPSSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1csc(Cl)c1 ZINC001324234608 1108217564 /nfs/dbraw/zinc/21/75/64/1108217564.db2.gz FVDLFOWTHZNRSF-LLVKDONJSA-N 0 1 319.257 3.348 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)C[C@@H]1CCN1CC)c1ccccc1 ZINC001263823181 1108236682 /nfs/dbraw/zinc/23/66/82/1108236682.db2.gz IVXDUKDZFFKZPC-ZWKOTPCHSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H]2CCCCC2(C)C)CC1 ZINC001324351289 1108260699 /nfs/dbraw/zinc/26/06/99/1108260699.db2.gz BTSKPORPLZPHDC-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1O)c1ccc(F)c(F)c1 ZINC001318980385 1108262946 /nfs/dbraw/zinc/26/29/46/1108262946.db2.gz SBSAYXHIWRSRJW-VIFPVBQESA-N 0 1 302.280 3.284 20 30 DGEDMN C#Cc1ccc(C[N@@H+](C)Cc2nc(=O)c3sccc3[n-]2)cc1 ZINC001324378490 1108270436 /nfs/dbraw/zinc/27/04/36/1108270436.db2.gz JNLZXTFZVBIDTE-UHFFFAOYSA-N 0 1 309.394 3.010 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCCCCC)C1CCN(CC#C)CC1 ZINC001324431942 1108286312 /nfs/dbraw/zinc/28/63/12/1108286312.db2.gz KZPSPQQLRQSMLJ-GOSISDBHSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1CCC)C1CCCC1 ZINC001324496835 1108315064 /nfs/dbraw/zinc/31/50/64/1108315064.db2.gz CGTLSYNYXICTQZ-OAGGEKHMSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001319319165 1108412772 /nfs/dbraw/zinc/41/27/72/1108412772.db2.gz OFHXKMOEANSXEV-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC1(C)CCCCC1 ZINC001264183297 1108420963 /nfs/dbraw/zinc/42/09/63/1108420963.db2.gz MTYXXYYJTHFOMW-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CC)CCCCC)CC2 ZINC001319413313 1108450523 /nfs/dbraw/zinc/45/05/23/1108450523.db2.gz YKMMOIANZSGLFM-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CC1(c2ccccc2)CC1 ZINC001319467471 1108482934 /nfs/dbraw/zinc/48/29/34/1108482934.db2.gz UHTHIFIFGOFIHH-OAHLLOKOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(Cl)ccc1F ZINC001319492434 1108492003 /nfs/dbraw/zinc/49/20/03/1108492003.db2.gz HOAAXGZGLUOAIO-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1cc2ccccc2o1 ZINC001319510506 1108499447 /nfs/dbraw/zinc/49/94/47/1108499447.db2.gz KZCYWKLNJHIMOL-CYBMUJFWSA-N 0 1 320.820 3.164 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001264398447 1108507901 /nfs/dbraw/zinc/50/79/01/1108507901.db2.gz MPTIRKALRZEPEI-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc(C(F)F)c2)C1 ZINC001324979416 1108548916 /nfs/dbraw/zinc/54/89/16/1108548916.db2.gz YRANGLZQUUHUEX-SFHVURJKSA-N 0 1 320.383 3.089 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCCCC)C1 ZINC001325043700 1108565348 /nfs/dbraw/zinc/56/53/48/1108565348.db2.gz UMLYTWFGXRPUTI-CQSZACIVSA-N 0 1 302.409 3.216 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccccc1 ZINC001264539519 1108568550 /nfs/dbraw/zinc/56/85/50/1108568550.db2.gz AXIFUZQMKPYNKG-CJNGLKHVSA-N 0 1 306.837 3.269 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2ccc3cncn3c2C)c1 ZINC001325206286 1108594473 /nfs/dbraw/zinc/59/44/73/1108594473.db2.gz QJRPBEWIWVZKJZ-UHFFFAOYSA-N 0 1 303.365 3.291 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2c(O)cccc2Cl)cc1 ZINC001319714992 1108607796 /nfs/dbraw/zinc/60/77/96/1108607796.db2.gz IQMIKNKBDITAMC-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C=CCO[C@@H]1CC[N@H+](Cc2ccc(OCC)c(OCC)c2)C1 ZINC001325298846 1108616601 /nfs/dbraw/zinc/61/66/01/1108616601.db2.gz HLPTWBYNDMOKBH-MRXNPFEDSA-N 0 1 305.418 3.261 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(CC)CC)c1ccccc1CC ZINC001325360005 1108631119 /nfs/dbraw/zinc/63/11/19/1108631119.db2.gz AMYNOOUWFDAQLS-LJQANCHMSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)CC)c1ccccc1CC ZINC001325367300 1108635567 /nfs/dbraw/zinc/63/55/67/1108635567.db2.gz SNFIOGJISHULKT-KRWDZBQOSA-N 0 1 300.446 3.065 20 30 DGEDMN CC(C)(C)c1ccc(N=NCc2ccc([N+](=O)[O-])cc2F)nn1 ZINC001319881819 1108676558 /nfs/dbraw/zinc/67/65/58/1108676558.db2.gz PLGRGTMAUMESMU-UHFFFAOYSA-N 0 1 317.324 3.267 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001265235315 1108794641 /nfs/dbraw/zinc/79/46/41/1108794641.db2.gz MZJWVMVCCBEZIP-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)C1CC1 ZINC001265364741 1108844973 /nfs/dbraw/zinc/84/49/73/1108844973.db2.gz STZGFXVFBKQVAC-CAOSSQGBSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(Cl)cs1)C1CC1 ZINC001265374669 1108849798 /nfs/dbraw/zinc/84/97/98/1108849798.db2.gz QLJMKJMFYRSKEO-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CC(C)(C)C)C1 ZINC001265384977 1108854047 /nfs/dbraw/zinc/85/40/47/1108854047.db2.gz SUTHRCCVQWVIQV-CQSZACIVSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CN(C)CC=C(Cl)Cl)C1 ZINC001265386422 1108856672 /nfs/dbraw/zinc/85/66/72/1108856672.db2.gz GBXFRFQEZGAZCA-ZDUSSCGKSA-N 0 1 319.276 3.442 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCCN(C/C=C/Cl)C1 ZINC001265588779 1108921253 /nfs/dbraw/zinc/92/12/53/1108921253.db2.gz IPXAFUCXLBLENM-YCABEKBOSA-N 0 1 310.869 3.151 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CNCc2ncc(C(C)C)o2)C1 ZINC001265603348 1108930491 /nfs/dbraw/zinc/93/04/91/1108930491.db2.gz UMFIEWVMADPWHG-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(C)c(C)n1 ZINC001265677361 1108962255 /nfs/dbraw/zinc/96/22/55/1108962255.db2.gz JRCTWPICOGPDCW-OAHLLOKOSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](C)c1ccco1 ZINC001265681933 1108963867 /nfs/dbraw/zinc/96/38/67/1108963867.db2.gz HTMVDXTXDCPZDF-ZIAGYGMSSA-N 0 1 310.825 3.106 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001265684574 1108965000 /nfs/dbraw/zinc/96/50/00/1108965000.db2.gz YCWQZIQQWHEFIH-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1cc(F)ccc1OC ZINC001265779815 1109002766 /nfs/dbraw/zinc/00/27/66/1109002766.db2.gz PZAGHRQXUCQJSF-ZDUSSCGKSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C/C=C/Cc1ccccc1 ZINC001265785771 1109004089 /nfs/dbraw/zinc/00/40/89/1109004089.db2.gz HGBAAGCHRTYPMJ-LQYUOIDQSA-N 0 1 306.837 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1oc(C(C)C)nc1C ZINC001265809178 1109010149 /nfs/dbraw/zinc/01/01/49/1109010149.db2.gz SDOVZBARLMDCLP-ZDUSSCGKSA-N 0 1 321.465 3.303 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1cccc(F)c1Cl ZINC001265811206 1109010958 /nfs/dbraw/zinc/01/09/58/1109010958.db2.gz NLDLYIITISHARX-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@@H](C)NCc1ccccn1)c1ccccc1 ZINC001265867700 1109030717 /nfs/dbraw/zinc/03/07/17/1109030717.db2.gz WJHIUXUJBSZLMV-SJORKVTESA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)N[C@H](C)c1ncc(C)o1 ZINC001266167567 1109113067 /nfs/dbraw/zinc/11/30/67/1109113067.db2.gz WYWAPROJOLZNEV-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC001266233874 1109134518 /nfs/dbraw/zinc/13/45/18/1109134518.db2.gz SQIHFOSRCKLFQQ-QGZVFWFLSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001266233615 1109134664 /nfs/dbraw/zinc/13/46/64/1109134664.db2.gz NXJQJFCSISRLOT-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001266236388 1109135193 /nfs/dbraw/zinc/13/51/93/1109135193.db2.gz GYFUQCDAZSVJNN-NVXWUHKLSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccccc1F ZINC001280314729 1109184835 /nfs/dbraw/zinc/18/48/35/1109184835.db2.gz BEFGMLSZZXIYQE-UHFFFAOYSA-N 0 1 324.399 3.211 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@@H](F)CC)cc1 ZINC001280354230 1109189326 /nfs/dbraw/zinc/18/93/26/1109189326.db2.gz LIPQXUKNKLCLTH-DOMZBBRYSA-N 0 1 312.816 3.454 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1ccncc1Cl ZINC001280434434 1109203028 /nfs/dbraw/zinc/20/30/28/1109203028.db2.gz VERRFQMKSXVCRX-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CNCc1conc1CC ZINC001280442829 1109204236 /nfs/dbraw/zinc/20/42/36/1109204236.db2.gz IMAXIGMTLUMMPO-MRXNPFEDSA-N 0 1 319.449 3.064 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCC2(CN(CCCCC)C2)O1 ZINC001280930147 1109275256 /nfs/dbraw/zinc/27/52/56/1109275256.db2.gz QMBJZAUAPYVXEX-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN CC(C)=CCN1Cc2ccc(CNC(=O)C#CC(C)C)cc2C1 ZINC001281292339 1109323904 /nfs/dbraw/zinc/32/39/04/1109323904.db2.gz CCHDRUFFQQVRAV-UHFFFAOYSA-N 0 1 310.441 3.244 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H](C)NCc1csc(C)n1 ZINC001281748746 1109403967 /nfs/dbraw/zinc/40/39/67/1109403967.db2.gz FHAVQHJBPJFQRX-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)=C1CCCC1 ZINC001281902147 1109414364 /nfs/dbraw/zinc/41/43/64/1109414364.db2.gz XRGBOJQPOANGOJ-WOJBJXKFSA-N 0 1 322.452 3.272 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C2CC2)cc1 ZINC001281969306 1109425527 /nfs/dbraw/zinc/42/55/27/1109425527.db2.gz TYACCQMQCLVDQI-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1sccc1Cl ZINC001282133439 1109455613 /nfs/dbraw/zinc/45/56/13/1109455613.db2.gz MZNVWSFYURSLOP-DTWKUNHWSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(F)ccc1CC ZINC001282161467 1109459615 /nfs/dbraw/zinc/45/96/15/1109459615.db2.gz PTLLFKCDJQEIGJ-VXGBXAGGSA-N 0 1 312.816 3.237 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001282182432 1109464215 /nfs/dbraw/zinc/46/42/15/1109464215.db2.gz BUVDBPVCVSSFAO-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(C)c1ccccc1 ZINC001282230215 1109472188 /nfs/dbraw/zinc/47/21/88/1109472188.db2.gz OOAQGYSRUHZGQO-UONOGXRCSA-N 0 1 308.853 3.200 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1cc(C)ccc1OC ZINC001282388159 1109499892 /nfs/dbraw/zinc/49/98/92/1109499892.db2.gz FDUXSJPETYGABC-INIZCTEOSA-N 0 1 318.461 3.296 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CC1CC(c2ccccc2)C1 ZINC001282411491 1109506146 /nfs/dbraw/zinc/50/61/46/1109506146.db2.gz JAZRRHKHHXQYCV-LRYGQEGESA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC)CC1CCCCC1 ZINC001282466339 1109517380 /nfs/dbraw/zinc/51/73/80/1109517380.db2.gz CGWBMSNKUWOGDW-FUHWJXTLSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001282485092 1109523308 /nfs/dbraw/zinc/52/33/08/1109523308.db2.gz JMCXWVZRGHTLJQ-AEFFLSMTSA-N 0 1 300.446 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CCCC)CNCc2cc(C)no2)C1 ZINC001282648055 1109542403 /nfs/dbraw/zinc/54/24/03/1109542403.db2.gz OIADODCYEWEKFX-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C(C)(C)CC(C)C)[C@@H]2C1 ZINC001282888180 1109579139 /nfs/dbraw/zinc/57/91/39/1109579139.db2.gz HZTJPSITGFSMAA-QKDCVEJESA-N 0 1 312.885 3.105 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H](C)CC(C)C)C2)C1 ZINC001282977934 1109585803 /nfs/dbraw/zinc/58/58/03/1109585803.db2.gz QUPBLQBCPRYOHW-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC001283331992 1109616351 /nfs/dbraw/zinc/61/63/51/1109616351.db2.gz LYQUQMSIAADXIG-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cscc1C(F)F ZINC001283611336 1109660796 /nfs/dbraw/zinc/66/07/96/1109660796.db2.gz ADGJVSWKYDTVID-VIFPVBQESA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)[C@H](C)C(C)(C)C ZINC001283633060 1109663643 /nfs/dbraw/zinc/66/36/43/1109663643.db2.gz VUOFOMCQFNISFK-RYUDHWBXSA-N 0 1 319.287 3.014 20 30 DGEDMN CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001283664040 1109668691 /nfs/dbraw/zinc/66/86/91/1109668691.db2.gz IZOOOCOYOLPCPI-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(C)(C)CC(F)F)[C@@H]1C ZINC001283903803 1109697440 /nfs/dbraw/zinc/69/74/40/1109697440.db2.gz CXZSVMWSCYDFJA-NEPJUHHUSA-N 0 1 322.827 3.389 20 30 DGEDMN CC#CC[N@@H+](C)CCN(C(=O)c1ccc(Cl)c(F)c1)C(C)C ZINC001284544779 1109808822 /nfs/dbraw/zinc/80/88/22/1109808822.db2.gz PCFTYIBJPADGJO-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(Cl)c(F)c1)C(C)C ZINC001284544779 1109808827 /nfs/dbraw/zinc/80/88/27/1109808827.db2.gz PCFTYIBJPADGJO-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CCN(C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)[C@H](C)C#N ZINC001301403294 1111090857 /nfs/dbraw/zinc/09/08/57/1111090857.db2.gz ASIDBOVPOUUTPX-SNVBAGLBSA-N 0 1 302.765 3.104 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1cc(Cl)ccc1F ZINC001317265548 1111109720 /nfs/dbraw/zinc/10/97/20/1111109720.db2.gz CUJZKGHMDKUDRF-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C\[C@]2(C)CC[C@@H](C)C2(C)C)C1 ZINC001303558662 1111147604 /nfs/dbraw/zinc/14/76/04/1111147604.db2.gz MKZQIZLOSWCCJH-BDKYNLLMSA-N 0 1 316.489 3.219 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(-c3ccn(C)c3)n[nH]2)c(Cl)c1 ZINC001304273439 1111172450 /nfs/dbraw/zinc/17/24/50/1111172450.db2.gz WUHPTSBRAIXBFV-UHFFFAOYSA-N 0 1 324.771 3.302 20 30 DGEDMN CCCCCCN(O)C(=O)c1[nH]nc(CC)c1Br ZINC001306896694 1111248043 /nfs/dbraw/zinc/24/80/43/1111248043.db2.gz JDCOPXUYSLVJRA-UHFFFAOYSA-N 0 1 318.215 3.146 20 30 DGEDMN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1cc(C#N)ccn1 ZINC001307631568 1111321866 /nfs/dbraw/zinc/32/18/66/1111321866.db2.gz WQIKIAJBJGQDKP-YVEFUNNKSA-N 0 1 315.804 3.472 20 30 DGEDMN CCC#C[C@H](C)N(C)C[C@H](O)c1ccccc1Br ZINC001307841602 1111345114 /nfs/dbraw/zinc/34/51/14/1111345114.db2.gz DNVADZMOSXDLEE-WFASDCNBSA-N 0 1 310.235 3.216 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CN(Cc1ccccc1)C[C@@H](C)O2 ZINC001308031456 1111369406 /nfs/dbraw/zinc/36/94/06/1111369406.db2.gz HRFAVXBDXMEFGV-SJLPKXTDSA-N 0 1 320.864 3.104 20 30 DGEDMN C#CCN([C@@H]1CCCc2ccccc21)[C@@H]1CCCN(C(C)C)C1=O ZINC001308104198 1111378443 /nfs/dbraw/zinc/37/84/43/1111378443.db2.gz OPJMTQSYCXDNBX-WOJBJXKFSA-N 0 1 324.468 3.399 20 30 DGEDMN C#C[C@H](NCc1cc(Br)c([N+](=O)[O-])s1)C(C)C ZINC001308752215 1111470224 /nfs/dbraw/zinc/47/02/24/1111470224.db2.gz ZFXUPGMFVIIKDN-JTQLQIEISA-N 0 1 317.208 3.166 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN(C)Cc2ccns2)C1 ZINC001317037528 1111741682 /nfs/dbraw/zinc/74/16/82/1111741682.db2.gz UVLHQLGJPWJEMK-OAHLLOKOSA-N 0 1 321.490 3.170 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)[C@@H]1CC ZINC001316729904 1111755065 /nfs/dbraw/zinc/75/50/65/1111755065.db2.gz SINDGFICSGITKK-ZVIVXHKUSA-N 0 1 324.468 3.364 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001316729904 1111755070 /nfs/dbraw/zinc/75/50/70/1111755070.db2.gz SINDGFICSGITKK-ZVIVXHKUSA-N 0 1 324.468 3.364 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CC)CC2CCCC2)[C@H]1C ZINC001316795267 1111769922 /nfs/dbraw/zinc/76/99/22/1111769922.db2.gz SAGQKZMJZAGLBB-NJAFHUGGSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CCCc1cccs1 ZINC001316806470 1111773188 /nfs/dbraw/zinc/77/31/88/1111773188.db2.gz OGHHIYMUKRKOAC-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CCN1CCC(c2nc(-c3c[nH]c4ccccc43)no2)CC1 ZINC000278256500 214050336 /nfs/dbraw/zinc/05/03/36/214050336.db2.gz UITMEMOSBCLMCD-UHFFFAOYSA-N 0 1 306.369 3.031 20 30 DGEDMN N#Cc1cccc(CNc2ccc(CCN3CCOCC3)cc2)c1 ZINC000129207462 432002744 /nfs/dbraw/zinc/00/27/44/432002744.db2.gz RUZLBJFOWMEDOR-UHFFFAOYSA-N 0 1 321.424 3.045 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1cc(Cl)cc(Cl)c1 ZINC000272853805 210249580 /nfs/dbraw/zinc/24/95/80/210249580.db2.gz LQKASDPYKGMTHP-JTQLQIEISA-N 0 1 322.257 3.245 20 30 DGEDMN N#Cc1ccnc(N[C@H]2CCN(Cc3ccccc3)C2)c1Cl ZINC000309731218 231101323 /nfs/dbraw/zinc/10/13/23/231101323.db2.gz PFMCVKJRPUJQOI-HNNXBMFYSA-N 0 1 312.804 3.293 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccccc1-c1cccs1 ZINC000360203697 529589519 /nfs/dbraw/zinc/58/95/19/529589519.db2.gz IBMUSXCOPWLGDX-LLVKDONJSA-N 0 1 306.412 3.459 20 30 DGEDMN CN(CC#Cc1cccc(Cl)c1)CCC(=O)OC(C)(C)C ZINC000438533000 529822796 /nfs/dbraw/zinc/82/27/96/529822796.db2.gz FKWHLYBGJOVINO-UHFFFAOYSA-N 0 1 307.821 3.355 20 30 DGEDMN COc1ccc(CNCc2cccnc2)cc1OCCCC#N ZINC000064613324 432009164 /nfs/dbraw/zinc/00/91/64/432009164.db2.gz IXOBNMWFJUGLCF-UHFFFAOYSA-N 0 1 311.385 3.063 20 30 DGEDMN CN(CC(C)(C)C#N)C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000495620299 282362204 /nfs/dbraw/zinc/36/22/04/282362204.db2.gz RGEYVKBVMDXAMG-QGZVFWFLSA-N 0 1 309.454 3.115 20 30 DGEDMN Cc1cccnc1CNC1CC[NH+](Cc2ccc(C#N)cc2)CC1 ZINC000181720271 199343975 /nfs/dbraw/zinc/34/39/75/199343975.db2.gz MFPQBEFQWPQDNX-UHFFFAOYSA-N 0 1 320.440 3.016 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](C)c1ccccc1C(F)(F)F ZINC000066391187 432015655 /nfs/dbraw/zinc/01/56/55/432015655.db2.gz GQSSSEIFLJKHGI-QWRGUYRKSA-N 0 1 300.324 3.047 20 30 DGEDMN CC[C@](C)(NCCOc1ccc(C#N)cc1)c1nccs1 ZINC000072684715 191214236 /nfs/dbraw/zinc/21/42/36/191214236.db2.gz CIDOPBHAKAFVJV-INIZCTEOSA-N 0 1 301.415 3.309 20 30 DGEDMN C[C@@H]1C[C@H]2CCCC[C@@H]2N1CC(=O)Nc1sccc1C#N ZINC000173435130 198214524 /nfs/dbraw/zinc/21/45/24/198214524.db2.gz FUNIBACSDAICIN-BZPMIXESSA-N 0 1 303.431 3.211 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)C=Cc2cccc(C#N)c2)n[nH]1 ZINC000277079688 213281254 /nfs/dbraw/zinc/28/12/54/213281254.db2.gz OHFCFBNHZPZAFG-AYSSICMYSA-N 0 1 323.400 3.080 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@H]1c2ccccc2CC12CCOCC2 ZINC000290090718 283052334 /nfs/dbraw/zinc/05/23/34/283052334.db2.gz HSZXMBGZAHPYQV-QAPCUYQASA-N 0 1 309.413 3.114 20 30 DGEDMN CCCN(Cc1ccc(C#N)o1)C[C@H]1COc2ccccc2O1 ZINC000179652097 432066667 /nfs/dbraw/zinc/06/66/67/432066667.db2.gz UCUUBUMIMYEUCE-INIZCTEOSA-N 0 1 312.369 3.203 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CCc3ccc(F)cc3C2)cc1 ZINC000134003145 432072244 /nfs/dbraw/zinc/07/22/44/432072244.db2.gz BGEAJWCWXNPSEM-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)N[C@@H](C)CC ZINC000297961019 283293187 /nfs/dbraw/zinc/29/31/87/283293187.db2.gz UMIFBDUPYRIHDL-GJZGRUSLSA-N 0 1 301.434 3.073 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000187704257 200146986 /nfs/dbraw/zinc/14/69/86/200146986.db2.gz DPFXPMFNTCEQEA-FPTDNZKUSA-N 0 1 312.438 3.489 20 30 DGEDMN Cc1ccoc1CN(C)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000429402200 238050693 /nfs/dbraw/zinc/05/06/93/238050693.db2.gz QVSLAKFPCUXJLL-UHFFFAOYSA-N 0 1 320.396 3.492 20 30 DGEDMN CCCN(Cc1cc(C(=O)OC)co1)Cc1ccc(C#N)cc1 ZINC000171032551 432096092 /nfs/dbraw/zinc/09/60/92/432096092.db2.gz GRXJDPXOPDOLKK-UHFFFAOYSA-N 0 1 312.369 3.350 20 30 DGEDMN COc1cc(CNCc2ccc(OC(C)C)nc2)ccc1C#N ZINC000281449318 216306142 /nfs/dbraw/zinc/30/61/42/216306142.db2.gz AEAVBISOLONKJZ-UHFFFAOYSA-N 0 1 311.385 3.039 20 30 DGEDMN CCCO[C@@H]1CCC[N@@H+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000135063798 432096349 /nfs/dbraw/zinc/09/63/49/432096349.db2.gz GKGFPJITKSJRMY-QGZVFWFLSA-N 0 1 302.418 3.348 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N[C@H](CCO)c2ccccc2Br)C1 ZINC000442834121 239134251 /nfs/dbraw/zinc/13/42/51/239134251.db2.gz AHAMTVGATQCGIN-LALPHHSUSA-N 0 1 323.234 3.154 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(-c3csnn3)cc2)cc1O ZINC000442660396 239106601 /nfs/dbraw/zinc/10/66/01/239106601.db2.gz XBHLTDBZUICOCL-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN COc1ccc2c(c1)[C@@H](NCc1ccc(C#N)c(C)c1)CCO2 ZINC000443982818 239246162 /nfs/dbraw/zinc/24/61/62/239246162.db2.gz DREXRTFLPFSUIG-SFHVURJKSA-N 0 1 308.381 3.489 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000451425926 241120141 /nfs/dbraw/zinc/12/01/41/241120141.db2.gz VJHOZEFJALWYKE-ZACQAIPSSA-N 0 1 304.821 3.337 20 30 DGEDMN Cc1ccc(CN(C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cc1C ZINC000452037940 241303115 /nfs/dbraw/zinc/30/31/15/241303115.db2.gz CSFPRCOYBGAOFR-APWZRJJASA-N 0 1 315.461 3.178 20 30 DGEDMN CC[C@](C)(NC[C@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000268636146 432113412 /nfs/dbraw/zinc/11/34/12/432113412.db2.gz GBDXTMPHMZUUDP-RDJZCZTQSA-N 0 1 315.442 3.272 20 30 DGEDMN C=CCC1(CNC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)CCCC1 ZINC000459053176 243238355 /nfs/dbraw/zinc/23/83/55/243238355.db2.gz QKBOFMVREXQYRY-CYBMUJFWSA-N 0 1 319.453 3.425 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@H](C)c1n[nH]c(CC)n1)c1ccccc1 ZINC000275158444 212159173 /nfs/dbraw/zinc/15/91/73/212159173.db2.gz ACNKQTWMHSHTNF-HIFRSBDPSA-N 0 1 312.417 3.294 20 30 DGEDMN N#C[C@H]1CCC[C@H]1NCCOc1ccc(OC(F)(F)F)cc1 ZINC000459468710 243382937 /nfs/dbraw/zinc/38/29/37/243382937.db2.gz RUIIVKGUZLDZOK-BXUZGUMPSA-N 0 1 314.307 3.246 20 30 DGEDMN Cc1[nH]nc(-c2ccccc2)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC000487091388 245020361 /nfs/dbraw/zinc/02/03/61/245020361.db2.gz VMKSLLDGQXNBAQ-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN Cc1nc(C)c([C@@H](C)[N@@H+](C)C[C@H](O)c2ccc(C#N)cc2)s1 ZINC000268703575 432123125 /nfs/dbraw/zinc/12/31/25/432123125.db2.gz RTJNFDOJUFRIDE-WBMJQRKESA-N 0 1 315.442 3.358 20 30 DGEDMN C#CC[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000271745066 209177184 /nfs/dbraw/zinc/17/71/84/209177184.db2.gz WHZXIEHUVRYUGK-IBGZPJMESA-N 0 1 306.409 3.243 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(OCC)cc1)c1ccccc1 ZINC000271733432 209165909 /nfs/dbraw/zinc/16/59/09/209165909.db2.gz SXWLLXYTKQCDSD-LJQANCHMSA-N 0 1 322.408 3.378 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H](C)c2ccc(C#N)cc2)s1 ZINC000271727824 209161337 /nfs/dbraw/zinc/16/13/37/209161337.db2.gz ZFDNNXYXCAYTGF-NSHDSACASA-N 0 1 300.383 3.257 20 30 DGEDMN CCN(CC(=O)N1CCC[C@H](C)C1)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340561 284388611 /nfs/dbraw/zinc/38/86/11/284388611.db2.gz XEEUNBSMOBUGGU-HOTGVXAUSA-N 0 1 313.445 3.200 20 30 DGEDMN CN(Cc1ccc(C#N)o1)C1(CNC(=O)OC(C)(C)C)CCC1 ZINC000496380592 246390049 /nfs/dbraw/zinc/39/00/49/246390049.db2.gz QZMMHXUEICEIHR-UHFFFAOYSA-N 0 1 319.405 3.030 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2sccc2C#N)C1=O)c1cccs1 ZINC000267691753 206221813 /nfs/dbraw/zinc/22/18/13/206221813.db2.gz ONUBWUZQEQYDDV-CMPLNLGQSA-N 0 1 317.439 3.137 20 30 DGEDMN C=C(Cl)COc1ccc([C@H](C)NC[C@H](O)C(F)(F)F)cc1 ZINC000268806377 432139439 /nfs/dbraw/zinc/13/94/39/432139439.db2.gz COSNJRLQFHZMNZ-GWCFXTLKSA-N 0 1 323.742 3.392 20 30 DGEDMN C=CCc1cc(CN[C@@H]2CCCc3c[nH]nc32)cc(OC)c1O ZINC000268873198 207042890 /nfs/dbraw/zinc/04/28/90/207042890.db2.gz VVNHLEMXTVIAIZ-OAHLLOKOSA-N 0 1 313.401 3.020 20 30 DGEDMN CC(C)CN1CCN(C(=O)Nc2ccc(C#N)cc2Cl)CC1 ZINC000269126115 207260293 /nfs/dbraw/zinc/26/02/93/207260293.db2.gz KLMTURNVQWLXSO-UHFFFAOYSA-N 0 1 320.824 3.017 20 30 DGEDMN CO[C@H]1C[C@@H](NCc2ccc(Br)cc2C#N)C1(C)C ZINC000336813599 253002856 /nfs/dbraw/zinc/00/28/56/253002856.db2.gz VBCCUBXLVVHGMM-KGLIPLIRSA-N 0 1 323.234 3.224 20 30 DGEDMN C[C@H](NCc1ccc(C#N)s1)c1ccc([S@@](C)=O)cc1 ZINC000270161947 208224861 /nfs/dbraw/zinc/22/48/61/208224861.db2.gz UGKSGFSNAOGZKJ-PRWKNARSSA-N 0 1 304.440 3.208 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H](C)c3ccc(C#N)cc3)c2[nH]1 ZINC000338441369 253287903 /nfs/dbraw/zinc/28/79/03/253287903.db2.gz QUTVUDGYJVPDEZ-NSHDSACASA-N 0 1 304.353 3.234 20 30 DGEDMN CC(C)CN(C(=O)CN[C@H](C)c1ccc(C#N)cc1)C(C)C ZINC000338371823 253274459 /nfs/dbraw/zinc/27/44/59/253274459.db2.gz WJKPQOGLANMDHN-OAHLLOKOSA-N 0 1 301.434 3.102 20 30 DGEDMN CC1(C)CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000339256496 253436890 /nfs/dbraw/zinc/43/68/90/253436890.db2.gz WKKWFCIMXUTWNG-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN N#Cc1cccc(CSc2nc(-c3cccnc3)n[nH]2)c1F ZINC000340860910 253698808 /nfs/dbraw/zinc/69/88/08/253698808.db2.gz KTFFMMVEXUYFLO-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000158228323 197254894 /nfs/dbraw/zinc/25/48/94/197254894.db2.gz ZEQFOFZLEBFQDG-CQSZACIVSA-N 0 1 312.417 3.047 20 30 DGEDMN COCC1(C#N)CCN(CCc2ccc(F)cc2Cl)CC1 ZINC000356273988 533110949 /nfs/dbraw/zinc/11/09/49/533110949.db2.gz DXTKJGMZFNJAPT-UHFFFAOYSA-N 0 1 310.800 3.274 20 30 DGEDMN COc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C#N)cc1 ZINC000299339982 533113530 /nfs/dbraw/zinc/11/35/30/533113530.db2.gz AECJZNAMAKKPHJ-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc(-c2nc3ccc(F)cc3[nH]2)cc1 ZINC000347142153 533118806 /nfs/dbraw/zinc/11/88/06/533118806.db2.gz WCAYVAJBECOKEV-JTQLQIEISA-N 0 1 308.316 3.467 20 30 DGEDMN CCc1nnc(NCCc2ccc3cn[nH]c3c2)c(C#N)c1CC ZINC000343078046 254096356 /nfs/dbraw/zinc/09/63/56/254096356.db2.gz KNCZMUIUEHPBJN-UHFFFAOYSA-N 0 1 320.400 3.004 20 30 DGEDMN Cc1ccnc(NC[C@H](c2ccco2)N2CCCCC2)c1C#N ZINC000343502425 254152463 /nfs/dbraw/zinc/15/24/63/254152463.db2.gz DQPLWZLLRBFPEH-MRXNPFEDSA-N 0 1 310.401 3.494 20 30 DGEDMN CCCN(CCN1CCCCC1)c1ncc(C#N)cc1Cl ZINC000343370191 254132914 /nfs/dbraw/zinc/13/29/14/254132914.db2.gz RBTSZLZEBXBEKW-UHFFFAOYSA-N 0 1 306.841 3.309 20 30 DGEDMN CN(Cc1nnc(-c2cccc(C#N)c2)o1)C[C@@H]1CC=CCC1 ZINC000344069229 254220522 /nfs/dbraw/zinc/22/05/22/254220522.db2.gz QLSOMNKQKIUYCG-CQSZACIVSA-N 0 1 308.385 3.396 20 30 DGEDMN N#Cc1cc(NC(=O)c2ccc3cncn3c2)nc2ccccc12 ZINC000345042617 254325806 /nfs/dbraw/zinc/32/58/06/254325806.db2.gz ZGBKKKKURZENGQ-UHFFFAOYSA-N 0 1 313.320 3.006 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC000156677276 197132907 /nfs/dbraw/zinc/13/29/07/197132907.db2.gz RJDQMVKHNIUHLE-MRXNPFEDSA-N 0 1 300.402 3.112 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)c2ccc(Cl)c(F)c2)CC1 ZINC000491690311 533144400 /nfs/dbraw/zinc/14/44/00/533144400.db2.gz AYBKHXXGEDTKNP-UHFFFAOYSA-N 0 1 322.811 3.177 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc2c(c1)NC(=O)CO2)c1ccc(C#N)cc1 ZINC000121838633 195359364 /nfs/dbraw/zinc/35/93/64/195359364.db2.gz FIUCRHFRLWXNMP-OLZOCXBDSA-N 0 1 321.380 3.301 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(F)cc1)c1ccc([S@@](C)=O)cc1 ZINC000360207425 533342756 /nfs/dbraw/zinc/34/27/56/533342756.db2.gz HGNHUDFFUWFSLD-JLCFBVMHSA-N 0 1 315.413 3.265 20 30 DGEDMN COc1cccc(C=C2CCN(CCOCCC#N)CC2)c1 ZINC000339023819 533442295 /nfs/dbraw/zinc/44/22/95/533442295.db2.gz SBWZTVZGPRFGMQ-UHFFFAOYSA-N 0 1 300.402 3.105 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cccc(Cl)c1O ZINC000083799656 292046789 /nfs/dbraw/zinc/04/67/89/292046789.db2.gz UTVLAHQXVKLVQN-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#Cc1ccsc1NC(=O)CCN1CCc2ccccc2C1 ZINC000007782707 400109456 /nfs/dbraw/zinc/10/94/56/400109456.db2.gz ULHIWANDCXIRLB-UHFFFAOYSA-N 0 1 311.410 3.007 20 30 DGEDMN C[C@@]1(c2ccccc2)CN(CCc2ccc(C#N)cc2)CCO1 ZINC000595345150 400132749 /nfs/dbraw/zinc/13/27/49/400132749.db2.gz PKBUMFSDZCYNGP-FQEVSTJZSA-N 0 1 306.409 3.348 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000595657764 400221373 /nfs/dbraw/zinc/22/13/73/400221373.db2.gz BWYDJDLMSJDHPT-AWEZNQCLSA-N 0 1 317.389 3.130 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N1CCC(C2CCC2)CC1 ZINC000595658632 400221894 /nfs/dbraw/zinc/22/18/94/400221894.db2.gz GISULIGNUSIEAC-OAHLLOKOSA-N 0 1 317.477 3.230 20 30 DGEDMN COC[C@H](NCc1ccc(CC#N)cc1)c1cccc(OC)c1 ZINC000595684907 400225873 /nfs/dbraw/zinc/22/58/73/400225873.db2.gz SBSGGDPXWSDEKG-IBGZPJMESA-N 0 1 310.397 3.239 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CC[C@H]1c1ccc(F)cc1 ZINC000595700686 400234175 /nfs/dbraw/zinc/23/41/75/400234175.db2.gz DCUXURZLUJQJIG-SFHVURJKSA-N 0 1 323.371 3.473 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(C#N)cc2F)C1 ZINC000093279374 400236265 /nfs/dbraw/zinc/23/62/65/400236265.db2.gz RJVPGSJGTFHWFC-OAHLLOKOSA-N 0 1 318.392 3.109 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC([C@H]2CCCCO2)CC1 ZINC000595781278 400256392 /nfs/dbraw/zinc/25/63/92/400256392.db2.gz CFNWTPBLOPWMJR-LJQANCHMSA-N 0 1 314.429 3.348 20 30 DGEDMN COc1cc(CN[C@@H](C)c2cccc(C#N)c2)cc2c1OCO2 ZINC000610344961 400244248 /nfs/dbraw/zinc/24/42/48/400244248.db2.gz OZRZTPDYJKSMDK-LBPRGKRZSA-N 0 1 310.353 3.146 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)Nc1cccc(F)c1 ZINC000610346212 400245641 /nfs/dbraw/zinc/24/56/41/400245641.db2.gz OKGSTZNTLQPOAA-STQMWFEESA-N 0 1 311.360 3.375 20 30 DGEDMN CCN(C)[C@H](C(=O)N[C@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000610364853 400250336 /nfs/dbraw/zinc/25/03/36/400250336.db2.gz ZANFWEXHZWQHES-BEFAXECRSA-N 0 1 321.424 3.428 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC[C@H](CCF)C3)o2)cc1 ZINC000595757132 400251558 /nfs/dbraw/zinc/25/15/58/400251558.db2.gz ZTGDYCBSWKYQBF-CQSZACIVSA-N 0 1 314.364 3.180 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc([N+](=O)[O-])cc1C)C(C)(C)C ZINC000595764410 400252587 /nfs/dbraw/zinc/25/25/87/400252587.db2.gz BEUBRCPULUKKCB-UHFFFAOYSA-N 0 1 305.378 3.128 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CC[C@@H](c4ccco4)C3)o2)c1 ZINC000610369559 400252860 /nfs/dbraw/zinc/25/28/60/400252860.db2.gz VRCODPRWJGZKRL-OAHLLOKOSA-N 0 1 320.352 3.191 20 30 DGEDMN N#Cc1ccc(CN2CCCCC[C@H]2CO)c(C(F)(F)F)c1 ZINC000595562599 400189848 /nfs/dbraw/zinc/18/98/48/400189848.db2.gz ULXCGYOLEBMBOR-AWEZNQCLSA-N 0 1 312.335 3.314 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Cl)[C@@H](CO)Cc1ccccc1 ZINC000595593603 400197326 /nfs/dbraw/zinc/19/73/26/400197326.db2.gz XMWJWDFQCMSCDC-QGZVFWFLSA-N 0 1 314.816 3.247 20 30 DGEDMN C[C@]12COC[C@H]1CN(Cc1ccc(C#N)cc1C(F)(F)F)C2 ZINC000595770991 400254424 /nfs/dbraw/zinc/25/44/24/400254424.db2.gz YNQPNRKJJKFKKS-HIFRSBDPSA-N 0 1 310.319 3.045 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)[C@H](C)N1CCC[C@H](CC#N)C1 ZINC000595619207 400210189 /nfs/dbraw/zinc/21/01/89/400210189.db2.gz VEJAWGILGZMVQZ-FUHWJXTLSA-N 0 1 313.445 3.049 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(CC#N)cc2)c(F)c1 ZINC000356805900 400254696 /nfs/dbraw/zinc/25/46/96/400254696.db2.gz JXNQNELPRZCBCS-UHFFFAOYSA-N 0 1 304.346 3.001 20 30 DGEDMN N#Cc1sccc1N1CCN([C@H]2CCc3ccccc32)CC1 ZINC000595630535 400212541 /nfs/dbraw/zinc/21/25/41/400212541.db2.gz ZFPZNJVMFOGSBK-INIZCTEOSA-N 0 1 309.438 3.429 20 30 DGEDMN CCN(C(=O)CNC(C)(C)c1cccc(C#N)c1)c1ccccc1 ZINC000595636597 400213607 /nfs/dbraw/zinc/21/36/07/400213607.db2.gz RZJBYAPJVKPGPR-UHFFFAOYSA-N 0 1 321.424 3.436 20 30 DGEDMN CC(C)C[C@H](C)N(C)C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595635522 400214248 /nfs/dbraw/zinc/21/42/48/400214248.db2.gz LRDKXWBMSUZFFT-HNNXBMFYSA-N 0 1 315.461 3.276 20 30 DGEDMN COc1ccc(NC(=O)CNC(C)(C)c2cccc(C#N)c2)cc1 ZINC000595640678 400214979 /nfs/dbraw/zinc/21/49/79/400214979.db2.gz VEGDNMMEAIXERQ-UHFFFAOYSA-N 0 1 323.396 3.030 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CC[C@H]1c1cccc(F)c1 ZINC000610505020 400266940 /nfs/dbraw/zinc/26/69/40/400266940.db2.gz RFJJCTCNNXAHKX-SFHVURJKSA-N 0 1 323.371 3.473 20 30 DGEDMN CCN(Cc1nc2ccc(Br)cc2[nH]1)[C@@H](C)C#N ZINC000595819451 400269661 /nfs/dbraw/zinc/26/96/61/400269661.db2.gz ATEIISLIBUFPDV-VIFPVBQESA-N 0 1 307.195 3.059 20 30 DGEDMN CCN(Cc1nc2ccc(Br)cc2[nH]1)[C@H](C)C#N ZINC000595819450 400269776 /nfs/dbraw/zinc/26/97/76/400269776.db2.gz ATEIISLIBUFPDV-SECBINFHSA-N 0 1 307.195 3.059 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000595844416 400279041 /nfs/dbraw/zinc/27/90/41/400279041.db2.gz DPKMFLRPFXNJDC-MELADBBJSA-N 0 1 315.373 3.105 20 30 DGEDMN Cc1sc(NC(=O)CN(C)C[C@@H]2CC2(C)C)c(C#N)c1C ZINC000595910196 400292563 /nfs/dbraw/zinc/29/25/63/400292563.db2.gz RLYDBQBJCDRDCL-LBPRGKRZSA-N 0 1 305.447 3.153 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CC3CC2(C)C3)c1C ZINC000595914526 400293410 /nfs/dbraw/zinc/29/34/10/400293410.db2.gz BGBCWHDYHPRLEQ-UHFFFAOYSA-N 0 1 311.429 3.034 20 30 DGEDMN Cc1[nH]n(-c2cc(F)ccc2Br)c(=O)c1CCC#N ZINC000601943290 400383087 /nfs/dbraw/zinc/38/30/87/400383087.db2.gz BTYZRYAJAWNXGW-JTQLQIEISA-N 0 1 324.153 3.231 20 30 DGEDMN CCc1c(C)[nH]n(-c2cc(C#N)ccc2Br)c1=O ZINC000601947773 400383666 /nfs/dbraw/zinc/38/36/66/400383666.db2.gz LPOIEIXPKOEPCL-JTQLQIEISA-N 0 1 306.163 3.069 20 30 DGEDMN CCc1c(C)[nH]n(-c2cc(C#N)ccc2Br)c1=O ZINC000601947774 400383885 /nfs/dbraw/zinc/38/38/85/400383885.db2.gz LPOIEIXPKOEPCL-SNVBAGLBSA-N 0 1 306.163 3.069 20 30 DGEDMN C[C@H]1CCc2c1[nH]n(-c1cc(C#N)ccc1Br)c2=O ZINC000601948275 400384662 /nfs/dbraw/zinc/38/46/62/400384662.db2.gz MWLQERFWZCZTDJ-WPRPVWTQSA-N 0 1 318.174 3.069 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C#N)c2)c(F)c1 ZINC000611386591 400388470 /nfs/dbraw/zinc/38/84/70/400388470.db2.gz OXZXXDBHGNRWQP-LBPRGKRZSA-N 0 1 312.344 3.335 20 30 DGEDMN CC(C)N(C(=O)CN[C@H](C)c1cccc(C#N)c1)C1CCCC1 ZINC000611387017 400388670 /nfs/dbraw/zinc/38/86/70/400388670.db2.gz YKTAAWJLTRDARL-OAHLLOKOSA-N 0 1 313.445 3.388 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@H]1CCCC[C@H]1C)c1cccc(C#N)c1 ZINC000611386007 400388957 /nfs/dbraw/zinc/38/89/57/400388957.db2.gz AILPKWACJCYTRS-BSXFFOKHSA-N 0 1 313.445 3.292 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@H]1CCCC[C@@H]1C)c1cccc(C#N)c1 ZINC000611386009 400389321 /nfs/dbraw/zinc/38/93/21/400389321.db2.gz AILPKWACJCYTRS-KRXQYRFLSA-N 0 1 313.445 3.292 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2N[C@@H](CO)c1ccc(F)cc1 ZINC000611394909 400392484 /nfs/dbraw/zinc/39/24/84/400392484.db2.gz SGZFFZPFJGDCOZ-OALUTQOASA-N 0 1 310.372 3.398 20 30 DGEDMN CN(C)c1cccc(CN[C@@H]2CCCc3cc(C#N)ccc32)n1 ZINC000611394585 400392583 /nfs/dbraw/zinc/39/25/83/400392583.db2.gz RNHYOVJSINQXLB-GOSISDBHSA-N 0 1 306.413 3.186 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@H]1CCN(Cc2cccc(C)c2)C1 ZINC000601852869 400364945 /nfs/dbraw/zinc/36/49/45/400364945.db2.gz QZAHUTQTVQEGLD-KRWDZBQOSA-N 0 1 313.445 3.016 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2ccc3ccccc3n2)nc(C)n1 ZINC000041574343 400409729 /nfs/dbraw/zinc/40/97/29/400409729.db2.gz XHLYLRDERVGBHL-CQSZACIVSA-N 0 1 302.337 3.132 20 30 DGEDMN C[C@@H]1CN(CC(=O)c2cn(CCC#N)c3ccccc23)C[C@H]1C ZINC000072871667 400410432 /nfs/dbraw/zinc/41/04/32/400410432.db2.gz KHSUIABAGCYKGH-HUUCEWRRSA-N 0 1 309.413 3.325 20 30 DGEDMN N#C[C@@H](c1ccc(F)cc1)N1CCN(CC2CC(F)(F)C2)CC1 ZINC000590714561 400421743 /nfs/dbraw/zinc/42/17/43/400421743.db2.gz HUHZPWWOSCOMKD-INIZCTEOSA-N 0 1 323.362 3.053 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N[C@H](c1ccc(OC)cc1)C1CC1 ZINC000042289569 400427563 /nfs/dbraw/zinc/42/75/63/400427563.db2.gz ANOSFXPNLBLGJN-IBGZPJMESA-N 0 1 316.445 3.161 20 30 DGEDMN N#Cc1cccc(CN2CCC(OCc3ccccc3)CC2)n1 ZINC000602429060 400459563 /nfs/dbraw/zinc/45/95/63/400459563.db2.gz CMHWDOGJSRUZGP-UHFFFAOYSA-N 0 1 307.397 3.134 20 30 DGEDMN CCCN(Cc1cccc(C#N)n1)C[C@H]1COc2ccccc2O1 ZINC000602435738 400460469 /nfs/dbraw/zinc/46/04/69/400460469.db2.gz SYSMJPRURJPXMT-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN CC(C)c1csc(CN[C@@H](C)CC2(C#N)CCOCC2)n1 ZINC000602573246 400500708 /nfs/dbraw/zinc/50/07/08/400500708.db2.gz AGENAEQIIFSBNH-ZDUSSCGKSA-N 0 1 307.463 3.455 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(C)Cc1ccccc1)c1ccc(C#N)cc1 ZINC000127480839 400503377 /nfs/dbraw/zinc/50/33/77/400503377.db2.gz FXBHBUUTQWUDMX-CVEARBPZSA-N 0 1 321.424 3.256 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N(C)Cc1ccccc1 ZINC000127480586 400503840 /nfs/dbraw/zinc/50/38/40/400503840.db2.gz FXBHBUUTQWUDMX-HOTGVXAUSA-N 0 1 321.424 3.256 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1ccccc1OCCCCO ZINC000602438047 400462318 /nfs/dbraw/zinc/46/23/18/400462318.db2.gz GSGQRGVRPSSCGU-UHFFFAOYSA-N 0 1 324.424 3.342 20 30 DGEDMN COCCN(Cc1cccc(C#N)n1)Cc1ccc(C)cc1C ZINC000602444773 400465696 /nfs/dbraw/zinc/46/56/96/400465696.db2.gz OIWBXIPHQMLDBC-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN COc1cccc(CC2CCN(Cc3ccnc(C#N)c3)CC2)c1 ZINC000612123287 400496321 /nfs/dbraw/zinc/49/63/21/400496321.db2.gz QXBYAGGUVHFNMU-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC000075300089 400572889 /nfs/dbraw/zinc/57/28/89/400572889.db2.gz FGWFROIQEDIJHI-MAUKXSAKSA-N 0 1 320.396 3.199 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccc3c(c2)OCCCCO3)C1 ZINC000597178243 400578251 /nfs/dbraw/zinc/57/82/51/400578251.db2.gz WNTUNTGZMBEHCM-OAHLLOKOSA-N 0 1 300.402 3.364 20 30 DGEDMN COc1ccc([C@H](C)NCc2cccc(C#N)n2)c(C)c1OC ZINC000602662217 400528511 /nfs/dbraw/zinc/52/85/11/400528511.db2.gz LVRXKYIOTXMUJC-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1cccc(C#N)n1 ZINC000602663811 400530021 /nfs/dbraw/zinc/53/00/21/400530021.db2.gz CNGHTRRQIOTVSJ-QGZVFWFLSA-N 0 1 309.417 3.411 20 30 DGEDMN N#Cc1cccc(CN[C@H](c2ccccc2)C2(CO)CCCC2)n1 ZINC000602686187 400537791 /nfs/dbraw/zinc/53/77/91/400537791.db2.gz BUEJDBMNUWAVTH-LJQANCHMSA-N 0 1 321.424 3.337 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC000602694917 400542063 /nfs/dbraw/zinc/54/20/63/400542063.db2.gz PSFPZSCCCYKZLA-JOYOIKCWSA-N 0 1 313.279 3.395 20 30 DGEDMN Cc1ccc(CN2CCSCC2)cc1NC(=O)[C@@H](C)CC#N ZINC000597031863 400543568 /nfs/dbraw/zinc/54/35/68/400543568.db2.gz OGMISJOWYGFTLM-AWEZNQCLSA-N 0 1 317.458 3.032 20 30 DGEDMN COc1cccc2c1OCC[C@H]2NCc1ccc(C#N)c(C)c1 ZINC000618193507 400546809 /nfs/dbraw/zinc/54/68/09/400546809.db2.gz RFXNCHWOLKPZHY-QGZVFWFLSA-N 0 1 308.381 3.489 20 30 DGEDMN COc1ccc2c(c1)OCC[C@H]2NCc1ccc(C#N)c(C)c1 ZINC000618194427 400546819 /nfs/dbraw/zinc/54/68/19/400546819.db2.gz KDUSDARNESNNPM-GOSISDBHSA-N 0 1 308.381 3.489 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](N[C@H]1CCCC[C@H]1C#N)CC2 ZINC000602710181 400548134 /nfs/dbraw/zinc/54/81/34/400548134.db2.gz VIEWCQBXEZCHEB-CWRNSKLLSA-N 0 1 300.402 3.363 20 30 DGEDMN Cn1c2ccccc2nc1[C@H](C#N)C(=O)c1cnc(C2CC2)s1 ZINC000591862266 400566843 /nfs/dbraw/zinc/56/68/43/400566843.db2.gz MPNMNMTVQSPVCH-LLVKDONJSA-N 0 1 322.393 3.397 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1cnc(C2CC2)s1 ZINC000591862266 400566847 /nfs/dbraw/zinc/56/68/47/400566847.db2.gz MPNMNMTVQSPVCH-LLVKDONJSA-N 0 1 322.393 3.397 20 30 DGEDMN CC(C)(C)c1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)n[nH]1 ZINC000075830903 400613490 /nfs/dbraw/zinc/61/34/90/400613490.db2.gz IGIQZVFWHCRCNF-SNVBAGLBSA-N 0 1 307.357 3.074 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N(C)CCC(C)(C)C)c(C#N)c1C ZINC000592145236 400614885 /nfs/dbraw/zinc/61/48/85/400614885.db2.gz PENRSRDWEDNIHL-LBPRGKRZSA-N 0 1 305.422 3.463 20 30 DGEDMN N#CC1(NC(=O)CN2CCC[C@@H]2c2ccccc2)CCCCC1 ZINC000141533829 400625601 /nfs/dbraw/zinc/62/56/01/400625601.db2.gz QKSVSSMDNHMSKM-QGZVFWFLSA-N 0 1 311.429 3.166 20 30 DGEDMN N#Cc1cccc(CNCc2ccc(N3CCCCC3)nc2)c1 ZINC000047742431 400629770 /nfs/dbraw/zinc/62/97/70/400629770.db2.gz UDUXEPACWXGVSM-UHFFFAOYSA-N 0 1 306.413 3.233 20 30 DGEDMN CCCN(CC(=O)N1CCCC[C@H]1C)Cc1ccc(C#N)cc1 ZINC000047740783 400629996 /nfs/dbraw/zinc/62/99/96/400629996.db2.gz ZLPFLXUUZMZXAY-MRXNPFEDSA-N 0 1 313.445 3.171 20 30 DGEDMN N#CCCCn1cc(-c2nc([C@H]3CCCN3)no2)c2ccccc21 ZINC000592357021 400638228 /nfs/dbraw/zinc/63/82/28/400638228.db2.gz OGOURWCJOYFMCE-OAHLLOKOSA-N 0 1 321.384 3.420 20 30 DGEDMN N#CCC[C@@H](C#N)CN1CCC[C@H](Cn2cnc3ccccc32)C1 ZINC000602821212 400584612 /nfs/dbraw/zinc/58/46/12/400584612.db2.gz WPVLFXBZNXIVEK-IRXDYDNUSA-N 0 1 321.428 3.192 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2cc3ccccc3o2)c(F)c1 ZINC000135366202 400586154 /nfs/dbraw/zinc/58/61/54/400586154.db2.gz JTCRIJXSGMJRHV-UHFFFAOYSA-N 0 1 316.313 3.244 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](N[C@@H](CCO)c1ccccc1F)CC2 ZINC000592402756 400646964 /nfs/dbraw/zinc/64/69/64/400646964.db2.gz IRXPQQZEHCHXOM-OALUTQOASA-N 0 1 310.372 3.398 20 30 DGEDMN CC[C@@H](C#N)C(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597724197 400664656 /nfs/dbraw/zinc/66/46/56/400664656.db2.gz GAWCDMATYHYYII-UWVGGRQHSA-N 0 1 304.781 3.285 20 30 DGEDMN C[C@H](CC#N)C(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597725979 400665434 /nfs/dbraw/zinc/66/54/34/400665434.db2.gz RZIXNJZGHOIUFS-ZJUUUORDSA-N 0 1 304.781 3.285 20 30 DGEDMN N#CCCC[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1ccccc1 ZINC000597691825 400661472 /nfs/dbraw/zinc/66/14/72/400661472.db2.gz NVJRCPHSRKTFLH-JKSUJKDBSA-N 0 1 322.412 3.066 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cccc2c1OCCO2 ZINC000618741729 400680004 /nfs/dbraw/zinc/68/00/04/400680004.db2.gz HZQHHIDLADVECH-KRWDZBQOSA-N 0 1 306.365 3.107 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cccc2c1OCCCO2 ZINC000618742635 400680372 /nfs/dbraw/zinc/68/03/72/400680372.db2.gz NLRRWSFMWDATNK-SFHVURJKSA-N 0 1 320.392 3.497 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000597863776 400682334 /nfs/dbraw/zinc/68/23/34/400682334.db2.gz IGHHLIJWNVSWQS-XHDPSFHLSA-N 0 1 304.346 3.165 20 30 DGEDMN N#Cc1ccc(F)c(CN2C[C@@H]3CN(c4ccccc4)C[C@H]3C2)c1 ZINC000603865275 400726599 /nfs/dbraw/zinc/72/65/99/400726599.db2.gz UFWUZSLUKHLJAD-QZTJIDSGSA-N 0 1 321.399 3.266 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)cc2)c(=O)c1CCC#N ZINC000603921586 400732728 /nfs/dbraw/zinc/73/27/28/400732728.db2.gz XWJGFCUYIZOXMH-GFCCVEGCSA-N 0 1 306.163 3.092 20 30 DGEDMN Cc1[nH]n(-c2ccc(OC(F)(F)F)cc2)c(=O)c1CCC#N ZINC000603920660 400732936 /nfs/dbraw/zinc/73/29/36/400732936.db2.gz ANLGRSSJLFKSFQ-LBPRGKRZSA-N 0 1 311.263 3.228 20 30 DGEDMN Cc1[nH]n(-c2ccc(F)cc2Br)c(=O)c1CCC#N ZINC000603921318 400733316 /nfs/dbraw/zinc/73/33/16/400733316.db2.gz RFERXURJSUSRBR-JTQLQIEISA-N 0 1 324.153 3.231 20 30 DGEDMN COC(=O)c1coc([C@@H](C)N[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000618806085 400692736 /nfs/dbraw/zinc/69/27/36/400692736.db2.gz NVZJZEVQEMYSGD-BDJLRTHQSA-N 0 1 310.353 3.276 20 30 DGEDMN CC(C)N(CC(=O)NC1(C#N)CCCCC1)Cc1ccccc1 ZINC000052877149 400752148 /nfs/dbraw/zinc/75/21/48/400752148.db2.gz AOGHEJYPCAXGTI-UHFFFAOYSA-N 0 1 313.445 3.240 20 30 DGEDMN N#CC[C@@H]1CCCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000597909996 400693845 /nfs/dbraw/zinc/69/38/45/400693845.db2.gz SRSUJJNGIQFKRF-HNNXBMFYSA-N 0 1 320.396 3.013 20 30 DGEDMN N#C[C@@H](Cc1ccccc1)Sc1nc(-c2ccccc2O)n[nH]1 ZINC000603597339 400702865 /nfs/dbraw/zinc/70/28/65/400702865.db2.gz AJNCEIRJHDKVEG-CYBMUJFWSA-N 0 1 322.393 3.404 20 30 DGEDMN Cc1cccc(NS(=O)(=O)c2ccc(C#N)c(Cl)c2)c1O ZINC000168815669 400757838 /nfs/dbraw/zinc/75/78/38/400757838.db2.gz YFMLKLJMPKEBOA-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N(C)CCc1ccccc1 ZINC000053047817 400762664 /nfs/dbraw/zinc/76/26/64/400762664.db2.gz NBRNBIIIQQOCBJ-HNNXBMFYSA-N 0 1 307.397 3.060 20 30 DGEDMN N#Cc1c(F)cccc1NCCN1CCc2sccc2C1 ZINC000053580166 400791756 /nfs/dbraw/zinc/79/17/56/400791756.db2.gz YHSKDDFKXQACMT-UHFFFAOYSA-N 0 1 301.390 3.229 20 30 DGEDMN C=CCNC(=O)CN[C@H](Cc1ccccc1)c1ccccc1F ZINC000179809649 400880480 /nfs/dbraw/zinc/88/04/80/400880480.db2.gz PNQPUWHWKUVWLW-GOSISDBHSA-N 0 1 312.388 3.001 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(-c2cccs2)cc1 ZINC000180029521 400882190 /nfs/dbraw/zinc/88/21/90/400882190.db2.gz SBJDHWZVPZWNAR-CYBMUJFWSA-N 0 1 300.427 3.368 20 30 DGEDMN CCC[C@@H](C)C(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593352230 400826445 /nfs/dbraw/zinc/82/64/45/400826445.db2.gz DXVZAJJIJVJYNU-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@H](C)C(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593352228 400826482 /nfs/dbraw/zinc/82/64/82/400826482.db2.gz DXVZAJJIJVJYNU-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@@H](C#N)C(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000593396704 400837958 /nfs/dbraw/zinc/83/79/58/400837958.db2.gz MJIXPQSVBGJIRG-XJKSGUPXSA-N 0 1 321.852 3.389 20 30 DGEDMN Cc1ccc([C@H](NC[C@H](O)CC(C)(C)C#N)c2ccccn2)cc1 ZINC000598612443 400844221 /nfs/dbraw/zinc/84/42/21/400844221.db2.gz VEDRAELFMJLJFG-MJGOQNOKSA-N 0 1 323.440 3.370 20 30 DGEDMN C[C@H](NC[C@H](O)CC(C)(C)C#N)c1nc(C(F)(F)F)cs1 ZINC000598612865 400844634 /nfs/dbraw/zinc/84/46/34/400844634.db2.gz DQFURIUOKNVANT-DTWKUNHWSA-N 0 1 321.368 3.113 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@H]1c1ccc(F)cc1F ZINC000598621187 400845586 /nfs/dbraw/zinc/84/55/86/400845586.db2.gz RNQJSDBOOZMHJB-BBRMVZONSA-N 0 1 308.372 3.402 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H]1c1cccc(OC)c1 ZINC000054978880 400845946 /nfs/dbraw/zinc/84/59/46/400845946.db2.gz YAQBRKHEZDKHCG-GOSISDBHSA-N 0 1 314.429 3.033 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CC(Cc2ccccc2Cl)C1 ZINC000598700878 400860685 /nfs/dbraw/zinc/86/06/85/400860685.db2.gz HAXMVXRETSKTBF-HNNXBMFYSA-N 0 1 306.837 3.115 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2ccccc2Nc2ccncc2)c1 ZINC000619581874 400869456 /nfs/dbraw/zinc/86/94/56/400869456.db2.gz TUVGNJJMMZFDGM-UHFFFAOYSA-N 0 1 314.348 3.371 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1ccc(Cl)c(Cl)c1 ZINC000594011790 400947505 /nfs/dbraw/zinc/94/75/05/400947505.db2.gz SIOLTJBYRZOBLV-MNOVXSKESA-N 0 1 317.216 3.015 20 30 DGEDMN N#Cc1ccc(CN2CCCCC[C@@H]2CO)c(Br)c1 ZINC000594016694 400949315 /nfs/dbraw/zinc/94/93/15/400949315.db2.gz DVYUAICOUDFHGJ-CQSZACIVSA-N 0 1 323.234 3.058 20 30 DGEDMN C=C[C@@H](Nc1cccc2c1C[N@@H+](CCC(C)C)C2)C(=O)OC ZINC000619734782 400895461 /nfs/dbraw/zinc/89/54/61/400895461.db2.gz ROXLEPUBRAJLFZ-MRXNPFEDSA-N 0 1 302.418 3.188 20 30 DGEDMN CCC[N@@H+](C)[C@H](CNC(=O)[C@@H](C#N)CC)c1ccc(Cl)cc1 ZINC000593700861 400895964 /nfs/dbraw/zinc/89/59/64/400895964.db2.gz COSRXWYIZOFOFP-CZUORRHYSA-N 0 1 321.852 3.389 20 30 DGEDMN C[C@@H](NCC(=O)N(CCC#N)c1ccccc1)c1ccsc1 ZINC000619782624 400906409 /nfs/dbraw/zinc/90/64/09/400906409.db2.gz GQJRYJHNHLGEIL-CQSZACIVSA-N 0 1 313.426 3.346 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@@H](OC2CCC2)C1 ZINC000594026534 400952883 /nfs/dbraw/zinc/95/28/83/400952883.db2.gz LPBCUYULYMHICW-QGZVFWFLSA-N 0 1 300.402 3.100 20 30 DGEDMN CCOC(=O)[C@@]1(C(C)C)CCN(Cc2cc(C#N)ccc2F)C1 ZINC000194872037 400923351 /nfs/dbraw/zinc/92/33/51/400923351.db2.gz LGLVUTNCOHDMAN-SFHVURJKSA-N 0 1 318.392 3.109 20 30 DGEDMN C[C@@](CO)(NCc1cccc(OCCCC#N)c1)c1ccccc1 ZINC000237653072 400993651 /nfs/dbraw/zinc/99/36/51/400993651.db2.gz IBYSRXNGMLGHNH-FQEVSTJZSA-N 0 1 324.424 3.366 20 30 DGEDMN CN(Cc1cccc(Cl)c1Cl)C[C@@H](O)CC1(C#N)CC1 ZINC000599252540 401002839 /nfs/dbraw/zinc/00/28/39/401002839.db2.gz QJXBALPZAGRVJS-LBPRGKRZSA-N 0 1 313.228 3.480 20 30 DGEDMN COC1(C(F)(F)F)CCN(Cc2ccc(C)c(C#N)c2)CC1 ZINC000599439248 401051232 /nfs/dbraw/zinc/05/12/32/401051232.db2.gz LXMGQKPWXADDAW-UHFFFAOYSA-N 0 1 312.335 3.410 20 30 DGEDMN CC(C)(CCC#N)CNC(=O)c1cccc(C(F)(F)F)c1O ZINC000594361257 401051728 /nfs/dbraw/zinc/05/17/28/401051728.db2.gz PZCBZBMXUFDYEC-UHFFFAOYSA-N 0 1 314.307 3.471 20 30 DGEDMN CCc1ccc(CN(C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000058889695 401079406 /nfs/dbraw/zinc/07/94/06/401079406.db2.gz NHXCRFJZYRGPDB-DNVCBOLYSA-N 0 1 315.461 3.124 20 30 DGEDMN Cc1ccc(CNC(C)(C)C(=O)Nc2ccccc2)cc1C#N ZINC000599341575 401025895 /nfs/dbraw/zinc/02/58/95/401025895.db2.gz KKPVWIIIHCQJPS-UHFFFAOYSA-N 0 1 307.397 3.374 20 30 DGEDMN C[C@@H](NC(=O)CN[C@@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000599348128 401029332 /nfs/dbraw/zinc/02/93/32/401029332.db2.gz CKMIOBZFUBILEI-LSDHHAIUSA-N 0 1 307.397 3.086 20 30 DGEDMN Cc1ccc([C@@H](NC[C@H](O)CC2(C#N)CC2)c2ccccn2)cc1 ZINC000599391774 401041343 /nfs/dbraw/zinc/04/13/43/401041343.db2.gz LVUKVODLTCUKRL-IEBWSBKVSA-N 0 1 321.424 3.124 20 30 DGEDMN CCC(C#N)(CC)C(=O)NCCN1CC=C(C(C)(C)C)CC1 ZINC000599772609 401138245 /nfs/dbraw/zinc/13/82/45/401138245.db2.gz APBQCMIVNWGIFJ-UHFFFAOYSA-N 0 1 305.466 3.111 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000059896131 401096489 /nfs/dbraw/zinc/09/64/89/401096489.db2.gz SKXHFMSLJWUKQP-LLVKDONJSA-N 0 1 316.792 3.352 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000615822154 401156874 /nfs/dbraw/zinc/15/68/74/401156874.db2.gz UNHFIWUQIZIBOI-MGPLVRAMSA-N 0 1 321.808 3.063 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCCSc1ccc(C)cc1 ZINC000061758623 401163642 /nfs/dbraw/zinc/16/36/42/401163642.db2.gz LIFFZSXBNLENEX-UHFFFAOYSA-N 0 1 306.475 3.101 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1ccccc1C#N ZINC000594888830 401178896 /nfs/dbraw/zinc/17/88/96/401178896.db2.gz BXVZNOKIDDIMCY-UHFFFAOYSA-N 0 1 301.371 3.059 20 30 DGEDMN CC(C)n1ccnc1CNCCSCc1ccccc1C#N ZINC000594919159 401188965 /nfs/dbraw/zinc/18/89/65/401188965.db2.gz PGZDWNSWFDGFBK-UHFFFAOYSA-N 0 1 314.458 3.359 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1cccc(C#Cc2cccs2)c1 ZINC000063723068 401211390 /nfs/dbraw/zinc/21/13/90/401211390.db2.gz TWNKVTTVOKGJEW-UHFFFAOYSA-N 0 1 307.378 3.432 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC[C@@]3(CC(=O)c4ccccc4O3)C2)C1 ZINC000625804086 401224043 /nfs/dbraw/zinc/22/40/43/401224043.db2.gz WCKBXNSPRITDBN-ZRGWGRIASA-N 0 1 310.397 3.179 20 30 DGEDMN C=CCc1ccccc1OC[C@@H](O)CN(C)CCC(F)(F)F ZINC000065512806 401268704 /nfs/dbraw/zinc/26/87/04/401268704.db2.gz OUTVBRHKZWAVEN-AWEZNQCLSA-N 0 1 317.351 3.039 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@@H](C)OCC3CC3)n2)cc1 ZINC000064723265 401248277 /nfs/dbraw/zinc/24/82/77/401248277.db2.gz GLRNFWRRSGILSY-LLVKDONJSA-N 0 1 300.362 3.183 20 30 DGEDMN C=CCOc1ccc(CNCc2cnc(CCOC)s2)cc1 ZINC000631132985 401404112 /nfs/dbraw/zinc/40/41/12/401404112.db2.gz CZOKCDDNJGDQMY-UHFFFAOYSA-N 0 1 318.442 3.187 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)[C@H](O)C(F)(F)F)cc1Cl ZINC000631235140 401433645 /nfs/dbraw/zinc/43/36/45/401433645.db2.gz HMDNGMOGVVVOSL-ZANVPECISA-N 0 1 323.742 3.306 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000623175534 401468911 /nfs/dbraw/zinc/46/89/11/401468911.db2.gz ZMULTOMWRDPLKC-DOMZBBRYSA-N 0 1 315.442 3.352 20 30 DGEDMN C[C@@H](NC[C@@H](O)C(F)(F)F)c1ccc(F)cc1C(F)(F)F ZINC000623969533 401606494 /nfs/dbraw/zinc/60/64/94/401606494.db2.gz KHMKKOAISBQAKV-LHLIQPBNSA-N 0 1 319.220 3.418 20 30 DGEDMN CC(C)(NCc1ccc(C#N)s1)C(=O)NCC1CCCCC1 ZINC000129497453 196052830 /nfs/dbraw/zinc/05/28/30/196052830.db2.gz KNXZZLMVZZJQNJ-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)Cc1c[nH]c2ccccc12 ZINC000190450973 432159152 /nfs/dbraw/zinc/15/91/52/432159152.db2.gz KGLBTUHWZNISCC-UHFFFAOYSA-N 0 1 311.429 3.190 20 30 DGEDMN CC(C)[C@H](NCc1cc(C#N)n(C)c1)c1nc2ccccc2[nH]1 ZINC000190760153 432187363 /nfs/dbraw/zinc/18/73/63/432187363.db2.gz LIVXZCULDACUDB-KRWDZBQOSA-N 0 1 307.401 3.260 20 30 DGEDMN Cn1cc(CNCc2ccnc(OC3CCCCC3)c2)cc1C#N ZINC000190914725 432199696 /nfs/dbraw/zinc/19/96/96/432199696.db2.gz RGBIKOLAQFZRST-UHFFFAOYSA-N 0 1 324.428 3.293 20 30 DGEDMN Cn1cc(CNCc2ccc(Br)c(F)c2)cc1C#N ZINC000190906830 432199882 /nfs/dbraw/zinc/19/98/82/432199882.db2.gz YOXYOYBZFOIECD-UHFFFAOYSA-N 0 1 322.181 3.088 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1cccc2cccnc21 ZINC000172199320 432201092 /nfs/dbraw/zinc/20/10/92/432201092.db2.gz JFAVTWOTNRJZFT-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CCC(CC)c1nnc(NC(=O)c2ccc(C#N)c(O)c2)s1 ZINC000190945668 432203841 /nfs/dbraw/zinc/20/38/41/432203841.db2.gz IVBOQMVUFZEJFG-UHFFFAOYSA-N 0 1 316.386 3.271 20 30 DGEDMN N#CC1CCN(Cc2ccc(OCc3ccncc3)cc2)CC1 ZINC000143960246 227052636 /nfs/dbraw/zinc/05/26/36/227052636.db2.gz PFOMMWHCBJIRPT-UHFFFAOYSA-N 0 1 307.397 3.396 20 30 DGEDMN N#CCCN(CCC(=O)Nc1ccc(Cl)cc1F)CC1CC1 ZINC000174695694 228293727 /nfs/dbraw/zinc/29/37/27/228293727.db2.gz FVIHHURCPVOKJL-UHFFFAOYSA-N 0 1 323.799 3.433 20 30 DGEDMN N#CCCCCN1CCN(c2nc3ccccc3s2)CC1 ZINC000178531740 228343125 /nfs/dbraw/zinc/34/31/25/228343125.db2.gz LFBQLGLIXRWYLB-UHFFFAOYSA-N 0 1 300.431 3.112 20 30 DGEDMN Cc1cc([C@H](C)NCC(=O)N(C)C2(C#N)CCCCC2)c(C)o1 ZINC000183784031 228374864 /nfs/dbraw/zinc/37/48/64/228374864.db2.gz PXWMOHMMBFQVKP-AWEZNQCLSA-N 0 1 317.433 3.232 20 30 DGEDMN Fc1ccc([C@H]2OCC[C@@H]2NCC#Cc2ccccc2)cc1F ZINC000192115312 228539159 /nfs/dbraw/zinc/53/91/59/228539159.db2.gz PNOXHWNPIXXBHW-RBUKOAKNSA-N 0 1 313.347 3.436 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)Nc2cc(C(N)=O)ccc2CN(C)C)C1 ZINC000331278620 229026159 /nfs/dbraw/zinc/02/61/59/229026159.db2.gz AABWEENVVZTGDU-RISCZKNCSA-N 0 1 318.421 3.146 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CN1CCCC(C)(C)[C@H]1C#N ZINC000333504415 229038481 /nfs/dbraw/zinc/03/84/81/229038481.db2.gz DEGWMUOMUDMDDM-QGZVFWFLSA-N 0 1 309.417 3.090 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccnc2OC(C)C)n1 ZINC000342444029 229112910 /nfs/dbraw/zinc/11/29/10/229112910.db2.gz DAQZHJRCNHWDEI-LBPRGKRZSA-N 0 1 301.371 3.124 20 30 DGEDMN COCC1(C#N)CCN(Cc2cccc3c2OC(C)(C)C3)CC1 ZINC000342836466 229118761 /nfs/dbraw/zinc/11/87/61/229118761.db2.gz IDZFYPIYYBMVNE-UHFFFAOYSA-N 0 1 314.429 3.152 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2ccc3cncn3c2)c1 ZINC000339817516 229082311 /nfs/dbraw/zinc/08/23/11/229082311.db2.gz XSXFHVJYTZVMIF-UHFFFAOYSA-N 0 1 320.352 3.269 20 30 DGEDMN C[C@@H]1C[C@@H](C)N(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000350085229 229218858 /nfs/dbraw/zinc/21/88/58/229218858.db2.gz RYFCBGXXMFGKQS-HUUCEWRRSA-N 0 1 309.413 3.468 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cc(C(C)C)nn3C)[nH]c21 ZINC000353098371 229270797 /nfs/dbraw/zinc/27/07/97/229270797.db2.gz QJANIOZPHONLSJ-LBPRGKRZSA-N 0 1 321.384 3.218 20 30 DGEDMN COCCOc1ccccc1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000353429273 229278662 /nfs/dbraw/zinc/27/86/62/229278662.db2.gz TXJSAKYAFUBQOI-LJQANCHMSA-N 0 1 322.408 3.361 20 30 DGEDMN N#CC(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccncn1 ZINC000354458309 229294814 /nfs/dbraw/zinc/29/48/14/229294814.db2.gz CWVDAAUPPHXPGL-NSHDSACASA-N 0 1 324.321 3.090 20 30 DGEDMN C[C@@]1(F)CCN(Cc2cn(CCC#N)nc2-c2ccccc2)C1 ZINC000355356428 229309090 /nfs/dbraw/zinc/30/90/90/229309090.db2.gz RELMUZHXHRZGGD-GOSISDBHSA-N 0 1 312.392 3.398 20 30 DGEDMN N#C[C@H](NC(=O)CCc1cnc[nH]1)c1c(Cl)cccc1Cl ZINC000355789551 229316559 /nfs/dbraw/zinc/31/65/59/229316559.db2.gz HCVKDGWTBMVCHK-LBPRGKRZSA-N 0 1 323.183 3.030 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1ccccc1CC#N ZINC000355848384 229319135 /nfs/dbraw/zinc/31/91/35/229319135.db2.gz UEJVADSBWNBYET-UHFFFAOYSA-N 0 1 318.423 3.275 20 30 DGEDMN N#CCCCC(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC000359922739 229385645 /nfs/dbraw/zinc/38/56/45/229385645.db2.gz IBQPAEGNWLQBNW-LJQANCHMSA-N 0 1 318.380 3.462 20 30 DGEDMN Cc1cc(NC[C@H](c2ccco2)N(C)C)c(C#N)cc1[N+](=O)[O-] ZINC000413022603 230114021 /nfs/dbraw/zinc/11/40/21/230114021.db2.gz FIHLGHCSTLPYTE-OAHLLOKOSA-N 0 1 314.345 3.083 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCCC(=O)OC(C)(C)C ZINC000347256665 264185049 /nfs/dbraw/zinc/18/50/49/264185049.db2.gz LBPGCXKGZLEJSK-UHFFFAOYSA-N 0 1 318.417 3.121 20 30 DGEDMN CCc1ccc(CN2CCCN(c3ccccc3C#N)CC2)cn1 ZINC000347617456 264253451 /nfs/dbraw/zinc/25/34/51/264253451.db2.gz UFXQYVUKWLTEBG-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc([C@H]3CCOC3)cc2)cc1O ZINC000359043835 270004110 /nfs/dbraw/zinc/00/41/10/270004110.db2.gz NQLHCHOYIKHGOO-HNNXBMFYSA-N 0 1 308.337 3.020 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000361030227 270004455 /nfs/dbraw/zinc/00/44/55/270004455.db2.gz LHQLIBKTWZTYIM-LSDHHAIUSA-N 0 1 302.393 3.336 20 30 DGEDMN CCC[C@H]1CCCN(Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000533760441 270004917 /nfs/dbraw/zinc/00/49/17/270004917.db2.gz FHJUNLDTGOXLBO-AWEZNQCLSA-N 0 1 300.402 3.357 20 30 DGEDMN CC(=O)N1CC[C@@H](NCc2cc(C#N)cs2)c2ccccc21 ZINC000534512809 270005157 /nfs/dbraw/zinc/00/51/57/270005157.db2.gz DTTKBJFYNVJCQS-MRXNPFEDSA-N 0 1 311.410 3.207 20 30 DGEDMN COc1nc2ccccc2cc1CNCc1cccc(C#N)c1 ZINC000534272479 270005222 /nfs/dbraw/zinc/00/52/22/270005222.db2.gz ZFUMOKNCHXIXGX-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN CCCN(CC(=O)NCc1cccc(C#N)c1)Cc1ccccc1 ZINC000349144121 266045226 /nfs/dbraw/zinc/04/52/26/266045226.db2.gz NZMQORRAQSFMQS-UHFFFAOYSA-N 0 1 321.424 3.087 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CC(C)(C)O[C@@]2(CCCOC2)C1 ZINC000361609210 267000316 /nfs/dbraw/zinc/00/03/16/267000316.db2.gz ITDZFJIHJQSPJV-KXBFYZLASA-N 0 1 314.429 3.279 20 30 DGEDMN C[C@@H]1CCN(Cc2nnc(-c3cccc(C#N)c3)o2)CC1(C)C ZINC000354024185 267021207 /nfs/dbraw/zinc/02/12/07/267021207.db2.gz LSKIICTTXJQVPE-CYBMUJFWSA-N 0 1 310.401 3.476 20 30 DGEDMN C[C@@H]1C[C@H](CO)CCN1Cc1ccc(C#N)cc1C(F)(F)F ZINC000356351119 267089444 /nfs/dbraw/zinc/08/94/44/267089444.db2.gz YAURVIHCERGCGP-DGCLKSJQSA-N 0 1 312.335 3.170 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000524251730 267200019 /nfs/dbraw/zinc/20/00/19/267200019.db2.gz JTQCXWPAMSOFDQ-SFHVURJKSA-N 0 1 324.403 3.366 20 30 DGEDMN Cc1nc(NCCc2ccc3cn[nH]c3c2)c(Cl)cc1C#N ZINC000360066287 267617302 /nfs/dbraw/zinc/61/73/02/267617302.db2.gz OMNCDRMSHMVUPX-UHFFFAOYSA-N 0 1 311.776 3.446 20 30 DGEDMN N#Cc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1F ZINC000361861692 268072342 /nfs/dbraw/zinc/07/23/42/268072342.db2.gz FRMWXUYEZRAJHZ-UHFFFAOYSA-N 0 1 310.328 3.205 20 30 DGEDMN N#Cc1ccc(NCCN2CCSCC2)c(C(F)(F)F)c1 ZINC000348172173 268155135 /nfs/dbraw/zinc/15/51/35/268155135.db2.gz HSNUUGHEMAPEFV-UHFFFAOYSA-N 0 1 315.364 3.038 20 30 DGEDMN N#Cc1cccc(CN2CCC(O)(Cc3ccccc3F)CC2)c1 ZINC000373244824 268247064 /nfs/dbraw/zinc/24/70/64/268247064.db2.gz LODNXIDISVNFCB-UHFFFAOYSA-N 0 1 324.399 3.267 20 30 DGEDMN N#Cc1csc(C(=O)Nc2cccc3c2CN(C2CC2)C3)c1 ZINC000375238576 268318815 /nfs/dbraw/zinc/31/88/15/268318815.db2.gz RMYZYLXOOORYPF-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](C)c1ccc(Cl)s1 ZINC000183019788 432250710 /nfs/dbraw/zinc/25/07/10/432250710.db2.gz GYOZMTDVQUAUHH-MNOVXSKESA-N 0 1 313.854 3.060 20 30 DGEDMN COCC1CCN(CC#Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000177165227 274017985 /nfs/dbraw/zinc/01/79/85/274017985.db2.gz WWWNIISOIHCFIH-UHFFFAOYSA-N 0 1 311.347 3.415 20 30 DGEDMN COc1cc(CN(C)C[C@@H]2OCCc3ccccc32)ccc1C#N ZINC000282167541 274093243 /nfs/dbraw/zinc/09/32/43/274093243.db2.gz DTWZFLBVBAMFMF-FQEVSTJZSA-N 0 1 322.408 3.313 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H](c2ccccc2)C(C)C)CC1 ZINC000112588814 275381561 /nfs/dbraw/zinc/38/15/61/275381561.db2.gz SZLSZWAWSKHDKJ-LJQANCHMSA-N 0 1 312.457 3.187 20 30 DGEDMN CC(C)(C)CCN1CCN(C(=O)[C@]2(C#N)CC23CCCC3)CC1 ZINC000448763245 275510520 /nfs/dbraw/zinc/51/05/20/275510520.db2.gz HHWPZRCHCMGHSM-LJQANCHMSA-N 0 1 317.477 3.041 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCCC[C@H]1c1ccccc1 ZINC000358029473 276134978 /nfs/dbraw/zinc/13/49/78/276134978.db2.gz WXLOSGUGXFGJJM-WMZOPIPTSA-N 0 1 313.445 3.222 20 30 DGEDMN CCOC(=O)N[C@H]1CCCN(CCC2(C#N)CCCCC2)C1 ZINC000495982001 276284549 /nfs/dbraw/zinc/28/45/49/276284549.db2.gz NFRYQJDHUZNIOJ-HNNXBMFYSA-N 0 1 307.438 3.061 20 30 DGEDMN CN(C)[C@H](CNc1cc(C(F)(F)F)cnc1C#N)c1ccco1 ZINC000413026959 276866864 /nfs/dbraw/zinc/86/68/64/276866864.db2.gz WXSAADXIMBMOHC-CYBMUJFWSA-N 0 1 324.306 3.280 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCC2(C#N)CCC2)C1(C)C ZINC000496185177 277190727 /nfs/dbraw/zinc/19/07/27/277190727.db2.gz ITMKGIKPCIKHQO-CYBMUJFWSA-N 0 1 307.438 3.058 20 30 DGEDMN CCc1[nH]nc(NC2CCN(Cc3ccc(C#N)cc3)CC2)c1C ZINC000543770481 430263640 /nfs/dbraw/zinc/26/36/40/430263640.db2.gz RQBBJYJVWOKQLJ-UHFFFAOYSA-N 0 1 323.444 3.229 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CCCC[C@H]1C)CC2 ZINC000330108466 278143525 /nfs/dbraw/zinc/14/35/25/278143525.db2.gz NRVPVVQBHPKZOW-HIFRSBDPSA-N 0 1 304.438 3.110 20 30 DGEDMN CC1(C)CCCN(C(=O)c2c[nH]c3ccccc3c2=O)[C@@H]1C#N ZINC000277525842 278214018 /nfs/dbraw/zinc/21/40/18/278214018.db2.gz OLQRFRGBNXDHAT-OAHLLOKOSA-N 0 1 309.369 3.095 20 30 DGEDMN CCN(C(=O)CN[C@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000271669927 279000280 /nfs/dbraw/zinc/00/02/80/279000280.db2.gz NDMSZEPGKGMGRT-OAHLLOKOSA-N 0 1 307.397 3.262 20 30 DGEDMN Cc1nc([C@H]2CCCCN2CC#Cc2ccccc2Cl)n[nH]1 ZINC000338335327 279108165 /nfs/dbraw/zinc/10/81/65/279108165.db2.gz WYCWOEDIJLTSOR-MRXNPFEDSA-N 0 1 314.820 3.345 20 30 DGEDMN C[C@H](C#N)CSc1ccccc1NC(=O)CN(C)CC1CC1 ZINC000108359932 431135877 /nfs/dbraw/zinc/13/58/77/431135877.db2.gz LTUBIIWESISTPM-CYBMUJFWSA-N 0 1 317.458 3.219 20 30 DGEDMN C[C@H](C1CC1)N(Cc1nnc(-c2ccc(C#N)cc2)o1)C1CC1 ZINC000075637488 279242383 /nfs/dbraw/zinc/24/23/83/279242383.db2.gz XPWBTHNSYHPWOV-GFCCVEGCSA-N 0 1 308.385 3.371 20 30 DGEDMN C=CCc1ccccc1OC[C@@H](O)CN(C)[C@@H](C)c1ccco1 ZINC000069519386 431195509 /nfs/dbraw/zinc/19/55/09/431195509.db2.gz OGWPZLKZKAVPSD-RDJZCZTQSA-N 0 1 315.413 3.441 20 30 DGEDMN C[C@H]1CCCN(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000070738421 431258624 /nfs/dbraw/zinc/25/86/24/431258624.db2.gz KRIBBMODCWBIJO-HNNXBMFYSA-N 0 1 309.413 3.470 20 30 DGEDMN CCS[C@@H]1CCCCN(CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000112088478 431247664 /nfs/dbraw/zinc/24/76/64/431247664.db2.gz FLXQHGYNGPVSCQ-MRXNPFEDSA-N 0 1 317.458 3.104 20 30 DGEDMN COc1ccc(Cl)c(S(=O)(=O)Nc2ccc(C#N)cc2)c1 ZINC000112266061 431259690 /nfs/dbraw/zinc/25/96/90/431259690.db2.gz OMCFVFRCGNIDDV-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN N#C[C@@H](NC(=O)c1n[nH]c2ccccc21)c1ccc(Cl)cc1 ZINC000113179209 431331297 /nfs/dbraw/zinc/33/12/97/431331297.db2.gz MFKTZJDBDCIRDQ-CQSZACIVSA-N 0 1 310.744 3.211 20 30 DGEDMN Fc1ccc(CO/N=C/c2ccc(N3CCOCC3)cc2)cc1 ZINC000072809724 431350020 /nfs/dbraw/zinc/35/00/20/431350020.db2.gz IZAAQXIWKYYQMI-DEDYPNTBSA-N 0 1 314.360 3.213 20 30 DGEDMN CCS[C@@H]1CC[C@@H](N(C)CC(=O)Nc2cccc(C#N)c2)C1 ZINC000072838031 431352119 /nfs/dbraw/zinc/35/21/19/431352119.db2.gz OTAJYXDFJUYGLN-HZPDHXFCSA-N 0 1 317.458 3.103 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccccc3Cl)no2)CC1 ZINC000113732587 431360481 /nfs/dbraw/zinc/36/04/81/431360481.db2.gz PKVBHUAYBXXXSW-UHFFFAOYSA-N 0 1 301.777 3.203 20 30 DGEDMN Cc1cccc([C@@H](C)N2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000075645119 431488497 /nfs/dbraw/zinc/48/84/97/431488497.db2.gz QXIKMRZSNYJMHB-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000116308776 431504208 /nfs/dbraw/zinc/50/42/08/431504208.db2.gz UFQKYRJUBGDYEH-SJORKVTESA-N 0 1 313.445 3.233 20 30 DGEDMN C=CCN(CCn1cnc2ccccc2c1=O)Cc1ccccc1 ZINC000117335166 431557078 /nfs/dbraw/zinc/55/70/78/431557078.db2.gz BVHGUXRVMJYONM-UHFFFAOYSA-N 0 1 319.408 3.085 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc(-n3cccn3)c2)n1 ZINC000117081846 431546884 /nfs/dbraw/zinc/54/68/84/431546884.db2.gz ZMBDNPGAUMCDEE-CQSZACIVSA-N 0 1 308.366 3.127 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(Cl)cc2F)CC1 ZINC000117877952 431582753 /nfs/dbraw/zinc/58/27/53/431582753.db2.gz FXIXNJVGGANWHC-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cncc(OC(C)C)c2)n1 ZINC000119582101 431711113 /nfs/dbraw/zinc/71/11/13/431711113.db2.gz HHUJRLVRDWQQQV-CYBMUJFWSA-N 0 1 301.371 3.124 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1c(O)cccc1F ZINC000121284171 431765171 /nfs/dbraw/zinc/76/51/71/431765171.db2.gz YXCRWPXMSZNVSB-UHFFFAOYSA-N 0 1 310.328 3.231 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(OC(C)(C)C)CC1 ZINC000121212683 431753551 /nfs/dbraw/zinc/75/35/51/431753551.db2.gz NTIQXKYATLWWFC-UHFFFAOYSA-N 0 1 302.418 3.346 20 30 DGEDMN C=CCCS(=O)(=O)Nc1ccc2nc(CCCC)[nH]c2c1 ZINC000120283285 431723144 /nfs/dbraw/zinc/72/31/44/431723144.db2.gz IGALGTOTLXSVSB-UHFFFAOYSA-N 0 1 307.419 3.223 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cccc3c2OCO3)n1 ZINC000080451713 431768276 /nfs/dbraw/zinc/76/82/76/431768276.db2.gz MDCKHQOZESEPLT-LLVKDONJSA-N 0 1 314.366 3.485 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)c2cccc3c2OCO3)n1 ZINC000080451713 431768280 /nfs/dbraw/zinc/76/82/80/431768280.db2.gz MDCKHQOZESEPLT-LLVKDONJSA-N 0 1 314.366 3.485 20 30 DGEDMN C=CCC[C@H](NC(=O)CSc1nnc(C)[nH]1)c1ccccc1 ZINC000123076659 431854491 /nfs/dbraw/zinc/85/44/91/431854491.db2.gz DNNPJLRVFKMXJE-AWEZNQCLSA-N 0 1 316.430 3.029 20 30 DGEDMN C=CCC[C@H](NC(=O)CSc1nc(C)n[nH]1)c1ccccc1 ZINC000123076659 431854492 /nfs/dbraw/zinc/85/44/92/431854492.db2.gz DNNPJLRVFKMXJE-AWEZNQCLSA-N 0 1 316.430 3.029 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2ccc(F)cc2O)c1 ZINC000123469440 431865503 /nfs/dbraw/zinc/86/55/03/431865503.db2.gz NMUOMDNWLSLWDM-UHFFFAOYSA-N 0 1 314.316 3.466 20 30 DGEDMN N#CC1CCN(Cc2ccccc2OCc2ccccn2)CC1 ZINC000123708165 431872188 /nfs/dbraw/zinc/87/21/88/431872188.db2.gz VVDAPMMIFVNOQZ-UHFFFAOYSA-N 0 1 307.397 3.396 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccnc2OCC(C)C)n1 ZINC000093242821 431914217 /nfs/dbraw/zinc/91/42/17/431914217.db2.gz ANZQWLGDYHDKJQ-CYBMUJFWSA-N 0 1 315.398 3.371 20 30 DGEDMN C=CCc1cc(CN2CC[C@H](OCC(C)C)C2)cc(OC)c1O ZINC000091964279 431906034 /nfs/dbraw/zinc/90/60/34/431906034.db2.gz VEIVPPOXMUBQAP-KRWDZBQOSA-N 0 1 319.445 3.376 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccc(Cl)c(F)c1 ZINC000048441143 431926798 /nfs/dbraw/zinc/92/67/98/431926798.db2.gz XUPWUOCBNOWJHA-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN Cc1cnn(-c2ccc(C([O-])=C(C#N)c3nc(C)cs3)cc2)c1 ZINC000048789371 431932286 /nfs/dbraw/zinc/93/22/86/431932286.db2.gz CIWFSVFVNLMFOU-OAHLLOKOSA-N 0 1 322.393 3.436 20 30 DGEDMN Cc1cnn(-c2ccc(C(=O)C(C#N)c3nc(C)cs3)cc2)c1 ZINC000048789371 431932287 /nfs/dbraw/zinc/93/22/87/431932287.db2.gz CIWFSVFVNLMFOU-OAHLLOKOSA-N 0 1 322.393 3.436 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)N(CCC#N)c2cccc(C)c2C)C1 ZINC000125678296 431933754 /nfs/dbraw/zinc/93/37/54/431933754.db2.gz MEJBREZOVMIGPA-QGZVFWFLSA-N 0 1 313.445 3.282 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)[C@H](c2ccccc2)C1 ZINC000126993326 431961874 /nfs/dbraw/zinc/96/18/74/431961874.db2.gz RCDWLCOHMXUWRP-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(C#N)s1 ZINC000127153815 431965940 /nfs/dbraw/zinc/96/59/40/431965940.db2.gz CTURREREUKCDBU-ZDUSSCGKSA-N 0 1 323.425 3.393 20 30 DGEDMN C=CCCC(=O)NC[C@@H](c1ccc(OC)cc1)N1CCCCC1 ZINC000102218560 431992584 /nfs/dbraw/zinc/99/25/84/431992584.db2.gz AMYAIDWYGLNVSY-SFHVURJKSA-N 0 1 316.445 3.305 20 30 DGEDMN C#CCCOc1ccc(CN[C@@H](C)c2n[nH]c(C(C)C)n2)cc1 ZINC000270750251 432285375 /nfs/dbraw/zinc/28/53/75/432285375.db2.gz XYYJKWUWSLGSSC-AWEZNQCLSA-N 0 1 312.417 3.181 20 30 DGEDMN CN(Cc1cc(C#N)ccc1Br)CC1(O)CCCC1 ZINC000191815682 432304075 /nfs/dbraw/zinc/30/40/75/432304075.db2.gz CPTUUQWQYAKTBV-UHFFFAOYSA-N 0 1 323.234 3.058 20 30 DGEDMN C[C@H](O)C[C@H]1CCCN1Cc1cc(C#N)ccc1Br ZINC000191815765 432304287 /nfs/dbraw/zinc/30/42/87/432304287.db2.gz XGEAVFZGYKGXNA-SMDDNHRTSA-N 0 1 323.234 3.056 20 30 DGEDMN C=CCN(Cc1cccc(C(=O)NCc2ccco2)c1)C1CC1 ZINC000271455953 432399561 /nfs/dbraw/zinc/39/95/61/432399561.db2.gz OZBFXUPQBAYPRD-UHFFFAOYSA-N 0 1 310.397 3.360 20 30 DGEDMN CCN(CC(=O)N[C@H](C)C(C)C)[C@H](C)c1ccc(C#N)cc1 ZINC000249758127 432356900 /nfs/dbraw/zinc/35/69/00/432356900.db2.gz WGEANXHCMAWRHD-HUUCEWRRSA-N 0 1 301.434 3.102 20 30 DGEDMN CCCCc1nnc(NC(=O)c2c(F)cc(C#N)cc2F)s1 ZINC000152796784 432357040 /nfs/dbraw/zinc/35/70/40/432357040.db2.gz WGFAXQOTFJTNOH-UHFFFAOYSA-N 0 1 322.340 3.283 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@H](c2nccs2)C1 ZINC000249911609 432362674 /nfs/dbraw/zinc/36/26/74/432362674.db2.gz WGZDUTQAYRZISC-LSDHHAIUSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCOc1ccc(-c2nc(C3(N)CCCC3)no2)cc1OC ZINC000261776052 432448078 /nfs/dbraw/zinc/44/80/78/432448078.db2.gz FCXUDMTYBRBFCG-UHFFFAOYSA-N 0 1 315.373 3.038 20 30 DGEDMN COCCOc1cc(C)ccc1CNCc1ccc(C#N)cc1 ZINC000153473387 432413429 /nfs/dbraw/zinc/41/34/29/432413429.db2.gz XPSGOGINKBLDEL-UHFFFAOYSA-N 0 1 310.397 3.182 20 30 DGEDMN C=CCOc1ccc(CN2CCC(C)(C#N)CC2)cc1OC ZINC000272496248 432512421 /nfs/dbraw/zinc/51/24/21/432512421.db2.gz GAKCYOWIYPKRGE-UHFFFAOYSA-N 0 1 300.402 3.386 20 30 DGEDMN COc1ccc(C[N@H+]2CCc3cccc(OC)c3CC2)cc1C#N ZINC000193704035 432492255 /nfs/dbraw/zinc/49/22/55/432492255.db2.gz LFYMCYCUPDYTTB-UHFFFAOYSA-N 0 1 322.408 3.176 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(O)(c2ccccc2)CC1 ZINC000185491033 432506272 /nfs/dbraw/zinc/50/62/72/432506272.db2.gz GMAMHRYUJWJWBL-UHFFFAOYSA-N 0 1 322.408 3.050 20 30 DGEDMN COc1cc(SC)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000193241844 432460048 /nfs/dbraw/zinc/46/00/48/432460048.db2.gz FGIKEKYYRJQTJD-UHFFFAOYSA-N 0 1 314.366 3.247 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1CCC(c2ccc(Cl)cc2)CC1 ZINC000185797048 432533772 /nfs/dbraw/zinc/53/37/72/432533772.db2.gz MPCPBYOOLGGQMM-CYBMUJFWSA-N 0 1 306.837 3.210 20 30 DGEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)[C@@H]1C ZINC000177065141 432582192 /nfs/dbraw/zinc/58/21/92/432582192.db2.gz OYXQJZAMVGLVMT-ZIAGYGMSSA-N 0 1 319.836 3.317 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccccc2)[C@H](CO)C2CC2)c(F)c1 ZINC000155967106 432583755 /nfs/dbraw/zinc/58/37/55/432583755.db2.gz PJKBVDNCDIVQQV-HXUWFJFHSA-N 0 1 324.399 3.470 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccccc2)[C@H](CO)C2CC2)c(F)c1 ZINC000155967106 432583759 /nfs/dbraw/zinc/58/37/59/432583759.db2.gz PJKBVDNCDIVQQV-HXUWFJFHSA-N 0 1 324.399 3.470 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1 ZINC000194973137 432591613 /nfs/dbraw/zinc/59/16/13/432591613.db2.gz COVABHIJTSFROY-NSHDSACASA-N 0 1 324.409 3.049 20 30 DGEDMN C=CCCC(=O)Nc1cc(CN2CCSCC2)ccc1C ZINC000263999452 432602010 /nfs/dbraw/zinc/60/20/10/432602010.db2.gz QPGQPXZVUZJAHG-UHFFFAOYSA-N 0 1 304.459 3.449 20 30 DGEDMN Cc1sc(NC(=O)CN(C)[C@@H](C)C2(C)CC2)c(C#N)c1C ZINC000177249745 432604866 /nfs/dbraw/zinc/60/48/66/432604866.db2.gz VTUFFNODKPQZSN-LBPRGKRZSA-N 0 1 305.447 3.296 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(OC2CCCC2)CC1 ZINC000195325274 432619421 /nfs/dbraw/zinc/61/94/21/432619421.db2.gz GYRDZQAJLDNGFI-INIZCTEOSA-N 0 1 322.493 3.223 20 30 DGEDMN N#CCCCOc1cccc(CNc2ccc3nn[nH]c3c2)c1 ZINC000157582430 432624731 /nfs/dbraw/zinc/62/47/31/432624731.db2.gz FJRKZCFNSPIXEF-UHFFFAOYSA-N 0 1 307.357 3.253 20 30 DGEDMN N#CCCCOc1cccc(CNc2ccc3n[nH]nc3c2)c1 ZINC000157582430 432624735 /nfs/dbraw/zinc/62/47/35/432624735.db2.gz FJRKZCFNSPIXEF-UHFFFAOYSA-N 0 1 307.357 3.253 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC(c2ccsc2)CC1 ZINC000186942658 432638384 /nfs/dbraw/zinc/63/83/84/432638384.db2.gz CETNZKZNDRDTFN-UHFFFAOYSA-N 0 1 306.475 3.352 20 30 DGEDMN COc1ccc(Cl)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188208764 432712026 /nfs/dbraw/zinc/71/20/26/432712026.db2.gz WKWSKIHGIWOJJG-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC000188390292 432722342 /nfs/dbraw/zinc/72/23/42/432722342.db2.gz FUGJQPUUTVGOQZ-GFCCVEGCSA-N 0 1 310.401 3.315 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1ccc(F)c(C#N)c1 ZINC000188425675 432724271 /nfs/dbraw/zinc/72/42/71/432724271.db2.gz LXMDIDVFRCRCFJ-UHFFFAOYSA-N 0 1 322.386 3.220 20 30 DGEDMN N#C[C@H](NC(=O)CCc1cnc[nH]1)c1cccc(Cl)c1Cl ZINC000188962794 432754882 /nfs/dbraw/zinc/75/48/82/432754882.db2.gz SLTPJXKEWTWLNC-LBPRGKRZSA-N 0 1 323.183 3.030 20 30 DGEDMN Cc1oc(NC(=O)CN(CCC(C)C)C2CC2)c(C#N)c1C ZINC000178711693 432769807 /nfs/dbraw/zinc/76/98/07/432769807.db2.gz BBVIIPXHECLNAR-UHFFFAOYSA-N 0 1 303.406 3.217 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H](c2cccs2)N(C)C)CCCCC1 ZINC000276364962 432800662 /nfs/dbraw/zinc/80/06/62/432800662.db2.gz ZIBKKKYIJGUSCT-HNNXBMFYSA-N 0 1 318.486 3.441 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(C)cc1Br ZINC000276500084 432813563 /nfs/dbraw/zinc/81/35/63/432813563.db2.gz WCSGOGSFQKPFNC-UHFFFAOYSA-N 0 1 311.223 3.204 20 30 DGEDMN N#Cc1ccc(CN(C[C@H]2CCCO2)[C@H]2CCSC2)c(F)c1 ZINC000245933430 432871975 /nfs/dbraw/zinc/87/19/75/432871975.db2.gz VEFFGFSPAYBYLB-JKSUJKDBSA-N 0 1 320.433 3.184 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CCCCC[C@H]1C)CC2 ZINC000330053055 432890780 /nfs/dbraw/zinc/89/07/80/432890780.db2.gz PYLIQGUJQZPDHN-ZBFHGGJFSA-N 0 1 318.465 3.500 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCCC(C)(C)C1)CC2 ZINC000330058313 432891198 /nfs/dbraw/zinc/89/11/98/432891198.db2.gz YTJAXFGCCSUSLL-OAHLLOKOSA-N 0 1 318.465 3.357 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@H]1C1CCCCC1 ZINC000330169173 432895268 /nfs/dbraw/zinc/89/52/68/432895268.db2.gz BHFBTYZDUWSJSB-CVEARBPZSA-N 0 1 316.449 3.157 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NCc2ccc(C#N)cc2F)n[nH]1 ZINC000277517632 432857812 /nfs/dbraw/zinc/85/78/12/432857812.db2.gz XDFKXULQGKHTJJ-WDEREUQCSA-N 0 1 301.369 3.180 20 30 DGEDMN Cc1sc(NC(=O)CN(C)C[C@@H]2CC=CCC2)c(C#N)c1C ZINC000330836100 432925786 /nfs/dbraw/zinc/92/57/86/432925786.db2.gz KLUPCTQWSFTYBE-CQSZACIVSA-N 0 1 317.458 3.463 20 30 DGEDMN N#Cc1ccccc1OCCN1CCC=C(c2cccnc2)C1 ZINC000289291679 432941503 /nfs/dbraw/zinc/94/15/03/432941503.db2.gz HFKPWVCMLDVENC-UHFFFAOYSA-N 0 1 305.381 3.121 20 30 DGEDMN CC[C@@H](C)N1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC000297027450 432950725 /nfs/dbraw/zinc/95/07/25/432950725.db2.gz RXTVKYCQZQGBES-OAHLLOKOSA-N 0 1 313.445 3.044 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)n[nH]1 ZINC000330193836 432896490 /nfs/dbraw/zinc/89/64/90/432896490.db2.gz OKNSZLKXOBOGPM-WHOHXGKFSA-N 0 1 306.410 3.341 20 30 DGEDMN CN(Cc1cc2c(cc1OC(F)F)OCO2)[C@@H]1CC[C@H](C#N)C1 ZINC000278544158 432896813 /nfs/dbraw/zinc/89/68/13/432896813.db2.gz GRNTWLZXPJTJHJ-CMPLNLGQSA-N 0 1 324.327 3.141 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@H]2CC(=O)N[C@H](C)C2)n[nH]1 ZINC000330196406 432897926 /nfs/dbraw/zinc/89/79/26/432897926.db2.gz QEQIQPQXIAVLHY-VLEAKVRGSA-N 0 1 307.398 3.091 20 30 DGEDMN CC(C)(C#N)CC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000278689186 432899161 /nfs/dbraw/zinc/89/91/61/432899161.db2.gz DYJXLHWWXGPDTF-UHFFFAOYSA-N 0 1 313.445 3.049 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000330617320 432920771 /nfs/dbraw/zinc/92/07/71/432920771.db2.gz WICFOQFRCSHHQP-QGZVFWFLSA-N 0 1 314.433 3.049 20 30 DGEDMN C=CCN(CCC#N)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000297772713 433017138 /nfs/dbraw/zinc/01/71/38/433017138.db2.gz NCIDAHMWZLETKC-UHFFFAOYSA-N 0 1 319.315 3.434 20 30 DGEDMN N#C[C@@H](NC(=O)c1cccc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000354400485 433059378 /nfs/dbraw/zinc/05/93/78/433059378.db2.gz VYFNKVBHVPWODZ-OAHLLOKOSA-N 0 1 310.744 3.211 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc(OC)c(O)c1 ZINC000290634290 433126933 /nfs/dbraw/zinc/12/69/33/433126933.db2.gz JCIYRZPSQINWMF-AWEZNQCLSA-N 0 1 311.381 3.264 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000298428222 433132916 /nfs/dbraw/zinc/13/29/16/433132916.db2.gz VCPNBKSWMNITOR-QXSJWSMHSA-N 0 1 313.445 3.387 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000453338168 433104083 /nfs/dbraw/zinc/10/40/83/433104083.db2.gz ASCOHDVVYAUJCE-SUHUHFCYSA-N 0 1 307.344 3.426 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)C[C@@H]1OCCc2ccccc21 ZINC000446839029 433114613 /nfs/dbraw/zinc/11/46/13/433114613.db2.gz ONRWBYWAOLKPNQ-FQEVSTJZSA-N 0 1 322.408 3.313 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H]2CCC[C@@H](C#N)C2)c(Cl)c1 ZINC000425368259 433207302 /nfs/dbraw/zinc/20/73/02/433207302.db2.gz JVYJEAKGNMIJCW-OLZOCXBDSA-N 0 1 305.809 3.259 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](C)c2ccccc2C(F)(F)F)C1=O ZINC000337174057 433209827 /nfs/dbraw/zinc/20/98/27/433209827.db2.gz GUEMPLITAUNGJZ-BXUZGUMPSA-N 0 1 312.335 3.143 20 30 DGEDMN CCC(CC)CN(CCC#N)CCC(=O)Nc1ccc(C)cn1 ZINC000447310752 433187105 /nfs/dbraw/zinc/18/71/05/433187105.db2.gz AZWLTFIHYGDNOD-UHFFFAOYSA-N 0 1 316.449 3.371 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@H](C)C[C@@H]2c2ccc(CC)o2)C1=O ZINC000337197378 433213223 /nfs/dbraw/zinc/21/32/23/433213223.db2.gz XBADPZDMBNXGDT-BHYGNILZSA-N 0 1 316.445 3.402 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](C)c2cc3cccc(F)c3o2)C1=O ZINC000337205085 433213898 /nfs/dbraw/zinc/21/38/98/433213898.db2.gz JTXRCAVAAFHPHD-RISCZKNCSA-N 0 1 302.349 3.009 20 30 DGEDMN CN(C[C@@H]1CCC[N@H+]1C)c1c(C#N)cnc2ccc(Cl)cc21 ZINC000420628939 433233271 /nfs/dbraw/zinc/23/32/71/433233271.db2.gz BPSIHGFOKOMEMV-AWEZNQCLSA-N 0 1 314.820 3.290 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)c2ccc(OC(F)F)cc2)C1=O ZINC000281193140 433269593 /nfs/dbraw/zinc/26/95/93/433269593.db2.gz BWCKFENGYTVTTJ-SWLSCSKDSA-N 0 1 324.371 3.068 20 30 DGEDMN CC1(C)C[N@@H+](Cc2ccccc2)CC[C@@H]1Nc1ccc(C#N)cn1 ZINC000337801366 433261299 /nfs/dbraw/zinc/26/12/99/433261299.db2.gz IINVKEUPNMAMBI-SFHVURJKSA-N 0 1 320.440 3.666 20 30 DGEDMN C=CCN1CC[C@H](Nc2ccc(N3CCCCCC3)cc2)C1=O ZINC000281157283 433266011 /nfs/dbraw/zinc/26/60/11/433266011.db2.gz KILIMZLIHRFSBZ-SFHVURJKSA-N 0 1 313.445 3.266 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cccc(C#N)c2)cc1[N+](=O)[O-] ZINC000341787293 433279409 /nfs/dbraw/zinc/27/94/09/433279409.db2.gz FXQQAPOECDAMPX-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)[C@@H](C)c1ccc(C)cc1C ZINC000298956991 433285056 /nfs/dbraw/zinc/28/50/56/433285056.db2.gz GCVSQOUKIKAPRW-INIZCTEOSA-N 0 1 301.434 3.058 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)cc1 ZINC000281423381 433298133 /nfs/dbraw/zinc/29/81/33/433298133.db2.gz IWICKGGVVMFUTK-UHFFFAOYSA-N 0 1 322.290 3.482 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCc3c2cccc3Cl)C1=O ZINC000281401510 433299184 /nfs/dbraw/zinc/29/91/84/433299184.db2.gz QOOKXWMCVCVZKC-CVEARBPZSA-N 0 1 304.821 3.046 20 30 DGEDMN COc1ccc(C#N)cc1C[N@@H+](C)CCOc1ccccc1C ZINC000448057223 433353840 /nfs/dbraw/zinc/35/38/40/433353840.db2.gz AYMJJAYSNYIMLG-UHFFFAOYSA-N 0 1 310.397 3.386 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCOc1ccccc1C ZINC000448057223 433353853 /nfs/dbraw/zinc/35/38/53/433353853.db2.gz AYMJJAYSNYIMLG-UHFFFAOYSA-N 0 1 310.397 3.386 20 30 DGEDMN CCN(Cc1cccs1)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000299274052 433357277 /nfs/dbraw/zinc/35/72/77/433357277.db2.gz LQLKYPLEJIFLOB-AWEZNQCLSA-N 0 1 319.474 3.301 20 30 DGEDMN COCC[C@H](NCc1ccc(C#N)c(OC)c1)c1ccc(C)o1 ZINC000281722823 433360297 /nfs/dbraw/zinc/36/02/97/433360297.db2.gz PHDVHXHCAOFHKX-INIZCTEOSA-N 0 1 314.385 3.336 20 30 DGEDMN CC(C)N(CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccc(F)cc1 ZINC000299392833 433364032 /nfs/dbraw/zinc/36/40/32/433364032.db2.gz RWBHTHGMOIWJGT-SFHVURJKSA-N 0 1 319.424 3.091 20 30 DGEDMN C#CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000375122891 433365748 /nfs/dbraw/zinc/36/57/48/433365748.db2.gz DGTBKTUKYGWDEN-AEFFLSMTSA-N 0 1 312.457 3.063 20 30 DGEDMN C=C(CC)CNC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000454316549 433374439 /nfs/dbraw/zinc/37/44/39/433374439.db2.gz ZXCRGIPOWPFLIY-UHFFFAOYSA-N 0 1 319.424 3.056 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420940541 433331333 /nfs/dbraw/zinc/33/13/33/433331333.db2.gz DLYKUIIPZPCPNN-KGLIPLIRSA-N 0 1 318.383 3.240 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@@H](C[C@@H]3CCOC3)C2)c(F)c1 ZINC000375016118 433332454 /nfs/dbraw/zinc/33/24/54/433332454.db2.gz XOIYZHWMWWULQY-HOTGVXAUSA-N 0 1 302.393 3.336 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@H](C[C@H]3CCOC3)C2)c(F)c1 ZINC000375016119 433332538 /nfs/dbraw/zinc/33/25/38/433332538.db2.gz XOIYZHWMWWULQY-HZPDHXFCSA-N 0 1 302.393 3.336 20 30 DGEDMN COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000375012098 433334218 /nfs/dbraw/zinc/33/42/18/433334218.db2.gz JUFLUECFPDZABM-IRXDYDNUSA-N 0 1 314.429 3.205 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C3(c4cccc(C#N)c4)CC3)ccc12 ZINC000354989682 433395411 /nfs/dbraw/zinc/39/54/11/433395411.db2.gz FJWYEVLJULJCIF-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccnn3C(C)C)[nH]c21 ZINC000361806048 433400544 /nfs/dbraw/zinc/40/05/44/433400544.db2.gz DQOCFKGQZZTKPH-GFCCVEGCSA-N 0 1 307.357 3.139 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N[C@@H]1CC[N@H+](C2CCCC2)C1 ZINC000301290757 433488628 /nfs/dbraw/zinc/48/86/28/433488628.db2.gz NMJDOJJTZKZHKR-GFCCVEGCSA-N 0 1 324.350 3.401 20 30 DGEDMN CC[C@H]1CN(CC)CCN1C(=O)C[C@H](CC#N)c1ccccc1 ZINC000456385438 433636906 /nfs/dbraw/zinc/63/69/06/433636906.db2.gz QYIDKXILCPWBMO-ROUUACIJSA-N 0 1 313.445 3.017 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000427134859 433654864 /nfs/dbraw/zinc/65/48/64/433654864.db2.gz FGTGPQVGBXEGPG-UHFFFAOYSA-N 0 1 322.386 3.220 20 30 DGEDMN C=CCSc1ccccc1C(=O)NCCN1CC=C(C)CC1 ZINC000362399392 433691344 /nfs/dbraw/zinc/69/13/44/433691344.db2.gz CULLADVHIYKGMJ-UHFFFAOYSA-N 0 1 316.470 3.347 20 30 DGEDMN CC(C)C[C@H](N)c1noc([C@@H](C)Oc2ccc(C#N)cc2)n1 ZINC000414611784 433742547 /nfs/dbraw/zinc/74/25/47/433742547.db2.gz CTNGOVJSWAKJQA-RISCZKNCSA-N 0 1 300.362 3.127 20 30 DGEDMN C=CCc1cc(CNc2ccc3c(n2)CCC3)cc(OC)c1O ZINC000449659656 433760065 /nfs/dbraw/zinc/76/00/65/433760065.db2.gz BXPJAVSGBDBMQH-UHFFFAOYSA-N 0 1 310.397 3.625 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2nc3c(cccc3Br)[nH]2)C1 ZINC000449780025 433794417 /nfs/dbraw/zinc/79/44/17/433794417.db2.gz YDFLLRCIRCVHFW-NXEZZACHSA-N 0 1 319.206 3.107 20 30 DGEDMN COC(=O)c1csc([C@@H](C)NCc2ccc(C#N)s2)c1 ZINC000421895427 433796374 /nfs/dbraw/zinc/79/63/74/433796374.db2.gz YNLKVJLLNQLIAH-SECBINFHSA-N 0 1 306.412 3.319 20 30 DGEDMN C#CCCN1CCN(c2ccc3c(Cl)ccc(C)c3n2)CC1 ZINC000302020352 433807437 /nfs/dbraw/zinc/80/74/37/433807437.db2.gz DPCZIRXYKRJVLU-UHFFFAOYSA-N 0 1 313.832 3.342 20 30 DGEDMN CCC[C@@H]1CNCCN1C(=O)Nc1ccc(C(C)(C)C#N)cc1 ZINC000414828944 433866813 /nfs/dbraw/zinc/86/68/13/433866813.db2.gz LQSPGGAZBDCADS-MRXNPFEDSA-N 0 1 314.433 3.094 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000422126953 433881868 /nfs/dbraw/zinc/88/18/68/433881868.db2.gz XSUORGOBZIRWIK-LBPRGKRZSA-N 0 1 315.354 3.467 20 30 DGEDMN C[C@@H](CNc1c(C#N)cccc1C#N)N1CCc2sccc2C1 ZINC000302842339 433882123 /nfs/dbraw/zinc/88/21/23/433882123.db2.gz MKYOXOVXYZEJFV-ZDUSSCGKSA-N 0 1 322.437 3.350 20 30 DGEDMN CC(C)[C@H](C#N)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC000436558713 434010666 /nfs/dbraw/zinc/01/06/66/434010666.db2.gz YTKVIYRDBGSIKH-AWEZNQCLSA-N 0 1 302.761 3.477 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C[C@@H](O)Cc1ccccc1 ZINC000363287855 434014354 /nfs/dbraw/zinc/01/43/54/434014354.db2.gz IYYAINDZJJONOI-PWRODBHTSA-N 0 1 320.436 3.362 20 30 DGEDMN CN(C)[C@H](CNc1cccc(C#N)c1[N+](=O)[O-])c1ccsc1 ZINC000450243924 434052875 /nfs/dbraw/zinc/05/28/75/434052875.db2.gz DAUDLVGIRFWGBB-CQSZACIVSA-N 0 1 316.386 3.243 20 30 DGEDMN COc1ccc2c(c1)OCC[C@@H]2NCc1ccc(C#N)s1 ZINC000377988950 434053404 /nfs/dbraw/zinc/05/34/04/434053404.db2.gz CGBVUVFKMDWPBF-HNNXBMFYSA-N 0 1 300.383 3.242 20 30 DGEDMN CC[C@H](NCCOCCC#N)c1nc(C(F)(F)F)cs1 ZINC000294631296 434123528 /nfs/dbraw/zinc/12/35/28/434123528.db2.gz PHZFTKHJBMYGJV-VIFPVBQESA-N 0 1 307.341 3.133 20 30 DGEDMN C[C@@H]1CN([C@@H]2CC[C@@H](C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC000495796508 297072227 /nfs/dbraw/zinc/07/22/27/297072227.db2.gz VZGRSHWHWFHXCF-KBUPBQIOSA-N 0 1 307.438 3.008 20 30 DGEDMN N#Cc1ccnc(N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000450376827 434139690 /nfs/dbraw/zinc/13/96/90/434139690.db2.gz JRTLIYRZALEPQD-CQSZACIVSA-N 0 1 324.775 3.219 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc(F)cc1)c1ccc(C#N)c(F)c1 ZINC000346158140 434158312 /nfs/dbraw/zinc/15/83/12/434158312.db2.gz HOCHMVIOBRIJJV-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN N#CCCCOc1cccc(CN[C@H]2CCCc3c[nH]nc32)c1 ZINC000363830556 434159681 /nfs/dbraw/zinc/15/96/81/434159681.db2.gz QPJGCGCLHGCYAX-KRWDZBQOSA-N 0 1 310.401 3.259 20 30 DGEDMN C=C(Br)CN1C[C@H](c2ccccc2)[C@H]2COCC[C@H]21 ZINC000286100642 434161144 /nfs/dbraw/zinc/16/11/44/434161144.db2.gz TYXLNUKGTQKDLI-BZUAXINKSA-N 0 1 322.246 3.400 20 30 DGEDMN C=CCC[C@H](CO)NCc1c(F)cccc1Br ZINC000320801065 434241657 /nfs/dbraw/zinc/24/16/57/434241657.db2.gz VSTWHNTYVFNBAI-SNVBAGLBSA-N 0 1 302.187 3.005 20 30 DGEDMN CN(CCOc1ccccc1C#N)Cc1cc(F)c(F)c(F)c1 ZINC000353579874 434246908 /nfs/dbraw/zinc/24/69/08/434246908.db2.gz XTBPLPOJOWSJQJ-UHFFFAOYSA-N 0 1 320.314 3.486 20 30 DGEDMN CCSCCN1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348330411 434290527 /nfs/dbraw/zinc/29/05/27/434290527.db2.gz NBURYDSVVPMEKS-INIZCTEOSA-N 0 1 323.893 3.275 20 30 DGEDMN C=CC[C@H]1N(C(=O)c2cc(F)cc3nn[nH]c32)CCCC1(C)C ZINC000328659397 434295026 /nfs/dbraw/zinc/29/50/26/434295026.db2.gz SQYDZHDDVMJZTL-CQSZACIVSA-N 0 1 316.380 3.304 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN[C@H]1CCC[C@@H]1OC(F)F ZINC000348519305 434369302 /nfs/dbraw/zinc/36/93/02/434369302.db2.gz BUAUFALUQWQHHJ-STQMWFEESA-N 0 1 300.736 3.462 20 30 DGEDMN CC[C@@H]1CCCC[N@H+]1CCNC(=O)c1cc2[nH]cnc2cc1F ZINC000329213285 434373395 /nfs/dbraw/zinc/37/33/95/434373395.db2.gz JDZZORIQXMAOMW-GFCCVEGCSA-N 0 1 318.396 3.271 20 30 DGEDMN CC[C@@H](C)n1ncc(C(=O)NCC2=CC[N@@H+](C)CC2)c1C1CC1 ZINC000329211758 434375822 /nfs/dbraw/zinc/37/58/22/434375822.db2.gz HHUBZTHBSPTPLM-CYBMUJFWSA-N 0 1 316.449 3.298 20 30 DGEDMN C[C@@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)[C@@H]2CCCC[C@H]21 ZINC000329292618 434385864 /nfs/dbraw/zinc/38/58/64/434385864.db2.gz AZDQYQUKHMDSKW-FAAHXZRKSA-N 0 1 302.422 3.212 20 30 DGEDMN Cc1[nH+]ccn1CCNC(=O)N[C@@H]1CCCCC12CCCC2 ZINC000329609495 434444747 /nfs/dbraw/zinc/44/47/47/434444747.db2.gz IZGWKSVFCXZQIL-OAHLLOKOSA-N 0 1 304.438 3.198 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C3[C@H](C)CCC[C@H]3C)C2)c1 ZINC000329636305 434447134 /nfs/dbraw/zinc/44/71/34/434447134.db2.gz YCSAFYASMSCQJA-RBSFLKMASA-N 0 1 304.438 3.177 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@@H]1CCSC1 ZINC000287620062 434411523 /nfs/dbraw/zinc/41/15/23/434411523.db2.gz FDHONKLTVPGJSA-CYBMUJFWSA-N 0 1 311.248 3.258 20 30 DGEDMN CN(CCn1cccn1)C([O-])=[NH+][C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000329497635 434422212 /nfs/dbraw/zinc/42/22/12/434422212.db2.gz XQSKRUSMHZMJIT-ARFHVFGLSA-N 0 1 304.438 3.088 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)[C@@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600835 434424202 /nfs/dbraw/zinc/42/42/02/434424202.db2.gz NBARORWTRMMWLE-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)[C@@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600835 434424210 /nfs/dbraw/zinc/42/42/10/434424210.db2.gz NBARORWTRMMWLE-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C[C@@H]3CCC[C@H](C)C3)C2)c1 ZINC000329545608 434428571 /nfs/dbraw/zinc/42/85/71/434428571.db2.gz GUIDGMRJKLMPKJ-NUEKZKHPSA-N 0 1 304.438 3.179 20 30 DGEDMN CC[C@@H]1c2ccsc2CCN1C(=O)Nc1ccnnc1C ZINC000329537881 434429812 /nfs/dbraw/zinc/42/98/12/434429812.db2.gz BUNMJIROBANWGA-CYBMUJFWSA-N 0 1 302.403 3.401 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329569294 434434704 /nfs/dbraw/zinc/43/47/04/434434704.db2.gz UGBWIUMGEPUKNU-RBSFLKMASA-N 0 1 318.465 3.259 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc(-c2cc3ccccc3o2)n[nH]1 ZINC000287740413 434439892 /nfs/dbraw/zinc/43/98/92/434439892.db2.gz HPBNDLCPDKTCBD-INIZCTEOSA-N 0 1 309.329 3.096 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)CC1(O)CCCC1 ZINC000287857437 434466780 /nfs/dbraw/zinc/46/67/80/434466780.db2.gz RMBTTXCOPXLIEL-UHFFFAOYSA-N 0 1 323.234 3.058 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329738876 434471642 /nfs/dbraw/zinc/47/16/42/434471642.db2.gz UQAOVOHWZCXBAA-MCIONIFRSA-N 0 1 318.465 3.328 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CC2(CCC2)c2ccccc21 ZINC000329747361 434472519 /nfs/dbraw/zinc/47/25/19/434472519.db2.gz SIFVWOQVJVMTMF-CYBMUJFWSA-N 0 1 322.412 3.123 20 30 DGEDMN CCc1c(NC(=O)[C@@H]2C[C@]23CCOC3)[nH]nc1-c1ccncc1 ZINC000329753718 434475494 /nfs/dbraw/zinc/47/54/94/434475494.db2.gz WXOQEJDEANVMHZ-GUYCJALGSA-N 0 1 312.373 3.049 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CC[C@@H]2CCC[C@H]2C1)N1CCC(n2ccnc2)CC1 ZINC000329784704 434479867 /nfs/dbraw/zinc/47/98/67/434479867.db2.gz WGYLXTBSGKJADZ-HRCADAONSA-N 0 1 316.449 3.403 20 30 DGEDMN C=CCCC[C@H](C)N1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000340955775 434456132 /nfs/dbraw/zinc/45/61/32/434456132.db2.gz ARJJEGSZCIKESL-YZVOILCLSA-N 0 1 309.413 3.077 20 30 DGEDMN [O-]C(NC[C@@H]1CCCCS1)=[NH+][C@@H]1CCCC[C@H]1n1cccn1 ZINC000329669755 434456594 /nfs/dbraw/zinc/45/65/94/434456594.db2.gz SPEYJOAWWIAGTD-RRFJBIMHSA-N 0 1 322.478 3.156 20 30 DGEDMN [O-]C(N[C@@H]1CCCC[C@H]1n1cccn1)=[NH+]C[C@@H]1CCCCS1 ZINC000329669755 434456604 /nfs/dbraw/zinc/45/66/04/434456604.db2.gz SPEYJOAWWIAGTD-RRFJBIMHSA-N 0 1 322.478 3.156 20 30 DGEDMN [O-]C(NC[C@@H]1CCCCS1)=[NH+][C@@H]1CCCC[C@@H]1n1cccn1 ZINC000329669757 434457550 /nfs/dbraw/zinc/45/75/50/434457550.db2.gz SPEYJOAWWIAGTD-ZNMIVQPWSA-N 0 1 322.478 3.156 20 30 DGEDMN [O-]C(N[C@@H]1CCCC[C@@H]1n1cccn1)=[NH+]C[C@@H]1CCCCS1 ZINC000329669757 434457559 /nfs/dbraw/zinc/45/75/59/434457559.db2.gz SPEYJOAWWIAGTD-ZNMIVQPWSA-N 0 1 322.478 3.156 20 30 DGEDMN Fc1ccc(C#CCN2CCC(n3cc(Cl)cn3)CC2)cc1 ZINC000367871649 434559958 /nfs/dbraw/zinc/55/99/58/434559958.db2.gz SXCLZDMRKMKNKT-UHFFFAOYSA-N 0 1 317.795 3.364 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](c3ccccc3)C[C@H]2C)CC1 ZINC000366951966 434532315 /nfs/dbraw/zinc/53/23/15/434532315.db2.gz KYTKSIXFNATMRF-YLJYHZDGSA-N 0 1 324.468 3.126 20 30 DGEDMN CO[C@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@@H]1C ZINC000451535586 434571718 /nfs/dbraw/zinc/57/17/18/434571718.db2.gz AVKKIXJOYXRAFK-BBRMVZONSA-N 0 1 311.347 3.414 20 30 DGEDMN CNc1ccc(C#N)cc1NS(=O)(=O)c1ccccc1Cl ZINC000439248105 434581440 /nfs/dbraw/zinc/58/14/40/434581440.db2.gz ONLCVIQIICMAID-UHFFFAOYSA-N 0 1 321.789 3.054 20 30 DGEDMN COc1ccc(CN2CCC(OC3CCC3)CC2)cc1C#N ZINC000418095699 434586612 /nfs/dbraw/zinc/58/66/12/434586612.db2.gz ULKCJSWRFGKNMV-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN Cc1cccc(OCCN2CCC(C#N)(c3ccccn3)CC2)c1 ZINC000369204686 434590403 /nfs/dbraw/zinc/59/04/03/434590403.db2.gz GQYMQTWQGLOFBM-UHFFFAOYSA-N 0 1 321.424 3.326 20 30 DGEDMN N#Cc1cccc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)c1 ZINC000354180860 434660198 /nfs/dbraw/zinc/66/01/98/434660198.db2.gz PWYFIUYUMMHLRO-SFHVURJKSA-N 0 1 305.381 3.161 20 30 DGEDMN Cc1cc(F)cc(NS(=O)(=O)c2cccc(C)c2C#N)c1 ZINC000440831779 434750567 /nfs/dbraw/zinc/75/05/67/434750567.db2.gz GWPFGLKLQMELBJ-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN C=CCNC(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000440501060 434706938 /nfs/dbraw/zinc/70/69/38/434706938.db2.gz CJIAGOCFSHXGKQ-ZDUSSCGKSA-N 0 1 304.781 3.249 20 30 DGEDMN N#C[C@H](CCc1ccccc1)c1nc(C2(N)CCCCC2)no1 ZINC000424351521 434708476 /nfs/dbraw/zinc/70/84/76/434708476.db2.gz WXHNQXGWOCFENT-HNNXBMFYSA-N 0 1 310.401 3.428 20 30 DGEDMN COc1cc(CNCc2ccnc(OC3CCC3)c2)ccc1C#N ZINC000440633045 434728582 /nfs/dbraw/zinc/72/85/82/434728582.db2.gz DZNUHJPPQSYWIA-UHFFFAOYSA-N 0 1 323.396 3.183 20 30 DGEDMN CC1(C)CN(CCCOc2cccc(C#N)c2)[C@@H]2CCC[C@H]2O1 ZINC000440960765 434756608 /nfs/dbraw/zinc/75/66/08/434756608.db2.gz UKOGVIFRHRVJAV-QZTJIDSGSA-N 0 1 314.429 3.359 20 30 DGEDMN CCN(CC)C(=O)[C@H](NCC(C)(C)CC#N)c1ccccc1 ZINC000419343486 434774974 /nfs/dbraw/zinc/77/49/74/434774974.db2.gz CSFVBTFKEVAPCM-MRXNPFEDSA-N 0 1 301.434 3.126 20 30 DGEDMN O[C@H]1C[C@@H](c2ccccc2)N(CC#Cc2ccc(Cl)cc2)C1 ZINC000411936643 434846675 /nfs/dbraw/zinc/84/66/75/434846675.db2.gz ITOOQYXQNMRQSU-OALUTQOASA-N 0 1 311.812 3.499 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@H](C(C)(C)C)C[C@H]1C ZINC000553990743 434909239 /nfs/dbraw/zinc/90/92/39/434909239.db2.gz FPSWXSZILUBUEJ-RVKKMQEKSA-N 0 1 307.482 3.187 20 30 DGEDMN C=CCC[N@H+](CCC(=O)[O-])Cc1cccc(Br)c1 ZINC000574407434 434976391 /nfs/dbraw/zinc/97/63/91/434976391.db2.gz OSCAEJVEAZNYJE-UHFFFAOYSA-N 0 1 312.207 3.302 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cn1)c1ccc(OC(F)F)cc1 ZINC000554360132 434924058 /nfs/dbraw/zinc/92/40/58/434924058.db2.gz XNQZADMGQXPIIG-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN N#Cc1ccc(CN2CCC(COc3ccccc3)CC2)nc1 ZINC000573881947 434933906 /nfs/dbraw/zinc/93/39/06/434933906.db2.gz CPPMPGYZSVQOGN-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN COc1ccc([C@@H](NCc2ccc(C#N)cc2F)C2CC2)cn1 ZINC000527840825 435016144 /nfs/dbraw/zinc/01/61/44/435016144.db2.gz JMANSELXJVNISR-SFHVURJKSA-N 0 1 311.360 3.342 20 30 DGEDMN C[C@@H]1CC(F)(F)CCN1CCC(=O)Nc1sccc1C#N ZINC000528770581 435029074 /nfs/dbraw/zinc/02/90/74/435029074.db2.gz UJDBDZKESPGDDR-SNVBAGLBSA-N 0 1 313.373 3.068 20 30 DGEDMN C=CCCCCNS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000557835632 435068665 /nfs/dbraw/zinc/06/86/65/435068665.db2.gz GJMXJGQYHRXWGK-UHFFFAOYSA-N 0 1 309.765 3.253 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000466261494 435068715 /nfs/dbraw/zinc/06/87/15/435068715.db2.gz RJWHIKPZGXIXEW-MSOLQXFVSA-N 0 1 312.457 3.301 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000466261504 435068725 /nfs/dbraw/zinc/06/87/25/435068725.db2.gz RJWHIKPZGXIXEW-ZWKOTPCHSA-N 0 1 312.457 3.301 20 30 DGEDMN CC(C)[C@H]1CN(Cc2ccccc2)CCN1C(=O)CCCC#N ZINC000529703698 435040203 /nfs/dbraw/zinc/04/02/03/435040203.db2.gz XPPBIYFSFABWTO-GOSISDBHSA-N 0 1 313.445 3.049 20 30 DGEDMN N#CCCN(CC1CC1)C(=O)c1cccc(C(F)(F)F)c1O ZINC000575758758 435051916 /nfs/dbraw/zinc/05/19/16/435051916.db2.gz IQCPHKHSIZGOKF-UHFFFAOYSA-N 0 1 312.291 3.177 20 30 DGEDMN Cc1cc(C)cc([C@H](C)NCc2cnc3c(C#N)cnn3c2)c1 ZINC000558603685 435100085 /nfs/dbraw/zinc/10/00/85/435100085.db2.gz RNWOIMTZSPLQGO-AWEZNQCLSA-N 0 1 305.385 3.069 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C=Cc1ccc(C#N)cc1 ZINC000493121857 435111772 /nfs/dbraw/zinc/11/17/72/435111772.db2.gz BBIWVCCDVQKZGZ-VOTSOKGWSA-N 0 1 302.337 3.395 20 30 DGEDMN C=CCCN(C)CC(=O)NCC1(c2ccc(F)cc2)CCCC1 ZINC000467286610 435115673 /nfs/dbraw/zinc/11/56/73/435115673.db2.gz XEWVLHCLYRSKDC-UHFFFAOYSA-N 0 1 318.436 3.262 20 30 DGEDMN C[C@H](C#N)CN(C[C@H]1CCCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000494454866 435195706 /nfs/dbraw/zinc/19/57/06/435195706.db2.gz QJSOXXWTXDAQHL-CABCVRRESA-N 0 1 321.465 3.258 20 30 DGEDMN CN(CC(C)(C)C#N)C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000495620297 435203735 /nfs/dbraw/zinc/20/37/35/435203735.db2.gz RGEYVKBVMDXAMG-KRWDZBQOSA-N 0 1 309.454 3.115 20 30 DGEDMN COc1ccc(CN2CCC(CCC#N)CC2)c(OC)c1OC ZINC000564990350 435275787 /nfs/dbraw/zinc/27/57/87/435275787.db2.gz ISGQSDWPLRUUFH-UHFFFAOYSA-N 0 1 318.417 3.228 20 30 DGEDMN COC(=O)c1cccc(CN(Cc2ccc(C#N)cc2)C(C)C)n1 ZINC000584152219 435278729 /nfs/dbraw/zinc/27/87/29/435278729.db2.gz CPHFTLMTKQMGCE-UHFFFAOYSA-N 0 1 323.396 3.150 20 30 DGEDMN C[C@@H](NCc1ccc(F)cc1C(F)(F)F)[C@@H](O)C(F)(F)F ZINC000639387193 435280391 /nfs/dbraw/zinc/28/03/91/435280391.db2.gz OGJCPAVDFNXFTJ-LHLIQPBNSA-N 0 1 319.220 3.246 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000481206470 435414998 /nfs/dbraw/zinc/41/49/98/435414998.db2.gz FPRBUBOHHHFVEZ-OAHLLOKOSA-N 0 1 300.446 3.251 20 30 DGEDMN CC(C)c1nc2c([nH]1)CC[C@H](C(=O)Nc1cccc(CC#N)c1)C2 ZINC000514916732 435448828 /nfs/dbraw/zinc/44/88/28/435448828.db2.gz IWDJQDKVKZSXSC-AWEZNQCLSA-N 0 1 322.412 3.343 20 30 DGEDMN COC(=O)[C@@H]1CCCCCC[C@@H]1NCc1ccc(F)cc1C#N ZINC000481438044 435428498 /nfs/dbraw/zinc/42/84/98/435428498.db2.gz REZHGKYQVLPZJL-SJORKVTESA-N 0 1 318.392 3.299 20 30 DGEDMN CCCN(Cc1ccc(C#N)cn1)C[C@H]1COc2ccccc2O1 ZINC000569115734 435505959 /nfs/dbraw/zinc/50/59/59/435505959.db2.gz VTMIVWJWQJFVEC-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@@H](N)c1ccccc1OC)c1ccccc1 ZINC000649268950 435552600 /nfs/dbraw/zinc/55/26/00/435552600.db2.gz MLYZHDIJYYGIHJ-MAUKXSAKSA-N 0 1 324.424 3.171 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCN(C)C[C@H]1CC)c1ccccc1 ZINC000517311433 435588666 /nfs/dbraw/zinc/58/86/66/435588666.db2.gz VICLWZWWLRMQHI-QZTJIDSGSA-N 0 1 315.461 3.430 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](C)c1ccccc1SC ZINC000570380545 435615287 /nfs/dbraw/zinc/61/52/87/435615287.db2.gz CPTQKRZZFCOHRL-CQSZACIVSA-N 0 1 306.475 3.484 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@@H]([C@H](C)O)C2)cc1Cl ZINC000541890750 435646014 /nfs/dbraw/zinc/64/60/14/435646014.db2.gz BQNRUYBTRFNILN-DZGCQCFKSA-N 0 1 309.837 3.498 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)c2cc(C)ccc2C)CC1 ZINC000490672273 435671755 /nfs/dbraw/zinc/67/17/55/435671755.db2.gz YFSNAUICXPGBFB-KRWDZBQOSA-N 0 1 312.457 3.168 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H](CC)c1ccccc1F ZINC000649738813 435687208 /nfs/dbraw/zinc/68/72/08/435687208.db2.gz WMGPYPADIBUILI-PBHICJAKSA-N 0 1 304.409 3.456 20 30 DGEDMN N#CCCC1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)CC1 ZINC000571332723 435696531 /nfs/dbraw/zinc/69/65/31/435696531.db2.gz YMJKBAAJQKZCAR-UHFFFAOYSA-N 0 1 314.414 3.294 20 30 DGEDMN C=CCN1CC[C@@H](NC2(c3ccc(C(F)(F)F)cc3)CC2)C1=O ZINC000649886312 435730311 /nfs/dbraw/zinc/73/03/11/435730311.db2.gz WMEGLYYBRQNSLS-CQSZACIVSA-N 0 1 324.346 3.071 20 30 DGEDMN C#CCn1ccc(CN(CC)CCCCOc2ccccc2)n1 ZINC000491407797 435746240 /nfs/dbraw/zinc/74/62/40/435746240.db2.gz STZACESUBZTQAX-UHFFFAOYSA-N 0 1 311.429 3.197 20 30 DGEDMN N#Cc1ccc(CN2CCC(OCc3ccccc3)CC2)nc1 ZINC000519742385 435705789 /nfs/dbraw/zinc/70/57/89/435705789.db2.gz MBVVWEAKLAVYOS-UHFFFAOYSA-N 0 1 307.397 3.134 20 30 DGEDMN C[C@H](C(=O)NCC1CCCCC1)N1CCC(CCC#N)CC1 ZINC000519772714 435707871 /nfs/dbraw/zinc/70/78/71/435707871.db2.gz SGYAJCYUKVYKPJ-OAHLLOKOSA-N 0 1 305.466 3.087 20 30 DGEDMN C=CCN1CC[C@@H](NC2(c3cccc(Cl)c3)CCC2)C1=O ZINC000646095316 435777652 /nfs/dbraw/zinc/77/76/52/435777652.db2.gz NBAWDTRWGQBHCK-OAHLLOKOSA-N 0 1 304.821 3.096 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000646776103 435919000 /nfs/dbraw/zinc/91/90/00/435919000.db2.gz IVHRQOSNWXITMG-CRAIPNDOSA-N 0 1 323.440 3.333 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NC[C@H](c2cccs2)N(C)C)C1 ZINC000656543170 435969586 /nfs/dbraw/zinc/96/95/86/435969586.db2.gz BFLLNXFQZQLPFK-LSDHHAIUSA-N 0 1 321.490 3.349 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(c2ccco2)CC1 ZINC000661164271 435982153 /nfs/dbraw/zinc/98/21/53/435982153.db2.gz TYKFEFJFHPZTLU-OAHLLOKOSA-N 0 1 304.434 3.272 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccccc2OC)C1 ZINC000661377484 436048386 /nfs/dbraw/zinc/04/83/86/436048386.db2.gz OFWLJCCXNBVJRV-HUUCEWRRSA-N 0 1 302.418 3.310 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000661378935 436048783 /nfs/dbraw/zinc/04/87/83/436048783.db2.gz OUAPTBWEBKZOCT-KGLIPLIRSA-N 0 1 316.401 3.030 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2ccccc2OCC)C1 ZINC000661378326 436049297 /nfs/dbraw/zinc/04/92/97/436049297.db2.gz CTKHGFHVZCGUNC-HNNXBMFYSA-N 0 1 302.418 3.312 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000661378937 436049889 /nfs/dbraw/zinc/04/98/89/436049889.db2.gz OUAPTBWEBKZOCT-ZIAGYGMSSA-N 0 1 316.401 3.030 20 30 DGEDMN C=CC[C@@H]1CCCN(CCCS(=O)(=O)c2ccc(C)cc2)C1 ZINC000661379776 436050707 /nfs/dbraw/zinc/05/07/07/436050707.db2.gz AHIJSODMYPIZOW-QGZVFWFLSA-N 0 1 321.486 3.447 20 30 DGEDMN OC[C@@H]1CN(CC#Cc2ccc(F)cc2)CC[C@@H]1c1ccccc1 ZINC000661665879 436142608 /nfs/dbraw/zinc/14/26/08/436142608.db2.gz OYZLFLBAZSECQB-PZJWPPBQSA-N 0 1 323.411 3.275 20 30 DGEDMN C=CCCn1cc(CNCCc2csc3ccccc23)nn1 ZINC000657333537 436144093 /nfs/dbraw/zinc/14/40/93/436144093.db2.gz NMXWMAVSILZXIU-UHFFFAOYSA-N 0 1 312.442 3.401 20 30 DGEDMN C=CCCNC(=O)NC[C@@H]1CCC[N@H+](C)[C@H]1c1cccs1 ZINC000661571300 436109828 /nfs/dbraw/zinc/10/98/28/436109828.db2.gz SJPCIDBUAHJBIZ-DZGCQCFKSA-N 0 1 307.463 3.006 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@@H](C)C1O ZINC000661630042 436124664 /nfs/dbraw/zinc/12/46/64/436124664.db2.gz JLLHAYJEMSAJMF-CHWSQXEVSA-N 0 1 311.347 3.006 20 30 DGEDMN CCCn1nccc1CNCC#Cc1ccc(C(F)(F)F)cc1 ZINC000657387579 436173530 /nfs/dbraw/zinc/17/35/30/436173530.db2.gz QYTZFIZLTHHLOH-UHFFFAOYSA-N 0 1 321.346 3.453 20 30 DGEDMN C=CCC[C@H](NCc1cccc(S(C)(=O)=O)c1)c1ccco1 ZINC000651428137 436194421 /nfs/dbraw/zinc/19/44/21/436194421.db2.gz SQQAQEKITVQVOM-INIZCTEOSA-N 0 1 319.426 3.480 20 30 DGEDMN C=CCCn1cc(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)nn1 ZINC000653558123 436204495 /nfs/dbraw/zinc/20/44/95/436204495.db2.gz VFBZWTCXUHFZDU-ZBFHGGJFSA-N 0 1 314.408 3.371 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc(OCC)cc1 ZINC000662085352 436270621 /nfs/dbraw/zinc/27/06/21/436270621.db2.gz FLCHXCDOCUKAIZ-IYBDPMFKSA-N 0 1 300.402 3.207 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1ncc(Br)cc1F ZINC000658207512 436400793 /nfs/dbraw/zinc/40/07/93/436400793.db2.gz VDZHPJIEHQNACU-JTQLQIEISA-N 0 1 317.202 3.227 20 30 DGEDMN COC(=O)c1cccc(CN2C[C@@H](C)CC(C)(C)C2)c1C#N ZINC000541324702 314007994 /nfs/dbraw/zinc/00/79/94/314007994.db2.gz NVZOYGCQNITTTK-ZDUSSCGKSA-N 0 1 300.402 3.213 20 30 DGEDMN Cc1cccc([C@@H]2CCN(CC(=O)Nc3ccc(C#N)cc3)C2)c1 ZINC000544191657 314179501 /nfs/dbraw/zinc/17/95/01/314179501.db2.gz JNPIHZANUWOJQE-GOSISDBHSA-N 0 1 319.408 3.295 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(c2cnccc2C#N)CC1 ZINC000552372099 314613651 /nfs/dbraw/zinc/61/36/51/314613651.db2.gz PVUJSFKPIFSNEG-SFHVURJKSA-N 0 1 306.413 3.227 20 30 DGEDMN CC(C)[C@@H](NCc1ccc(C#N)cn1)c1ccc2c(c1)OCCO2 ZINC000565959814 315300748 /nfs/dbraw/zinc/30/07/48/315300748.db2.gz SQEYDQWSWHPMCP-LJQANCHMSA-N 0 1 323.396 3.211 20 30 DGEDMN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1ccc(C#N)cn1 ZINC000571654333 315736620 /nfs/dbraw/zinc/73/66/20/315736620.db2.gz OSACXUYIUJCUMH-SJCJKPOMSA-N 0 1 315.804 3.472 20 30 DGEDMN CCc1ccc(C[N@H+]2CC[C@@H](Oc3ccc(C#N)cn3)C2)s1 ZINC000577929550 316284682 /nfs/dbraw/zinc/28/46/82/316284682.db2.gz JREDFZGDQLDEHQ-CQSZACIVSA-N 0 1 313.426 3.230 20 30 DGEDMN COc1cc(C#N)ccc1CN(Cc1ccccc1)CC(C)(C)O ZINC000180222783 323223763 /nfs/dbraw/zinc/22/37/63/323223763.db2.gz MPQRIMYQKOZBSI-UHFFFAOYSA-N 0 1 324.424 3.340 20 30 DGEDMN Cc1csc([C@@H](C)NCCC(=O)Nc2sccc2C#N)n1 ZINC000184210407 323358452 /nfs/dbraw/zinc/35/84/52/323358452.db2.gz BVZASICFHZJVLS-SNVBAGLBSA-N 0 1 320.443 3.064 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1cn2ccccc2c1C#N ZINC000580872766 324047729 /nfs/dbraw/zinc/04/77/29/324047729.db2.gz BZZMDMDBCKYHSU-UHFFFAOYSA-N 0 1 321.428 3.464 20 30 DGEDMN Cn1ccnc1[C@H](N[C@H]1CCCc2cc(C#N)ccc21)C1CC1 ZINC000582351485 324219818 /nfs/dbraw/zinc/21/98/18/324219818.db2.gz YSWQBQXDTKJJNB-ZWKOTPCHSA-N 0 1 306.413 3.410 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC(C)(C)C)CC(C)(C)C)CC1 ZINC000180308280 331565966 /nfs/dbraw/zinc/56/59/66/331565966.db2.gz UHWDZZLPMCGAES-UHFFFAOYSA-N 0 1 306.494 3.252 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2ccncc2OCc2ccccc2)C1 ZINC000556912394 331752949 /nfs/dbraw/zinc/75/29/49/331752949.db2.gz RPFSEXMXBPMPTK-WMZOPIPTSA-N 0 1 307.397 3.442 20 30 DGEDMN C=CCCO[C@H](C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000531066909 332244824 /nfs/dbraw/zinc/24/48/24/332244824.db2.gz HQQFLBQAZHXGHZ-CJNGLKHVSA-N 0 1 324.852 3.040 20 30 DGEDMN Cc1ccc(NC(=O)C[C@@H](C)NCC2(C#N)CC2)cc1Cl ZINC000516947107 332348101 /nfs/dbraw/zinc/34/81/01/332348101.db2.gz NFLYIBMSEXMMLZ-GFCCVEGCSA-N 0 1 305.809 3.259 20 30 DGEDMN C=C(C)CN(C)C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000182195126 333183400 /nfs/dbraw/zinc/18/34/00/333183400.db2.gz CYCVTRCMBKDMDI-HNNXBMFYSA-N 0 1 305.422 3.024 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](CCN(CCC#N)CC2CC2)C1 ZINC000497200992 333221314 /nfs/dbraw/zinc/22/13/14/333221314.db2.gz FYZWNFQBEGJGRM-MRXNPFEDSA-N 0 1 321.465 3.259 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCC(CF)CC1 ZINC000295182850 334220379 /nfs/dbraw/zinc/22/03/79/334220379.db2.gz RYCUTQMKGJYGGC-HNNXBMFYSA-N 0 1 317.408 3.003 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cnn(C(C)(C)C)c3)[nH]c21 ZINC000345388397 335322389 /nfs/dbraw/zinc/32/23/89/335322389.db2.gz ZVYZVFJEKIYDJU-ZDUSSCGKSA-N 0 1 321.384 3.313 20 30 DGEDMN Cc1ccc(CN2CCC(F)(C#N)CC2)c(-c2cnn(C)c2)c1 ZINC000348673726 335599142 /nfs/dbraw/zinc/59/91/42/335599142.db2.gz NQCLOTFGPMNQOP-UHFFFAOYSA-N 0 1 312.392 3.223 20 30 DGEDMN COC(=O)[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1ccc(CC#N)cc1 ZINC000331932844 336153426 /nfs/dbraw/zinc/15/34/26/336153426.db2.gz DSJHOBSHSVAYHW-KSZLIROESA-N 0 1 312.413 3.059 20 30 DGEDMN CC(C)[C@H](NCC(=O)NC1(C#N)CCC1)c1ccccc1Cl ZINC000526295986 336243086 /nfs/dbraw/zinc/24/30/86/336243086.db2.gz JZZUNLNISYOJAP-INIZCTEOSA-N 0 1 319.836 3.189 20 30 DGEDMN C=C(C)CCNC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000583855459 337343695 /nfs/dbraw/zinc/34/36/95/337343695.db2.gz VWHKWLPCPOHFBX-OAHLLOKOSA-N 0 1 309.841 3.208 20 30 DGEDMN Cn1cc([C@H](N[C@H]2CCC[C@@H](C#N)C2)c2ccc(F)cc2)cn1 ZINC000248876108 337568065 /nfs/dbraw/zinc/56/80/65/337568065.db2.gz JMDRBCIYOXMMPT-JEBQAFNWSA-N 0 1 312.392 3.321 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C2c3ccccc3-c3ccccc32)C1=O ZINC000499567684 340039608 /nfs/dbraw/zinc/03/96/08/340039608.db2.gz ZOFBGHHSAQPZSR-LJQANCHMSA-N 0 1 318.420 3.475 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000499763386 340044421 /nfs/dbraw/zinc/04/44/21/340044421.db2.gz OOQDVTRFBRCKOJ-BBWFWOEESA-N 0 1 315.461 3.255 20 30 DGEDMN CN(CC(=O)NCC#N)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000499909258 340046831 /nfs/dbraw/zinc/04/68/31/340046831.db2.gz KHSWQDFSKIXCBC-QGZVFWFLSA-N 0 1 319.836 3.143 20 30 DGEDMN CC[C@H]1CO[C@@H](C)CN1Cc1cccc(OCCCC#N)c1 ZINC000505641465 340174106 /nfs/dbraw/zinc/17/41/06/340174106.db2.gz VMCKYWOVJKLYDT-RDJZCZTQSA-N 0 1 302.418 3.368 20 30 DGEDMN C=CCNC(=O)[C@H](C)N(C)Cc1c(CC)oc2ccccc21 ZINC000172883884 340346027 /nfs/dbraw/zinc/34/60/27/340346027.db2.gz MQWAWEPOIRAVQI-ZDUSSCGKSA-N 0 1 300.402 3.118 20 30 DGEDMN N#CCSCCCN1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000173896115 340377116 /nfs/dbraw/zinc/37/71/16/340377116.db2.gz UGHOIANZXXWSAA-UHFFFAOYSA-N 0 1 320.302 3.450 20 30 DGEDMN C[C@@H](N[C@H](C)c1cc2ccccc2o1)C(=O)NC1(C#N)CCC1 ZINC000530340164 340788527 /nfs/dbraw/zinc/78/85/27/340788527.db2.gz IHYBALNGZQIGPT-CHWSQXEVSA-N 0 1 311.385 3.034 20 30 DGEDMN Fc1ccc(C#CCSc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000135306168 341236332 /nfs/dbraw/zinc/23/63/32/341236332.db2.gz MMCBQIVOOPXNPV-UHFFFAOYSA-N 0 1 310.357 3.150 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)CCCCc1cn[nH]n1 ZINC000139329044 341348486 /nfs/dbraw/zinc/34/84/86/341348486.db2.gz ZCMAXMRAAVPJJZ-UHFFFAOYSA-N 0 1 304.438 3.112 20 30 DGEDMN C=C(C)CN(CCOC[C@H]1CCCO1)Cc1cnn(C(C)C)c1 ZINC000552174926 341375680 /nfs/dbraw/zinc/37/56/80/341375680.db2.gz STBRLJQCSSUNIL-GOSISDBHSA-N 0 1 321.465 3.038 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1ccc(C(C)(C)C)cc1 ZINC000577409488 341908715 /nfs/dbraw/zinc/90/87/15/341908715.db2.gz YUSOXKRLYGURRC-CVEARBPZSA-N 0 1 304.434 3.006 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NC/C(Cl)=C/Cl)[C@@H](C)C1 ZINC001724937755 1176292468 /nfs/dbraw/zinc/29/24/68/1176292468.db2.gz JTCPBDVWTIQEAT-XTRMEEPQSA-N 0 1 305.249 3.098 20 30 DGEDMN CCOC[C@H]1CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000181133116 520179715 /nfs/dbraw/zinc/17/97/15/520179715.db2.gz DRGRZRWLAMXSGQ-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN Cc1cc(CC(=O)Nc2ccccc2SC[C@@H](C)C#N)n[nH]1 ZINC000153189677 534152720 /nfs/dbraw/zinc/15/27/20/534152720.db2.gz XOOQVQHHQBHJGN-NSHDSACASA-N 0 1 314.414 3.151 20 30 DGEDMN C[C@@H]1[C@@H](C)CCN1CC(=O)c1cn(CCC#N)c2ccccc12 ZINC000177870574 534232817 /nfs/dbraw/zinc/23/28/17/534232817.db2.gz JYEGOYMIZFWKNY-LSDHHAIUSA-N 0 1 309.413 3.468 20 30 DGEDMN Cc1noc([C@@H]2CCCN(Cc3ccc(C#N)cc3Cl)C2)n1 ZINC000439432682 534448776 /nfs/dbraw/zinc/44/87/76/534448776.db2.gz VBBHXBKBQYVBRR-CQSZACIVSA-N 0 1 316.792 3.283 20 30 DGEDMN Cc1sc(NC(=O)CN(C)[C@@H]2CC[C@H](C)C2)c(C#N)c1C ZINC000429575870 534608231 /nfs/dbraw/zinc/60/82/31/534608231.db2.gz AXGWJPNVZMLWDX-GXFFZTMASA-N 0 1 305.447 3.296 20 30 DGEDMN N#CCC[C@@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccccc1 ZINC000153634693 534655246 /nfs/dbraw/zinc/65/52/46/534655246.db2.gz LKAZYIMHIZZNSC-MRXNPFEDSA-N 0 1 318.380 3.267 20 30 DGEDMN N#CCSCCCN[C@@H](c1nccs1)c1ccccc1 ZINC000174132857 534706239 /nfs/dbraw/zinc/70/62/39/534706239.db2.gz HAIPHNFVOBLVCQ-CQSZACIVSA-N 0 1 303.456 3.469 20 30 DGEDMN N#CC(C(=O)c1cncc(-c2ccccc2)c1)c1ccncn1 ZINC000354466220 534740611 /nfs/dbraw/zinc/74/06/11/534740611.db2.gz MPFDBLDSLUTFLA-INIZCTEOSA-N 0 1 300.321 3.029 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ncccc2F)n1)c1ccccn1 ZINC000352117743 534741171 /nfs/dbraw/zinc/74/11/71/534741171.db2.gz LHHIHHUTQSIPNU-JTQLQIEISA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@H](C(=O)c1csc(-c2ncccc2F)n1)c1ccccn1 ZINC000352117743 534741179 /nfs/dbraw/zinc/74/11/79/534741179.db2.gz LHHIHHUTQSIPNU-JTQLQIEISA-N 0 1 324.340 3.229 20 30 DGEDMN C=CCN(C)[C@H](C)C(=O)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000494627391 534816183 /nfs/dbraw/zinc/81/61/83/534816183.db2.gz YXDWSKLGDVLKBU-HUUCEWRRSA-N 0 1 300.446 3.249 20 30 DGEDMN C=CCN(Cc1ccc(C)o1)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000353494093 526335031 /nfs/dbraw/zinc/33/50/31/526335031.db2.gz YOCFOVKOHJLVDK-HNNXBMFYSA-N 0 1 323.400 3.313 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)CN1CCC(C)CC1 ZINC000353349885 526359887 /nfs/dbraw/zinc/35/98/87/526359887.db2.gz YJHHVXOUZLUAMY-UHFFFAOYSA-N 0 1 304.409 3.072 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000424633954 526433244 /nfs/dbraw/zinc/43/32/44/526433244.db2.gz DWBYNSXZSTUXQR-OAHLLOKOSA-N 0 1 304.434 3.443 20 30 DGEDMN C=C(C)CCN1CCN(Cc2nc3ccccc3s2)CC1 ZINC000351720022 526446134 /nfs/dbraw/zinc/44/61/34/526446134.db2.gz NYLYYSZFBRDVMB-UHFFFAOYSA-N 0 1 301.459 3.380 20 30 DGEDMN C=C(C)CCc1noc(-c2[nH]cnc2C(=O)c2ccccc2)n1 ZINC000347206644 526463702 /nfs/dbraw/zinc/46/37/02/526463702.db2.gz BWGLEZGSMMGDIY-UHFFFAOYSA-N 0 1 308.341 3.199 20 30 DGEDMN C=C(C)CCc1noc(-c2nc[nH]c2C(=O)c2ccccc2)n1 ZINC000347206644 526463704 /nfs/dbraw/zinc/46/37/04/526463704.db2.gz BWGLEZGSMMGDIY-UHFFFAOYSA-N 0 1 308.341 3.199 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)[C@H](C)c2ccccc2OC)C1=O ZINC000337140084 526473882 /nfs/dbraw/zinc/47/38/82/526473882.db2.gz QQRZUCWCKMZOHG-NVXWUHKLSA-N 0 1 316.445 3.255 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC[C@@H]2Cc2ccccc2Cl)C1=O ZINC000337127197 526478799 /nfs/dbraw/zinc/47/87/99/526478799.db2.gz GNEVSSCVEVKXHX-NVXWUHKLSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc2c[nH]nc2c1 ZINC000348637784 526560245 /nfs/dbraw/zinc/56/02/45/526560245.db2.gz VCKYLQVBUUTOCR-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)CN1CCC(C)CC1 ZINC000352196702 526564157 /nfs/dbraw/zinc/56/41/57/526564157.db2.gz DALCYKJGWGQTLX-UHFFFAOYSA-N 0 1 300.446 3.323 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000180034463 526571839 /nfs/dbraw/zinc/57/18/39/526571839.db2.gz JIXLYJWJMOZSJQ-INIZCTEOSA-N 0 1 324.424 3.218 20 30 DGEDMN C=C(C)CNC(=O)CSc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000154336676 526613433 /nfs/dbraw/zinc/61/34/33/526613433.db2.gz UMCCEEFECKYDIQ-UHFFFAOYSA-N 0 1 315.442 3.093 20 30 DGEDMN C=CCOCCCN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000348047148 526747759 /nfs/dbraw/zinc/74/77/59/526747759.db2.gz FLIZAUGEWMJQRG-SFHVURJKSA-N 0 1 317.408 3.173 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2cccc(-c3cn[nH]n3)c2)cc1 ZINC000346900309 526852493 /nfs/dbraw/zinc/85/24/93/526852493.db2.gz RADOKFLGCWWSTL-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCCCC2(C#N)CCOCC2)n1 ZINC000330722889 526874311 /nfs/dbraw/zinc/87/43/11/526874311.db2.gz YPWSFCRMCPMUEE-UHFFFAOYSA-N 0 1 308.451 3.295 20 30 DGEDMN CC(C)(C)c1nc(SCCCC2(C#N)CCOCC2)n[nH]1 ZINC000330722889 526874314 /nfs/dbraw/zinc/87/43/14/526874314.db2.gz YPWSFCRMCPMUEE-UHFFFAOYSA-N 0 1 308.451 3.295 20 30 DGEDMN C=CCOc1cccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c1 ZINC000435338156 526896971 /nfs/dbraw/zinc/89/69/71/526896971.db2.gz AHGMFMAJAZRQFL-XFXZXTDPSA-N 0 1 307.353 3.075 20 30 DGEDMN C=CCOc1cccc(CNCC(=O)Nc2cccc(F)c2)c1 ZINC000340441153 526901738 /nfs/dbraw/zinc/90/17/38/526901738.db2.gz UGSULPVCJBPAIA-UHFFFAOYSA-N 0 1 314.360 3.119 20 30 DGEDMN C=CC[C@@H](CO)CN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000346630358 527082643 /nfs/dbraw/zinc/08/26/43/527082643.db2.gz VKICVOGKLJLRAW-RDTXWAMCSA-N 0 1 300.377 3.084 20 30 DGEDMN C=CCCC1(C(=O)NC[C@H](c2ccc(F)cc2)N(C)C)CC1 ZINC000354820240 527091317 /nfs/dbraw/zinc/09/13/17/527091317.db2.gz QHCOEDZLCVWQMF-MRXNPFEDSA-N 0 1 304.409 3.291 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NC[C@H](c1ccccc1)N(C)C ZINC000302059637 527231545 /nfs/dbraw/zinc/23/15/45/527231545.db2.gz SFLCNFHMQQINEZ-LJQANCHMSA-N 0 1 307.397 3.476 20 30 DGEDMN C=CCCCNC(=O)c1n[nH]c2ccc(Br)cc21 ZINC000344451521 527232701 /nfs/dbraw/zinc/23/27/01/527232701.db2.gz BZIGUHCWRKGGFQ-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN C=CCn1cccc1-c1nc(Cc2nc3cccc(C)c3[nH]2)no1 ZINC000346632076 527377310 /nfs/dbraw/zinc/37/73/10/527377310.db2.gz HKRQIZFCGYHOPH-UHFFFAOYSA-N 0 1 319.368 3.500 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)[C@H](CC)N(C)Cc1ccccc1 ZINC000356053645 527454432 /nfs/dbraw/zinc/45/44/32/527454432.db2.gz HYXQEVJJVNYDIB-MSOLQXFVSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCC[C@H](C(=O)N1C[C@@H](C)N(C)C[C@@H]1C)c1ccccc1 ZINC000428023287 527462132 /nfs/dbraw/zinc/46/21/32/527462132.db2.gz KUSPBOBWLXBVGQ-RYRKJORJSA-N 0 1 300.446 3.287 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2cc(F)ccc2F)CC1 ZINC000177634963 527464797 /nfs/dbraw/zinc/46/47/97/527464797.db2.gz PMJANMRLNIZDKV-UHFFFAOYSA-N 0 1 307.388 3.417 20 30 DGEDMN CC(C)(C#N)N1CCC[C@@H](c2nc(C3CCCCC3)n[nH]2)C1 ZINC000331659989 527487567 /nfs/dbraw/zinc/48/75/67/527487567.db2.gz MBQPRCUCZYFESY-CQSZACIVSA-N 0 1 301.438 3.334 20 30 DGEDMN CC(C)(C#N)N1CCC[C@@H](c2n[nH]c(C3CCCCC3)n2)C1 ZINC000331659989 527487573 /nfs/dbraw/zinc/48/75/73/527487573.db2.gz MBQPRCUCZYFESY-CQSZACIVSA-N 0 1 301.438 3.334 20 30 DGEDMN C=CCC[C@H](O)CN1CCN(Cc2ccc(Cl)cc2C)CC1 ZINC000352570683 527492095 /nfs/dbraw/zinc/49/20/95/527492095.db2.gz KWGCGVFKOCTOFH-SFHVURJKSA-N 0 1 322.880 3.093 20 30 DGEDMN CC(C)(C#N)c1ccc(NS(=O)(=O)c2ccsc2)cc1 ZINC000350015471 527499468 /nfs/dbraw/zinc/49/94/68/527499468.db2.gz YWZQTBZJBSTBCS-UHFFFAOYSA-N 0 1 306.412 3.350 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)[C@@H](CC)N(C)Cc1ccccc1 ZINC000356053651 527603315 /nfs/dbraw/zinc/60/33/15/527603315.db2.gz HYXQEVJJVNYDIB-ZWKOTPCHSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CCC)Cc1ccc(F)cc1 ZINC000346611076 527608275 /nfs/dbraw/zinc/60/82/75/527608275.db2.gz SIWHQTOWNXMUKF-UHFFFAOYSA-N 0 1 304.409 3.238 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)C[C@@H]1c1cccc(F)c1 ZINC000441333318 527614562 /nfs/dbraw/zinc/61/45/62/527614562.db2.gz UCOHJVZHOKSRDX-CRAIPNDOSA-N 0 1 316.420 3.409 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CC[C@@H](c2ccccc2F)C1 ZINC000337539694 527629672 /nfs/dbraw/zinc/62/96/72/527629672.db2.gz XZSPRBUBWLGSLY-HZPDHXFCSA-N 0 1 316.420 3.204 20 30 DGEDMN CC1(C)Cc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc2O1 ZINC000443075931 527774941 /nfs/dbraw/zinc/77/49/41/527774941.db2.gz RXARVSAOAVUJJY-UHFFFAOYSA-N 0 1 308.337 3.230 20 30 DGEDMN CCCN(CC(=O)N(CCC#N)c1cc(C)cc(C)c1)C1CC1 ZINC000171682142 527887083 /nfs/dbraw/zinc/88/70/83/527887083.db2.gz NLOHHNIZIPGCKE-UHFFFAOYSA-N 0 1 313.445 3.425 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@@H]1C ZINC000356627371 527970387 /nfs/dbraw/zinc/97/03/87/527970387.db2.gz SJYTZTRMUMVEKN-GJZGRUSLSA-N 0 1 313.445 3.042 20 30 DGEDMN CC(C)CNC(=O)C(C#N)c1nc(-c2ccc(C#N)cc2)cs1 ZINC000155734725 528055982 /nfs/dbraw/zinc/05/59/82/528055982.db2.gz WMFGJMOSCUWFIQ-AWEZNQCLSA-N 0 1 324.409 3.061 20 30 DGEDMN CCCCCCCCCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000155539798 528122496 /nfs/dbraw/zinc/12/24/96/528122496.db2.gz WDGRBWGLTJFJRQ-CQSZACIVSA-N 0 1 307.442 3.046 20 30 DGEDMN CC(C)c1nc(C2CCN(Cc3cccc(C#N)c3)CC2)no1 ZINC000299695206 528268934 /nfs/dbraw/zinc/26/89/34/528268934.db2.gz VFESXZCGEPTEKU-UHFFFAOYSA-N 0 1 310.401 3.444 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1ccccc1)CC(C)(C)C ZINC000350767612 528356951 /nfs/dbraw/zinc/35/69/51/528356951.db2.gz LZDRMOZZTNIFHL-UHFFFAOYSA-N 0 1 315.461 3.297 20 30 DGEDMN CCC[C@]1(C(=O)OCC)CCCN1Cc1ccc(CC#N)cc1 ZINC000444778464 528487380 /nfs/dbraw/zinc/48/73/80/528487380.db2.gz IUVJBCHWELLKTD-LJQANCHMSA-N 0 1 314.429 3.450 20 30 DGEDMN CC(C)n1cc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc2n1 ZINC000442655939 528584377 /nfs/dbraw/zinc/58/43/77/528584377.db2.gz SRASHYRRQNHPOM-UHFFFAOYSA-N 0 1 320.352 3.447 20 30 DGEDMN CCOc1ccc2c(c1)CCN(CCOc1cccc(C#N)c1)C2 ZINC000441101889 528619268 /nfs/dbraw/zinc/61/92/68/528619268.db2.gz GYRHWUDDHWHSJE-UHFFFAOYSA-N 0 1 322.408 3.394 20 30 DGEDMN CCN(Cc1cccc(Cl)c1)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000345873242 528805965 /nfs/dbraw/zinc/80/59/65/528805965.db2.gz YQBBFCDWZGKLEK-CYBMUJFWSA-N 0 1 319.836 3.113 20 30 DGEDMN CCN(Cc1cccs1)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343261811 528854865 /nfs/dbraw/zinc/85/48/65/528854865.db2.gz UOQXIQUWCRKKBK-CZUORRHYSA-N 0 1 307.463 3.013 20 30 DGEDMN CC[C@H]1CCCN1CC(=O)N(CCC#N)c1cccc(C)c1C ZINC000341169750 529220372 /nfs/dbraw/zinc/22/03/72/529220372.db2.gz OLHBKXXFFQMNHP-KRWDZBQOSA-N 0 1 313.445 3.425 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)[C@]2(C#N)CC23CCCC3)c1 ZINC000451888080 535341432 /nfs/dbraw/zinc/34/14/32/535341432.db2.gz KNARHHJKKGHBEV-GOSISDBHSA-N 0 1 312.369 3.397 20 30 DGEDMN CCOC(=O)[C@@H]1CCC[C@@H]1NCc1ccc(Cl)cc1C#N ZINC000451773216 535544823 /nfs/dbraw/zinc/54/48/23/535544823.db2.gz BZAVBOQMBOBJQA-CABCVRRESA-N 0 1 306.793 3.033 20 30 DGEDMN CCc1nn(C)cc1CNCC#Cc1ccc(C(F)(F)F)cc1 ZINC000092410427 545846660 /nfs/dbraw/zinc/84/66/60/545846660.db2.gz QYGZLOMPWDEEAG-UHFFFAOYSA-N 0 1 321.346 3.143 20 30 DGEDMN C=C(C)[C@@H](NC(=O)CCc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000193864197 546245114 /nfs/dbraw/zinc/24/51/14/546245114.db2.gz KKQGGCHWWOLEPF-MRXNPFEDSA-N 0 1 305.328 3.054 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H](O)COCC(C)C ZINC000302876891 546439619 /nfs/dbraw/zinc/43/96/19/546439619.db2.gz QVCIZESSOBUMOC-ZDUSSCGKSA-N 0 1 317.882 3.423 20 30 DGEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000328658350 546480596 /nfs/dbraw/zinc/48/05/96/546480596.db2.gz QWQHDIZLVKZYPO-FXUDXRNXSA-N 0 1 304.438 3.134 20 30 DGEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000328658350 546480599 /nfs/dbraw/zinc/48/05/99/546480599.db2.gz QWQHDIZLVKZYPO-FXUDXRNXSA-N 0 1 304.438 3.134 20 30 DGEDMN Cc1cnn([C@H]2CCN(C([O-])=[NH+]CCC3CCCCC3)C2)c1 ZINC000329609625 546488425 /nfs/dbraw/zinc/48/84/25/546488425.db2.gz NKPCXJPHZXOPNX-INIZCTEOSA-N 0 1 304.438 3.323 20 30 DGEDMN O=C(C[C@@H]1CCOC1)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000329822131 546492813 /nfs/dbraw/zinc/49/28/13/546492813.db2.gz HHNYFRKCKDXGQW-XHDPSFHLSA-N 0 1 315.373 3.429 20 30 DGEDMN COCC#CCN(CCCO)C(c1ccccc1)c1ccccc1 ZINC000677818859 548561522 /nfs/dbraw/zinc/56/15/22/548561522.db2.gz JWOMMEQSXMNTEC-UHFFFAOYSA-N 0 1 323.436 3.110 20 30 DGEDMN C=C(CN[C@H](C)CS(=O)(=O)c1ccccc1)c1ccccc1 ZINC000680462628 549054890 /nfs/dbraw/zinc/05/48/90/549054890.db2.gz SWZPCCJCRNVGJT-MRXNPFEDSA-N 0 1 315.438 3.152 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H]1CCCN(C(C)C)C1=O ZINC000684088782 549576844 /nfs/dbraw/zinc/57/68/44/549576844.db2.gz KMSOOGRHBMUAQR-GOSISDBHSA-N 0 1 300.446 3.117 20 30 DGEDMN CCn1nc(C)cc1C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000684675263 549677976 /nfs/dbraw/zinc/67/79/76/549677976.db2.gz KQDLZKXKPROGKL-NSHDSACASA-N 0 1 316.430 3.456 20 30 DGEDMN C=CCN1CC[C@H](N2CCCC[C@@H]2c2ccccc2OC)C1=O ZINC000685001071 549732566 /nfs/dbraw/zinc/73/25/66/549732566.db2.gz ILGCBWFWKBUFHU-SJORKVTESA-N 0 1 314.429 3.009 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCC[C@H]2c2ccccc2OC)C1=O ZINC000685001068 549732722 /nfs/dbraw/zinc/73/27/22/549732722.db2.gz ILGCBWFWKBUFHU-DLBZAZTESA-N 0 1 314.429 3.009 20 30 DGEDMN COCCOc1ccc(CN=Nc2ccc(F)cc2F)cc1 ZINC000730463371 574529789 /nfs/dbraw/zinc/52/97/89/574529789.db2.gz WQZODVLRABLWGA-UHFFFAOYSA-N 0 1 306.312 3.436 20 30 DGEDMN COc1cc(C)cc2c1OCCC2N=Nc1ccc(F)cn1 ZINC000731319604 574546906 /nfs/dbraw/zinc/54/69/06/574546906.db2.gz WRRRTIRCIYMCLD-UHFFFAOYSA-N 0 1 301.321 3.136 20 30 DGEDMN CS(=O)(=O)c1ccccc1NN=Cc1ccc(Cl)cc1 ZINC000731932705 574562578 /nfs/dbraw/zinc/56/25/78/574562578.db2.gz GDZPTSUSUJJCEM-UHFFFAOYSA-N 0 1 308.790 3.190 20 30 DGEDMN Cc1cc(C)c(C=NNc2ccccc2S(C)(=O)=O)c(C)c1 ZINC000731935616 574562819 /nfs/dbraw/zinc/56/28/19/574562819.db2.gz IVCAOSPREULSOF-UHFFFAOYSA-N 0 1 316.426 3.461 20 30 DGEDMN N#Cc1nc(NCc2n[nH]c(-c3ccccc3)n2)ccc1Cl ZINC000732568282 574575960 /nfs/dbraw/zinc/57/59/60/574575960.db2.gz NVLJJCLIKLOGMH-UHFFFAOYSA-N 0 1 310.748 3.004 20 30 DGEDMN N#Cc1nc(NCc2nc(-c3ccccc3)n[nH]2)ccc1Cl ZINC000732568282 574575961 /nfs/dbraw/zinc/57/59/61/574575961.db2.gz NVLJJCLIKLOGMH-UHFFFAOYSA-N 0 1 310.748 3.004 20 30 DGEDMN C#CCOc1ccc(C(C)N=Nc2cccc([N+](=O)[O-])c2)cc1 ZINC000733200238 574607197 /nfs/dbraw/zinc/60/71/97/574607197.db2.gz CQCVDXFDMHDHKL-UHFFFAOYSA-N 0 1 309.325 3.443 20 30 DGEDMN N#CCOc1ccc(C[NH2+]Cc2c([O-])cccc2Cl)cc1 ZINC000733328248 574616328 /nfs/dbraw/zinc/61/63/28/574616328.db2.gz NDTUAMVKTYQWJT-UHFFFAOYSA-N 0 1 302.761 3.238 20 30 DGEDMN COc1cc(CN=Nc2cnnc3ccccc23)cc(OC)c1 ZINC000733748483 574636631 /nfs/dbraw/zinc/63/66/31/574636631.db2.gz ZWAYJVDLDCLJJG-UHFFFAOYSA-N 0 1 308.341 3.093 20 30 DGEDMN CCOC[C@@H]1CCCN(Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000733788705 574639066 /nfs/dbraw/zinc/63/90/66/574639066.db2.gz WXHJLLHEDNHKJM-QGZVFWFLSA-N 0 1 324.428 3.128 20 30 DGEDMN N#CCOc1cccc(CN=Nc2cc(F)c(F)cc2F)c1 ZINC000734302952 574655573 /nfs/dbraw/zinc/65/55/73/574655573.db2.gz WVCWYMHYSPUJBV-UHFFFAOYSA-N 0 1 305.259 3.452 20 30 DGEDMN C=CCN(CC=C)C[C@@H]1COC(C)(C)N1C(=O)OC(C)(C)C ZINC001659240200 1196362611 /nfs/dbraw/zinc/36/26/11/1196362611.db2.gz GOIZPJQILODGJZ-CQSZACIVSA-N 0 1 310.438 3.032 20 30 DGEDMN Fc1cccc(F)c1N=NCc1ccc(N2CCOCC2)cc1 ZINC000735616181 574709578 /nfs/dbraw/zinc/70/95/78/574709578.db2.gz ZLICCIOTFNQGGC-UHFFFAOYSA-N 0 1 317.339 3.247 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC[C@H]3CCCCO3)[nH]c21 ZINC000735792148 574715811 /nfs/dbraw/zinc/71/58/11/574715811.db2.gz INGFLLVHMHPSSE-ZIAGYGMSSA-N 0 1 311.385 3.397 20 30 DGEDMN C[C@H](C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1)c1ccccc1 ZINC000736574478 574759435 /nfs/dbraw/zinc/75/94/35/574759435.db2.gz DLKLPEFNSUONBJ-RDTXWAMCSA-N 0 1 308.381 3.419 20 30 DGEDMN C[N@@H+](CCCOc1ccc(C#N)cc1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000737439391 574843458 /nfs/dbraw/zinc/84/34/58/574843458.db2.gz NOIVTZRMICEYIT-GOSISDBHSA-N 0 1 324.380 3.085 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)[C@@H](C(=O)[O-])c1cccc(Cl)c1 ZINC000737452044 574844324 /nfs/dbraw/zinc/84/43/24/574844324.db2.gz WNTZWVBPONFALU-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C(C)C)C2CCCCC2)o1 ZINC000737467433 574846496 /nfs/dbraw/zinc/84/64/96/574846496.db2.gz OPHWEHJXYXWLDX-UHFFFAOYSA-N 0 1 317.397 3.167 20 30 DGEDMN O=[N+]([O-])c1ccc(Cl)cc1CN=Nc1ccc(Cl)nn1 ZINC000739399738 574901992 /nfs/dbraw/zinc/90/19/92/574901992.db2.gz HQHQVVGMQWLFPZ-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN CN(C)c1nccc(N=NCc2ccc(C(F)(F)F)cc2)n1 ZINC000739412829 574902481 /nfs/dbraw/zinc/90/24/81/574902481.db2.gz CSJKDZDJIAFSFW-UHFFFAOYSA-N 0 1 309.295 3.007 20 30 DGEDMN C=CCCCCCN(C)CN1C[C@H](c2ccccn2)CC1=O ZINC000739887467 574914705 /nfs/dbraw/zinc/91/47/05/574914705.db2.gz RWTNBIKBJDEWAS-MRXNPFEDSA-N 0 1 301.434 3.033 20 30 DGEDMN CCC(N=Nc1cccc([N+](=O)[O-])c1)c1c(C)nn(C)c1C ZINC000741838559 574972978 /nfs/dbraw/zinc/97/29/78/574972978.db2.gz WTVOLCBLCWZJGE-UHFFFAOYSA-N 0 1 301.350 3.171 20 30 DGEDMN CN(CCC#N)c1ccc(CNCc2cc(C#N)ccc2F)cc1 ZINC000742380208 574994583 /nfs/dbraw/zinc/99/45/83/574994583.db2.gz AUNGQDOXJYXLRI-UHFFFAOYSA-N 0 1 322.387 3.337 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2cc(C#N)ccc2F)cc1 ZINC000742381755 574994652 /nfs/dbraw/zinc/99/46/52/574994652.db2.gz HTDWPWLZUOOASJ-CYBMUJFWSA-N 0 1 309.344 3.278 20 30 DGEDMN CCc1ccc(N2CCN(Cc3ccc(C#N)cc3)CC2)cc1 ZINC000743868620 575066954 /nfs/dbraw/zinc/06/69/54/575066954.db2.gz ZMBBMKKIZHUESX-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccccc2)[nH]n1)C1CCCCC1 ZINC000744001352 575068376 /nfs/dbraw/zinc/06/83/76/575068376.db2.gz ARIKOAQBZMVDTR-UHFFFAOYSA-N 0 1 307.397 3.485 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000730084365 575274381 /nfs/dbraw/zinc/27/43/81/575274381.db2.gz HZFUHVXZLGEFNY-MSOLQXFVSA-N 0 1 324.509 3.185 20 30 DGEDMN C#CCOc1ccc(CNCc2nc3ccc(C)cc3[nH]2)cc1 ZINC000744447208 575343991 /nfs/dbraw/zinc/34/39/91/575343991.db2.gz NBARUCYBSNPUAY-UHFFFAOYSA-N 0 1 305.381 3.173 20 30 DGEDMN C/C(C=Nn1cnnc1-n1nc(C)cc1C)=C/c1ccccc1 ZINC000744747512 575354288 /nfs/dbraw/zinc/35/42/88/575354288.db2.gz ROUMHHPABAPHSZ-TZVOEULESA-N 0 1 306.373 3.018 20 30 DGEDMN CC(=NN=C(C)c1cnccn1)c1[nH]c(-c2ccccc2)nc1C ZINC000745200848 575384577 /nfs/dbraw/zinc/38/45/77/575384577.db2.gz CRVQCXDFQHJCNA-UHFFFAOYSA-N 0 1 318.384 3.408 20 30 DGEDMN CC(C)CN(CCC#N)C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000745382417 575394506 /nfs/dbraw/zinc/39/45/06/575394506.db2.gz FBGNIGWRPYIKOA-UHFFFAOYSA-N 0 1 314.364 3.228 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000745947709 575422991 /nfs/dbraw/zinc/42/29/91/575422991.db2.gz JYWSVOGCGLDXJB-CQSZACIVSA-N 0 1 302.374 3.169 20 30 DGEDMN Oc1c(Br)cccc1C=NOCc1cccnc1 ZINC000746586498 575463549 /nfs/dbraw/zinc/46/35/49/575463549.db2.gz ZVPBEXLUGRKZIS-UHFFFAOYSA-N 0 1 307.147 3.100 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc(O)cc1)CC1CCCCC1 ZINC000747528802 575523716 /nfs/dbraw/zinc/52/37/16/575523716.db2.gz FONJCSFFMPNWCP-UHFFFAOYSA-N 0 1 302.418 3.399 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2ccc(C(C)(C)C)cc2)CC1 ZINC000747627148 575527966 /nfs/dbraw/zinc/52/79/66/575527966.db2.gz GRLRQHJFKXHQGJ-UHFFFAOYSA-N 0 1 313.441 3.373 20 30 DGEDMN C#CCN1CCC(C(=O)Oc2c(C)cc(Cl)cc2C)CC1 ZINC000747625927 575528017 /nfs/dbraw/zinc/52/80/17/575528017.db2.gz WHXAMAXINWHVJW-UHFFFAOYSA-N 0 1 305.805 3.207 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1cnnc3ccccc31)CC2 ZINC000747631311 575528046 /nfs/dbraw/zinc/52/80/46/575528046.db2.gz ILEGQZYZFCJPCU-UHFFFAOYSA-N 0 1 304.353 3.401 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2csc(Br)c2)c1 ZINC000748002758 575555744 /nfs/dbraw/zinc/55/57/44/575555744.db2.gz OIWDTDLZVCSYHV-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C[N@H+]1CCC[C@H]1C(=O)Nc1ccc([C@@H](C#N)c2ccccc2)cc1 ZINC000748558811 575593257 /nfs/dbraw/zinc/59/32/57/575593257.db2.gz OKLXMJBEAJVWHI-OALUTQOASA-N 0 1 319.408 3.375 20 30 DGEDMN CN1CCC[C@H]1C(=O)Nc1ccc([C@@H](C#N)c2ccccc2)cc1 ZINC000748558811 575593261 /nfs/dbraw/zinc/59/32/61/575593261.db2.gz OKLXMJBEAJVWHI-OALUTQOASA-N 0 1 319.408 3.375 20 30 DGEDMN C[N@H+]1CCC[C@H]1C(=O)Nc1ccc([C@H](C#N)c2ccccc2)cc1 ZINC000748558810 575593536 /nfs/dbraw/zinc/59/35/36/575593536.db2.gz OKLXMJBEAJVWHI-MOPGFXCFSA-N 0 1 319.408 3.375 20 30 DGEDMN CN1CCC[C@H]1C(=O)Nc1ccc([C@H](C#N)c2ccccc2)cc1 ZINC000748558810 575593538 /nfs/dbraw/zinc/59/35/38/575593538.db2.gz OKLXMJBEAJVWHI-MOPGFXCFSA-N 0 1 319.408 3.375 20 30 DGEDMN CC(C)CON=Cc1c(Cl)cccc1N1CCN(C)CC1 ZINC000749627195 575669986 /nfs/dbraw/zinc/66/99/86/575669986.db2.gz YSDPKIKDPVFATL-UHFFFAOYSA-N 0 1 309.841 3.098 20 30 DGEDMN N#CCCSc1ccccc1NC(=S)NC[C@@H]1CCOC1 ZINC000749710816 575673495 /nfs/dbraw/zinc/67/34/95/575673495.db2.gz VSMJYQNKERRHAS-LBPRGKRZSA-N 0 1 321.471 3.015 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc([C@H](C#N)c2ccccc2)cc1)N(C)C ZINC000750600456 575741705 /nfs/dbraw/zinc/74/17/05/575741705.db2.gz XMYUFBCZBNLQJX-KBXCAEBGSA-N 0 1 307.397 3.231 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NN=c1ccc2ccccc2[nH]1 ZINC000751131184 575771424 /nfs/dbraw/zinc/77/14/24/575771424.db2.gz MHMLNQJGVYWSPT-UHFFFAOYSA-N 0 1 308.297 3.295 20 30 DGEDMN c1ccc(N=NCC2(CN3CCOCC3)CCCCC2)cc1 ZINC000751128121 575771566 /nfs/dbraw/zinc/77/15/66/575771566.db2.gz WTZYNAJJOMPDHI-UHFFFAOYSA-N 0 1 301.434 3.367 20 30 DGEDMN Cc1cc(N=NC2CN(Cc3ccccc3)C[C@H]2C)nc(C)n1 ZINC000751526751 575788829 /nfs/dbraw/zinc/78/88/29/575788829.db2.gz MLRIWROZXPYQPS-CYBMUJFWSA-N 0 1 309.417 3.013 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1cnn(Cc2ccccc2)c1 ZINC000725719611 575841741 /nfs/dbraw/zinc/84/17/41/575841741.db2.gz JFNNRYHQFMEOBA-UHFFFAOYSA-N 0 1 316.368 3.254 20 30 DGEDMN Cc1cc(C)c(C=Nn2c(=O)c3ccccc3[nH]c2=S)cc1C ZINC000725859811 575935628 /nfs/dbraw/zinc/93/56/28/575935628.db2.gz QVHRQHNHHHPZPS-UHFFFAOYSA-N 0 1 323.421 3.493 20 30 DGEDMN N#Cc1ccc([C@@H]([NH2+]Cc2c(F)cccc2Cl)C(=O)[O-])cc1 ZINC000739104464 575957951 /nfs/dbraw/zinc/95/79/51/575957951.db2.gz AZWMDCHSPBSANL-OAHLLOKOSA-N 0 1 318.735 3.266 20 30 DGEDMN C=CCOc1ccc(C=NNCCN2CCCCC2)cc1Cl ZINC000727256066 576115523 /nfs/dbraw/zinc/11/55/23/576115523.db2.gz UZAKLPBNQMOQGL-UHFFFAOYSA-N 0 1 321.852 3.314 20 30 DGEDMN COc1ccc(CNN=Cc2ccc(N(C)C)c(F)c2)cc1 ZINC000727651375 576136220 /nfs/dbraw/zinc/13/62/20/576136220.db2.gz WBHUCOAPWNPMAL-UHFFFAOYSA-N 0 1 301.365 3.024 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2cccc(O)c2O)c(Cl)c1 ZINC000727649804 576136272 /nfs/dbraw/zinc/13/62/72/576136272.db2.gz NFZDCXGYXNTQHC-UHFFFAOYSA-N 0 1 307.693 3.105 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2ccc(O)c(O)c2)c(Cl)c1 ZINC000727652188 576136880 /nfs/dbraw/zinc/13/68/80/576136880.db2.gz VZMHYCDOJPPVCQ-UHFFFAOYSA-N 0 1 307.693 3.105 20 30 DGEDMN CCn1nc(C)c(CNCc2ccc(O[C@H](C)C#N)cc2)c1C ZINC000728714840 576196598 /nfs/dbraw/zinc/19/65/98/576196598.db2.gz APUZLZXLRVUVLS-CYBMUJFWSA-N 0 1 312.417 3.101 20 30 DGEDMN CC(C)CN(CCC#N)C[C@@H](O)COCc1ccc(Cl)cc1 ZINC000916633097 620639764 /nfs/dbraw/zinc/63/97/64/620639764.db2.gz IBHCTULMZYFIKZ-QGZVFWFLSA-N 0 1 324.852 3.089 20 30 DGEDMN CC(C)(C)OC(=O)NCCCCCCN1CC[C@@](C)(C#N)C1 ZINC000852687322 620641004 /nfs/dbraw/zinc/64/10/04/620641004.db2.gz SLKBJPFYRSRQRN-KRWDZBQOSA-N 0 1 309.454 3.307 20 30 DGEDMN CC(C)(C)OC(=O)NCCCCCCN1CC[C@](C)(C#N)C1 ZINC000852687324 620641305 /nfs/dbraw/zinc/64/13/05/620641305.db2.gz SLKBJPFYRSRQRN-QGZVFWFLSA-N 0 1 309.454 3.307 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@H+](CCC(=O)[O-])Cc1ccccc1 ZINC000692436942 599962033 /nfs/dbraw/zinc/96/20/33/599962033.db2.gz OHOBILKVHBXJGG-UHFFFAOYSA-N 0 1 312.344 3.174 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+](CCC(=O)[O-])Cc1ccccc1 ZINC000692436942 599962035 /nfs/dbraw/zinc/96/20/35/599962035.db2.gz OHOBILKVHBXJGG-UHFFFAOYSA-N 0 1 312.344 3.174 20 30 DGEDMN CC(C)[C@H](NC[C@H](C#N)CCC#N)[C@H](O)c1ccc(Cl)cc1 ZINC000852732607 620644161 /nfs/dbraw/zinc/64/41/61/620644161.db2.gz VBDRUBKJIJSUDC-RRQGHBQHSA-N 0 1 319.836 3.431 20 30 DGEDMN C#CCOc1cccc(-c2noc([C@H]3CCCN3C(C)C)n2)c1 ZINC000923862180 620649199 /nfs/dbraw/zinc/64/91/99/620649199.db2.gz QISVEPVHFOOVBY-MRXNPFEDSA-N 0 1 311.385 3.294 20 30 DGEDMN Cc1ccoc1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000916913208 620650055 /nfs/dbraw/zinc/65/00/55/620650055.db2.gz VFXBLUIBTAFUGU-SNVBAGLBSA-N 0 1 302.717 3.203 20 30 DGEDMN CCCCCC(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000916923730 620649642 /nfs/dbraw/zinc/64/96/42/620649642.db2.gz NFSVYGPCIDTAFV-ZDUSSCGKSA-N 0 1 302.374 3.313 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000916938916 620650332 /nfs/dbraw/zinc/65/03/32/620650332.db2.gz OVZZFXGFIKDUFI-LBPRGKRZSA-N 0 1 322.792 3.432 20 30 DGEDMN C=CCN(CC(=O)N(C)Cc1c(F)cccc1Cl)C(C)C ZINC000917071133 620655322 /nfs/dbraw/zinc/65/53/22/620655322.db2.gz OLQRZQQRPSIJKG-UHFFFAOYSA-N 0 1 312.816 3.334 20 30 DGEDMN Clc1cc(Cl)cc(C=NNCCN2CCCCC2)c1 ZINC000917545672 620672988 /nfs/dbraw/zinc/67/29/88/620672988.db2.gz WXFOXOZWBKZRQJ-UHFFFAOYSA-N 0 1 300.233 3.403 20 30 DGEDMN Cc1ccc(-c2ccc(C=NNc3ncnc4nc[nH]c43)o2)cc1 ZINC000917556166 620675844 /nfs/dbraw/zinc/67/58/44/620675844.db2.gz UZSGQNJWIJRLFW-UHFFFAOYSA-N 0 1 318.340 3.367 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccco1)N1CCCC1)C(C)C ZINC000918056516 620695715 /nfs/dbraw/zinc/69/57/15/620695715.db2.gz IIEFVYHFNLYFJL-HNNXBMFYSA-N 0 1 305.422 3.023 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2ccccc2Cl)cc1 ZINC000918185627 620701039 /nfs/dbraw/zinc/70/10/39/620701039.db2.gz UQHAASWOHIRRJF-UHFFFAOYSA-N 0 1 303.793 3.243 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CC3(CCC3)OC[C@@H]2C)c1O ZINC000853542441 620708391 /nfs/dbraw/zinc/70/83/91/620708391.db2.gz POVJYXYPBBYZCK-AWEZNQCLSA-N 0 1 317.429 3.273 20 30 DGEDMN CCCCCCCCCS(=O)(=O)N(C)Cc1cn[nH]c1C ZINC000918687927 620719675 /nfs/dbraw/zinc/71/96/75/620719675.db2.gz HIYPFFRINXHNHW-UHFFFAOYSA-N 0 1 315.483 3.230 20 30 DGEDMN C=CC(C)(C)NC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000891497420 617816468 /nfs/dbraw/zinc/81/64/68/617816468.db2.gz FIXIFWQFBFWUBK-CQSZACIVSA-N 0 1 305.422 3.070 20 30 DGEDMN C=CCN(Cc1cccs1)[C@H]1CCC2(C[C@H]1F)OCCO2 ZINC000891509897 617819395 /nfs/dbraw/zinc/81/93/95/617819395.db2.gz QSSZBXMVAYQQPC-CABCVRRESA-N 0 1 311.422 3.370 20 30 DGEDMN COc1cccc(NN=Cc2ccccc2Br)n1 ZINC000779819016 617931884 /nfs/dbraw/zinc/93/18/84/617931884.db2.gz FYXXZVJTXZFPAY-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN Cc1ccnc(-c2n[nH]c([C@H](C)N[C@@H](C)CC(C)(C)C#N)n2)c1 ZINC000926311828 617935573 /nfs/dbraw/zinc/93/55/73/617935573.db2.gz ZCDGLQJIVJVUND-STQMWFEESA-N 0 1 312.421 3.154 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC000892240103 617992240 /nfs/dbraw/zinc/99/22/40/617992240.db2.gz OEZDFMSPEMIZKJ-ZDUSSCGKSA-N 0 1 306.431 3.175 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)NCc1ccccc1)CC(F)F ZINC000892483957 618079089 /nfs/dbraw/zinc/07/90/89/618079089.db2.gz CZUCUFXEZNJEBI-CYBMUJFWSA-N 0 1 322.355 3.083 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3CCOC4(CCC4)C3)[nH]c21 ZINC000892705732 618143421 /nfs/dbraw/zinc/14/34/21/618143421.db2.gz QURGGTZHXJFMLI-KGLIPLIRSA-N 0 1 323.396 3.397 20 30 DGEDMN N#CC(C(=O)Cc1cc2ccccc2o1)C(=O)NC1CCCCC1 ZINC000920109903 620783743 /nfs/dbraw/zinc/78/37/43/620783743.db2.gz VORVUMNJNSNQEJ-MRXNPFEDSA-N 0 1 324.380 3.133 20 30 DGEDMN COc1cccc2c1OCCC2=NNc1ccccc1[N+](=O)[O-] ZINC000920356082 620796700 /nfs/dbraw/zinc/79/67/00/620796700.db2.gz IZXJKDXCWWUGIK-UHFFFAOYSA-N 0 1 313.313 3.202 20 30 DGEDMN COc1cc(CNCc2cccc3c2NCCC3)ccc1C#N ZINC000894794560 618457853 /nfs/dbraw/zinc/45/78/53/618457853.db2.gz MXUQYQBYSRLVBS-UHFFFAOYSA-N 0 1 307.397 3.215 20 30 DGEDMN N#CCC[C@H](N[C@H]1CCC2(C[C@@H]1F)OCCO2)c1ccccc1 ZINC000893194747 618469131 /nfs/dbraw/zinc/46/91/31/618469131.db2.gz KSNNEDFAGPCKBD-ULQDDVLXSA-N 0 1 318.392 3.255 20 30 DGEDMN C[C@@H]1CN(CCC(C)(C)C#N)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC000776581280 618529945 /nfs/dbraw/zinc/52/99/45/618529945.db2.gz ANMLMQZCRHCIJG-ZIAGYGMSSA-N 0 1 309.454 3.256 20 30 DGEDMN O=C1[C@H](NCc2ccc(-c3cccc(F)c3)s2)CCCN1O ZINC000895165272 618561729 /nfs/dbraw/zinc/56/17/29/618561729.db2.gz LCTVECBHFIMPCM-CQSZACIVSA-N 0 1 320.389 3.024 20 30 DGEDMN C[C@H](C#N)CN(C)CC1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000893962637 618680944 /nfs/dbraw/zinc/68/09/44/618680944.db2.gz QAIJOAUWJIQPTJ-WZYMTQMTSA-N 0 1 321.465 3.256 20 30 DGEDMN CC(C)(CO)[C@@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000894074469 618705986 /nfs/dbraw/zinc/70/59/86/618705986.db2.gz QCOVVVVJKWJUPQ-INIZCTEOSA-N 0 1 300.427 3.469 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1CNCc1csc(C(F)(F)F)n1 ZINC000894218753 618732393 /nfs/dbraw/zinc/73/23/93/618732393.db2.gz IDJIGOVWTVLULX-KOLCDFICSA-N 0 1 306.353 3.233 20 30 DGEDMN C#CC[C@@H]1CC[N@H+](Cc2cc(Br)cc(F)c2[O-])C1 ZINC000895390625 618777950 /nfs/dbraw/zinc/77/79/50/618777950.db2.gz NYSLKFFBTJBHTL-SNVBAGLBSA-N 0 1 312.182 3.139 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H](c2ccco2)N2CCCCC2)cc1 ZINC000895456039 618786692 /nfs/dbraw/zinc/78/66/92/618786692.db2.gz AMVXIQKDJGHKGR-GOSISDBHSA-N 0 1 322.408 3.218 20 30 DGEDMN N#Cc1cc(CN[C@H](c2ccncc2)C2(CO)CCC2)cs1 ZINC000895481607 618791328 /nfs/dbraw/zinc/79/13/28/618791328.db2.gz OYHQYVUHEFCSQP-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](NCc1csc(C(F)(F)F)n1)C2 ZINC000895861469 618840864 /nfs/dbraw/zinc/84/08/64/618840864.db2.gz PRLGXRRUGRMANT-GFCCVEGCSA-N 0 1 323.343 3.291 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)[C@@H]1CCN1C(C)(C)C ZINC000895958342 618855036 /nfs/dbraw/zinc/85/50/36/618855036.db2.gz ONTMVAWBPCZROX-KRWDZBQOSA-N 0 1 316.445 3.083 20 30 DGEDMN CSc1ccc(CNCc2cnc(F)cc2C)cc1C#N ZINC000896047239 618865947 /nfs/dbraw/zinc/86/59/47/618865947.db2.gz XTNFDPSQSLAPJW-UHFFFAOYSA-N 0 1 301.390 3.413 20 30 DGEDMN N#C[C@@]1(C(=O)Nc2ccc(F)c(F)c2O)CC2CCC1CC2 ZINC000909571474 618997356 /nfs/dbraw/zinc/99/73/56/618997356.db2.gz COPIGCUCNCQVNJ-HWYSMQLTSA-N 0 1 306.312 3.329 20 30 DGEDMN N#Cc1ccc([C@@H]2CN(CC3Cc4ccccc4C3)CCO2)cc1 ZINC000897596044 619164671 /nfs/dbraw/zinc/16/46/71/619164671.db2.gz LJYHSBCSEUMNQD-NRFANRHFSA-N 0 1 318.420 3.347 20 30 DGEDMN N#Cc1ccc([C@@H]2CN(Cc3cccc4n[nH]cc43)CCO2)cc1 ZINC000897595696 619164676 /nfs/dbraw/zinc/16/46/76/619164676.db2.gz FTIHYBJHECGQLL-IBGZPJMESA-N 0 1 318.380 3.008 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CN2CCC3(CC2)CC(=O)C=CO3)o1 ZINC000897602895 619165522 /nfs/dbraw/zinc/16/55/22/619165522.db2.gz ZDEUVVFCYLMESI-CZUORRHYSA-N 0 1 301.386 3.241 20 30 DGEDMN C[C@H]1C[C@H]1c1ccc(CN2CCC3(CC2)CC(=O)C=CO3)o1 ZINC000897602896 619165653 /nfs/dbraw/zinc/16/56/53/619165653.db2.gz ZDEUVVFCYLMESI-XJKSGUPXSA-N 0 1 301.386 3.241 20 30 DGEDMN C=CCOc1ccccc1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000897602091 619165722 /nfs/dbraw/zinc/16/57/22/619165722.db2.gz DTSIBWOAENIDIL-UHFFFAOYSA-N 0 1 313.397 3.089 20 30 DGEDMN COc1cc(C)cc(CN(C)Cc2cc(C#N)cs2)c1O ZINC000897624755 619168161 /nfs/dbraw/zinc/16/81/61/619168161.db2.gz WTZXASKKLKOPSH-UHFFFAOYSA-N 0 1 302.399 3.274 20 30 DGEDMN C=CC(C)(C)NCc1cc(C2CN(C(=O)OC(C)(C)C)C2)no1 ZINC000898460589 619277186 /nfs/dbraw/zinc/27/71/86/619277186.db2.gz PCANXANVRRDPCJ-UHFFFAOYSA-N 0 1 321.421 3.063 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)c2c(C)cc(C#N)cc2C)CC1 ZINC000898984207 619305194 /nfs/dbraw/zinc/30/51/94/619305194.db2.gz UOOSUVXOVYDUTN-UHFFFAOYSA-N 0 1 322.412 3.226 20 30 DGEDMN N#Cc1ccc(-n2[nH]c(CC3CC3)cc2=O)c(C(F)(F)F)c1 ZINC000899352582 619328056 /nfs/dbraw/zinc/32/80/56/619328056.db2.gz LSDZOUDDKCXVJG-UHFFFAOYSA-N 0 1 307.275 3.470 20 30 DGEDMN Cc1sc([C@@H](C)[NH2+]Cc2ccc(C#N)c(C)c2)nc1C(=O)[O-] ZINC000900079472 619400953 /nfs/dbraw/zinc/40/09/53/619400953.db2.gz SPZHUIZQMKTDGD-SNVBAGLBSA-N 0 1 315.398 3.181 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@@](C)(C#N)C1CCCCC1 ZINC000900724811 619447085 /nfs/dbraw/zinc/44/70/85/619447085.db2.gz RXPXPSNQJALQBF-NJAPINKUSA-N 0 1 314.433 3.054 20 30 DGEDMN Fc1cc2[nH]c(=NN=Cc3cnn(C4CCC4)c3)[nH]c2cc1F ZINC000901165200 619475972 /nfs/dbraw/zinc/47/59/72/619475972.db2.gz GPHIDUDRBUXZNJ-UHFFFAOYSA-N 0 1 316.315 3.209 20 30 DGEDMN COc1ccc2c(c1Cl)C(=NNc1cnn(C)c1)CCC2 ZINC000901231977 619479039 /nfs/dbraw/zinc/47/90/39/619479039.db2.gz SHHAUBHSJKPBGJ-UHFFFAOYSA-N 0 1 304.781 3.235 20 30 DGEDMN CC(N=Nc1nccnc1C1CCC1)c1c(F)cncc1F ZINC000901238578 619479585 /nfs/dbraw/zinc/47/95/85/619479585.db2.gz FVWGUWVLKXEBKS-UHFFFAOYSA-N 0 1 303.316 3.253 20 30 DGEDMN COc1ccc2ccccc2c1CCNC[C@H](C#N)CCC#N ZINC000901573522 619521073 /nfs/dbraw/zinc/52/10/73/619521073.db2.gz AQQBJMXBASCRHE-HNNXBMFYSA-N 0 1 307.397 3.424 20 30 DGEDMN COc1ccc2ccccc2c1CCNC[C@@H](C#N)CCC#N ZINC000901573523 619521099 /nfs/dbraw/zinc/52/10/99/619521099.db2.gz AQQBJMXBASCRHE-OAHLLOKOSA-N 0 1 307.397 3.424 20 30 DGEDMN O=C(/C=C/c1cn(C2CCC2)nn1)c1ccc(O)cc1Cl ZINC000901578354 619521525 /nfs/dbraw/zinc/52/15/25/619521525.db2.gz LPJPCRXOVULXQX-QPJJXVBHSA-N 0 1 303.749 3.258 20 30 DGEDMN C#CCCCCCC[N@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000901921671 619561202 /nfs/dbraw/zinc/56/12/02/619561202.db2.gz PNDRZPDTYZVMCT-UHFFFAOYSA-N 0 1 303.377 3.466 20 30 DGEDMN C=CCCC1(C[NH2+]C2CCN(CCCC(=O)[O-])CC2)CCCC1 ZINC000902421187 619633180 /nfs/dbraw/zinc/63/31/80/619633180.db2.gz GJMSAGXRPLGOQA-UHFFFAOYSA-N 0 1 322.493 3.432 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C(C)C)[nH]1)[C@]1(C)CCCCO1 ZINC000903005704 619703635 /nfs/dbraw/zinc/70/36/35/619703635.db2.gz YERPEMLNFJRBSL-YOEHRIQHSA-N 0 1 306.454 3.312 20 30 DGEDMN CC(C)OC[C@H](Cc1ccc(F)cc1)NCC1(C#N)CCC1 ZINC000903035517 619705128 /nfs/dbraw/zinc/70/51/28/619705128.db2.gz QTCQLXYWBHIUCD-KRWDZBQOSA-N 0 1 304.409 3.445 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCCCC[C@H]1NCC1(C#N)CC1 ZINC000903105855 619717662 /nfs/dbraw/zinc/71/76/62/619717662.db2.gz ICGZMCYUAZGQIG-KGLIPLIRSA-N 0 1 307.438 3.106 20 30 DGEDMN CCN(C(=O)[C@H]1CCCN1C(C)C)c1ccc(C#N)c(Cl)c1 ZINC000904036038 619861965 /nfs/dbraw/zinc/86/19/65/619861965.db2.gz KKOMICRTZFJCBS-MRXNPFEDSA-N 0 1 319.836 3.437 20 30 DGEDMN CC#CC[C@@H]([NH2+]Cc1ccc(-c2ccc(F)cc2C)o1)C(=O)[O-] ZINC000904057741 619866955 /nfs/dbraw/zinc/86/69/55/619866955.db2.gz AHUUKKVZJVAKKJ-MRXNPFEDSA-N 0 1 315.344 3.350 20 30 DGEDMN N#C[C@H](OC(=O)c1[nH]nc2c1CCCCC2)C1CCCCC1 ZINC000789176340 625388969 /nfs/dbraw/zinc/38/89/69/625388969.db2.gz MGPJFGZZSJMBCY-HNNXBMFYSA-N 0 1 301.390 3.308 20 30 DGEDMN Cc1nn(C)c(/C=C\C(=O)c2cccc(CN(C)C)c2)c1Cl ZINC000905078862 619968100 /nfs/dbraw/zinc/96/81/00/619968100.db2.gz XUPWCHFLAPBBPC-HJWRWDBZSA-N 0 1 317.820 3.340 20 30 DGEDMN CCOCc1nc(C)cc(NN=C(C)c2cccc(F)c2F)n1 ZINC000905502014 620002512 /nfs/dbraw/zinc/00/25/12/620002512.db2.gz XZJNOCZXHRTOKL-UHFFFAOYSA-N 0 1 320.343 3.436 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000907264099 620147803 /nfs/dbraw/zinc/14/78/03/620147803.db2.gz WWYHGJSWXPHDAP-DYEKYZERSA-N 0 1 324.384 3.005 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC000907816196 620175299 /nfs/dbraw/zinc/17/52/99/620175299.db2.gz RQXSSHHLFYOTEK-OAHLLOKOSA-N 0 1 313.445 3.090 20 30 DGEDMN C[C@H](CC#N)C(=O)N(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000912445415 620387931 /nfs/dbraw/zinc/38/79/31/620387931.db2.gz KEWJJQOXSQYFNL-SECBINFHSA-N 0 1 324.306 3.090 20 30 DGEDMN COc1cccc(O)c1C=NN=c1[nH]c2cc(F)c(F)cc2[nH]1 ZINC000912822703 620408401 /nfs/dbraw/zinc/40/84/01/620408401.db2.gz KCTIWRDXMWNMKX-UHFFFAOYSA-N 0 1 318.283 3.001 20 30 DGEDMN COc1cccc(O)c1C=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1 ZINC000912822703 620408403 /nfs/dbraw/zinc/40/84/03/620408403.db2.gz KCTIWRDXMWNMKX-UHFFFAOYSA-N 0 1 318.283 3.001 20 30 DGEDMN N#Cc1ccc(COC(=O)c2cc(-c3ccco3)n[nH]2)c(F)c1 ZINC000913302416 620434509 /nfs/dbraw/zinc/43/45/09/620434509.db2.gz ZQAASQOHYPTRFV-UHFFFAOYSA-N 0 1 311.272 3.037 20 30 DGEDMN C#CCCCCC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000913512184 620446593 /nfs/dbraw/zinc/44/65/93/620446593.db2.gz TXDMSBLWFABPFC-IBGZPJMESA-N 0 1 307.397 3.077 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000151615414 620466515 /nfs/dbraw/zinc/46/65/15/620466515.db2.gz QHEXEFQKDAPKHL-ZDUSSCGKSA-N 0 1 302.374 3.169 20 30 DGEDMN CCCCCCCCCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913742887 620475929 /nfs/dbraw/zinc/47/59/29/620475929.db2.gz ZTZWULYVMDFTNK-UHFFFAOYSA-N 0 1 307.442 3.046 20 30 DGEDMN N#CCOc1cccc(C=NNc2ccc(C(F)(F)F)cn2)c1 ZINC000915937388 620608764 /nfs/dbraw/zinc/60/87/64/620608764.db2.gz PDEQESPPKLQLGF-UHFFFAOYSA-N 0 1 320.274 3.449 20 30 DGEDMN Cc1cc(NN=Cc2ccccc2Br)nc(C)n1 ZINC000915971038 620610020 /nfs/dbraw/zinc/61/00/20/620610020.db2.gz ZNGLBSPZZRZYSD-UHFFFAOYSA-N 0 1 305.179 3.302 20 30 DGEDMN Clc1cccc(Cl)c1C=NNCCN1CCCCC1 ZINC000915973805 620610387 /nfs/dbraw/zinc/61/03/87/620610387.db2.gz MPMUSPCGFXCZTK-UHFFFAOYSA-N 0 1 300.233 3.403 20 30 DGEDMN N#CC1(c2ccccc2)CCC(=NNCCN2CCCCC2)CC1 ZINC000915974638 620610391 /nfs/dbraw/zinc/61/03/91/620610391.db2.gz JPZWJRCWJVEQFC-UHFFFAOYSA-N 0 1 324.472 3.453 20 30 DGEDMN Ic1ccccc1C=NNc1ccccn1 ZINC000916276211 620623712 /nfs/dbraw/zinc/62/37/12/620623712.db2.gz PUEULKXSBHWDMS-UHFFFAOYSA-N 0 1 323.137 3.132 20 30 DGEDMN Fc1cccc(N2CCCC2)c1CN=Nc1ccc(Cl)nn1 ZINC000916287986 620624565 /nfs/dbraw/zinc/62/45/65/620624565.db2.gz KPFYKOMIXZIYEA-UHFFFAOYSA-N 0 1 319.771 3.315 20 30 DGEDMN CC(=NNCC(C)C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000916543527 620634047 /nfs/dbraw/zinc/63/40/47/620634047.db2.gz RQZBEFPWQNATPH-UHFFFAOYSA-N 0 1 303.450 3.348 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=C1CCOc2c(F)cccc21 ZINC000921254480 620844938 /nfs/dbraw/zinc/84/49/38/620844938.db2.gz WPUVSRGJUFWJMC-UHFFFAOYSA-N 0 1 301.277 3.333 20 30 DGEDMN C#CCOc1ccc(CNCc2cnn(-c3ccccc3)c2)cc1 ZINC000113319214 620900495 /nfs/dbraw/zinc/90/04/95/620900495.db2.gz PYKGJNGYQKTDEH-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3C(C)(C)[C@@H]2C)CC1 ZINC000855906810 620947264 /nfs/dbraw/zinc/94/72/64/620947264.db2.gz DJFLJRZQFBLGAC-HNNXBMFYSA-N 0 1 310.441 3.045 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cnn(CC(F)(F)F)c1 ZINC000921855806 620962836 /nfs/dbraw/zinc/96/28/36/620962836.db2.gz VIAUHUSHVAGJAG-UHFFFAOYSA-N 0 1 322.334 3.224 20 30 DGEDMN COc1ccc(CNCc2nccc3c2CCCC3)cc1C#N ZINC000921917975 620968534 /nfs/dbraw/zinc/96/85/34/620968534.db2.gz VQUZIBYVCIHKSQ-UHFFFAOYSA-N 0 1 307.397 3.130 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCCOC(C)(C)C)c1 ZINC000789310227 625456567 /nfs/dbraw/zinc/45/65/67/625456567.db2.gz PBCOIIWINFCPBB-UHFFFAOYSA-N 0 1 316.445 3.134 20 30 DGEDMN N#CCC1(CNCc2cc(C#N)ccc2Br)CC1 ZINC000922084669 620987470 /nfs/dbraw/zinc/98/74/70/620987470.db2.gz KREQIZLPFORXBY-UHFFFAOYSA-N 0 1 304.191 3.104 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2ccnnc2)cc(OC)c1OC ZINC000925355338 621002145 /nfs/dbraw/zinc/00/21/45/621002145.db2.gz FIWPFVFOJIPSSS-ZDUSSCGKSA-N 0 1 313.401 3.073 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1c(C2CCC2)cnn1C ZINC000922252018 621013363 /nfs/dbraw/zinc/01/33/63/621013363.db2.gz WNLMXDIFGASBOK-UHFFFAOYSA-N 0 1 308.429 3.466 20 30 DGEDMN C[C@@H]1CC(N=Nc2ncc(Cl)cn2)CN1Cc1ccccc1 ZINC000857221107 621069898 /nfs/dbraw/zinc/06/98/98/621069898.db2.gz UVJLGEXODHHILY-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN N#CC[C@H](NCc1cccc(Br)c1O)C(F)(F)F ZINC000922647486 621072970 /nfs/dbraw/zinc/07/29/70/621072970.db2.gz UFAOZLVXLFJVHA-VIFPVBQESA-N 0 1 323.112 3.089 20 30 DGEDMN C#CCCOC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000858900576 621230040 /nfs/dbraw/zinc/23/00/40/621230040.db2.gz UFBBDVVDYSZZGX-MRXNPFEDSA-N 0 1 320.820 3.226 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2cc(-c3cccs3)n[nH]2)c1 ZINC000103102254 621259067 /nfs/dbraw/zinc/25/90/67/621259067.db2.gz ANVQWIZOOKZHOK-UHFFFAOYSA-N 0 1 307.378 3.396 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000121337721 621267892 /nfs/dbraw/zinc/26/78/92/621267892.db2.gz SMVBUJIWMLOANF-UHFFFAOYSA-N 0 1 313.445 3.145 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CCC1 ZINC000838785508 621280597 /nfs/dbraw/zinc/28/05/97/621280597.db2.gz QLWDAKFWIPCWKL-IINYFYTJSA-N 0 1 304.777 3.424 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOc1cccc(F)c1)C2 ZINC000860105592 621366591 /nfs/dbraw/zinc/36/65/91/621366591.db2.gz HTNALUTXUCFDIY-UHFFFAOYSA-N 0 1 310.372 3.329 20 30 DGEDMN CC(=NOCc1ccccc1)c1cccc(N2CCOCC2)c1 ZINC000839423454 621371480 /nfs/dbraw/zinc/37/14/80/621371480.db2.gz PZLDOPPQEWIZDT-UHFFFAOYSA-N 0 1 310.397 3.464 20 30 DGEDMN CCC(C#N)(CC)C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000860200720 621380960 /nfs/dbraw/zinc/38/09/60/621380960.db2.gz ZYFOXQAIESVXQE-INIZCTEOSA-N 0 1 300.402 3.134 20 30 DGEDMN CC(C)(C)C1N=NC(=S)N1N=Cc1cccc(Cl)c1O ZINC000753160786 621429302 /nfs/dbraw/zinc/42/93/02/621429302.db2.gz MYKVDQMGAIQTLX-UHFFFAOYSA-N 0 1 310.810 3.106 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@H]2N1)c1ccc(F)cc1Cl ZINC000753937527 621472615 /nfs/dbraw/zinc/47/26/15/621472615.db2.gz DQBMDPBVWNRJRI-OKILXGFUSA-N 0 1 308.788 3.063 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cccc(C(F)(F)F)c1 ZINC000753936848 621472621 /nfs/dbraw/zinc/47/26/21/621472621.db2.gz UTCKSCBOILRYFV-KBPBESRZSA-N 0 1 324.350 3.289 20 30 DGEDMN c1ccc2c(c1)-c1ccccc1C2=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000753938998 621472671 /nfs/dbraw/zinc/47/26/71/621472671.db2.gz NMKXYTIKVWCLPA-ROUUACIJSA-N 0 1 316.408 3.279 20 30 DGEDMN Cc1ccc([N-]N=C2C(=O)Nc3ccc(C(F)(F)F)cc32)[nH+]c1 ZINC000754257527 621499240 /nfs/dbraw/zinc/49/92/40/621499240.db2.gz DMJHDJWQPJQMAX-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN OCC[C@H](NCC#Cc1ccc(Cl)cc1)c1cccs1 ZINC000754388678 621507805 /nfs/dbraw/zinc/50/78/05/621507805.db2.gz QHYHFDUTLHJWRS-HNNXBMFYSA-N 0 1 305.830 3.466 20 30 DGEDMN Cn1c(=O)oc2cc(C=NN=c3[nH]c4ccccc4s3)ccc21 ZINC000754900430 621540526 /nfs/dbraw/zinc/54/05/26/621540526.db2.gz GYSBXJGORDPELT-UHFFFAOYSA-N 0 1 324.365 3.187 20 30 DGEDMN Cn1c(=O)oc2cc(C=[NH+][N-]c3nc4ccccc4s3)ccc21 ZINC000754900430 621540530 /nfs/dbraw/zinc/54/05/30/621540530.db2.gz GYSBXJGORDPELT-UHFFFAOYSA-N 0 1 324.365 3.187 20 30 DGEDMN C=CC[C@H](NCc1cccc(C(=O)OCC)n1)c1ccncc1 ZINC000862280491 621571305 /nfs/dbraw/zinc/57/13/05/621571305.db2.gz KABWRSJDJLPPCQ-INIZCTEOSA-N 0 1 311.385 3.060 20 30 DGEDMN Clc1nc(Cl)c(C=NNc2ccc(Cl)nn2)s1 ZINC000755481894 621575220 /nfs/dbraw/zinc/57/52/20/621575220.db2.gz VGUQOMCVFRMZMV-UHFFFAOYSA-N 0 1 308.581 3.339 20 30 DGEDMN Cc1ncoc1C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000755604746 621580813 /nfs/dbraw/zinc/58/08/13/621580813.db2.gz SOIRJRFTVGOSGK-AWEZNQCLSA-N 0 1 319.320 3.097 20 30 DGEDMN Brc1ccc(N=NCc2ccc3c[nH]nc3c2)nc1 ZINC000755689821 621585851 /nfs/dbraw/zinc/58/58/51/621585851.db2.gz SYYILFKPEBIQAF-UHFFFAOYSA-N 0 1 316.162 3.166 20 30 DGEDMN N#CC[C@H]1CCC[N@@H+](Cc2c([O-])cccc2Br)C1 ZINC000862408149 621586038 /nfs/dbraw/zinc/58/60/38/621586038.db2.gz WEMAZAPTJWKNQD-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](Cc2c([O-])cccc2Br)C1 ZINC000862408149 621586041 /nfs/dbraw/zinc/58/60/41/621586041.db2.gz WEMAZAPTJWKNQD-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2c(O)cccc2Br)C1 ZINC000862408149 621586043 /nfs/dbraw/zinc/58/60/43/621586043.db2.gz WEMAZAPTJWKNQD-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN Cc1ccnc(N=NC2CCN(Cc3ccccn3)C[C@H]2C)c1 ZINC000755899929 621601044 /nfs/dbraw/zinc/60/10/44/621601044.db2.gz HEAIIWNDWFHTJG-OAHLLOKOSA-N 0 1 309.417 3.095 20 30 DGEDMN Cc1ccnc(N=NCC2(CN3CCOCC3)CCCCC2)c1 ZINC000755904497 621601475 /nfs/dbraw/zinc/60/14/75/621601475.db2.gz CORJMGBKUQDPMU-UHFFFAOYSA-N 0 1 316.449 3.070 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000862561010 621601621 /nfs/dbraw/zinc/60/16/21/621601621.db2.gz BWDKXVGOIBGMON-GFCCVEGCSA-N 0 1 313.788 3.216 20 30 DGEDMN C[C@@]1(C(=O)[C@@H](C#N)c2nc3cc(F)ccc3s2)CCCO1 ZINC000756276822 621624264 /nfs/dbraw/zinc/62/42/64/621624264.db2.gz SBZQFYVAUFZFLP-BMIGLBTASA-N 0 1 304.346 3.181 20 30 DGEDMN COC(=O)c1cccc(NN=C2CCCc3scnc32)c1F ZINC000863118461 621640291 /nfs/dbraw/zinc/64/02/91/621640291.db2.gz YHPITOSLDINNIY-UHFFFAOYSA-N 0 1 319.361 3.221 20 30 DGEDMN COc1cc(F)c(C(C)N=Nc2cccc(C)n2)cc1OC ZINC000863159918 621643784 /nfs/dbraw/zinc/64/37/84/621643784.db2.gz KDCQSUCLOBYBHM-UHFFFAOYSA-N 0 1 303.337 3.382 20 30 DGEDMN Clc1cccc(CNN=Cc2cc(-c3cccnc3)[nH]n2)c1 ZINC000756831327 621667082 /nfs/dbraw/zinc/66/70/82/621667082.db2.gz IFLBQQRDVUONRS-UHFFFAOYSA-N 0 1 311.776 3.249 20 30 DGEDMN FC(F)COc1ccc(CN=Nc2ccncc2Cl)cc1 ZINC000756840485 621667584 /nfs/dbraw/zinc/66/75/84/621667584.db2.gz ZFAKBUNOODRBOG-UHFFFAOYSA-N 0 1 311.719 3.247 20 30 DGEDMN CCC(=NNc1ccncc1Cl)c1ccc(OC)c(OC)c1 ZINC000756850353 621668152 /nfs/dbraw/zinc/66/81/52/621668152.db2.gz YVTJGCFNCOKXIT-UHFFFAOYSA-N 0 1 319.792 3.400 20 30 DGEDMN COC(=O)c1ccc(N=NCc2ccc(F)cc2C)c(OC)c1 ZINC000756902916 621672016 /nfs/dbraw/zinc/67/20/16/621672016.db2.gz ZUKZDQANNMBYLP-UHFFFAOYSA-N 0 1 316.332 3.375 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](CCN2CCC[C@@](C)(C#N)C2)C1 ZINC000839551437 621691341 /nfs/dbraw/zinc/69/13/41/621691341.db2.gz SSBBIQSLJFLMLT-QAPCUYQASA-N 0 1 321.465 3.259 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cc(F)ccc2O)cc(OC)c1 ZINC000757468916 621714295 /nfs/dbraw/zinc/71/42/95/621714295.db2.gz ZNUMIALKCXXVEN-HYXAFXHYSA-N 0 1 302.301 3.445 20 30 DGEDMN O=C(/C=C/c1ccccc1-n1cncn1)c1cc(F)ccc1O ZINC000757468782 621714574 /nfs/dbraw/zinc/71/45/74/621714574.db2.gz XFRQVMIURMQAKG-FNORWQNLSA-N 0 1 309.300 3.008 20 30 DGEDMN O=C(/C=C\c1cccc2c1OCCCO2)c1ccc(O)cc1O ZINC000757472679 621715445 /nfs/dbraw/zinc/71/54/45/621715445.db2.gz RPTPWNYNMLIYNP-YVMONPNESA-N 0 1 312.321 3.155 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2cn(-c3ccccc3)nn2)c(O)c1 ZINC000757475416 621715706 /nfs/dbraw/zinc/71/57/06/621715706.db2.gz JXCUOGVGFJQVFE-CSKARUKUSA-N 0 1 305.337 3.177 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3cccnc3)[nH]c21 ZINC000759365415 621849829 /nfs/dbraw/zinc/84/98/29/621849829.db2.gz GLELGIOLAMRBJJ-WBTMPAOCSA-N 0 1 302.337 3.156 20 30 DGEDMN C[C@@H](C(=O)OCc1cc(F)ccc1C#N)N1[C@H](C)CC[C@@H]1C ZINC000759363935 621850080 /nfs/dbraw/zinc/85/00/80/621850080.db2.gz ZUUVWYAWORKRBM-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN CC1(CC(=O)C(C#N)C(=O)Nc2ccc(F)c(Cl)c2)CC1 ZINC000759432571 621858377 /nfs/dbraw/zinc/85/83/77/621858377.db2.gz XFOWMWSNNPXGDA-SNVBAGLBSA-N 0 1 308.740 3.317 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C(CC)CC)n1 ZINC000759550847 621870389 /nfs/dbraw/zinc/87/03/89/621870389.db2.gz FCWSMEZEBKFYEJ-LLVKDONJSA-N 0 1 306.387 3.242 20 30 DGEDMN Cc1ccc(F)c(N=NC(C)c2ccc(S(C)(=O)=O)cc2)c1 ZINC000759664668 621880424 /nfs/dbraw/zinc/88/04/24/621880424.db2.gz LAFZFOGHGXSBPM-UHFFFAOYSA-N 0 1 320.389 3.374 20 30 DGEDMN Cc1c(C(=O)Nc2cc(C#N)ccc2O)cnc2ccccc12 ZINC000759716743 621885618 /nfs/dbraw/zinc/88/56/18/621885618.db2.gz BZASOZSPQWFSTO-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)O[C@@H](C)C#N ZINC000759829049 621894171 /nfs/dbraw/zinc/89/41/71/621894171.db2.gz OMQLYPREYBFOQM-QMMMGPOBSA-N 0 1 323.274 3.473 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1CC12CCC2 ZINC000759836594 621894453 /nfs/dbraw/zinc/89/44/53/621894453.db2.gz ZAPJXBVJVHJXGI-OLZOCXBDSA-N 0 1 302.761 3.178 20 30 DGEDMN CN(C)[C@@H](CNc1cc(C(F)(F)F)cc(C#N)n1)c1ccco1 ZINC000865405967 621911685 /nfs/dbraw/zinc/91/16/85/621911685.db2.gz CJPFNBQMTNPDSB-LBPRGKRZSA-N 0 1 324.306 3.280 20 30 DGEDMN COCc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1 ZINC000865407568 621912487 /nfs/dbraw/zinc/91/24/87/621912487.db2.gz FLBWQFARXIDVEF-UHFFFAOYSA-N 0 1 309.413 3.060 20 30 DGEDMN CC(N=Nc1ncc(F)cn1)c1ccc(Cl)c(Cl)c1O ZINC000760246894 621924068 /nfs/dbraw/zinc/92/40/68/621924068.db2.gz RFBZCYNBTWSLFK-UHFFFAOYSA-N 0 1 315.135 3.464 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(F)(F)F)NC(=O)OC(C)(C)C ZINC000865470974 621928534 /nfs/dbraw/zinc/92/85/34/621928534.db2.gz HYELJINYIMIQFV-VIFPVBQESA-N 0 1 316.751 3.174 20 30 DGEDMN N#C[C@@H](C(=O)Cc1ccc(Cl)c(Cl)c1)c1ccncn1 ZINC000760338674 621933192 /nfs/dbraw/zinc/93/31/92/621933192.db2.gz FLCLZGLDZYQQBZ-SNVBAGLBSA-N 0 1 306.152 3.202 20 30 DGEDMN COc1ccc2sc(C(=O)C(C#N)c3ccncn3)c(C)c2c1 ZINC000760343218 621933673 /nfs/dbraw/zinc/93/36/73/621933673.db2.gz BURYGMGVZMXAFL-CYBMUJFWSA-N 0 1 323.377 3.498 20 30 DGEDMN COc1cc(C)cc(CNCc2cc(C#N)ccc2N(C)C)c1 ZINC000865508712 621938641 /nfs/dbraw/zinc/93/86/41/621938641.db2.gz MDOXFYGFFGOKJK-UHFFFAOYSA-N 0 1 309.413 3.231 20 30 DGEDMN C#CC[C@H](NCc1cnc(CCOC)s1)c1ccccc1 ZINC000865511981 621940118 /nfs/dbraw/zinc/94/01/18/621940118.db2.gz MISYLDHGLKVIOW-INIZCTEOSA-N 0 1 300.427 3.186 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2c(Br)cccc2C#N)[nH]n1 ZINC000865622197 621964569 /nfs/dbraw/zinc/96/45/69/621964569.db2.gz OWMJKNLUFILYOK-VIFPVBQESA-N 0 1 319.206 3.395 20 30 DGEDMN COCc1csc(CNCc2cc(C#N)ccc2N(C)C)c1 ZINC000865627219 621965934 /nfs/dbraw/zinc/96/59/34/621965934.db2.gz HUCIEPDPCLAKSA-UHFFFAOYSA-N 0 1 315.442 3.122 20 30 DGEDMN Cn1c(N=NCc2cnc(C3CC3)s2)nnc1-c1ccccc1 ZINC000761806030 622030375 /nfs/dbraw/zinc/03/03/75/622030375.db2.gz PSVIYZOKUGHADU-UHFFFAOYSA-N 0 1 324.413 3.262 20 30 DGEDMN C[C@H]1CC(N=Nc2c(F)cc(F)cc2Br)CN1C ZINC000761813312 622031068 /nfs/dbraw/zinc/03/10/68/622031068.db2.gz RILVGUORXNKFNZ-ZETCQYMHSA-N 0 1 318.165 3.219 20 30 DGEDMN CC(N=Nc1cnn(C)c1)c1ccc(Br)c(F)c1 ZINC000761859950 622034684 /nfs/dbraw/zinc/03/46/84/622034684.db2.gz DTQPFIYLXDOVQM-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000762022680 622046593 /nfs/dbraw/zinc/04/65/93/622046593.db2.gz CKQLCZFXHKSWNZ-OCCSQVGLSA-N 0 1 316.401 3.415 20 30 DGEDMN C#CCCCCc1nc(-c2ccc(F)c(CN(C)C)c2)no1 ZINC000762085420 622051825 /nfs/dbraw/zinc/05/18/25/622051825.db2.gz PDDCLDVNTVJVKS-UHFFFAOYSA-N 0 1 301.365 3.283 20 30 DGEDMN C=CC[N@@H+](CC1CCCCC1)Cn1cnc(-c2ccccn2)n1 ZINC000762495868 622080201 /nfs/dbraw/zinc/08/02/01/622080201.db2.gz JGMCDMUSLLBGHR-UHFFFAOYSA-N 0 1 311.433 3.366 20 30 DGEDMN C=CCN(CC1CCCCC1)Cn1cnc(-c2ccccn2)n1 ZINC000762495868 622080203 /nfs/dbraw/zinc/08/02/03/622080203.db2.gz JGMCDMUSLLBGHR-UHFFFAOYSA-N 0 1 311.433 3.366 20 30 DGEDMN C[C@H]([NH2+]C1CCN(C(C)(C)C)CC1)c1cccc(C#N)c1[O-] ZINC000866289602 622086067 /nfs/dbraw/zinc/08/60/67/622086067.db2.gz ILHGMELXZUNWTG-ZDUSSCGKSA-N 0 1 301.434 3.177 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc(C2CCC2)cc1 ZINC000866336910 622096212 /nfs/dbraw/zinc/09/62/12/622096212.db2.gz SRCURDFBXXJPOQ-CQSZACIVSA-N 0 1 307.459 3.206 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc(C2CCC2)cc1 ZINC000866336909 622096261 /nfs/dbraw/zinc/09/62/61/622096261.db2.gz SRCURDFBXXJPOQ-AWEZNQCLSA-N 0 1 307.459 3.206 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc2c(ccnc2Cl)o1)[C@@H]1CCCO1 ZINC000866341567 622097786 /nfs/dbraw/zinc/09/77/86/622097786.db2.gz OFTWIZDUHZPMJP-OSMZGAPFSA-N 0 1 304.777 3.313 20 30 DGEDMN C[C@@H](NC1CCN(c2ccccc2C#N)CC1)c1cscn1 ZINC000866449319 622120598 /nfs/dbraw/zinc/12/05/98/622120598.db2.gz UGRPCFGJUFIVSS-CYBMUJFWSA-N 0 1 312.442 3.334 20 30 DGEDMN C=C(C)[C@H](NC[C@H]1CC2(CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000763777398 622161850 /nfs/dbraw/zinc/16/18/50/622161850.db2.gz CZEOHJLGISQNID-DOMZBBRYSA-N 0 1 307.340 3.267 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3ccccc3)on2)c1 ZINC000121869706 622187280 /nfs/dbraw/zinc/18/72/80/622187280.db2.gz LDXDPIDUYBEAOR-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN CC(C)c1ccc([C@@H]2CCCCN2CC(=O)NCCC#N)cc1 ZINC000764458567 622195527 /nfs/dbraw/zinc/19/55/27/622195527.db2.gz BONMUOYRYJXJAH-SFHVURJKSA-N 0 1 313.445 3.367 20 30 DGEDMN C#CCN(Cc1ccc(OCC(F)(F)F)c(OC)c1)C1CC1 ZINC000764682784 622213207 /nfs/dbraw/zinc/21/32/07/622213207.db2.gz MDINEAJGTPCLNZ-UHFFFAOYSA-N 0 1 313.319 3.234 20 30 DGEDMN CCc1cc(NN=Cc2ccc(C)nc2)nc(-c2ccccn2)n1 ZINC000764910598 622231955 /nfs/dbraw/zinc/23/19/55/622231955.db2.gz RGAAOLRVIRRFSC-UHFFFAOYSA-N 0 1 318.384 3.250 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2cccc3c2CN(CC(C)C)C3)nc1 ZINC000764934149 622234096 /nfs/dbraw/zinc/23/40/96/622234096.db2.gz JUCLZLDHOZKYOL-UHFFFAOYSA-N 0 1 319.408 3.287 20 30 DGEDMN C=C(C)[C@@H](CC(=O)OC[C@@H]1CCCN1Cc1ccco1)OCC ZINC000765971589 622297502 /nfs/dbraw/zinc/29/75/02/622297502.db2.gz MPIYJCABDOOBEW-DOTOQJQBSA-N 0 1 321.417 3.159 20 30 DGEDMN C#CCC(C)(C)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000767091279 622374845 /nfs/dbraw/zinc/37/48/45/622374845.db2.gz SHCQWHPMEPEUCE-JTQLQIEISA-N 0 1 303.793 3.443 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc([C@@H]3CCCOC3)c2)c1 ZINC000767114325 622375881 /nfs/dbraw/zinc/37/58/81/622375881.db2.gz CGWKTRQKPCLYFJ-MRXNPFEDSA-N 0 1 322.364 3.410 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(N(CC2(C#N)CC2)C2CC2)CC1 ZINC000767121040 622376453 /nfs/dbraw/zinc/37/64/53/622376453.db2.gz YRVRNIMYBPIBEE-UHFFFAOYSA-N 0 1 319.449 3.154 20 30 DGEDMN COc1ccc(CCNN=Cc2cc(-c3ccccc3)[nH]n2)cc1 ZINC000767784205 622421885 /nfs/dbraw/zinc/42/18/85/622421885.db2.gz BWACKYKYSFTONA-UHFFFAOYSA-N 0 1 320.396 3.252 20 30 DGEDMN N#CC(C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1)c1ccccn1 ZINC000870137087 622436748 /nfs/dbraw/zinc/43/67/48/622436748.db2.gz WDADCGYCEZQQHY-OAHLLOKOSA-N 0 1 321.336 3.288 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3C[C@H]3C3CCOCC3)[nH]c21 ZINC000870206863 622442495 /nfs/dbraw/zinc/44/24/95/622442495.db2.gz ACSHRNKTHKPZOR-RRFJBIMHSA-N 0 1 323.396 3.110 20 30 DGEDMN C#CCOc1ccc(CN(CCOC)Cc2cccs2)cc1 ZINC000768197596 622445092 /nfs/dbraw/zinc/44/50/92/622445092.db2.gz KXZPYGIPTADBKL-UHFFFAOYSA-N 0 1 315.438 3.409 20 30 DGEDMN CC(N=Nc1cc(C#N)cc(Cl)n1)c1cc(F)cc(F)c1O ZINC000768380687 622453653 /nfs/dbraw/zinc/45/36/53/622453653.db2.gz CSUNFXFMCAZLJO-UHFFFAOYSA-N 0 1 322.702 3.427 20 30 DGEDMN CC(=NNc1cc(C#N)cc(Cl)n1)c1cc(F)cc(F)c1O ZINC000768380687 622453654 /nfs/dbraw/zinc/45/36/54/622453654.db2.gz CSUNFXFMCAZLJO-UHFFFAOYSA-N 0 1 322.702 3.427 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000870454998 622469630 /nfs/dbraw/zinc/46/96/30/622469630.db2.gz SERNAMGRWHCOPK-YYESSZKYSA-N 0 1 307.393 3.288 20 30 DGEDMN CN(CCOC(=O)c1ccc(C#N)s1)Cc1ccc(F)cc1 ZINC000769112635 622499728 /nfs/dbraw/zinc/49/97/28/622499728.db2.gz FJMMIWFWXYLTGP-UHFFFAOYSA-N 0 1 318.373 3.048 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2ccc(Cl)cc2C)CC1 ZINC000769473696 622531564 /nfs/dbraw/zinc/53/15/64/622531564.db2.gz CXMSVUYPWPFXCA-UHFFFAOYSA-N 0 1 305.805 3.037 20 30 DGEDMN C#CCOc1ccccc1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000769481270 622532410 /nfs/dbraw/zinc/53/24/10/622532410.db2.gz UFCQFHOUYVSMRT-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3CCC(F)(F)CC3)[nH]c2c1 ZINC000871071196 622543405 /nfs/dbraw/zinc/54/34/05/622543405.db2.gz AXCDWXFLZGPRFD-UHFFFAOYSA-N 0 1 304.300 3.199 20 30 DGEDMN CCn1nc(C=N[N-]c2[nH+]c3ccccc3n2C)c2ccccc21 ZINC000769781234 622558958 /nfs/dbraw/zinc/55/89/58/622558958.db2.gz MOIITUIKYCTUCI-UHFFFAOYSA-N 0 1 318.384 3.389 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cc(=O)oc2cc(CC)c(Cl)cc12 ZINC000769978375 622584582 /nfs/dbraw/zinc/58/45/82/622584582.db2.gz XLXSNFMSSRWXLW-LLVKDONJSA-N 0 1 303.789 3.462 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@@H](CO)c2ccsc2)cc1 ZINC000769991604 622586807 /nfs/dbraw/zinc/58/68/07/622586807.db2.gz YXYDUNXQQSCUMC-GUYCJALGSA-N 0 1 301.411 3.144 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C(C)C ZINC000770860674 622675660 /nfs/dbraw/zinc/67/56/60/622675660.db2.gz NCKAGWNYMKRJIC-YGRLFVJLSA-N 0 1 306.362 3.283 20 30 DGEDMN N#CC1(COC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)CCC1 ZINC000770914250 622684347 /nfs/dbraw/zinc/68/43/47/622684347.db2.gz VFRPTPZOKYPGPP-UHFFFAOYSA-N 0 1 322.368 3.200 20 30 DGEDMN CC(C)(C#N)COC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000770915858 622685196 /nfs/dbraw/zinc/68/51/96/622685196.db2.gz YVNBQABDAWFPJD-UHFFFAOYSA-N 0 1 310.357 3.056 20 30 DGEDMN C=CCOc1ccc(CN(C)[C@@H]2CCCCNC2=O)cc1Cl ZINC000123828475 622717606 /nfs/dbraw/zinc/71/76/06/622717606.db2.gz TWNMNILICOXWNR-OAHLLOKOSA-N 0 1 322.836 3.005 20 30 DGEDMN C#C[C@H](NC(=O)NCc1cc(Cl)cc(Cl)c1O)C(C)C ZINC000805440783 622779714 /nfs/dbraw/zinc/77/97/14/622779714.db2.gz CTOULTUJFCWWLG-LBPRGKRZSA-N 0 1 315.200 3.156 20 30 DGEDMN C#CCN1CCC(C(=O)OCCCc2ccc(Cl)cc2)CC1 ZINC000772114785 622849036 /nfs/dbraw/zinc/84/90/36/622849036.db2.gz AZNFNPIEVCZGOG-UHFFFAOYSA-N 0 1 319.832 3.161 20 30 DGEDMN N#Cc1ccc(CN[C@]23CCC[C@H]2OCC3)c(Br)c1 ZINC000872314812 622889153 /nfs/dbraw/zinc/88/91/53/622889153.db2.gz FSUWIDHQSQASDS-CABCVRRESA-N 0 1 321.218 3.122 20 30 DGEDMN N#Cc1cc(Br)ccc1CN[C@]12CCC[C@H]1OCC2 ZINC000872316311 622889512 /nfs/dbraw/zinc/88/95/12/622889512.db2.gz KDHRYSFDZPXTDU-CABCVRRESA-N 0 1 321.218 3.122 20 30 DGEDMN Cn1ncc(C2CCC2)c1C=NN=c1[nH]c2ccncc2s1 ZINC000872412712 622908330 /nfs/dbraw/zinc/90/83/30/622908330.db2.gz VCGNZEXYDIGLRS-UHFFFAOYSA-N 0 1 312.402 3.138 20 30 DGEDMN Cn1ncc(C2CCC2)c1C=[NH+][N-]c1nc2ccncc2s1 ZINC000872412712 622908332 /nfs/dbraw/zinc/90/83/32/622908332.db2.gz VCGNZEXYDIGLRS-UHFFFAOYSA-N 0 1 312.402 3.138 20 30 DGEDMN CC(C)N(C)c1ccc(C=[NH+]Nc2ccc(C(=O)[O-])cc2)cn1 ZINC000790136674 625607151 /nfs/dbraw/zinc/60/71/51/625607151.db2.gz RRPRZQDVLBBYFV-UHFFFAOYSA-N 0 1 312.373 3.070 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2cn(C)nc2C)c1 ZINC000772983966 622961177 /nfs/dbraw/zinc/96/11/77/622961177.db2.gz LWRPCTHEEGVAKC-CZUORRHYSA-N 0 1 312.417 3.260 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CC(=O)N(C)Cc1ccccc1Cl ZINC000773004958 622964816 /nfs/dbraw/zinc/96/48/16/622964816.db2.gz MSVAUWSANGJUGB-LBPRGKRZSA-N 0 1 315.244 3.419 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@H](CO)c2cccc(F)c2)cc1 ZINC000773012039 622965834 /nfs/dbraw/zinc/96/58/34/622965834.db2.gz VKYLPXUGJJWKPM-AUUYWEPGSA-N 0 1 313.372 3.222 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2nccnc2C(C)C)s1 ZINC000773087130 622981084 /nfs/dbraw/zinc/98/10/84/622981084.db2.gz WXNCMYAVXVXUMY-UHFFFAOYSA-N 0 1 311.798 3.165 20 30 DGEDMN CC(C)c1nccnc1NN=C1CCOc2ccc(F)cc21 ZINC000773085070 622981225 /nfs/dbraw/zinc/98/12/25/622981225.db2.gz BRKNTGYEPRNYRF-UHFFFAOYSA-N 0 1 300.337 3.338 20 30 DGEDMN COc1cc(CN=Nc2ncccc2C)ccc1OC(F)F ZINC000790187388 625613960 /nfs/dbraw/zinc/61/39/60/625613960.db2.gz UWOYLIDMJJVAGR-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000773574805 623047447 /nfs/dbraw/zinc/04/74/47/623047447.db2.gz GUQKFHQRSJQQGQ-ZWKOTPCHSA-N 0 1 312.457 3.301 20 30 DGEDMN N#Cc1c(F)cccc1CNCCSCCC(F)(F)F ZINC000873148426 623049706 /nfs/dbraw/zinc/04/97/06/623049706.db2.gz CAQYXMWSPLMCSP-UHFFFAOYSA-N 0 1 306.328 3.473 20 30 DGEDMN C[C@@H](Oc1cccc2ccccc21)C(=O)C(C#N)c1ccncn1 ZINC000774206182 623120185 /nfs/dbraw/zinc/12/01/85/623120185.db2.gz QGAKLIIWQCJNQZ-CZUORRHYSA-N 0 1 317.348 3.274 20 30 DGEDMN CCO[C@H]1CC(=Nn2cnc3ccccc32)[C@H]1Oc1ccccc1 ZINC000774363568 623137162 /nfs/dbraw/zinc/13/71/62/623137162.db2.gz KQVNBFHAXFHWQY-RBUKOAKNSA-N 0 1 321.380 3.497 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2)CC1=NOC1CCOCC1 ZINC000774435910 623143705 /nfs/dbraw/zinc/14/37/05/623143705.db2.gz LFQBRRUYVTWLJL-HNNXBMFYSA-N 0 1 302.418 3.080 20 30 DGEDMN C[C@H]1CCN(Cc2ccccc2)CC1=NOC1CCOCC1 ZINC000774435910 623143708 /nfs/dbraw/zinc/14/37/08/623143708.db2.gz LFQBRRUYVTWLJL-HNNXBMFYSA-N 0 1 302.418 3.080 20 30 DGEDMN C[C@@H](NC[C@H](O)c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000774865050 623201856 /nfs/dbraw/zinc/20/18/56/623201856.db2.gz FQVAGNKTMIBJEH-BZNIZROVSA-N 0 1 302.324 3.221 20 30 DGEDMN C=CCn1c(C)nnc1N1CCC[C@@H](c2cc(C(C)C)n[nH]2)C1 ZINC000873668136 623205762 /nfs/dbraw/zinc/20/57/62/623205762.db2.gz SEVKJMBSMMBDSZ-CQSZACIVSA-N 0 1 314.437 3.003 20 30 DGEDMN CC[C@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccccc1OC ZINC000775085084 623230119 /nfs/dbraw/zinc/23/01/19/623230119.db2.gz WJFYDARWIJCTQG-KRWDZBQOSA-N 0 1 323.396 3.246 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(OCCCC)c1)[C@H]1CCCO1 ZINC000775340608 623256167 /nfs/dbraw/zinc/25/61/67/623256167.db2.gz IEXOMIOMKFKDEU-IAGOWNOFSA-N 0 1 317.429 3.145 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(OCC(C)C)c1)[C@@H]1CCCO1 ZINC000775339183 623256268 /nfs/dbraw/zinc/25/62/68/623256268.db2.gz AOWIBBCYSHTONS-SJORKVTESA-N 0 1 317.429 3.000 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(OCCCC)c1)[C@@H]1CCCO1 ZINC000775340757 623256629 /nfs/dbraw/zinc/25/66/29/623256629.db2.gz IEXOMIOMKFKDEU-IRXDYDNUSA-N 0 1 317.429 3.145 20 30 DGEDMN CN(C)c1ccc(CN=Nc2cccc(F)c2[N+](=O)[O-])cc1F ZINC000790306571 625633550 /nfs/dbraw/zinc/63/35/50/625633550.db2.gz LAWLAODONRLPPE-UHFFFAOYSA-N 0 1 320.299 3.385 20 30 DGEDMN C[C@H](NCc1cccc(C#N)c1F)c1ccc2c(c1)CC(=O)N2C ZINC000775350199 623258234 /nfs/dbraw/zinc/25/82/34/623258234.db2.gz JAENTVRHQVTRER-LBPRGKRZSA-N 0 1 323.371 3.067 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000775399495 623269005 /nfs/dbraw/zinc/26/90/05/623269005.db2.gz RVWLHIFEVIRJKR-MRXNPFEDSA-N 0 1 314.335 3.258 20 30 DGEDMN C#C[C@@H](NCc1ccc(Cl)c2cccnc12)[C@@H]1CCCO1 ZINC000775807935 623335095 /nfs/dbraw/zinc/33/50/95/623335095.db2.gz WFXWKPSKAJVUFN-CVEARBPZSA-N 0 1 300.789 3.159 20 30 DGEDMN C#C[C@H](NCc1ccc(F)cc1C(F)(F)F)[C@H]1CCCO1 ZINC000776003008 623363450 /nfs/dbraw/zinc/36/34/50/623363450.db2.gz UYUDSDIDLLZRBV-UONOGXRCSA-N 0 1 301.283 3.115 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@@H]2[C@H]1CCC[N@@H+]2C)c1ccccc1 ZINC000874834618 623365200 /nfs/dbraw/zinc/36/52/00/623365200.db2.gz UGEYTGACLBUBRZ-IPMKNSEASA-N 0 1 312.457 3.432 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCc2c1cccc2Cl ZINC000776088517 623374717 /nfs/dbraw/zinc/37/47/17/623374717.db2.gz KKCYAUFHSRBXAE-XJKSGUPXSA-N 0 1 317.816 3.011 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1cccc(-n2cccn2)c1 ZINC000776187603 623392351 /nfs/dbraw/zinc/39/23/51/623392351.db2.gz VGLNAIVQTSMTRG-UHFFFAOYSA-N 0 1 320.352 3.105 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776275046 623405051 /nfs/dbraw/zinc/40/50/51/623405051.db2.gz IWVZHMQTAXCRKW-INIZCTEOSA-N 0 1 318.398 3.378 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875088140 623414908 /nfs/dbraw/zinc/41/49/08/623414908.db2.gz XWGRPEVKPXXSHU-ZDUSSCGKSA-N 0 1 302.271 3.111 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000776517832 623437371 /nfs/dbraw/zinc/43/73/71/623437371.db2.gz SXTAJCDSMFAZHV-JTNHKYCSSA-N 0 1 304.777 3.424 20 30 DGEDMN COc1cc(C)nc(C=NNc2ccc(C(F)(F)F)cn2)c1 ZINC000776758572 623460632 /nfs/dbraw/zinc/46/06/32/623460632.db2.gz OEUCRJPQWWSGJE-UHFFFAOYSA-N 0 1 310.279 3.258 20 30 DGEDMN COc1cc(CN=Nc2nc3ccccc3cc2C)cc(O)c1O ZINC000776783308 623462360 /nfs/dbraw/zinc/46/23/60/623462360.db2.gz SXBSRMGPUCENGK-UHFFFAOYSA-N 0 1 323.352 3.409 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)Cc1[nH]nc2ccccc21 ZINC000777045209 623490384 /nfs/dbraw/zinc/49/03/84/623490384.db2.gz OEXQOBMSQPLVDZ-UHFFFAOYSA-N 0 1 305.337 3.029 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000777057104 623491481 /nfs/dbraw/zinc/49/14/81/623491481.db2.gz RKWDQCQYOJHMTH-QLFBSQMISA-N 0 1 300.402 3.171 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@H](C(C)C)N1CCCC1 ZINC000777057463 623492293 /nfs/dbraw/zinc/49/22/93/623492293.db2.gz WHNSGKXGRZJTEW-KRWDZBQOSA-N 0 1 300.402 3.030 20 30 DGEDMN C=CCSc1ccccc1C(=O)NCc1cc(CC)[nH]n1 ZINC000777142911 623500059 /nfs/dbraw/zinc/50/00/59/623500059.db2.gz CAZPHLAWDYFNTC-UHFFFAOYSA-N 0 1 301.415 3.180 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN=Nc1ccc(F)cn1 ZINC000777436555 623523934 /nfs/dbraw/zinc/52/39/34/623523934.db2.gz GPAWGRYEYZSMSS-UHFFFAOYSA-N 0 1 303.724 3.332 20 30 DGEDMN COc1cc(C(C)=NOC2CCCCC2)cc([N+](=O)[O-])c1O ZINC000777459851 623528304 /nfs/dbraw/zinc/52/83/04/623528304.db2.gz RXIFYPCNSNHQBQ-UHFFFAOYSA-N 0 1 308.334 3.382 20 30 DGEDMN CC[C@H]1CCN(CC(=O)N(CCC#N)c2ccc(C)c(C)c2)C1 ZINC000125863009 623577740 /nfs/dbraw/zinc/57/77/40/623577740.db2.gz DKMKZMKFPRUYRU-KRWDZBQOSA-N 0 1 313.445 3.282 20 30 DGEDMN Cc1cccc(C=NNCCN2CCCCC2)c1OC(F)F ZINC000777882972 623579927 /nfs/dbraw/zinc/57/99/27/623579927.db2.gz NOPGWKRNCVTGMC-UHFFFAOYSA-N 0 1 311.376 3.006 20 30 DGEDMN Cc1ccnc(N=NCc2cn(C)nc2-c2ccc(C#N)cc2)c1 ZINC000777888502 623580613 /nfs/dbraw/zinc/58/06/13/623580613.db2.gz OLMIPLCGILRBKF-UHFFFAOYSA-N 0 1 316.368 3.108 20 30 DGEDMN COc1cc(OC)c(C=NNc2cc(Cl)ccn2)c(OC)c1 ZINC000777906833 623583713 /nfs/dbraw/zinc/58/37/13/623583713.db2.gz HZEAEIRPPXWHIV-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN COc1cc(C=NNc2cc(Cl)ccn2)ccc1OCC#N ZINC000777913809 623584668 /nfs/dbraw/zinc/58/46/68/623584668.db2.gz SUNQUXHHWOZOQA-UHFFFAOYSA-N 0 1 316.748 3.092 20 30 DGEDMN C=CC1CCN(Cn2cc(-c3cccc([N+](=O)[O-])c3)cn2)CC1 ZINC000777955965 623590028 /nfs/dbraw/zinc/59/00/28/623590028.db2.gz UUWAYUQGQSRYMQ-UHFFFAOYSA-N 0 1 312.373 3.314 20 30 DGEDMN C=CCN(Cn1cc(-c2cccc([N+](=O)[O-])c2)cn1)C(C)C ZINC000777954055 623590240 /nfs/dbraw/zinc/59/02/40/623590240.db2.gz PXDMLZYNUITRLN-UHFFFAOYSA-N 0 1 300.362 3.312 20 30 DGEDMN N#CCOc1ccc(CNCc2ccnn2-c2ccccc2)cc1 ZINC000790470140 625656686 /nfs/dbraw/zinc/65/66/86/625656686.db2.gz GVHOMPPITZMYDW-UHFFFAOYSA-N 0 1 318.380 3.064 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H](O)c1ccccc1 ZINC000778151397 623616279 /nfs/dbraw/zinc/61/62/79/623616279.db2.gz NMLXGWSJDFXZEL-QGZVFWFLSA-N 0 1 315.800 3.175 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCC1([C@@H](O)C(C)C)CC1 ZINC000778179230 623621510 /nfs/dbraw/zinc/62/15/10/623621510.db2.gz RIHJWJJYVNDPIT-KRWDZBQOSA-N 0 1 321.848 3.239 20 30 DGEDMN CC[C@]1(CO)CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000778328829 623640401 /nfs/dbraw/zinc/64/04/01/623640401.db2.gz PZWXLYUQNZXSMW-KRWDZBQOSA-N 0 1 322.836 3.227 20 30 DGEDMN CCN(CCC#N)C[C@@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876563407 623645485 /nfs/dbraw/zinc/64/54/85/623645485.db2.gz GGTPRYWWGIONKT-HNNXBMFYSA-N 0 1 309.454 3.259 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN(C)Cc1ccc(C#N)cc1 ZINC000876574374 623648659 /nfs/dbraw/zinc/64/86/59/623648659.db2.gz SDAXXLQNPDKHFE-UHFFFAOYSA-N 0 1 304.397 3.128 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2cc3ccccc3s2)n1 ZINC000778544596 623666925 /nfs/dbraw/zinc/66/69/25/623666925.db2.gz ZBHOGCUFJLMSDI-UHFFFAOYSA-N 0 1 303.778 3.373 20 30 DGEDMN C=CC1CCN(C(=O)[C@H](N)c2cccc(C(F)(F)F)c2)CC1 ZINC000876656916 623672523 /nfs/dbraw/zinc/67/25/23/623672523.db2.gz JBXUZHBLGZVKOS-CQSZACIVSA-N 0 1 312.335 3.130 20 30 DGEDMN CC(C)(C)OC(=O)NCC(C)(C)CN(CCC#N)CC1CC1 ZINC000876691646 623683813 /nfs/dbraw/zinc/68/38/13/623683813.db2.gz NBSGEXSUZZOJPX-UHFFFAOYSA-N 0 1 309.454 3.163 20 30 DGEDMN C[C@H](C#N)CN(CC(C)(C)CNC(=O)OC(C)(C)C)C1CC1 ZINC000876791790 623706499 /nfs/dbraw/zinc/70/64/99/623706499.db2.gz OBEXDNTZIYVUCI-CYBMUJFWSA-N 0 1 309.454 3.161 20 30 DGEDMN N#Cc1cccc([C@H]2CN(CC3CCSCC3)CCO2)c1 ZINC000876815670 623714927 /nfs/dbraw/zinc/71/49/27/623714927.db2.gz CMVJKYRBLIDKCE-QGZVFWFLSA-N 0 1 302.443 3.075 20 30 DGEDMN Cc1ccnc(N=NC2CCN(CCC(F)(F)F)CC2)c1 ZINC000779775338 623801155 /nfs/dbraw/zinc/80/11/55/623801155.db2.gz ZDIDPKSIOIGVMC-UHFFFAOYSA-N 0 1 300.328 3.206 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cc2ccccc2s1 ZINC000780212067 623878215 /nfs/dbraw/zinc/87/82/15/623878215.db2.gz LUIUMJFAWMUBNC-UHFFFAOYSA-N 0 1 308.370 3.404 20 30 DGEDMN COc1ccc(N=NCc2cccc(Br)c2)nc1 ZINC000780266895 623900698 /nfs/dbraw/zinc/90/06/98/623900698.db2.gz FUISPPHDBLFQJL-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN CCO[C@H](CNCc1cc(C#N)cnc1Cl)c1ccccc1 ZINC000877481809 623903161 /nfs/dbraw/zinc/90/31/61/623903161.db2.gz SWZDLUWWGFKGRV-MRXNPFEDSA-N 0 1 315.804 3.474 20 30 DGEDMN C#CCn1ccc(CN(CC)CC(C)(C)Oc2ccccc2)n1 ZINC000877485308 623907109 /nfs/dbraw/zinc/90/71/09/623907109.db2.gz PVYQAVVARCFNCC-UHFFFAOYSA-N 0 1 311.429 3.196 20 30 DGEDMN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1cc(C#N)ccc1N(C)C ZINC000877487149 623907957 /nfs/dbraw/zinc/90/79/57/623907957.db2.gz QFLPYGZUETXYSJ-SMDDNHRTSA-N 0 1 311.351 3.397 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000780320903 623910509 /nfs/dbraw/zinc/91/05/09/623910509.db2.gz MGDVWJXYSLOBJL-KRWDZBQOSA-N 0 1 304.409 3.128 20 30 DGEDMN Cc1cc(C)cc([C@@H](O)CNCc2cc(C#N)cnc2Cl)c1 ZINC000877503102 623915448 /nfs/dbraw/zinc/91/54/48/623915448.db2.gz BATBAGDLUVAXLS-INIZCTEOSA-N 0 1 315.804 3.047 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1cc(F)cnc1Cl ZINC000877556139 623940142 /nfs/dbraw/zinc/94/01/42/623940142.db2.gz MZCOQCCSCQFFFU-NSHDSACASA-N 0 1 311.788 3.063 20 30 DGEDMN C=C(CC)CNCc1cc(C(C)(C)NC(=O)OC(C)(C)C)no1 ZINC000877575464 623949831 /nfs/dbraw/zinc/94/98/31/623949831.db2.gz VIBZQGQPACVPIU-UHFFFAOYSA-N 0 1 323.437 3.490 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cnc2c(cnn2C)c1 ZINC000877598657 623957471 /nfs/dbraw/zinc/95/74/71/623957471.db2.gz JEEQBMQOYMJZJW-CYBMUJFWSA-N 0 1 305.385 3.033 20 30 DGEDMN Cc1cccc2c1C[C@@H](NCc1cc(C#N)cnc1Cl)CO2 ZINC000877651663 623978772 /nfs/dbraw/zinc/97/87/72/623978772.db2.gz ZQUXPGACXYLVNL-CQSZACIVSA-N 0 1 313.788 3.008 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1c(C2CCC2)cnn1C ZINC000877704163 623999008 /nfs/dbraw/zinc/99/90/08/623999008.db2.gz DWPWYJCBFRIZPO-UHFFFAOYSA-N 0 1 308.429 3.234 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1ccc(C#N)cc1F ZINC000781122348 624024872 /nfs/dbraw/zinc/02/48/72/624024872.db2.gz HYVYIBPAHZGTQO-UHFFFAOYSA-N 0 1 307.332 3.420 20 30 DGEDMN Cc1cc(-n2c(C)cc(CN=Nc3ccnc(F)c3)c2C)no1 ZINC000781126995 624025687 /nfs/dbraw/zinc/02/56/87/624025687.db2.gz JFOUORBGZZKCOG-UHFFFAOYSA-N 0 1 313.336 3.371 20 30 DGEDMN N#CC1(c2ccc(CN3CCO[C@H](C(F)(F)F)CC3)cc2)CC1 ZINC000877819975 624051016 /nfs/dbraw/zinc/05/10/16/624051016.db2.gz BWKBXRXIZWHJKD-HNNXBMFYSA-N 0 1 324.346 3.395 20 30 DGEDMN C#CCCCCC[N@@H+]1CCCN(C(=O)c2ccccc2F)CC1 ZINC000877917677 624092086 /nfs/dbraw/zinc/09/20/86/624092086.db2.gz BLRBKHAXNVYCJR-UHFFFAOYSA-N 0 1 316.420 3.167 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1F)C[C@@H](O)CC1(CO)CCCC1 ZINC000877987753 624126562 /nfs/dbraw/zinc/12/65/62/624126562.db2.gz RDMFQKVEXXRFNI-KRWDZBQOSA-N 0 1 321.436 3.117 20 30 DGEDMN C=CCN(Cc1ccccc1F)C[C@@H](O)CC1(CO)CCCC1 ZINC000877987753 624126563 /nfs/dbraw/zinc/12/65/63/624126563.db2.gz RDMFQKVEXXRFNI-KRWDZBQOSA-N 0 1 321.436 3.117 20 30 DGEDMN N#CCC1CC[NH+](Cc2cc(Br)ccc2[O-])CC1 ZINC000781925790 624172986 /nfs/dbraw/zinc/17/29/86/624172986.db2.gz AIGCTPFIGUXJBO-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H]1CC2(CO1)CCOCC2 ZINC000878159408 624193775 /nfs/dbraw/zinc/19/37/75/624193775.db2.gz KZTGHHUZEJMSJK-QGZVFWFLSA-N 0 1 308.466 3.224 20 30 DGEDMN C=C/C=C/CCN1CCN(c2oc(-c3ccco3)nc2C#N)CC1 ZINC000878208802 624217009 /nfs/dbraw/zinc/21/70/09/624217009.db2.gz YDSILGBQZNTYGS-ONEGZZNKSA-N 0 1 324.384 3.061 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000783120769 624312084 /nfs/dbraw/zinc/31/20/84/624312084.db2.gz PYGGQSGJCOUMRS-YJBOKZPZSA-N 0 1 321.417 3.016 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CC2(CCC2)CO1 ZINC000878606442 624319909 /nfs/dbraw/zinc/31/99/09/624319909.db2.gz MTFXVTVKCWYVGH-QGZVFWFLSA-N 0 1 305.418 3.109 20 30 DGEDMN Cc1ccc(CN(C)C[C@@H](O)c2cccc(C#N)c2)nc1Cl ZINC000878800833 624362641 /nfs/dbraw/zinc/36/26/41/624362641.db2.gz HHRVEAWVOLQLJD-MRXNPFEDSA-N 0 1 315.804 3.081 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)c1ccc(C#N)cc1 ZINC000783781554 624396104 /nfs/dbraw/zinc/39/61/04/624396104.db2.gz YKOJLMIJMLBDTG-KBXIAJHMSA-N 0 1 300.402 3.424 20 30 DGEDMN C[C@@H]1CCCC[C@H]1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000783823026 624398633 /nfs/dbraw/zinc/39/86/33/624398633.db2.gz YXCJZSTXOMOSTK-NEPJUHHUSA-N 0 1 301.415 3.345 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000790931297 625717444 /nfs/dbraw/zinc/71/74/44/625717444.db2.gz NMXGZZNIGUXRDH-WMLDXEAASA-N 0 1 302.418 3.224 20 30 DGEDMN CSC1CCN(CC[C@@](C#N)(C(C)=O)c2ccccc2)CC1 ZINC000879100742 624426198 /nfs/dbraw/zinc/42/61/98/624426198.db2.gz ULLHJFBHGFJKJP-GOSISDBHSA-N 0 1 316.470 3.254 20 30 DGEDMN Cc1cc(F)ccc1CON=C(N)c1ccc(N(C)C)cc1 ZINC000784048165 624428118 /nfs/dbraw/zinc/42/81/18/624428118.db2.gz WQPNEAWHYDCAMK-UHFFFAOYSA-N 0 1 301.365 3.037 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC000879223136 624453788 /nfs/dbraw/zinc/45/37/88/624453788.db2.gz MOKXULBPDSYWBX-CZUORRHYSA-N 0 1 315.417 3.046 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@H]1[C@@H](O)c1ccccc1 ZINC000879239989 624457149 /nfs/dbraw/zinc/45/71/49/624457149.db2.gz CMJNHPYYAFJEPG-OALUTQOASA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H]1[C@@H](O)c1ccccc1 ZINC000879239989 624457152 /nfs/dbraw/zinc/45/71/52/624457152.db2.gz CMJNHPYYAFJEPG-OALUTQOASA-N 0 1 310.372 3.395 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@H](CCC#N)c1ccccc1 ZINC000879255852 624466662 /nfs/dbraw/zinc/46/66/62/624466662.db2.gz RNBBZLDIUDOSQY-QBIMZIAESA-N 0 1 322.412 3.237 20 30 DGEDMN N#C[C@@H](C(=O)C1(Cc2ccccc2Cl)CC1)c1ccncn1 ZINC000784545316 624546105 /nfs/dbraw/zinc/54/61/05/624546105.db2.gz HPPKSYBEUATYHP-CYBMUJFWSA-N 0 1 311.772 3.329 20 30 DGEDMN CC1([C@@H]2CCCN(CC#Cc3ccccc3Cl)C2)OCCO1 ZINC000784569818 624550363 /nfs/dbraw/zinc/55/03/63/624550363.db2.gz BWZZWQLEROTFKS-MRXNPFEDSA-N 0 1 319.832 3.167 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1nc(Cl)ccc1Cl ZINC000785047406 624622410 /nfs/dbraw/zinc/62/24/10/624622410.db2.gz YMESAFUCJQLWCV-UHFFFAOYSA-N 0 1 317.201 3.164 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000879713019 624648325 /nfs/dbraw/zinc/64/83/25/624648325.db2.gz NMHJLUDTTRYLHG-ZIAGYGMSSA-N 0 1 313.401 3.068 20 30 DGEDMN C[C@@H](O)[C@H]1C[C@H](C)CCN1Cc1cc(Cl)ccc1OCC#N ZINC000785545519 624684266 /nfs/dbraw/zinc/68/42/66/624684266.db2.gz HMTFNNGKVUYSJB-XJKCOSOUSA-N 0 1 322.836 3.224 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccc(COC)o2)c1 ZINC000128112610 624731819 /nfs/dbraw/zinc/73/18/19/624731819.db2.gz JULIXFYERXGTTK-HNNXBMFYSA-N 0 1 314.385 3.397 20 30 DGEDMN Cc1csc([C@H]2CCN(Cc3cccc(C#N)c3F)C2)n1 ZINC000880010287 624752445 /nfs/dbraw/zinc/75/24/45/624752445.db2.gz WOZOZKLJDOGKKQ-AWEZNQCLSA-N 0 1 301.390 3.452 20 30 DGEDMN CC(=O)Nc1ccc2c(c1)C[N@H+](CCc1ccc(C#N)cc1)CC2 ZINC000880032629 624761437 /nfs/dbraw/zinc/76/14/37/624761437.db2.gz GTDCDAVQBDRLKX-UHFFFAOYSA-N 0 1 319.408 3.117 20 30 DGEDMN C=CCCC[C@@H](C(=O)NCc1n[nH]c(CC)n1)c1ccccc1 ZINC000880152122 624810058 /nfs/dbraw/zinc/81/00/58/624810058.db2.gz UCCFEZVVUVFMHP-OAHLLOKOSA-N 0 1 312.417 3.123 20 30 DGEDMN C=CCC1(CNC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CCCC1 ZINC000880229870 624855115 /nfs/dbraw/zinc/85/51/15/624855115.db2.gz AKFNMKKOUHTGKW-XJKSGUPXSA-N 0 1 301.434 3.328 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1ccc(C#N)cc1F ZINC000786342755 624858809 /nfs/dbraw/zinc/85/88/09/624858809.db2.gz JVKCDFACIXOQKG-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCC[C@@H](CF)C1 ZINC000880235552 624859922 /nfs/dbraw/zinc/85/99/22/624859922.db2.gz PGUWYZNKYBAWFP-CVEARBPZSA-N 0 1 317.408 3.003 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CCC[C@@H](CF)C1 ZINC000880234580 624860048 /nfs/dbraw/zinc/86/00/48/624860048.db2.gz GVKFOORHWUQQCL-GJZGRUSLSA-N 0 1 324.465 3.333 20 30 DGEDMN CC(C)(C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786533545 624913264 /nfs/dbraw/zinc/91/32/64/624913264.db2.gz FPKHYJRLDWSVSS-ZDUSSCGKSA-N 0 1 306.793 3.101 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C)c1 ZINC000786552534 624920313 /nfs/dbraw/zinc/92/03/13/624920313.db2.gz NJPFNGYWQVKOJF-DOTOQJQBSA-N 0 1 314.429 3.392 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786553536 624920455 /nfs/dbraw/zinc/92/04/55/624920455.db2.gz VPWLQUKNDQSKAZ-SQWLQELKSA-N 0 1 300.402 3.002 20 30 DGEDMN C#C[C@H](N[C@@H]1CCSc2ccc(OC)cc21)[C@@H]1CCCO1 ZINC000786580595 624925202 /nfs/dbraw/zinc/92/52/02/624925202.db2.gz BWBIVMCOWUTAHX-XHSDSOJGSA-N 0 1 303.427 3.003 20 30 DGEDMN CCOCCC=Nn1cnnc1SCc1ccc(Cl)cc1 ZINC000788422288 625165873 /nfs/dbraw/zinc/16/58/73/625165873.db2.gz FHVCJEWNDZVOHI-UHFFFAOYSA-N 0 1 324.837 3.484 20 30 DGEDMN O=C1COc2ccc(C=NN=c3[nH]c4ccccc4s3)cc2N1 ZINC000788699256 625246416 /nfs/dbraw/zinc/24/64/16/625246416.db2.gz SZAPUGQWHGYRKK-UHFFFAOYSA-N 0 1 324.365 3.073 20 30 DGEDMN O=C1COc2ccc(C=[NH+][N-]c3nc4ccccc4s3)cc2N1 ZINC000788699256 625246421 /nfs/dbraw/zinc/24/64/21/625246421.db2.gz SZAPUGQWHGYRKK-UHFFFAOYSA-N 0 1 324.365 3.073 20 30 DGEDMN N#Cc1cc(NC(=O)N2CCN(C3CCC3)CC2)ccc1C1CC1 ZINC000881124163 625252198 /nfs/dbraw/zinc/25/21/98/625252198.db2.gz FTCXEEKQDBKBHW-UHFFFAOYSA-N 0 1 324.428 3.138 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(C#N)c(F)c1)N1[C@H](C)CC[C@@H]1C ZINC000791391079 625790030 /nfs/dbraw/zinc/79/00/30/625790030.db2.gz LKARKEHKTSWXLO-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN C#Cc1ccc(CNCc2cc(C(OC)OC)ccc2F)cc1 ZINC000883132604 625938025 /nfs/dbraw/zinc/93/80/25/625938025.db2.gz XRRAMYFGUOUPKW-UHFFFAOYSA-N 0 1 313.372 3.388 20 30 DGEDMN C=C(C)COCCNCc1c(Cl)nc2cc(Cl)ccn21 ZINC000796323122 626038412 /nfs/dbraw/zinc/03/84/12/626038412.db2.gz LIJPEIYXRGATBD-UHFFFAOYSA-N 0 1 314.216 3.323 20 30 DGEDMN C#CCN(CCC(=O)c1ccc(OC)cc1)Cc1ccccc1 ZINC000796638740 626068664 /nfs/dbraw/zinc/06/86/64/626068664.db2.gz FQKUOSKIGYLGQK-UHFFFAOYSA-N 0 1 307.393 3.403 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@H](O)c1ccc(F)c(F)c1 ZINC000796639352 626068822 /nfs/dbraw/zinc/06/88/22/626068822.db2.gz AWECGOKVPNBJMH-SFHVURJKSA-N 0 1 301.336 3.134 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCC1)C(=O)[C@H](F)CC1CCCCC1 ZINC000796652321 626070366 /nfs/dbraw/zinc/07/03/66/626070366.db2.gz UYLXGLMVXAHDPX-LSDHHAIUSA-N 0 1 308.397 3.063 20 30 DGEDMN COc1ccccc1-n1nccc1/C=C/C(=O)c1ccccc1O ZINC000797405722 626140859 /nfs/dbraw/zinc/14/08/59/626140859.db2.gz FXPCOBKYWKXKLZ-ZHACJKMWSA-N 0 1 320.348 3.483 20 30 DGEDMN C[C@@H]1[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)[C@H]1c1ccccc1 ZINC000797548925 626151910 /nfs/dbraw/zinc/15/19/10/626151910.db2.gz SMKVGJMHZLFNHG-RUGDWHBFSA-N 0 1 324.424 3.194 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000797942011 626183004 /nfs/dbraw/zinc/18/30/04/626183004.db2.gz JMMCGGGZMJODBD-VXGBXAGGSA-N 0 1 322.359 3.006 20 30 DGEDMN CSc1c(F)cccc1C(=O)Nc1cc(C#N)ccc1O ZINC000797959216 626184605 /nfs/dbraw/zinc/18/46/05/626184605.db2.gz FRVNJPISLYHLQF-UHFFFAOYSA-N 0 1 302.330 3.377 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000798274661 626207322 /nfs/dbraw/zinc/20/73/22/626207322.db2.gz IFRMMSWSSDUQKN-LVQVYYBASA-N 0 1 321.465 3.161 20 30 DGEDMN C#CCSc1ccc(COC(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000798859306 626250855 /nfs/dbraw/zinc/25/08/55/626250855.db2.gz GLQNWLOFGPIKLP-MRXNPFEDSA-N 0 1 305.443 3.041 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(Cl)ccc2OCC#N)[C@@H](C)C1 ZINC000799344744 626293900 /nfs/dbraw/zinc/29/39/00/626293900.db2.gz ZLTJYTOEUFFUGP-SWLSCSKDSA-N 0 1 308.809 3.242 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1cc(C(F)(F)F)[nH]n1 ZINC000799640643 626316667 /nfs/dbraw/zinc/31/66/67/626316667.db2.gz PWGBEPLGAJCWLO-UHFFFAOYSA-N 0 1 313.345 3.141 20 30 DGEDMN COc1cccc(CN=Nc2ccc(Br)cn2)c1F ZINC000799878218 626333194 /nfs/dbraw/zinc/33/31/94/626333194.db2.gz MYQRMEVGTXEKLW-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CCCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000800387916 626355455 /nfs/dbraw/zinc/35/54/55/626355455.db2.gz WUJJXDLQYUVGNO-STQMWFEESA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)c2ncc(C(C)(C)C)s2)O1 ZINC000800411958 626357670 /nfs/dbraw/zinc/35/76/70/626357670.db2.gz QUMPJICKXXUONM-OXIWPEFWSA-N 0 1 306.431 3.430 20 30 DGEDMN C#C[C@H](N[C@H]1CCc2ccc(Br)cc21)[C@@H]1CCCO1 ZINC000800982093 626388028 /nfs/dbraw/zinc/38/80/28/626388028.db2.gz CLDQYZWCMKYEHQ-JYJNAYRXSA-N 0 1 320.230 3.207 20 30 DGEDMN CC#CC(=O)Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1 ZINC000801176642 626396419 /nfs/dbraw/zinc/39/64/19/626396419.db2.gz NQVXLSSLGLPXCW-UHFFFAOYSA-N 0 1 302.337 3.101 20 30 DGEDMN CC#CC(=O)Nc1ccc(-c2nc(-c3ccccc3)n[nH]2)cc1 ZINC000801176642 626396420 /nfs/dbraw/zinc/39/64/20/626396420.db2.gz NQVXLSSLGLPXCW-UHFFFAOYSA-N 0 1 302.337 3.101 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3cccc(OCC#N)c3)c2[nH]1 ZINC000801821249 626419314 /nfs/dbraw/zinc/41/93/14/626419314.db2.gz ROJGBDAKEWUQJO-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN N#C[C@H](C(=O)Nc1ccccc1)C(=O)c1coc(C2CCCC2)n1 ZINC000802043234 626430038 /nfs/dbraw/zinc/43/00/38/626430038.db2.gz UTADCWIGLABVJE-AWEZNQCLSA-N 0 1 323.352 3.293 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)c1coc(C2CCCC2)n1 ZINC000802043234 626430040 /nfs/dbraw/zinc/43/00/40/626430040.db2.gz UTADCWIGLABVJE-AWEZNQCLSA-N 0 1 323.352 3.293 20 30 DGEDMN N#Cc1ccc(Cl)c(C(=O)Nc2nc(Cl)ccc2O)c1 ZINC000803172262 626596844 /nfs/dbraw/zinc/59/68/44/626596844.db2.gz LYIRCPDKNYNWPS-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN C=CCC[C@@H](COc1ccccc1)NCc1nn(CC)nc1C ZINC000883230689 626616979 /nfs/dbraw/zinc/61/69/79/626616979.db2.gz NMFZAGPAZUCWGQ-INIZCTEOSA-N 0 1 314.433 3.110 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccccc1C(C)(C)C#N)N(C)C ZINC000803496895 626618760 /nfs/dbraw/zinc/61/87/60/626618760.db2.gz JCDGZWVZOOKPLI-INIZCTEOSA-N 0 1 302.418 3.117 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2ccc(Br)cc2[N+](=O)[O-])C1 ZINC000883295592 626639303 /nfs/dbraw/zinc/63/93/03/626639303.db2.gz MUJZMEPJGRJDGI-JOYOIKCWSA-N 0 1 324.178 3.139 20 30 DGEDMN C[C@@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1ccc(F)cc1F ZINC000883358932 626660335 /nfs/dbraw/zinc/66/03/35/626660335.db2.gz UJZGFNPXYDTCOH-LDWIPMOCSA-N 0 1 319.220 3.174 20 30 DGEDMN C=CCC[C@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1ccco1 ZINC000883362090 626661816 /nfs/dbraw/zinc/66/18/16/626661816.db2.gz UAQUUGMXKNXWJM-GXSJLCMTSA-N 0 1 313.266 3.435 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000804460121 626682830 /nfs/dbraw/zinc/68/28/30/626682830.db2.gz TVXACDLUZADBNP-INIZCTEOSA-N 0 1 321.424 3.043 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(C#N)cc1)N1CCCCC1 ZINC000132498109 626722011 /nfs/dbraw/zinc/72/20/11/626722011.db2.gz LFZFQQIHURWCGQ-QGZVFWFLSA-N 0 1 300.402 3.112 20 30 DGEDMN Cc1ccccc1-n1cc(/C=C\C(=O)c2cc(F)ccc2O)nn1 ZINC000806573808 626723285 /nfs/dbraw/zinc/72/32/85/626723285.db2.gz TUGLZKGOINKHCF-CLFYSBASSA-N 0 1 323.327 3.317 20 30 DGEDMN O=C1c2ccc(Cl)nc2C/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000806578095 626723730 /nfs/dbraw/zinc/72/37/30/626723730.db2.gz NDIOWOYGPDRPKH-WEVVVXLNSA-N 0 1 316.700 3.171 20 30 DGEDMN C#Cc1ccc(CN[C@@H]2CCN(c3ccccc3Cl)C2=O)cc1 ZINC000809619498 626927068 /nfs/dbraw/zinc/92/70/68/626927068.db2.gz ATWJNAWIBLGLSG-QGZVFWFLSA-N 0 1 324.811 3.216 20 30 DGEDMN C#C[C@@H](NCc1cc2c(cc1OC(F)F)OCO2)C(C)(C)C ZINC000809781955 626952348 /nfs/dbraw/zinc/95/23/48/626952348.db2.gz FCTXVNUANXSBLV-CQSZACIVSA-N 0 1 311.328 3.154 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C2(F)CCCCC2)c1 ZINC000810529205 627012220 /nfs/dbraw/zinc/01/22/20/627012220.db2.gz BKIYYZNWZAUHNT-CQSZACIVSA-N 0 1 302.349 3.315 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2CC[C@H]3CCCCN32)c(Cl)c1 ZINC000885670178 627041565 /nfs/dbraw/zinc/04/15/65/627041565.db2.gz VQDYSTKJDOAKSF-CZUORRHYSA-N 0 1 302.805 3.277 20 30 DGEDMN C[C@@H]1c2ccc(F)cc2CCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000886016364 627084084 /nfs/dbraw/zinc/08/40/84/627084084.db2.gz CTZMGRZGZOKYGM-CJNGLKHVSA-N 0 1 302.393 3.190 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C2CCC(F)(F)CC2)c1 ZINC000136590706 627102692 /nfs/dbraw/zinc/10/26/92/627102692.db2.gz XOYHOKZJHAMBOA-CQSZACIVSA-N 0 1 320.339 3.468 20 30 DGEDMN CC(C)COC[C@@H](NC[C@@H](O)CC1(C#N)CCC1)c1ccco1 ZINC000886124788 627108790 /nfs/dbraw/zinc/10/87/90/627108790.db2.gz AKQXIXLYSONURI-JKSUJKDBSA-N 0 1 320.433 3.028 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2C)C1 ZINC000886356258 627141872 /nfs/dbraw/zinc/14/18/72/627141872.db2.gz XEDZHBIYSXMVES-KBPBESRZSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2C)C1 ZINC000886356261 627142086 /nfs/dbraw/zinc/14/20/86/627142086.db2.gz XEDZHBIYSXMVES-ZIAGYGMSSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)c2nc3sc(C)c(C)c3c(N)n2)C1 ZINC000886363020 627142830 /nfs/dbraw/zinc/14/28/30/627142830.db2.gz XPUDKKNGKHCIHJ-AAEUAGOBSA-N 0 1 314.458 3.297 20 30 DGEDMN C#CCN(C/C=C\Cl)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC000886427713 627147777 /nfs/dbraw/zinc/14/77/77/627147777.db2.gz URBVPCJGSDEXQO-TWGQIWQCSA-N 0 1 312.841 3.074 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)CN3[C@@H](C)CC[C@@H]3C)cc2n1 ZINC000886647015 627179643 /nfs/dbraw/zinc/17/96/43/627179643.db2.gz AIEUDMOBXTWGTC-KBPBESRZSA-N 0 1 312.417 3.034 20 30 DGEDMN C=CCC1(O)CCN([C@H](CC)C(=O)Nc2ccc(C)cc2)CC1 ZINC000886780549 627204022 /nfs/dbraw/zinc/20/40/22/627204022.db2.gz RFRUUEULMVKHQR-QGZVFWFLSA-N 0 1 316.445 3.115 20 30 DGEDMN C#CCC1(NC(=O)c2cccc3nc(C(F)F)[nH]c32)CCC1 ZINC000886805198 627206577 /nfs/dbraw/zinc/20/65/77/627206577.db2.gz ZASIOIXTVIUQIB-UHFFFAOYSA-N 0 1 303.312 3.176 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)N(C)CCCF)c1 ZINC000887140341 627229230 /nfs/dbraw/zinc/22/92/30/627229230.db2.gz ZVAAZQHJAFAZHK-MRXNPFEDSA-N 0 1 319.424 3.230 20 30 DGEDMN C=CCOCCNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000811489047 627280673 /nfs/dbraw/zinc/28/06/73/627280673.db2.gz UMHBCUMZRDAFRX-UHFFFAOYSA-N 0 1 305.161 3.194 20 30 DGEDMN C[C@@H]1C(N=Nc2cncc(Cl)n2)CCN1Cc1ccccc1 ZINC000811638656 627297853 /nfs/dbraw/zinc/29/78/53/627297853.db2.gz VCKSVGPFTOIMFM-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN CC(C)c1cccnc1NS(=O)(=O)c1cccc(CC#N)c1 ZINC000811824655 627326366 /nfs/dbraw/zinc/32/63/66/627326366.db2.gz LBWSKKSQQDETQA-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN Cn1cc(CN=Nc2cccc(C(F)(F)F)n2)c(C(F)F)n1 ZINC000812225979 627361631 /nfs/dbraw/zinc/36/16/31/627361631.db2.gz GDEJNXHVSUPPRE-UHFFFAOYSA-N 0 1 319.237 3.218 20 30 DGEDMN N#C[C@H](C(=O)C1CC(F)(F)C1)c1cc(C(F)(F)F)ccn1 ZINC000813218470 627468562 /nfs/dbraw/zinc/46/85/62/627468562.db2.gz WEMJELBWJZUZHC-VIFPVBQESA-N 0 1 304.218 3.322 20 30 DGEDMN C#CCN(Cc1n[nH]c(C(C)(C)C)n1)[C@@H]1CCc2ccccc21 ZINC000814619658 627579878 /nfs/dbraw/zinc/57/98/78/627579878.db2.gz RXSCIYRGELNFOK-MRXNPFEDSA-N 0 1 308.429 3.225 20 30 DGEDMN CC(=NNc1ccccc1C(=O)[O-])c1ccc(C[NH+](C)C)cc1 ZINC000814769271 627589702 /nfs/dbraw/zinc/58/97/02/627589702.db2.gz XGCIPLBQDXOGLZ-UHFFFAOYSA-N 0 1 311.385 3.283 20 30 DGEDMN CC(=NNc1cc(C)c2ccc(C)c(C)c2n1)c1cnnn1C ZINC000814769336 627589778 /nfs/dbraw/zinc/58/97/78/627589778.db2.gz MSQRJXSURJGZEP-UHFFFAOYSA-N 0 1 308.389 3.125 20 30 DGEDMN CC(C)c1ccc([C@@H](C)NN=Cc2cnc(N(C)C)nc2)cc1 ZINC000814811577 627594024 /nfs/dbraw/zinc/59/40/24/627594024.db2.gz KUMZRRLBUVFBAL-CQSZACIVSA-N 0 1 311.433 3.351 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1ccccc1C#N ZINC000814822314 627594970 /nfs/dbraw/zinc/59/49/70/627594970.db2.gz WUSLVHQBTNOWDJ-UHFFFAOYSA-N 0 1 310.313 3.311 20 30 DGEDMN CCC(=[NH+][N-]c1ccc([N+](=O)[O-])cc1OC)c1cccc(N)c1 ZINC000814821729 627595334 /nfs/dbraw/zinc/59/53/34/627595334.db2.gz IFECEHXRSWCIOU-UHFFFAOYSA-N 0 1 314.345 3.412 20 30 DGEDMN CC1(C)CC(N=Nc2ccc(F)cn2)c2ccc(O)cc2O1 ZINC000814843069 627597276 /nfs/dbraw/zinc/59/72/76/627597276.db2.gz YMYAJKWKBDHSPC-UHFFFAOYSA-N 0 1 301.321 3.304 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=C2COc3c2cccc3Cl)c1 ZINC000814890875 627603827 /nfs/dbraw/zinc/60/38/27/627603827.db2.gz DJQZYUBSHPKYEH-UHFFFAOYSA-N 0 1 319.151 3.469 20 30 DGEDMN CC(=NNc1cc[nH+]cc1)c1oc2cc(C)ccc2c1CC(=O)[O-] ZINC000814899848 627604766 /nfs/dbraw/zinc/60/47/66/627604766.db2.gz QTGXUVAJUHRQCB-UHFFFAOYSA-N 0 1 323.352 3.021 20 30 DGEDMN Clc1ccccc1CC(=NNc1ncn[nH]1)c1ccoc1 ZINC000814933629 627610305 /nfs/dbraw/zinc/61/03/05/627610305.db2.gz VBNYOHPXYIXHSA-UHFFFAOYSA-N 0 1 301.737 3.110 20 30 DGEDMN CC(=NNc1ccc(F)c(F)c1F)c1ccc2c(c1)CC(=O)N2 ZINC000814945984 627612194 /nfs/dbraw/zinc/61/21/94/627612194.db2.gz ZXBVRIIADIWERR-UHFFFAOYSA-N 0 1 319.286 3.435 20 30 DGEDMN Fc1cccn2cc(C=NNc3ccc(F)c(F)c3F)nc12 ZINC000814946088 627612227 /nfs/dbraw/zinc/61/22/27/627612227.db2.gz FNTTWXXGHUZHRB-UHFFFAOYSA-N 0 1 308.238 3.337 20 30 DGEDMN CC(=NNc1ccc(F)c(F)c1F)c1cc([N+](=O)[O-])ccc1N ZINC000814946137 627612696 /nfs/dbraw/zinc/61/26/96/627612696.db2.gz FSHQZFSJBCSDQB-UHFFFAOYSA-N 0 1 324.262 3.430 20 30 DGEDMN Cn1nncc1N=NCc1ccc(Oc2cccc(F)c2)cc1 ZINC000814983130 627615908 /nfs/dbraw/zinc/61/59/08/627615908.db2.gz DVBWKTUJNHKEFR-UHFFFAOYSA-N 0 1 311.320 3.193 20 30 DGEDMN C[C@@H](NCCOCCC#N)c1nc(-c2ccccc2)cs1 ZINC000815090983 627624973 /nfs/dbraw/zinc/62/49/73/627624973.db2.gz YAYFKKMMVYUJQK-CYBMUJFWSA-N 0 1 301.415 3.391 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F)cc1 ZINC000815907925 627711426 /nfs/dbraw/zinc/71/14/26/627711426.db2.gz OHZWBQXMZFAEPD-MFKMUULPSA-N 0 1 308.328 3.188 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F)cc1 ZINC000815907923 627711583 /nfs/dbraw/zinc/71/15/83/627711583.db2.gz OHZWBQXMZFAEPD-GWCFXTLKSA-N 0 1 308.328 3.188 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC2CCC1CC2)c1nnc2n1CCCCC2 ZINC000815914496 627712269 /nfs/dbraw/zinc/71/22/69/627712269.db2.gz DQTPJHUSVWCGFT-NEXFUWMNSA-N 0 1 312.417 3.007 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000816498305 627797834 /nfs/dbraw/zinc/79/78/34/627797834.db2.gz PGVNTZCPJFADFW-ZDUSSCGKSA-N 0 1 309.410 3.232 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000816498305 627797837 /nfs/dbraw/zinc/79/78/37/627797837.db2.gz PGVNTZCPJFADFW-ZDUSSCGKSA-N 0 1 309.410 3.232 20 30 DGEDMN CC[C@@H]([NH2+]C1CC(N2CCCCC2)C1)c1cccc(C#N)c1[O-] ZINC000926337212 627881304 /nfs/dbraw/zinc/88/13/04/627881304.db2.gz MGXKXUQJHPXLKC-LEOMRAHMSA-N 0 1 313.445 3.321 20 30 DGEDMN COc1cc(CN[C@H](C)c2cnn(C3CCC3)c2)ccc1C#N ZINC000926449589 627897288 /nfs/dbraw/zinc/89/72/88/627897288.db2.gz WFALFLSZCAOSRI-CYBMUJFWSA-N 0 1 310.401 3.339 20 30 DGEDMN C#CCOc1ccc(CN[C@H](CC)c2cccc(OC)n2)cc1 ZINC000926530792 627909373 /nfs/dbraw/zinc/90/93/73/627909373.db2.gz PNCBBHPHDPXRFB-QGZVFWFLSA-N 0 1 310.397 3.343 20 30 DGEDMN CON1CCC(/N=C/c2cc(Cl)cc(Cl)c2O)CC1 ZINC000817707215 627936561 /nfs/dbraw/zinc/93/65/61/627936561.db2.gz HPOILJBNOVYKEB-LZYBPNLTSA-N 0 1 303.189 3.144 20 30 DGEDMN CC(C)(C)c1csc(CNC[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000819019824 628079691 /nfs/dbraw/zinc/07/96/91/628079691.db2.gz CWGYELZGCGAQIA-CQSZACIVSA-N 0 1 315.442 3.135 20 30 DGEDMN C=CCC1(C(=O)NCc2cc(N(C)C)ccn2)CCCCC1 ZINC000927697929 628091621 /nfs/dbraw/zinc/09/16/21/628091621.db2.gz SQYJXMWEZATFHO-UHFFFAOYSA-N 0 1 301.434 3.290 20 30 DGEDMN C=CCC1(C(=O)Nc2ccc(CN(C)C)c(F)c2)CCOCC1 ZINC000819352947 628139353 /nfs/dbraw/zinc/13/93/53/628139353.db2.gz UQTOQLPIFHCMLV-UHFFFAOYSA-N 0 1 320.408 3.199 20 30 DGEDMN CCN(CC)c1ccc(C=NN[C@@H](C)c2ccncc2)c(O)c1 ZINC000819814552 628192909 /nfs/dbraw/zinc/19/29/09/628192909.db2.gz BLXPREPRZQFHIV-AWEZNQCLSA-N 0 1 312.417 3.318 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(CN2CCCCC2)o1)c1ccccc1 ZINC000823476245 628566700 /nfs/dbraw/zinc/56/67/00/628566700.db2.gz XBECNBBJQGAGFH-SFHVURJKSA-N 0 1 322.408 3.370 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCCC[C@@H]1CC(=O)[O-] ZINC000826081344 628826562 /nfs/dbraw/zinc/82/65/62/628826562.db2.gz OZOYOZFKVGGFHA-OAHLLOKOSA-N 0 1 300.402 3.317 20 30 DGEDMN CCOC(=O)[C@]1(F)CN(CC(C)(C)CCC#N)CC12CCC2 ZINC000826853284 628895380 /nfs/dbraw/zinc/89/53/80/628895380.db2.gz TWKKDJYDOJWAAJ-QGZVFWFLSA-N 0 1 310.413 3.074 20 30 DGEDMN C#C[C@H](NCc1cscc1Br)C1CCOCC1 ZINC000827560983 628970749 /nfs/dbraw/zinc/97/07/49/628970749.db2.gz WGPVTGBFAHIFGE-ZDUSSCGKSA-N 0 1 314.248 3.029 20 30 DGEDMN C#C[C@@H](NCc1cc(C(F)(F)F)ccc1F)C1CCOCC1 ZINC000827569479 628971935 /nfs/dbraw/zinc/97/19/35/628971935.db2.gz BRWATWOLAZJEIV-OAHLLOKOSA-N 0 1 315.310 3.363 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(C(C)C)c([N+](=O)[O-])c1 ZINC000827817615 628993988 /nfs/dbraw/zinc/99/39/88/628993988.db2.gz GOEHEHXJOVWITE-UHFFFAOYSA-N 0 1 304.390 3.190 20 30 DGEDMN CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)[C@H]1CCC[C@@H]1C#N ZINC000828141067 629027198 /nfs/dbraw/zinc/02/71/98/629027198.db2.gz JPCULANTBZWUAA-SKDRFNHKSA-N 0 1 318.327 3.265 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccc(-c3ccccc3C#N)cc2)C[C@@H]1C(=O)[O-] ZINC000828455456 629055959 /nfs/dbraw/zinc/05/59/59/629055959.db2.gz BWKMQOOVHNXMRR-KUHUBIRLSA-N 0 1 320.392 3.378 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000829774620 629190830 /nfs/dbraw/zinc/19/08/30/629190830.db2.gz RAALMPUAJJNHFT-GJZGRUSLSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000829774387 629190883 /nfs/dbraw/zinc/19/08/83/629190883.db2.gz PUGIPBWOYQHFCH-GJZGRUSLSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000829778883 629191333 /nfs/dbraw/zinc/19/13/33/629191333.db2.gz JKIXHKHHEIOZAB-QWHCGFSZSA-N 0 1 306.356 3.027 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000829785333 629192102 /nfs/dbraw/zinc/19/21/02/629192102.db2.gz UBSKSZCICGMAKE-ZDUSSCGKSA-N 0 1 304.340 3.395 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000829785833 629192328 /nfs/dbraw/zinc/19/23/28/629192328.db2.gz ZHCZZHBGBDMABB-AWEZNQCLSA-N 0 1 302.805 3.478 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2C)CC1 ZINC000830316050 629252039 /nfs/dbraw/zinc/25/20/39/629252039.db2.gz BWZXXFXUWLLZGN-CYBMUJFWSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC1CCN(CC(=O)N(C)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000830343511 629256180 /nfs/dbraw/zinc/25/61/80/629256180.db2.gz XZKVMDIZHAAKPX-HNNXBMFYSA-N 0 1 324.424 3.297 20 30 DGEDMN C#CC1CCN(Cc2nn(-c3ccc(F)cc3)c3c2CCC3)CC1 ZINC000830343292 629256207 /nfs/dbraw/zinc/25/62/07/629256207.db2.gz RFRKNLBMADUNNT-UHFFFAOYSA-N 0 1 323.415 3.345 20 30 DGEDMN C[N@@H+](Cc1ccccc1C(C)(C)C#N)C1(C(=O)[O-])CCCC1 ZINC000830855640 629312161 /nfs/dbraw/zinc/31/21/61/629312161.db2.gz CXKBBYXTTQHFNG-UHFFFAOYSA-N 0 1 300.402 3.317 20 30 DGEDMN C#CC1CCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)CC1 ZINC000831588672 629394150 /nfs/dbraw/zinc/39/41/50/629394150.db2.gz IGYLNJBKMPJQQF-UHFFFAOYSA-N 0 1 318.327 3.378 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831885075 629422465 /nfs/dbraw/zinc/42/24/65/629422465.db2.gz MABLVAJTVYNLBN-GFCCVEGCSA-N 0 1 311.376 3.451 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831898036 629425186 /nfs/dbraw/zinc/42/51/86/629425186.db2.gz GNCMMTATQVFOIR-CQSZACIVSA-N 0 1 301.434 3.289 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CC3(CCC3)[C@H]2c2ccco2)cc1 ZINC000832233470 629453348 /nfs/dbraw/zinc/45/33/48/629453348.db2.gz ZIASRUQHTLTBGQ-SJLPKXTDSA-N 0 1 308.381 3.412 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C\c2c[nH]c(C(=O)[O-])c2)cc1 ZINC000833008966 629547922 /nfs/dbraw/zinc/54/79/22/629547922.db2.gz FYVGVHOPATWPJJ-UQCOIBPSSA-N 0 1 321.336 3.103 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000833377979 629595260 /nfs/dbraw/zinc/59/52/60/629595260.db2.gz ULIQKEFPBBCIBC-UHFFFAOYSA-N 0 1 309.365 3.465 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000833377979 629595263 /nfs/dbraw/zinc/59/52/63/629595263.db2.gz ULIQKEFPBBCIBC-UHFFFAOYSA-N 0 1 309.365 3.465 20 30 DGEDMN CC(C)(C)C[N@@H+](CCC#N)CCOc1ccccc1C(=O)[O-] ZINC000833430869 629604423 /nfs/dbraw/zinc/60/44/23/629604423.db2.gz WOSYZBHUYDUESS-UHFFFAOYSA-N 0 1 304.390 3.025 20 30 DGEDMN CC(C)(C)C[N@H+](CCC#N)CCOc1ccccc1C(=O)[O-] ZINC000833430869 629604424 /nfs/dbraw/zinc/60/44/24/629604424.db2.gz WOSYZBHUYDUESS-UHFFFAOYSA-N 0 1 304.390 3.025 20 30 DGEDMN CC(C)([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1cccc(F)c1 ZINC000833460691 629607846 /nfs/dbraw/zinc/60/78/46/629607846.db2.gz NQXDPACJEVUGFQ-UHFFFAOYSA-N 0 1 312.344 3.420 20 30 DGEDMN COc1ccc(C)cc1[C@H](C)[NH2+]Cc1cccc(C(=O)[O-])c1C#N ZINC000833730529 629644332 /nfs/dbraw/zinc/64/43/32/629644332.db2.gz FPPAOWXMEIPQJS-ZDUSSCGKSA-N 0 1 324.380 3.424 20 30 DGEDMN C#Cc1cccc(CN[C@H](C)c2ccc(NC(C)=O)cc2OC)c1 ZINC000834903801 629803699 /nfs/dbraw/zinc/80/36/99/629803699.db2.gz SHFRUKKRDHPGLC-CQSZACIVSA-N 0 1 322.408 3.486 20 30 DGEDMN CC(=Nn1c(=S)[nH]nc1C(F)(F)F)c1csc(C)c1 ZINC000834922670 629809103 /nfs/dbraw/zinc/80/91/03/629809103.db2.gz VRMWPOOWWIHKAJ-UHFFFAOYSA-N 0 1 306.338 3.228 20 30 DGEDMN Nc1ccc2c(n1)CCCC2=[NH+][N-]c1cccc(C(F)(F)F)n1 ZINC000834959477 629812900 /nfs/dbraw/zinc/81/29/00/629812900.db2.gz SHGATONUYLDTNE-UHFFFAOYSA-N 0 1 321.306 3.230 20 30 DGEDMN C[C@@H](C#N)CNN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834964703 629813553 /nfs/dbraw/zinc/81/35/53/629813553.db2.gz GEFKAQSTSACDNT-AWEZNQCLSA-N 0 1 312.442 3.468 20 30 DGEDMN C[C@@H]1C(N=Nc2ncc(F)cc2F)CCN1Cc1ccccc1 ZINC000835024022 629826467 /nfs/dbraw/zinc/82/64/67/629826467.db2.gz VNDQWUHEHLLDMZ-GFCCVEGCSA-N 0 1 316.355 3.422 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1ncc(F)cc1F ZINC000835022490 629826557 /nfs/dbraw/zinc/82/65/57/629826557.db2.gz LKJPIECFKAVWOO-LBPRGKRZSA-N 0 1 316.355 3.280 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@]2(C)CC2(Cl)Cl)nc(C)n1 ZINC000835061111 629840515 /nfs/dbraw/zinc/84/05/15/629840515.db2.gz WZAZNYMVMUPPKE-MFKMUULPSA-N 0 1 312.200 3.244 20 30 DGEDMN CCC[C@H](OC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000835104149 629852796 /nfs/dbraw/zinc/85/27/96/629852796.db2.gz QGTXVITVNYTSIA-JSGCOSHPSA-N 0 1 315.398 3.196 20 30 DGEDMN CCC[C@H](OC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000835145721 629863619 /nfs/dbraw/zinc/86/36/19/629863619.db2.gz VEGKOYJRGFZDFM-PWSUYJOCSA-N 0 1 306.362 3.427 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@@H]1CC[C@H]2C[C@H]21)c1ccccc1 ZINC000835338787 629909940 /nfs/dbraw/zinc/90/99/40/629909940.db2.gz AYQPDYZOCFZARY-AVEIZBFRSA-N 0 1 324.424 3.399 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2c(C)cccc2Cl)CC1 ZINC000837525688 630270724 /nfs/dbraw/zinc/27/07/24/630270724.db2.gz VIGWUBJHJRTXHO-UHFFFAOYSA-N 0 1 305.805 3.037 20 30 DGEDMN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841003329 630394117 /nfs/dbraw/zinc/39/41/17/630394117.db2.gz SDVJIWYLFKQERX-BBRMVZONSA-N 0 1 314.433 3.166 20 30 DGEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)ccc1C(F)(F)F ZINC000841634049 630450115 /nfs/dbraw/zinc/45/01/15/630450115.db2.gz UWKFEJKYSSJCCS-ZIAGYGMSSA-N 0 1 324.350 3.208 20 30 DGEDMN C[C@@H](ON=C(N)CN1[C@H](C)CCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000842048304 630483022 /nfs/dbraw/zinc/48/30/22/630483022.db2.gz CRFLOMAHOJZCMY-QLFBSQMISA-N 0 1 314.433 3.381 20 30 DGEDMN CC(=NNC12CC(C1)C2)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000842547723 630547673 /nfs/dbraw/zinc/54/76/73/630547673.db2.gz VTZIZJGYFLFQDU-UHFFFAOYSA-N 0 1 313.445 3.244 20 30 DGEDMN CC(C)(C)C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000842683884 630562494 /nfs/dbraw/zinc/56/24/94/630562494.db2.gz FARBUTDNSUBDNU-ARFHVFGLSA-N 0 1 312.413 3.084 20 30 DGEDMN C#CC1CCN(Cc2c[nH]nc2-c2c(F)cccc2F)CC1 ZINC000843426702 630657096 /nfs/dbraw/zinc/65/70/96/630657096.db2.gz HEHKKRFHNCIWLA-UHFFFAOYSA-N 0 1 301.340 3.200 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CC[C@@]1(O)CCCOC1 ZINC000844269262 630714958 /nfs/dbraw/zinc/71/49/58/630714958.db2.gz BEKSBQOBEGBHEZ-RHUPODBASA-N 0 1 318.200 3.050 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C[C@H]1CN(C2CC2)C(=O)O1 ZINC000844325145 630718862 /nfs/dbraw/zinc/71/88/62/630718862.db2.gz PRXAICXFVGKGLS-KRWDZBQOSA-N 0 1 300.402 3.048 20 30 DGEDMN C=C(C)[C@@H](NC[C@@H]1CN(C2CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000844322384 630719012 /nfs/dbraw/zinc/71/90/12/630719012.db2.gz MDLOJINQXAICKE-CZUORRHYSA-N 0 1 322.355 3.155 20 30 DGEDMN C[C@H](c1nc2ccccc2o1)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000844366815 630721367 /nfs/dbraw/zinc/72/13/67/630721367.db2.gz OSWGYYIPLRBCSE-DYVFJYSZSA-N 0 1 321.380 3.426 20 30 DGEDMN C#CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC000844714708 630801364 /nfs/dbraw/zinc/80/13/64/630801364.db2.gz WODSKZCDLNDTMS-RCCFBDPRSA-N 0 1 312.457 3.300 20 30 DGEDMN C[C@H]1C[C@H](NCC2(C#N)CCCC2)CN(C(=O)OC(C)(C)C)C1 ZINC000846010984 631205730 /nfs/dbraw/zinc/20/57/30/631205730.db2.gz PQQAHBOTIONZIM-GJZGRUSLSA-N 0 1 321.465 3.305 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](c2ccccc2F)[C@H](C)O)cc1 ZINC000846016361 631206256 /nfs/dbraw/zinc/20/62/56/631206256.db2.gz HNJWNDFNZKSKNQ-IFXJQAMLSA-N 0 1 313.372 3.049 20 30 DGEDMN COc1ccc(N(C)CCN(C)Cc2ccc(C#N)s2)cc1 ZINC000846051897 631208482 /nfs/dbraw/zinc/20/84/82/631208482.db2.gz MEFGXNFHYAYHPS-UHFFFAOYSA-N 0 1 315.442 3.197 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1csc(-c2ccc(C)cc2)n1 ZINC000846542724 631258194 /nfs/dbraw/zinc/25/81/94/631258194.db2.gz HHNWUTPVFNAZGX-GFCCVEGCSA-N 0 1 302.399 3.283 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2cccs2)nc1 ZINC000846815158 631315702 /nfs/dbraw/zinc/31/57/02/631315702.db2.gz XMYLMPNYHJZFOG-HOTGVXAUSA-N 0 1 313.426 3.103 20 30 DGEDMN C[C@H](NC(=O)CCCCC#N)c1nc2ccc(Cl)cc2[nH]1 ZINC000846872691 631335654 /nfs/dbraw/zinc/33/56/54/631335654.db2.gz ZBASRQZLEAVVCW-JTQLQIEISA-N 0 1 304.781 3.477 20 30 DGEDMN C#CCCOc1ccc(C(=O)OCc2cc(C3CC3)[nH]n2)cc1 ZINC000848010684 631594812 /nfs/dbraw/zinc/59/48/12/631594812.db2.gz REAUYGDOEKNTPM-UHFFFAOYSA-N 0 1 310.353 3.046 20 30 DGEDMN Nc1ccc2c(c1)C(=[NH+]Nc1ccc(CC(=O)[O-])cc1)CCCC2 ZINC000848415128 631657709 /nfs/dbraw/zinc/65/77/09/631657709.db2.gz WWYGNZKCGARGGU-UHFFFAOYSA-N 0 1 323.396 3.439 20 30 DGEDMN CCCCNC(=NOCC(F)(F)F)NCc1ccc(C)cc1 ZINC000849214662 631857866 /nfs/dbraw/zinc/85/78/66/631857866.db2.gz BFIRMOXJYUFFTR-UHFFFAOYSA-N 0 1 317.355 3.324 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2c(C3CC3)cnn2C)c1 ZINC000850137590 632064134 /nfs/dbraw/zinc/06/41/34/632064134.db2.gz SNZZONBHTAJEHR-INIZCTEOSA-N 0 1 324.428 3.268 20 30 DGEDMN C#CCCOc1ccc(CNCc2c(C3CCC3)cnn2C)cc1 ZINC000850391463 632136941 /nfs/dbraw/zinc/13/69/41/632136941.db2.gz RBNGAQDGBDPCRN-UHFFFAOYSA-N 0 1 323.440 3.380 20 30 DGEDMN C[C@H](NCc1c(C2CC2)cnn1C)c1ccc(OCC#N)cc1 ZINC000850534643 632185310 /nfs/dbraw/zinc/18/53/10/632185310.db2.gz LIHROVZDERUXBJ-ZDUSSCGKSA-N 0 1 310.401 3.051 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CCC1 ZINC000850740104 632211025 /nfs/dbraw/zinc/21/10/25/632211025.db2.gz SATVJINFQILOJG-ABAIWWIYSA-N 0 1 300.383 3.288 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC[C@@H]2CC2(Cl)Cl)nc(C)n1 ZINC000850754056 632216108 /nfs/dbraw/zinc/21/61/08/632216108.db2.gz HQJALRMGOFIYOC-MNOVXSKESA-N 0 1 312.200 3.244 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC[C@H]2CC2(Cl)Cl)nc(C)n1 ZINC000850754058 632216146 /nfs/dbraw/zinc/21/61/46/632216146.db2.gz HQJALRMGOFIYOC-QWRGUYRKSA-N 0 1 312.200 3.244 20 30 DGEDMN Cc1ccn([C@@H](C)CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)n1 ZINC000850850023 632237051 /nfs/dbraw/zinc/23/70/51/632237051.db2.gz OJHAVEPEUDSANL-KBPBESRZSA-N 0 1 321.384 3.204 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCC[C@H]1Cc1ccccc1)c1ccncn1 ZINC000850946941 632265325 /nfs/dbraw/zinc/26/53/25/632265325.db2.gz BGLQYBWQIQDYKC-ULQDDVLXSA-N 0 1 305.381 3.312 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)N1CC[C@H](C)Sc2ccccc21 ZINC000852097629 632553078 /nfs/dbraw/zinc/55/30/78/632553078.db2.gz SQRZDDDBUUWDGU-KSSFIOAISA-N 0 1 316.470 3.295 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)N(CC)c1nc2ccccc2s1 ZINC000852100014 632554698 /nfs/dbraw/zinc/55/46/98/632554698.db2.gz FLGXTGYXSNNQMI-QGZVFWFLSA-N 0 1 315.442 3.041 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001032176896 660209899 /nfs/dbraw/zinc/20/98/99/660209899.db2.gz ZFHSZBRUDWEIGK-UHFFFAOYSA-N 0 1 300.446 3.123 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2ccc(-c3nnc[nH]3)cc2)c1 ZINC000185347241 660217325 /nfs/dbraw/zinc/21/73/25/660217325.db2.gz YBUFOLJBCVNEBK-UHFFFAOYSA-N 0 1 316.364 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C=C2CCCCC2)CC1(C)C ZINC000995531453 660227501 /nfs/dbraw/zinc/22/75/01/660227501.db2.gz OWNCDJWCKRXDLS-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN CCCCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174010845 659410022 /nfs/dbraw/zinc/41/00/22/659410022.db2.gz HIVXSBMGYQHCMG-UONOGXRCSA-N 0 1 301.390 3.335 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2C(C)(C)C2(C)C)CC1(C)C ZINC000995616459 660231386 /nfs/dbraw/zinc/23/13/86/660231386.db2.gz VFQTWDPIUBVGOI-GFCCVEGCSA-N 0 1 312.885 3.248 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@H](C)C1 ZINC000944791625 660232752 /nfs/dbraw/zinc/23/27/52/660232752.db2.gz VODDPCQIEXGSKX-CZUORRHYSA-N 0 1 318.486 3.082 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)NC1CCCC1)c1ccc(Cl)s1 ZINC000175118749 659915925 /nfs/dbraw/zinc/91/59/25/659915925.db2.gz PABMBAIKPVHTDR-MWLCHTKSSA-N 0 1 324.833 3.273 20 30 DGEDMN Cc1noc(C)c1[C@H](C)NCc1ccc(N(C)CCC#N)cc1 ZINC000073006979 660235321 /nfs/dbraw/zinc/23/53/21/660235321.db2.gz OGZQEKIIQFFJQC-ZDUSSCGKSA-N 0 1 312.417 3.492 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(CC)oc3ccccc32)[C@@H](C)C1 ZINC000945178220 660242789 /nfs/dbraw/zinc/24/27/89/660242789.db2.gz FIUXMVJJKASDOF-GOEBONIOSA-N 0 1 324.424 3.069 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3ccccc3c2CC)[C@@H](C)C1 ZINC000945368589 660250573 /nfs/dbraw/zinc/25/05/73/660250573.db2.gz XKJWGGKYKBKDPH-WMLDXEAASA-N 0 1 324.424 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(F)c(C)c2)CC1(C)C ZINC000996223477 660277901 /nfs/dbraw/zinc/27/79/01/660277901.db2.gz XMIVPQOBOSXFHU-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1(C)C ZINC000996080992 660275056 /nfs/dbraw/zinc/27/50/56/660275056.db2.gz DXKBUESUHOTZIM-JONQDZQNSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H]2CC=CCC2)CC1(C)C ZINC000996126149 660275735 /nfs/dbraw/zinc/27/57/35/660275735.db2.gz WZUAFLOJQBEVPS-LSDHHAIUSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2CC=CCC2)CC1(C)C ZINC000996126146 660275826 /nfs/dbraw/zinc/27/58/26/660275826.db2.gz WZUAFLOJQBEVPS-HUUCEWRRSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccoc2CCC)CC1(C)C ZINC000996521830 660284901 /nfs/dbraw/zinc/28/49/01/660284901.db2.gz WPWZYPPOWBJGEJ-HNNXBMFYSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(C)(F)F)CC2)CC1(C)C ZINC000996306834 660279360 /nfs/dbraw/zinc/27/93/60/660279360.db2.gz BBAWQWDUPJSUPN-LLVKDONJSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)cccc2C)CC1(C)C ZINC000996343454 660279533 /nfs/dbraw/zinc/27/95/33/660279533.db2.gz OWEVOFCPJLHZJS-HNNXBMFYSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CCC=CCC2)CC1(C)C ZINC000996645201 660289272 /nfs/dbraw/zinc/28/92/72/660289272.db2.gz QEBUNXJZCIZYTD-HNNXBMFYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1C[C@H]1c1ccccc1 ZINC001032407156 660312280 /nfs/dbraw/zinc/31/22/80/660312280.db2.gz NSCBCTANFXENOR-VJANTYMQSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)c(F)ccc1Cl ZINC001032485351 660329599 /nfs/dbraw/zinc/32/95/99/660329599.db2.gz LCGUKQWRSQPKPO-STQMWFEESA-N 0 1 322.811 3.262 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)c2ccccc12 ZINC001032507974 660333441 /nfs/dbraw/zinc/33/34/41/660333441.db2.gz QLLQTHMGWBRUPH-HOTGVXAUSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](N(CC)C(=O)c2ccccc2O)CC1 ZINC000997926990 660356737 /nfs/dbraw/zinc/35/67/37/660356737.db2.gz JHSSZIAQJCXUOK-INIZCTEOSA-N 0 1 316.445 3.285 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1occc1C(C)C ZINC001032584342 660357135 /nfs/dbraw/zinc/35/71/35/660357135.db2.gz VJTXTXNYOXWQCN-GJZGRUSLSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(CNCc2cscn2)CC1 ZINC000997956497 660358292 /nfs/dbraw/zinc/35/82/92/660358292.db2.gz NBJXRZDJDFTDAL-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2cccc(C)c2)C1 ZINC001032614422 660378921 /nfs/dbraw/zinc/37/89/21/660378921.db2.gz PJLKHQZPWRLBNS-ROUUACIJSA-N 0 1 310.441 3.136 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001016122174 660398327 /nfs/dbraw/zinc/39/83/27/660398327.db2.gz DRUUHTXFHFBCAS-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c2ccccc12 ZINC001032650693 660406454 /nfs/dbraw/zinc/40/64/54/660406454.db2.gz NNOBHOOIMBPOAU-IRXDYDNUSA-N 0 1 318.420 3.070 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001032647250 660405975 /nfs/dbraw/zinc/40/59/75/660405975.db2.gz QNAXCVVUDRFKJN-QAETUUGQSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C(C)C)nc2)C1 ZINC000999352584 660417065 /nfs/dbraw/zinc/41/70/65/660417065.db2.gz JUHUWBBEGWMCNX-HNNXBMFYSA-N 0 1 321.852 3.152 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2ccsc21 ZINC001032716671 660420747 /nfs/dbraw/zinc/42/07/47/660420747.db2.gz ZPTGFGHPFKGODO-GJZGRUSLSA-N 0 1 312.438 3.376 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2ccsc21 ZINC001032716671 660420749 /nfs/dbraw/zinc/42/07/49/660420749.db2.gz ZPTGFGHPFKGODO-GJZGRUSLSA-N 0 1 312.438 3.376 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(-c2ccoc2)c1 ZINC001032719957 660427187 /nfs/dbraw/zinc/42/71/87/660427187.db2.gz DRJKBFPIFVXUPH-OALUTQOASA-N 0 1 322.408 3.421 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000131748498 660450975 /nfs/dbraw/zinc/45/09/75/660450975.db2.gz MGXKQDFKAUHMLL-HNNXBMFYSA-N 0 1 302.374 3.313 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001032807527 660451778 /nfs/dbraw/zinc/45/17/78/660451778.db2.gz LIMFVJKNLMWZGT-BZSNNMDCSA-N 0 1 316.420 3.181 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc([C@H](C)CC)cc1 ZINC001032806435 660451841 /nfs/dbraw/zinc/45/18/41/660451841.db2.gz QDXHCUUVRWLMLV-MNEFBYGVSA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)C1 ZINC001043280970 660457315 /nfs/dbraw/zinc/45/73/15/660457315.db2.gz SISKSDXNISZHAZ-IRXDYDNUSA-N 0 1 304.478 3.334 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCCC1(C)C ZINC001032821384 660458349 /nfs/dbraw/zinc/45/83/49/660458349.db2.gz KMOKZOZBSDSUMM-IKGGRYGDSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)oc2ccccc21 ZINC001032823717 660459472 /nfs/dbraw/zinc/45/94/72/660459472.db2.gz TZFDNJBIXJHIOQ-HOTGVXAUSA-N 0 1 324.424 3.145 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C)c(Cl)c2F)CC1 ZINC001003376218 660491812 /nfs/dbraw/zinc/49/18/12/660491812.db2.gz XPRYBWSCZRHONT-UHFFFAOYSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001003804043 660509590 /nfs/dbraw/zinc/50/95/90/660509590.db2.gz BRECGWHURJWHMJ-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCCc3ccccc32)CC1 ZINC001004219082 660533894 /nfs/dbraw/zinc/53/38/94/660533894.db2.gz NXMPRWDRQPKTGG-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001033150644 660562115 /nfs/dbraw/zinc/56/21/15/660562115.db2.gz XNLHUUAWTDGACN-AWEZNQCLSA-N 0 1 316.376 3.213 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001033150644 660562117 /nfs/dbraw/zinc/56/21/17/660562117.db2.gz XNLHUUAWTDGACN-AWEZNQCLSA-N 0 1 316.376 3.213 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc3c2CCCCC3)C1 ZINC001033175347 660572215 /nfs/dbraw/zinc/57/22/15/660572215.db2.gz BXIDNQORYAHLJC-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001033176581 660572279 /nfs/dbraw/zinc/57/22/79/660572279.db2.gz IXEVMGHHXWRQMM-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001033176581 660572281 /nfs/dbraw/zinc/57/22/81/660572281.db2.gz IXEVMGHHXWRQMM-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc3sccc3s2)C1 ZINC001033193979 660584127 /nfs/dbraw/zinc/58/41/27/660584127.db2.gz LDDSTGJVJYEJML-GFCCVEGCSA-N 0 1 318.467 3.132 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C)ccc2C2CC2)C1 ZINC001033296438 660604796 /nfs/dbraw/zinc/60/47/96/660604796.db2.gz UMIUGHFLYHUURI-KRWDZBQOSA-N 0 1 310.441 3.042 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2csc3ccccc23)C1 ZINC001033299854 660605250 /nfs/dbraw/zinc/60/52/50/660605250.db2.gz ILAIEULFMMYQIM-AWEZNQCLSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001033428157 660621130 /nfs/dbraw/zinc/62/11/30/660621130.db2.gz PRVLUBLSMUEIEQ-HNNXBMFYSA-N 0 1 315.461 3.100 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001033465735 660625344 /nfs/dbraw/zinc/62/53/44/660625344.db2.gz KMTLKAZIJOOFPF-JKSUJKDBSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3cccnc3s2)C1 ZINC001033553051 660632228 /nfs/dbraw/zinc/63/22/28/660632228.db2.gz NZKBOMCWVWPUBV-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001033640417 660644784 /nfs/dbraw/zinc/64/47/84/660644784.db2.gz UTXYFYHAUAWXJN-KRWDZBQOSA-N 0 1 316.445 3.054 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001033679093 660649215 /nfs/dbraw/zinc/64/92/15/660649215.db2.gz SYBOZFUBYUNVFN-CYBMUJFWSA-N 0 1 306.475 3.378 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2ccc(C(C)C)s2)C1 ZINC001033695628 660651105 /nfs/dbraw/zinc/65/11/05/660651105.db2.gz DHOUCQQQHNBSOR-AWEZNQCLSA-N 0 1 304.459 3.041 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001033698138 660651248 /nfs/dbraw/zinc/65/12/48/660651248.db2.gz MTPILRDENKAGBE-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001033698139 660651414 /nfs/dbraw/zinc/65/14/14/660651414.db2.gz MTPILRDENKAGBE-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC2CCC(C)(C)CC2)C1 ZINC001033723981 660655648 /nfs/dbraw/zinc/65/56/48/660655648.db2.gz RGSCSGAKZILRPZ-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001033864637 660671422 /nfs/dbraw/zinc/67/14/22/660671422.db2.gz LSIDOIWTDHMATC-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001033892515 660676404 /nfs/dbraw/zinc/67/64/04/660676404.db2.gz NKGSVARHSDSHPZ-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001033910404 660678511 /nfs/dbraw/zinc/67/85/11/660678511.db2.gz IEWXVFDWIKGZKZ-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(F)c(Cl)c2)C1 ZINC001033936292 660682845 /nfs/dbraw/zinc/68/28/45/660682845.db2.gz PFJDVTHDEDUXDI-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cncc3sccc32)C1 ZINC001033935467 660682851 /nfs/dbraw/zinc/68/28/51/660682851.db2.gz GBHJAMFGEYXYDY-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c3ccccc23)C1 ZINC001033967827 660686509 /nfs/dbraw/zinc/68/65/09/660686509.db2.gz ISYVRJKHXVOLPE-KRWDZBQOSA-N 0 1 320.436 3.318 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001033974273 660687778 /nfs/dbraw/zinc/68/77/78/660687778.db2.gz BCBKHHLTLFOEGL-OAHLLOKOSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001033985236 660688938 /nfs/dbraw/zinc/68/89/38/660688938.db2.gz KRWBAHHQHNXHGT-BHYGNILZSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(C)c2Cl)C1 ZINC001033992019 660690934 /nfs/dbraw/zinc/69/09/34/660690934.db2.gz CHEFOLKZNWACIT-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033991551 660691007 /nfs/dbraw/zinc/69/10/07/660691007.db2.gz XAOSKWKHFDBGNI-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2csc3c2CCCC3)C1 ZINC001034036648 660698218 /nfs/dbraw/zinc/69/82/18/660698218.db2.gz VAQIQFXYZPOEON-AWEZNQCLSA-N 0 1 318.486 3.349 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C(C)C)cc2)C1 ZINC001034023516 660699050 /nfs/dbraw/zinc/69/90/50/660699050.db2.gz PTGVXNVEOHGPFY-LJQANCHMSA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2CC(c3ccccc3)C2)C1 ZINC001034051071 660702609 /nfs/dbraw/zinc/70/26/09/660702609.db2.gz MDHBHUACPTUOCZ-SOAGJPPSSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ccc(F)cc2F)C1 ZINC001034030287 660696656 /nfs/dbraw/zinc/69/66/56/660696656.db2.gz ZLPPXNXDEKELGW-AWEZNQCLSA-N 0 1 308.372 3.077 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001034032249 660697057 /nfs/dbraw/zinc/69/70/57/660697057.db2.gz OIDIVSSLAVPNKL-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN O=C(N[C@@H]1CCCCN(CC#Cc2ccccc2)C1)C1=CCCC1 ZINC001034157119 660717901 /nfs/dbraw/zinc/71/79/01/660717901.db2.gz BNJUHKXCTZPQOE-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001034274522 660731150 /nfs/dbraw/zinc/73/11/50/660731150.db2.gz NQEJWMMVNLMFPS-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCCN1CCCC[C@@H](NC(=O)c2sccc2Cl)C1 ZINC001034225759 660724597 /nfs/dbraw/zinc/72/45/97/660724597.db2.gz LSNLRZOYZAGBOW-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001034244431 660728571 /nfs/dbraw/zinc/72/85/71/660728571.db2.gz AXSXWJCVYVJXED-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001034296374 660733661 /nfs/dbraw/zinc/73/36/61/660733661.db2.gz IWVQAWSDVXVTPT-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001034304961 660734611 /nfs/dbraw/zinc/73/46/11/660734611.db2.gz BBFHVCNJFIAFDP-CQSZACIVSA-N 0 1 314.385 3.310 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001034304940 660734795 /nfs/dbraw/zinc/73/47/95/660734795.db2.gz VQYJDCMLWMRMOP-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3ncccc3s2)C1 ZINC001034339417 660739313 /nfs/dbraw/zinc/73/93/13/660739313.db2.gz FHDIGBBQQRQQHE-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001045404690 660741938 /nfs/dbraw/zinc/74/19/38/660741938.db2.gz SMIASBTZYRIDRR-GOSISDBHSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001034375342 660743557 /nfs/dbraw/zinc/74/35/57/660743557.db2.gz AHDZVDNLCDEECU-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCCCN(C/C=C\Cl)C2)CC1 ZINC001034381131 660745478 /nfs/dbraw/zinc/74/54/78/660745478.db2.gz NCHUGGCVJFBVKE-FIRRRZPPSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001034428696 660749661 /nfs/dbraw/zinc/74/96/61/660749661.db2.gz QBNLSGUDEKOJDZ-OAHLLOKOSA-N 0 1 319.449 3.349 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001034436815 660753818 /nfs/dbraw/zinc/75/38/18/660753818.db2.gz TWINLEHBJILOSS-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CCCN1CCCC[C@H](NC(=O)c2cc(Cl)cs2)C1 ZINC001034434776 660753847 /nfs/dbraw/zinc/75/38/47/660753847.db2.gz QMUQEJPTKSWPEG-ZDUSSCGKSA-N 0 1 310.850 3.009 20 30 DGEDMN CC(C)(C)C(=O)N[C@H]1CCCCN(Cc2cccc(C#N)c2)C1 ZINC001034496221 660760346 /nfs/dbraw/zinc/76/03/46/660760346.db2.gz BWDKPLVEXSOYNX-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001034497163 660760540 /nfs/dbraw/zinc/76/05/40/660760540.db2.gz OWSBWBQXLNLYCU-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC001034505199 660762936 /nfs/dbraw/zinc/76/29/36/660762936.db2.gz INLGRLGZGVEFRE-SFHVURJKSA-N 0 1 316.489 3.341 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001034506851 660763245 /nfs/dbraw/zinc/76/32/45/660763245.db2.gz QMMNVOHMUYSPCR-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001034506851 660763248 /nfs/dbraw/zinc/76/32/48/660763248.db2.gz QMMNVOHMUYSPCR-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001034528198 660767154 /nfs/dbraw/zinc/76/71/54/660767154.db2.gz PQSLWVXHMHTXBO-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H](C)c2ccccc2C)C1 ZINC001034537201 660769145 /nfs/dbraw/zinc/76/91/45/660769145.db2.gz LLGWFZUHBFZYHW-MSOLQXFVSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(Cl)ccc2F)CC1 ZINC001005678743 660788792 /nfs/dbraw/zinc/78/87/92/660788792.db2.gz CVALTRGZADLRLL-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN CCC(C)(C)C(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001035033545 660810622 /nfs/dbraw/zinc/81/06/22/660810622.db2.gz SLENRBKPRDZUBJ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@H]1C[C@H]1C)CC2 ZINC001035222169 660827973 /nfs/dbraw/zinc/82/79/73/660827973.db2.gz ZYEKSSKBNIMHTG-UKRRQHHQSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C(C)(C)C1CC1)CC2 ZINC001035216743 660831395 /nfs/dbraw/zinc/83/13/95/660831395.db2.gz GVSZBMYDHFFYLA-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1C[C@]1(C)CC)CC2 ZINC001035245466 660833247 /nfs/dbraw/zinc/83/32/47/660833247.db2.gz RANMTQPEVBJGPX-WBVHZDCISA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc[nH]c1C1CCC1)CC2 ZINC001035798888 660853126 /nfs/dbraw/zinc/85/31/26/660853126.db2.gz RFNJHKRKWOCTSK-UHFFFAOYSA-N 0 1 313.445 3.006 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)CC1CCCCCC1)CC2 ZINC001035804240 660854753 /nfs/dbraw/zinc/85/47/53/660854753.db2.gz LFOYOGHSEQDCPY-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@]1(CC)C(C)C)CC2 ZINC001035828380 660856457 /nfs/dbraw/zinc/85/64/57/660856457.db2.gz QVDXJTLBRKWUKO-LPHOPBHVSA-N 0 1 304.478 3.169 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC(C)(C)C1)CC2 ZINC001035860481 660860700 /nfs/dbraw/zinc/86/07/00/660860700.db2.gz OWBBVVHSOGXLHU-INIZCTEOSA-N 0 1 304.478 3.313 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC(n3cccn3)CC2)c1 ZINC000929608554 661320932 /nfs/dbraw/zinc/32/09/32/661320932.db2.gz VFFYYEVJXIXIPH-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC3CCCC3)CC[C@@H]21 ZINC001036631904 660933950 /nfs/dbraw/zinc/93/39/50/660933950.db2.gz DGIDEVRPLPQOSK-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(-c3ccccc3)o2)CC1 ZINC001006040319 660958104 /nfs/dbraw/zinc/95/81/04/660958104.db2.gz YIWKFKIACRDAIV-UHFFFAOYSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)c2ccc(C)cc2)CC1 ZINC001006303185 660973704 /nfs/dbraw/zinc/97/37/04/660973704.db2.gz NMSCEBPFMFWSLA-KRWDZBQOSA-N 0 1 312.457 3.045 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCC(=O)c3ccccc32)nc(C)n1 ZINC000793122868 661006116 /nfs/dbraw/zinc/00/61/16/661006116.db2.gz FLIRPCZAYDPUNY-CVEARBPZSA-N 0 1 319.364 3.030 20 30 DGEDMN Clc1ccc2ncc(C=NNc3cccc(Cl)n3)n2c1 ZINC000793162149 661008833 /nfs/dbraw/zinc/00/88/33/661008833.db2.gz RDKZBTLHRPTQHL-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN O=C(NC1CC1)c1ccc(C=NNc2cccc(Cl)n2)cc1 ZINC000793161958 661008844 /nfs/dbraw/zinc/00/88/44/661008844.db2.gz OARKUVHMYGGCMX-UHFFFAOYSA-N 0 1 314.776 3.073 20 30 DGEDMN N#CC1(COC(=O)c2cccc(-c3nnc[nH]3)c2)CCCCC1 ZINC000793189790 661011570 /nfs/dbraw/zinc/01/15/70/661011570.db2.gz KYAPMHUVNREDQV-UHFFFAOYSA-N 0 1 310.357 3.103 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)OC(=O)c1ccc2cncn2c1 ZINC000793371176 661027915 /nfs/dbraw/zinc/02/79/15/661027915.db2.gz JHGAGXHJBAUIGH-GOSISDBHSA-N 0 1 304.349 3.126 20 30 DGEDMN Cc1cc([C@@H](C)NCC#Cc2ccc(C(F)(F)F)cc2)n(C)n1 ZINC000793539021 661043354 /nfs/dbraw/zinc/04/33/54/661043354.db2.gz GKRRRBBTLNIEAP-CYBMUJFWSA-N 0 1 321.346 3.450 20 30 DGEDMN C[C@@H]1CCC[C@@H](N(C)Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793484661 661038304 /nfs/dbraw/zinc/03/83/04/661038304.db2.gz UEJUUEBLHMAIOL-GDBMZVCRSA-N 0 1 313.445 3.067 20 30 DGEDMN Fc1ccc(-n2nccc2C=NNc2nc3ccccc3[nH]2)cc1 ZINC000794465606 661086055 /nfs/dbraw/zinc/08/60/55/661086055.db2.gz VJABTJGBMUOUJO-UHFFFAOYSA-N 0 1 320.331 3.334 20 30 DGEDMN O=C(C=Cc1cc(F)c(O)c(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000794716060 661101133 /nfs/dbraw/zinc/10/11/33/661101133.db2.gz PBSADLSVXGQNCH-DAFODLJHSA-N 0 1 317.247 3.413 20 30 DGEDMN O=C1c2ccc(F)cc2OC/C1=C/c1cc(F)c(O)c(F)c1 ZINC000794726710 661102216 /nfs/dbraw/zinc/10/22/16/661102216.db2.gz DRCOWDBSHABUBM-OQFOIZHKSA-N 0 1 306.239 3.468 20 30 DGEDMN Cn1cc(CN=Nc2ccncc2Cl)c2cc(F)ccc21 ZINC000794857983 661109689 /nfs/dbraw/zinc/10/96/89/661109689.db2.gz OKMHVYIKKMYLNU-UHFFFAOYSA-N 0 1 302.740 3.234 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccc2occc2c1 ZINC000794887785 661111720 /nfs/dbraw/zinc/11/17/20/661111720.db2.gz CNFIBUGZXGNACV-UHFFFAOYSA-N 0 1 321.361 3.314 20 30 DGEDMN Fc1cc(Br)cc2c1CCC2N=Nc1cncnc1 ZINC000794973581 661118115 /nfs/dbraw/zinc/11/81/15/661118115.db2.gz WGDWLLIZXDPPFT-UHFFFAOYSA-N 0 1 321.153 3.141 20 30 DGEDMN Fc1cccc(NN=Cc2cc(Cl)c3c(c2)OCCO3)n1 ZINC000795007728 661121246 /nfs/dbraw/zinc/12/12/46/661121246.db2.gz NJGQPHAZWINZFT-UHFFFAOYSA-N 0 1 307.712 3.091 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1sccc1COC ZINC000969115912 655524540 /nfs/dbraw/zinc/52/45/40/655524540.db2.gz XJULJFVJRUPXLJ-HNNXBMFYSA-N 0 1 322.474 3.055 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1CCC(C2CC2)CC1 ZINC000969133150 655526944 /nfs/dbraw/zinc/52/69/44/655526944.db2.gz IGFSZDXXDPJNQF-ACBHZAAOSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc(C)c(C)s1 ZINC000969140060 655527369 /nfs/dbraw/zinc/52/73/69/655527369.db2.gz WFKKHUIYFRIQFV-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC000969139455 655527531 /nfs/dbraw/zinc/52/75/31/655527531.db2.gz MJRHEEIBUFUJKB-OAHLLOKOSA-N 0 1 318.486 3.227 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccoc1C(C)C ZINC000969158534 655529033 /nfs/dbraw/zinc/52/90/33/655529033.db2.gz NTQLALVCEDMAKP-HNNXBMFYSA-N 0 1 302.418 3.011 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1CC(C(C)(C)C)C1 ZINC000969158363 655529083 /nfs/dbraw/zinc/52/90/83/655529083.db2.gz HVFGLSKYNWUABX-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1coc2ccccc12 ZINC000969167939 655530339 /nfs/dbraw/zinc/53/03/39/655530339.db2.gz RPAHMMUDNGHFAF-MRXNPFEDSA-N 0 1 324.424 3.431 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1coc2ccccc12 ZINC000969167784 655530373 /nfs/dbraw/zinc/53/03/73/655530373.db2.gz OOJCLLVWFLDFDK-OAHLLOKOSA-N 0 1 310.397 3.040 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)cc2F)C1 ZINC000969244372 655535760 /nfs/dbraw/zinc/53/57/60/655535760.db2.gz BRLVRIRWIDNTAK-LLVKDONJSA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2CCC)C1 ZINC000969278381 655537207 /nfs/dbraw/zinc/53/72/07/655537207.db2.gz CWXTYUZSLBBHFJ-OAHLLOKOSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000969569878 655551095 /nfs/dbraw/zinc/55/10/95/655551095.db2.gz DBPRPPFPRGIXPD-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2cc(Cl)ccc2F)C1 ZINC000969586045 655551552 /nfs/dbraw/zinc/55/15/52/655551552.db2.gz GLBVGOACVNDUAU-CQSZACIVSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC000969830637 655559154 /nfs/dbraw/zinc/55/91/54/655559154.db2.gz PDYGYAYKKCYUPO-QAPCUYQASA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000969830930 655559223 /nfs/dbraw/zinc/55/92/23/655559223.db2.gz WLYUVZRZONVUQW-QAPCUYQASA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2coc3cc(F)ccc23)C1 ZINC000970000523 655567623 /nfs/dbraw/zinc/56/76/23/655567623.db2.gz MFIRCAMFKDOJJO-GFCCVEGCSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC000970049150 655570353 /nfs/dbraw/zinc/57/03/53/655570353.db2.gz XTEPMDXAWXHXNQ-NSHDSACASA-N 0 1 317.820 3.057 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC000970127621 655578002 /nfs/dbraw/zinc/57/80/02/655578002.db2.gz QOONANRUTUUJGT-CYBMUJFWSA-N 0 1 314.385 3.166 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968683054 655585266 /nfs/dbraw/zinc/58/52/66/655585266.db2.gz XSTRHLCZXXJOCV-XJKSGUPXSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC000970235744 655589613 /nfs/dbraw/zinc/58/96/13/655589613.db2.gz YRVPNTGCMUGXGG-IXDOHACOSA-N 0 1 318.848 3.042 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC000970271497 655591267 /nfs/dbraw/zinc/59/12/67/655591267.db2.gz QJUZAUNHLKUWMG-CQSZACIVSA-N 0 1 318.848 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)c2ccccc2F)C1 ZINC000970268140 655591326 /nfs/dbraw/zinc/59/13/26/655591326.db2.gz LVURFHFSZFDPNZ-CYBMUJFWSA-N 0 1 324.827 3.046 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(-n2cccn2)cc1 ZINC000067692939 655598586 /nfs/dbraw/zinc/59/85/86/655598586.db2.gz ADSXOJFLHDTGLD-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC000970547982 655605812 /nfs/dbraw/zinc/60/58/12/655605812.db2.gz KWBOHGBRGNIBBL-AYOQOUSVSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC000970587365 655607781 /nfs/dbraw/zinc/60/77/81/655607781.db2.gz ULMLJGCXGYENMX-GFCCVEGCSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCN(C/C=C\Cl)[C@H](C)C1 ZINC000947390924 655608269 /nfs/dbraw/zinc/60/82/69/655608269.db2.gz CQLSVPCBENOLKS-OVUXIIJLSA-N 0 1 321.852 3.009 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC000970710467 655613858 /nfs/dbraw/zinc/61/38/58/655613858.db2.gz KHHNFNQNPANNIA-AWEZNQCLSA-N 0 1 310.397 3.183 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC000970783574 655620054 /nfs/dbraw/zinc/62/00/54/655620054.db2.gz TWPFZHHRDBYZEE-BLLLJJGKSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2coc3ccc(F)cc23)C1 ZINC000970806294 655623327 /nfs/dbraw/zinc/62/33/27/655623327.db2.gz KTEQPRFOVDLCID-LBPRGKRZSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC000970817790 655625748 /nfs/dbraw/zinc/62/57/48/655625748.db2.gz QHZQWZYAWKCHPQ-RHSMWYFYSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]3CN(CCF)CC[C@H]32)CC1 ZINC001021666721 655652601 /nfs/dbraw/zinc/65/26/01/655652601.db2.gz WLXADXGWQJTMPZ-DLBZAZTESA-N 0 1 308.441 3.015 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1ccc(SC(F)(F)F)cc1 ZINC000092469698 655693789 /nfs/dbraw/zinc/69/37/89/655693789.db2.gz FRNTWCVERZJSFU-UHFFFAOYSA-N 0 1 305.321 3.371 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@]1(C)CCOc2ccccc21 ZINC000933376351 655709631 /nfs/dbraw/zinc/70/96/31/655709631.db2.gz XEQMKCLFWIIZEQ-IBGZPJMESA-N 0 1 308.381 3.354 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000933770066 655732155 /nfs/dbraw/zinc/73/21/55/655732155.db2.gz JKKMXPPFRSQIDT-JQWIXIFHSA-N 0 1 304.337 3.161 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2coc3cc(F)ccc23)C[C@@H]1C ZINC000947473586 655736466 /nfs/dbraw/zinc/73/64/66/655736466.db2.gz NNVIGGQXUOWXKR-JSGCOSHPSA-N 0 1 316.376 3.341 20 30 DGEDMN CO[C@@H]1CC[C@H]1N(C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000934115737 655750603 /nfs/dbraw/zinc/75/06/03/655750603.db2.gz SGZCPHVILCDWEE-HUUCEWRRSA-N 0 1 313.319 3.046 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC000972074163 655762437 /nfs/dbraw/zinc/76/24/37/655762437.db2.gz XMEGSQRUYXSFNZ-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N(C)Cc1ccc(SC)cc1 ZINC000934473647 655778429 /nfs/dbraw/zinc/77/84/29/655778429.db2.gz ZIPAWOKOECLUOW-OAHLLOKOSA-N 0 1 304.459 3.017 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC000949656517 655787776 /nfs/dbraw/zinc/78/77/76/655787776.db2.gz WFCQUCXCKBKGHF-SFHVURJKSA-N 0 1 312.457 3.420 20 30 DGEDMN O=C(/C=C\c1cccc2c1OCCO2)c1ccc(O)c(F)c1 ZINC000255558732 655799704 /nfs/dbraw/zinc/79/97/04/655799704.db2.gz DBVKNMOFJTYEEZ-XQRVVYSFSA-N 0 1 300.285 3.199 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC000972472466 655803787 /nfs/dbraw/zinc/80/37/87/655803787.db2.gz OJAPQUKJCHRLLA-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC000972473474 655804096 /nfs/dbraw/zinc/80/40/96/655804096.db2.gz URMMPCRELHGURG-QZTJIDSGSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C(C)C)CC[C@@H]21 ZINC001036725624 661192714 /nfs/dbraw/zinc/19/27/14/661192714.db2.gz ZNQGTLBXHUNGKJ-GJZGRUSLSA-N 0 1 312.885 3.344 20 30 DGEDMN Cc1cc(N2CCN(C)CC2)ccc1N=Cc1ccccc1O ZINC000255594691 655853126 /nfs/dbraw/zinc/85/31/26/655853126.db2.gz VYEYKDXGCGWURA-UHFFFAOYSA-N 0 1 309.413 3.203 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)CC(C)(C)C2CCCCC2)C1 ZINC000972728877 655874633 /nfs/dbraw/zinc/87/46/33/655874633.db2.gz LLKNJNZMDVWMAB-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C4CC4)CCC3)CC[C@@H]21 ZINC001036779693 661199323 /nfs/dbraw/zinc/19/93/23/661199323.db2.gz OKHAJOAYGGZTDV-HOCLYGCPSA-N 0 1 322.880 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C4CC4)CCC3)CC[C@H]21 ZINC001036779692 661199395 /nfs/dbraw/zinc/19/93/95/661199395.db2.gz OKHAJOAYGGZTDV-GOEBONIOSA-N 0 1 322.880 3.242 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001024137200 655889422 /nfs/dbraw/zinc/88/94/22/655889422.db2.gz KOUZACWGOXZWLB-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN Cc1ncsc1C[N@H+](C)Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000179426909 655892888 /nfs/dbraw/zinc/89/28/88/655892888.db2.gz DTNALDPZGSTXAO-LBPRGKRZSA-N 0 1 301.415 3.374 20 30 DGEDMN Cc1ncsc1CN(C)Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000179426909 655892889 /nfs/dbraw/zinc/89/28/89/655892889.db2.gz DTNALDPZGSTXAO-LBPRGKRZSA-N 0 1 301.415 3.374 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@@H]2CNC(=O)C2=CCCC2)cc1 ZINC001024392586 655904279 /nfs/dbraw/zinc/90/42/79/655904279.db2.gz TUCKJOJINHOAFD-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc(Cl)c1C ZINC001024454096 655906757 /nfs/dbraw/zinc/90/67/57/655906757.db2.gz ZLWQZXIDRGOQHD-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc2c1CCCC2 ZINC001024457407 655907085 /nfs/dbraw/zinc/90/70/85/655907085.db2.gz IOVBSKPNYFNJFC-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@H]2CCCC[N@H+]2CC=C)cc1 ZINC001024512177 655912387 /nfs/dbraw/zinc/91/23/87/655912387.db2.gz UNBSYTJEMXPJHN-QGZVFWFLSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@H]2CCCCN2CC=C)cc1 ZINC001024512177 655912388 /nfs/dbraw/zinc/91/23/88/655912388.db2.gz UNBSYTJEMXPJHN-QGZVFWFLSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CC4(CCC4)C3)CC[C@H]21 ZINC001036795575 661202423 /nfs/dbraw/zinc/20/24/23/661202423.db2.gz ONVISCWBVYIWFL-GDBMZVCRSA-N 0 1 322.880 3.242 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(F)c(F)cc2Cl)c1 ZINC000179727857 655912894 /nfs/dbraw/zinc/91/28/94/655912894.db2.gz LKZPTQZPRSCVMG-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccccc1CCC ZINC001024545108 655915500 /nfs/dbraw/zinc/91/55/00/655915500.db2.gz FKDYOPJWXNMMOY-KRWDZBQOSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccccc1CCC ZINC001024545108 655915502 /nfs/dbraw/zinc/91/55/02/655915502.db2.gz FKDYOPJWXNMMOY-KRWDZBQOSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(C)onc1C1CC1 ZINC001024558991 655916756 /nfs/dbraw/zinc/91/67/56/655916756.db2.gz QGZRTUNYJNYVRR-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001024572976 655918797 /nfs/dbraw/zinc/91/87/97/655918797.db2.gz DKTCDKBKVUSUPL-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cccc(F)c1F ZINC001024621952 655924413 /nfs/dbraw/zinc/92/44/13/655924413.db2.gz PNBKBVKMKNWABT-ZDUSSCGKSA-N 0 1 308.372 3.125 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)c(C)c1 ZINC001024634151 655926142 /nfs/dbraw/zinc/92/61/42/655926142.db2.gz RRTPWUMOAWTYSF-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)cc1C ZINC001024633581 655926365 /nfs/dbraw/zinc/92/63/65/655926365.db2.gz KWXFMGCNCGPICN-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)cc1C ZINC001024633962 655926387 /nfs/dbraw/zinc/92/63/87/655926387.db2.gz PDQBDKRZEDANEJ-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cc[nH]c1C1CCC1 ZINC001024650877 655927771 /nfs/dbraw/zinc/92/77/71/655927771.db2.gz PEYHYIZGOJULLL-OAHLLOKOSA-N 0 1 301.434 3.053 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc[nH]c1C1CCC1 ZINC001024650877 655927772 /nfs/dbraw/zinc/92/77/72/655927772.db2.gz PEYHYIZGOJULLL-OAHLLOKOSA-N 0 1 301.434 3.053 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c(OCC)c1 ZINC001024691671 655930937 /nfs/dbraw/zinc/93/09/37/655930937.db2.gz ZDHSZNMJQCZLST-QGZVFWFLSA-N 0 1 316.445 3.164 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C12CCC(CC1)C2(C)C ZINC001024697237 655931527 /nfs/dbraw/zinc/93/15/27/655931527.db2.gz SSEOQKBDYDQACA-GNZRSQJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001024707847 655932399 /nfs/dbraw/zinc/93/23/99/655932399.db2.gz RNXQZQCYNPRLLD-YTQUADARSA-N 0 1 312.457 3.255 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC001024761721 655935916 /nfs/dbraw/zinc/93/59/16/655935916.db2.gz JGCXTTYXWLGUEI-HNNXBMFYSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc2[nH]ccc2s1 ZINC001024778034 655937570 /nfs/dbraw/zinc/93/75/70/655937570.db2.gz UHGQRVCUURROSH-ZDUSSCGKSA-N 0 1 317.458 3.390 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc2ccccc2c1 ZINC001024775996 655937937 /nfs/dbraw/zinc/93/79/37/655937937.db2.gz NPPPTUPXLJTIQA-FQEVSTJZSA-N 0 1 320.436 3.447 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccsc1C(F)F ZINC001024780689 655938087 /nfs/dbraw/zinc/93/80/87/655938087.db2.gz AYLLJPFDEOYODH-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)ccc1OC ZINC001024788993 655939381 /nfs/dbraw/zinc/93/93/81/655939381.db2.gz QLPSWMAIDLILJR-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(Cl)ccc1OC ZINC001024788992 655939445 /nfs/dbraw/zinc/93/94/45/655939445.db2.gz QLPSWMAIDLILJR-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1sccc1CC ZINC001024814004 655941100 /nfs/dbraw/zinc/94/11/00/655941100.db2.gz LQLAWAZWGDCCHI-OAHLLOKOSA-N 0 1 306.475 3.471 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001024814167 655941335 /nfs/dbraw/zinc/94/13/35/655941335.db2.gz OZLINRPFPGTMMN-LPHOPBHVSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC001024817130 655942529 /nfs/dbraw/zinc/94/25/29/655942529.db2.gz USAZZMFJWBXNSA-KRWDZBQOSA-N 0 1 324.468 3.091 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)(F)F)cc1 ZINC001024830757 655943937 /nfs/dbraw/zinc/94/39/37/655943937.db2.gz ZCANCMQTNGKTQV-INIZCTEOSA-N 0 1 320.383 3.016 20 30 DGEDMN N#Cc1ccccc1CNC[C@H]1CCCCN1C(=O)C=C1CCC1 ZINC001024923358 655950222 /nfs/dbraw/zinc/95/02/22/655950222.db2.gz RWMZZMQRAWWDTJ-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001025039261 655958126 /nfs/dbraw/zinc/95/81/26/655958126.db2.gz KVHOCHQIFSCMEB-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C)CC=CC3)CC[C@H]21 ZINC001036813134 661207403 /nfs/dbraw/zinc/20/74/03/661207403.db2.gz ROBLWEMOPHOHPS-LSDHHAIUSA-N 0 1 308.853 3.018 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1CCCCCC1 ZINC001025207956 655978557 /nfs/dbraw/zinc/97/85/57/655978557.db2.gz BWCOOCSVVGLGIA-OALUTQOASA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2ccoc2CC)C1 ZINC001025405526 656005933 /nfs/dbraw/zinc/00/59/33/656005933.db2.gz URWUDLPRUJTEPM-ZIAGYGMSSA-N 0 1 324.852 3.425 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cccc3c2CCCC3)C1 ZINC001025425417 656009537 /nfs/dbraw/zinc/00/95/37/656009537.db2.gz POZWODRCHFVOMR-FUHWJXTLSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3c(cccc3C)o2)C1 ZINC001019845992 656064989 /nfs/dbraw/zinc/06/49/89/656064989.db2.gz LOSOEWLBYPBBGK-ZDUSSCGKSA-N 0 1 318.804 3.298 20 30 DGEDMN C[C@@]1(C(=O)N[C@H]2C[C@H](NCc3ccccc3C#N)C2)CC=CCC1 ZINC001020193198 656071462 /nfs/dbraw/zinc/07/14/62/656071462.db2.gz LTSYEXAKXLPDHD-QWFCFKBJSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CC[N@H+]1CCC[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001008099374 656119862 /nfs/dbraw/zinc/11/98/62/656119862.db2.gz AKJVIXPSDDXLBA-ZDUSSCGKSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001008099374 656119863 /nfs/dbraw/zinc/11/98/63/656119863.db2.gz AKJVIXPSDDXLBA-ZDUSSCGKSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCc1ccc(OC(=O)c2[nH]nc3c2CCCC3)c(OC)c1 ZINC000124030463 656220516 /nfs/dbraw/zinc/22/05/16/656220516.db2.gz PBDGWQVJTXHPHL-UHFFFAOYSA-N 0 1 312.369 3.245 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cncc(Cl)c2C)C(C)(C)C1 ZINC000974699249 656223095 /nfs/dbraw/zinc/22/30/95/656223095.db2.gz JYUJACPEHQTPRF-OAHLLOKOSA-N 0 1 321.852 3.060 20 30 DGEDMN C#C[C@H]1CCCN(Cc2nc3ccc(Br)cc3[nH]2)C1 ZINC000847021117 661238911 /nfs/dbraw/zinc/23/89/11/661238911.db2.gz JCYMFRKSEBXJPS-NSHDSACASA-N 0 1 318.218 3.171 20 30 DGEDMN C=CC[N@H+]1C[C@H](NC(=O)Cc2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000974803559 656230531 /nfs/dbraw/zinc/23/05/31/656230531.db2.gz BWKUCXIVZNJGFG-HNNXBMFYSA-N 0 1 322.399 3.179 20 30 DGEDMN CC#CC[N@H+]1C[C@H](NC(=O)C2CCCCCCC2)C(C)(C)C1 ZINC000974804831 656230537 /nfs/dbraw/zinc/23/05/37/656230537.db2.gz XBZOEDDIEZLSIA-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCCCCCC2)C(C)(C)C1 ZINC000974804831 656230538 /nfs/dbraw/zinc/23/05/38/656230538.db2.gz XBZOEDDIEZLSIA-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2occc2C(C)C)C(C)(C)C1 ZINC000974951563 656244096 /nfs/dbraw/zinc/24/40/96/656244096.db2.gz ZKAZXLJOPCCAJA-HNNXBMFYSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2ccc3[nH]ccc3c2)C(C)(C)C1 ZINC000974998294 656247482 /nfs/dbraw/zinc/24/74/82/656247482.db2.gz SRPYCFRSHVRQHM-KRWDZBQOSA-N 0 1 311.429 3.184 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)ccc2F)C(C)(C)C1 ZINC000975075759 656256382 /nfs/dbraw/zinc/25/63/82/656256382.db2.gz NEHONVGZXFLRQU-MRXNPFEDSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001009035649 656264752 /nfs/dbraw/zinc/26/47/52/656264752.db2.gz MNUFDVIPVCUTHD-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN N#C[C@H](C(=O)Cn1ccc2ccccc21)c1nc2ccccc2[nH]1 ZINC000125074326 656266151 /nfs/dbraw/zinc/26/61/51/656266151.db2.gz GVKJIUQUDJWICN-CQSZACIVSA-N 0 1 314.348 3.394 20 30 DGEDMN CCCCCCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000125169814 656268475 /nfs/dbraw/zinc/26/84/75/656268475.db2.gz BNXGGGBTLFBWOG-AWEZNQCLSA-N 0 1 301.390 3.480 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001009249495 656274491 /nfs/dbraw/zinc/27/44/91/656274491.db2.gz XIHMDVGOWYHXIJ-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2C)C(C)(C)C1 ZINC000977353968 656323967 /nfs/dbraw/zinc/32/39/67/656323967.db2.gz GIVJMCBZKVKXSL-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)C(C)(C)C1 ZINC000977350545 656324036 /nfs/dbraw/zinc/32/40/36/656324036.db2.gz RFIXFDQYYYEYFQ-MAUKXSAKSA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3nc(C)ccc3c2)C(C)(C)C1 ZINC000977375218 656324980 /nfs/dbraw/zinc/32/49/80/656324980.db2.gz XBNIBNZIQUKUOK-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C(C)C)c2)C(C)(C)C1 ZINC000977417481 656327875 /nfs/dbraw/zinc/32/78/75/656327875.db2.gz GYUZHZCTJVLXJI-QGZVFWFLSA-N 0 1 300.446 3.436 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001009749604 656338207 /nfs/dbraw/zinc/33/82/07/656338207.db2.gz NNEWVBAKSUHPGX-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2CCC(C(F)F)CC2)C(C)(C)C1 ZINC000977536218 656339855 /nfs/dbraw/zinc/33/98/55/656339855.db2.gz CEFYGZLJLQQQIW-RUXDESIVSA-N 0 1 314.420 3.071 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977646679 656352346 /nfs/dbraw/zinc/35/23/46/656352346.db2.gz VIWCQINZRMWVDL-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C(C)(C)C1 ZINC000977680353 656354182 /nfs/dbraw/zinc/35/41/82/656354182.db2.gz LVLXBYNPZMMUDH-HNNXBMFYSA-N 0 1 322.399 3.425 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977664247 656354840 /nfs/dbraw/zinc/35/48/40/656354840.db2.gz RNZZNGFLLQOFSS-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001027560101 656359245 /nfs/dbraw/zinc/35/92/45/656359245.db2.gz PSEDWVPXUIZTLM-PKOBYXMFSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCCCC3(C)C)[C@@H]2C1 ZINC000979098584 656410812 /nfs/dbraw/zinc/41/08/12/656410812.db2.gz DYZAPARYHYTSHY-JONQDZQNSA-N 0 1 324.896 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3CCC(C)(C)CC3)[C@@H]2C1 ZINC000979093528 656410990 /nfs/dbraw/zinc/41/09/90/656410990.db2.gz WHGFHQIFHRVOHY-PHZGNYQRSA-N 0 1 324.896 3.249 20 30 DGEDMN CCC(=O)N[C@@H]1CCCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC001052126806 656411168 /nfs/dbraw/zinc/41/11/68/656411168.db2.gz QUVNKAGMNATPJK-QGZVFWFLSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2ccsc2)CC1 ZINC001052173338 656419786 /nfs/dbraw/zinc/41/97/86/656419786.db2.gz OPFPEEDSGFVIEO-CQSZACIVSA-N 0 1 312.866 3.014 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052315687 656427697 /nfs/dbraw/zinc/42/76/97/656427697.db2.gz SIEZSKBSQFSLKM-RTBURBONSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC000980294051 656480445 /nfs/dbraw/zinc/48/04/45/656480445.db2.gz TWXKWJPVSYLCDR-FQEVSTJZSA-N 0 1 318.420 3.133 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC000980294051 656480448 /nfs/dbraw/zinc/48/04/48/656480448.db2.gz TWXKWJPVSYLCDR-FQEVSTJZSA-N 0 1 318.420 3.133 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(F)c2ccccc2c1 ZINC001027922506 656492692 /nfs/dbraw/zinc/49/26/92/656492692.db2.gz CYKQGIZMUTUGOK-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2ccccc21 ZINC001039394763 656492930 /nfs/dbraw/zinc/49/29/30/656492930.db2.gz IGHRBKSUULNNPB-WSTZPKSXSA-N 0 1 324.468 3.358 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(F)c2ccccc2c1 ZINC001027923202 656493677 /nfs/dbraw/zinc/49/36/77/656493677.db2.gz SHXQIIFUSVDZEW-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001039409178 656494752 /nfs/dbraw/zinc/49/47/52/656494752.db2.gz CTJILZCSOGDASA-SFFUCWETSA-N 0 1 302.462 3.064 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCC1(F)F ZINC001039410636 656495047 /nfs/dbraw/zinc/49/50/47/656495047.db2.gz SBCFBNDWOXOMBY-QLFBSQMISA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1conc1C1CCCC1 ZINC001027927757 656498408 /nfs/dbraw/zinc/49/84/08/656498408.db2.gz CORTYLXRRKYDJA-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2ccccc2C1 ZINC001039444097 656503208 /nfs/dbraw/zinc/50/32/08/656503208.db2.gz RQVWXGQSNZZISU-AQNXPRMDSA-N 0 1 324.468 3.043 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CC1CCCC1 ZINC001039446822 656503696 /nfs/dbraw/zinc/50/36/96/656503696.db2.gz UAZBQBDFAMIJOX-NXHRZFHOSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001039450873 656504721 /nfs/dbraw/zinc/50/47/21/656504721.db2.gz XZWNMMDXVGQQMN-HDAAZOAOSA-N 0 1 324.468 3.432 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001039451586 656504734 /nfs/dbraw/zinc/50/47/34/656504734.db2.gz FADXSSCBQIFRTR-FUMNGEBKSA-N 0 1 324.468 3.350 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001039448710 656504800 /nfs/dbraw/zinc/50/48/00/656504800.db2.gz AIDWDRUKSBVACO-HCXYKTFWSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccccc1F ZINC001039452999 656505267 /nfs/dbraw/zinc/50/52/67/656505267.db2.gz BCFNYVBLOWQUIV-XHSDSOJGSA-N 0 1 316.420 3.181 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)cc1 ZINC001039452499 656505503 /nfs/dbraw/zinc/50/55/03/656505503.db2.gz ZCISEBFKBFLPFM-CVEARBPZSA-N 0 1 320.383 3.489 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1Cl ZINC001039462421 656507372 /nfs/dbraw/zinc/50/73/72/656507372.db2.gz LWEODQBTSFKTIQ-KGLIPLIRSA-N 0 1 304.821 3.205 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCCC2 ZINC001039464715 656507995 /nfs/dbraw/zinc/50/79/95/656507995.db2.gz UIQCMIRMUJDHRV-CVEARBPZSA-N 0 1 314.429 3.023 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)ccc1C ZINC001039463389 656508136 /nfs/dbraw/zinc/50/81/36/656508136.db2.gz MMBNHXWNVDFESP-MSOLQXFVSA-N 0 1 310.441 3.006 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2[nH]1 ZINC001039467516 656508697 /nfs/dbraw/zinc/50/86/97/656508697.db2.gz NUGXLUHKJLIMNQ-CVEARBPZSA-N 0 1 309.413 3.033 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(s1)CCC2 ZINC001039466100 656508777 /nfs/dbraw/zinc/50/87/77/656508777.db2.gz REPOYMHGCAYADZ-CABCVRRESA-N 0 1 316.470 3.102 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(C)(C)C1 ZINC001039476044 656511781 /nfs/dbraw/zinc/51/17/81/656511781.db2.gz WZHAAQMIUCAWPH-BBWFWOEESA-N 0 1 304.478 3.454 20 30 DGEDMN CC(C)c1ccc(CN2CCCN(C(=O)[C@H](C)C#N)CC2)cc1 ZINC000980848425 656577535 /nfs/dbraw/zinc/57/75/35/656577535.db2.gz WUDFQXSNGIWDBD-MRXNPFEDSA-N 0 1 313.445 3.004 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1ccccc1C#N ZINC001049290961 656591750 /nfs/dbraw/zinc/59/17/50/656591750.db2.gz HATPSWSHIRYEIH-OALUTQOASA-N 0 1 323.440 3.090 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001028009146 656596364 /nfs/dbraw/zinc/59/63/64/656596364.db2.gz JGLAJDCDNIJTKO-LJQANCHMSA-N 0 1 312.457 3.247 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001040656252 656601148 /nfs/dbraw/zinc/60/11/48/656601148.db2.gz QYWPQVAMPXZIRS-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001040673926 656601940 /nfs/dbraw/zinc/60/19/40/656601940.db2.gz GFAXOZMVHGHRQD-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3C[C@@H]3C(C)C)C2)CC1 ZINC001040899093 656613917 /nfs/dbraw/zinc/61/39/17/656613917.db2.gz JKCCUGGFVJXONP-CVEARBPZSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490377 656628366 /nfs/dbraw/zinc/62/83/66/656628366.db2.gz LKOMWOSIEXJUMW-STQMWFEESA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049496750 656630631 /nfs/dbraw/zinc/63/06/31/656630631.db2.gz DUTYAJUQPPXUIN-CABCVRRESA-N 0 1 304.459 3.230 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(Cl)c2C)CC1 ZINC000981219998 656648594 /nfs/dbraw/zinc/64/85/94/656648594.db2.gz YMQVAWGIFPMJGO-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3nccc(C)c3c2)CC1 ZINC000981242828 656658872 /nfs/dbraw/zinc/65/88/72/656658872.db2.gz SKWPILQQRJVSFD-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@H]2CCN3C[C@H](F)CC)C1 ZINC001049702439 656670096 /nfs/dbraw/zinc/67/00/96/656670096.db2.gz LKSVKGOUGGVJPU-BZUAXINKSA-N 0 1 308.441 3.156 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)ccc1F ZINC001049751244 656679484 /nfs/dbraw/zinc/67/94/84/656679484.db2.gz RTYXACBNFOXQJA-MSOLQXFVSA-N 0 1 316.420 3.389 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761682 656682350 /nfs/dbraw/zinc/68/23/50/656682350.db2.gz LAPAFCXJTLPZCL-ZIAGYGMSSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC)CCCC1 ZINC001049821868 656692344 /nfs/dbraw/zinc/69/23/44/656692344.db2.gz IIZJKYGSTFIKCM-IAGOWNOFSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2sccc2c1 ZINC001049822316 656692378 /nfs/dbraw/zinc/69/23/78/656692378.db2.gz MSKGPOONIFIWOV-IAGOWNOFSA-N 0 1 324.449 3.213 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C(C)C)oc1C ZINC001049819457 656692720 /nfs/dbraw/zinc/69/27/20/656692720.db2.gz AKQOZLMJSISYQJ-IAGOWNOFSA-N 0 1 314.429 3.024 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(CC)c(CC)o1 ZINC001049846655 656697496 /nfs/dbraw/zinc/69/74/96/656697496.db2.gz LBPJTLUNVCVRHZ-HZPDHXFCSA-N 0 1 316.445 3.269 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(C2CC2)s1 ZINC001049850218 656698163 /nfs/dbraw/zinc/69/81/63/656698163.db2.gz SHHLSBOKXQKKRA-LSDHHAIUSA-N 0 1 316.470 3.490 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)c3ccccc3n2)CC1 ZINC000981471954 656703571 /nfs/dbraw/zinc/70/35/71/656703571.db2.gz AANFTRMCHDQNSV-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(C(F)F)o1 ZINC001049870840 656706099 /nfs/dbraw/zinc/70/60/99/656706099.db2.gz OGWKGCSWJZFGQI-NEPJUHHUSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049873834 656708015 /nfs/dbraw/zinc/70/80/15/656708015.db2.gz ZUCHPRIZZGDECQ-CVEARBPZSA-N 0 1 310.397 3.298 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C12CCC(CC1)CC2 ZINC001049904380 656717239 /nfs/dbraw/zinc/71/72/39/656717239.db2.gz OZXWXXHBVMRTKX-AGYKMIKPSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3scc(C)c3Cl)C[C@H]21 ZINC001042075540 656719156 /nfs/dbraw/zinc/71/91/56/656719156.db2.gz DANWTMDDYTVZJR-QWHCGFSZSA-N 0 1 324.877 3.432 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)cc(OC)c2C)CC1 ZINC000981549997 656719528 /nfs/dbraw/zinc/71/95/28/656719528.db2.gz UFAKNHVYDWLTAQ-UHFFFAOYSA-N 0 1 316.445 3.036 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccccc3C(C)(C)C)C[C@@H]21 ZINC001042106318 656722474 /nfs/dbraw/zinc/72/24/74/656722474.db2.gz AQUHZUODGMSKMB-LPHOPBHVSA-N 0 1 324.468 3.154 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2c(cccc2C)o1 ZINC001049922332 656724204 /nfs/dbraw/zinc/72/42/04/656724204.db2.gz GSVIJCIJIQHXHP-IAGOWNOFSA-N 0 1 322.408 3.053 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H]3CCN(C/C=C\Cl)[C@H]3C2)C1 ZINC001042224260 656740054 /nfs/dbraw/zinc/74/00/54/656740054.db2.gz KQLJSRMGHNSUSS-GWKCMRMTSA-N 0 1 308.853 3.018 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc4occc4s3)C[C@H]21 ZINC001042230049 656741138 /nfs/dbraw/zinc/74/11/38/656741138.db2.gz LQDYORADFJBSQA-CHWSQXEVSA-N 0 1 316.426 3.217 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc(C)c(C)s3)[C@@H]2C1 ZINC001050198771 656741240 /nfs/dbraw/zinc/74/12/40/656741240.db2.gz ZXQWFGHWXPROEG-LSDHHAIUSA-N 0 1 304.459 3.087 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccc4ccsc43)C[C@H]21 ZINC001042302082 656749788 /nfs/dbraw/zinc/74/97/88/656749788.db2.gz QGBDFQWMBQRQLY-WMLDXEAASA-N 0 1 324.449 3.071 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C(C)(C)C)cc3)C[C@@H]21 ZINC001042325604 656753798 /nfs/dbraw/zinc/75/37/98/656753798.db2.gz DTSMKEUDVDBMAZ-APWZRJJASA-N 0 1 324.468 3.154 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2sc(C)nc2C)CC1 ZINC000981751467 656758133 /nfs/dbraw/zinc/75/81/33/656758133.db2.gz SHJKWSOZMASMFZ-UHFFFAOYSA-N 0 1 321.490 3.006 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2sc(C)nc2C)CC1 ZINC000981751467 656758137 /nfs/dbraw/zinc/75/81/37/656758137.db2.gz SHJKWSOZMASMFZ-UHFFFAOYSA-N 0 1 321.490 3.006 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC[C@@H](C(F)(F)F)C2)CC1 ZINC000981834082 656780004 /nfs/dbraw/zinc/78/00/04/656780004.db2.gz QJWFJCBUYZSSQT-UONOGXRCSA-N 0 1 318.383 3.075 20 30 DGEDMN CC(C)(C)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052886932 656783689 /nfs/dbraw/zinc/78/36/89/656783689.db2.gz UVSROQHZXSJGGD-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)c2ccc(CCC)cc2)CC1 ZINC000981846605 656785251 /nfs/dbraw/zinc/78/52/51/656785251.db2.gz PKLZWLJLCBYMKQ-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(CCC)cc2)CC1 ZINC000981846605 656785255 /nfs/dbraw/zinc/78/52/55/656785255.db2.gz PKLZWLJLCBYMKQ-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001028148427 656794721 /nfs/dbraw/zinc/79/47/21/656794721.db2.gz FEYQRQLVASXGDE-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(F)cc3ccoc32)C1 ZINC001043171677 656816628 /nfs/dbraw/zinc/81/66/28/656816628.db2.gz GWGLJYQECJMTDD-UHFFFAOYSA-N 0 1 316.376 3.294 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCN(C(=O)C(C)C)C2)C1 ZINC001053011020 656817112 /nfs/dbraw/zinc/81/71/12/656817112.db2.gz IZLLDOXKFFTFGI-JKSUJKDBSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCN(C(=O)C(C)C)C2)C1 ZINC001053011017 656817517 /nfs/dbraw/zinc/81/75/17/656817517.db2.gz IZLLDOXKFFTFGI-HZPDHXFCSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001043337938 656824048 /nfs/dbraw/zinc/82/40/48/656824048.db2.gz FQUQNKRRTIWUFM-IBGZPJMESA-N 0 1 312.457 3.289 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CC3CC4(CCC4)C3)C2)cc1 ZINC001043505803 656834053 /nfs/dbraw/zinc/83/40/53/656834053.db2.gz GKEUELBYBNULSL-UHFFFAOYSA-N 0 1 322.452 3.004 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CCC3CCCCC3)C2)cc1 ZINC001043505613 656834590 /nfs/dbraw/zinc/83/45/90/656834590.db2.gz YSDGTAMPVTWDRK-UHFFFAOYSA-N 0 1 324.468 3.395 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CCC3CCCC3)C2)cc1 ZINC001043506154 656834788 /nfs/dbraw/zinc/83/47/88/656834788.db2.gz PQZIJENSRYAJOP-UHFFFAOYSA-N 0 1 310.441 3.004 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCCN(C[C@@H](F)CC)C3)CC1 ZINC000981983285 656845110 /nfs/dbraw/zinc/84/51/10/656845110.db2.gz HNTGDTNDHFCACN-KRWDZBQOSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(CC3CC4(CCC4)C3)C2)CC1 ZINC001043759039 656854310 /nfs/dbraw/zinc/85/43/10/656854310.db2.gz DSEFFHHIXNCELT-UHFFFAOYSA-N 0 1 316.489 3.456 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(F)ccc2Cl)CC1 ZINC000982022302 656863533 /nfs/dbraw/zinc/86/35/33/656863533.db2.gz JJAGTNCNTKYLSM-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](C)C3CCCCC3)C2)C1 ZINC000982067882 656876819 /nfs/dbraw/zinc/87/68/19/656876819.db2.gz QOLWSSBAOOCREN-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC001044185658 656893860 /nfs/dbraw/zinc/89/38/60/656893860.db2.gz WZTWLGRJCFHUGC-AWEZNQCLSA-N 0 1 306.475 3.269 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc(C(F)F)c2)C1 ZINC001044211552 656895601 /nfs/dbraw/zinc/89/56/01/656895601.db2.gz BDBPDSQJBBRKBN-UHFFFAOYSA-N 0 1 308.372 3.347 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C[C@H]1C=CCC1)C2 ZINC001054082515 656906585 /nfs/dbraw/zinc/90/65/85/656906585.db2.gz LIXDZZUJTIJHGK-FUHWJXTLSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC001044348008 656910295 /nfs/dbraw/zinc/91/02/95/656910295.db2.gz PMQWUERORDQSMP-UHFFFAOYSA-N 0 1 324.468 3.217 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001054111707 656912743 /nfs/dbraw/zinc/91/27/43/656912743.db2.gz AZTPQLKSEAOMTK-MUQADHOPSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C=C1CCC1)C2 ZINC001054115221 656913285 /nfs/dbraw/zinc/91/32/85/656913285.db2.gz UFEDFZPQFSRJQJ-QGZVFWFLSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1CC1(C)C)C2 ZINC001054118504 656915126 /nfs/dbraw/zinc/91/51/26/656915126.db2.gz JSBCNCKEVLTVIH-WMLDXEAASA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)c1[nH]ccc1C)C2 ZINC001054125966 656916192 /nfs/dbraw/zinc/91/61/92/656916192.db2.gz VXUSFKZCDFLHRP-QGZVFWFLSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2csc(C(C)(C)C)n2)CC1 ZINC000982212549 656919023 /nfs/dbraw/zinc/91/90/23/656919023.db2.gz GFCLZXSSKRTLQM-UHFFFAOYSA-N 0 1 321.490 3.165 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(OC)cc2Cl)CC1 ZINC000982246656 656922918 /nfs/dbraw/zinc/92/29/18/656922918.db2.gz VLWFPAXKPCPNQR-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)nc1 ZINC001028224142 656924073 /nfs/dbraw/zinc/92/40/73/656924073.db2.gz XXUIPCVXVXOGKE-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccccc2F)CC1 ZINC000982266205 656929328 /nfs/dbraw/zinc/92/93/28/656929328.db2.gz ZGPSQSXCLGOQOH-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1CC)C2 ZINC001054201167 656936835 /nfs/dbraw/zinc/93/68/35/656936835.db2.gz VTKYFJRGECNXKO-INMHGKMJSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)cc2C1 ZINC001054260474 656954123 /nfs/dbraw/zinc/95/41/23/656954123.db2.gz PJCCJLUFAIGSBG-PMOLBWCYSA-N 0 1 322.452 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCC3(C)C)cc2C1 ZINC001054277508 656958335 /nfs/dbraw/zinc/95/83/35/656958335.db2.gz GOFYAKHLUXMALI-GOSISDBHSA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccn(C)c3C)cc2C1 ZINC001054280302 656960047 /nfs/dbraw/zinc/96/00/47/656960047.db2.gz DPUKFPQGQGRBBM-UHFFFAOYSA-N 0 1 323.440 3.155 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@]2(c3ccccc3)CC2(C)C)CC1 ZINC000982402200 656964496 /nfs/dbraw/zinc/96/44/96/656964496.db2.gz XCMZKBVIJVYADA-FQEVSTJZSA-N 0 1 312.457 3.075 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccccc1C1CCC1 ZINC001028290777 656975299 /nfs/dbraw/zinc/97/52/99/656975299.db2.gz XKGMVFNIJJPJBU-KRWDZBQOSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1csc2c1CC[C@H](C)C2 ZINC001028301054 656985307 /nfs/dbraw/zinc/98/53/07/656985307.db2.gz NOHAKUZZCHTEMI-KBPBESRZSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccccc1-c1ccccc1 ZINC001028301049 656985491 /nfs/dbraw/zinc/98/54/91/656985491.db2.gz NLEYJMHLHNQUMH-SFHVURJKSA-N 0 1 318.420 3.181 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(OCC(C)C)cc1 ZINC001028303016 656987087 /nfs/dbraw/zinc/98/70/87/656987087.db2.gz IUROXJNNZWHQQY-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001028301632 656987160 /nfs/dbraw/zinc/98/71/60/656987160.db2.gz HTMDFIYYBWGQCQ-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC001028303088 656987168 /nfs/dbraw/zinc/98/71/68/656987168.db2.gz JCTQWZKXVABCPU-LJQANCHMSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001028304283 656988388 /nfs/dbraw/zinc/98/83/88/656988388.db2.gz RHDGNZWRWBLBGL-AWEZNQCLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2c(s1)CC[C@@H](C)C2 ZINC001028307236 656988934 /nfs/dbraw/zinc/98/89/34/656988934.db2.gz YLNPHCUOBJZRDG-HIFRSBDPSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2ccccc2n1CC ZINC001028334382 656995210 /nfs/dbraw/zinc/99/52/10/656995210.db2.gz XQQSNGJLGFKQIL-INIZCTEOSA-N 0 1 311.429 3.041 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNCc1ccncc1Cl ZINC001045149474 656995481 /nfs/dbraw/zinc/99/54/81/656995481.db2.gz DPICJEXHRNVVGE-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C2CCCC2)C[C@@H]1C ZINC001054740871 657005120 /nfs/dbraw/zinc/00/51/20/657005120.db2.gz XBIQNQJXRQXRMR-SWLSCSKDSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)sc2C)C[C@@H]1C ZINC001054875736 657017548 /nfs/dbraw/zinc/01/75/48/657017548.db2.gz XDHXONZDPFVNTH-XPTSAGLGSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC3(CC3)CC2)C[C@@H]1C ZINC001054913614 657020766 /nfs/dbraw/zinc/02/07/66/657020766.db2.gz GZBKNGRESVPKGY-SWLSCSKDSA-N 0 1 310.869 3.146 20 30 DGEDMN O=C1c2cccc(O)c2CC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000178724776 657027898 /nfs/dbraw/zinc/02/78/98/657027898.db2.gz MZZPQQVYRAOIRS-CSKARUKUSA-N 0 1 311.293 3.219 20 30 DGEDMN CCOc1ncccc1CNCc1ccc(O[C@H](C)C#N)cc1 ZINC000237011318 657045808 /nfs/dbraw/zinc/04/58/08/657045808.db2.gz HASHAECQRXFZGP-CQSZACIVSA-N 0 1 311.385 3.061 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C(C)C)s2)CC1 ZINC001045649566 657050059 /nfs/dbraw/zinc/05/00/59/657050059.db2.gz PHJWJUREWTYQQF-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001000164903 657099318 /nfs/dbraw/zinc/09/93/18/657099318.db2.gz GEIHRFZTQPZQQM-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2ccsc2)C1 ZINC001000170555 657100610 /nfs/dbraw/zinc/10/06/10/657100610.db2.gz NJVMQYFIKVZBFJ-TZMCWYRMSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H]1C[C@H]1C)C2 ZINC001045956100 657104002 /nfs/dbraw/zinc/10/40/02/657104002.db2.gz FSEYWWZHQZFXDE-UNEWFSDZSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H](F)CC)C2 ZINC001045957538 657104799 /nfs/dbraw/zinc/10/47/99/657104799.db2.gz YEFZGICOWPFCHO-HOCLYGCPSA-N 0 1 316.848 3.192 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@H](NCC(=C)Cl)C2)cc1 ZINC001000278222 657108424 /nfs/dbraw/zinc/10/84/24/657108424.db2.gz ORYNJUQQQSLEDS-INIZCTEOSA-N 0 1 304.821 3.276 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001046314877 657145049 /nfs/dbraw/zinc/14/50/49/657145049.db2.gz OSDSSGAVXHIHDA-HXUWFJFHSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC001000724260 657146444 /nfs/dbraw/zinc/14/64/44/657146444.db2.gz JOXIETWNRGRWHG-WBVHZDCISA-N 0 1 320.864 3.432 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001046361480 657152702 /nfs/dbraw/zinc/15/27/02/657152702.db2.gz DZPQFNQFQKBIFP-LJQANCHMSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)cc(C)c2)C1 ZINC001000783307 657153035 /nfs/dbraw/zinc/15/30/35/657153035.db2.gz IBMFQDNIDKKPJB-INIZCTEOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc(C)c2C)C1 ZINC001000842729 657156858 /nfs/dbraw/zinc/15/68/58/657156858.db2.gz UDXVQHZWCAJTNH-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C#CCCN1CC[C@@](C)(NC(=O)c2cc3sccc3s2)C1 ZINC001046419869 657159936 /nfs/dbraw/zinc/15/99/36/657159936.db2.gz ACPGSJJRRATUHP-MRXNPFEDSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc3ccccc3cc2F)C1 ZINC001046476946 657167955 /nfs/dbraw/zinc/16/79/55/657167955.db2.gz LCCKLWJFIMFYSI-HXUWFJFHSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001046495966 657169518 /nfs/dbraw/zinc/16/95/18/657169518.db2.gz LUANEWDAJDSBSE-LJQANCHMSA-N 0 1 314.429 3.415 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001000969470 657170183 /nfs/dbraw/zinc/17/01/83/657170183.db2.gz LOMHDCAMWFNEOW-XJKSGUPXSA-N 0 1 324.827 3.262 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001046507179 657170418 /nfs/dbraw/zinc/17/04/18/657170418.db2.gz HQJXKUGFJKYQTA-KRWDZBQOSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001046523794 657172696 /nfs/dbraw/zinc/17/26/96/657172696.db2.gz HSFZSCMVFIOIBG-LJQANCHMSA-N 0 1 311.429 3.247 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001046619358 657189432 /nfs/dbraw/zinc/18/94/32/657189432.db2.gz PPSXETXBFPRWCS-INIZCTEOSA-N 0 1 312.335 3.144 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc(C)c3ccccc23)CC1 ZINC001001204479 657198562 /nfs/dbraw/zinc/19/85/62/657198562.db2.gz ABBPEJXYLWJWNM-UHFFFAOYSA-N 0 1 318.420 3.143 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001046681788 657202053 /nfs/dbraw/zinc/20/20/53/657202053.db2.gz STEVZRSIRPPKCM-GOSISDBHSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001046681789 657203049 /nfs/dbraw/zinc/20/30/49/657203049.db2.gz STEVZRSIRPPKCM-SFHVURJKSA-N 0 1 319.449 3.349 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001046720076 657208370 /nfs/dbraw/zinc/20/83/70/657208370.db2.gz SDONYLNODSCNQP-FQEVSTJZSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3cccnc3s2)C1 ZINC001046750590 657211935 /nfs/dbraw/zinc/21/19/35/657211935.db2.gz XTSCKIQCLBLJPX-QGZVFWFLSA-N 0 1 315.442 3.067 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc(C(F)F)c2)CC1 ZINC001001386309 657212479 /nfs/dbraw/zinc/21/24/79/657212479.db2.gz LGEDYMNNBLNTNT-UHFFFAOYSA-N 0 1 318.367 3.009 20 30 DGEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046758916 657213091 /nfs/dbraw/zinc/21/30/91/657213091.db2.gz UGRHTJFWXMCUCJ-IBGZPJMESA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046758916 657213096 /nfs/dbraw/zinc/21/30/96/657213096.db2.gz UGRHTJFWXMCUCJ-IBGZPJMESA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc(CC)c(C)s2)C1 ZINC001046825901 657230780 /nfs/dbraw/zinc/23/07/80/657230780.db2.gz PCHCFFFFWVYHRI-QGZVFWFLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC001046827887 657232292 /nfs/dbraw/zinc/23/22/92/657232292.db2.gz VRUFMOQXSTZWKC-QGZVFWFLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001046854712 657236735 /nfs/dbraw/zinc/23/67/35/657236735.db2.gz SGNJPECUFZRNKI-IBGZPJMESA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001050560127 657323616 /nfs/dbraw/zinc/32/36/16/657323616.db2.gz QAUBHAUVMURBHZ-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCC[C@H]3CC)C2)CC1 ZINC001050651226 657337769 /nfs/dbraw/zinc/33/77/69/657337769.db2.gz KAWALCCLRKTWEY-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C3CCCCC3)cccc2C1 ZINC001051278535 657378960 /nfs/dbraw/zinc/37/89/60/657378960.db2.gz UNCYFQKMNHFTQT-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C3CC(C)(C)C3)cccc2C1 ZINC001051286415 657381543 /nfs/dbraw/zinc/38/15/43/657381543.db2.gz IQIAFNLZYRLXPM-UHFFFAOYSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCCC[C@H]3C)cccc2C1 ZINC001051298622 657383553 /nfs/dbraw/zinc/38/35/53/657383553.db2.gz ZRIASKTUWMAXPP-APWZRJJASA-N 0 1 324.468 3.120 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H]3C[C@@]3(C)CC)cccc2C1 ZINC001051306114 657384472 /nfs/dbraw/zinc/38/44/72/657384472.db2.gz LSIGBIKOAISSBN-TZIWHRDSSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3C[C@@]3(C)CC)cccc2C1 ZINC001051306211 657384996 /nfs/dbraw/zinc/38/49/96/657384996.db2.gz ORVQFJOSYFXMNM-AZUAARDMSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CC[C@H](C)C3)cccc2C1 ZINC001051302100 657385368 /nfs/dbraw/zinc/38/53/68/657385368.db2.gz RGYATMRRVQDZOT-HOTGVXAUSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC000968378127 657399480 /nfs/dbraw/zinc/39/94/80/657399480.db2.gz NWCVEUFSJRVPOI-SWLSCSKDSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC000968417572 657408271 /nfs/dbraw/zinc/40/82/71/657408271.db2.gz GGOYJLURZKAFSJ-OLZOCXBDSA-N 0 1 321.490 3.067 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413836 657408699 /nfs/dbraw/zinc/40/86/99/657408699.db2.gz NMIWOBJNSCLELC-CZUORRHYSA-N 0 1 320.383 3.088 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413743 657408803 /nfs/dbraw/zinc/40/88/03/657408803.db2.gz MQPIAVMMOHUBJL-IUODEOHRSA-N 0 1 308.372 3.250 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3c(c2)CCC3)C1 ZINC000957372234 657409365 /nfs/dbraw/zinc/40/93/65/657409365.db2.gz GGRJLMWTRMZRFG-UHFFFAOYSA-N 0 1 312.457 3.288 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)C23CCC(CC2)CC3)C1 ZINC000957416204 657414203 /nfs/dbraw/zinc/41/42/03/657414203.db2.gz PCNXRABGOXWNEQ-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968464864 657414398 /nfs/dbraw/zinc/41/43/98/657414398.db2.gz NEGJTBGRKOSJLD-VJANTYMQSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC000968486842 657415882 /nfs/dbraw/zinc/41/58/82/657415882.db2.gz AGTJWOYACXPNRA-CZUORRHYSA-N 0 1 304.434 3.338 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(CF)cc2)C1 ZINC000957438476 657416801 /nfs/dbraw/zinc/41/68/01/657416801.db2.gz MCSDAFANAYMHAP-UHFFFAOYSA-N 0 1 304.409 3.269 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529486 657422004 /nfs/dbraw/zinc/42/20/04/657422004.db2.gz RIUIXFXYUDHYRJ-ZIAGYGMSSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529486 657422007 /nfs/dbraw/zinc/42/20/07/657422007.db2.gz RIUIXFXYUDHYRJ-ZIAGYGMSSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(CC)o2)C1 ZINC000968538087 657422960 /nfs/dbraw/zinc/42/29/60/657422960.db2.gz HWBVEXWQPIBYPN-DZGCQCFKSA-N 0 1 304.434 3.167 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC000968544891 657423530 /nfs/dbraw/zinc/42/35/30/657423530.db2.gz WEOIPEGPIDJHSN-OXJNMPFZSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC000968557570 657426609 /nfs/dbraw/zinc/42/66/09/657426609.db2.gz NLDBQEKGFKNYCB-AEFFLSMTSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3ccc(Cl)cc3)CC2)CC1 ZINC000957558156 657432556 /nfs/dbraw/zinc/43/25/56/657432556.db2.gz NGKWOOXXOKSHFM-UHFFFAOYSA-N 0 1 318.848 3.092 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc3ccccc3c2)CC1 ZINC000957599445 657439466 /nfs/dbraw/zinc/43/94/66/657439466.db2.gz VJWQAPBKZWLMPA-UHFFFAOYSA-N 0 1 322.452 3.493 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622707 657446566 /nfs/dbraw/zinc/44/65/66/657446566.db2.gz BGFGOCRXKJFBMZ-OCCSQVGLSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622707 657446567 /nfs/dbraw/zinc/44/65/67/657446567.db2.gz BGFGOCRXKJFBMZ-OCCSQVGLSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000968664459 657452792 /nfs/dbraw/zinc/45/27/92/657452792.db2.gz GNBYYGRCCWZFFC-WBMJQRKESA-N 0 1 304.409 3.069 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC000968677740 657455412 /nfs/dbraw/zinc/45/54/12/657455412.db2.gz IEGHKFHARBCRLU-IUODEOHRSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC000968682955 657455982 /nfs/dbraw/zinc/45/59/82/657455982.db2.gz WQLZIBYLNSYEQI-AEFFLSMTSA-N 0 1 312.457 3.039 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC000968689330 657459595 /nfs/dbraw/zinc/45/95/95/657459595.db2.gz WXNDLKUUBVKDLB-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692294 657461392 /nfs/dbraw/zinc/46/13/92/657461392.db2.gz MMGDWFUNCQXASG-QAQJPARQSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC000968711380 657467415 /nfs/dbraw/zinc/46/74/15/657467415.db2.gz YFMNRRYEMYVSOE-DNVCBOLYSA-N 0 1 314.473 3.438 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)Cc2ccc(F)cc2)CC1 ZINC000957879481 657471186 /nfs/dbraw/zinc/47/11/86/657471186.db2.gz DMPLGTUNKPKUMH-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(Cl)ccc1F ZINC000968941594 657518548 /nfs/dbraw/zinc/51/85/48/657518548.db2.gz OWGDGOSXNFJARM-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(F)=C2CCCC2)CC1 ZINC000985377992 657615048 /nfs/dbraw/zinc/61/50/48/657615048.db2.gz SFEQOLUOKXHDOM-UHFFFAOYSA-N 0 1 314.832 3.459 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001007679999 657627634 /nfs/dbraw/zinc/62/76/34/657627634.db2.gz PXNSATQIOLPIMM-AWEZNQCLSA-N 0 1 314.420 3.359 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001007701095 657629236 /nfs/dbraw/zinc/62/92/36/657629236.db2.gz YIGCLWZTJWJUBY-LYBXBRPPSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001008137692 657664052 /nfs/dbraw/zinc/66/40/52/657664052.db2.gz ZHKWPAANKNUBAQ-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)C[N@H+](C)C1CCN(C(=O)[C@H]2CCC[C@@H](F)C2)CC1 ZINC000985427184 657665809 /nfs/dbraw/zinc/66/58/09/657665809.db2.gz XRHREVPFRNMMIL-UONOGXRCSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC[C@@H](F)C2)CC1 ZINC000985427184 657665813 /nfs/dbraw/zinc/66/58/13/657665813.db2.gz XRHREVPFRNMMIL-UONOGXRCSA-N 0 1 316.848 3.190 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(Cc3ccsc3)C2)cc1 ZINC001008150378 657666095 /nfs/dbraw/zinc/66/60/95/657666095.db2.gz UFEDRORYUVBLAU-SFHVURJKSA-N 0 1 324.449 3.124 20 30 DGEDMN CC(C)(C#N)CCCN1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000305069840 657673121 /nfs/dbraw/zinc/67/31/21/657673121.db2.gz KWFUJEGRPKLGKF-MSOLQXFVSA-N 0 1 316.445 3.141 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cncc3sccc32)C1 ZINC001008299337 657680838 /nfs/dbraw/zinc/68/08/38/657680838.db2.gz PDCKSXOSWGJPFE-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC001008374177 657689786 /nfs/dbraw/zinc/68/97/86/657689786.db2.gz SVIKBVATOPKEOQ-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C23CCC(CC2)C3)CC1 ZINC000985501908 657723664 /nfs/dbraw/zinc/72/36/64/657723664.db2.gz NJXDBAXGEVVIOI-UHFFFAOYSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC000985517755 657731805 /nfs/dbraw/zinc/73/18/05/657731805.db2.gz SIORRIHAPJLLOL-RBSFLKMASA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)c2cc(C)oc2C)C1 ZINC001008891451 657735650 /nfs/dbraw/zinc/73/56/50/657735650.db2.gz FZGRBSXDMAKHII-GOEBONIOSA-N 0 1 304.434 3.157 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC001008871277 657735789 /nfs/dbraw/zinc/73/57/89/657735789.db2.gz RUDXVZQVEMDKCK-HNNXBMFYSA-N 0 1 318.436 3.260 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001008891322 657736063 /nfs/dbraw/zinc/73/60/63/657736063.db2.gz IMLDXLFBDQXSOV-CYBMUJFWSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001008953292 657740025 /nfs/dbraw/zinc/74/00/25/657740025.db2.gz BOPZJXRWGAQMMM-OAHLLOKOSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001008980963 657742804 /nfs/dbraw/zinc/74/28/04/657742804.db2.gz NEDLCMPEGJIASL-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001029800111 657747562 /nfs/dbraw/zinc/74/75/62/657747562.db2.gz KLLFUOLXOUOWPV-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001009316168 657768546 /nfs/dbraw/zinc/76/85/46/657768546.db2.gz FFKRSWAYHKXYFF-ZCYBBYNQSA-N 0 1 316.489 3.360 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001009309915 657768674 /nfs/dbraw/zinc/76/86/74/657768674.db2.gz BJKPIANPZXWHIJ-CQSZACIVSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001009358474 657773020 /nfs/dbraw/zinc/77/30/20/657773020.db2.gz OMMNRFUKAXNRRP-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001009376539 657775228 /nfs/dbraw/zinc/77/52/28/657775228.db2.gz IZPLXTJDZIZBGZ-WBVHZDCISA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001009415460 657781847 /nfs/dbraw/zinc/78/18/47/657781847.db2.gz QEUKDQCLPNGEAL-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2)C1 ZINC001009446032 657785856 /nfs/dbraw/zinc/78/58/56/657785856.db2.gz TYAHMMCWHXWWMO-KRWDZBQOSA-N 0 1 300.446 3.121 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001009599046 657798583 /nfs/dbraw/zinc/79/85/83/657798583.db2.gz FCISCLBQJZYGGZ-AWEZNQCLSA-N 0 1 318.486 3.263 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001009783001 657817863 /nfs/dbraw/zinc/81/78/63/657817863.db2.gz XPSKESZVSJVPPP-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960538618 657833747 /nfs/dbraw/zinc/83/37/47/657833747.db2.gz AUUBKZNLDPGJPA-HIICFIELSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1C ZINC000960792206 657877482 /nfs/dbraw/zinc/87/74/82/657877482.db2.gz SQGKWPVPONVBHH-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](NC(=O)CC3=CCCCC3)C2)c1 ZINC001010386531 657891232 /nfs/dbraw/zinc/89/12/32/657891232.db2.gz SYOSUZTWZGXYFR-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](NC(=O)CC3=CCCCC3)C2)cc1 ZINC001010383751 657891384 /nfs/dbraw/zinc/89/13/84/657891384.db2.gz YNIPUILHMNLIOW-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C[C@@H]1[C@H](NCc2ccccc2C#N)CCN1C(=O)CC(C)(C)C ZINC000985977860 657942922 /nfs/dbraw/zinc/94/29/22/657942922.db2.gz SVHAQJXPOGYGNP-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@H]1C ZINC000986003424 657950048 /nfs/dbraw/zinc/95/00/48/657950048.db2.gz NRHREEHDAGKAAN-RDTXWAMCSA-N 0 1 313.445 3.073 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCc3ccccc3[C@H]2CO)cc1 ZINC000305723602 657977376 /nfs/dbraw/zinc/97/73/76/657977376.db2.gz FBDUVTQZBYIVRT-MGPUTAFESA-N 0 1 322.408 3.069 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3(CC)CC3)C2)C1 ZINC000961235173 657984874 /nfs/dbraw/zinc/98/48/74/657984874.db2.gz QOTZHVXKWSRTPV-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(F)=C2CCCC2)[C@H]1C ZINC000986148332 658001243 /nfs/dbraw/zinc/00/12/43/658001243.db2.gz KYPGDXDDUWRMOG-WCQYABFASA-N 0 1 300.805 3.116 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3occc3c2)[C@H]1C ZINC000986311529 658036660 /nfs/dbraw/zinc/03/66/60/658036660.db2.gz IBNGELWAIRQZTA-WFASDCNBSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2csc(C)c2C)[C@@H]1C ZINC000986322088 658037929 /nfs/dbraw/zinc/03/79/29/658037929.db2.gz HBSKGJXBRFBLBX-BXUZGUMPSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sc(C)cc2C)[C@H]1C ZINC000986332067 658038470 /nfs/dbraw/zinc/03/84/70/658038470.db2.gz FKRYIUKSQBDZOT-QWHCGFSZSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccc(F)cc2)[C@@H]1C ZINC000986498970 658055402 /nfs/dbraw/zinc/05/54/02/658055402.db2.gz CNDGXQPBDCRFJW-CZUORRHYSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c3ccccc23)[C@H]1C ZINC000986501708 658057016 /nfs/dbraw/zinc/05/70/16/658057016.db2.gz RCWVKAMHXXGWGL-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)oc(C)c2C)[C@@H]1C ZINC000986512789 658059132 /nfs/dbraw/zinc/05/91/32/658059132.db2.gz BVNGJTQWBMOLRT-RISCZKNCSA-N 0 1 310.825 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2CC)[C@H]1C ZINC000986766788 658097029 /nfs/dbraw/zinc/09/70/29/658097029.db2.gz KREKBTFUYAQUBW-BBRMVZONSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001015233970 658103308 /nfs/dbraw/zinc/10/33/08/658103308.db2.gz NJJKWYFSKMEXTO-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN([C@H](C)c3ccc(F)cc3)C2)C1 ZINC001015672788 658164708 /nfs/dbraw/zinc/16/47/08/658164708.db2.gz WISRLFWMKHPYOD-PBHICJAKSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3csc(C)c3)C2)C1 ZINC001015677248 658166544 /nfs/dbraw/zinc/16/65/44/658166544.db2.gz UNNPFJJNPIBRJQ-OAHLLOKOSA-N 0 1 304.459 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(CC)o2)[C@@H]1C ZINC000987343839 658191572 /nfs/dbraw/zinc/19/15/72/658191572.db2.gz XVZQTXPKVOXZFM-OLZOCXBDSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)cc2C)[C@@H]1C ZINC000987378040 658195392 /nfs/dbraw/zinc/19/53/92/658195392.db2.gz QQXXEQRNXJAQNU-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3[nH]ccc3s2)[C@H]1C ZINC000987421402 658203915 /nfs/dbraw/zinc/20/39/15/658203915.db2.gz LNUSUBSNJZBLMP-QWRGUYRKSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCCN1CC[C@H](NC(=O)c2cnc(CC(C)(C)C)s2)C1 ZINC001015957249 658211229 /nfs/dbraw/zinc/21/12/29/658211229.db2.gz RCYDUEAXSSSOJY-ZDUSSCGKSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccccc3o2)[C@@H]1C ZINC000987460206 658211331 /nfs/dbraw/zinc/21/13/31/658211331.db2.gz PUPDCOGPCJOQTJ-TZMCWYRMSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001015985617 658214160 /nfs/dbraw/zinc/21/41/60/658214160.db2.gz ADEDVMHLZVZREW-HNNXBMFYSA-N 0 1 306.837 3.283 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccccc3[nH]2)[C@H]1C ZINC000987497840 658221733 /nfs/dbraw/zinc/22/17/33/658221733.db2.gz ZKDGSFFAZWEROA-GXTWGEPZSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2CC)[C@@H]1C ZINC000987567894 658232239 /nfs/dbraw/zinc/23/22/39/658232239.db2.gz PFQXZQZWDPWJMF-DGCLKSJQSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001016230993 658259372 /nfs/dbraw/zinc/25/93/72/658259372.db2.gz WWOSWYZZNQWSEB-HIFRSBDPSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccc(C)s2)CC1 ZINC001016479644 658290693 /nfs/dbraw/zinc/29/06/93/658290693.db2.gz NSDYYGQBLMSUOS-AWEZNQCLSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2CCCCC2)CC1 ZINC001016480845 658290749 /nfs/dbraw/zinc/29/07/49/658290749.db2.gz UTUZBDFIUPPQIN-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cccs2)CC1 ZINC001016481755 658291102 /nfs/dbraw/zinc/29/11/02/658291102.db2.gz FPMUYFHQGVHATQ-ZDUSSCGKSA-N 0 1 310.850 3.085 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccoc2CC)CC1 ZINC001016598604 658304698 /nfs/dbraw/zinc/30/46/98/658304698.db2.gz NPFNZIIMYSLDCB-OAHLLOKOSA-N 0 1 322.836 3.179 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001016822152 658338899 /nfs/dbraw/zinc/33/88/99/658338899.db2.gz YOFFRAWECJYHNR-MPGHIAIKSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H]2CC23CCCC3)CC1 ZINC001016904366 658349272 /nfs/dbraw/zinc/34/92/72/658349272.db2.gz AQPUWLHOJLNJJZ-HUUCEWRRSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2CCC=CCC2)CC1 ZINC001016979447 658361397 /nfs/dbraw/zinc/36/13/97/658361397.db2.gz PVCJNYHCNWQZQX-INIZCTEOSA-N 0 1 322.880 3.456 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](CN(C)Cc2cncs2)C1 ZINC001017179146 658402943 /nfs/dbraw/zinc/40/29/43/658402943.db2.gz DWSSPQJNGQOGBV-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2sccc2Cl)C1 ZINC000988864620 658410502 /nfs/dbraw/zinc/41/05/02/658410502.db2.gz HRFRWHIMCDZKHX-NXEZZACHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2c(C)oc(C)c2C)C1 ZINC000989147450 658443154 /nfs/dbraw/zinc/44/31/54/658443154.db2.gz ZCVUKNMJEUHKPB-YGRLFVJLSA-N 0 1 310.825 3.150 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)nc1 ZINC001017617518 658444727 /nfs/dbraw/zinc/44/47/27/658444727.db2.gz ODIZAOXQAHCDCZ-CALCHBBNSA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oc(C(F)F)cc1C ZINC001017634106 658445802 /nfs/dbraw/zinc/44/58/02/658445802.db2.gz OUCZDUGIFLMUKY-BETUJISGSA-N 0 1 324.371 3.391 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2csc(C)c2C)C1 ZINC000966326451 658466753 /nfs/dbraw/zinc/46/67/53/658466753.db2.gz OYBMKTGQJDRPNM-MLGOLLRUSA-N 0 1 306.475 3.381 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966326474 658467133 /nfs/dbraw/zinc/46/71/33/658467133.db2.gz OZRAIZJVCSREOF-PBHICJAKSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966326485 658467391 /nfs/dbraw/zinc/46/73/91/658467391.db2.gz OZRAIZJVCSREOF-RHSMWYFYSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(OCCC)c2)C1 ZINC000966392145 658470995 /nfs/dbraw/zinc/47/09/95/658470995.db2.gz WXSGTJBISBYPDS-MAUKXSAKSA-N 0 1 316.445 3.102 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966571326 658482842 /nfs/dbraw/zinc/48/28/42/658482842.db2.gz XYHUXACYBIQGOH-APWZRJJASA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2cc[nH]c21 ZINC001017977648 658485305 /nfs/dbraw/zinc/48/53/05/658485305.db2.gz GLSJOURPZHDKJH-IYBDPMFKSA-N 0 1 309.413 3.033 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966601710 658485422 /nfs/dbraw/zinc/48/54/22/658485422.db2.gz RXCDVIRZFFOQQH-CRAIPNDOSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC000966723069 658493192 /nfs/dbraw/zinc/49/31/92/658493192.db2.gz NJXMUVWOYORYQM-MECKTXNYSA-N 0 1 316.489 3.071 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966726982 658494816 /nfs/dbraw/zinc/49/48/16/658494816.db2.gz WXCYHSDVKOHSJS-SUMWQHHRSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)cc(F)c2)C1 ZINC000989474176 658496362 /nfs/dbraw/zinc/49/63/62/658496362.db2.gz RAEQINKFXYPCGJ-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2ccccc2C)C1 ZINC000989485322 658496510 /nfs/dbraw/zinc/49/65/10/658496510.db2.gz MAZIFLFVTRCEOX-NVXWUHKLSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC000966874355 658502986 /nfs/dbraw/zinc/50/29/86/658502986.db2.gz GVDHKEGTWVUHDN-UKRRQHHQSA-N 0 1 319.449 3.123 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC000966903665 658510388 /nfs/dbraw/zinc/51/03/88/658510388.db2.gz JDBVHQCITYKODJ-SJKOYZFVSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc3ccc(C)nc32)C1 ZINC000966900081 658510607 /nfs/dbraw/zinc/51/06/07/658510607.db2.gz PXSIREWAIUFAQU-KDOFPFPSSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(F)F)c1 ZINC001018197863 658515546 /nfs/dbraw/zinc/51/55/46/658515546.db2.gz XFMBYYPRGZUILZ-IYBDPMFKSA-N 0 1 320.383 3.489 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc(C)c(F)cc2Cl)CC1 ZINC000989569394 658518327 /nfs/dbraw/zinc/51/83/27/658518327.db2.gz YZQYDASWKURERB-UHFFFAOYSA-N 0 1 310.800 3.121 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3ccccc3C)CCC2)CC1 ZINC000989568932 658518989 /nfs/dbraw/zinc/51/89/89/658518989.db2.gz OLROZFNXKBMXAM-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2CCC(C(F)F)CC2)CC1 ZINC000989579462 658520426 /nfs/dbraw/zinc/52/04/26/658520426.db2.gz CPPSOYADCDQLPN-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2csc3c2CC[C@H](C)C3)CC1 ZINC000989604080 658524549 /nfs/dbraw/zinc/52/45/49/658524549.db2.gz QTVCQFCBRLNQIS-AWEZNQCLSA-N 0 1 318.486 3.207 20 30 DGEDMN C=CCN1CCCN(C(=O)c2csc3c2CC[C@H](C)C3)CC1 ZINC000989604080 658524551 /nfs/dbraw/zinc/52/45/51/658524551.db2.gz QTVCQFCBRLNQIS-AWEZNQCLSA-N 0 1 318.486 3.207 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)cn1CC ZINC001018276821 658528889 /nfs/dbraw/zinc/52/88/89/658528889.db2.gz KQUGSOYOCOXZNW-GASCZTMLSA-N 0 1 321.852 3.026 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C2CC2)c1 ZINC001018317160 658535209 /nfs/dbraw/zinc/53/52/09/658535209.db2.gz PGSVRZWHNSHQIQ-KDURUIRLSA-N 0 1 310.441 3.429 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC000967086942 658538432 /nfs/dbraw/zinc/53/84/32/658538432.db2.gz MVWLUKZMVJWTNB-BLLLJJGKSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2oc(CC)cc2C)C1 ZINC000989677970 658539795 /nfs/dbraw/zinc/53/97/95/658539795.db2.gz BAXVLAQHGNDIOI-STQMWFEESA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(F)cc2C)C1 ZINC000989699449 658545222 /nfs/dbraw/zinc/54/52/22/658545222.db2.gz HJQWFOXYDJKKJA-TZMCWYRMSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)c2cccc(Cl)c2)CC1 ZINC000989702597 658545274 /nfs/dbraw/zinc/54/52/74/658545274.db2.gz UYGCQKLEKJDLQC-CQSZACIVSA-N 0 1 306.837 3.164 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC000967227941 658550361 /nfs/dbraw/zinc/55/03/61/658550361.db2.gz GNXRTNPONDLMGX-DYVFJYSZSA-N 0 1 304.409 3.014 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967273663 658558272 /nfs/dbraw/zinc/55/82/72/658558272.db2.gz MHNZOHSLYYMHBN-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2cnc(C(C)(C)C)s2)CC1 ZINC000989745814 658558418 /nfs/dbraw/zinc/55/84/18/658558418.db2.gz XZKJWVYHBDICDD-UHFFFAOYSA-N 0 1 321.490 3.165 20 30 DGEDMN C=CCN1CCCN(C(=O)c2c[nH]c3c2cccc3CC)CC1 ZINC000989738765 658561949 /nfs/dbraw/zinc/56/19/49/658561949.db2.gz JLIKARIRZXOGQZ-UHFFFAOYSA-N 0 1 311.429 3.064 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC000967283770 658563354 /nfs/dbraw/zinc/56/33/54/658563354.db2.gz IVBHZYPGRUBXAF-AEFFLSMTSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cccnc2C(F)F)C1 ZINC000967291938 658564102 /nfs/dbraw/zinc/56/41/02/658564102.db2.gz PHVQRFLTKDGICB-GXTWGEPZSA-N 0 1 323.387 3.036 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2CCC)C1 ZINC000967294217 658564323 /nfs/dbraw/zinc/56/43/23/658564323.db2.gz YNDMIGSMGMKNGD-APWZRJJASA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC000967291164 658564646 /nfs/dbraw/zinc/56/46/46/658564646.db2.gz JYEFEQPVWGLOGV-CZUORRHYSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cccc(C(F)F)c2)C1 ZINC000967335965 658571175 /nfs/dbraw/zinc/57/11/75/658571175.db2.gz MBXAOVFYAQUYNR-CZUORRHYSA-N 0 1 322.399 3.179 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2c(CC)oc3ccccc32)CC1 ZINC000989753078 658571467 /nfs/dbraw/zinc/57/14/67/658571467.db2.gz ZQOUNZXULWZMCE-UHFFFAOYSA-N 0 1 324.424 3.166 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3ccccc3o2)C1 ZINC000989755145 658572567 /nfs/dbraw/zinc/57/25/67/658572567.db2.gz IBRFGMXCIXKBLA-GXTWGEPZSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc3c(c2)CCC3)C1 ZINC000989778188 658576135 /nfs/dbraw/zinc/57/61/35/658576135.db2.gz ASFHPTKGTQPWQZ-CXAGYDPISA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC000967413577 658578460 /nfs/dbraw/zinc/57/84/60/658578460.db2.gz BZBOUDPQAHUPJM-KSSFIOAISA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC000967420866 658580505 /nfs/dbraw/zinc/58/05/05/658580505.db2.gz ZDXOWFKVWPFXMH-DNVCBOLYSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C(C)(F)F)cc2)CC1 ZINC000989818139 658583329 /nfs/dbraw/zinc/58/33/29/658583329.db2.gz MNCBVUDYUASOHV-UHFFFAOYSA-N 0 1 308.372 3.132 20 30 DGEDMN CC(C(=O)N1CC[C@H](NCc2ccccc2C#N)C1)=C1CCCC1 ZINC001018701641 658584264 /nfs/dbraw/zinc/58/42/64/658584264.db2.gz VJZGIHWSSDIFOX-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(F)c2Cl)C1 ZINC001019431713 658644138 /nfs/dbraw/zinc/64/41/38/658644138.db2.gz BGHOSLJHQNDKGZ-JTQLQIEISA-N 0 1 317.191 3.036 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccn(C(C)C)c2C)C1 ZINC000967940398 658645989 /nfs/dbraw/zinc/64/59/89/658645989.db2.gz AZYWVLAVZGSFFC-PBHICJAKSA-N 0 1 303.450 3.004 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968189402 658679006 /nfs/dbraw/zinc/67/90/06/658679006.db2.gz HKGKVRNQIJRQAJ-YOEHRIQHSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC000968207588 658681937 /nfs/dbraw/zinc/68/19/37/658681937.db2.gz CDQXJEYBQXQRAM-DOMZBBRYSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1ccoc1C(F)(F)F ZINC001038292442 658740431 /nfs/dbraw/zinc/74/04/31/658740431.db2.gz IVSADSHXPXYGDF-NSHDSACASA-N 0 1 316.323 3.069 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F ZINC001038359946 658747153 /nfs/dbraw/zinc/74/71/53/658747153.db2.gz CNCHFWUWZYQGLI-BFHYXJOUSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001038646113 658772055 /nfs/dbraw/zinc/77/20/55/658772055.db2.gz OEINFRJATWMVDI-YTQUADARSA-N 0 1 312.457 3.119 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001038810603 658807954 /nfs/dbraw/zinc/80/79/54/658807954.db2.gz DDKSPLLDDRPORC-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN N#CC(C(=O)CC1CCCCCC1)C(=O)NCc1ccccc1 ZINC000175101712 658857513 /nfs/dbraw/zinc/85/75/13/658857513.db2.gz CIVKAHYHZMTMIE-KRWDZBQOSA-N 0 1 312.413 3.372 20 30 DGEDMN CCOc1cc(/C=C/C(=O)c2ccc(OC)cc2O)ccc1O ZINC000156618404 658931299 /nfs/dbraw/zinc/93/12/99/658931299.db2.gz YXPNELRYUSHJOX-XBXARRHUSA-N 0 1 314.337 3.401 20 30 DGEDMN O=C(/C=C/c1nccn1CC(F)(F)F)c1cc(F)ccc1O ZINC000156675309 658933484 /nfs/dbraw/zinc/93/34/84/658933484.db2.gz OVFWGZJOYWYDIV-ONEGZZNKSA-N 0 1 314.238 3.186 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)nc1 ZINC001038838406 658947751 /nfs/dbraw/zinc/94/77/51/658947751.db2.gz ANUBVLJDTHAMNB-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(-c2ccccc2)nc1 ZINC001038838407 658948372 /nfs/dbraw/zinc/94/83/72/658948372.db2.gz ANUBVLJDTHAMNB-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1[nH]c2ccc(C)cc2c1C ZINC001038860388 658948484 /nfs/dbraw/zinc/94/84/84/658948484.db2.gz CFPFGBPFPYMIQY-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1oc2ccccc2c1Cl ZINC001038912301 658955586 /nfs/dbraw/zinc/95/55/86/658955586.db2.gz UBWKEHKVNDRYDN-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001038974726 658968247 /nfs/dbraw/zinc/96/82/47/658968247.db2.gz DYCDVDAHLNDLDN-HXUWFJFHSA-N 0 1 318.420 3.181 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(OCC(C)C)cc1 ZINC001038984020 658971401 /nfs/dbraw/zinc/97/14/01/658971401.db2.gz COQGVQBXMLXHEB-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CC[C@H](C)C2 ZINC001039010071 658980337 /nfs/dbraw/zinc/98/03/37/658980337.db2.gz QPVLGXDJTFYQON-DZGCQCFKSA-N 0 1 318.486 3.253 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccc(CC)s3)CCC[C@@H]12 ZINC000991676556 659120888 /nfs/dbraw/zinc/12/08/88/659120888.db2.gz CJCGYAIULOJQEN-AEFFLSMTSA-N 0 1 316.470 3.061 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CCCC)cc3)CCC[C@H]12 ZINC000991712630 659146629 /nfs/dbraw/zinc/14/66/29/659146629.db2.gz QPEWRRBPIVNFBT-FPOVZHCZSA-N 0 1 324.468 3.389 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC000948845725 659317939 /nfs/dbraw/zinc/31/79/39/659317939.db2.gz WTTKFQOFDHMNNJ-OWCLPIDISA-N 0 1 310.869 3.098 20 30 DGEDMN Cc1[nH]c2ccccc2c1C(=O)[C@H](C#N)C(=O)C1=COCCC1 ZINC000173915623 659405819 /nfs/dbraw/zinc/40/58/19/659405819.db2.gz DTNGVDWNYGEGNY-CQSZACIVSA-N 0 1 308.337 3.062 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC[C@@H](C)C2)c1 ZINC000173959396 659406471 /nfs/dbraw/zinc/40/64/71/659406471.db2.gz NJGMBCZUXVYWSJ-XJKCOSOUSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2[C@@H](C)CC[C@@H]2C)cc1C ZINC000174592451 659441311 /nfs/dbraw/zinc/44/13/11/659441311.db2.gz OBTCMNNRUQLSSF-IRXDYDNUSA-N 0 1 313.445 3.423 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000929468439 659452159 /nfs/dbraw/zinc/45/21/59/659452159.db2.gz XMCKRDCKDGKEGM-WMLDXEAASA-N 0 1 304.434 3.016 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3coc(C(F)F)c3)CCC[C@@H]12 ZINC000992137681 659471435 /nfs/dbraw/zinc/47/14/35/659471435.db2.gz OUNHWSKPCPDCFF-CJNGLKHVSA-N 0 1 310.344 3.130 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(Cl)cc3C)CCC[C@@H]12 ZINC000992158217 659476008 /nfs/dbraw/zinc/47/60/08/659476008.db2.gz BBDZBEUMODEZNS-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cc4occc4s3)CCC[C@H]12 ZINC000992164730 659479147 /nfs/dbraw/zinc/47/91/47/659479147.db2.gz CNWZPTDVEXQBEK-RDJZCZTQSA-N 0 1 316.426 3.407 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C)c3Cl)CCC[C@@H]12 ZINC000992330596 659525913 /nfs/dbraw/zinc/52/59/13/659525913.db2.gz LDDUXVZHIDOKCZ-QAPCUYQASA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C(C)(C)C)c3)CCC[C@@H]12 ZINC000992369433 659535318 /nfs/dbraw/zinc/53/53/18/659535318.db2.gz QOCHYLVSNRZGDD-NQIIRXRSSA-N 0 1 324.468 3.344 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccccc3Cl)CCC[C@@H]12 ZINC000992430317 659548503 /nfs/dbraw/zinc/54/85/03/659548503.db2.gz YXSRNHCBPJEKLA-AEFFLSMTSA-N 0 1 316.832 3.090 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4ccccc4c3)CCC[C@@H]12 ZINC000992443767 659551209 /nfs/dbraw/zinc/55/12/09/659551209.db2.gz YCYMQALVIOGJSU-TZIWHRDSSA-N 0 1 318.420 3.200 20 30 DGEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@H]12 ZINC000992456051 659554075 /nfs/dbraw/zinc/55/40/75/659554075.db2.gz QWLJJJTXWYZUBZ-FXAWDEMLSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@H]12 ZINC000992456051 659554078 /nfs/dbraw/zinc/55/40/78/659554078.db2.gz QWLJJJTXWYZUBZ-FXAWDEMLSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@@H]12 ZINC000992470709 659558674 /nfs/dbraw/zinc/55/86/74/659558674.db2.gz GOQKBZWVBSSZDN-TZIWHRDSSA-N 0 1 324.468 3.245 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@@H]12 ZINC000992470709 659558677 /nfs/dbraw/zinc/55/86/77/659558677.db2.gz GOQKBZWVBSSZDN-TZIWHRDSSA-N 0 1 324.468 3.245 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3sccc3CC)CCC[C@H]12 ZINC000992488874 659562127 /nfs/dbraw/zinc/56/21/27/659562127.db2.gz BHQXAXXVTJFSCT-YOEHRIQHSA-N 0 1 304.459 3.223 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3sccc3CC)CCC[C@@H]12 ZINC000992500272 659564808 /nfs/dbraw/zinc/56/48/08/659564808.db2.gz VGBXOGHKGWLFFG-CRAIPNDOSA-N 0 1 316.470 3.061 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@H]12 ZINC000992516102 659568568 /nfs/dbraw/zinc/56/85/68/659568568.db2.gz NGUACHSQSDIBKM-IADFQQGMSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCCN1CCN(C(=O)CC2CC(c3ccccc3)C2)CC1 ZINC000948989126 659591773 /nfs/dbraw/zinc/59/17/73/659591773.db2.gz XUWJQUHCAZIYNF-UHFFFAOYSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3scnc32)[C@H]1C ZINC000993335297 659716658 /nfs/dbraw/zinc/71/66/58/659716658.db2.gz VUZMMUDJOSUXLH-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)noc2CCC)[C@H]1C ZINC000993360772 659720986 /nfs/dbraw/zinc/72/09/86/659720986.db2.gz SLZXGWBRJNWQOB-CABCVRRESA-N 0 1 319.449 3.094 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCC3)[C@@H]1C ZINC000993370424 659723203 /nfs/dbraw/zinc/72/32/03/659723203.db2.gz VVHXQQFYEVDZRB-OXJNMPFZSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)[C@@H]1C ZINC000993393508 659727234 /nfs/dbraw/zinc/72/72/34/659727234.db2.gz JCMBKEUNGGVWLR-WMLDXEAASA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3ccccc3c2C)[C@@H]1C ZINC000993608995 659765780 /nfs/dbraw/zinc/76/57/80/659765780.db2.gz XFRLOLQBSLVXOL-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1C ZINC000993618182 659766012 /nfs/dbraw/zinc/76/60/12/659766012.db2.gz DPQRWYZDDSRGCJ-BEFAXECRSA-N 0 1 310.441 3.088 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cccc(C3CC3)c2)[C@H]1C ZINC000993636358 659769623 /nfs/dbraw/zinc/76/96/23/659769623.db2.gz XAEXTZBPEDBBIN-UZLBHIALSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)nc2C2CC2)[C@H]1C ZINC000993655642 659771624 /nfs/dbraw/zinc/77/16/24/659771624.db2.gz HOOIAAFABQQACI-PBHICJAKSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)nc2C)[C@@H]1C ZINC000993840485 659795536 /nfs/dbraw/zinc/79/55/36/659795536.db2.gz DUFPUURUVDBEME-WMLDXEAASA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@H]1C ZINC000993847789 659795568 /nfs/dbraw/zinc/79/55/68/659795568.db2.gz QEMYOBBOTATDMR-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H]1C ZINC000993956466 659803086 /nfs/dbraw/zinc/80/30/86/659803086.db2.gz YWSLQOBZGGLKHQ-XZZQLLPFSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)[C@@H]1C ZINC000993910763 659803106 /nfs/dbraw/zinc/80/31/06/659803106.db2.gz YSJGHPWKIDOTGQ-WMLDXEAASA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(C3CC3)nc2C)[C@H]1C ZINC000993966448 659803500 /nfs/dbraw/zinc/80/35/00/659803500.db2.gz WMOZXZMYQZTUHK-HIFRSBDPSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@@H]1C ZINC000993945940 659805082 /nfs/dbraw/zinc/80/50/82/659805082.db2.gz CWEGURVBHRXMRD-KXBFYZLASA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@@H]1C ZINC000993938179 659805644 /nfs/dbraw/zinc/80/56/44/659805644.db2.gz LMNWTBYPFWTDBE-SWLSCSKDSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@@H]1C ZINC000994234914 659822207 /nfs/dbraw/zinc/82/22/07/659822207.db2.gz UQBBPEIMRCDSHW-JSGCOSHPSA-N 0 1 304.459 3.394 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CCC2)[C@@H]1C ZINC000994296122 659833422 /nfs/dbraw/zinc/83/34/22/659833422.db2.gz QEPPPHAQCUUGEX-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)csc2Cl)[C@H]1C ZINC000994294096 659834581 /nfs/dbraw/zinc/83/45/81/659834581.db2.gz CRQLRDWMLIOHLA-VXGBXAGGSA-N 0 1 312.866 3.479 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cc3ccccc3o2)[C@H]1C ZINC000994335502 659839748 /nfs/dbraw/zinc/83/97/48/659839748.db2.gz HDJCKPMCPYSGQK-ZBFHGGJFSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3ccccc3o2)[C@H]1C ZINC000994335502 659839752 /nfs/dbraw/zinc/83/97/52/659839752.db2.gz HDJCKPMCPYSGQK-ZBFHGGJFSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCCC2)[C@@H]1C ZINC000994360419 659842700 /nfs/dbraw/zinc/84/27/00/659842700.db2.gz AQUYPRQGFKPMTH-HKUYNNGSSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1C ZINC000994361294 659842789 /nfs/dbraw/zinc/84/27/89/659842789.db2.gz GRZLLHDAWFMVRG-CZUORRHYSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1C ZINC000994368729 659844744 /nfs/dbraw/zinc/84/47/44/659844744.db2.gz WXAXSGJBVLFUDJ-DOMZBBRYSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(s2)CCC3)[C@@H]1C ZINC000994380406 659848586 /nfs/dbraw/zinc/84/85/86/659848586.db2.gz RGZOPJQDNIBIHD-JSGCOSHPSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC000994380409 659848610 /nfs/dbraw/zinc/84/86/10/659848610.db2.gz RGZOPJQDNIBIHD-OCCSQVGLSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H]1C ZINC000994441389 659854504 /nfs/dbraw/zinc/85/45/04/659854504.db2.gz MZCWACUIRUXQFB-GDBMZVCRSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3c(cccc3C)o2)[C@H]1C ZINC000994471678 659858722 /nfs/dbraw/zinc/85/87/22/659858722.db2.gz MDXSQIAPIDSDPD-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)[C@@H]1C ZINC000994494033 659862053 /nfs/dbraw/zinc/86/20/53/659862053.db2.gz LSLWQHAABYHZSA-GYIPLFPLSA-N 0 1 324.468 3.263 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CC2)[C@H]1C ZINC000994530472 659873432 /nfs/dbraw/zinc/87/34/32/659873432.db2.gz IUFZDEZFFLAVRO-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN CC1(C2CCN(Cc3cc(Cl)cc(C#N)c3)CC2)OCCO1 ZINC000930024074 659888715 /nfs/dbraw/zinc/88/87/15/659888715.db2.gz IJVZPPBUSLMRDQ-UHFFFAOYSA-N 0 1 320.820 3.187 20 30 DGEDMN C#Cc1ccc(NC(=O)CC[N@@H+]2CC=C(C(F)(F)F)CC2)cc1 ZINC000930100859 659907923 /nfs/dbraw/zinc/90/79/23/659907923.db2.gz ZVRAWLZSRPQAEY-UHFFFAOYSA-N 0 1 322.330 3.191 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CC=C(C(F)(F)F)CC2)cc1 ZINC000930100859 659907925 /nfs/dbraw/zinc/90/79/25/659907925.db2.gz ZVRAWLZSRPQAEY-UHFFFAOYSA-N 0 1 322.330 3.191 20 30 DGEDMN Cc1cc(Cl)ccc1S(=O)(=O)Nc1cc(C#N)ccc1O ZINC000175685361 659927124 /nfs/dbraw/zinc/92/71/24/659927124.db2.gz ZDPOEAFXUKBNTE-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2sccc2OC(F)F)c1 ZINC000179733150 659939800 /nfs/dbraw/zinc/93/98/00/659939800.db2.gz WEMYAGAAHKNCMS-UHFFFAOYSA-N 0 1 310.281 3.179 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc3c2CCCCC3)C1 ZINC001031753251 659967755 /nfs/dbraw/zinc/96/77/55/659967755.db2.gz GDOXFWMNGBCHNZ-UHFFFAOYSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3occc3s2)C[C@H]1C ZINC000939131980 659986048 /nfs/dbraw/zinc/98/60/48/659986048.db2.gz DZVKFIHBSZTRAG-MWLCHTKSSA-N 0 1 324.833 3.297 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccccc2O)CC1 ZINC000948200619 659988484 /nfs/dbraw/zinc/98/84/84/659988484.db2.gz MNIQNUGBQNRCRM-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001031811444 659994304 /nfs/dbraw/zinc/99/43/04/659994304.db2.gz LNGUVSKUYJDVEM-UHFFFAOYSA-N 0 1 317.820 3.059 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(Cl)cc2)C[C@H]1C ZINC000939580725 660004755 /nfs/dbraw/zinc/00/47/55/660004755.db2.gz NLHKPQRLZLGUET-QMTHXVAHSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2Cl)C[C@H]1C ZINC000939594026 660006038 /nfs/dbraw/zinc/00/60/38/660006038.db2.gz YWLIUWMGHZEWOF-QMTHXVAHSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(C[C@@H](F)CC)CC2(C)C)C1 ZINC000941016274 660080161 /nfs/dbraw/zinc/08/01/61/660080161.db2.gz GEYWOLWWUVPESX-GJZGRUSLSA-N 0 1 310.457 3.308 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C(C)(C)C2CCCCC2)C(C)(C)C1 ZINC000941085614 660084575 /nfs/dbraw/zinc/08/45/75/660084575.db2.gz RIHAWECPGDYZEK-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(C)C)oc2C)C(C)(C)C1 ZINC000941139506 660088439 /nfs/dbraw/zinc/08/84/39/660088439.db2.gz UMUXQARAECZDQG-KRWDZBQOSA-N 0 1 316.445 3.175 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000941163857 660089937 /nfs/dbraw/zinc/08/99/37/660089937.db2.gz PRZDGYXBPMEDNC-ZBFHGGJFSA-N 0 1 318.486 3.010 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941163678 660090045 /nfs/dbraw/zinc/09/00/45/660090045.db2.gz OBQYIFUKGCRNRG-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000929347240 661299378 /nfs/dbraw/zinc/29/93/78/661299378.db2.gz DTPNAULYGVHZQU-INIZCTEOSA-N 0 1 315.461 3.288 20 30 DGEDMN COCCN(Cc1cncc(C#N)c1)Cc1ccc(C)cc1C ZINC000929393908 661303559 /nfs/dbraw/zinc/30/35/59/661303559.db2.gz NQTIWLLZASJPKI-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN C[C@H](NCc1cncc(C#N)c1)c1cccc(OC(F)F)c1 ZINC000930198213 661376820 /nfs/dbraw/zinc/37/68/20/661376820.db2.gz YAJXQPYMCDOGNX-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN COc1ccc(Cl)cc1[C@H](CC(C)C)NC[C@H](O)CC#N ZINC000930228576 661379997 /nfs/dbraw/zinc/37/99/97/661379997.db2.gz WNDYVNDBMDSYQR-HIFRSBDPSA-N 0 1 310.825 3.300 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2ccc(F)cn2)CC1 ZINC000930236667 661381062 /nfs/dbraw/zinc/38/10/62/661381062.db2.gz NLLGDTPBMSKDOP-UHFFFAOYSA-N 0 1 324.403 3.031 20 30 DGEDMN COC(=O)c1cc(C(C)(C)NCCCCC2(C#N)CCC2)no1 ZINC000930512588 661406311 /nfs/dbraw/zinc/40/63/11/661406311.db2.gz FVXBRBPIVVNFJI-UHFFFAOYSA-N 0 1 319.405 3.150 20 30 DGEDMN N#CC[C@@H](O)CN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000930687562 661421854 /nfs/dbraw/zinc/42/18/54/661421854.db2.gz QPHWXVNNMHYAEP-DOMZBBRYSA-N 0 1 318.808 3.018 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCC[C@](C#N)(c3ccccn3)C2)C[C@H](C)O1 ZINC000930925018 661439494 /nfs/dbraw/zinc/43/94/94/661439494.db2.gz YAOGBFAYUPMFDN-VUHPKUFZSA-N 0 1 313.445 3.142 20 30 DGEDMN COc1cccc([C@]2(C)CC[N@@H+](Cc3cncc(C#N)c3)C2)c1 ZINC000931020389 661446113 /nfs/dbraw/zinc/44/61/13/661446113.db2.gz RGSOKGJURNFIES-LJQANCHMSA-N 0 1 307.397 3.125 20 30 DGEDMN COc1cccc([C@]2(C)CCN(Cc3cncc(C#N)c3)C2)c1 ZINC000931020389 661446114 /nfs/dbraw/zinc/44/61/14/661446114.db2.gz RGSOKGJURNFIES-LJQANCHMSA-N 0 1 307.397 3.125 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1 ZINC000931290869 661464439 /nfs/dbraw/zinc/46/44/39/661464439.db2.gz RREXCUKJBXNZQY-INIZCTEOSA-N 0 1 301.434 3.363 20 30 DGEDMN COc1ccccc1[C@@H]1CN(CC2CCC(C#N)CC2)CCO1 ZINC000931552074 661487836 /nfs/dbraw/zinc/48/78/36/661487836.db2.gz DZTLPQNYILFMKA-RJYAGPCLSA-N 0 1 314.429 3.398 20 30 DGEDMN CC(C)(C)OC(=O)NCCCCCCN1CCC[C@H](C#N)C1 ZINC000932154174 661542688 /nfs/dbraw/zinc/54/26/88/661542688.db2.gz FUJQGVLINHZEMJ-OAHLLOKOSA-N 0 1 309.454 3.307 20 30 DGEDMN CC(C)N(CCN(C)C(=O)OC(C)(C)C)Cc1ccc(C#N)o1 ZINC000932408143 661567478 /nfs/dbraw/zinc/56/74/78/661567478.db2.gz HGZKKYGEMJTHJI-UHFFFAOYSA-N 0 1 321.421 3.229 20 30 DGEDMN CC[C@@H]1C[N@@H+](CC)CCN1C(=O)Nc1ccc(CCC#N)cc1 ZINC000932564556 661580075 /nfs/dbraw/zinc/58/00/75/661580075.db2.gz AWPOZTMCDTUJFW-QGZVFWFLSA-N 0 1 314.433 3.091 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1C(=O)Nc1ccc(CCC#N)cc1 ZINC000932564556 661580076 /nfs/dbraw/zinc/58/00/76/661580076.db2.gz AWPOZTMCDTUJFW-QGZVFWFLSA-N 0 1 314.433 3.091 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCC(CO)(C(F)(F)F)CC1 ZINC000932825297 661599426 /nfs/dbraw/zinc/59/94/26/661599426.db2.gz MRHOEQMGANBDMD-LBPRGKRZSA-N 0 1 312.335 3.256 20 30 DGEDMN CCCOc1ccc(CN2CCC3(CC2)CC(=O)C=CO3)cc1 ZINC000933649371 661648284 /nfs/dbraw/zinc/64/82/84/661648284.db2.gz LVGVGWXTSUNOFW-UHFFFAOYSA-N 0 1 315.413 3.313 20 30 DGEDMN C=C(C)[C@H](CO)N1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934420985 661705693 /nfs/dbraw/zinc/70/56/93/661705693.db2.gz ISXXWUIUSAOLQY-INIZCTEOSA-N 0 1 307.821 3.172 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N(CC=C)Cc1cccs1 ZINC000934465186 661708138 /nfs/dbraw/zinc/70/81/38/661708138.db2.gz KSOHFNPJONKKQM-LSDHHAIUSA-N 0 1 304.459 3.302 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N1CCC[C@H]1c1cccs1 ZINC000934467347 661709084 /nfs/dbraw/zinc/70/90/84/661709084.db2.gz WVBIQLDNHOKAFM-KFWWJZLASA-N 0 1 304.459 3.451 20 30 DGEDMN N#CCC1CCN(C(=O)c2ccc(CN3CCCCC3)o2)CC1 ZINC000935319435 661776486 /nfs/dbraw/zinc/77/64/86/661776486.db2.gz XFTILRUTFVRAGC-UHFFFAOYSA-N 0 1 315.417 3.031 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(CN2CCCCC2)o1)C(C)(C)C ZINC000936001676 661824611 /nfs/dbraw/zinc/82/46/11/661824611.db2.gz OTSIWWDVHWCTGV-INIZCTEOSA-N 0 1 302.418 3.043 20 30 DGEDMN N#CC(C(=O)Cc1c[nH]c2ccccc12)c1nc2ccccc2[nH]1 ZINC000041497899 661835050 /nfs/dbraw/zinc/83/50/50/661835050.db2.gz ZKFTVRJLZDILGZ-CQSZACIVSA-N 0 1 314.348 3.463 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@H](C)Oc2cccc(C)c2)n1 ZINC000041521372 661835118 /nfs/dbraw/zinc/83/51/18/661835118.db2.gz ZKSISTUQNDHWNY-JSGCOSHPSA-N 0 1 300.383 3.404 20 30 DGEDMN CCc1ccc(OCC(=O)C(C#N)c2nc(C)cs2)cc1 ZINC000041519744 661835122 /nfs/dbraw/zinc/83/51/22/661835122.db2.gz MGFXTSIXTFDOQY-CQSZACIVSA-N 0 1 300.383 3.269 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000155481703 661847705 /nfs/dbraw/zinc/84/77/05/661847705.db2.gz YRXVWUGOLSQSEA-DOMZBBRYSA-N 0 1 302.374 3.169 20 30 DGEDMN CCOc1ccccc1OCC(=O)C(C#N)c1nc(C)cs1 ZINC000048786728 661895586 /nfs/dbraw/zinc/89/55/86/661895586.db2.gz JKKKOWUYXZDZKT-GFCCVEGCSA-N 0 1 316.382 3.106 20 30 DGEDMN CCOc1ccccc1OCC(=O)C(C#N)c1nc(C)cs1 ZINC000048786730 661895943 /nfs/dbraw/zinc/89/59/43/661895943.db2.gz JKKKOWUYXZDZKT-LBPRGKRZSA-N 0 1 316.382 3.106 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3ccsc32)C[C@@H]1C ZINC000947899277 661936795 /nfs/dbraw/zinc/93/67/95/661936795.db2.gz HXNFIQFVNDVFDV-ZFWWWQNUSA-N 0 1 312.438 3.117 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C(C)(C)C)CCN1CC#Cc1ccccc1 ZINC000947961373 661940085 /nfs/dbraw/zinc/94/00/85/661940085.db2.gz BLDYVZCMSWMMTE-FUHWJXTLSA-N 0 1 312.457 3.053 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1Cc1ccccc1C#N ZINC000947962195 661940462 /nfs/dbraw/zinc/94/04/62/661940462.db2.gz KYUWUJHLTUGZJE-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(CC)oc3ccccc32)C[C@H]1C ZINC000948075216 661948600 /nfs/dbraw/zinc/94/86/00/661948600.db2.gz XIBWHXPBAGXCIC-CABCVRRESA-N 0 1 324.424 3.211 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC000948269416 661963979 /nfs/dbraw/zinc/96/39/79/661963979.db2.gz NQUBOWSWMURGMC-NCOADZHNSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2C=CC=CC=C2)CC1 ZINC000948416537 661974381 /nfs/dbraw/zinc/97/43/81/661974381.db2.gz IMRPEUCLYGSTCF-QGZVFWFLSA-N 0 1 320.864 3.350 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(OCCC)c2)CC1 ZINC000948627916 661987289 /nfs/dbraw/zinc/98/72/89/661987289.db2.gz XJDIJSNZUGDRLX-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H](N(C)C(=O)[C@H]2CCC2(C)C)CC1 ZINC000948684960 661990766 /nfs/dbraw/zinc/99/07/66/661990766.db2.gz SUAQIVOPCTUYLN-LSDHHAIUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2CCC2(C)C)CC1 ZINC000948684960 661990769 /nfs/dbraw/zinc/99/07/69/661990769.db2.gz SUAQIVOPCTUYLN-LSDHHAIUSA-N 0 1 312.885 3.488 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)C1CCCCCCC1 ZINC000076825913 662001274 /nfs/dbraw/zinc/00/12/74/662001274.db2.gz JFWRBFWBXVOJSD-KRWDZBQOSA-N 0 1 312.413 3.372 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1CC(C)(C)C1 ZINC000948912990 662007208 /nfs/dbraw/zinc/00/72/08/662007208.db2.gz MZECYZDSUIVPMU-LJQANCHMSA-N 0 1 324.468 3.162 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000949029743 662011091 /nfs/dbraw/zinc/01/10/91/662011091.db2.gz OYYJAUQKARLVNC-KBPBESRZSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2cccc(C(C)C)c2)CC1 ZINC000949096629 662011641 /nfs/dbraw/zinc/01/16/41/662011641.db2.gz WQHYFBPMXSSIBQ-UHFFFAOYSA-N 0 1 300.446 3.073 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc3cc(C)ccc3o2)CC1 ZINC001006442639 662015897 /nfs/dbraw/zinc/01/58/97/662015897.db2.gz JQEGQVHHZSMNOV-UHFFFAOYSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CC[C@@H]2c2ccc(F)cc2)CC1 ZINC000949460281 662016525 /nfs/dbraw/zinc/01/65/25/662016525.db2.gz IYTUUKDJGCEVFA-QZTJIDSGSA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CC[C@H]2c2ccc(F)cc2)CC1 ZINC000949460282 662016540 /nfs/dbraw/zinc/01/65/40/662016540.db2.gz IYTUUKDJGCEVFA-ROUUACIJSA-N 0 1 316.420 3.040 20 30 DGEDMN N#CC(C(=O)CCCc1ccccc1)c1nnc2n1CCCCC2 ZINC000077479490 662028873 /nfs/dbraw/zinc/02/88/73/662028873.db2.gz IOVWHVFNZUQUFN-MRXNPFEDSA-N 0 1 322.412 3.204 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)c2ccc(CC)cc2)CC1 ZINC000950444445 662066715 /nfs/dbraw/zinc/06/67/15/662066715.db2.gz DXYFWTIYDZHFFJ-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccccc2C2(C)CC2)CC1 ZINC000950457792 662067417 /nfs/dbraw/zinc/06/74/17/662067417.db2.gz UADWEHNRKISNAB-UHFFFAOYSA-N 0 1 312.457 3.462 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC001006457550 662068585 /nfs/dbraw/zinc/06/85/85/662068585.db2.gz GNOMZJXYCMHKAT-LJQANCHMSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCCN1CC(N(CC)C(=O)c2c(C)[nH]c3ccccc32)C1 ZINC000950818381 662089619 /nfs/dbraw/zinc/08/96/19/662089619.db2.gz OODYAQDOMBVPLP-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C[N@H+](Cc1ccc(C#N)cc1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000192625718 662106493 /nfs/dbraw/zinc/10/64/93/662106493.db2.gz GYXNMUQEWDVFRH-UHFFFAOYSA-N 0 1 320.348 3.002 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000192625718 662106495 /nfs/dbraw/zinc/10/64/95/662106495.db2.gz GYXNMUQEWDVFRH-UHFFFAOYSA-N 0 1 320.348 3.002 20 30 DGEDMN C=C(C)[C@@H]1CC=C(COC(=O)CCCCc2cn[nH]n2)CC1 ZINC000192669226 662107651 /nfs/dbraw/zinc/10/76/51/662107651.db2.gz QXVCHKWLGTZXKW-OAHLLOKOSA-N 0 1 303.406 3.363 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3ncccc3c2)C1 ZINC000951608516 662142087 /nfs/dbraw/zinc/14/20/87/662142087.db2.gz FGQYYLJBPKXBNA-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)C(=O)C(C#N)c1nc2ccccc2o1 ZINC000195492922 662190767 /nfs/dbraw/zinc/19/07/67/662190767.db2.gz OEJAWIATRWJSQK-BXKDBHETSA-N 0 1 309.325 3.413 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000089512585 662244873 /nfs/dbraw/zinc/24/48/73/662244873.db2.gz KOFDYXQZUFSFQB-AWEZNQCLSA-N 0 1 310.353 3.458 20 30 DGEDMN C=CCn1c(C)nn(CN2C[C@H](C)[C@H]3CCCC[C@H]32)c1=S ZINC000248423824 662344644 /nfs/dbraw/zinc/34/46/44/662344644.db2.gz NFLAXGNRASSMHW-NWANDNLSSA-N 0 1 306.479 3.377 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2cc(F)ccc2O)c(C(C)(C)C)n1 ZINC000093902068 662361308 /nfs/dbraw/zinc/36/13/08/662361308.db2.gz KYCDNKMLYUTESA-FNORWQNLSA-N 0 1 302.349 3.458 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C2=CCCCCC2)C1 ZINC001022006758 685372474 /nfs/dbraw/zinc/37/24/74/685372474.db2.gz LMBTWCGDJDNMTF-KDURUIRLSA-N 0 1 323.440 3.186 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2oc(C(F)F)cc2C)C1 ZINC001033868203 685393797 /nfs/dbraw/zinc/39/37/97/685393797.db2.gz RNDKPTBFJDKOFY-LBPRGKRZSA-N 0 1 312.360 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3scnc32)CC[C@H]1C ZINC001071509016 686671899 /nfs/dbraw/zinc/67/18/99/686671899.db2.gz WKUOIPZHDSDBCE-OLZOCXBDSA-N 0 1 315.442 3.065 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2scc(C)c2Cl)CC[C@H]1C ZINC001071531287 686681270 /nfs/dbraw/zinc/68/12/70/686681270.db2.gz JUOACWCHRDOHKY-CHWSQXEVSA-N 0 1 324.877 3.316 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccoc2C(F)(F)F)CC[C@H]1C ZINC001071537447 686682776 /nfs/dbraw/zinc/68/27/76/686682776.db2.gz ZMUJQEMPKYQZAQ-GHMZBOCLSA-N 0 1 316.323 3.067 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(CCC)s2)CC[C@H]1C ZINC001071541005 686683473 /nfs/dbraw/zinc/68/34/73/686683473.db2.gz ZAPKHXGPPXIEBK-HUUCEWRRSA-N 0 1 318.486 3.307 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cc(C)cn3c2)CC[C@H]1C ZINC001071555774 686687850 /nfs/dbraw/zinc/68/78/50/686687850.db2.gz GNFDBGXFJIZDIS-WBVHZDCISA-N 0 1 311.429 3.016 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC[C@@H]1C ZINC001071582799 686695205 /nfs/dbraw/zinc/69/52/05/686695205.db2.gz AQERAIXORYCZNW-DSLXNQLJSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@H]1C ZINC001071670532 686719367 /nfs/dbraw/zinc/71/93/67/686719367.db2.gz MGUTXPOLAIZJAB-OLZOCXBDSA-N 0 1 308.372 3.042 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@@H]1C ZINC001071701121 686726960 /nfs/dbraw/zinc/72/69/60/686726960.db2.gz CUIJJAHLWHBZLN-RDJZCZTQSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CCN1C[C@H](NC(=O)Cc2cc3ccccc3o2)CC[C@H]1C ZINC001071724211 686733448 /nfs/dbraw/zinc/73/34/48/686733448.db2.gz CXGCJQSGJGOFFN-GDBMZVCRSA-N 0 1 312.413 3.130 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)CC[C@H]1C ZINC001071728825 686735168 /nfs/dbraw/zinc/73/51/68/686735168.db2.gz UBPPFSIUSYUPMS-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@H]1C ZINC001071735355 686737335 /nfs/dbraw/zinc/73/73/35/686737335.db2.gz KUWAKOIYVAWXPD-GDBMZVCRSA-N 0 1 304.409 3.211 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C(F)F)c2)CC[C@@H]1C ZINC001071772885 686747227 /nfs/dbraw/zinc/74/72/27/686747227.db2.gz SAEGGTKYSALHGX-WCQYABFASA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2C)CC[C@@H]1C ZINC001071792323 686754326 /nfs/dbraw/zinc/75/43/26/686754326.db2.gz HSASGKGZKDJTBE-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@H]1C ZINC001071792305 686754478 /nfs/dbraw/zinc/75/44/78/686754478.db2.gz HKYNFQKSOIRDDB-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2C)CC[C@H]1C ZINC001071789602 686754932 /nfs/dbraw/zinc/75/49/32/686754932.db2.gz WFHXQJHEJABKEL-ZBFHGGJFSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(F)c3ccccc23)CC[C@H]1C ZINC001071835641 686765401 /nfs/dbraw/zinc/76/54/01/686765401.db2.gz OOMBTQZDJPFDRS-HUUCEWRRSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OCC)c(C)c2)CC[C@H]1C ZINC001071862412 686774511 /nfs/dbraw/zinc/77/45/11/686774511.db2.gz XCJQKCHNOOWOFU-NVXWUHKLSA-N 0 1 316.445 3.162 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(Cl)cs2)CC[C@@H]1C ZINC001071868253 686776126 /nfs/dbraw/zinc/77/61/26/686776126.db2.gz IIVQOVFWGXJJPM-WCQYABFASA-N 0 1 310.850 3.008 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(CC)nc2C)CC[C@H]1C ZINC001071890229 686783679 /nfs/dbraw/zinc/78/36/79/686783679.db2.gz IOSXNSDDOCDCBY-OCCSQVGLSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sccc2COC)CC[C@H]1C ZINC001071992152 686807628 /nfs/dbraw/zinc/80/76/28/686807628.db2.gz KHTNQFVHFDNBTG-UKRRQHHQSA-N 0 1 322.474 3.053 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(CCC)nc2C)CC[C@@H]1C ZINC001071993870 686808833 /nfs/dbraw/zinc/80/88/33/686808833.db2.gz YDIWSORTMOAQDM-ZFWWWQNUSA-N 0 1 319.449 3.094 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@@H]1C ZINC001072003560 686810537 /nfs/dbraw/zinc/81/05/37/686810537.db2.gz VRXXDDRJXKLUOK-OXJNMPFZSA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C(F)F)c2)CC[C@@H]1C ZINC001072005933 686811610 /nfs/dbraw/zinc/81/16/10/686811610.db2.gz QIUDCZHNQSNCLX-WFASDCNBSA-N 0 1 308.372 3.393 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc3ccccc32)CC[C@H]1C ZINC001072043891 686840040 /nfs/dbraw/zinc/84/00/40/686840040.db2.gz GEEBCQMRKSOXHA-AEFFLSMTSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(F)cc2Cl)CC[C@H]1C ZINC001072045208 686841479 /nfs/dbraw/zinc/84/14/79/686841479.db2.gz PMTKLOJIDHHSTI-OCCSQVGLSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2C2CC2)CC[C@H]1C ZINC001072046150 686842467 /nfs/dbraw/zinc/84/24/67/686842467.db2.gz VPJPJWJKIBWUNX-UKRRQHHQSA-N 0 1 316.470 3.232 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@@H]1C ZINC001072047905 686843597 /nfs/dbraw/zinc/84/35/97/686843597.db2.gz GXXKBMYJLQBVHA-OXJNMPFZSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC(C)C)cc2)CC[C@H]1C ZINC001072049960 686844820 /nfs/dbraw/zinc/84/48/20/686844820.db2.gz SBFWDOVBXORERR-NVXWUHKLSA-N 0 1 316.445 3.243 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CC)c(C)s2)CC[C@H]1C ZINC001072054928 686850558 /nfs/dbraw/zinc/85/05/58/686850558.db2.gz MYBPUDLWAJPGKW-CJNGLKHVSA-N 0 1 318.486 3.225 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sccc2CC)CC[C@@H]1C ZINC001072098830 686865236 /nfs/dbraw/zinc/86/52/36/686865236.db2.gz GYCJLAVIPGIYJV-ZFWWWQNUSA-N 0 1 306.475 3.469 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccccc2CC(C)C)CC[C@@H]1C ZINC001072102298 686867683 /nfs/dbraw/zinc/86/76/83/686867683.db2.gz OBFNHFAAIBPMJQ-WMZOPIPTSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@@H]1C ZINC001072115897 686875742 /nfs/dbraw/zinc/87/57/42/686875742.db2.gz DTAYYUXYAPVUOR-IRXDYDNUSA-N 0 1 312.457 3.182 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C)C(C)(C)C2(C)C)CC[C@@H]1C ZINC001072120510 686876252 /nfs/dbraw/zinc/87/62/52/686876252.db2.gz VMAGJGRICULZCW-LSDHHAIUSA-N 0 1 304.478 3.051 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)CC[C@H]1C ZINC001072122462 686876511 /nfs/dbraw/zinc/87/65/11/686876511.db2.gz IPRWTFGMEMYMBW-CJNGLKHVSA-N 0 1 320.383 3.014 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC2CCC(C)(C)CC2)CC[C@H]1C ZINC001072125179 686876971 /nfs/dbraw/zinc/87/69/71/686876971.db2.gz AAJQPXOPCZCPQU-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC2(C1)CCN(C[C@@H](F)CC)C2 ZINC001072779769 686960686 /nfs/dbraw/zinc/96/06/86/686960686.db2.gz FUWLQIYCFWRAMX-ZDUSSCGKSA-N 0 1 316.848 3.048 20 30 DGEDMN CC#CCN1CCC2(CN(C(=O)c3cc4ccccc4s3)C2)C1 ZINC001072900752 686978130 /nfs/dbraw/zinc/97/81/30/686978130.db2.gz FDZAGTXTOKNARP-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccsc2)[C@H]1C ZINC001074874051 687308631 /nfs/dbraw/zinc/30/86/31/687308631.db2.gz RCGKGCCEJBARAE-DYEKYZERSA-N 0 1 312.866 3.183 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2cccs2)[C@H]1C ZINC001074873264 687308989 /nfs/dbraw/zinc/30/89/89/687308989.db2.gz IGVUARTUKCOTQP-AVGNSLFASA-N 0 1 312.866 3.183 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3scc(C)c3Cl)[C@@H]2C1 ZINC001075828640 687447330 /nfs/dbraw/zinc/44/73/30/687447330.db2.gz YSUIGKDRPUFILG-NWDGAFQWSA-N 0 1 310.850 3.042 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4ccccc4cc3F)[C@@H]2C1 ZINC001076029377 687466476 /nfs/dbraw/zinc/46/64/76/687466476.db2.gz KIQQVEBXGRYZMZ-QFBILLFUSA-N 0 1 324.399 3.311 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)[C@@H]2C1 ZINC001076401708 687520148 /nfs/dbraw/zinc/52/01/48/687520148.db2.gz UVJFTVQZHLNHIP-CADBVGFASA-N 0 1 316.489 3.005 20 30 DGEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2cc3sccc3s2)C1 ZINC001079964379 687907501 /nfs/dbraw/zinc/90/75/01/687907501.db2.gz SNZLBSPMXJLZFM-VXGBXAGGSA-N 0 1 318.467 3.036 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CN(Cc3ccsc3)C[C@H]2C)CC1 ZINC001080392504 687970926 /nfs/dbraw/zinc/97/09/26/687970926.db2.gz HLOTUIMORWZRGZ-RHSMWYFYSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3ccc(F)cc3)C[C@H]2C)C1 ZINC001080404285 687972398 /nfs/dbraw/zinc/97/23/98/687972398.db2.gz AMWHMKDHEAJFBR-RHSMWYFYSA-N 0 1 316.420 3.119 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2oc3ccccc3c2Cl)C1 ZINC001080768966 688011130 /nfs/dbraw/zinc/01/11/30/688011130.db2.gz YOTAGLFAFRJYFW-DGCLKSJQSA-N 0 1 318.804 3.322 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001081175312 688058314 /nfs/dbraw/zinc/05/83/14/688058314.db2.gz WCFZVRXOVKGWLX-SCTDSRPQSA-N 0 1 318.436 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2ccccc2C)C[C@H]1C ZINC001082937598 688132729 /nfs/dbraw/zinc/13/27/29/688132729.db2.gz DSNXUHHQGIUDQN-FRFSOERESA-N 0 1 320.864 3.288 20 30 DGEDMN CCCC1(C(=O)NCCCN(C)Cc2ccc(C#N)s2)CC1 ZINC001660293947 1196468395 /nfs/dbraw/zinc/46/83/95/1196468395.db2.gz JFUZAUXYHXINTC-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001586053865 1192304253 /nfs/dbraw/zinc/30/42/53/1192304253.db2.gz ATNXSKVIJNCNRA-FQEVSTJZSA-N 0 1 317.392 3.428 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCCc3ccccc3)[nH]c2c1 ZINC001587347321 1192394812 /nfs/dbraw/zinc/39/48/12/1192394812.db2.gz HBEDQYGLQARZNK-UHFFFAOYSA-N 0 1 304.353 3.396 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1cc(Cl)cc(-c2nn[nH]n2)c1 ZINC001588527568 1192448926 /nfs/dbraw/zinc/44/89/26/1192448926.db2.gz OZQRGUIHMZLXLJ-AWEZNQCLSA-N 0 1 303.797 3.061 20 30 DGEDMN Cc1coc(CNCc2cn(C)nc2-c2ccc(C#N)cc2)c1 ZINC001620898105 1192564191 /nfs/dbraw/zinc/56/41/91/1192564191.db2.gz HVWRSEJSPJCIPM-UHFFFAOYSA-N 0 1 306.369 3.150 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC(C)(C)CC)C1 ZINC001664812344 1197061959 /nfs/dbraw/zinc/06/19/59/1197061959.db2.gz QZLSITYRYCVLQR-AWEZNQCLSA-N 0 1 300.874 3.346 20 30 DGEDMN Fc1cccc(Cl)c1NN=Cc1ccccc1-c1nn[nH]n1 ZINC001592656909 1192625327 /nfs/dbraw/zinc/62/53/27/1192625327.db2.gz WTAUJFLMORWWGV-UHFFFAOYSA-N 0 1 316.727 3.105 20 30 DGEDMN COc1cc(C#N)ccc1C(=O)Nc1nc2ccc(C)cc2[nH]1 ZINC001595498832 1192661215 /nfs/dbraw/zinc/66/12/15/1192661215.db2.gz RQIKLEXBQAHSQE-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN CC(C)Oc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001595733953 1192667755 /nfs/dbraw/zinc/66/77/55/1192667755.db2.gz GOTDYBVQKFFMMY-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCCc4ccccc43)[nH]c2c1 ZINC001595734958 1192668278 /nfs/dbraw/zinc/66/82/78/1192668278.db2.gz PRWKWYFFVQXRQB-HNNXBMFYSA-N 0 1 316.364 3.493 20 30 DGEDMN CCOc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001595735096 1192668373 /nfs/dbraw/zinc/66/83/73/1192668373.db2.gz VLDFIHWBRVHDDG-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C=C2CCC(C(C)(C)C)CC2)C1 ZINC001595791056 1192670627 /nfs/dbraw/zinc/67/06/27/1192670627.db2.gz WJHFEZIZWWKDMJ-ZVAWYAOSSA-N 0 1 316.489 3.363 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2cn[nH]c2-c2ccncc2)cc1 ZINC001596631422 1192699374 /nfs/dbraw/zinc/69/93/74/1192699374.db2.gz DSJBPFDGJTVPBO-UHFFFAOYSA-N 0 1 317.352 3.180 20 30 DGEDMN CC(C)CC(C)(C)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001690987555 1176068740 /nfs/dbraw/zinc/06/87/40/1176068740.db2.gz ICKNCHLRWJNJNL-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CC(C)(C)C)C1CCCC1 ZINC001699790967 1176149696 /nfs/dbraw/zinc/14/96/96/1176149696.db2.gz HHEDVGLQBHXXFK-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(Cl)c1)C1CC1 ZINC001670544904 1176164635 /nfs/dbraw/zinc/16/46/35/1176164635.db2.gz QGYYUNCEZHEUQQ-AWEZNQCLSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C)cc1C)C1CC1 ZINC001670549332 1176167809 /nfs/dbraw/zinc/16/78/09/1176167809.db2.gz BZLYWHLDYPXWKK-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCC[N@@H+]2CCC(F)(F)F)CCC1 ZINC001691097103 1176310158 /nfs/dbraw/zinc/31/01/58/1176310158.db2.gz FHKQGNHVYTXDID-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN2CCC(F)(F)F)CCC1 ZINC001691097103 1176310162 /nfs/dbraw/zinc/31/01/62/1176310162.db2.gz FHKQGNHVYTXDID-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@H](C)c2ccc(F)cc2F)C1 ZINC001691122027 1176327361 /nfs/dbraw/zinc/32/73/61/1176327361.db2.gz ZBQHIXFPNOZPAX-ZIAGYGMSSA-N 0 1 322.399 3.430 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001691273425 1176419876 /nfs/dbraw/zinc/41/98/76/1176419876.db2.gz MXHIJUVBBCLDKE-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001691912173 1176456403 /nfs/dbraw/zinc/45/64/03/1176456403.db2.gz HYQDFMXUKGEZAV-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cccn1CC ZINC001691382442 1176457100 /nfs/dbraw/zinc/45/71/00/1176457100.db2.gz UKYGNHBJJVKADB-HIFRSBDPSA-N 0 1 323.868 3.091 20 30 DGEDMN C=CCCC(=O)NC[C@H]1C[C@@H](NCc2c(F)cccc2Cl)C1 ZINC001691430863 1176536955 /nfs/dbraw/zinc/53/69/55/1176536955.db2.gz ZNTCSPWTIPSBIO-BETUJISGSA-N 0 1 324.827 3.430 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(Cl)ccc1F ZINC001691786871 1176564641 /nfs/dbraw/zinc/56/46/41/1176564641.db2.gz BBXPRCBPLRZINH-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNC/C(Cl)=C\Cl)C1 ZINC001699132734 1176619255 /nfs/dbraw/zinc/61/92/55/1176619255.db2.gz BDPKYHXLNAACDK-SERMCNLOSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cccn2CC)CC1 ZINC001754721997 1176675075 /nfs/dbraw/zinc/67/50/75/1176675075.db2.gz INMCOKKRNSYIDQ-UHFFFAOYSA-N 0 1 323.868 3.092 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)c(F)cc1F ZINC001755240190 1176863528 /nfs/dbraw/zinc/86/35/28/1176863528.db2.gz MMRJNNBDSFBRLM-QWRGUYRKSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2c(o1)CCCC2 ZINC001755237594 1176864019 /nfs/dbraw/zinc/86/40/19/1176864019.db2.gz NUDGYFWPINDKFA-RYUDHWBXSA-N 0 1 310.825 3.007 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2CC3(CCC3)C2)C1 ZINC001752518482 1176879698 /nfs/dbraw/zinc/87/96/98/1176879698.db2.gz NLVZWMFZPDVRAD-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](CC)CC(F)(F)F ZINC001753720712 1176939880 /nfs/dbraw/zinc/93/98/80/1176939880.db2.gz FOPXMNNXPXSEKQ-MNOVXSKESA-N 0 1 314.779 3.154 20 30 DGEDMN C[C@@H](Cn1cccn1)[NH+]=C([O-])N1Cc2ccccc2C2(CCC2)C1 ZINC000329188271 1176997293 /nfs/dbraw/zinc/99/72/93/1176997293.db2.gz BNBQLIWEQGJAQI-HNNXBMFYSA-N 0 1 324.428 3.123 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C23CCC(CC2)CC3)C1 ZINC001269892264 1177011781 /nfs/dbraw/zinc/01/17/81/1177011781.db2.gz DULSBBMFTUDSTA-MDCZIUGASA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)Cc1ccccc1 ZINC001755609835 1177098868 /nfs/dbraw/zinc/09/88/68/1177098868.db2.gz GVKJFOCTWQRNDC-HNNXBMFYSA-N 0 1 322.880 3.444 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@H]21 ZINC001600075186 1192787788 /nfs/dbraw/zinc/78/77/88/1192787788.db2.gz LHMPIURALIMIKJ-OKZBNKHCSA-N 0 1 312.413 3.332 20 30 DGEDMN C=C1CCC(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CC1 ZINC001670850345 1177229553 /nfs/dbraw/zinc/22/95/53/1177229553.db2.gz GHPGIBXDBJIPFY-UHFFFAOYSA-N 0 1 322.452 3.023 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C1CCN(C/C=C\Cl)CC1 ZINC001755848668 1177283281 /nfs/dbraw/zinc/28/32/81/1177283281.db2.gz WYVXDTFEIQBURK-QARUFBMTSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1(C)CC(F)(F)C1 ZINC001700731395 1177360386 /nfs/dbraw/zinc/36/03/86/1177360386.db2.gz HWXIFEFGAXPKMH-LBPRGKRZSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1ccc(Cl)cc1F ZINC001752874287 1177382275 /nfs/dbraw/zinc/38/22/75/1177382275.db2.gz DMCMDRCXFBUCNU-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCc1ccccc1 ZINC001671011336 1177570566 /nfs/dbraw/zinc/57/05/66/1177570566.db2.gz RKJMTBKZSYKHMV-BGYRXZFFSA-N 0 1 324.468 3.098 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccoc1C(C)C ZINC001671391073 1177580216 /nfs/dbraw/zinc/58/02/16/1177580216.db2.gz WFYDNLDLAFBCFI-CYBMUJFWSA-N 0 1 310.825 3.350 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001691659634 1177600911 /nfs/dbraw/zinc/60/09/11/1177600911.db2.gz AXTKGLBDZIFKOK-ZBFHGGJFSA-N 0 1 304.459 3.021 20 30 DGEDMN Cc1ccc([C@H](C)[NH2+][C@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC001600372336 1192838702 /nfs/dbraw/zinc/83/87/02/1192838702.db2.gz JMDGTNPJAMRNQA-NHYWBVRUSA-N 0 1 300.383 3.405 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN(CCC2=CCCCC2)C1 ZINC001671120586 1178014823 /nfs/dbraw/zinc/01/48/23/1178014823.db2.gz VBCNSQASBHOHKH-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(C#N)cn2)CN1Cc1ccccc1 ZINC001330919649 1178092470 /nfs/dbraw/zinc/09/24/70/1178092470.db2.gz SUQCHMFKYNIKKK-AWEZNQCLSA-N 0 1 305.385 3.016 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001331504568 1178229043 /nfs/dbraw/zinc/22/90/43/1178229043.db2.gz PUXNKCOOIAUJSX-WBMJQRKESA-N 0 1 324.827 3.045 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(CCC)cc1 ZINC001331542432 1178241422 /nfs/dbraw/zinc/24/14/22/1178241422.db2.gz GDEFTUNPJDIJAD-CQSZACIVSA-N 0 1 308.853 3.442 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C2CC2)nc1C ZINC001331560506 1178246521 /nfs/dbraw/zinc/24/65/21/1178246521.db2.gz LTMJSXUKZQDYMX-LBPRGKRZSA-N 0 1 321.852 3.070 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3ccc(C#N)c(F)c3)C2)cc1 ZINC001331565853 1178248272 /nfs/dbraw/zinc/24/82/72/1178248272.db2.gz LATWGPMLENINGC-QGZVFWFLSA-N 0 1 323.371 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001331576242 1178251138 /nfs/dbraw/zinc/25/11/38/1178251138.db2.gz NPJMHYCSURNZOQ-GJZGRUSLSA-N 0 1 308.853 3.288 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2oc(C(C)C)nc2C)C[C@H]1C ZINC001331962078 1178320743 /nfs/dbraw/zinc/32/07/43/1178320743.db2.gz ZVUHKUYMYCBZIN-HIFRSBDPSA-N 0 1 319.449 3.152 20 30 DGEDMN Cc1cccc2c1C[N@H+]([C@H](C(=O)[O-])c1cccc(C#N)c1)CC2 ZINC001600557264 1192873590 /nfs/dbraw/zinc/87/35/90/1192873590.db2.gz YHWCMBPBDGCRID-SFHVURJKSA-N 0 1 306.365 3.051 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3ccc(C#N)s3)C2)cc1 ZINC001332022289 1178338006 /nfs/dbraw/zinc/33/80/06/1178338006.db2.gz WEYXNOXUHJSJDC-CQSZACIVSA-N 0 1 311.410 3.211 20 30 DGEDMN CC(C)N(CCN(C)Cc1cccc(C#N)c1)C(=O)CC1CC1 ZINC001332678353 1178471574 /nfs/dbraw/zinc/47/15/74/1178471574.db2.gz ZSOSYMSCSFZWSI-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN COc1ccccc1[C@H](CO)NCc1c(C)cc(C#N)cc1C ZINC001332818316 1178501371 /nfs/dbraw/zinc/50/13/71/1178501371.db2.gz GCBDCRAVMQBPBR-SFHVURJKSA-N 0 1 310.397 3.007 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C2(CC)CCCC2)c(F)c1 ZINC001333481031 1178612620 /nfs/dbraw/zinc/61/26/20/1178612620.db2.gz ZGLCUPMHYQXRGQ-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN CCN(Cc1c(C)cnn1COC)[C@H](C)c1ccc(C#N)cc1 ZINC001334124430 1178723941 /nfs/dbraw/zinc/72/39/41/1178723941.db2.gz UFMQFUGMNLDHFF-OAHLLOKOSA-N 0 1 312.417 3.250 20 30 DGEDMN Cc1oc(C(=O)[O-])cc1C[N@@H+]1CC=C(c2ccc(C#N)cc2)CC1 ZINC001600829077 1192902176 /nfs/dbraw/zinc/90/21/76/1192902176.db2.gz OELFEGFSLQDPSZ-UHFFFAOYSA-N 0 1 322.364 3.447 20 30 DGEDMN N#Cc1ccc(N[C@H]2CCCN(Cc3cccn3C3CC3)C2)nc1 ZINC001334318585 1178748536 /nfs/dbraw/zinc/74/85/36/1178748536.db2.gz MBEMHTZUCNMYPV-INIZCTEOSA-N 0 1 321.428 3.166 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1ncc(-c2ccccc2)s1 ZINC001334905798 1178826668 /nfs/dbraw/zinc/82/66/68/1178826668.db2.gz DUOJVNGHYBVUKU-HNNXBMFYSA-N 0 1 300.427 3.328 20 30 DGEDMN N#C[C@@H]1CC[C@H]([N@@H+]2C[C@@H](c3ccc(Cl)cc3)[C@H](C(=O)[O-])C2)C1 ZINC001601059651 1192915257 /nfs/dbraw/zinc/91/52/57/1192915257.db2.gz JDDNRGZZZDUPMI-IPOQPSJVSA-N 0 1 318.804 3.132 20 30 DGEDMN N#CCc1ccc(C[N@H+]2C[C@@H](c3ccccc3)[C@H](C(=O)[O-])C2)cc1 ZINC001601076819 1192916524 /nfs/dbraw/zinc/91/65/24/1192916524.db2.gz VDLLZPRMHNDDNW-RBUKOAKNSA-N 0 1 320.392 3.053 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]2CCC[C@@H]21 ZINC001601086935 1192917276 /nfs/dbraw/zinc/91/72/76/1192917276.db2.gz NONDTDBDQCBRAL-PMPSAXMXSA-N 0 1 318.804 3.287 20 30 DGEDMN N#Cc1ccc(C[N@H+](CCCC(=O)[O-])Cc2ccccc2)s1 ZINC001601097794 1192918788 /nfs/dbraw/zinc/91/87/88/1192918788.db2.gz LDRRNSXYPFOAHH-UHFFFAOYSA-N 0 1 314.410 3.487 20 30 DGEDMN N#Cc1ccc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)cc1 ZINC001601100677 1192918817 /nfs/dbraw/zinc/91/88/17/1192918817.db2.gz UAUCUIBDPUMSQX-ROUUACIJSA-N 0 1 306.365 3.349 20 30 DGEDMN C[C@@H](OC1CCCCC1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001335796371 1178956614 /nfs/dbraw/zinc/95/66/14/1178956614.db2.gz KUPWCGPBPUMMDY-LLVKDONJSA-N 0 1 312.373 3.111 20 30 DGEDMN C[C@@H](CC#N)NC[C@H](O)COC(c1ccccc1)c1ccccc1 ZINC001335899761 1178968830 /nfs/dbraw/zinc/96/88/30/1178968830.db2.gz YUINQWJLPJMWDF-LPHOPBHVSA-N 0 1 324.424 3.045 20 30 DGEDMN C#CCN(Cc1ccc(NC(=O)OC(C)(C)C)nc1)CC1CC1 ZINC001341605504 1179036891 /nfs/dbraw/zinc/03/68/91/1179036891.db2.gz YSPSHBGWSFCOLC-UHFFFAOYSA-N 0 1 315.417 3.274 20 30 DGEDMN N#CC(C(=O)[C@H]1CCC=CCCC1)c1nnc2n1CCCCC2 ZINC001342259772 1179123131 /nfs/dbraw/zinc/12/31/31/1179123131.db2.gz QIBNMXFMTUOCGV-LSDHHAIUSA-N 0 1 312.417 3.317 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)C[C@H]1C ZINC001342334276 1179131100 /nfs/dbraw/zinc/13/11/00/1179131100.db2.gz YSKBKFDGIDOPTN-CHWSQXEVSA-N 0 1 314.437 3.178 20 30 DGEDMN N#CC(C(=O)C=Cc1cccs1)c1nc(N)c2ccccc2n1 ZINC001342521541 1179149301 /nfs/dbraw/zinc/14/93/01/1179149301.db2.gz SGUIXGSJLXIGQK-GWJCSSMESA-N 0 1 320.377 3.163 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001343581803 1179300124 /nfs/dbraw/zinc/30/01/24/1179300124.db2.gz FVDLERJKJIHPGZ-IVSAIRAKSA-N 0 1 310.397 3.469 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)[C@H]2CCCC[C@@H]12 ZINC001601826663 1192947858 /nfs/dbraw/zinc/94/78/58/1192947858.db2.gz LXKPNNVMZALWGJ-KSZLIROESA-N 0 1 315.388 3.143 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)CC1CCC1 ZINC001343767598 1179330280 /nfs/dbraw/zinc/33/02/80/1179330280.db2.gz CPNVHLZOHNMEJO-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2cccc(F)c2)CCC1 ZINC001343842491 1179336440 /nfs/dbraw/zinc/33/64/40/1179336440.db2.gz MVNZZVZBKXBHEW-OAHLLOKOSA-N 0 1 311.360 3.148 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCCC[C@H](C)C1 ZINC001343926825 1179350108 /nfs/dbraw/zinc/35/01/08/1179350108.db2.gz CTXWUERCYDFGFE-ZDUSSCGKSA-N 0 1 314.437 3.179 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@H]1c1cccc(F)c1F)c1cccc(F)n1 ZINC001344007985 1179363920 /nfs/dbraw/zinc/36/39/20/1179363920.db2.gz SOSIXGIBRJGUQK-UTUOFQBUSA-N 0 1 316.282 3.479 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)Cn1c(C)cc2ccccc21 ZINC001344322704 1179412587 /nfs/dbraw/zinc/41/25/87/1179412587.db2.gz WASKLNNFEVZLEH-HNNXBMFYSA-N 0 1 306.369 3.043 20 30 DGEDMN N#CC(C(=O)c1cccc(Cl)c1)c1nc(N)c2ccccc2n1 ZINC001344540206 1179448094 /nfs/dbraw/zinc/44/80/94/1179448094.db2.gz JHOJIGHIAVCRHA-ZDUSSCGKSA-N 0 1 322.755 3.355 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1cnc2ccccc2n1)C(F)(F)F ZINC001344580091 1179454190 /nfs/dbraw/zinc/45/41/90/1179454190.db2.gz ORLZVXVQYDNTEG-VIFPVBQESA-N 0 1 307.275 3.395 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSCc1ccc(F)cc1 ZINC001344874692 1179489468 /nfs/dbraw/zinc/48/94/68/1179489468.db2.gz DXTFEQQWTVPDEQ-CQSZACIVSA-N 0 1 317.389 3.152 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1coc(C2CCCCC2)n1 ZINC001346095804 1179651824 /nfs/dbraw/zinc/65/18/24/1179651824.db2.gz JTNQYYRFADMQBI-ZDUSSCGKSA-N 0 1 312.373 3.429 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)c1coc(C2CCCCC2)n1 ZINC001346095804 1179651830 /nfs/dbraw/zinc/65/18/30/1179651830.db2.gz JTNQYYRFADMQBI-ZDUSSCGKSA-N 0 1 312.373 3.429 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1coc(C2CCCCC2)n1 ZINC001346095803 1179651847 /nfs/dbraw/zinc/65/18/47/1179651847.db2.gz JTNQYYRFADMQBI-CYBMUJFWSA-N 0 1 312.373 3.429 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)c1coc(C2CCCCC2)n1 ZINC001346095803 1179651855 /nfs/dbraw/zinc/65/18/55/1179651855.db2.gz JTNQYYRFADMQBI-CYBMUJFWSA-N 0 1 312.373 3.429 20 30 DGEDMN CC(C)c1cccc(C(=O)[C@H](C#N)c2ncc(Cl)n2C)n1 ZINC001346096137 1179652542 /nfs/dbraw/zinc/65/25/42/1179652542.db2.gz MVKAWGNQRPFIQR-JTQLQIEISA-N 0 1 302.765 3.082 20 30 DGEDMN CC(C)c1cccc(C(=O)C(C#N)c2ncc(Cl)n2C)n1 ZINC001346096137 1179652548 /nfs/dbraw/zinc/65/25/48/1179652548.db2.gz MVKAWGNQRPFIQR-JTQLQIEISA-N 0 1 302.765 3.082 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC[C@H](C(F)(F)F)O1)c1ccccn1 ZINC001346221804 1179672165 /nfs/dbraw/zinc/67/21/65/1179672165.db2.gz UNALCWINHZTRJP-COPLHBTASA-N 0 1 312.291 3.148 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)Cc1coc2cc3c(cc12)CCC3 ZINC001346549286 1179718881 /nfs/dbraw/zinc/71/88/81/1179718881.db2.gz VJWDJMXQVFMPKD-MRXNPFEDSA-N 0 1 319.364 3.074 20 30 DGEDMN C=CCNC(=O)[C@@H](C#N)C(=O)C=Cc1cc(Cl)cc(Cl)c1 ZINC001347064022 1179772974 /nfs/dbraw/zinc/77/29/74/1179772974.db2.gz PQTLJSSXUBHETQ-SCOBNMCVSA-N 0 1 323.179 3.018 20 30 DGEDMN CCc1sc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001347339237 1179798041 /nfs/dbraw/zinc/79/80/41/1179798041.db2.gz WWANUDFAVQVCHH-SECBINFHSA-N 0 1 307.806 3.496 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSCc1cccs1 ZINC001349701663 1179935137 /nfs/dbraw/zinc/93/51/37/1179935137.db2.gz IJFVHJSCZHBFJD-LBPRGKRZSA-N 0 1 305.428 3.074 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cnc(CC(C)C)s1 ZINC001349701657 1179935823 /nfs/dbraw/zinc/93/58/23/1179935823.db2.gz IHHYCYLZMIHLQX-LLVKDONJSA-N 0 1 302.403 3.048 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2ccc(-c3ccccc3C(=O)[O-])o2)C1 ZINC001602681818 1192994415 /nfs/dbraw/zinc/99/44/15/1192994415.db2.gz XKBYPQZCGSQKNU-CQSZACIVSA-N 0 1 309.365 3.490 20 30 DGEDMN CCCCCCCCC(=O)N1CCc2sc(CN)nc2C1 ZINC001349875779 1179969091 /nfs/dbraw/zinc/96/90/91/1179969091.db2.gz UPQJLGBEPDSRCS-UHFFFAOYSA-N 0 1 309.479 3.237 20 30 DGEDMN Cc1cnc(C)nc1NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC001349877596 1179969160 /nfs/dbraw/zinc/96/91/60/1179969160.db2.gz HESAZGYYZBIJQK-UHFFFAOYSA-N 0 1 321.428 3.042 20 30 DGEDMN C#Cc1ccc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)cc1 ZINC001602738981 1192995539 /nfs/dbraw/zinc/99/55/39/1192995539.db2.gz VKOMGCYPIKFDSQ-OALUTQOASA-N 0 1 305.377 3.458 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(-c2nn[nH]n2)cc1Cl ZINC001602773028 1192996851 /nfs/dbraw/zinc/99/68/51/1192996851.db2.gz JMPCWRGCXFWJHH-OKILXGFUSA-N 0 1 315.808 3.203 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@H]1CCCO[C@@H]1c1ccccc1 ZINC001602829803 1192998538 /nfs/dbraw/zinc/99/85/38/1192998538.db2.gz YAUQQDDDRZLJSS-BRWVUGGUSA-N 0 1 303.402 3.115 20 30 DGEDMN C=CC[C@H]1CC[N@H+](Cc2c3cc(F)ccc3n(C)c2C(=O)[O-])C1 ZINC001602855099 1193000184 /nfs/dbraw/zinc/00/01/84/1193000184.db2.gz GQEICKTZJRBIJD-LBPRGKRZSA-N 0 1 316.376 3.414 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001603230730 1193012229 /nfs/dbraw/zinc/01/22/29/1193012229.db2.gz XJIWDLNDHSEUBO-KXBFYZLASA-N 0 1 312.413 3.174 20 30 DGEDMN CCC[C@H](CC)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001480119220 1180296407 /nfs/dbraw/zinc/29/64/07/1180296407.db2.gz OSHIJGJTWIVNND-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H](O)C1CCCCC1 ZINC001200021998 1180333101 /nfs/dbraw/zinc/33/31/01/1180333101.db2.gz AYIODNQFAZATCJ-QGZVFWFLSA-N 0 1 321.848 3.383 20 30 DGEDMN C=CCO[C@H]1CC[N@H+](CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001352890845 1180416451 /nfs/dbraw/zinc/41/64/51/1180416451.db2.gz WITHBPVGZHDIMP-MJBXVCDLSA-N 0 1 319.367 3.201 20 30 DGEDMN C=CCO[C@H]1CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001352890845 1180416454 /nfs/dbraw/zinc/41/64/54/1180416454.db2.gz WITHBPVGZHDIMP-MJBXVCDLSA-N 0 1 319.367 3.201 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100371826 1180624016 /nfs/dbraw/zinc/62/40/16/1180624016.db2.gz GZROELDZOLNRGM-KPKJPENVSA-N 0 1 305.249 3.146 20 30 DGEDMN N#CC1(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)CCCC1 ZINC001354427177 1180793783 /nfs/dbraw/zinc/79/37/83/1180793783.db2.gz OKPJUYGJSINVPO-UHFFFAOYSA-N 0 1 315.764 3.148 20 30 DGEDMN CCCCCCCCCCn1ccc(-c2nn[nH]n2)cc1=O ZINC001604176788 1193058861 /nfs/dbraw/zinc/05/88/61/1193058861.db2.gz YBRDDEUSTZICHK-UHFFFAOYSA-N 0 1 303.410 3.169 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)c2ccccc21 ZINC001355921377 1181113806 /nfs/dbraw/zinc/11/38/06/1181113806.db2.gz RSOXZTICNZXEBV-LBPRGKRZSA-N 0 1 320.400 3.418 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cn(C)nc2C2CCCC2)n1 ZINC001355936190 1181114960 /nfs/dbraw/zinc/11/49/60/1181114960.db2.gz PZVHMKUUJUKTRY-GFCCVEGCSA-N 0 1 314.414 3.333 20 30 DGEDMN C#CCC1(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CCCCC1 ZINC001438136233 1181959606 /nfs/dbraw/zinc/95/96/06/1181959606.db2.gz MPVWVNXQNBAGDQ-UHFFFAOYSA-N 0 1 306.369 3.347 20 30 DGEDMN Cc1cccc(OCCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001438134609 1181959734 /nfs/dbraw/zinc/95/97/34/1181959734.db2.gz FISRPNRSSGHMJG-UHFFFAOYSA-N 0 1 320.352 3.151 20 30 DGEDMN CCN(C(=O)CCc1cc(C)[nH]n1)[C@@H](C)c1ccc(C#N)cc1 ZINC001442212139 1182078049 /nfs/dbraw/zinc/07/80/49/1182078049.db2.gz KCAFUDYCNALCEH-AWEZNQCLSA-N 0 1 310.401 3.132 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc3c2CN(C2CC2)C3)cs1 ZINC001447235218 1182185085 /nfs/dbraw/zinc/18/50/85/1182185085.db2.gz ZQGSSCOAOBSKCQ-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC001447497877 1182190502 /nfs/dbraw/zinc/19/05/02/1182190502.db2.gz KBACNOSXGWTSKL-GOSISDBHSA-N 0 1 300.446 3.466 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cscc2Br)cc1O ZINC001450329249 1182247712 /nfs/dbraw/zinc/24/77/12/1182247712.db2.gz PRIWNCIWSOXQFD-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN CCCSc1cccc(NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC001454594794 1182347164 /nfs/dbraw/zinc/34/71/64/1182347164.db2.gz NXSGXCOWYGNJJV-UHFFFAOYSA-N 0 1 313.382 3.413 20 30 DGEDMN CC(C)(C(=O)Nc1ccc2cncn2c1)c1cc(F)cc(C#N)c1 ZINC001456439405 1182416667 /nfs/dbraw/zinc/41/66/67/1182416667.db2.gz APKNCLTVRSVQOV-UHFFFAOYSA-N 0 1 322.343 3.261 20 30 DGEDMN C=CC[C@H]1CCN1C(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC001456851669 1182431883 /nfs/dbraw/zinc/43/18/83/1182431883.db2.gz PRSCSADYSHEZIG-ZDUSSCGKSA-N 0 1 311.337 3.255 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)[C@H](C)[C@H](C)O ZINC001459411640 1182586476 /nfs/dbraw/zinc/58/64/76/1182586476.db2.gz AEOWQKNWNZUSAF-MNOVXSKESA-N 0 1 316.214 3.346 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H](C3CCCC3)C2)c1C#N ZINC001460266879 1182670146 /nfs/dbraw/zinc/67/01/46/1182670146.db2.gz TXYUQZOTTPMKFO-OAHLLOKOSA-N 0 1 312.413 3.357 20 30 DGEDMN C=CCN(C[C@@H]1CC(c2ccc(Cl)cc2)=NO1)[C@@H](C)COC ZINC001460410115 1182686046 /nfs/dbraw/zinc/68/60/46/1182686046.db2.gz RVMBHJXSDKCBEQ-BBRMVZONSA-N 0 1 322.836 3.356 20 30 DGEDMN Cc1ccc2nc(NC(=O)[C@@H]3C[C@H]3c3cccc(C#N)c3)[nH]c2c1 ZINC001460464057 1182688841 /nfs/dbraw/zinc/68/88/41/1182688841.db2.gz WHZCPORMDOXZSW-LSDHHAIUSA-N 0 1 316.364 3.485 20 30 DGEDMN Cc1cc(CN2CCC(Nc3ccccc3C#N)CC2)sn1 ZINC001460536890 1182695118 /nfs/dbraw/zinc/69/51/18/1182695118.db2.gz ANSLBWZYYCSODY-UHFFFAOYSA-N 0 1 312.442 3.400 20 30 DGEDMN C#CCN(CC(=O)NC[C@@H](c1ccccc1)C(C)C)C(C)(C)C ZINC001460808606 1182724545 /nfs/dbraw/zinc/72/45/45/1182724545.db2.gz TVYLXHWUPDGRMK-GOSISDBHSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCC[C@@H]1CCCN1Cc1nc2cc(C(=O)OC)ccc2[nH]1 ZINC001460885286 1182735290 /nfs/dbraw/zinc/73/52/90/1182735290.db2.gz DJFYLSWXQUGWAS-CQSZACIVSA-N 0 1 313.401 3.280 20 30 DGEDMN COC[C@@H](NCc1ccc(OC)c(C#N)c1)c1ccc(F)cc1 ZINC001461251869 1182786015 /nfs/dbraw/zinc/78/60/15/1182786015.db2.gz JMVVTQOSSBDNPH-QGZVFWFLSA-N 0 1 314.360 3.183 20 30 DGEDMN C#C[C@@H](NCc1cc(Br)c(C)s1)[C@@H]1CCCO1 ZINC001461445949 1182815977 /nfs/dbraw/zinc/81/59/77/1182815977.db2.gz XJFFTNQZGUWFQQ-OLZOCXBDSA-N 0 1 314.248 3.089 20 30 DGEDMN C#CCn1ccc(CN(CC)CCCc2ccc(F)c(F)c2)n1 ZINC001462190800 1182921017 /nfs/dbraw/zinc/92/10/17/1182921017.db2.gz LDVMADLDFIHDNV-UHFFFAOYSA-N 0 1 317.383 3.249 20 30 DGEDMN C[N@@H+](CC1(c2ccccc2)CC1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001606096089 1193190643 /nfs/dbraw/zinc/19/06/43/1193190643.db2.gz ORWMLPXVANVPIV-SFHVURJKSA-N 0 1 320.392 3.348 20 30 DGEDMN N#Cc1cccc(Oc2ccc(NC(=O)Nc3c[nH]nn3)cc2)c1 ZINC001465610008 1183160309 /nfs/dbraw/zinc/16/03/09/1183160309.db2.gz NPCNGBYUQKTPSZ-UHFFFAOYSA-N 0 1 320.312 3.113 20 30 DGEDMN N#Cc1cc(NC(=O)Nc2c[nH]nn2)ccc1Oc1ccccc1 ZINC001466277753 1183195846 /nfs/dbraw/zinc/19/58/46/1183195846.db2.gz SHVHFOOZBXOGNK-UHFFFAOYSA-N 0 1 320.312 3.113 20 30 DGEDMN N#CCSc1cccc(C(=O)Nc2n[nH]c3cc(O)ccc32)c1 ZINC001468310059 1183324190 /nfs/dbraw/zinc/32/41/90/1183324190.db2.gz FZTNTXFTTNFEQX-UHFFFAOYSA-N 0 1 324.365 3.136 20 30 DGEDMN Cc1nc(C#N)cc(N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)n1 ZINC001472842842 1183689874 /nfs/dbraw/zinc/68/98/74/1183689874.db2.gz COGHLUVBDPMVLQ-QMMMGPOBSA-N 0 1 312.764 3.360 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H]1CCC[C@@]2(CCOC2)O1 ZINC001473203565 1183729314 /nfs/dbraw/zinc/72/93/14/1183729314.db2.gz FRSIVQIMFOTUOB-MSOLQXFVSA-N 0 1 308.466 3.366 20 30 DGEDMN CCCCCCCCCCN1CCN(c2nccnn2)CC1 ZINC001473667119 1183788543 /nfs/dbraw/zinc/78/85/43/1183788543.db2.gz WRJVHEDJJNQPIM-UHFFFAOYSA-N 0 1 305.470 3.134 20 30 DGEDMN Cc1c(Cl)ccc2c1CN(C[C@@H](O)CC1(C#N)CCC1)CC2 ZINC001473738218 1183795375 /nfs/dbraw/zinc/79/53/75/1183795375.db2.gz YBNDSCVRJHABPN-HNNXBMFYSA-N 0 1 318.848 3.451 20 30 DGEDMN Fc1ccc(C#CCN[C@@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001473748476 1183796499 /nfs/dbraw/zinc/79/64/99/1183796499.db2.gz ZEEOWESTIZAGLM-FQEVSTJZSA-N 0 1 319.383 3.405 20 30 DGEDMN CCCCCCCCCCN1C[C@H]2CS(=O)(=O)C[C@@]2(C)C1 ZINC001473857653 1183813418 /nfs/dbraw/zinc/81/34/18/1183813418.db2.gz AFSPWWQMGCVBPJ-DLBZAZTESA-N 0 1 315.523 3.494 20 30 DGEDMN C#Cc1cccc(CNCc2cn(-c3ccccc3)nc2C)c1 ZINC001474180236 1183878848 /nfs/dbraw/zinc/87/88/48/1183878848.db2.gz LEKHIUZOQGNJFK-UHFFFAOYSA-N 0 1 301.393 3.452 20 30 DGEDMN C=CCCC(C)(C)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC001475526774 1184008494 /nfs/dbraw/zinc/00/84/94/1184008494.db2.gz RNDDKPGMLOTWIT-UHFFFAOYSA-N 0 1 307.337 3.127 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1CCc1ccccc1F ZINC001479239065 1184214456 /nfs/dbraw/zinc/21/44/56/1184214456.db2.gz OMJMDKBFYVAOQF-INIZCTEOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C/C(C)(C)C)C1 ZINC001479421283 1184250902 /nfs/dbraw/zinc/25/09/02/1184250902.db2.gz POIHSWBINWLNCG-AAIOHFERSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@@H]2CCC[N@@H+](CCF)[C@@H]2C1(C)C ZINC001479610623 1184275049 /nfs/dbraw/zinc/27/50/49/1184275049.db2.gz CUGQIVCDERBXDU-IMJJTQAJSA-N 0 1 310.457 3.163 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@@H]2CCCN(CCF)[C@@H]2C1(C)C ZINC001479610623 1184275058 /nfs/dbraw/zinc/27/50/58/1184275058.db2.gz CUGQIVCDERBXDU-IMJJTQAJSA-N 0 1 310.457 3.163 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CCCF)C1 ZINC001479627645 1184278468 /nfs/dbraw/zinc/27/84/68/1184278468.db2.gz YCKAOZVRBBMGLK-IAGOWNOFSA-N 0 1 322.399 3.036 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001479736754 1184308565 /nfs/dbraw/zinc/30/85/65/1184308565.db2.gz RSIOOQMDVQFXRN-RDGPPVDQSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CC[N@H+]1CCC2(CN(C(=O)[C@H]3CCC[C@H]3c3ccccc3)C2)C1 ZINC001479758401 1184314619 /nfs/dbraw/zinc/31/46/19/1184314619.db2.gz HFFZIDOBDCBDKA-OALUTQOASA-N 0 1 324.468 3.291 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2scnc2C)CCC1 ZINC001479794850 1184327987 /nfs/dbraw/zinc/32/79/87/1184327987.db2.gz XRJRPFYVQYBRHE-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C[C@H](C(=O)NCCCN(CC#Cc1ccccc1)C1CC1)C1CC1 ZINC001479867384 1184356449 /nfs/dbraw/zinc/35/64/49/1184356449.db2.gz MXCUMOZAWLAZPQ-KRWDZBQOSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCC(=O)N(C)C1CCN(Cc2ccccc2F)CC1 ZINC001479882943 1184364213 /nfs/dbraw/zinc/36/42/13/1184364213.db2.gz KJTKXCNBEXYWTP-UHFFFAOYSA-N 0 1 304.409 3.215 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](Cc3ccccc3)C2)cc1 ZINC001608199579 1193282518 /nfs/dbraw/zinc/28/25/18/1193282518.db2.gz NFZWCVYZMUUEQZ-MJGOQNOKSA-N 0 1 320.392 3.249 20 30 DGEDMN C=CCCC(=O)NC[C@H](N[C@@H](C)c1c(F)cccc1F)C1CC1 ZINC001480010091 1184409146 /nfs/dbraw/zinc/40/91/46/1184409146.db2.gz VRVTWUNLGOGHBJ-LRDDRELGSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CCCC(=O)NC[C@@H](N[C@@H](C)c1c(F)cccc1F)C1CC1 ZINC001480010090 1184409945 /nfs/dbraw/zinc/40/99/45/1184409945.db2.gz VRVTWUNLGOGHBJ-BLLLJJGKSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001480179840 1184454000 /nfs/dbraw/zinc/45/40/00/1184454000.db2.gz PZGCDOXYWYVLBT-GOOCMWNKSA-N 0 1 310.869 3.453 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001480293565 1184482380 /nfs/dbraw/zinc/48/23/80/1184482380.db2.gz REDPMZLCUBLPHO-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](CCCC)C(C)C ZINC001480329751 1184495819 /nfs/dbraw/zinc/49/58/19/1184495819.db2.gz CONIXJLPHMLGAI-ROUUACIJSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001480382875 1184516913 /nfs/dbraw/zinc/51/69/13/1184516913.db2.gz LQGOTVUZABNTLP-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001480403009 1184522483 /nfs/dbraw/zinc/52/24/83/1184522483.db2.gz MXOXRHQIFMODTO-FHERZECASA-N 0 1 319.449 3.417 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1cccc(F)c1Cl ZINC001480445513 1184531873 /nfs/dbraw/zinc/53/18/73/1184531873.db2.gz CMNYCFOVMBLFPY-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)c2ccccc2n1 ZINC001480488359 1184536361 /nfs/dbraw/zinc/53/63/61/1184536361.db2.gz IYVNQIRTXJPJCW-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@@H](C)NCc1ccccn1)c1ccccc1 ZINC001480595946 1184554914 /nfs/dbraw/zinc/55/49/14/1184554914.db2.gz RXAKTNQSCSAQGF-APWZRJJASA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(CC)c(CC)c1 ZINC001480608820 1184558904 /nfs/dbraw/zinc/55/89/04/1184558904.db2.gz UEBRIXCBBBKPEA-ZDUSSCGKSA-N 0 1 308.853 3.272 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001493163429 1184662292 /nfs/dbraw/zinc/66/22/92/1184662292.db2.gz VNDYAZDDQXGKCL-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001493709338 1184728677 /nfs/dbraw/zinc/72/86/77/1184728677.db2.gz PEZWVYKUSJBENA-UHFFFAOYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)Cc1occc1C)C(C)C ZINC001493869492 1184754175 /nfs/dbraw/zinc/75/41/75/1184754175.db2.gz AWWUTZSMIRUDET-UHFFFAOYSA-N 0 1 312.841 3.100 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1CC1)c1ccc(C(C)C)cc1 ZINC001493879125 1184757202 /nfs/dbraw/zinc/75/72/02/1184757202.db2.gz PAWDJOVVRAQFSU-LJQANCHMSA-N 0 1 312.457 3.380 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001493888481 1184758176 /nfs/dbraw/zinc/75/81/76/1184758176.db2.gz IHSDIPNXSVHSKM-QZTJIDSGSA-N 0 1 310.441 3.037 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001493888483 1184759382 /nfs/dbraw/zinc/75/93/82/1184759382.db2.gz IHSDIPNXSVHSKM-ZWKOTPCHSA-N 0 1 310.441 3.037 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccoc1C(C)C)c1ccccc1 ZINC001493904978 1184762504 /nfs/dbraw/zinc/76/25/04/1184762504.db2.gz MDKWAIWHHNFWKY-GOSISDBHSA-N 0 1 324.424 3.487 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC001493998232 1184788091 /nfs/dbraw/zinc/78/80/91/1184788091.db2.gz VGCABRHHVRHCPL-CQSZACIVSA-N 0 1 321.852 3.029 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001494320358 1184854093 /nfs/dbraw/zinc/85/40/93/1184854093.db2.gz WXWKGEGQRQASMW-AWEZNQCLSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001494455607 1184871328 /nfs/dbraw/zinc/87/13/28/1184871328.db2.gz IUMJJBKJTUPSQA-NSHDSACASA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(c3ccccc3F)CC2)C1 ZINC001494476553 1184874356 /nfs/dbraw/zinc/87/43/56/1184874356.db2.gz MRBHGIXWIKZGLQ-CQSZACIVSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001494483469 1184874909 /nfs/dbraw/zinc/87/49/09/1184874909.db2.gz PZFKSWGJSRJHGY-MRXNPFEDSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001494486637 1184875920 /nfs/dbraw/zinc/87/59/20/1184875920.db2.gz KPICOYXIJSZWGS-KMYSJOAASA-N 0 1 316.489 3.358 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001494509650 1184878085 /nfs/dbraw/zinc/87/80/85/1184878085.db2.gz WFPGOFCZXJNFQB-IRXDYDNUSA-N 0 1 314.473 3.329 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001494598176 1184892108 /nfs/dbraw/zinc/89/21/08/1184892108.db2.gz CSZZKGMTLFZVCZ-GOSISDBHSA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCN1CC(CNC(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001494594285 1184892813 /nfs/dbraw/zinc/89/28/13/1184892813.db2.gz QAKZEZHQVZMBHU-QGZVFWFLSA-N 0 1 300.446 3.113 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001494875875 1184951079 /nfs/dbraw/zinc/95/10/79/1184951079.db2.gz LAQAQFLFYMSYPN-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CCCCC(=O)N(CC)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001494881598 1184954785 /nfs/dbraw/zinc/95/47/85/1184954785.db2.gz XNZSVMHZIUPSBJ-SFHVURJKSA-N 0 1 313.445 3.171 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](F)CC2CCCCC2)C1 ZINC001494920553 1184962342 /nfs/dbraw/zinc/96/23/42/1184962342.db2.gz YHCXHWKHGSJYLG-DLBZAZTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=C[C@H](CC(=O)N(CC)[C@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001494924147 1184964116 /nfs/dbraw/zinc/96/41/16/1184964116.db2.gz ILLGNBFTOCMLBN-QUCCMNQESA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(Cl)c(C)c1 ZINC001495050228 1184987861 /nfs/dbraw/zinc/98/78/61/1184987861.db2.gz NIBMXILKOWMHJP-ZDUSSCGKSA-N 0 1 315.244 3.499 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NCc1nc(C)cs1 ZINC001495062981 1184990812 /nfs/dbraw/zinc/99/08/12/1184990812.db2.gz FTOGXNAHWXXQRL-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)Cc1ccccc1C ZINC001495117078 1184999545 /nfs/dbraw/zinc/99/95/45/1184999545.db2.gz KBCQRXUVSAOGKN-PBHICJAKSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](NCc2ncc(C3CC3)o2)CC1 ZINC001495446603 1185054815 /nfs/dbraw/zinc/05/48/15/1185054815.db2.gz SIZQCGLKUDLUHQ-SHTZXODSSA-N 0 1 317.433 3.035 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](CC)SC)CC1 ZINC001495512440 1185066764 /nfs/dbraw/zinc/06/67/64/1185066764.db2.gz MMMMTGGUAPYFPQ-CQSZACIVSA-N 0 1 318.914 3.099 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C2CCCCCC2)C1 ZINC001495830401 1185107103 /nfs/dbraw/zinc/10/71/03/1185107103.db2.gz JRFSOIORXJSBST-SJLPKXTDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001496136699 1185138396 /nfs/dbraw/zinc/13/83/96/1185138396.db2.gz HALHZGPXPNLZNX-DDITZMBXSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H](C)C1CCC1 ZINC001496275054 1185157845 /nfs/dbraw/zinc/15/78/45/1185157845.db2.gz MIVSJIHCYFUFOO-UKRRQHHQSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H](C)C1CCC1 ZINC001496275055 1185158840 /nfs/dbraw/zinc/15/88/40/1185158840.db2.gz MIVSJIHCYFUFOO-ZFWWWQNUSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncoc2C(C)C)[C@H](C)C1 ZINC001496336303 1185170568 /nfs/dbraw/zinc/17/05/68/1185170568.db2.gz YHPWTXKIAWZPLO-HUUCEWRRSA-N 0 1 319.449 3.091 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1ccc(-c2ccc(C(=O)[O-])cc2)o1 ZINC001609371626 1193335643 /nfs/dbraw/zinc/33/56/43/1193335643.db2.gz NLDOKOOESZCITD-UHFFFAOYSA-N 0 1 315.369 3.279 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1cc(C(=O)[O-])c(CC)o1 ZINC001609371385 1193335663 /nfs/dbraw/zinc/33/56/63/1193335663.db2.gz AYCACIYYPIXNSK-UHFFFAOYSA-N 0 1 303.358 3.364 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CC(C)(F)F)CC1 ZINC001496508545 1185203640 /nfs/dbraw/zinc/20/36/40/1185203640.db2.gz HYKWPQNCQCALRW-UHFFFAOYSA-N 0 1 322.827 3.345 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)/C=C\C(C)(C)C ZINC001497361619 1185321635 /nfs/dbraw/zinc/32/16/35/1185321635.db2.gz HVKOKIFPLFOABC-YPKPFQOOSA-N 0 1 312.457 3.079 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1ccc(F)cc1F ZINC001497589935 1185359892 /nfs/dbraw/zinc/35/98/92/1185359892.db2.gz MMHHAJPSJFSMIV-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN CCCC(C)(C)C(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001497670150 1185373202 /nfs/dbraw/zinc/37/32/02/1185373202.db2.gz RADYNQAORQHBQC-WAYWQWQTSA-N 0 1 319.474 3.208 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001497715834 1185381040 /nfs/dbraw/zinc/38/10/40/1185381040.db2.gz WIDRFFKLDHHRKI-YEPZWPJTSA-N 0 1 312.885 3.463 20 30 DGEDMN C[C@H](C(=O)NC/C=C\CNCC#Cc1ccccc1)C1CCCC1 ZINC001497721430 1185381774 /nfs/dbraw/zinc/38/17/74/1185381774.db2.gz FUHMXSHWGQBNKS-ZEVQVBBLSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001497883843 1185405656 /nfs/dbraw/zinc/40/56/56/1185405656.db2.gz MXMVAXJEVBYITD-CHWSQXEVSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001497949156 1185413417 /nfs/dbraw/zinc/41/34/17/1185413417.db2.gz TWAZYFWOWIEBEC-RBSFLKMASA-N 0 1 300.874 3.296 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CNCc2cc(C)no2)CCCCC1 ZINC001498133999 1185439676 /nfs/dbraw/zinc/43/96/76/1185439676.db2.gz LVZLNSFRROPZPL-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC001498242328 1185455394 /nfs/dbraw/zinc/45/53/94/1185455394.db2.gz ZUXMYXHFHBHUBT-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001498295553 1185463850 /nfs/dbraw/zinc/46/38/50/1185463850.db2.gz UJUBFSQHBSBCGO-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001498396065 1185487032 /nfs/dbraw/zinc/48/70/32/1185487032.db2.gz ONGAJOXNHBKNMJ-CQSZACIVSA-N 0 1 320.864 3.077 20 30 DGEDMN CC(C(=O)N[C@H](CNCc1ccccc1C#N)C1CC1)=C1CCC1 ZINC001498757496 1185541941 /nfs/dbraw/zinc/54/19/41/1185541941.db2.gz BYCPVEPZDMESHZ-LJQANCHMSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1ccoc1Cl ZINC001498968895 1185582821 /nfs/dbraw/zinc/58/28/21/1185582821.db2.gz USGLYFAOABJSQW-CYBMUJFWSA-N 0 1 310.825 3.484 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3cnccc32)C1 ZINC001499792711 1185696403 /nfs/dbraw/zinc/69/64/03/1185696403.db2.gz UBWBXMQQPXKHFH-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC001500018722 1185732201 /nfs/dbraw/zinc/73/22/01/1185732201.db2.gz NSSPNRUGXSAIIH-OAHLLOKOSA-N 0 1 316.420 3.223 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C)o1)C1CCCC1 ZINC001500066724 1185737773 /nfs/dbraw/zinc/73/77/73/1185737773.db2.gz AZKQNNZODVHMFF-AWEZNQCLSA-N 0 1 310.825 3.219 20 30 DGEDMN C[C@@]1(CNC(=O)CC2CC2)CCCN(CC#Cc2ccccc2)C1 ZINC001500470265 1185798235 /nfs/dbraw/zinc/79/82/35/1185798235.db2.gz YWICQUYPOVNNTN-NRFANRHFSA-N 0 1 324.468 3.057 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001500518769 1185813370 /nfs/dbraw/zinc/81/33/70/1185813370.db2.gz GBJPEUBHYOMFLK-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001500546598 1185819177 /nfs/dbraw/zinc/81/91/77/1185819177.db2.gz DADHVYFMPPIMPM-OAQYLSRUSA-N 0 1 322.452 3.111 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CC=C)c1ccccc1 ZINC001501408436 1185901471 /nfs/dbraw/zinc/90/14/71/1185901471.db2.gz WXQFMSYZNWUONG-VAMGGRTRSA-N 0 1 322.452 3.045 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1CC2(CCC2)C1 ZINC001501884235 1185935173 /nfs/dbraw/zinc/93/51/73/1185935173.db2.gz GWWKDVGIDPVTSN-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CCCCC ZINC001502521265 1185991025 /nfs/dbraw/zinc/99/10/25/1185991025.db2.gz AMTKNLGJAHQJDI-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CCCCC ZINC001502521267 1185991067 /nfs/dbraw/zinc/99/10/67/1185991067.db2.gz AMTKNLGJAHQJDI-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2oc(C)nc2C)[C@@H](C)C1 ZINC001502970485 1186033004 /nfs/dbraw/zinc/03/30/04/1186033004.db2.gz RWBKZGOCPQGNJX-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN Cc1ccc([C@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])cc1C ZINC001610495291 1193393105 /nfs/dbraw/zinc/39/31/05/1193393105.db2.gz OFCGAZWXSRJGDO-HNNXBMFYSA-N 0 1 300.383 3.152 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)C(C)C ZINC001503690016 1186050930 /nfs/dbraw/zinc/05/09/30/1186050930.db2.gz CUWAPPHWCYPFFW-QFBILLFUSA-N 0 1 312.457 3.017 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1c2ccccc2CCN1CCCF ZINC001503677128 1186051404 /nfs/dbraw/zinc/05/14/04/1186051404.db2.gz DAQYPXIFWISYER-KRWDZBQOSA-N 0 1 318.436 3.274 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(CC(C)C)CCC1 ZINC001505652637 1186118526 /nfs/dbraw/zinc/11/85/26/1186118526.db2.gz LESHNUVTOIHKST-ZIAGYGMSSA-N 0 1 300.874 3.438 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001505697912 1186126968 /nfs/dbraw/zinc/12/69/68/1186126968.db2.gz MZYGYHHSEYHXNC-VXGBXAGGSA-N 0 1 323.506 3.345 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@H](c3ccccc3F)C2)cccc1C(=O)[O-] ZINC001610623061 1193401472 /nfs/dbraw/zinc/40/14/72/1193401472.db2.gz ISRJNJTXBCRISB-AWEZNQCLSA-N 0 1 324.355 3.385 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001505994749 1186178322 /nfs/dbraw/zinc/17/83/22/1186178322.db2.gz KBGTUARKUUCVNT-YVEFUNNKSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CCC)CC1CCCC1 ZINC001506013285 1186182288 /nfs/dbraw/zinc/18/22/88/1186182288.db2.gz WYUKGBDYHJGVFO-SJLPKXTDSA-N 0 1 306.494 3.443 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001506598208 1186259272 /nfs/dbraw/zinc/25/92/72/1186259272.db2.gz LWUINJXDMRFCFC-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001506667312 1186271237 /nfs/dbraw/zinc/27/12/37/1186271237.db2.gz VZUKSDWZXIPQAO-INIZCTEOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(Cc2cccnc2)CC1(C)C ZINC001506746958 1186286372 /nfs/dbraw/zinc/28/63/72/1186286372.db2.gz BWUSYZZSYIYIBY-NVXWUHKLSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](CC)c2ccc(F)cc2)C(C)(C)C1 ZINC001506751774 1186288268 /nfs/dbraw/zinc/28/82/68/1186288268.db2.gz DWGSDAHLEBYXNI-IAGOWNOFSA-N 0 1 318.436 3.332 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H]1CN(CC=C)CC1(C)C)c1ccccc1 ZINC001506754232 1186288785 /nfs/dbraw/zinc/28/87/85/1186288785.db2.gz WBYAXMNTSFRWTK-ROUUACIJSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H]1CN(CC=C)CC1(C)C)c1ccccc1 ZINC001506754223 1186289886 /nfs/dbraw/zinc/28/98/86/1186289886.db2.gz WBYAXMNTSFRWTK-MSOLQXFVSA-N 0 1 312.457 3.359 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCCNCc2cscn2)CC1 ZINC001506941566 1186318862 /nfs/dbraw/zinc/31/88/62/1186318862.db2.gz HVVIFMAWRDLOFC-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)cc(C)c1 ZINC001507032806 1186344158 /nfs/dbraw/zinc/34/41/58/1186344158.db2.gz AJMDOLIUPCPVOE-QGZVFWFLSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(CC)s1 ZINC001507097973 1186359410 /nfs/dbraw/zinc/35/94/10/1186359410.db2.gz IUHDYVXNXUDEMV-LLVKDONJSA-N 0 1 300.855 3.113 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1cccc(F)c1F ZINC001507110673 1186362946 /nfs/dbraw/zinc/36/29/46/1186362946.db2.gz OUJLUPXEBZUSHN-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1ccc(Cl)s1 ZINC001507137116 1186369779 /nfs/dbraw/zinc/36/97/79/1186369779.db2.gz ZBRUKBIGZYILNO-SNVBAGLBSA-N 0 1 321.273 3.133 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H]1CCc2ccccc21 ZINC001507139596 1186370024 /nfs/dbraw/zinc/37/00/24/1186370024.db2.gz XDCQLRQOSXECLA-ZBFHGGJFSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ncsc1C(C)C ZINC001507143799 1186370867 /nfs/dbraw/zinc/37/08/67/1186370867.db2.gz LGRNAQYURDTSGM-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2cnc(C)o2)CCCC1 ZINC001507164323 1186377590 /nfs/dbraw/zinc/37/75/90/1186377590.db2.gz LQEACCWGADJIQF-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001507908301 1186457796 /nfs/dbraw/zinc/45/77/96/1186457796.db2.gz UWDMZRKWKCJTNX-JJKQHFEOSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CN[C@H](C)c1cnccn1)C(C)(C)C ZINC001508002441 1186466703 /nfs/dbraw/zinc/46/67/03/1186466703.db2.gz AUGLTSFHELQXTJ-ZBFHGGJFSA-N 0 1 318.465 3.014 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001508139115 1186484232 /nfs/dbraw/zinc/48/42/32/1186484232.db2.gz MRBLJCJQLFAAKE-LUAWRHEFSA-N 0 1 319.276 3.487 20 30 DGEDMN C#CCN1CC=C(CNC(=O)CCC2CCCCCC2)CC1 ZINC001508216176 1186491134 /nfs/dbraw/zinc/49/11/34/1186491134.db2.gz VXKCCVPUOWCYAB-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CCC)CC(C)C)CC1 ZINC001508227838 1186491950 /nfs/dbraw/zinc/49/19/50/1186491950.db2.gz ANBWPEXGWNOYKD-SFHVURJKSA-N 0 1 304.478 3.220 20 30 DGEDMN C[C@@H](COCC(=O)Nc1cc(C#N)ccc1O)c1ccccc1 ZINC001546332514 1186654854 /nfs/dbraw/zinc/65/48/54/1186654854.db2.gz HTCOIDAXIQAILY-ZDUSSCGKSA-N 0 1 310.353 3.023 20 30 DGEDMN N#Cc1ccc(C(=O)OC[C@H]2CCCC[N@H+]2Cc2ccccc2)[nH]1 ZINC001514724437 1186724237 /nfs/dbraw/zinc/72/42/37/1186724237.db2.gz HYSXIYPTUVXIRH-QGZVFWFLSA-N 0 1 323.396 3.098 20 30 DGEDMN CC(=CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C(C)(C)C ZINC001611702092 1193440603 /nfs/dbraw/zinc/44/06/03/1193440603.db2.gz NWCJOXWPUQZWNZ-FLIBITNWSA-N 0 1 309.373 3.274 20 30 DGEDMN N#CC[C@@H](CC(=O)OCc1ccc2[nH]nnc2c1)c1ccccc1 ZINC001515129100 1186745117 /nfs/dbraw/zinc/74/51/17/1186745117.db2.gz BVOOCBIXKOFIHO-HNNXBMFYSA-N 0 1 320.352 3.089 20 30 DGEDMN N#CC[C@@H](CC(=O)OCc1ccc2nn[nH]c2c1)c1ccccc1 ZINC001515129100 1186745121 /nfs/dbraw/zinc/74/51/21/1186745121.db2.gz BVOOCBIXKOFIHO-HNNXBMFYSA-N 0 1 320.352 3.089 20 30 DGEDMN Cc1ccc2nc(CNc3nc4ncccc4cc3C#N)[nH]c2c1 ZINC001612058479 1193445860 /nfs/dbraw/zinc/44/58/60/1193445860.db2.gz YJTPTJBTNFQGSQ-UHFFFAOYSA-N 0 1 314.352 3.298 20 30 DGEDMN CCN1CCN(c2cc(C(F)(F)F)c(F)cc2C#N)C[C@@H]1C ZINC001612145570 1193447541 /nfs/dbraw/zinc/44/75/41/1193447541.db2.gz SWPPJMNCEWFFHU-JTQLQIEISA-N 0 1 315.314 3.247 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1cn(CC)c2ccccc12 ZINC001520037181 1186912556 /nfs/dbraw/zinc/91/25/56/1186912556.db2.gz VMBTZGPPXPFXAN-MRXNPFEDSA-N 0 1 320.396 3.297 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(Cc2ccc(F)cc2)CC1 ZINC001520037205 1186912624 /nfs/dbraw/zinc/91/26/24/1186912624.db2.gz VSSQPPFZZMKMRG-HNNXBMFYSA-N 0 1 311.360 3.241 20 30 DGEDMN C=CC1(CC(=O)Nc2ccc3[nH]c(CN)nc3c2)CCCCC1 ZINC001523462731 1187164505 /nfs/dbraw/zinc/16/45/05/1187164505.db2.gz DZTLOBLRDPDQIZ-UHFFFAOYSA-N 0 1 312.417 3.487 20 30 DGEDMN N#CC(C(=O)c1ccnn1C1CCC1)c1nc2ccccc2o1 ZINC001524256593 1187228785 /nfs/dbraw/zinc/22/87/85/1187228785.db2.gz LYCLJHUAPVPCCJ-GFCCVEGCSA-N 0 1 306.325 3.239 20 30 DGEDMN C#CCN1CCC(OC(=O)c2ccsc2C(F)(F)F)CC1 ZINC001526630911 1187380011 /nfs/dbraw/zinc/38/00/11/1187380011.db2.gz WYNVFDCVYUAXLC-UHFFFAOYSA-N 0 1 317.332 3.021 20 30 DGEDMN C=CC1(CC(=O)N2CCN(C(C)(C)COC)CC2)CCCCC1 ZINC001529534913 1187565237 /nfs/dbraw/zinc/56/52/37/1187565237.db2.gz WAINNTVKVKJIEC-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN COc1ccc(CCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001534352487 1187892518 /nfs/dbraw/zinc/89/25/18/1187892518.db2.gz BJMHQJGLQCGTNF-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@@H]3OCc4ccccc43)[nH]c2c1 ZINC001534357727 1187892894 /nfs/dbraw/zinc/89/28/94/1187892894.db2.gz HZCSWOVVWNPDMJ-INIZCTEOSA-N 0 1 318.336 3.035 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCCc3ccsc3)[nH]c2c1 ZINC001534359416 1187893560 /nfs/dbraw/zinc/89/35/60/1187893560.db2.gz ZMSAWMOHDBBQHV-UHFFFAOYSA-N 0 1 310.382 3.457 20 30 DGEDMN C=CC1(CC(=O)N2CCN(CCOC)CC2(C)C)CCCCC1 ZINC001537946394 1188109893 /nfs/dbraw/zinc/10/98/93/1188109893.db2.gz LKFUPNJDMMELNL-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)C#CC(C)C)c1ccccc1Cl ZINC001540580005 1188246648 /nfs/dbraw/zinc/24/66/48/1188246648.db2.gz JJQNKYPMVUIHOY-QGZVFWFLSA-N 0 1 320.864 3.499 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1ccc(F)c(O)c1 ZINC001542326320 1188282310 /nfs/dbraw/zinc/28/23/10/1188282310.db2.gz AGRFMQZJUYCOGA-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3cc(F)c(C#N)c(F)c3)cc2[nH]1 ZINC001545563632 1188433669 /nfs/dbraw/zinc/43/36/69/1188433669.db2.gz YISFHXPRZQHHTF-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C#CCNC(=O)CN(C)[C@@H](c1ccc(Cl)cc1)C(CC)CC ZINC001617653603 1193576641 /nfs/dbraw/zinc/57/66/41/1193576641.db2.gz GCUAZTFHRKGPEA-GOSISDBHSA-N 0 1 320.864 3.499 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)CC[C@@H](C)c1ccccc1 ZINC001565828881 1188980569 /nfs/dbraw/zinc/98/05/69/1188980569.db2.gz SVAPNHOGDKGKHF-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC[C@@H](C)c1ccccc1 ZINC001565828881 1188980572 /nfs/dbraw/zinc/98/05/72/1188980572.db2.gz SVAPNHOGDKGKHF-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1ccc(OCC(C)C)cc1 ZINC001556466197 1189002227 /nfs/dbraw/zinc/00/22/27/1189002227.db2.gz MUZKOFKHSPVNOA-UHFFFAOYSA-N 0 1 318.461 3.301 20 30 DGEDMN COc1ccc(NC(=O)c2cc(-c3ccc(C)o3)n[nH]2)cc1C#N ZINC001558011458 1189098610 /nfs/dbraw/zinc/09/86/10/1189098610.db2.gz JKKUTIHKXDVZDD-UHFFFAOYSA-N 0 1 322.324 3.111 20 30 DGEDMN Cc1cc(C)c(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)s1 ZINC001559046727 1189165448 /nfs/dbraw/zinc/16/54/48/1189165448.db2.gz QMWSUKAICPGJRA-UHFFFAOYSA-N 0 1 323.381 3.274 20 30 DGEDMN CC(C)(C)CCN1CCN(c2c(C#N)cccc2[N+](=O)[O-])CC1 ZINC001559077539 1189168522 /nfs/dbraw/zinc/16/85/22/1189168522.db2.gz WSDHTDOFBOLUDU-UHFFFAOYSA-N 0 1 316.405 3.025 20 30 DGEDMN C=CC1CCN([C@H](CCc2ccccc2)C(=O)OCC)CC1 ZINC001559542363 1189214394 /nfs/dbraw/zinc/21/43/94/1189214394.db2.gz TTZVNOPLBTYNLG-GOSISDBHSA-N 0 1 301.430 3.449 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1=O ZINC001559851277 1189249737 /nfs/dbraw/zinc/24/97/37/1189249737.db2.gz GAEYQISKAGBTDU-CZUORRHYSA-N 0 1 324.346 3.202 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H]1CCN(c2ccccc2C(F)(F)F)C1=O ZINC001559851275 1189250256 /nfs/dbraw/zinc/25/02/56/1189250256.db2.gz GAEYQISKAGBTDU-BBRMVZONSA-N 0 1 324.346 3.202 20 30 DGEDMN C#CC1CCN(CC(=O)N[C@@H](CC)c2ccc(Cl)cc2)CC1 ZINC001559938759 1189258754 /nfs/dbraw/zinc/25/87/54/1189258754.db2.gz WDCMJABVAONOTG-KRWDZBQOSA-N 0 1 318.848 3.253 20 30 DGEDMN CC1(C)C[C@H](O)CN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC001560074408 1189274720 /nfs/dbraw/zinc/27/47/20/1189274720.db2.gz SXSWDEKKRDATFW-HNNXBMFYSA-N 0 1 311.347 3.150 20 30 DGEDMN N#Cc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)cc1F ZINC001560098407 1189278207 /nfs/dbraw/zinc/27/82/07/1189278207.db2.gz HMXWWCRUIBJELG-IBGZPJMESA-N 0 1 320.371 3.425 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](c2ccccc2OC)CC1 ZINC001560094898 1189278647 /nfs/dbraw/zinc/27/86/47/1189278647.db2.gz SQBDTPYCJLIFIE-RDJZCZTQSA-N 0 1 317.429 3.382 20 30 DGEDMN C#CC[C@@H]1CCN([C@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC001560136544 1189282900 /nfs/dbraw/zinc/28/29/00/1189282900.db2.gz WUQDZUCPCXAAPR-PBHICJAKSA-N 0 1 316.832 3.181 20 30 DGEDMN CC1(C)SC[C@@H]1NCc1cc(C#N)ccc1Br ZINC001560450180 1189329451 /nfs/dbraw/zinc/32/94/51/1189329451.db2.gz UQPVKUVRTMTMLU-LBPRGKRZSA-N 0 1 311.248 3.304 20 30 DGEDMN N#CCc1ccc(CNCc2cccc3c2OC(F)(F)O3)cc1 ZINC001560515483 1189335915 /nfs/dbraw/zinc/33/59/15/1189335915.db2.gz ZHCSDZRCCRHQQE-UHFFFAOYSA-N 0 1 316.307 3.364 20 30 DGEDMN CCN(C[C@H](C)C#N)CC(C)(C)c1ccc(OC)c(OC)c1 ZINC001560693374 1189362384 /nfs/dbraw/zinc/36/23/84/1189362384.db2.gz GIGRUGVFQWBYAD-CQSZACIVSA-N 0 1 304.434 3.463 20 30 DGEDMN C#C[C@H](N[C@H]1COC(C)(C)C1)c1ccc(Br)cc1 ZINC001560854713 1189395246 /nfs/dbraw/zinc/39/52/46/1189395246.db2.gz LNOQCSZNWMKAHU-KGLIPLIRSA-N 0 1 308.219 3.281 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001565825807 1189678991 /nfs/dbraw/zinc/67/89/91/1189678991.db2.gz ZMAKDTIABSLVMF-AEFFLSMTSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc(Cl)c(F)c1 ZINC001565827802 1189680163 /nfs/dbraw/zinc/68/01/63/1189680163.db2.gz GXJNZBPCXHBNFZ-CQSZACIVSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001565828955 1189680431 /nfs/dbraw/zinc/68/04/31/1189680431.db2.gz VACSWHHPAZGEAS-GGPKGHCWSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[C@@H](N[C@H](C)c2ncc(C)o2)C1 ZINC001565896709 1189701059 /nfs/dbraw/zinc/70/10/59/1189701059.db2.gz NUWQDGSNBGTNQD-OWCLPIDISA-N 0 1 319.449 3.275 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CC[C@@H](N[C@@H](C)c2ncc(C)o2)C1 ZINC001565907582 1189702190 /nfs/dbraw/zinc/70/21/90/1189702190.db2.gz ODVGYMZZFCYULQ-HRCADAONSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001566101841 1189733890 /nfs/dbraw/zinc/73/38/90/1189733890.db2.gz CLDKCFFZPMYBDP-GJYPPUQNSA-N 0 1 315.461 3.071 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC001566425454 1189769821 /nfs/dbraw/zinc/76/98/21/1189769821.db2.gz QSFBKNWDYXRWQI-UHFFFAOYSA-N 0 1 315.283 3.261 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1cc(Cl)ccc1F ZINC001566448669 1189772807 /nfs/dbraw/zinc/77/28/07/1189772807.db2.gz QGQGQVVFKQQSDJ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1oc(C)nc1C ZINC001566524398 1189790392 /nfs/dbraw/zinc/79/03/92/1189790392.db2.gz CHTXALCKCWBLCO-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001566558285 1189799739 /nfs/dbraw/zinc/79/97/39/1189799739.db2.gz QDDSUNSVYCJBCJ-MUJYYYPQSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2c(C)noc2C)CC1 ZINC001566611219 1189821056 /nfs/dbraw/zinc/82/10/56/1189821056.db2.gz AMSHCUDYXTZEIQ-UHFFFAOYSA-N 0 1 319.449 3.071 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@@H](C)C2CC2)CC1 ZINC001566694534 1189862315 /nfs/dbraw/zinc/86/23/15/1189862315.db2.gz IXNZYCYFXFMOEP-DOMZBBRYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(F)ccc1CC)C1CC1 ZINC001566715390 1189872369 /nfs/dbraw/zinc/87/23/69/1189872369.db2.gz WUGOAKCUEGTTHQ-INIZCTEOSA-N 0 1 324.827 3.239 20 30 DGEDMN CCCC[C@H](C)C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001566765039 1189894971 /nfs/dbraw/zinc/89/49/71/1189894971.db2.gz UUMPLUWHEHTCEA-HKUYNNGSSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001566848757 1189921838 /nfs/dbraw/zinc/92/18/38/1189921838.db2.gz XFQVOLMBZZZDEX-RWMBFGLXSA-N 0 1 322.399 3.475 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC001566918056 1189949507 /nfs/dbraw/zinc/94/95/07/1189949507.db2.gz JMXIAIIYACPEKH-QGZVFWFLSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001566988810 1189983025 /nfs/dbraw/zinc/98/30/25/1189983025.db2.gz PFHPVFKMLOJUBC-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001567038929 1190004151 /nfs/dbraw/zinc/00/41/51/1190004151.db2.gz AIYMNVHUICMRGF-UAGQMJEPSA-N 0 1 320.864 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)CC1CCCCC1 ZINC001567074468 1190021761 /nfs/dbraw/zinc/02/17/61/1190021761.db2.gz QCIHSQYXYYMGIU-OCCSQVGLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001567284110 1190111074 /nfs/dbraw/zinc/11/10/74/1190111074.db2.gz UUMVAVSTVRQHRB-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN CCCN(CCNC(=O)C#CC(C)(C)C)Cc1ccccc1F ZINC001567425462 1190185039 /nfs/dbraw/zinc/18/50/39/1190185039.db2.gz QDWBDBXNBULFRT-UHFFFAOYSA-N 0 1 318.436 3.203 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(Cc1cncc(C)c1)C1CC1 ZINC001567448815 1190199551 /nfs/dbraw/zinc/19/95/51/1190199551.db2.gz HZZNOLLVFYYSSG-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)C1CCC2(CC2)CC1 ZINC001567532040 1190214469 /nfs/dbraw/zinc/21/44/69/1190214469.db2.gz LVLFUMSPVARHFI-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1ccc(F)cc1F ZINC001567543062 1190215196 /nfs/dbraw/zinc/21/51/96/1190215196.db2.gz BUEPAIYLWWXHJT-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCNCc1cccc(F)c1F ZINC001567547277 1190216412 /nfs/dbraw/zinc/21/64/12/1190216412.db2.gz PWBJNXQMACWJNH-ZDUSSCGKSA-N 0 1 310.388 3.115 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)C1CCCC1)c1ccccc1 ZINC001567663831 1190242382 /nfs/dbraw/zinc/24/23/82/1190242382.db2.gz LOSPZPJOZWLENH-LPHOPBHVSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001567682134 1190250942 /nfs/dbraw/zinc/25/09/42/1190250942.db2.gz JKLRGCGOXAPQBT-ROUUACIJSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](F)c2ccccc2)C1 ZINC001567739490 1190281015 /nfs/dbraw/zinc/28/10/15/1190281015.db2.gz ZFQATSMVSQGLGG-ZBFHGGJFSA-N 0 1 324.827 3.230 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(C)cc2)C1 ZINC001567743308 1190282645 /nfs/dbraw/zinc/28/26/45/1190282645.db2.gz XEGAWIQWVXPBEG-HNNXBMFYSA-N 0 1 306.837 3.141 20 30 DGEDMN C#CCCCCCC(=O)NC1CN(CCC2CCCCC2)C1 ZINC001567802761 1190301386 /nfs/dbraw/zinc/30/13/86/1190301386.db2.gz SFAKGLVPSHAFSS-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCCCCCC(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001567836128 1190314395 /nfs/dbraw/zinc/31/43/95/1190314395.db2.gz WRDNTRYXRGOLFK-UHFFFAOYSA-N 0 1 312.457 3.127 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(C)cc1 ZINC001567857194 1190323468 /nfs/dbraw/zinc/32/34/68/1190323468.db2.gz VVMTXOUCFQYKCA-OALUTQOASA-N 0 1 312.457 3.179 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567911815 1190348261 /nfs/dbraw/zinc/34/82/61/1190348261.db2.gz RFMGZYNIZHGRBP-YJBOKZPZSA-N 0 1 313.445 3.027 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)CCCCCc2cccs2)C1 ZINC001567924977 1190355977 /nfs/dbraw/zinc/35/59/77/1190355977.db2.gz UWDKSYVATCTSRJ-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)[C@H](F)c2ccccc2)C1 ZINC001567943208 1190368088 /nfs/dbraw/zinc/36/80/88/1190368088.db2.gz ZNPGJMHXPWOKMY-DLBZAZTESA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2c(C)noc2C)CCC1 ZINC001567975210 1190383350 /nfs/dbraw/zinc/38/33/50/1190383350.db2.gz LZQDUADHBSNVKZ-OAHLLOKOSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001567985052 1190388165 /nfs/dbraw/zinc/38/81/65/1190388165.db2.gz YZLPAHBHCODBOG-QGZVFWFLSA-N 0 1 318.848 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001567990292 1190390572 /nfs/dbraw/zinc/39/05/72/1190390572.db2.gz DLOZKYGNGPGHFD-RHSMWYFYSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(CNCc2cnc(C)o2)CCCC1 ZINC001568000251 1190393352 /nfs/dbraw/zinc/39/33/52/1190393352.db2.gz KVBCMQIZRRAYFR-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2coc(C)c2)CC1 ZINC001568124520 1190429194 /nfs/dbraw/zinc/42/91/94/1190429194.db2.gz LTEOCVUAXNKTCN-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C/C=C\Cc1ccccc1)CC2 ZINC001568250280 1190462156 /nfs/dbraw/zinc/46/21/56/1190462156.db2.gz AOJBVNFTDGWPFZ-SREVYHEPSA-N 0 1 324.468 3.286 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCCCC1(F)F ZINC001568289069 1190474245 /nfs/dbraw/zinc/47/42/45/1190474245.db2.gz RECVZSPGQKDEGT-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccoc1CC ZINC001568327865 1190491392 /nfs/dbraw/zinc/49/13/92/1190491392.db2.gz QFMKIIRQJINWFF-ZDUSSCGKSA-N 0 1 312.841 3.329 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1Cc2ccccc21 ZINC001568333377 1190493902 /nfs/dbraw/zinc/49/39/02/1190493902.db2.gz APABLABUWCZPPF-NVXWUHKLSA-N 0 1 320.864 3.199 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccccc1F ZINC001568416535 1190515368 /nfs/dbraw/zinc/51/53/68/1190515368.db2.gz KLVORLZNSPCVMQ-BLLLJJGKSA-N 0 1 324.827 3.409 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001568443706 1190523300 /nfs/dbraw/zinc/52/33/00/1190523300.db2.gz HGNCUKYHZZUSMQ-PSSQXEACSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1ccc(F)c(Cl)c1 ZINC001568476418 1190536006 /nfs/dbraw/zinc/53/60/06/1190536006.db2.gz ZDNUCRLEXBIIRB-UHFFFAOYSA-N 0 1 312.816 3.336 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cccc(C(C)(C)C)c1 ZINC001568499509 1190549867 /nfs/dbraw/zinc/54/98/67/1190549867.db2.gz HKCNBOMKCNDOMA-UHFFFAOYSA-N 0 1 300.446 3.011 20 30 DGEDMN Cc1ccc(CNC/C=C/CNC(=O)C#CC(C)C)c(Cl)c1 ZINC001568846938 1190594460 /nfs/dbraw/zinc/59/44/60/1190594460.db2.gz JOSKCDJJSKRLCC-SNAWJCMRSA-N 0 1 318.848 3.070 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1cccc(F)c1F ZINC001568898719 1190597384 /nfs/dbraw/zinc/59/73/84/1190597384.db2.gz PEIDPLSXTIJNCT-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001569087867 1190618894 /nfs/dbraw/zinc/61/88/94/1190618894.db2.gz DMPRSWOFTHVLNY-ZFWWWQNUSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001569146893 1190641485 /nfs/dbraw/zinc/64/14/85/1190641485.db2.gz NJFYDKCGFLWPEG-HNNXBMFYSA-N 0 1 312.413 3.123 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)CC(C)(C)c1ccc(F)cc1 ZINC001569362288 1190676738 /nfs/dbraw/zinc/67/67/38/1190676738.db2.gz XRRKHVROKALWTD-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001569367384 1190678631 /nfs/dbraw/zinc/67/86/31/1190678631.db2.gz GERUIAOKXJFNPC-LJQANCHMSA-N 0 1 312.457 3.269 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001569367803 1190678635 /nfs/dbraw/zinc/67/86/35/1190678635.db2.gz OHYQXZTYXJVVGZ-INIZCTEOSA-N 0 1 320.502 3.474 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001569370149 1190679545 /nfs/dbraw/zinc/67/95/45/1190679545.db2.gz MNVOVOUZGIASAL-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001569373225 1190680806 /nfs/dbraw/zinc/68/08/06/1190680806.db2.gz LIFGHWOSAULIQD-OALUTQOASA-N 0 1 314.473 3.268 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001569378345 1190684750 /nfs/dbraw/zinc/68/47/50/1190684750.db2.gz PQOIGVGAQZSMGR-QAPCUYQASA-N 0 1 300.446 3.119 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1c(C)oc2ccccc21 ZINC001569387696 1190688919 /nfs/dbraw/zinc/68/89/19/1190688919.db2.gz SDTTURDXOWFEEM-HNNXBMFYSA-N 0 1 312.413 3.050 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccccc2)C1 ZINC001569494027 1190707906 /nfs/dbraw/zinc/70/79/06/1190707906.db2.gz NTWFHTYMJNKXFQ-UHFFFAOYSA-N 0 1 300.446 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2coc(C)n2)C[C@H]1C ZINC001569516902 1190712185 /nfs/dbraw/zinc/71/21/85/1190712185.db2.gz MFPUSWPPRIIZKF-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1cc(F)ccc1F ZINC001569553288 1190715944 /nfs/dbraw/zinc/71/59/44/1190715944.db2.gz QHAFJMFVAHAAFK-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc2ccccc2[nH]1 ZINC001569563761 1190717182 /nfs/dbraw/zinc/71/71/82/1190717182.db2.gz WAPVEHUOTSJYIH-AWEZNQCLSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@](C)(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001569670879 1190738578 /nfs/dbraw/zinc/73/85/78/1190738578.db2.gz BCSNBADKGDDDPX-YJBOKZPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2ccccn2)C1 ZINC001569716581 1190747139 /nfs/dbraw/zinc/74/71/39/1190747139.db2.gz YWIRYKQPPOQOAW-IBGZPJMESA-N 0 1 315.461 3.156 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2ccccn2)C1 ZINC001569716582 1190747267 /nfs/dbraw/zinc/74/72/67/1190747267.db2.gz YWIRYKQPPOQOAW-LJQANCHMSA-N 0 1 315.461 3.156 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN(CC=C(C)C)CCC1(F)F ZINC001569738834 1190753280 /nfs/dbraw/zinc/75/32/80/1190753280.db2.gz JKXBWGKXSXBRBZ-OAHLLOKOSA-N 0 1 314.420 3.382 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@H](CC)OC)cc1 ZINC001570029649 1190784757 /nfs/dbraw/zinc/78/47/57/1190784757.db2.gz FQOHRTHCQGCYJV-BBRMVZONSA-N 0 1 324.852 3.131 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001570182077 1190809794 /nfs/dbraw/zinc/80/97/94/1190809794.db2.gz WIZSOVYDFWORKD-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCCC(=O)NCc1ccc2c(c1)CN(CCCF)C2 ZINC001570312513 1190838808 /nfs/dbraw/zinc/83/88/08/1190838808.db2.gz FOMODBZJVNQBAU-UHFFFAOYSA-N 0 1 304.409 3.334 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2cc(F)ccc2F)C1 ZINC001570351443 1190841933 /nfs/dbraw/zinc/84/19/33/1190841933.db2.gz GPSHLOYHFAJANT-DYVFJYSZSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1c2ccccc2CCN1CC=C(C)C ZINC001570476375 1190866455 /nfs/dbraw/zinc/86/64/55/1190866455.db2.gz AZQFMOLYAKYCLW-FQEVSTJZSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCC(C)C ZINC001570477267 1190867236 /nfs/dbraw/zinc/86/72/36/1190867236.db2.gz QZXCLZLXXXANAD-LJQANCHMSA-N 0 1 312.457 3.162 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1cccc(F)c1Cl ZINC001570540030 1190881001 /nfs/dbraw/zinc/88/10/01/1190881001.db2.gz JDBQWXXJKMKVAG-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(F)c1Cl ZINC001570540030 1190881005 /nfs/dbraw/zinc/88/10/05/1190881005.db2.gz JDBQWXXJKMKVAG-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CCC)CC(C)C ZINC001570549389 1190886640 /nfs/dbraw/zinc/88/66/40/1190886640.db2.gz XTAMKDXRWYFDEA-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001570606693 1190899916 /nfs/dbraw/zinc/89/99/16/1190899916.db2.gz AWYLARQQBOUBBS-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cncc2sccc21 ZINC001570627937 1190905318 /nfs/dbraw/zinc/90/53/18/1190905318.db2.gz PHDRZAIARIKEAT-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cncc2sccc21 ZINC001570627933 1190905361 /nfs/dbraw/zinc/90/53/61/1190905361.db2.gz PHDRZAIARIKEAT-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2[nH]ccc2c1 ZINC001570630718 1190905637 /nfs/dbraw/zinc/90/56/37/1190905637.db2.gz LADQZJLRAVQJDV-VXGBXAGGSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2cc[nH]c2c1 ZINC001570637793 1190907772 /nfs/dbraw/zinc/90/77/72/1190907772.db2.gz ZZJKUZXOELRSLO-VXGBXAGGSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001570648044 1190909945 /nfs/dbraw/zinc/90/99/45/1190909945.db2.gz SEYCQRRQVGQGAD-DAZFMJRUSA-N 0 1 324.896 3.438 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)N(C)[C@@H](C)c1ccccc1F ZINC001570711098 1190926308 /nfs/dbraw/zinc/92/63/08/1190926308.db2.gz VVWHHVVGWMXJNR-GJZGRUSLSA-N 0 1 318.436 3.373 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCCN(Cc1ccccc1F)C2 ZINC001571032255 1190980759 /nfs/dbraw/zinc/98/07/59/1190980759.db2.gz TXWYUQLWPHIIPC-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001571403523 1191015594 /nfs/dbraw/zinc/01/55/94/1191015594.db2.gz UHDXXFTYWHMABA-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1cc(C2CC2)no1 ZINC001571404557 1191015619 /nfs/dbraw/zinc/01/56/19/1191015619.db2.gz OATRKOPGGDQXKU-SCLBCKFNSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1cc(C2CC2)no1 ZINC001571404558 1191015966 /nfs/dbraw/zinc/01/59/66/1191015966.db2.gz OATRKOPGGDQXKU-UGSOOPFHSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2nc(C)c(C)s2)C1 ZINC001571405135 1191016148 /nfs/dbraw/zinc/01/61/48/1191016148.db2.gz ZICVQISXERWKBV-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN CCCCC(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001571495982 1191031791 /nfs/dbraw/zinc/03/17/91/1191031791.db2.gz YECATMNKSDHBJG-CTNGQTDRSA-N 0 1 324.468 3.342 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)[C@H]1C ZINC001571513025 1191037392 /nfs/dbraw/zinc/03/73/92/1191037392.db2.gz NKVGXPXJEMORTJ-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C)cc1Cl)C(C)C ZINC001571614462 1191061448 /nfs/dbraw/zinc/06/14/48/1191061448.db2.gz IJRXNCWBZCXAEV-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(C(F)F)c1)C(C)C ZINC001571616046 1191063315 /nfs/dbraw/zinc/06/33/15/1191063315.db2.gz OPVRXVDKRWBKKJ-UHFFFAOYSA-N 0 1 308.372 3.040 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(Cl)c[nH]1)C(C)(C)C ZINC001571618484 1191064748 /nfs/dbraw/zinc/06/47/48/1191064748.db2.gz XVCNFZWUCGSLKV-LBPRGKRZSA-N 0 1 318.248 3.155 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001571620292 1191065318 /nfs/dbraw/zinc/06/53/18/1191065318.db2.gz HTTZJCSNGBOPTC-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(F)CCCC1)C(C)(C)C ZINC001571627519 1191068054 /nfs/dbraw/zinc/06/80/54/1191068054.db2.gz VMCOKYHIRSNFDN-GFCCVEGCSA-N 0 1 304.837 3.142 20 30 DGEDMN C#CCN(Cc1c[nH]nn1)CC(c1ccccc1)c1ccccc1 ZINC001574937628 1191459020 /nfs/dbraw/zinc/45/90/20/1191459020.db2.gz PCKIRPXIZZIESE-UHFFFAOYSA-N 0 1 316.408 3.072 20 30 DGEDMN CC#CC[C@@H](N)C(=O)N(CCc1ccccc1)c1ccccc1 ZINC001574963027 1191460441 /nfs/dbraw/zinc/46/04/41/1191460441.db2.gz QBNPMKJXUUHSHE-LJQANCHMSA-N 0 1 306.409 3.003 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1cc(C(C)(C)C)cc2cccnc21 ZINC001574971120 1191460800 /nfs/dbraw/zinc/46/08/00/1191460800.db2.gz AXSZNZPVBBYTKJ-OAHLLOKOSA-N 0 1 309.413 3.212 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(Oc2cccc(CC)c2)nc1 ZINC001574970657 1191461190 /nfs/dbraw/zinc/46/11/90/1191461190.db2.gz XNHDGOJSXWLMRB-QGZVFWFLSA-N 0 1 323.396 3.116 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC001575117935 1191467192 /nfs/dbraw/zinc/46/71/92/1191467192.db2.gz HSDCUAKVJYCVHH-MOPGFXCFSA-N 0 1 308.429 3.140 20 30 DGEDMN Cc1nsc(N2CCN(CCCC3CCCC3)CC2)c1C#N ZINC001618258374 1193731917 /nfs/dbraw/zinc/73/19/17/1193731917.db2.gz ZORIULJWXJMXIF-UHFFFAOYSA-N 0 1 318.490 3.416 20 30 DGEDMN C=CC[C@H]1CCN(Cc2nc(Cc3ccc(F)cc3)no2)C1 ZINC001620451788 1193769213 /nfs/dbraw/zinc/76/92/13/1193769213.db2.gz MSOYNBWCUSYCPG-AWEZNQCLSA-N 0 1 301.365 3.198 20 30 DGEDMN CCC(CC)(CNC(=O)OC(C)(C)C)CN(C)C[C@H](C)C#N ZINC001621183456 1193814016 /nfs/dbraw/zinc/81/40/16/1193814016.db2.gz FKQMKQGHOUXGEI-CQSZACIVSA-N 0 1 311.470 3.409 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2nc(-c3ccc4ccccc4n3)n[nH]2)C1 ZINC001624764757 1194013602 /nfs/dbraw/zinc/01/36/02/1194013602.db2.gz XIJWZMJKYIXJSL-UHFFFAOYSA-N 0 1 319.368 3.315 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@H](c2ccsc2)N(C)C)cc1 ZINC001628057990 1194157155 /nfs/dbraw/zinc/15/71/55/1194157155.db2.gz SIDFTJRVEILJCK-MRXNPFEDSA-N 0 1 313.426 3.154 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2ccc(C#N)cc2F)cc1F ZINC001628987643 1194207699 /nfs/dbraw/zinc/20/76/99/1194207699.db2.gz VRHLBZFCTYXTTA-UHFFFAOYSA-N 0 1 315.323 3.150 20 30 DGEDMN C=CC[C@H]1CCN(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)C1 ZINC001629520176 1194239369 /nfs/dbraw/zinc/23/93/69/1194239369.db2.gz MJOFCVDLSPXQTI-YOEHRIQHSA-N 0 1 319.424 3.036 20 30 DGEDMN C=C[C@@H](C(=O)Nc1ccccc1-c1nnc[nH]1)c1ccccc1 ZINC001632051553 1194369237 /nfs/dbraw/zinc/36/92/37/1194369237.db2.gz LZLSQEJUXCMMSQ-CQSZACIVSA-N 0 1 304.353 3.380 20 30 DGEDMN Cc1cc(C#N)ccc1Oc1ccc(C(=O)Nc2c[nH]nn2)cc1 ZINC001633259637 1194428745 /nfs/dbraw/zinc/42/87/45/1194428745.db2.gz ZLZUPVKWQQLDQZ-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538479 1194441796 /nfs/dbraw/zinc/44/17/96/1194441796.db2.gz SCIIOTBOIJWXSN-NWDGAFQWSA-N 0 1 301.390 3.351 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@H]1CCN1C(C)(C)C ZINC001634168459 1194478309 /nfs/dbraw/zinc/47/83/09/1194478309.db2.gz VGLCQLOVJNKBMG-QGZVFWFLSA-N 0 1 316.445 3.396 20 30 DGEDMN N#Cc1c(F)cc(CNC(=O)c2ccc(O)c(Cl)c2)cc1F ZINC001634795996 1194516158 /nfs/dbraw/zinc/51/61/58/1194516158.db2.gz GYAIMQLRRGDJLS-UHFFFAOYSA-N 0 1 322.698 3.125 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(C)C[C@@H]1CC(C)C)c1ccccc1 ZINC001635697117 1194566238 /nfs/dbraw/zinc/56/62/38/1194566238.db2.gz IPLBVFYKPBXDPO-ZWKOTPCHSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2ccc3cncn3c2)cc1OC ZINC001636194833 1194598365 /nfs/dbraw/zinc/59/83/65/1194598365.db2.gz OGWDANJNQTWJLQ-UHFFFAOYSA-N 0 1 323.352 3.160 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2snc(Cl)c2Cl)c1 ZINC001637619820 1194678398 /nfs/dbraw/zinc/67/83/98/1194678398.db2.gz AYEAYGUSZRAUBY-UHFFFAOYSA-N 0 1 314.153 3.279 20 30 DGEDMN CCN(CC)CC(=O)N(O)Cc1cc(Cl)cc(Cl)c1 ZINC001639035418 1194747321 /nfs/dbraw/zinc/74/73/21/1194747321.db2.gz KAXWGLDERSBVKE-UHFFFAOYSA-N 0 1 305.205 3.053 20 30 DGEDMN N#Cc1ccc(F)cc1NCC1(N2CCOCC2)CCCCC1 ZINC001639151187 1194753047 /nfs/dbraw/zinc/75/30/47/1194753047.db2.gz WGRUJRFUWMXPAG-UHFFFAOYSA-N 0 1 317.408 3.144 20 30 DGEDMN CCOc1ccc(NC(=O)c2ccc(C#N)c(O)c2)c(F)c1 ZINC001639403446 1194763643 /nfs/dbraw/zinc/76/36/43/1194763643.db2.gz ZOAZUHGGVCSWOC-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCCC[C@@H]1c1cc(C)[nH]n1)OCC ZINC001639472917 1194768164 /nfs/dbraw/zinc/76/81/64/1194768164.db2.gz ZYLHVHDRASTYML-CVEARBPZSA-N 0 1 305.422 3.143 20 30 DGEDMN Cc1[nH]ncc1CN(C)c1c(C#N)cnc2c(Cl)cccc21 ZINC001640067856 1194794890 /nfs/dbraw/zinc/79/48/90/1194794890.db2.gz YMKOJJVQMUTKHQ-UHFFFAOYSA-N 0 1 311.776 3.428 20 30 DGEDMN C=CCC1(CNC(=O)CN(C)CCc2ccccc2)CCCC1 ZINC001640592153 1194820910 /nfs/dbraw/zinc/82/09/10/1194820910.db2.gz CUZQWYXTONNDFC-UHFFFAOYSA-N 0 1 314.473 3.414 20 30 DGEDMN C=CCONC(=O)Cc1csc(Cc2cccc(Cl)c2)n1 ZINC001640825369 1194829281 /nfs/dbraw/zinc/82/92/81/1194829281.db2.gz RJSMJLSQJXGLEH-UHFFFAOYSA-N 0 1 322.817 3.164 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001641354041 1194849767 /nfs/dbraw/zinc/84/97/67/1194849767.db2.gz ONORTMSZSKBJDB-GUTXKFCHSA-N 0 1 301.434 3.399 20 30 DGEDMN C[C@H](NC(=O)c1coc(C#N)c1)c1nc2ccc(Cl)cc2[nH]1 ZINC001641510266 1194857988 /nfs/dbraw/zinc/85/79/88/1194857988.db2.gz FLDCIQSJFZZDJW-QMMMGPOBSA-N 0 1 314.732 3.172 20 30 DGEDMN C#CCOCCN1CCC[C@@H]1c1cccc(Br)c1 ZINC001641540755 1194859302 /nfs/dbraw/zinc/85/93/02/1194859302.db2.gz TYFLQMBCFLELFY-OAHLLOKOSA-N 0 1 308.219 3.236 20 30 DGEDMN C#CCN(CC(=O)N(C)CC1CCCCC1)C1CCCCC1 ZINC001641656928 1194865509 /nfs/dbraw/zinc/86/55/09/1194865509.db2.gz QIIUYQOCLGNGSX-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCCCCCNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001642018888 1194884181 /nfs/dbraw/zinc/88/41/81/1194884181.db2.gz DMWKKMTYPGKSGG-UHFFFAOYSA-N 0 1 300.406 3.096 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cncc3ccccc32)C1 ZINC001671202465 1194892224 /nfs/dbraw/zinc/89/22/24/1194892224.db2.gz VPWCFLMOLXUNFN-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN CC(C)[C@@H](Cc1ccccc1)C(=O)NOc1cccc(C#N)c1 ZINC001642624769 1194923997 /nfs/dbraw/zinc/92/39/97/1194923997.db2.gz AVVCPRPTFHXQIM-GOSISDBHSA-N 0 1 308.381 3.483 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CC[C@](F)(c3ccccc3)C2)c1 ZINC001642884388 1194951137 /nfs/dbraw/zinc/95/11/37/1194951137.db2.gz WWVOTJSQHYULMJ-RTBURBONSA-N 0 1 310.372 3.162 20 30 DGEDMN N#Cc1cc(F)c(C(=O)Nc2cc(F)cc(F)c2O)c(F)c1 ZINC001643110819 1194996926 /nfs/dbraw/zinc/99/69/26/1194996926.db2.gz QLSIAXKTCRDXQK-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC001643559370 1195034262 /nfs/dbraw/zinc/03/42/62/1195034262.db2.gz FJWNIQAZOWBXEI-MAUKXSAKSA-N 0 1 314.404 3.056 20 30 DGEDMN N#Cc1ccnc(CN[C@H](c2ccccc2)C2(CO)CC=CC2)c1 ZINC001643550221 1195034888 /nfs/dbraw/zinc/03/48/88/1195034888.db2.gz JURHCKOWBRDVKB-LJQANCHMSA-N 0 1 319.408 3.113 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cn2)C1 ZINC001643758167 1195052150 /nfs/dbraw/zinc/05/21/50/1195052150.db2.gz FVMRCKKCYRVRDO-QWHCGFSZSA-N 0 1 307.825 3.350 20 30 DGEDMN C=CCOCCN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001644400185 1195106648 /nfs/dbraw/zinc/10/66/48/1195106648.db2.gz MSRWULQNQSTTKO-GOSISDBHSA-N 0 1 306.425 3.097 20 30 DGEDMN CCC#C[C@H](C)N1CCC[C@@H](c2ncc(Br)cn2)C1 ZINC001644496823 1195112893 /nfs/dbraw/zinc/11/28/93/1195112893.db2.gz PHKVLAPFBWYZIX-QWHCGFSZSA-N 0 1 322.250 3.220 20 30 DGEDMN C#CCC1(NCc2nn(-c3ccccc3)c3c2CCC3)CCC1 ZINC001644619747 1195120579 /nfs/dbraw/zinc/12/05/79/1195120579.db2.gz HDFNLPVSVHGDGW-UHFFFAOYSA-N 0 1 305.425 3.397 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2cccc(Br)c2O)CC1 ZINC001644856765 1195138760 /nfs/dbraw/zinc/13/87/60/1195138760.db2.gz PESCNGHFAOTFLF-XYPYZODXSA-N 0 1 322.202 3.077 20 30 DGEDMN N#Cc1ccnc(CN2CCC(c3c[nH]c4ncccc34)CC2)c1 ZINC001644900837 1195141152 /nfs/dbraw/zinc/14/11/52/1195141152.db2.gz IDIPKPZFDKLHBG-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN CC(C)(Oc1ccc(C#N)cc1)C(=O)Nc1ccc2cncn2c1 ZINC001645631937 1195195965 /nfs/dbraw/zinc/19/59/65/1195195965.db2.gz GQVPNBKZEREYCT-UHFFFAOYSA-N 0 1 320.352 3.002 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1cccc(C#N)c1)c1ccccc1F ZINC001646503438 1195231646 /nfs/dbraw/zinc/23/16/46/1195231646.db2.gz AANNGWRUYLZLLU-QGZVFWFLSA-N 0 1 311.360 3.377 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCCC3(CC3)CC2)cc1Cl ZINC001647918268 1195264469 /nfs/dbraw/zinc/26/44/69/1195264469.db2.gz RHFUMYCTJRRQPT-UHFFFAOYSA-N 0 1 317.820 3.416 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1sccc1C ZINC001649437241 1195336723 /nfs/dbraw/zinc/33/67/23/1195336723.db2.gz QYWQPGRQBBAXCX-UHFFFAOYSA-N 0 1 300.855 3.251 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N(C)Cc1c(F)cccc1Cl ZINC001648549958 1195308195 /nfs/dbraw/zinc/30/81/95/1195308195.db2.gz AVDNZVRFBQCMMD-QWHCGFSZSA-N 0 1 324.827 3.476 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1c1cc(F)ccc1C#N ZINC001651535061 1195476878 /nfs/dbraw/zinc/47/68/78/1195476878.db2.gz GAVMISCDKFMDSQ-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN N#Cc1ccc(-c2cccc(CNc3nccnc3CN)c2)s1 ZINC001651549901 1195478933 /nfs/dbraw/zinc/47/89/33/1195478933.db2.gz LLQFFLHYZYWONX-UHFFFAOYSA-N 0 1 321.409 3.148 20 30 DGEDMN N#Cc1ccc(-c2ccccc2)nc1SCCc1c[nH]nn1 ZINC001652658268 1195632582 /nfs/dbraw/zinc/63/25/82/1195632582.db2.gz OQBPKJZPWBCWMB-UHFFFAOYSA-N 0 1 307.382 3.073 20 30 DGEDMN C#C[C@@H](NCc1cccc(C)c1Br)C1CCOCC1 ZINC001652855318 1195661147 /nfs/dbraw/zinc/66/11/47/1195661147.db2.gz IMCUAWLDXZOWSO-OAHLLOKOSA-N 0 1 322.246 3.276 20 30 DGEDMN CC(C)(C(=O)Nc1ccc2cncn2c1)c1ccc(C#N)cc1 ZINC001652864513 1195663503 /nfs/dbraw/zinc/66/35/03/1195663503.db2.gz UGESGJPYMQWHQT-UHFFFAOYSA-N 0 1 304.353 3.122 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(c2ccc(N(C)C)cc2)CC1 ZINC001653380311 1195716125 /nfs/dbraw/zinc/71/61/25/1195716125.db2.gz OWQORBPJEODLAG-SFHVURJKSA-N 0 1 316.445 3.050 20 30 DGEDMN C#CCC1(C(=O)NCCCCc2ccnc(N)c2)CCCCC1 ZINC001654068895 1195772591 /nfs/dbraw/zinc/77/25/91/1195772591.db2.gz KFBQWPMFWSBRDN-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)[C@H](CO)c1ccc(Cl)cc1 ZINC001654527890 1195814084 /nfs/dbraw/zinc/81/40/84/1195814084.db2.gz RSIWRKKVBFCYEJ-QGZVFWFLSA-N 0 1 300.789 3.377 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001654527889 1195814134 /nfs/dbraw/zinc/81/41/34/1195814134.db2.gz RSIWRKKVBFCYEJ-KRWDZBQOSA-N 0 1 300.789 3.377 20 30 DGEDMN C[C@@H]1CC(C)(C)CN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC001654745764 1195835410 /nfs/dbraw/zinc/83/54/10/1195835410.db2.gz DJDWEPAYWJOTTI-LLVKDONJSA-N 0 1 305.809 3.271 20 30 DGEDMN C=CC[C@@H](C)N1C[C@@H](C(F)(F)F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001654812482 1195842992 /nfs/dbraw/zinc/84/29/92/1195842992.db2.gz AJWLYCRVBZHDTI-IJLUTSLNSA-N 0 1 322.371 3.338 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1ccccc1C(=O)OCC ZINC001655014641 1195865251 /nfs/dbraw/zinc/86/52/51/1195865251.db2.gz OSECNDPPIFJHOO-UHFFFAOYSA-N 0 1 318.417 3.090 20 30 DGEDMN C=CC[C@H](Sc1nc(-c2ccc(CC)cc2)n[nH]1)C(=O)OC ZINC001656067804 1195994254 /nfs/dbraw/zinc/99/42/54/1195994254.db2.gz WEILTXCNCCFYPW-ZDUSSCGKSA-N 0 1 317.414 3.244 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1c(F)cccc1F ZINC001656080339 1195995465 /nfs/dbraw/zinc/99/54/65/1195995465.db2.gz DHIJGKGHRQQRGJ-UONOGXRCSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2Cc2ccc(C)cc2)C1 ZINC001656092743 1195999320 /nfs/dbraw/zinc/99/93/20/1195999320.db2.gz LIVAHUDIYPRCSN-GOSISDBHSA-N 0 1 312.457 3.384 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001656106093 1196004178 /nfs/dbraw/zinc/00/41/78/1196004178.db2.gz RZMWQDNLWVVDQB-GOSISDBHSA-N 0 1 314.473 3.381 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1cccc(F)c1 ZINC001656110170 1196005481 /nfs/dbraw/zinc/00/54/81/1196005481.db2.gz ZQACCIZRMFKLLS-PBHICJAKSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001656113252 1196006077 /nfs/dbraw/zinc/00/60/77/1196006077.db2.gz UHYKCEROMTUYDW-IEBWSBKVSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCOCCN(CC)Cc1cc(Br)ccc1F ZINC001657162049 1196112779 /nfs/dbraw/zinc/11/27/79/1196112779.db2.gz WHBOBGMWQMYDJZ-UHFFFAOYSA-N 0 1 314.198 3.060 20 30 DGEDMN C#Cc1cccc(CNCc2ccnn2-c2ccc(F)cc2)c1 ZINC001657531643 1196152539 /nfs/dbraw/zinc/15/25/39/1196152539.db2.gz CRISEJZMVJSTKC-UHFFFAOYSA-N 0 1 305.356 3.283 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(CCCC)CCCO ZINC001658014740 1196201189 /nfs/dbraw/zinc/20/11/89/1196201189.db2.gz GHOCHZXQHJQTRO-UHFFFAOYSA-N 0 1 309.837 3.337 20 30 DGEDMN N#CCc1ccc(CNCc2cn3cc(Cl)ccc3n2)cc1 ZINC001658187466 1196222209 /nfs/dbraw/zinc/22/22/09/1196222209.db2.gz UGXJJPILQCMFII-UHFFFAOYSA-N 0 1 310.788 3.344 20 30 DGEDMN COC(=O)c1cc(CNCc2ccc(C#N)s2)[nH]c1C(C)C ZINC001658378638 1196249733 /nfs/dbraw/zinc/24/97/33/1196249733.db2.gz FCIUAMCWVQXXCR-UHFFFAOYSA-N 0 1 317.414 3.148 20 30 DGEDMN C#CC[C@@H]1CCN(CC(=O)N[C@H](c2cccs2)C(C)(C)C)C1 ZINC001660118838 1196448195 /nfs/dbraw/zinc/44/81/95/1196448195.db2.gz RTUJVJGCXFKQIY-RHSMWYFYSA-N 0 1 318.486 3.297 20 30 DGEDMN C(#Cc1ccccc1)CN[C@H]1C[C@]2(CCOC2)Oc2ccccc21 ZINC001660269446 1196464736 /nfs/dbraw/zinc/46/47/36/1196464736.db2.gz BRZTYCJEIINRNC-FPOVZHCZSA-N 0 1 319.404 3.311 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H]1COC2(CCCCC2)O1 ZINC001660372673 1196475773 /nfs/dbraw/zinc/47/57/73/1196475773.db2.gz DHLIYFAXAYEHIT-CQSZACIVSA-N 0 1 306.431 3.127 20 30 DGEDMN CCC[C@@H]1CCCN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC001660495055 1196490577 /nfs/dbraw/zinc/49/05/77/1196490577.db2.gz OKWHRPWIHWKRGJ-CQSZACIVSA-N 0 1 305.809 3.415 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CC(C)(C)CC(F)F)CC1 ZINC001660780224 1196523330 /nfs/dbraw/zinc/52/33/30/1196523330.db2.gz KRZBCIWNAIWCNC-UHFFFAOYSA-N 0 1 314.420 3.004 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001661162752 1196574745 /nfs/dbraw/zinc/57/47/45/1196574745.db2.gz MYIIHYFYTJNIIC-SIRQDLBWSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cc(C)c(C)o2)C1 ZINC001661207340 1196582354 /nfs/dbraw/zinc/58/23/54/1196582354.db2.gz NXEBJGHDORWVPX-HNNXBMFYSA-N 0 1 324.852 3.433 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccn1 ZINC001661239588 1196587283 /nfs/dbraw/zinc/58/72/83/1196587283.db2.gz FBLXLCCLYHIMJR-CALCHBBNSA-N 0 1 315.461 3.341 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CCC1CCCCC1 ZINC001661890127 1196659867 /nfs/dbraw/zinc/65/98/67/1196659867.db2.gz BDICXQDRAWXXHM-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2cscc2s1 ZINC001662287977 1196703559 /nfs/dbraw/zinc/70/35/59/1196703559.db2.gz JOANXKRMTHMMDU-SECBINFHSA-N 0 1 314.863 3.423 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001662302588 1196704249 /nfs/dbraw/zinc/70/42/49/1196704249.db2.gz CEPDKWWHJHWXEY-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001662330141 1196708935 /nfs/dbraw/zinc/70/89/35/1196708935.db2.gz UDDKSBKKYIABMZ-STQMWFEESA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](F)CC1CCCCC1 ZINC001662387920 1196716358 /nfs/dbraw/zinc/71/63/58/1196716358.db2.gz JVTMKUXFILGAMT-TZMCWYRMSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001662419564 1196720328 /nfs/dbraw/zinc/72/03/28/1196720328.db2.gz HHPWCCVENVFPQV-RYUDHWBXSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001662529549 1196727865 /nfs/dbraw/zinc/72/78/65/1196727865.db2.gz LGHKTBIRQGMDDZ-BBRMVZONSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCN1Cc1cccc(F)c1 ZINC001663518459 1196892817 /nfs/dbraw/zinc/89/28/17/1196892817.db2.gz HMRKNYFIQHKJKD-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001663519500 1196893680 /nfs/dbraw/zinc/89/36/80/1196893680.db2.gz SLQWVQLLAQENQV-IAGOWNOFSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001663553605 1196903277 /nfs/dbraw/zinc/90/32/77/1196903277.db2.gz VGSWAPSNROZLMO-XZXOBPBMSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001663593260 1196909528 /nfs/dbraw/zinc/90/95/28/1196909528.db2.gz RFGQUBSOIWGMAW-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](C)c1cccc(Cl)c1)C1CC1 ZINC001663777594 1196944993 /nfs/dbraw/zinc/94/49/93/1196944993.db2.gz PRECPFISYOCDFR-CQSZACIVSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001664399015 1196994953 /nfs/dbraw/zinc/99/49/53/1196994953.db2.gz GYNFKEQKZUMKIZ-HNNXBMFYSA-N 0 1 312.816 3.120 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1C[C@@H]1C)c1ccc(C(C)C)cc1 ZINC001664561946 1197013717 /nfs/dbraw/zinc/01/37/17/1197013717.db2.gz SMFQLGQYODSGLW-SNRMKQJTSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccc(C(C)C)cc1 ZINC001664561770 1197014012 /nfs/dbraw/zinc/01/40/12/1197014012.db2.gz NAWSVFGBGOSWPS-NMLBUPMWSA-N 0 1 324.468 3.236 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1C[C@@H]1C)c1ccc(C(C)C)cc1 ZINC001664561947 1197014148 /nfs/dbraw/zinc/01/41/48/1197014148.db2.gz SMFQLGQYODSGLW-ZYSHUDEJSA-N 0 1 312.457 3.236 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)cc1C)c1ccccc1 ZINC001664597452 1197018755 /nfs/dbraw/zinc/01/87/55/1197018755.db2.gz BCMOIJCKGJLMFH-FQEVSTJZSA-N 0 1 320.436 3.387 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2ccc(Cl)s2)C1 ZINC001664685471 1197035297 /nfs/dbraw/zinc/03/52/97/1197035297.db2.gz BIHQUHFCDKTJDK-ZDUSSCGKSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(Cl)o2)C1 ZINC001664695731 1197038846 /nfs/dbraw/zinc/03/88/46/1197038846.db2.gz RDTLFZMLSWVHHM-NSHDSACASA-N 0 1 317.216 3.127 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@@H](CCNC(=O)c2ccoc2C2CC2)C1 ZINC001664734094 1197046405 /nfs/dbraw/zinc/04/64/05/1197046405.db2.gz AUZCQZACZHABPX-CYBMUJFWSA-N 0 1 322.836 3.351 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccoc2C2CC2)C1 ZINC001664734094 1197046411 /nfs/dbraw/zinc/04/64/11/1197046411.db2.gz AUZCQZACZHABPX-CYBMUJFWSA-N 0 1 322.836 3.351 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@](C)(F)CCCC)C1 ZINC001664818076 1197063043 /nfs/dbraw/zinc/06/30/43/1197063043.db2.gz OHBRHRUQXWBYLK-GOEBONIOSA-N 0 1 318.864 3.438 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H](CC)SC)CC1 ZINC001664873654 1197073115 /nfs/dbraw/zinc/07/31/15/1197073115.db2.gz JCTAUTLLLZYJEV-CQSZACIVSA-N 0 1 318.914 3.051 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@@H]3C[C@@H]3c3ccccc3)C2)cc1 ZINC001664951050 1197080493 /nfs/dbraw/zinc/08/04/93/1197080493.db2.gz MQPDUKVALIPKSP-FXAWDEMLSA-N 0 1 304.393 3.425 20 30 DGEDMN C#Cc1ccc(CN2CC[N@@H+](Cc3ccccc3)[C@H](C)C2)cc1 ZINC001664996509 1197084712 /nfs/dbraw/zinc/08/47/12/1197084712.db2.gz ULHOOLCGCWEEHY-GOSISDBHSA-N 0 1 304.437 3.374 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC/C=C\c2ccccc2)C1 ZINC001665016916 1197086782 /nfs/dbraw/zinc/08/67/82/1197086782.db2.gz SPZDZLFTJNOBPR-XCJXAGMVSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001665196605 1197107978 /nfs/dbraw/zinc/10/79/78/1197107978.db2.gz XCEMDLKALPFLBQ-HOTGVXAUSA-N 0 1 300.446 3.254 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001665305416 1197121537 /nfs/dbraw/zinc/12/15/37/1197121537.db2.gz RPZGVTNCQAVZLC-UHFFFAOYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001665462762 1197139945 /nfs/dbraw/zinc/13/99/45/1197139945.db2.gz CCEKOFWPBPGQRL-IBGZPJMESA-N 0 1 323.440 3.017 20 30 DGEDMN Cc1cccc([C@H](C)[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)c1 ZINC001665631828 1197161623 /nfs/dbraw/zinc/16/16/23/1197161623.db2.gz MVSUXKNJTARSJE-HKUYNNGSSA-N 0 1 312.457 3.248 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001665652125 1197165650 /nfs/dbraw/zinc/16/56/50/1197165650.db2.gz AMWWTBDSZVSZSB-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN CCN(C(=O)CC(C)C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001665691027 1197172151 /nfs/dbraw/zinc/17/21/51/1197172151.db2.gz BPCBAMVYPSBVFZ-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001665797898 1197199495 /nfs/dbraw/zinc/19/94/95/1197199495.db2.gz DJUWUJLEIZZHAF-QGZVFWFLSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H](F)C(C)C)cc1 ZINC001666341279 1197253627 /nfs/dbraw/zinc/25/36/27/1197253627.db2.gz RKRHBXHFVVWTPU-HNNXBMFYSA-N 0 1 312.816 3.139 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001666720184 1197279508 /nfs/dbraw/zinc/27/95/08/1197279508.db2.gz PCOXSOWMGHLATM-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001666908158 1197297822 /nfs/dbraw/zinc/29/78/22/1197297822.db2.gz QRNWMRINSUBTLZ-OAHLLOKOSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001667060010 1197312736 /nfs/dbraw/zinc/31/27/36/1197312736.db2.gz YRTUYTUENOSTHI-GDBMZVCRSA-N 0 1 322.880 3.363 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CCNCc1nccs1 ZINC001667257434 1197333243 /nfs/dbraw/zinc/33/32/43/1197333243.db2.gz IIXKKFRMKUTRPW-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(F)cc(Cl)c1 ZINC001669057547 1197438664 /nfs/dbraw/zinc/43/86/64/1197438664.db2.gz LQYPJVBDISBEBF-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001669145716 1197441140 /nfs/dbraw/zinc/44/11/40/1197441140.db2.gz MMKHTXXPKBYHAQ-WAYWQWQTSA-N 0 1 320.864 3.287 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc2c(c1)CCCC2 ZINC001669170918 1197442520 /nfs/dbraw/zinc/44/25/20/1197442520.db2.gz GYUNSUDNEHVWPI-SNAWJCMRSA-N 0 1 318.848 3.194 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CNCc2ccns2)CCCCC1 ZINC001669421635 1197454417 /nfs/dbraw/zinc/45/44/17/1197454417.db2.gz SBFDDZZCSLQXPN-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CC)Cc1ccccc1C ZINC001669446853 1197455476 /nfs/dbraw/zinc/45/54/76/1197455476.db2.gz ZWPFNDOSIYNNAF-CVEARBPZSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001671351359 1197536008 /nfs/dbraw/zinc/53/60/08/1197536008.db2.gz NDVGTYNAQMEKGN-CNELAYHGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001671586188 1197550310 /nfs/dbraw/zinc/55/03/10/1197550310.db2.gz RAYBKYFZWLXFGY-YNINQOBDSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001671917328 1197585702 /nfs/dbraw/zinc/58/57/02/1197585702.db2.gz ZPKCFAOATAONLW-LJQANCHMSA-N 0 1 306.494 3.300 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)Cc2ccccc2)CCC1 ZINC001673238401 1197646057 /nfs/dbraw/zinc/64/60/57/1197646057.db2.gz QLSWTXFSIAXRJQ-AWEZNQCLSA-N 0 1 320.864 3.246 20 30 DGEDMN CCC1(C(=O)N[C@@H]2CCCN(CC#Cc3ccccc3)CC2)CC1 ZINC001673398151 1197656218 /nfs/dbraw/zinc/65/62/18/1197656218.db2.gz YVZUYQPRYOTFKQ-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001673634369 1197680821 /nfs/dbraw/zinc/68/08/21/1197680821.db2.gz QPDXPVJNMCZDDD-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@]3(CCN(CCF)C3)C2)CCCC1 ZINC001673654841 1197684981 /nfs/dbraw/zinc/68/49/81/1197684981.db2.gz BIHRHVXGNFOJGD-GOSISDBHSA-N 0 1 322.468 3.407 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C/C=C(/C)C=C)cc2C1 ZINC001673765086 1197690508 /nfs/dbraw/zinc/69/05/08/1197690508.db2.gz JPLHQHQCQZIYKS-APSNUPSMSA-N 0 1 308.425 3.164 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C/C=C\c2ccc(C)cc2)C(C)(C)C1 ZINC001674039045 1197726312 /nfs/dbraw/zinc/72/63/12/1197726312.db2.gz GPKAQCNMFYHGFE-LIXSYLKWSA-N 0 1 324.468 3.248 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001674115473 1197732459 /nfs/dbraw/zinc/73/24/59/1197732459.db2.gz QKGMLRGEJZJIIB-KGLIPLIRSA-N 0 1 319.474 3.279 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CC(C)C ZINC001674267575 1197754574 /nfs/dbraw/zinc/75/45/74/1197754574.db2.gz ULULHXIWPYWENU-SFHVURJKSA-N 0 1 300.446 3.324 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CN(C)C[C@@H](F)CC)CCC1 ZINC001674481279 1197784978 /nfs/dbraw/zinc/78/49/78/1197784978.db2.gz RYOGFYUGWWTNCH-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(C)(C)C)cn1 ZINC001674667339 1197828338 /nfs/dbraw/zinc/82/83/38/1197828338.db2.gz RCZGTVKFPRKROQ-STQMWFEESA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cncc(C)c1 ZINC001675218015 1198009002 /nfs/dbraw/zinc/00/90/02/1198009002.db2.gz MWTVKJUFIMNGTB-HNNXBMFYSA-N 0 1 309.841 3.021 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C(F)=C1CCCC1 ZINC001675253822 1198023583 /nfs/dbraw/zinc/02/35/83/1198023583.db2.gz ZFNPADLDYXARDE-MRXNPFEDSA-N 0 1 306.425 3.168 20 30 DGEDMN C#CCN1CCC(NC(=O)NC[C@H](C)CCCCCCC)CC1 ZINC001675632904 1198097708 /nfs/dbraw/zinc/09/77/08/1198097708.db2.gz MVHHNARHWIYDBB-QGZVFWFLSA-N 0 1 321.509 3.380 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001675752283 1198134926 /nfs/dbraw/zinc/13/49/26/1198134926.db2.gz RJCDXBIQRYPPLB-OPSIHOIKSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)CCC(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001676300340 1198280464 /nfs/dbraw/zinc/28/04/64/1198280464.db2.gz SSRLPNKJVCRFIP-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001676306081 1198281976 /nfs/dbraw/zinc/28/19/76/1198281976.db2.gz ZJHFMQPBLDOWRI-GJZGRUSLSA-N 0 1 320.864 3.174 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001676306080 1198282974 /nfs/dbraw/zinc/28/29/74/1198282974.db2.gz ZJHFMQPBLDOWRI-CABCVRRESA-N 0 1 320.864 3.174 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1F ZINC001676401499 1198310831 /nfs/dbraw/zinc/31/08/31/1198310831.db2.gz NOBUUQZGNNKLDE-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(Cl)s1 ZINC001676472949 1198330221 /nfs/dbraw/zinc/33/02/21/1198330221.db2.gz GGMWFXMOQZLIBE-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(C)CCC(C)CC1 ZINC001676487819 1198334717 /nfs/dbraw/zinc/33/47/17/1198334717.db2.gz IZRXZLZSDUMWHT-UGWHAMFMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC001676679778 1198362378 /nfs/dbraw/zinc/36/23/78/1198362378.db2.gz LHZRGVQNKYKTIF-KRWDZBQOSA-N 0 1 321.440 3.426 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc[nH]c1CC)C(C)(C)C ZINC001677248242 1198500681 /nfs/dbraw/zinc/50/06/81/1198500681.db2.gz XNOCTCWNYKLTEO-CQSZACIVSA-N 0 1 311.857 3.064 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001677399755 1198528386 /nfs/dbraw/zinc/52/83/86/1198528386.db2.gz SMNMCEBGTBRVHG-LUAWRHEFSA-N 0 1 319.276 3.487 20 30 DGEDMN C#CCCCCC(=O)NC1(C2CCN(C/C=C/Cl)CC2)CC1 ZINC001677459099 1198539398 /nfs/dbraw/zinc/53/93/98/1198539398.db2.gz MPPOAWVWCHZRTD-WUXMJOGZSA-N 0 1 322.880 3.293 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3cc(C)cc(C#N)c3)[nH]c2c1 ZINC001678413231 1198678214 /nfs/dbraw/zinc/67/82/14/1198678214.db2.gz MLPWKGUFRJFUJJ-UHFFFAOYSA-N 0 1 319.368 3.373 20 30 DGEDMN C=C1CCN(C(=O)NC[C@H](c2ccc(Cl)cc2)N(C)C)CC1 ZINC001685192446 1199452482 /nfs/dbraw/zinc/45/24/82/1199452482.db2.gz COYRSMISDPMDBR-MRXNPFEDSA-N 0 1 321.852 3.304 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC001685194020 1199452708 /nfs/dbraw/zinc/45/27/08/1199452708.db2.gz QYUVHUOMUMJEEU-OAHLLOKOSA-N 0 1 323.868 3.454 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001688878957 1199938674 /nfs/dbraw/zinc/93/86/74/1199938674.db2.gz SRIPIEWZRQMSSI-RTBURBONSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001689001142 1199988105 /nfs/dbraw/zinc/98/81/05/1199988105.db2.gz XLVAPELDPMZKCG-JJRVBVJISA-N 0 1 321.490 3.120 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001689312680 1200088835 /nfs/dbraw/zinc/08/88/35/1200088835.db2.gz HKOSUCFPXCHUSU-NUJGCVRESA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001689319899 1200091617 /nfs/dbraw/zinc/09/16/17/1200091617.db2.gz CCVBHIUCMJRKTA-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001754074610 1200094892 /nfs/dbraw/zinc/09/48/92/1200094892.db2.gz ZXCXNCUSVIMKKV-HZPDHXFCSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001754078012 1200096189 /nfs/dbraw/zinc/09/61/89/1200096189.db2.gz SLRXFKHMVHSROB-UHFFFAOYSA-N 0 1 324.896 3.393 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc[nH]c1C(C)C ZINC001689350562 1200105742 /nfs/dbraw/zinc/10/57/42/1200105742.db2.gz KFJZZMFDFRECBK-INIZCTEOSA-N 0 1 315.461 3.088 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CN[C@@H](C)c1ccc(F)cc1F ZINC001754115994 1200108471 /nfs/dbraw/zinc/10/84/71/1200108471.db2.gz MFTHRFBQTWNVCU-AFNCTOJWSA-N 0 1 320.383 3.091 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1coc2c1cccc2C ZINC001754131355 1200110240 /nfs/dbraw/zinc/11/02/40/1200110240.db2.gz LNPKNDCOZYMGBS-ONEGZZNKSA-N 0 1 318.804 3.369 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)c2ccco2)C1 ZINC001689410313 1200123167 /nfs/dbraw/zinc/12/31/67/1200123167.db2.gz PWKHNCHUWYHRES-CABCVRRESA-N 0 1 322.836 3.349 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1ccncc1Cl ZINC001754176275 1200124442 /nfs/dbraw/zinc/12/44/42/1200124442.db2.gz BHKRSYUKMFGWIO-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCc1ccc(Cl)cc1 ZINC001754236323 1200144921 /nfs/dbraw/zinc/14/49/21/1200144921.db2.gz KIPHDXPJWBHBQW-GFCCVEGCSA-N 0 1 315.244 3.119 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001754240280 1200148083 /nfs/dbraw/zinc/14/80/83/1200148083.db2.gz KVBQERLKGILZMV-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001754247874 1200152203 /nfs/dbraw/zinc/15/22/03/1200152203.db2.gz BRYFPHJRTSGTDD-OLZOCXBDSA-N 0 1 320.820 3.383 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001754260713 1200160594 /nfs/dbraw/zinc/16/05/94/1200160594.db2.gz KBPQCKRBKIRCEA-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN(C)Cc2ccc(Cl)nc2)C1 ZINC001754323359 1200214738 /nfs/dbraw/zinc/21/47/38/1200214738.db2.gz PNHSQEFPEAQVTI-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(Cl)ccc1Cl ZINC001754343502 1200236842 /nfs/dbraw/zinc/23/68/42/1200236842.db2.gz WEEBCOZAHLZJIN-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@@]1(C)CC=CCC1 ZINC001689804304 1200288768 /nfs/dbraw/zinc/28/87/68/1200288768.db2.gz MVBXUBYQVNBTKC-NRFANRHFSA-N 0 1 324.468 3.223 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1oc(C)nc1C ZINC001754479126 1200291006 /nfs/dbraw/zinc/29/10/06/1200291006.db2.gz VXZTZNAVRAEJMV-OAHLLOKOSA-N 0 1 307.438 3.066 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001754486366 1200297468 /nfs/dbraw/zinc/29/74/68/1200297468.db2.gz NNMOTEXCENUZOX-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@H](C)NCc1conc1C ZINC001689903767 1200323819 /nfs/dbraw/zinc/32/38/19/1200323819.db2.gz ZHUMCIUTEYTWMM-RDJZCZTQSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1conc1C ZINC001689903768 1200324105 /nfs/dbraw/zinc/32/41/05/1200324105.db2.gz ZHUMCIUTEYTWMM-WBVHZDCISA-N 0 1 319.449 3.199 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001690023325 1200370709 /nfs/dbraw/zinc/37/07/09/1200370709.db2.gz MFCMXPUZDOICOE-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2c(C)noc2C)CC1 ZINC001690023363 1200371019 /nfs/dbraw/zinc/37/10/19/1200371019.db2.gz OLBNSCFNMWNOST-UHFFFAOYSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001754581131 1200376763 /nfs/dbraw/zinc/37/67/63/1200376763.db2.gz AXVUQXFCGNJMBF-OAHLLOKOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc[nH]c2CCC)C1 ZINC001754582590 1200378364 /nfs/dbraw/zinc/37/83/64/1200378364.db2.gz WRAJNKUHURRQKP-AWEZNQCLSA-N 0 1 303.450 3.078 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1csc(CC)n1 ZINC001754610518 1200394563 /nfs/dbraw/zinc/39/45/63/1200394563.db2.gz HQJFXDZXBAPNSI-HNNXBMFYSA-N 0 1 321.490 3.142 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@H]2CCc3c2cccc3F)C1 ZINC001690093420 1200395271 /nfs/dbraw/zinc/39/52/71/1200395271.db2.gz XOHZRAHEEOOQNC-KDOFPFPSSA-N 0 1 316.420 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc[nH]c1CC)C1CCCC1 ZINC001754648191 1200414752 /nfs/dbraw/zinc/41/47/52/1200414752.db2.gz WFRQEJOGTNWWJA-INIZCTEOSA-N 0 1 323.868 3.208 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1coc(C(F)F)c1)C1CC1 ZINC001690164896 1200429330 /nfs/dbraw/zinc/42/93/30/1200429330.db2.gz OWOARRQMNWQKPC-LLVKDONJSA-N 0 1 318.751 3.068 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001754680690 1200438409 /nfs/dbraw/zinc/43/84/09/1200438409.db2.gz RHGMNCOEIGUGRT-IEBWSBKVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN(C)Cc2ccns2)C1 ZINC001690192595 1200445996 /nfs/dbraw/zinc/44/59/96/1200445996.db2.gz PTIGNYIZRJGJBE-OAHLLOKOSA-N 0 1 321.490 3.170 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CCNCc2ncc(C(C)C)o2)C1 ZINC001754906779 1200533656 /nfs/dbraw/zinc/53/36/56/1200533656.db2.gz HYLOAPNDQLSJLW-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001754930559 1200540840 /nfs/dbraw/zinc/54/08/40/1200540840.db2.gz KSTHEJGVJKKSSK-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@H](C=C)CC)cccc2C1 ZINC001754975555 1200563047 /nfs/dbraw/zinc/56/30/47/1200563047.db2.gz BXRUQFLECUJVCF-MRXNPFEDSA-N 0 1 312.457 3.449 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C3(C)CC=CC3)cccc2C1 ZINC001754976014 1200563691 /nfs/dbraw/zinc/56/36/91/1200563691.db2.gz ZAFTVSGSNREJRP-UHFFFAOYSA-N 0 1 322.452 3.041 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C(C)(C)CC(C)(C)C ZINC001690458981 1200572169 /nfs/dbraw/zinc/57/21/69/1200572169.db2.gz KFRNHPKMHJUXDV-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2C)CC1 ZINC001690460784 1200574369 /nfs/dbraw/zinc/57/43/69/1200574369.db2.gz JPCSSROIPNOGIJ-KRWDZBQOSA-N 0 1 312.457 3.183 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N[C@@H](C)c1cccc(Cl)c1F ZINC001690570691 1200629998 /nfs/dbraw/zinc/62/99/98/1200629998.db2.gz KCUURJDZFMKVFI-OLZOCXBDSA-N 0 1 324.827 3.438 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001690573315 1200632541 /nfs/dbraw/zinc/63/25/41/1200632541.db2.gz SIUXXNPXGIMOQC-IKESIWSLSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001690579060 1200633197 /nfs/dbraw/zinc/63/31/97/1200633197.db2.gz JINYZGYPHMPYSP-GFCCVEGCSA-N 0 1 324.852 3.242 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CC[C@@H](C)C3)cc2C1 ZINC001755102425 1200637070 /nfs/dbraw/zinc/63/70/70/1200637070.db2.gz SXZHCDBGMRLXDE-IAGOWNOFSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001690614712 1200650486 /nfs/dbraw/zinc/65/04/86/1200650486.db2.gz OOOLAQGFMHZQOA-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2ccccc2F)CCC1 ZINC001690638102 1200663283 /nfs/dbraw/zinc/66/32/83/1200663283.db2.gz BSMQAZYNQCXKHI-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001690651918 1200672652 /nfs/dbraw/zinc/67/26/52/1200672652.db2.gz JGGRIWGLCRDSQJ-SWLSCSKDSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2nc(C)c(C)o2)C1 ZINC001690776885 1200709075 /nfs/dbraw/zinc/70/90/75/1200709075.db2.gz FFBMZSCCBXXQEK-LSDHHAIUSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1cc2ccccc2o1 ZINC001755245549 1200730216 /nfs/dbraw/zinc/73/02/16/1200730216.db2.gz FFQJTLUARBPEQY-OLZOCXBDSA-N 0 1 320.820 3.211 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1ccc(C)cc1C ZINC001755245936 1200730357 /nfs/dbraw/zinc/73/03/57/1200730357.db2.gz IMKBFSFLBCGUQM-CVEARBPZSA-N 0 1 322.880 3.471 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCC[C@@H](N(C)CCF)C1)c1ccccc1 ZINC001690826821 1200739305 /nfs/dbraw/zinc/73/93/05/1200739305.db2.gz OTXQFROKCVUDCZ-FUHWJXTLSA-N 0 1 318.436 3.239 20 30 DGEDMN Cc1ccc(C(=O)N[C@H](C)[C@@H](C)NCc2ccccc2C#N)cc1 ZINC001755262573 1200745415 /nfs/dbraw/zinc/74/54/15/1200745415.db2.gz GPCPLXCYAQPNAZ-HZPDHXFCSA-N 0 1 321.424 3.163 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2cnccc2c1 ZINC001755264614 1200746644 /nfs/dbraw/zinc/74/66/44/1200746644.db2.gz YEWIHLCUOUQCBB-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1sccc1C1CC1 ZINC001755270580 1200752408 /nfs/dbraw/zinc/75/24/08/1200752408.db2.gz YHFPXZHHMPAYHG-GHMZBOCLSA-N 0 1 312.866 3.474 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)/C=C/c1ccco1 ZINC001691785035 1200806015 /nfs/dbraw/zinc/80/60/15/1200806015.db2.gz CVEANAWBUAQMMZ-XPHWWTOTSA-N 0 1 322.836 3.262 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)c1ccccc1 ZINC001691782276 1200806651 /nfs/dbraw/zinc/80/66/51/1200806651.db2.gz MGFQVJDZICKNGT-PIKOESSRSA-N 0 1 322.452 3.059 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(C)(C)C=C)C1 ZINC001691840312 1200830710 /nfs/dbraw/zinc/83/07/10/1200830710.db2.gz SLMNCXHKCCIOPP-ZWKOTPCHSA-N 0 1 312.457 3.359 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001755371107 1200860448 /nfs/dbraw/zinc/86/04/48/1200860448.db2.gz OELLHMKGZMEZLU-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001755372944 1200861868 /nfs/dbraw/zinc/86/18/68/1200861868.db2.gz HEJMAGDSCKAAEJ-HUUCEWRRSA-N 0 1 316.420 3.127 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001755387016 1200870982 /nfs/dbraw/zinc/87/09/82/1200870982.db2.gz DDXBLHORXJBCKL-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001755390072 1200872970 /nfs/dbraw/zinc/87/29/70/1200872970.db2.gz KJYGAGXLWXMQTJ-YJBOKZPZSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H]2CCc3c2cccc3F)C1 ZINC001692119287 1200938846 /nfs/dbraw/zinc/93/88/46/1200938846.db2.gz IFXHYNCJYDKIAT-KBXCAEBGSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCCCN1CC(NC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001692212497 1200970344 /nfs/dbraw/zinc/97/03/44/1200970344.db2.gz DLSOIITUYDAEOX-UHFFFAOYSA-N 0 1 314.473 3.194 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(F)ccc2CC)C1 ZINC001692212807 1200970826 /nfs/dbraw/zinc/97/08/26/1200970826.db2.gz OYOKYUVXSVZTPN-CYBMUJFWSA-N 0 1 304.409 3.157 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H]1CCC(F)(F)C1 ZINC001755590404 1200975276 /nfs/dbraw/zinc/97/52/76/1200975276.db2.gz NABNWBZDMRGAHI-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C[C@@H](C(=O)N(C)C[C@H](C)NCc1ccccc1C#N)C(C)(C)C ZINC001755593316 1200976309 /nfs/dbraw/zinc/97/63/09/1200976309.db2.gz VNIVSZCRIJCLKF-GJZGRUSLSA-N 0 1 315.461 3.177 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CCC)CC(C)C)[C@H]1CC ZINC001692227135 1200976346 /nfs/dbraw/zinc/97/63/46/1200976346.db2.gz SZWGVKSREIYOBU-FGTMMUONSA-N 0 1 306.494 3.441 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](CC)CC(F)(F)F ZINC001755610998 1200988272 /nfs/dbraw/zinc/98/82/72/1200988272.db2.gz SWNXUSHNGIQWIJ-MNOVXSKESA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H](C)c1ccccc1 ZINC001755616741 1200992030 /nfs/dbraw/zinc/99/20/30/1200992030.db2.gz YBKOOBRERXMNNZ-DZGCQCFKSA-N 0 1 308.853 3.369 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)c(C)s1 ZINC001755616527 1200992814 /nfs/dbraw/zinc/99/28/14/1200992814.db2.gz SJPQMCABSPMMIQ-LLVKDONJSA-N 0 1 300.855 3.168 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)c(F)c(C)c1 ZINC001692263542 1200993237 /nfs/dbraw/zinc/99/32/37/1200993237.db2.gz MPKAVXOCODTDII-KRWDZBQOSA-N 0 1 316.420 3.002 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3cnccc32)C1 ZINC001692263918 1200993256 /nfs/dbraw/zinc/99/32/56/1200993256.db2.gz OTCPDVJRAJZTIU-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C[C@@H](CC(=O)NC1CN(CC2CC(C)C2)C1)c1ccccc1 ZINC001692266508 1200995823 /nfs/dbraw/zinc/99/58/23/1200995823.db2.gz JZKDGOOHRPXGGL-JCYILVPMSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001692264521 1200996070 /nfs/dbraw/zinc/99/60/70/1200996070.db2.gz BRWKHIPDOSWYEV-BRLJPEOMSA-N 0 1 322.880 3.288 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCCCc2cccs2)[C@H]1C ZINC001692397555 1201050890 /nfs/dbraw/zinc/05/08/90/1201050890.db2.gz MLBHUDKWEDXJML-WBVHZDCISA-N 0 1 318.486 3.063 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001692399715 1201053598 /nfs/dbraw/zinc/05/35/98/1201053598.db2.gz WABQFXDDHQOMQU-GJZGRUSLSA-N 0 1 304.409 3.434 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCCCC1(C)C)C(C)C ZINC001755815598 1201081926 /nfs/dbraw/zinc/08/19/26/1201081926.db2.gz PKLFFASABCPHAC-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCCC[C@@H]1CC)C(C)C ZINC001755817252 1201083826 /nfs/dbraw/zinc/08/38/26/1201083826.db2.gz FWINQACJYVGKBQ-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CNCc1ccc(F)cn1)C(C)(C)C ZINC001755830375 1201093125 /nfs/dbraw/zinc/09/31/25/1201093125.db2.gz LNVUPGCBPPZWSP-INIZCTEOSA-N 0 1 321.440 3.198 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001755890360 1201121628 /nfs/dbraw/zinc/12/16/28/1201121628.db2.gz FFFXXRRBTMAZAK-UHFFFAOYSA-N 0 1 318.383 3.289 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001698557185 1201227564 /nfs/dbraw/zinc/22/75/64/1201227564.db2.gz HYYFMMDIIDTJLR-MRXNPFEDSA-N 0 1 304.409 3.133 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC/C=C/c2ccccc2)C1 ZINC001698570552 1201241266 /nfs/dbraw/zinc/24/12/66/1201241266.db2.gz VQUZVZVTKUHIJP-YRVHBARZSA-N 0 1 310.441 3.036 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001698574296 1201244689 /nfs/dbraw/zinc/24/46/89/1201244689.db2.gz IJSRRUUVMBOZMY-RHSMWYFYSA-N 0 1 320.864 3.237 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)CC2CCCCC2)C1 ZINC001698576100 1201246897 /nfs/dbraw/zinc/24/68/97/1201246897.db2.gz VOAWVBGOXJMKJF-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)Cc2c(F)cccc2Cl)C1 ZINC001698587726 1201261013 /nfs/dbraw/zinc/26/10/13/1201261013.db2.gz QQQYDTJSELIXIJ-CYBMUJFWSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)Cc2c(F)cccc2Cl)C1 ZINC001698587727 1201261993 /nfs/dbraw/zinc/26/19/93/1201261993.db2.gz QQQYDTJSELIXIJ-ZDUSSCGKSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2nccs2)CCCC1 ZINC001698634656 1201301596 /nfs/dbraw/zinc/30/15/96/1201301596.db2.gz QPECEUJTDIULNI-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001698710692 1201348094 /nfs/dbraw/zinc/34/80/94/1201348094.db2.gz DXOAFTKJQICGLC-BZUAXINKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CC=CCC2)CC1 ZINC001698721569 1201362242 /nfs/dbraw/zinc/36/22/42/1201362242.db2.gz KYWKPQYKDCWSPS-INIZCTEOSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1cccc(C)c1 ZINC001698731519 1201372921 /nfs/dbraw/zinc/37/29/21/1201372921.db2.gz IRQVQFAOKZHSLZ-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](CC)CCCC)CC2 ZINC001698806290 1201437309 /nfs/dbraw/zinc/43/73/09/1201437309.db2.gz HPYVXKKAVJMKBC-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Br)CNC[C@H](CC(C)C)NC(=O)C(C)(C)C ZINC001698879717 1201535687 /nfs/dbraw/zinc/53/56/87/1201535687.db2.gz QCXLXUOJQRBXJS-LBPRGKRZSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)Cc1ccc(C)c(F)c1 ZINC001698989868 1201655280 /nfs/dbraw/zinc/65/52/80/1201655280.db2.gz PUHVWELFFZLDEY-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)CCCF)CC(C)(C)C1 ZINC001750945210 1201735724 /nfs/dbraw/zinc/73/57/24/1201735724.db2.gz RMYRJNHYEVXTQD-ZDUSSCGKSA-N 0 1 304.837 3.095 20 30 DGEDMN C[C@@H]1CCC[C@H]1CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001699269033 1201774372 /nfs/dbraw/zinc/77/43/72/1201774372.db2.gz RNKYPMUGACGODP-XZZFYQAUSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1c(C)cc(C)cc1C ZINC001699299344 1201782509 /nfs/dbraw/zinc/78/25/09/1201782509.db2.gz NHDWBDNVPQGEJA-WAYWQWQTSA-N 0 1 320.864 3.169 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001699364457 1201811838 /nfs/dbraw/zinc/81/18/38/1201811838.db2.gz UUXUFHMXRVAGOH-ZIAGYGMSSA-N 0 1 315.417 3.339 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001699379189 1201826584 /nfs/dbraw/zinc/82/65/84/1201826584.db2.gz ZHTJPSHZEKPSTA-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc2ccccc21 ZINC001699387558 1201832665 /nfs/dbraw/zinc/83/26/65/1201832665.db2.gz GDLRSJOGDZQJEK-CYBMUJFWSA-N 0 1 302.805 3.300 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc3c2CCC3)CC1 ZINC001752441303 1201841040 /nfs/dbraw/zinc/84/10/40/1201841040.db2.gz DNUHHWJIWOVYRI-UHFFFAOYSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cccc1F ZINC001752567682 1201874857 /nfs/dbraw/zinc/87/48/57/1201874857.db2.gz KADYNDZKXSXWCD-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCNC/C(Cl)=C/Cl ZINC001699538827 1201941708 /nfs/dbraw/zinc/94/17/08/1201941708.db2.gz YYLPUXZBFNXTEU-BENRWUELSA-N 0 1 307.265 3.346 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc2[nH]ccc2c1)C1CC1 ZINC001699592275 1201962213 /nfs/dbraw/zinc/96/22/13/1201962213.db2.gz GNBPHGOGRVFRNO-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CC/C=C/c1ccccc1 ZINC001699626590 1201988845 /nfs/dbraw/zinc/98/88/45/1201988845.db2.gz MOABQPMTORTZHN-HCWHUNCVSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1CC ZINC001699630518 1201995735 /nfs/dbraw/zinc/99/57/35/1201995735.db2.gz PDDHFYOROZFENP-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(C(F)(F)F)c1 ZINC001752913245 1202009273 /nfs/dbraw/zinc/00/92/73/1202009273.db2.gz IDHZAKIJUVYMAU-SNVBAGLBSA-N 0 1 320.742 3.166 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ocnc2C)CCCCC1 ZINC001752921354 1202013066 /nfs/dbraw/zinc/01/30/66/1202013066.db2.gz QKZYDNWVOWOMAP-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC(=O)N1CCC[C@H](N(C)CC#Cc2ccc(Cl)cc2)C1 ZINC001752985331 1202036257 /nfs/dbraw/zinc/03/62/57/1202036257.db2.gz AEMOOCQKVHQZHM-KRWDZBQOSA-N 0 1 318.848 3.024 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@H](C)c2ccncc2)C1 ZINC001699712960 1202046431 /nfs/dbraw/zinc/04/64/31/1202046431.db2.gz FOQLZMGHGILETH-JKSUJKDBSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C(C)(C)CC(F)(F)F)C1 ZINC001699720831 1202051633 /nfs/dbraw/zinc/05/16/33/1202051633.db2.gz MXEKGOPXHDILNG-GFCCVEGCSA-N 0 1 320.399 3.462 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(CCCCC)C2)CCCCC1 ZINC001699725321 1202054314 /nfs/dbraw/zinc/05/43/14/1202054314.db2.gz BEOZQCDQPAOYJQ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H](C)C2CCCCC2)CC1 ZINC001699773424 1202075410 /nfs/dbraw/zinc/07/54/10/1202075410.db2.gz RXRWCMFXSAFPHM-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1)=C1CCC1 ZINC001699815437 1202093282 /nfs/dbraw/zinc/09/32/82/1202093282.db2.gz INYRKDGYNQWEOD-FQEVSTJZSA-N 0 1 323.440 3.139 20 30 DGEDMN CC[C@H](CC(=O)NCCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001753070836 1202101497 /nfs/dbraw/zinc/10/14/97/1202101497.db2.gz OVGUJFNPHCEEJH-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCCCC(=O)N1CC[C@](C)(CNCc2csc(C)n2)C1 ZINC001699847543 1202110162 /nfs/dbraw/zinc/11/01/62/1202110162.db2.gz NCMZWXOHWSCSGG-QGZVFWFLSA-N 0 1 321.490 3.136 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCC[C@H]1c1ccccc1 ZINC001753085796 1202116901 /nfs/dbraw/zinc/11/69/01/1202116901.db2.gz QNXSZOCHDBMHQN-OALUTQOASA-N 0 1 312.457 3.032 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001753094040 1202125012 /nfs/dbraw/zinc/12/50/12/1202125012.db2.gz TZHYUUWUMZFCLL-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CCCN(Cc2cncs2)C1 ZINC001699875569 1202132973 /nfs/dbraw/zinc/13/29/73/1202132973.db2.gz SUXVGQRUAAITTF-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)C(=C)C)C1 ZINC001699888946 1202136130 /nfs/dbraw/zinc/13/61/30/1202136130.db2.gz VTMIXWQYJZDYMW-AWEZNQCLSA-N 0 1 314.420 3.238 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C(CC)CC)C1 ZINC001699887352 1202136588 /nfs/dbraw/zinc/13/65/88/1202136588.db2.gz LFBSIOTXYAGTGC-HIFRSBDPSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN1Cc1c(C)nsc1C ZINC001753109824 1202140135 /nfs/dbraw/zinc/14/01/35/1202140135.db2.gz GWNHIIHUTCHBKL-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@@H](C)CC)c1ccccc1CC ZINC001699934017 1202140735 /nfs/dbraw/zinc/14/07/35/1202140735.db2.gz NKISEWWONWRCTC-QFBILLFUSA-N 0 1 314.473 3.455 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCC(C)C)c1ccccc1CC ZINC001699935964 1202141143 /nfs/dbraw/zinc/14/11/43/1202141143.db2.gz VILRWTDEXSFVJZ-LJQANCHMSA-N 0 1 314.473 3.455 20 30 DGEDMN CC#CCN(CCNC(=O)CCCC1CCCCC1)C1CC1 ZINC001753162580 1202177022 /nfs/dbraw/zinc/17/70/22/1202177022.db2.gz DGBQSEOPQOBGCA-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCc1ccccc1CN(C)CC#CC ZINC001700091892 1202177369 /nfs/dbraw/zinc/17/73/69/1202177369.db2.gz SCCXIBHZMCZGSM-HXUWFJFHSA-N 0 1 312.457 3.360 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1(CC)CCC1 ZINC001700092091 1202178850 /nfs/dbraw/zinc/17/88/50/1202178850.db2.gz UKGNQTFTVBDWHW-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C=C3CCCCC3)cccc2C1 ZINC001700123050 1202183895 /nfs/dbraw/zinc/18/38/95/1202183895.db2.gz LTIKEEMXQYRFSU-UHFFFAOYSA-N 0 1 322.452 3.185 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@]3(C)C=CCC3)cccc2C1 ZINC001700123343 1202185128 /nfs/dbraw/zinc/18/51/28/1202185128.db2.gz QRLILHBRCFFMRK-HXUWFJFHSA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)s2)CCC1 ZINC001700140105 1202190561 /nfs/dbraw/zinc/19/05/61/1202190561.db2.gz CTHSFCZMNJJBEF-UHFFFAOYSA-N 0 1 319.257 3.396 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)c(C)o1)C(C)C ZINC001753284002 1202195893 /nfs/dbraw/zinc/19/58/93/1202195893.db2.gz GVZCJPKGJZOFPK-UHFFFAOYSA-N 0 1 312.841 3.479 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1=CCCC1)c1ccc(C(C)C)cc1 ZINC001753294917 1202198415 /nfs/dbraw/zinc/19/84/15/1202198415.db2.gz XKLNXQHYKISWQZ-IBGZPJMESA-N 0 1 310.441 3.300 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cscc1Cl)c1ccccc1 ZINC001753298494 1202199614 /nfs/dbraw/zinc/19/96/14/1202199614.db2.gz IRDZCIRORAECOG-OAHLLOKOSA-N 0 1 318.829 3.095 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@](C)(F)CCCC)c1ccccc1 ZINC001753299562 1202199984 /nfs/dbraw/zinc/19/99/84/1202199984.db2.gz DYVVFUBITYMDPN-PKOBYXMFSA-N 0 1 318.436 3.375 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cncc(Cl)c2)C1 ZINC001753319595 1202208415 /nfs/dbraw/zinc/20/84/15/1202208415.db2.gz INKNPXOQKDNGDL-MRXNPFEDSA-N 0 1 321.852 3.238 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCC2(CN(CCCCCC)C2)O1 ZINC001700204090 1202210748 /nfs/dbraw/zinc/21/07/48/1202210748.db2.gz BYULSBPJHGQGFI-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCCC(F)(F)F)C1 ZINC001753329205 1202213060 /nfs/dbraw/zinc/21/30/60/1202213060.db2.gz WQIOYHUVRUQHRF-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(C)(NCc2nocc2C)CC1 ZINC001700280756 1202224566 /nfs/dbraw/zinc/22/45/66/1202224566.db2.gz WSVRQYWTCHMARA-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](CC)CCC)C1 ZINC001753359586 1202227887 /nfs/dbraw/zinc/22/78/87/1202227887.db2.gz KIWBOXRQBNZOKM-LSDHHAIUSA-N 0 1 300.874 3.346 20 30 DGEDMN CCC(C)(C)C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001700326539 1202237559 /nfs/dbraw/zinc/23/75/59/1202237559.db2.gz SEHUBBDFTSFTCT-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1CC12CCCC2 ZINC001700345794 1202242971 /nfs/dbraw/zinc/24/29/71/1202242971.db2.gz FLSHCDZUVFSKTJ-GJZGRUSLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001753407330 1202242946 /nfs/dbraw/zinc/24/29/46/1202242946.db2.gz DYSVGAPESLIRKQ-UHFFFAOYSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001753441987 1202255374 /nfs/dbraw/zinc/25/53/74/1202255374.db2.gz SZCJYFGDXXUHIA-SJORKVTESA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001753449233 1202259162 /nfs/dbraw/zinc/25/91/62/1202259162.db2.gz GZDTVOYDLYDJRY-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001753449234 1202259323 /nfs/dbraw/zinc/25/93/23/1202259323.db2.gz GZDTVOYDLYDJRY-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC001700391547 1202260096 /nfs/dbraw/zinc/26/00/96/1202260096.db2.gz AXQSKWZGKPKBAX-OLZOCXBDSA-N 0 1 324.827 3.121 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001753460478 1202263100 /nfs/dbraw/zinc/26/31/00/1202263100.db2.gz ZBAATRYVUNFBSC-IRXDYDNUSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1cccc(C)c1 ZINC001700408132 1202264515 /nfs/dbraw/zinc/26/45/15/1202264515.db2.gz HBKIKIMTSGFHEA-CABCVRRESA-N 0 1 308.853 3.163 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@@H](C)NCc2c(C)noc2C)CC1 ZINC001700422864 1202268807 /nfs/dbraw/zinc/26/88/07/1202268807.db2.gz UPPDQOOTKGTSRL-OLZOCXBDSA-N 0 1 319.449 3.021 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700576678 1202317666 /nfs/dbraw/zinc/31/76/66/1202317666.db2.gz RVGWMGXRRFBGCM-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C(CC(C)C)CC(C)C)C(C)(C)C1 ZINC001700577174 1202318273 /nfs/dbraw/zinc/31/82/73/1202318273.db2.gz WAITVYLZXGEACA-KRWDZBQOSA-N 0 1 306.494 3.155 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1CCC(C)(C)CC1 ZINC001753728184 1202321945 /nfs/dbraw/zinc/32/19/45/1202321945.db2.gz QZHHDLXCWDECDT-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)C(C)(CC)CC ZINC001753729720 1202322032 /nfs/dbraw/zinc/32/20/32/1202322032.db2.gz PUTGUICOABVNKG-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCCNCc2nocc2C)CC1 ZINC001700674635 1202332524 /nfs/dbraw/zinc/33/25/24/1202332524.db2.gz WMVQITOGNUPXFN-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@H](C)NCc2cc(C)on2)C1 ZINC001753814285 1202338267 /nfs/dbraw/zinc/33/82/67/1202338267.db2.gz GSXDBGRFQUVLBH-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C)(C)C1CCC1 ZINC001700726301 1202343547 /nfs/dbraw/zinc/34/35/47/1202343547.db2.gz PPYBQMNRFYCBNL-OAHLLOKOSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CC1CC(C)(C)C1 ZINC001700728560 1202343884 /nfs/dbraw/zinc/34/38/84/1202343884.db2.gz NSLNRKQBJNFNPE-HNNXBMFYSA-N 0 1 312.885 3.392 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001700748416 1202348028 /nfs/dbraw/zinc/34/80/28/1202348028.db2.gz XPEYFHSYTZYYIA-AGKVCYSUSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2cc(F)ccc2F)C1 ZINC001700765777 1202350838 /nfs/dbraw/zinc/35/08/38/1202350838.db2.gz CFAOVUMJSRWZQM-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(CC(C)C)c[nH]1 ZINC001700795418 1202354263 /nfs/dbraw/zinc/35/42/63/1202354263.db2.gz FMRRZDBVEKOPCD-CYBMUJFWSA-N 0 1 311.857 3.016 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001700846710 1202358576 /nfs/dbraw/zinc/35/85/76/1202358576.db2.gz QADNLPPLMHETBT-NWDGAFQWSA-N 0 1 319.276 3.487 20 30 DGEDMN CC(C)N(CCN(C)C/C=C/c1ccccc1)C(=O)C#CC1CC1 ZINC001700971296 1202372290 /nfs/dbraw/zinc/37/22/90/1202372290.db2.gz TXMDGEOIQKVKOR-JXMROGBWSA-N 0 1 324.468 3.282 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C\C(C)(C)C)C(C)(C)C ZINC001700983059 1202376547 /nfs/dbraw/zinc/37/65/47/1202376547.db2.gz FFUQOHKPJDDKPU-YXVBKTCISA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(CCC)CC1)C(C)(C)C ZINC001700999367 1202378932 /nfs/dbraw/zinc/37/89/32/1202378932.db2.gz TZKHITUFUSVKTP-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)c2ccc(C)cc2)c(F)c1 ZINC001701064094 1202386675 /nfs/dbraw/zinc/38/66/75/1202386675.db2.gz ALQABUTVSOHJQI-UHFFFAOYSA-N 0 1 324.399 3.177 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001707053863 1202570171 /nfs/dbraw/zinc/57/01/71/1202570171.db2.gz NLNFGCOSKNNHNU-VQIMIIECSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCc1ccc(Cl)s1 ZINC001707060812 1202570865 /nfs/dbraw/zinc/57/08/65/1202570865.db2.gz ISDROEXWEYZIED-LBPRGKRZSA-N 0 1 312.866 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001707081202 1202572230 /nfs/dbraw/zinc/57/22/30/1202572230.db2.gz GUFTXRLHJMKAFN-XZQWCENXSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H]1CCN(CCF)[C@@H]1CC)c1ccccc1 ZINC001707202946 1202576339 /nfs/dbraw/zinc/57/63/39/1202576339.db2.gz HRTSEDLFNBWFRA-FGTMMUONSA-N 0 1 318.436 3.285 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccccc2Cl)[C@@H]1C ZINC001707247857 1202578286 /nfs/dbraw/zinc/57/82/86/1202578286.db2.gz ATHKGOAXZBLASC-PBHICJAKSA-N 0 1 318.848 3.223 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)CCC(C)(C)C ZINC001707278315 1202580364 /nfs/dbraw/zinc/58/03/64/1202580364.db2.gz KBNBFCFOYOOPBI-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1ccnc(Cl)c1 ZINC001707398354 1202582742 /nfs/dbraw/zinc/58/27/42/1202582742.db2.gz FCHZBMGSVZVUMK-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CN(CC#Cc1ccccc1Cl)CCCNC(=O)C(C)(C)C ZINC001707424929 1202584164 /nfs/dbraw/zinc/58/41/64/1202584164.db2.gz ZCBHHTOQHPMTMR-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001713063617 1202614308 /nfs/dbraw/zinc/61/43/08/1202614308.db2.gz MRGLYFXHQVXDQL-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001713062699 1202614412 /nfs/dbraw/zinc/61/44/12/1202614412.db2.gz LDVPZEQPDGVIMO-ROUUACIJSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001713064469 1202614509 /nfs/dbraw/zinc/61/45/09/1202614509.db2.gz QBWBNJBWRMEBOD-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC001713085926 1202615807 /nfs/dbraw/zinc/61/58/07/1202615807.db2.gz OWCJZULDLAYDHN-OAHLLOKOSA-N 0 1 322.399 3.054 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001713085803 1202615871 /nfs/dbraw/zinc/61/58/71/1202615871.db2.gz HJCCYHNNZJVVFH-QAPCUYQASA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1c(C)oc(C)c1C)C1CC1 ZINC001713116105 1202618534 /nfs/dbraw/zinc/61/85/34/1202618534.db2.gz XAFFVMMCELXSNG-AWEZNQCLSA-N 0 1 310.825 3.055 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](C)c1ccccc1C ZINC001713258452 1202632833 /nfs/dbraw/zinc/63/28/33/1202632833.db2.gz XQMZJMXASYMESE-QZTJIDSGSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CNCc1oc(C)nc1C ZINC001713262274 1202632926 /nfs/dbraw/zinc/63/29/26/1202632926.db2.gz GKJDOCXXYZNOBI-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)CCC1(C)CC1 ZINC001713271457 1202633855 /nfs/dbraw/zinc/63/38/55/1202633855.db2.gz JCZUFDQRXPORLJ-ZWKOTPCHSA-N 0 1 316.489 3.436 20 30 DGEDMN C[C@@H](CNC(=O)C=C1CCCCC1)NCc1ccccc1C#N ZINC001713302201 1202637337 /nfs/dbraw/zinc/63/73/37/1202637337.db2.gz ZGPVTVLISZUFRI-HNNXBMFYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001713324431 1202639105 /nfs/dbraw/zinc/63/91/05/1202639105.db2.gz IHTJGPXKXUUIED-NSHDSACASA-N 0 1 322.836 3.495 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2cnc(C)o2)CCCCC1 ZINC001713337970 1202640387 /nfs/dbraw/zinc/64/03/87/1202640387.db2.gz BCBBOYVWBPXVEU-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001713379481 1202643169 /nfs/dbraw/zinc/64/31/69/1202643169.db2.gz RSZWZUMXOCFGBR-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H](N(C)C[C@@H](F)CC)C1 ZINC001713395325 1202644793 /nfs/dbraw/zinc/64/47/93/1202644793.db2.gz GCISLLCNJLEXCN-DLBZAZTESA-N 0 1 310.457 3.241 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)CC1(C)CC1 ZINC001713548618 1202668390 /nfs/dbraw/zinc/66/83/90/1202668390.db2.gz OLDKCCZAAIOMJT-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001713560372 1202670711 /nfs/dbraw/zinc/67/07/11/1202670711.db2.gz FZXBQKIRRPFJQX-XRVIQIRUSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](Cc1ccccc1)C(C)C ZINC001713633912 1202674391 /nfs/dbraw/zinc/67/43/91/1202674391.db2.gz BAAJCHFYAOFQBJ-QGZVFWFLSA-N 0 1 322.880 3.302 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)c1ccccc1C)c1ccccc1 ZINC001713704199 1202678907 /nfs/dbraw/zinc/67/89/07/1202678907.db2.gz SRHJGSJDMSVAFW-FXAWDEMLSA-N 0 1 320.436 3.179 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2csc(C)c2C)C1 ZINC001713717689 1202680606 /nfs/dbraw/zinc/68/06/06/1202680606.db2.gz QARWJRDDHMZWOA-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2coc(C(F)F)c2)C1 ZINC001713720064 1202681236 /nfs/dbraw/zinc/68/12/36/1202681236.db2.gz NFBWHNJRYIVGMG-CYBMUJFWSA-N 0 1 312.360 3.330 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(CC)cc2)C1 ZINC001713721420 1202681630 /nfs/dbraw/zinc/68/16/30/1202681630.db2.gz PXEODTJYPFWGMA-GOSISDBHSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](C)CCCC)C1 ZINC001713729102 1202683146 /nfs/dbraw/zinc/68/31/46/1202683146.db2.gz VNGNOGFAYVJAJP-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(Cc1scnc1C)C1CC1 ZINC001713767852 1202688752 /nfs/dbraw/zinc/68/87/52/1202688752.db2.gz KOKLVWCRKAWEQZ-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CC2(c3ccccc3)CC2)C1 ZINC001713825245 1202693111 /nfs/dbraw/zinc/69/31/11/1202693111.db2.gz SLFZSFFCLUWTDU-MRXNPFEDSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CC2(c3ccccc3)CC2)C1 ZINC001713825244 1202693262 /nfs/dbraw/zinc/69/32/62/1202693262.db2.gz SLFZSFFCLUWTDU-INIZCTEOSA-N 0 1 312.457 3.263 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc3cc[nH]c32)CC1 ZINC001713858963 1202696678 /nfs/dbraw/zinc/69/66/78/1202696678.db2.gz ZJXWHOXTYLGBLC-UHFFFAOYSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001713878393 1202698127 /nfs/dbraw/zinc/69/81/27/1202698127.db2.gz ACSDTTIFVGODDA-GJZGRUSLSA-N 0 1 318.436 3.159 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC001713880228 1202698380 /nfs/dbraw/zinc/69/83/80/1202698380.db2.gz ZNLVQSJKAAIIBV-IKGGRYGDSA-N 0 1 318.848 3.027 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(CCC)CC2)C1 ZINC001713897002 1202700278 /nfs/dbraw/zinc/70/02/78/1202700278.db2.gz HPLHRNKGBQSWJI-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(Cc3csc(C)c3)C2)CCC1 ZINC001713918264 1202702236 /nfs/dbraw/zinc/70/22/36/1202702236.db2.gz UQNVIFHBWBBKIE-UHFFFAOYSA-N 0 1 318.486 3.351 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001713922038 1202702418 /nfs/dbraw/zinc/70/24/18/1202702418.db2.gz AQJDKMSWTTYELD-NSHDSACASA-N 0 1 312.866 3.129 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001714011644 1202725780 /nfs/dbraw/zinc/72/57/80/1202725780.db2.gz OMFYNRLEDUFKFC-ZVBPTUHVSA-N 0 1 312.457 3.445 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001714012233 1202726664 /nfs/dbraw/zinc/72/66/64/1202726664.db2.gz DFKZODCPSFRMOJ-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001714014485 1202727887 /nfs/dbraw/zinc/72/78/87/1202727887.db2.gz VNTSEJKJTUQZBD-IEBWSBKVSA-N 0 1 318.436 3.461 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001714017627 1202729542 /nfs/dbraw/zinc/72/95/42/1202729542.db2.gz CGBAWSJSSBVJFR-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1nsc2ccccc12 ZINC001714061594 1202758639 /nfs/dbraw/zinc/75/86/39/1202758639.db2.gz DRKXNQPQBUKRMO-ZDUSSCGKSA-N 0 1 317.458 3.247 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1ncc(C)s1 ZINC001714096007 1202771807 /nfs/dbraw/zinc/77/18/07/1202771807.db2.gz RMMCYXMFRFTYHD-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1cccs1 ZINC001714104528 1202778856 /nfs/dbraw/zinc/77/88/56/1202778856.db2.gz PUUBTLSGHSQQIA-NEPJUHHUSA-N 0 1 300.855 3.089 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCCN2C[C@H](F)CC)CCC1 ZINC001714114148 1202785593 /nfs/dbraw/zinc/78/55/93/1202785593.db2.gz KPFYPVIMSWLEPI-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(CNCc2nocc2C)CCCC1 ZINC001714134358 1202796043 /nfs/dbraw/zinc/79/60/43/1202796043.db2.gz SQTNMSILROKUMW-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CCC(C)C)C1 ZINC001714144985 1202800724 /nfs/dbraw/zinc/80/07/24/1202800724.db2.gz ODSZJPGVQIXEDC-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN CC1=C(C)C[C@H](C(=O)NC[C@H](C)NCC#Cc2ccccc2)CC1 ZINC001714234899 1202842047 /nfs/dbraw/zinc/84/20/47/1202842047.db2.gz OBCUGXKPVPAZJZ-AZUAARDMSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc2ccc(C)nc21 ZINC001714245271 1202845792 /nfs/dbraw/zinc/84/57/92/1202845792.db2.gz HPUXHEDOHWVGEO-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN Cc1ccc(C(=O)NC[C@@H](C)NCc2ccccc2C#N)cc1C ZINC001714299622 1202871386 /nfs/dbraw/zinc/87/13/86/1202871386.db2.gz MBSQRWTVZVOHGW-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CC(C)(F)F)cc1 ZINC001714322065 1202881606 /nfs/dbraw/zinc/88/16/06/1202881606.db2.gz MEWUBYSIACWQMR-UHFFFAOYSA-N 0 1 316.779 3.190 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)CNCc2ccon2)CCCCC1 ZINC001714437763 1202933165 /nfs/dbraw/zinc/93/31/65/1202933165.db2.gz SAKODLVAYSWGEN-OAHLLOKOSA-N 0 1 319.449 3.043 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H](N(C)C/C=C\Cl)C2)CC1 ZINC001714446812 1202940123 /nfs/dbraw/zinc/94/01/23/1202940123.db2.gz WPAPQVFYGRZROP-AGHZKPIHSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001714469320 1202952666 /nfs/dbraw/zinc/95/26/66/1202952666.db2.gz DSTJWJVDZNROAZ-MRXNPFEDSA-N 0 1 324.509 3.232 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)/C=C/C(C)(C)C ZINC001714562245 1203004834 /nfs/dbraw/zinc/00/48/34/1203004834.db2.gz KDDUOXZKMBSYIX-NKSUMMKUSA-N 0 1 313.445 3.097 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001714566775 1203008252 /nfs/dbraw/zinc/00/82/52/1203008252.db2.gz YFJSPFYZTROMJD-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2ccc(Cl)nc2)C1 ZINC001714591808 1203031295 /nfs/dbraw/zinc/03/12/95/1203031295.db2.gz GSTMADDNHGMAEG-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001714593695 1203032604 /nfs/dbraw/zinc/03/26/04/1203032604.db2.gz MSPVRKRRZVYCRY-IAGOWNOFSA-N 0 1 306.494 3.300 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1c(Cl)cccc1Cl ZINC001714596690 1203035211 /nfs/dbraw/zinc/03/52/11/1203035211.db2.gz LMEBXWONNGWZRY-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC001714603724 1203044087 /nfs/dbraw/zinc/04/40/87/1203044087.db2.gz JDRMCPSPGWZLJB-MRXNPFEDSA-N 0 1 306.837 3.387 20 30 DGEDMN CCCC1(C(=O)N(C)[C@@H](C)CNCc2ccccc2C#N)CC1 ZINC001714744915 1203115450 /nfs/dbraw/zinc/11/54/50/1203115450.db2.gz DNMJRSRGJULKTC-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(Cl)cc1C ZINC001714750419 1203117475 /nfs/dbraw/zinc/11/74/75/1203117475.db2.gz NKNUJAOBNDSGFR-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1c(CC)oc2ccccc21 ZINC001714805517 1203131545 /nfs/dbraw/zinc/13/15/45/1203131545.db2.gz XNTLGBBILJNDBK-UHFFFAOYSA-N 0 1 320.820 3.409 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](NCC#CC)c2ccccc2)CCC1 ZINC001714840781 1203147650 /nfs/dbraw/zinc/14/76/50/1203147650.db2.gz SBQHJDJKDKDIHI-SFHVURJKSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1occc1C(C)C)c1ccccc1 ZINC001714839837 1203147783 /nfs/dbraw/zinc/14/77/83/1203147783.db2.gz SVWKXUZEKBUPJF-GOSISDBHSA-N 0 1 324.424 3.487 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC(C)(C)C2CC2)C1 ZINC001714875664 1203171172 /nfs/dbraw/zinc/17/11/72/1203171172.db2.gz WRKDECGCDYQQRZ-CQSZACIVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001714879124 1203173650 /nfs/dbraw/zinc/17/36/50/1203173650.db2.gz QCWPYIZKGZBWLI-NVPAJSRCSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C2(C)CC2)C1 ZINC001714904115 1203189600 /nfs/dbraw/zinc/18/96/00/1203189600.db2.gz DFKNHJMDQRYLPB-LJQANCHMSA-N 0 1 324.468 3.303 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2coc(C)c2)CC1 ZINC001714908480 1203192410 /nfs/dbraw/zinc/19/24/10/1203192410.db2.gz NVCBRCQVLSSZBD-UHFFFAOYSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC001714937314 1203206855 /nfs/dbraw/zinc/20/68/55/1203206855.db2.gz YGKAJJFWVPNIEQ-HNNXBMFYSA-N 0 1 323.440 3.312 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001715009606 1203235417 /nfs/dbraw/zinc/23/54/17/1203235417.db2.gz BQBNVMYRQFXBDM-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(Cl)cn1CC ZINC001715079768 1203265347 /nfs/dbraw/zinc/26/53/47/1203265347.db2.gz LMMAAKUGNVTVIP-GFCCVEGCSA-N 0 1 318.248 3.012 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H]2CCN(C[C@H](F)CC)C2)CCCC1 ZINC001715033768 1203245935 /nfs/dbraw/zinc/24/59/35/1203245935.db2.gz ZGURIKKXTCDZBG-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(F)c(C)cc1F ZINC001715064097 1203260671 /nfs/dbraw/zinc/26/06/71/1203260671.db2.gz PQCIRPCYCRYULV-NSHDSACASA-N 0 1 316.779 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1ccc(Cl)s1 ZINC001715076598 1203265104 /nfs/dbraw/zinc/26/51/04/1203265104.db2.gz UUGDFNSIBFEBBV-JTQLQIEISA-N 0 1 321.273 3.181 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cc(C)on2)CCCC[C@H]1C ZINC001715133314 1203282151 /nfs/dbraw/zinc/28/21/51/1203282151.db2.gz WAHKZTXHTUDRQZ-RDTXWAMCSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cc(C)on2)CCCC[C@@H]1C ZINC001715133311 1203282318 /nfs/dbraw/zinc/28/23/18/1203282318.db2.gz WAHKZTXHTUDRQZ-KBXCAEBGSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2scnc2C)C1 ZINC001753441661 1203287214 /nfs/dbraw/zinc/28/72/14/1203287214.db2.gz NGQRAOKBZIDJBB-GJZGRUSLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001753441661 1203287217 /nfs/dbraw/zinc/28/72/17/1203287217.db2.gz NGQRAOKBZIDJBB-GJZGRUSLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CSC(C)C)CC1 ZINC001715200424 1203294657 /nfs/dbraw/zinc/29/46/57/1203294657.db2.gz LGAVBWSXPADYJT-UHFFFAOYSA-N 0 1 318.914 3.099 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNCc1csc(C2CC2)n1 ZINC001715247489 1203302879 /nfs/dbraw/zinc/30/28/79/1203302879.db2.gz VVKDTDIKUQIOHO-LBPRGKRZSA-N 0 1 321.490 3.075 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1cccc(OC)c1Cl ZINC001715330119 1203317386 /nfs/dbraw/zinc/31/73/86/1203317386.db2.gz GWOIJVFYTCCALI-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1F ZINC001715335497 1203318175 /nfs/dbraw/zinc/31/81/75/1203318175.db2.gz DAJCMKADBDDGPI-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001715352543 1203321622 /nfs/dbraw/zinc/32/16/22/1203321622.db2.gz HNXIAIHVWHQJDG-OAHLLOKOSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccccc1 ZINC001715353778 1203322154 /nfs/dbraw/zinc/32/21/54/1203322154.db2.gz KBYCFPGWCUPZIW-BBRMVZONSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCN[C@@H](C)c2ncc(C)o2)CC1 ZINC001715675930 1203379790 /nfs/dbraw/zinc/37/97/90/1203379790.db2.gz DUNWDDLHDAXOGF-ZFWWWQNUSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1sccc1C1CC1 ZINC001715772423 1203391061 /nfs/dbraw/zinc/39/10/61/1203391061.db2.gz NCAPELANKNSVDS-UHFFFAOYSA-N 0 1 312.866 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1cccc(OC)c1 ZINC001715839309 1203396895 /nfs/dbraw/zinc/39/68/95/1203396895.db2.gz GXRKYZBMPBVVRB-UHFFFAOYSA-N 0 1 318.461 3.188 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCC=C(Cl)Cl ZINC001715874568 1203397442 /nfs/dbraw/zinc/39/74/42/1203397442.db2.gz BZUBPAORNQKFIP-WAYWQWQTSA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001715910873 1203402778 /nfs/dbraw/zinc/40/27/78/1203402778.db2.gz DOEJVPKFEKWQKQ-APHBUQMISA-N 0 1 324.827 3.334 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)CCN(C)Cc2cccc(F)c2)cc1 ZINC001715922303 1203404688 /nfs/dbraw/zinc/40/46/88/1203404688.db2.gz MUWQUBGFEXOBIC-UHFFFAOYSA-N 0 1 324.399 3.011 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1ccc(F)cc1Cl ZINC001715930326 1203405874 /nfs/dbraw/zinc/40/58/74/1203405874.db2.gz CHRLUORGBLBXQJ-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(C)c1Cl ZINC001715957525 1203410754 /nfs/dbraw/zinc/41/07/54/1203410754.db2.gz QYOCVGIHUVGJLZ-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001715978390 1203415129 /nfs/dbraw/zinc/41/51/29/1203415129.db2.gz RPRWWBHFGARWEL-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001715992742 1203417637 /nfs/dbraw/zinc/41/76/37/1203417637.db2.gz NHGYKMBJSAWOHY-KBPBESRZSA-N 0 1 315.417 3.339 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2cc(F)ccc2Cl)C1 ZINC001715996516 1203418046 /nfs/dbraw/zinc/41/80/46/1203418046.db2.gz UOJGHIUOILBNEO-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)c1ccc(CC)cc1 ZINC001716001703 1203419278 /nfs/dbraw/zinc/41/92/78/1203419278.db2.gz YRCPBSMYASTCLS-AWEZNQCLSA-N 0 1 322.880 3.373 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(CCC)c(C)s1 ZINC001716006421 1203420204 /nfs/dbraw/zinc/42/02/04/1203420204.db2.gz CTFPSGSKSKZXFE-NSHDSACASA-N 0 1 314.882 3.469 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CC[C@@H](C)c1ccccc1 ZINC001716042262 1203433980 /nfs/dbraw/zinc/43/39/80/1203433980.db2.gz QCXIAMCZQQEMKI-SJORKVTESA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCCC3CC3)CC[C@H]21 ZINC001716051435 1203435537 /nfs/dbraw/zinc/43/55/37/1203435537.db2.gz OKXAQTNTUCYYPO-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)CCC)CC[C@@H]21 ZINC001716051589 1203435629 /nfs/dbraw/zinc/43/56/29/1203435629.db2.gz OYMKBOBXNYPHSS-GJZGRUSLSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(Cc2ccccc2)CC1 ZINC001716307349 1203458038 /nfs/dbraw/zinc/45/80/38/1203458038.db2.gz XQTKNSBFTRVVEL-WAYWQWQTSA-N 0 1 318.848 3.024 20 30 DGEDMN CC#CCCCC(=O)NC/C=C/CN[C@H](C)c1ccccc1F ZINC001716332135 1203459919 /nfs/dbraw/zinc/45/99/19/1203459919.db2.gz ABASJXPBRGMGHL-ZNFPLGDCSA-N 0 1 316.420 3.342 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001716393254 1203465628 /nfs/dbraw/zinc/46/56/28/1203465628.db2.gz XYTRQJNWXDDAHW-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001716454609 1203477134 /nfs/dbraw/zinc/47/71/34/1203477134.db2.gz HGEWVMGSVVBUGL-RBOXIYTFSA-N 0 1 300.874 3.296 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001716500453 1203493100 /nfs/dbraw/zinc/49/31/00/1203493100.db2.gz CDZHLIOOSDRMHT-IEBWSBKVSA-N 0 1 314.473 3.276 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCNC/C(Cl)=C\Cl)CC1 ZINC001716565120 1203502785 /nfs/dbraw/zinc/50/27/85/1203502785.db2.gz WUHQKNQBRSMCAP-GXDHUFHOSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1ccccc1F)C1CC1 ZINC001716625151 1203508209 /nfs/dbraw/zinc/50/82/09/1203508209.db2.gz MZDIGWNSSHIFLZ-MLGOLLRUSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCCc1cccc(F)c1 ZINC001716652266 1203513529 /nfs/dbraw/zinc/51/35/29/1203513529.db2.gz KRCRJNGVYDBBFU-SFHVURJKSA-N 0 1 318.436 3.305 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001716663180 1203517911 /nfs/dbraw/zinc/51/79/11/1203517911.db2.gz SVBMCFXQIPOOPQ-RHSMWYFYSA-N 0 1 304.409 3.086 20 30 DGEDMN C[C@H]1CCC[C@H]1CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716683554 1203524569 /nfs/dbraw/zinc/52/45/69/1203524569.db2.gz HVECOVMNFBZQDN-HKUYNNGSSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3cccc(F)c3F)CC2)C1 ZINC001716692871 1203526271 /nfs/dbraw/zinc/52/62/71/1203526271.db2.gz KERAHMCWPNSOMB-UHFFFAOYSA-N 0 1 320.383 3.060 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001716693308 1203526756 /nfs/dbraw/zinc/52/67/56/1203526756.db2.gz RUEWMAPSPWKCMT-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)s2)CC1 ZINC001716700310 1203528197 /nfs/dbraw/zinc/52/81/97/1203528197.db2.gz YIURGSIRYPZIFD-UHFFFAOYSA-N 0 1 305.230 3.006 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001716749741 1203536788 /nfs/dbraw/zinc/53/67/88/1203536788.db2.gz LHWNBCMZHTVFBK-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCN(C(=O)C[C@H]1C=CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001716802379 1203545152 /nfs/dbraw/zinc/54/51/52/1203545152.db2.gz HIGMGWQVVNCWIJ-IRXDYDNUSA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCN(C(=O)CCCC=C)C1CCN(C/C=C\Cl)CC1 ZINC001716809942 1203545587 /nfs/dbraw/zinc/54/55/87/1203545587.db2.gz XXJLBUFCTRICDW-XFFZJAGNSA-N 0 1 308.853 3.021 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001716829911 1203549500 /nfs/dbraw/zinc/54/95/00/1203549500.db2.gz FRXUGYDADJWAES-SJLPKXTDSA-N 0 1 310.457 3.263 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001716839354 1203552241 /nfs/dbraw/zinc/55/22/41/1203552241.db2.gz ZFNVMZVAWJIROC-KXBFYZLASA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001716850216 1203555964 /nfs/dbraw/zinc/55/59/64/1203555964.db2.gz LEKFJVPKIZYPNH-YLJYHZDGSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCC2CCC2)C1 ZINC001716910054 1203567787 /nfs/dbraw/zinc/56/77/87/1203567787.db2.gz CFIHXGAHSHZAIL-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)cccc2C1 ZINC001717143678 1203590320 /nfs/dbraw/zinc/59/03/20/1203590320.db2.gz SOZOSBSJDPADDW-GUXCAODWSA-N 0 1 324.468 3.283 20 30 DGEDMN CCC(C)(C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001717157125 1203592942 /nfs/dbraw/zinc/59/29/42/1203592942.db2.gz SJHBXBVNABTTCK-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2cccc(C)c2)CCC1 ZINC001717158834 1203593193 /nfs/dbraw/zinc/59/31/93/1203593193.db2.gz KPDYLGFPISHBLC-HNNXBMFYSA-N 0 1 320.864 3.480 20 30 DGEDMN CC(C)[C@H](C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001717212862 1203603715 /nfs/dbraw/zinc/60/37/15/1203603715.db2.gz PZNQQOYSSJHYKO-SFHVURJKSA-N 0 1 314.473 3.111 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CC1CCC1 ZINC001717243601 1203609120 /nfs/dbraw/zinc/60/91/20/1203609120.db2.gz HUJVKHAZQPYARR-IBGZPJMESA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)CCCCCC)C(C)(C)C1 ZINC001717311605 1203617567 /nfs/dbraw/zinc/61/75/67/1203617567.db2.gz DVZKDTBXUFTCKF-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1c2ccccc2CCN1CC(=C)C ZINC001717344138 1203622795 /nfs/dbraw/zinc/62/27/95/1203622795.db2.gz JQQHVOXDKXPJKF-SFHVURJKSA-N 0 1 312.457 3.490 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCCC=C ZINC001717344683 1203623046 /nfs/dbraw/zinc/62/30/46/1203623046.db2.gz WKVDCLMLHRCIRY-LJQANCHMSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)cn1 ZINC001717427544 1203642037 /nfs/dbraw/zinc/64/20/37/1203642037.db2.gz ULMBTGWJKURDOM-OLZOCXBDSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)cn1 ZINC001717427545 1203642187 /nfs/dbraw/zinc/64/21/87/1203642187.db2.gz ULMBTGWJKURDOM-QWHCGFSZSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2c(F)cccc2F)C1 ZINC001717438620 1203644512 /nfs/dbraw/zinc/64/45/12/1203644512.db2.gz JHDKDXMEEZZUFY-OLZOCXBDSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CC(C)(C)CNCc2cscn2)C1 ZINC001717457229 1203648628 /nfs/dbraw/zinc/64/86/28/1203648628.db2.gz BGLDYBWWVIJKGQ-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1coc(C)n1 ZINC001717457830 1203648635 /nfs/dbraw/zinc/64/86/35/1203648635.db2.gz UXPKXZCDLWDPGP-GOSISDBHSA-N 0 1 321.465 3.160 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](C)CCCc1ccccc1 ZINC001717498490 1203666502 /nfs/dbraw/zinc/66/65/02/1203666502.db2.gz BUBUVUAUZLCEGK-QZTJIDSGSA-N 0 1 314.473 3.105 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cnc(C)s1 ZINC001717528718 1203672445 /nfs/dbraw/zinc/67/24/45/1203672445.db2.gz FEQCDQOZUIPBIA-LBPRGKRZSA-N 0 1 315.870 3.082 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](CCC)CC(C)C ZINC001717542615 1203678117 /nfs/dbraw/zinc/67/81/17/1203678117.db2.gz IRESXBYOCYOQFV-ROUUACIJSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001717549867 1203680062 /nfs/dbraw/zinc/68/00/62/1203680062.db2.gz ZAKYZWUNHRCYJY-AWEZNQCLSA-N 0 1 318.486 3.453 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)[C@H](C)CCCC)C2)C1 ZINC001717573046 1203683803 /nfs/dbraw/zinc/68/38/03/1203683803.db2.gz IVXVTCDHPAYPCA-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccccc1CC ZINC001717734660 1203706763 /nfs/dbraw/zinc/70/67/63/1203706763.db2.gz HVTLKWMKXZRLEO-QGZVFWFLSA-N 0 1 320.864 3.442 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1F ZINC001717738958 1203707503 /nfs/dbraw/zinc/70/75/03/1203707503.db2.gz SPMDWHWIYWOGON-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001717855605 1203722821 /nfs/dbraw/zinc/72/28/21/1203722821.db2.gz IKTYEZULTJUFSS-UONOGXRCSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccccc2F)[C@H]1C ZINC001717864377 1203724914 /nfs/dbraw/zinc/72/49/14/1203724914.db2.gz XBARQKOINJTSTO-WMLDXEAASA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccccc2F)[C@H]1C ZINC001717864378 1203725261 /nfs/dbraw/zinc/72/52/61/1203725261.db2.gz XBARQKOINJTSTO-YOEHRIQHSA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)ccc1C)C1CC1 ZINC001723351062 1203965081 /nfs/dbraw/zinc/96/50/81/1203965081.db2.gz ODKDHNINLNJUIB-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1[C@@H](C)c1cccc(C)c1 ZINC001723423449 1203970100 /nfs/dbraw/zinc/97/01/00/1203970100.db2.gz OOQOEKRBPAEHED-HKUYNNGSSA-N 0 1 312.457 3.440 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCN1CC1=CCCCC1 ZINC001723430026 1203970907 /nfs/dbraw/zinc/97/09/07/1203970907.db2.gz UPROJCINIXQLEV-GOSISDBHSA-N 0 1 302.462 3.446 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2CCCc2ccsc2)C1 ZINC001723458703 1203974770 /nfs/dbraw/zinc/97/47/70/1203974770.db2.gz UIYFXPRSSJHFHW-INIZCTEOSA-N 0 1 318.486 3.228 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1nc(C)oc1C ZINC001723464787 1203975498 /nfs/dbraw/zinc/97/54/98/1203975498.db2.gz UURVCNBPBKBNOH-OAHLLOKOSA-N 0 1 307.438 3.066 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCN2CC=C(C)C)CCCCC1 ZINC001723485746 1203978729 /nfs/dbraw/zinc/97/87/29/1203978729.db2.gz ZEVJARIMTLFGON-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCCC23CC3)C1 ZINC001723775375 1203992326 /nfs/dbraw/zinc/99/23/26/1203992326.db2.gz DCWTUTNMLQGBGE-KRWDZBQOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(C3CCC3)CCC2)C1 ZINC001723781756 1203992883 /nfs/dbraw/zinc/99/28/83/1203992883.db2.gz LOLYMEPOBCFMFR-HNNXBMFYSA-N 0 1 304.478 3.454 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H](C)c2cccnc2)C1 ZINC001723813826 1203993980 /nfs/dbraw/zinc/99/39/80/1203993980.db2.gz SMRAPHDOLFUGFE-INIZCTEOSA-N 0 1 317.477 3.298 20 30 DGEDMN C#CCN(C(=O)CCC1(C)CC1)C1CCN(C/C=C\Cl)CC1 ZINC001724061217 1204002729 /nfs/dbraw/zinc/00/27/29/1204002729.db2.gz OFMUXSFUXMRITL-WCIBSUBMSA-N 0 1 322.880 3.245 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC(C)C)CCC1 ZINC001724659239 1204037576 /nfs/dbraw/zinc/03/75/76/1204037576.db2.gz UXBXFOLWSAODKC-QZTJIDSGSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCC[C@@H](C)C1 ZINC001724737672 1204041500 /nfs/dbraw/zinc/04/15/00/1204041500.db2.gz DAWLPCWVUHHDPH-SJORKVTESA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001724780250 1204045837 /nfs/dbraw/zinc/04/58/37/1204045837.db2.gz XSHGHABAUBFIMC-PHPOFCCKSA-N 0 1 322.880 3.002 20 30 DGEDMN CC(C)CCC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001724781492 1204046189 /nfs/dbraw/zinc/04/61/89/1204046189.db2.gz ZWNMPBGHEYEOPQ-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC(C(=O)NCC1(NCC#Cc2ccccc2)CCC1)=C1CCC1 ZINC001724782740 1204046770 /nfs/dbraw/zinc/04/67/70/1204046770.db2.gz JLJKLKWTYOXQJV-UHFFFAOYSA-N 0 1 322.452 3.167 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C[C@H]1C=CCC1 ZINC001724893140 1204063646 /nfs/dbraw/zinc/06/36/46/1204063646.db2.gz JQFRXHSDCNOESJ-PXNSSMCTSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(CCC)CCC)C[C@@H]1C ZINC001724941159 1204068150 /nfs/dbraw/zinc/06/81/50/1204068150.db2.gz BEGIXFHDXZDGJZ-SWLSCSKDSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC001725089447 1204092186 /nfs/dbraw/zinc/09/21/86/1204092186.db2.gz KJDQJVNBJQUJAP-OLZOCXBDSA-N 0 1 324.827 3.121 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1oc(CC)nc1C ZINC001725088622 1204092383 /nfs/dbraw/zinc/09/23/83/1204092383.db2.gz ILAHJGNJHBCTHT-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(O)ccc1Cl ZINC001725091026 1204092588 /nfs/dbraw/zinc/09/25/88/1204092588.db2.gz LUWQUIZQPWERRS-OLZOCXBDSA-N 0 1 324.852 3.385 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)c(OC)c(C)c1 ZINC001725093849 1204093329 /nfs/dbraw/zinc/09/33/29/1204093329.db2.gz PKSJRUUDXPPVGC-KBPBESRZSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001725096971 1204093721 /nfs/dbraw/zinc/09/37/21/1204093721.db2.gz UKUINLDOMBNYAE-NWDGAFQWSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(OC(C)C)cc1 ZINC001725128340 1204100018 /nfs/dbraw/zinc/10/00/18/1204100018.db2.gz KWTNANBBCLPNLG-UONOGXRCSA-N 0 1 324.852 3.323 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccccc1C(C)(C)CC ZINC001725176030 1204111320 /nfs/dbraw/zinc/11/13/20/1204111320.db2.gz XFYHZAAYHZCKJE-MRXNPFEDSA-N 0 1 314.473 3.448 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCc1cc(C)sc1C ZINC001725199332 1204118238 /nfs/dbraw/zinc/11/82/38/1204118238.db2.gz BENQXLUPUHIUGQ-AWEZNQCLSA-N 0 1 320.502 3.147 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1cccnc1 ZINC001725237679 1204124432 /nfs/dbraw/zinc/12/44/32/1204124432.db2.gz QYMYEAVGEPDDSM-SFHVURJKSA-N 0 1 315.461 3.299 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725256912 1204128949 /nfs/dbraw/zinc/12/89/49/1204128949.db2.gz DYNLWIHGSODIQT-AWEZNQCLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C2CN(Cc3ccsc3)C2)CCC1 ZINC001725256831 1204129040 /nfs/dbraw/zinc/12/90/40/1204129040.db2.gz FRBPMGZAUBTJIU-AWEZNQCLSA-N 0 1 318.486 3.431 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCC[C@@H](C)CC)C(C)(C)C1 ZINC001725287983 1204133693 /nfs/dbraw/zinc/13/36/93/1204133693.db2.gz WBTRASZIGNKPHZ-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(N(C)[C@H](C)c2ncc(C)o2)CC1 ZINC001725420427 1204148012 /nfs/dbraw/zinc/14/80/12/1204148012.db2.gz KIIGMNQTPALMNX-OAHLLOKOSA-N 0 1 319.449 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(Cc2ccccc2)CC1 ZINC001725490088 1204158056 /nfs/dbraw/zinc/15/80/56/1204158056.db2.gz OYUCGUUTCCTNQS-HNNXBMFYSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(c2ccccc2)CCC1 ZINC001725496742 1204159378 /nfs/dbraw/zinc/15/93/78/1204159378.db2.gz AUZFGVKVAKSCHL-HNNXBMFYSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(F)ccc1Cl ZINC001725511505 1204162699 /nfs/dbraw/zinc/16/26/99/1204162699.db2.gz MUXUKQRBRFUEIW-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](CNCc2nc(C)oc2C)C1 ZINC001725661821 1204194291 /nfs/dbraw/zinc/19/42/91/1204194291.db2.gz RKPYHJSEWBJNOZ-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001725674762 1204197347 /nfs/dbraw/zinc/19/73/47/1204197347.db2.gz PONNNTLEPHONFC-NSHDSACASA-N 0 1 319.276 3.344 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc2ncccc21)C(C)C ZINC001725730893 1204203017 /nfs/dbraw/zinc/20/30/17/1204203017.db2.gz KPDVDRLUIRTVKQ-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C12CC(C(C)(C)C)(C1)C2)C(C)C ZINC001725733355 1204205346 /nfs/dbraw/zinc/20/53/46/1204205346.db2.gz QYRYEZNMQDPGHB-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCC(F)(F)C1)C(C)(C)C ZINC001725741955 1204209329 /nfs/dbraw/zinc/20/93/29/1204209329.db2.gz TXEWAXCSYUGXRJ-RYUDHWBXSA-N 0 1 322.827 3.295 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001725743790 1204209658 /nfs/dbraw/zinc/20/96/58/1204209658.db2.gz HACUVCOILIUOAE-RHSMWYFYSA-N 0 1 315.461 3.225 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@@H]1CC12CCCC2 ZINC001731277225 1204360631 /nfs/dbraw/zinc/36/06/31/1204360631.db2.gz MZPOFSDJYMSFMU-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(C/C=C/Cl)C2CC2)CC1 ZINC001731337671 1204376176 /nfs/dbraw/zinc/37/61/76/1204376176.db2.gz LGKIDOQLAZZRRT-WTDSWWLTSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCCC(F)(F)C1)C1CC1 ZINC001731404878 1204399267 /nfs/dbraw/zinc/39/92/67/1204399267.db2.gz KVMZNFQINXVQCB-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](NCc2csc(CCC)n2)C1 ZINC001731450306 1204415927 /nfs/dbraw/zinc/41/59/27/1204415927.db2.gz KZVDSLMIBHXHPX-JOCQHMNTSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)c1ccc(F)cc1 ZINC001731544361 1204453933 /nfs/dbraw/zinc/45/39/33/1204453933.db2.gz ZCCHAVSWDFSJAL-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cncs2)C1 ZINC001731558516 1204460905 /nfs/dbraw/zinc/46/09/05/1204460905.db2.gz KWNNZBZTGILANQ-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCC(NCc2csc(C)n2)CC1 ZINC001731570887 1204466361 /nfs/dbraw/zinc/46/63/61/1204466361.db2.gz KUEJLOPNCRBUAD-UHFFFAOYSA-N 0 1 321.490 3.181 20 30 DGEDMN CCC(=CC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1)CC ZINC001731585584 1204473345 /nfs/dbraw/zinc/47/33/45/1204473345.db2.gz MPLRUEWSGRPJSG-OAHLLOKOSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731594946 1204476721 /nfs/dbraw/zinc/47/67/21/1204476721.db2.gz PINYIMSTWASXAL-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1oc2ccc(F)cc2c1C ZINC001731595638 1204477404 /nfs/dbraw/zinc/47/74/04/1204477404.db2.gz ASHLODUJNRJGLP-JTQLQIEISA-N 0 1 324.783 3.341 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001731600889 1204478708 /nfs/dbraw/zinc/47/87/08/1204478708.db2.gz LVSKOOULXUBLAL-QFBILLFUSA-N 0 1 318.436 3.051 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001731618719 1204485501 /nfs/dbraw/zinc/48/55/01/1204485501.db2.gz YIEPZNTZTWZXIO-RRQGHBQHSA-N 0 1 320.864 3.199 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(Cl)c1Cl ZINC001731630742 1204489693 /nfs/dbraw/zinc/48/96/93/1204489693.db2.gz KCIAWGTZRUCFDN-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(COC(C)C)cc1 ZINC001731638509 1204493085 /nfs/dbraw/zinc/49/30/85/1204493085.db2.gz YNBGIURDLIUZGS-CQSZACIVSA-N 0 1 324.852 3.072 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@@H](C)NCC(=C)Cl)c1ccccc1 ZINC001731638285 1204493137 /nfs/dbraw/zinc/49/31/37/1204493137.db2.gz BALFAWJXEANRRD-HUUCEWRRSA-N 0 1 306.837 3.193 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](CC)C1CCC(C)CC1 ZINC001731804310 1204571324 /nfs/dbraw/zinc/57/13/24/1204571324.db2.gz IFEBZHZXCWTCFI-DAWZGUTISA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(CC)Cc1ccnc(Cl)c1 ZINC001731812894 1204579398 /nfs/dbraw/zinc/57/93/98/1204579398.db2.gz HAKUHYGPHFHFAX-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001731829719 1204589318 /nfs/dbraw/zinc/58/93/18/1204589318.db2.gz BZIAHMRLTOIEPZ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C(CC(C)C)CC(C)C ZINC001731841650 1204597195 /nfs/dbraw/zinc/59/71/95/1204597195.db2.gz LUUGZGYKLNRVHS-SFHVURJKSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001731947722 1204633338 /nfs/dbraw/zinc/63/33/38/1204633338.db2.gz BDJQLPZGQMUFOA-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1sccc1Cl ZINC001731949359 1204634297 /nfs/dbraw/zinc/63/42/97/1204634297.db2.gz WWGYVULISJEGRI-UHFFFAOYSA-N 0 1 300.855 3.306 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001731971762 1204638444 /nfs/dbraw/zinc/63/84/44/1204638444.db2.gz WFRWKNQIRUMEHT-HNNXBMFYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(CCC)CCC1)c1ccccc1 ZINC001732022765 1204652454 /nfs/dbraw/zinc/65/24/54/1204652454.db2.gz PPGXGBMSLHMIET-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccccc1 ZINC001732021295 1204652542 /nfs/dbraw/zinc/65/25/42/1204652542.db2.gz DMQHJEHXUMHQLS-HAGHYFMRSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(CC)s1)c1ccccc1 ZINC001732021096 1204652558 /nfs/dbraw/zinc/65/25/58/1204652558.db2.gz AZOSJVFFWADAPC-MRXNPFEDSA-N 0 1 312.438 3.004 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)c1cccc(C)c1)c1ccccc1 ZINC001732024886 1204653079 /nfs/dbraw/zinc/65/30/79/1204653079.db2.gz YHURJOURZOUVTL-PXNSSMCTSA-N 0 1 320.436 3.179 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H](CC)C(C)C)c1ccccc1 ZINC001732025840 1204653974 /nfs/dbraw/zinc/65/39/74/1204653974.db2.gz RULBFCNIVMGGRY-SJLPKXTDSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C)oc2C)C1 ZINC001732033251 1204656551 /nfs/dbraw/zinc/65/65/51/1204656551.db2.gz GAAYZMCJKONNGR-INIZCTEOSA-N 0 1 304.434 3.399 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CCC)C(=O)CCc2ccoc2)C1 ZINC001732035903 1204659276 /nfs/dbraw/zinc/65/92/76/1204659276.db2.gz NMFDDMGKSQFXGB-QGZVFWFLSA-N 0 1 304.434 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(F)c(C)c2)C1 ZINC001732052138 1204669907 /nfs/dbraw/zinc/66/99/07/1204669907.db2.gz DUIMFRSZOUSRQX-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C/C=C/c1ccccc1 ZINC001732057787 1204673214 /nfs/dbraw/zinc/67/32/14/1204673214.db2.gz DJCJWZVNMGLNIG-ULXLICBOSA-N 0 1 322.452 3.179 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccccc2Cl)C1 ZINC001732115835 1204700271 /nfs/dbraw/zinc/70/02/71/1204700271.db2.gz OKQFEAZMBTYSHM-CYBMUJFWSA-N 0 1 306.837 3.038 20 30 DGEDMN Cc1ccc(CN2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)(C)C)c(C)c1 ZINC001732143272 1204711771 /nfs/dbraw/zinc/71/17/71/1204711771.db2.gz UBPUGQYXFUSGCB-OALUTQOASA-N 0 1 324.468 3.138 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001732169904 1204725416 /nfs/dbraw/zinc/72/54/16/1204725416.db2.gz WZPUTNGAKHZRNL-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001732175050 1204730202 /nfs/dbraw/zinc/73/02/02/1204730202.db2.gz FPDXEBRMJFTBDJ-SJORKVTESA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1ccccc1CC ZINC001732204379 1204748369 /nfs/dbraw/zinc/74/83/69/1204748369.db2.gz UQXTYFYHZXUGAP-MRXNPFEDSA-N 0 1 308.853 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1cc2ccccc2o1 ZINC001732212258 1204752740 /nfs/dbraw/zinc/75/27/40/1204752740.db2.gz UMSCJUHUYHPPMR-AWEZNQCLSA-N 0 1 320.820 3.212 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2scnc2c1 ZINC001732217947 1204755888 /nfs/dbraw/zinc/75/58/88/1204755888.db2.gz LYGMKJHHGOUPPY-GFCCVEGCSA-N 0 1 323.849 3.147 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NCc1ccncc1Cl ZINC001732221226 1204757326 /nfs/dbraw/zinc/75/73/26/1204757326.db2.gz FVLGJBKNNQRFKM-AWEZNQCLSA-N 0 1 323.868 3.322 20 30 DGEDMN C=CCCC(=O)N[C@](C)(CNCc1c(F)cccc1F)C1CC1 ZINC001732239594 1204766648 /nfs/dbraw/zinc/76/66/48/1204766648.db2.gz DWYISXSMSQSVER-GOSISDBHSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(CNCc2ccon2)CCCC[C@@H]1C ZINC001732257581 1204773202 /nfs/dbraw/zinc/77/32/02/1204773202.db2.gz MKJYEORYBNPQEO-MAUKXSAKSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cocc2C)CC1 ZINC001732323108 1204791699 /nfs/dbraw/zinc/79/16/99/1204791699.db2.gz DBCCOJURGCASIB-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C2=CCCCCC2)C1 ZINC001732379400 1204811961 /nfs/dbraw/zinc/81/19/61/1204811961.db2.gz TUROZDKFKQYHFQ-WMZOPIPTSA-N 0 1 320.477 3.049 20 30 DGEDMN CC(C)[C@H](CNC(=O)CC(C)(C)C)NCc1ccccc1C#N ZINC001732554336 1204894125 /nfs/dbraw/zinc/89/41/25/1204894125.db2.gz LXIMHHOULZVEEC-KRWDZBQOSA-N 0 1 315.461 3.225 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732594187 1204915214 /nfs/dbraw/zinc/91/52/14/1204915214.db2.gz FRZJRJVIBPRBLS-JKSUJKDBSA-N 0 1 315.461 3.131 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001732594745 1204916140 /nfs/dbraw/zinc/91/61/40/1204916140.db2.gz OCXPKDBBBBQREN-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCNCc2csc(CC)n2)C1 ZINC001732727023 1204934564 /nfs/dbraw/zinc/93/45/64/1204934564.db2.gz LIYYKZJMFVLARD-CYBMUJFWSA-N 0 1 321.490 3.046 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2nocc2C)CCCC1 ZINC001732757541 1204938526 /nfs/dbraw/zinc/93/85/26/1204938526.db2.gz QVCLLACECBOSCL-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCC1(C(=O)N(CC)CCNCC(=C)Cl)CCCCC1 ZINC001732813801 1204946947 /nfs/dbraw/zinc/94/69/47/1204946947.db2.gz PLDTZVPSBHXTLN-UHFFFAOYSA-N 0 1 310.869 3.151 20 30 DGEDMN C[C@@H](NC/C=C/CNC(=O)C#CC(C)(C)C)c1ccccc1F ZINC001732850501 1204951832 /nfs/dbraw/zinc/95/18/32/1204951832.db2.gz VSHIOPBHEFLNDU-MVGZEHJDSA-N 0 1 316.420 3.198 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cccc(C(C)C)n1 ZINC001732885780 1204954534 /nfs/dbraw/zinc/95/45/34/1204954534.db2.gz MIOIRDWTTNOJEC-FPLPWBNLSA-N 0 1 315.461 3.179 20 30 DGEDMN CC1(C)CCC[C@H]1C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001732891412 1204955324 /nfs/dbraw/zinc/95/53/24/1204955324.db2.gz ZLPODSHKYZPDJO-GHOSXJJBSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C(C)C)cc1 ZINC001732931437 1204959844 /nfs/dbraw/zinc/95/98/44/1204959844.db2.gz OMIUNXOJJJHTMD-PLNGDYQASA-N 0 1 306.837 3.438 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001732966708 1204967278 /nfs/dbraw/zinc/96/72/78/1204967278.db2.gz RLBVBYAXJBYFAC-GFCCVEGCSA-N 0 1 324.852 3.242 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CN(C)[C@@H](C)c1ccccc1F ZINC001733039608 1204994494 /nfs/dbraw/zinc/99/44/94/1204994494.db2.gz VOARTVNJVMFRJY-GJZGRUSLSA-N 0 1 304.409 3.127 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)CN(C)C[C@@H](F)CC)CCCCC1 ZINC001733064897 1205013920 /nfs/dbraw/zinc/01/39/20/1205013920.db2.gz CVBBYGZGGQXTQT-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@@H]1CNCc1cc(C)on1 ZINC001733076393 1205019323 /nfs/dbraw/zinc/01/93/23/1205019323.db2.gz GXHZTNXWGOVXKF-WBVHZDCISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1coc(C(F)F)c1)C1CC1 ZINC001733179862 1205035202 /nfs/dbraw/zinc/03/52/02/1205035202.db2.gz AVHPSTLLJOXLNY-LLVKDONJSA-N 0 1 318.751 3.068 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001733214180 1205048425 /nfs/dbraw/zinc/04/84/25/1205048425.db2.gz IUOJEAYBTWHMGS-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001733231188 1205060193 /nfs/dbraw/zinc/06/01/93/1205060193.db2.gz SEJCHHMIVKHHTJ-SJLPKXTDSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2CCOC(C)C)CCCCC1 ZINC001733238635 1205064389 /nfs/dbraw/zinc/06/43/89/1205064389.db2.gz VFUQZFRTDMDZBW-QGZVFWFLSA-N 0 1 322.493 3.129 20 30 DGEDMN O=C(C[C@H]1CC=CCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001733252636 1205068935 /nfs/dbraw/zinc/06/89/35/1205068935.db2.gz HKERTYCVNKKVFP-IBGZPJMESA-N 0 1 322.452 3.023 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H](C)c2ccc(CC)cc2)C1 ZINC001733312184 1205091504 /nfs/dbraw/zinc/09/15/04/1205091504.db2.gz JFKTUDZQNVILTH-INIZCTEOSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccc(C)s2)C1 ZINC001733318235 1205093899 /nfs/dbraw/zinc/09/38/99/1205093899.db2.gz WJMJTWBZCGHTEC-UHFFFAOYSA-N 0 1 320.502 3.488 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C1CN(CC2CCC2)C1)c1ccccc1 ZINC001733319428 1205094838 /nfs/dbraw/zinc/09/48/38/1205094838.db2.gz YWSBWCZMMHWVKK-QGZVFWFLSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1ccccc1 ZINC001733352931 1205103266 /nfs/dbraw/zinc/10/32/66/1205103266.db2.gz VEQXCUZVJKCDNC-ZBFHGGJFSA-N 0 1 306.837 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CCCC1 ZINC001733377074 1205109057 /nfs/dbraw/zinc/10/90/57/1205109057.db2.gz KKHFSDJMGYBBHG-XUWVNRHRSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@H](C)SC)CC1 ZINC001733447833 1205136085 /nfs/dbraw/zinc/13/60/85/1205136085.db2.gz IUPAFYIQXYLIHL-ZDUSSCGKSA-N 0 1 318.914 3.099 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1occc1C)c1ccccc1CC ZINC001733515833 1205151171 /nfs/dbraw/zinc/15/11/71/1205151171.db2.gz XHVBZQMDMJUIPO-GOSISDBHSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(F)cc1)c1ccccc1CC ZINC001733513429 1205151384 /nfs/dbraw/zinc/15/13/84/1205151384.db2.gz LBFGMTSJLSUXBK-IBGZPJMESA-N 0 1 324.399 3.082 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001733680277 1205182847 /nfs/dbraw/zinc/18/28/47/1205182847.db2.gz MNRDEXUZCDLTAK-PMACEKPBSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H]3CCC[C@@H]3C)cccc2C1 ZINC001733709584 1205189541 /nfs/dbraw/zinc/18/95/41/1205189541.db2.gz YIFADQLNXWJLIL-IRXDYDNUSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H]3CCC[C@H]3C)cccc2C1 ZINC001733709585 1205190312 /nfs/dbraw/zinc/19/03/12/1205190312.db2.gz YIFADQLNXWJLIL-SJORKVTESA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)c2ccco2)CCC1 ZINC001733726441 1205196431 /nfs/dbraw/zinc/19/64/31/1205196431.db2.gz NXBHGMBOLJDNCT-LBPRGKRZSA-N 0 1 310.825 3.154 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001733767405 1205205594 /nfs/dbraw/zinc/20/55/94/1205205594.db2.gz XBENDNMINLGINF-QZTJIDSGSA-N 0 1 318.436 3.287 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C[C@@H](C=C)CC ZINC001733812928 1205224957 /nfs/dbraw/zinc/22/49/57/1205224957.db2.gz VJZRRYVSJGWSAV-FXAWDEMLSA-N 0 1 324.468 3.155 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2c(F)cccc2F)[C@@H](C)C1 ZINC001733848361 1205230186 /nfs/dbraw/zinc/23/01/86/1205230186.db2.gz DMBOXYBWBUQOTJ-GUYCJALGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC001733899765 1205245389 /nfs/dbraw/zinc/24/53/89/1205245389.db2.gz MMRRIZYLKDDCMA-HZPDHXFCSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCC(=C)C ZINC001733906818 1205250439 /nfs/dbraw/zinc/25/04/39/1205250439.db2.gz BSNZTKVUGPKZOL-IBGZPJMESA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@H]1CN(C)Cc1ccccc1F ZINC001733929031 1205259321 /nfs/dbraw/zinc/25/93/21/1205259321.db2.gz OBRXFAMATCSRPY-KRWDZBQOSA-N 0 1 316.420 3.052 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001733931488 1205260293 /nfs/dbraw/zinc/26/02/93/1205260293.db2.gz CDGRYHNTFYZVPL-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C(C)(C)C)c1 ZINC001733937110 1205265563 /nfs/dbraw/zinc/26/55/63/1205265563.db2.gz NHBCHROFEVLEGV-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2cccc(C)c2o1 ZINC001733944933 1205268540 /nfs/dbraw/zinc/26/85/40/1205268540.db2.gz XWNHEUNMBKLVND-KRWDZBQOSA-N 0 1 324.424 3.301 20 30 DGEDMN CC(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001733970875 1205275455 /nfs/dbraw/zinc/27/54/55/1205275455.db2.gz IQBJKZXIHGQRPJ-CABCVRRESA-N 0 1 315.461 3.223 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(F)cc2ccoc21 ZINC001733978113 1205277478 /nfs/dbraw/zinc/27/74/78/1205277478.db2.gz IMCLPDAGCQLCIK-GHMZBOCLSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)cc(OC)c1C ZINC001733982084 1205278226 /nfs/dbraw/zinc/27/82/26/1205278226.db2.gz DZWZRXNOTDMAFT-KGLIPLIRSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CC(C)(C)CC(F)F ZINC001733987410 1205280150 /nfs/dbraw/zinc/28/01/50/1205280150.db2.gz ZVICVROLRROXIT-GHMZBOCLSA-N 0 1 310.816 3.293 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001733989693 1205280865 /nfs/dbraw/zinc/28/08/65/1205280865.db2.gz KWCPTRGZKZEPBO-LSDHHAIUSA-N 0 1 315.461 3.367 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001733992397 1205281762 /nfs/dbraw/zinc/28/17/62/1205281762.db2.gz WRNJXAPUYGHGLF-NWDGAFQWSA-N 0 1 309.479 3.099 20 30 DGEDMN CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2ccccc2C#N)CCC1 ZINC001733993250 1205281955 /nfs/dbraw/zinc/28/19/55/1205281955.db2.gz LLFMWFIEBTTWMA-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(C(F)F)c1 ZINC001734010327 1205287786 /nfs/dbraw/zinc/28/77/86/1205287786.db2.gz ZMLVNVYFYPSGSQ-QWRGUYRKSA-N 0 1 316.779 3.473 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001734061155 1205311821 /nfs/dbraw/zinc/31/18/21/1205311821.db2.gz ATIQIWJEOLUBQO-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN CCCC[C@H](CNCc1ccccc1C#N)NC(=O)C1CCC1 ZINC001734092957 1205319917 /nfs/dbraw/zinc/31/99/17/1205319917.db2.gz WIBGOWMDZCZFJK-GOSISDBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCC(C)(C)c2ccccc2)C1 ZINC001734130068 1205331535 /nfs/dbraw/zinc/33/15/35/1205331535.db2.gz HQVDWRXADZOSKU-MRXNPFEDSA-N 0 1 314.473 3.367 20 30 DGEDMN C=CCCCC(=O)N1CC[C@]2(C1)CN(CCCC(C)C)CCO2 ZINC001734140976 1205332820 /nfs/dbraw/zinc/33/28/20/1205332820.db2.gz UQBGCUFZIFSINE-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](CC)CC(C)C)[C@@H]2C1 ZINC001734173182 1205339751 /nfs/dbraw/zinc/33/97/51/1205339751.db2.gz NMHORSUQEMYBMB-YHUYYLMFSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)[C@@H]1C ZINC001734397214 1205372321 /nfs/dbraw/zinc/37/23/21/1205372321.db2.gz ZIQQAFQKJIKVNZ-SUMWQHHRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2c(F)cccc2F)[C@H]1C ZINC001734400744 1205372638 /nfs/dbraw/zinc/37/26/38/1205372638.db2.gz VBOAURAYHARYDB-DYVFJYSZSA-N 0 1 322.399 3.400 20 30 DGEDMN CCCCCC(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@@H]1C ZINC001734414036 1205374382 /nfs/dbraw/zinc/37/43/82/1205374382.db2.gz WVHVQMJQWRAWKW-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(Cc3ccccc3)CC2)[C@@H]1C ZINC001734459115 1205381427 /nfs/dbraw/zinc/38/14/27/1205381427.db2.gz NGHNRPNLERKTPF-FUHWJXTLSA-N 0 1 312.457 3.164 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2cc(C)ccc2o1)C(C)C ZINC001734553948 1205392692 /nfs/dbraw/zinc/39/26/92/1205392692.db2.gz YXLVGIIOGYHAQO-UHFFFAOYSA-N 0 1 312.413 3.157 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1csc(C)n1)C(C)(C)C ZINC001734562290 1205395220 /nfs/dbraw/zinc/39/52/20/1205395220.db2.gz TYSAXHXHNDHPDA-HNNXBMFYSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CCCCC(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001734570944 1205397462 /nfs/dbraw/zinc/39/74/62/1205397462.db2.gz RQZSKODNABHEHR-CYBMUJFWSA-N 0 1 309.479 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)C(F)F)C(C)(C)C ZINC001734572138 1205397504 /nfs/dbraw/zinc/39/75/04/1205397504.db2.gz UVMZTVLSSAFCKR-JTQLQIEISA-N 0 1 310.816 3.151 20 30 DGEDMN C#CCN(C(=O)C=C(CC)CC)C1CCN(C/C=C\Cl)CC1 ZINC001671469996 1197541893 /nfs/dbraw/zinc/54/18/93/1197541893.db2.gz VEVJKSOQWGYVSO-YFHOEESVSA-N 0 1 322.880 3.412 20 30 DGEDMN O=C([O-])CC[C@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC001595019329 951312790 /nfs/dbraw/zinc/31/27/90/951312790.db2.gz SNUXJIQCTKCWIW-OAHLLOKOSA-N 0 1 305.805 3.268 20 30 DGEDMN C[C@H]1CCC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@H]1C(=O)[O-] ZINC001589334979 953986127 /nfs/dbraw/zinc/98/61/27/953986127.db2.gz WFQHVHMCUGRSBE-XJKSGUPXSA-N 0 1 300.402 3.173 20 30 DGEDMN C=C[C@@H]1CCCC[N@@H+]1Cc1ccc(N2CCC[C@H](C(=O)[O-])C2)o1 ZINC001588453193 958433851 /nfs/dbraw/zinc/43/38/51/958433851.db2.gz VHOGAYUWTKPOIN-LSDHHAIUSA-N 0 1 318.417 3.121 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@@H+]2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)cc1 ZINC001573381601 963112802 /nfs/dbraw/zinc/11/28/02/963112802.db2.gz LPOJNVLYGRLMFY-DLBZAZTESA-N 0 1 312.413 3.030 20 30 DGEDMN C[C@H](C[N@@H+](Cc1ccccc1)Cc1cccc(C#N)c1)C(=O)[O-] ZINC001592604408 978542487 /nfs/dbraw/zinc/54/24/87/978542487.db2.gz AJDLIBPSAKFZKU-OAHLLOKOSA-N 0 1 308.381 3.281 20 30 DGEDMN C[C@H](C[N@@H+](Cc1ccccc1)Cc1ccc(CC#N)cc1)C(=O)[O-] ZINC001592604444 978542748 /nfs/dbraw/zinc/54/27/48/978542748.db2.gz BTZGLROQUHQNMK-MRXNPFEDSA-N 0 1 322.408 3.476 20 30 DGEDMN CC[C@]([NH2+]Cc1cc(C#N)cs1)(C(=O)[O-])c1ccccc1 ZINC001595298693 980420773 /nfs/dbraw/zinc/42/07/73/980420773.db2.gz UFKKWHSSLJRZOR-MRXNPFEDSA-N 0 1 300.383 3.099 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1ccc(F)c(C#N)c1)CC1CC1 ZINC001594787377 981818392 /nfs/dbraw/zinc/81/83/92/981818392.db2.gz BWQINRQSWIAUAB-KRWDZBQOSA-N 0 1 304.365 3.163 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1cccc(F)c1C#N)CC1CC1 ZINC001594788469 981836966 /nfs/dbraw/zinc/83/69/66/981836966.db2.gz YAIRLEOOWANRLN-KRWDZBQOSA-N 0 1 304.365 3.163 20 30 DGEDMN CCCCCCCC[N@H+]1CCC[C@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001595704496 982275766 /nfs/dbraw/zinc/27/57/66/982275766.db2.gz QPUBJEXMIUWQKO-GOSISDBHSA-N 0 1 311.466 3.429 20 30 DGEDMN CCCCCCCCC[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001595705194 982282647 /nfs/dbraw/zinc/28/26/47/982282647.db2.gz RFEGYRHWZIUDNL-HNNXBMFYSA-N 0 1 322.453 3.364 20 30 DGEDMN C#CC[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001588434286 983469708 /nfs/dbraw/zinc/46/97/08/983469708.db2.gz IUZXNKPHKXOIQR-HZMBPMFUSA-N 0 1 312.196 3.464 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](c2ccccc2OC)CC1 ZINC001588729402 983989964 /nfs/dbraw/zinc/98/99/64/983989964.db2.gz KAOKKHFBRMMRLZ-HOCLYGCPSA-N 0 1 303.402 3.294 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)CC[C@H]1C(=O)[O-] ZINC001599930492 985305844 /nfs/dbraw/zinc/30/58/44/985305844.db2.gz YAMMYWURYKXRPA-XJKSGUPXSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@H](C#N)C[N@H+](C)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328871 986159878 /nfs/dbraw/zinc/15/98/78/986159878.db2.gz HAZCNPLZQZWUFM-PELKAZGASA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@H](C#N)C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328871 986159883 /nfs/dbraw/zinc/15/98/83/986159883.db2.gz HAZCNPLZQZWUFM-PELKAZGASA-N 0 1 301.173 3.211 20 30 DGEDMN CCc1[nH]c(C[N@@H+](C)[C@@H](C)c2cccc(C#N)c2)cc1C(=O)[O-] ZINC001597380851 987580444 /nfs/dbraw/zinc/58/04/44/987580444.db2.gz ZXCMDKALPKCGBJ-LBPRGKRZSA-N 0 1 311.385 3.340 20 30 DGEDMN CCc1oc(C(=O)[O-])cc1C[N@H+](C)Cc1cc(C#N)cs1 ZINC001597761624 989189782 /nfs/dbraw/zinc/18/97/82/989189782.db2.gz MUBUOHWDMULSPA-UHFFFAOYSA-N 0 1 304.371 3.105 20 30 DGEDMN CCc1oc(C(=O)[O-])cc1C[N@@H+](C)Cc1cc(C#N)cs1 ZINC001597761624 989189787 /nfs/dbraw/zinc/18/97/87/989189787.db2.gz MUBUOHWDMULSPA-UHFFFAOYSA-N 0 1 304.371 3.105 20 30 DGEDMN C[N@@H+](CCCc1ccccc1)Cc1cccc(C(=O)[O-])c1C#N ZINC001598485660 992202728 /nfs/dbraw/zinc/20/27/28/992202728.db2.gz UVBORKLPGOJXRR-UHFFFAOYSA-N 0 1 308.381 3.321 20 30 DGEDMN C[N@@H+](Cc1ccc(C(=O)[O-])c2cccnc12)[C@H]1CCC[C@H]1C#N ZINC001598509555 992552245 /nfs/dbraw/zinc/55/22/45/992552245.db2.gz CZLFEMXOQYGPLQ-LRDDRELGSA-N 0 1 309.369 3.057 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](CCC)C(C)C ZINC001698733252 1201371526 /nfs/dbraw/zinc/37/15/26/1201371526.db2.gz SIHSKKLAHPERSL-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C(C)(C)F)CC(C)(C)C1 ZINC001089291519 1087741702 /nfs/dbraw/zinc/74/17/02/1087741702.db2.gz AIWALANEYMYOJZ-GFCCVEGCSA-N 0 1 304.837 3.094 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2cc(O)c(Cl)c(F)c2F)C1 ZINC001250409195 1105511356 /nfs/dbraw/zinc/51/13/56/1105511356.db2.gz SAWCTNNJLWXGDJ-SECBINFHSA-N 0 1 300.736 3.449 20 30 DGEDMN N#C[C@@H]1CC[C@H](N[C@H]2COc3cc(Br)ccc32)C1 ZINC001254648787 1106121378 /nfs/dbraw/zinc/12/13/78/1106121378.db2.gz OHRCYULNIKBODX-CDMKHQONSA-N 0 1 307.191 3.164 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001266308186 1081654444 /nfs/dbraw/zinc/65/44/44/1081654444.db2.gz QYIBJIDLVGNZQU-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC(OC(=O)c2sc(C(C)(C)C)nc2C)CC1 ZINC001345672971 1081689303 /nfs/dbraw/zinc/68/93/03/1081689303.db2.gz FUKPJAFUMGVQHW-UHFFFAOYSA-N 0 1 320.458 3.003 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001266362056 1081702162 /nfs/dbraw/zinc/70/21/62/1081702162.db2.gz XKQXEEDHSZKBTB-WMZOPIPTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1oc(C(C)C)nc1C ZINC001266450588 1081839206 /nfs/dbraw/zinc/83/92/06/1081839206.db2.gz QSKXBKINVYFUPU-OAHLLOKOSA-N 0 1 319.449 3.153 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(C#N)ccc1Br ZINC001209973019 1081851832 /nfs/dbraw/zinc/85/18/32/1081851832.db2.gz STVDULOSLGCWMP-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1CCCCCC1)C2 ZINC001109534824 1081862883 /nfs/dbraw/zinc/86/28/83/1081862883.db2.gz TYNLIGNCVOYNBD-QYZOEREBSA-N 0 1 316.489 3.482 20 30 DGEDMN CN(CCc1ccc(C#N)cc1)Cc1ccn(-c2ccccc2)n1 ZINC001167270819 1081874155 /nfs/dbraw/zinc/87/41/55/1081874155.db2.gz PDANWISHYBBZSC-UHFFFAOYSA-N 0 1 316.408 3.418 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ocnc1C ZINC001266481275 1081884916 /nfs/dbraw/zinc/88/49/16/1081884916.db2.gz NFEFIRTZIAHLCW-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cscn1 ZINC001266481034 1081885134 /nfs/dbraw/zinc/88/51/34/1081885134.db2.gz FLKBWQSBHMEYHJ-GASCZTMLSA-N 0 1 319.474 3.178 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C[C@@H](F)CC ZINC001109604622 1081885783 /nfs/dbraw/zinc/88/57/83/1081885783.db2.gz RWHZKOSQENNASA-YVSFHVDLSA-N 0 1 308.441 3.040 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOCCCC ZINC001109634059 1081888547 /nfs/dbraw/zinc/88/85/47/1081888547.db2.gz BEBUCIJTSGDSEI-BDXSIMOUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN2CC#CC)CCCCC1 ZINC001266495912 1081897940 /nfs/dbraw/zinc/89/79/40/1081897940.db2.gz XFEAMABGQLXZJM-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC/C=C/c1ccccc1)C2 ZINC001109715834 1081902809 /nfs/dbraw/zinc/90/28/09/1081902809.db2.gz FZHXJMWWDMWSBQ-HOGUBTHTSA-N 0 1 310.441 3.388 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H](CNC(=O)c2ccccc2O)C1 ZINC001266500684 1081905399 /nfs/dbraw/zinc/90/53/99/1081905399.db2.gz VDHMSLOVSSQKIF-MRXNPFEDSA-N 0 1 318.461 3.414 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC1CCCCC1)C2 ZINC001109792405 1081916333 /nfs/dbraw/zinc/91/63/33/1081916333.db2.gz CAJKIEUACYQCJH-YSHGAJCASA-N 0 1 316.489 3.338 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](F)CCCCCC)C2 ZINC001109978586 1081941989 /nfs/dbraw/zinc/94/19/89/1081941989.db2.gz DKHPGUVUAKFZHN-NCOADZHNSA-N 0 1 308.441 3.040 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)C1CCCCC1)C2 ZINC001109980947 1081942712 /nfs/dbraw/zinc/94/27/12/1081942712.db2.gz HXDXBWADJKSZML-SQNIBIBYSA-N 0 1 316.489 3.338 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](F)CC1CCCCC1)C2 ZINC001109982493 1081943672 /nfs/dbraw/zinc/94/36/72/1081943672.db2.gz FWTCWNIIAOAGJM-BDXSIMOUSA-N 0 1 320.452 3.040 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](F)CC1CCCCC1)C2 ZINC001109982494 1081944287 /nfs/dbraw/zinc/94/42/87/1081944287.db2.gz FWTCWNIIAOAGJM-OWSLCNJRSA-N 0 1 320.452 3.040 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCN(CCCC2CCCC2)CC1 ZINC001112676527 1081985152 /nfs/dbraw/zinc/98/51/52/1081985152.db2.gz OOYBARZDTGYSKJ-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)/C=C/c2ccc[nH]2)CC1 ZINC001112791966 1082005287 /nfs/dbraw/zinc/00/52/87/1082005287.db2.gz IZAHHNSILYZRGF-MDZDMXLPSA-N 0 1 303.450 3.143 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCC[C@@H](F)C2)CC1 ZINC001112835899 1082019181 /nfs/dbraw/zinc/01/91/81/1082019181.db2.gz TUPPHFPKWWSSBH-DLBZAZTESA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)CC1 ZINC001112851901 1082024765 /nfs/dbraw/zinc/02/47/65/1082024765.db2.gz IESQFYHTEVTKRS-DLBZAZTESA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(CCOCC)CCC2)CC1 ZINC001112858932 1082026465 /nfs/dbraw/zinc/02/64/65/1082026465.db2.gz NVTADSMDKNENFS-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(C)c2F)CC1 ZINC001112869618 1082029855 /nfs/dbraw/zinc/02/98/55/1082029855.db2.gz MTFWBSGKBDZQBV-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)c(OC)c(C)c2)CC1 ZINC001112868456 1082030199 /nfs/dbraw/zinc/03/01/99/1082030199.db2.gz OLRJGEPSCSVYJS-UHFFFAOYSA-N 0 1 316.445 3.036 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CC[C@H](CC)O2)CC1 ZINC001112904353 1082046683 /nfs/dbraw/zinc/04/66/83/1082046683.db2.gz PZRFWQVJJAAJCB-DLBZAZTESA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC001112939827 1082057081 /nfs/dbraw/zinc/05/70/81/1082057081.db2.gz KVKPGTLZPFTBMA-CQSZACIVSA-N 0 1 301.434 3.005 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(Cc1ccsc1)C1CC1 ZINC001266609367 1082062517 /nfs/dbraw/zinc/06/25/17/1082062517.db2.gz KZRCDEUPPAUTTR-QGZVFWFLSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCC1(C(=O)N2CCN([C@H](CC)c3ccccc3)CC2)CCC1 ZINC001112951169 1082063674 /nfs/dbraw/zinc/06/36/74/1082063674.db2.gz KMILRWPDKRZAHY-LJQANCHMSA-N 0 1 324.468 3.476 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cccc(CC)n2)CC1 ZINC001112969780 1082070695 /nfs/dbraw/zinc/07/06/95/1082070695.db2.gz BQGNORPCJFVRFG-UHFFFAOYSA-N 0 1 315.461 3.148 20 30 DGEDMN C#CCN(CCNC(=O)[C@H](CC1CCCCC1)C(C)C)C1CC1 ZINC001266613836 1082072862 /nfs/dbraw/zinc/07/28/62/1082072862.db2.gz IARCFBXEVSBDAL-LJQANCHMSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C\c2cccc(F)c2)CC1 ZINC001112980166 1082074025 /nfs/dbraw/zinc/07/40/25/1082074025.db2.gz VIIQKLCFQTYWOP-XQRVVYSFSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2ccc(Cl)cc2)CC1 ZINC001113064644 1082104140 /nfs/dbraw/zinc/10/41/40/1082104140.db2.gz AHWRUOQGCXAQKK-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC001113083603 1082110680 /nfs/dbraw/zinc/11/06/80/1082110680.db2.gz IVPNUWOEQNTRSE-DLBZAZTESA-N 0 1 304.478 3.335 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001266663467 1082122679 /nfs/dbraw/zinc/12/26/79/1082122679.db2.gz YJYDTVDULHWQHM-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(C)cc(C)nc2C)CC1 ZINC001113130988 1082123955 /nfs/dbraw/zinc/12/39/55/1082123955.db2.gz QMROIKFOTXFGCC-MRXNPFEDSA-N 0 1 315.461 3.119 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CCCCC2(F)F)CC1 ZINC001113149490 1082125684 /nfs/dbraw/zinc/12/56/84/1082125684.db2.gz QARJMBGAYVKOAV-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001086580981 1082128751 /nfs/dbraw/zinc/12/87/51/1082128751.db2.gz BRNHHTPILMZZPX-PXTPFGJHSA-N 0 1 324.468 3.193 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccc(Cl)cc2)CC1 ZINC001113167487 1082130310 /nfs/dbraw/zinc/13/03/10/1082130310.db2.gz PATKNWZVUAGNEC-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113247823 1082147378 /nfs/dbraw/zinc/14/73/78/1082147378.db2.gz HUTZZURBWHSPJT-INIZCTEOSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCC(=O)N1CCN(CCCc2ccccc2C)CC1 ZINC001113283433 1082163653 /nfs/dbraw/zinc/16/36/53/1082163653.db2.gz KNKJCYCRLVMFFT-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2coc(C)c2)C1 ZINC001086584736 1082165265 /nfs/dbraw/zinc/16/52/65/1082165265.db2.gz LVYMWZINPBRQAK-RBUKOAKNSA-N 0 1 324.424 3.362 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H]2CC[C@H](C)O2)CC1 ZINC001113295180 1082168663 /nfs/dbraw/zinc/16/86/63/1082168663.db2.gz JLSHNAPNNOGTSZ-IRXDYDNUSA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C)c(Cl)c2)CC1 ZINC001113294654 1082168740 /nfs/dbraw/zinc/16/87/40/1082168740.db2.gz IZKXXHPYMRQEIL-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCCC1(C(=O)N2CCN(CCC3CCCC3)CC2)CC1 ZINC001113305466 1082171424 /nfs/dbraw/zinc/17/14/24/1082171424.db2.gz QZIKWABSHZIHTF-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCC3CCCC3)CC2)CCC1 ZINC001113310784 1082173273 /nfs/dbraw/zinc/17/32/73/1082173273.db2.gz QWEXGOPGDYGEOW-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1cccc2nsnc21 ZINC001167461991 1082175850 /nfs/dbraw/zinc/17/58/50/1082175850.db2.gz XIVPVFALYJYFOZ-UHFFFAOYSA-N 0 1 318.446 3.308 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)OC2CCCCCC2)CC1 ZINC001113331491 1082178957 /nfs/dbraw/zinc/17/89/57/1082178957.db2.gz ZYIHHYWIKZFBIO-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001113324328 1082180524 /nfs/dbraw/zinc/18/05/24/1082180524.db2.gz GOAOIKBGFHKJNM-UHFFFAOYSA-N 0 1 302.409 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(C)cccc2C)CC1 ZINC001113370116 1082185706 /nfs/dbraw/zinc/18/57/06/1082185706.db2.gz RKMAKJCHYNRERT-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2oc(C(C)C)nc2C)CC1 ZINC001113414995 1082208193 /nfs/dbraw/zinc/20/81/93/1082208193.db2.gz CTBLMISDSLZXCF-AWEZNQCLSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2cc(C)oc2C)CC1 ZINC001113432235 1082210423 /nfs/dbraw/zinc/21/04/23/1082210423.db2.gz SPWNQNZRHPZXQZ-HNNXBMFYSA-N 0 1 304.434 3.110 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c[nH]cc2C2CC2)CC1 ZINC001113476610 1082227626 /nfs/dbraw/zinc/22/76/26/1082227626.db2.gz XGCJLPHOIWATJB-AWEZNQCLSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC001113501047 1082235536 /nfs/dbraw/zinc/23/55/36/1082235536.db2.gz WVCHKMAAUAMGGI-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ncoc2C(C)(C)C)CC1 ZINC001113507136 1082235840 /nfs/dbraw/zinc/23/58/40/1082235840.db2.gz BACIYROOSBJFAO-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccccc2OC(C)C)CC1 ZINC001113568089 1082250900 /nfs/dbraw/zinc/25/09/00/1082250900.db2.gz ZZAQTFUMJOZOIB-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(CCCOC)CC1 ZINC001113577141 1082252487 /nfs/dbraw/zinc/25/24/87/1082252487.db2.gz WWPBSWWCXASALG-UHFFFAOYSA-N 0 1 312.498 3.308 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H](C)[C@H]2CCCO2)CC1 ZINC001113580106 1082253644 /nfs/dbraw/zinc/25/36/44/1082253644.db2.gz CGHBIVRCTINABW-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3ccccc3n2)CC1 ZINC001113574318 1082255004 /nfs/dbraw/zinc/25/50/04/1082255004.db2.gz WMABVYKORLLVHU-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C)cc2C)CC1 ZINC001113599740 1082262321 /nfs/dbraw/zinc/26/23/21/1082262321.db2.gz LMMJVOKOZNYTJG-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc3ccccc3c2)CC1 ZINC001113617282 1082265491 /nfs/dbraw/zinc/26/54/91/1082265491.db2.gz HRTMNGIXYYWCAU-UHFFFAOYSA-N 0 1 322.452 3.493 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccccc2C)CC1 ZINC001113618183 1082266274 /nfs/dbraw/zinc/26/62/74/1082266274.db2.gz RORKRNFIAKGMKR-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](CC=C)Cc2ccccc2)CC1 ZINC001113662756 1082274457 /nfs/dbraw/zinc/27/44/57/1082274457.db2.gz HHUWSVDYDCHUFK-IBGZPJMESA-N 0 1 312.457 3.142 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H](C=C)c2ccccc2)CC1 ZINC001113668607 1082274772 /nfs/dbraw/zinc/27/47/72/1082274772.db2.gz QBSWGJBTMKUUCE-SFHVURJKSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(c3ccccc3C)CC2)CC1 ZINC001113727164 1082293212 /nfs/dbraw/zinc/29/32/12/1082293212.db2.gz FYIJTNXWOZRVLJ-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2ccc(C)s2)CC1 ZINC001113746247 1082294196 /nfs/dbraw/zinc/29/41/96/1082294196.db2.gz ZMYQWFZVFJVOMY-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@H](C)c1ccco1 ZINC001266881445 1082299190 /nfs/dbraw/zinc/29/91/90/1082299190.db2.gz WDYGKWLMDZEVLM-DZGCQCFKSA-N 0 1 324.852 3.496 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cc(C)no2)C1 ZINC001266896197 1082314740 /nfs/dbraw/zinc/31/47/40/1082314740.db2.gz XUQZKVBQGBEXEI-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2cccc(Cl)c2F)C1 ZINC001266971027 1082390056 /nfs/dbraw/zinc/39/00/56/1082390056.db2.gz QNUBJHHBWRPZKP-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)c3cccc(Cl)c3)C[C@H]21 ZINC001114003410 1082395364 /nfs/dbraw/zinc/39/53/64/1082395364.db2.gz YVNIBVMSFDOCBD-ANRZDRKOSA-N 0 1 318.848 3.414 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3cccc(Cl)c3)C[C@H]21 ZINC001114003412 1082395672 /nfs/dbraw/zinc/39/56/72/1082395672.db2.gz YVNIBVMSFDOCBD-BWUSGFMJSA-N 0 1 318.848 3.414 20 30 DGEDMN CCCCCCC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001266987985 1082409393 /nfs/dbraw/zinc/40/93/93/1082409393.db2.gz APMFGTCAFNOUFO-MRXNPFEDSA-N 0 1 318.436 3.242 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001086617893 1082438792 /nfs/dbraw/zinc/43/87/92/1082438792.db2.gz DQBSKXODJRZAKZ-IPMKNSEASA-N 0 1 324.468 3.337 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001267061175 1082464922 /nfs/dbraw/zinc/46/49/22/1082464922.db2.gz JEYGDILYMZJRMT-GJZGRUSLSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)c1cccc(Cl)c1 ZINC001267098538 1082507979 /nfs/dbraw/zinc/50/79/79/1082507979.db2.gz CEMJLCCZXGDLIB-GFCCVEGCSA-N 0 1 315.244 3.244 20 30 DGEDMN C[C@@H](C(=O)NCCNCC#Cc1ccccc1Cl)C(C)(C)C ZINC001130278225 1082562289 /nfs/dbraw/zinc/56/22/89/1082562289.db2.gz QQOBUNXAJUMVPL-AWEZNQCLSA-N 0 1 320.864 3.080 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CCOC)CC1(C)C ZINC001130421393 1082579283 /nfs/dbraw/zinc/57/92/83/1082579283.db2.gz RTJKCELGEMRGDB-UHFFFAOYSA-N 0 1 312.498 3.306 20 30 DGEDMN C#C[C@H](N[C@@H](CCCC)C(=O)Nc1cc(C)on1)C(C)(C)C ZINC000806622316 1082607032 /nfs/dbraw/zinc/60/70/32/1082607032.db2.gz ILGXQVCWYJAUOT-KBPBESRZSA-N 0 1 305.422 3.118 20 30 DGEDMN CC(C)C#CC(=O)NCCN(C)[C@H](C)c1ccc(F)c(Cl)c1 ZINC001267195069 1082623456 /nfs/dbraw/zinc/62/34/56/1082623456.db2.gz MRNQUXAVIGTBFX-CYBMUJFWSA-N 0 1 324.827 3.248 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc2c3c(cccc31)CC2 ZINC001131092143 1082641939 /nfs/dbraw/zinc/64/19/39/1082641939.db2.gz ZSDLDUFFXYCPOR-UHFFFAOYSA-N 0 1 314.816 3.010 20 30 DGEDMN CC1(CC(=O)NCCNCC#Cc2ccccc2)CCCCC1 ZINC001131139809 1082646347 /nfs/dbraw/zinc/64/63/47/1082646347.db2.gz ATPIMNCVZBVAGS-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2ccccc2)C[C@@H]1C(C)C ZINC001131457813 1082675046 /nfs/dbraw/zinc/67/50/46/1082675046.db2.gz YLJGGUHBDYSAIL-GOSISDBHSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CCSc1ccccc1NC(=O)CSc1nnc(C)[nH]1 ZINC000022988903 1082677116 /nfs/dbraw/zinc/67/71/16/1082677116.db2.gz AEUALZCPOOQIME-UHFFFAOYSA-N 0 1 320.443 3.122 20 30 DGEDMN C=CCSc1ccccc1NC(=O)CSc1nc(C)n[nH]1 ZINC000022988903 1082677118 /nfs/dbraw/zinc/67/71/18/1082677118.db2.gz AEUALZCPOOQIME-UHFFFAOYSA-N 0 1 320.443 3.122 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CCCCC(C)C)C[C@H](C)O2 ZINC001131693580 1082707387 /nfs/dbraw/zinc/70/73/87/1082707387.db2.gz QRBCAGRCICSVJX-HKUYNNGSSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001267261026 1082718460 /nfs/dbraw/zinc/71/84/60/1082718460.db2.gz KUQPMWQEHHWDJA-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001267271977 1082732107 /nfs/dbraw/zinc/73/21/07/1082732107.db2.gz GMXMHLOUWVPRMQ-WMZOPIPTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccc(C)nc2C)C1 ZINC001131898415 1082757145 /nfs/dbraw/zinc/75/71/45/1082757145.db2.gz AIKNPGHDTKEIBH-YJBOKZPZSA-N 0 1 315.461 3.134 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2c(C)nsc2C)C1 ZINC001131899759 1082758029 /nfs/dbraw/zinc/75/80/29/1082758029.db2.gz PELQIJLRUOVGRK-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN Cc1ccc(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC001131903638 1082759762 /nfs/dbraw/zinc/75/97/62/1082759762.db2.gz LROUBOGEFQPOMC-UHFFFAOYSA-N 0 1 304.353 3.233 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccc(OC)c2)C1 ZINC001131895527 1082763299 /nfs/dbraw/zinc/76/32/99/1082763299.db2.gz KDJRPTFQLKOTAC-DOTOQJQBSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccc(F)cc2)C1 ZINC001131896851 1082763470 /nfs/dbraw/zinc/76/34/70/1082763470.db2.gz WMYYJQQGIKEQBY-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccccc2F)C1 ZINC001132003192 1082790763 /nfs/dbraw/zinc/79/07/63/1082790763.db2.gz RBGGPBYUEIHBQO-NVXWUHKLSA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2csc(C)c2)C1 ZINC001132003442 1082791023 /nfs/dbraw/zinc/79/10/23/1082791023.db2.gz VKTQPYKNZILMDD-YOEHRIQHSA-N 0 1 318.486 3.329 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1ccc(CC)c(CC)c1 ZINC001267321150 1082791267 /nfs/dbraw/zinc/79/12/67/1082791267.db2.gz KVSZYZXZNLLKKZ-UHFFFAOYSA-N 0 1 314.473 3.277 20 30 DGEDMN C#CC[N@H+](CCNC(=O)C1CC(C)(C)CC(C)(C)C1)C1CC1 ZINC001267331056 1082805640 /nfs/dbraw/zinc/80/56/40/1082805640.db2.gz WOLCEPVLYFUKFD-UHFFFAOYSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(CCNC(=O)C1CC(C)(C)CC(C)(C)C1)C1CC1 ZINC001267331056 1082805644 /nfs/dbraw/zinc/80/56/44/1082805644.db2.gz WOLCEPVLYFUKFD-UHFFFAOYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccccn2)C1 ZINC001132068059 1082807867 /nfs/dbraw/zinc/80/78/67/1082807867.db2.gz CKOKJHYJYHDYRM-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H](C)C1CCCCC1)C1CC1 ZINC001267335418 1082812007 /nfs/dbraw/zinc/81/20/07/1082812007.db2.gz USALMNPJADKPEL-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2(c3ccccc3)CC2)CC[C@@H]1C ZINC001132091394 1082813071 /nfs/dbraw/zinc/81/30/71/1082813071.db2.gz XNBKHOVHEIADKQ-HKUYNNGSSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCC2CCCCCC2)CC[C@H]1C ZINC001132195147 1082834258 /nfs/dbraw/zinc/83/42/58/1082834258.db2.gz KMQHVOUGZYGECC-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC(C)(C)CC(F)F)CC[C@H]1C ZINC001132190651 1082838415 /nfs/dbraw/zinc/83/84/15/1082838415.db2.gz MANUXHGIWRJPLZ-ZIAGYGMSSA-N 0 1 314.420 3.050 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2cncc(C)c2)C1 ZINC001132218174 1082844333 /nfs/dbraw/zinc/84/43/33/1082844333.db2.gz NIBYVNDYFKMDFH-NVXWUHKLSA-N 0 1 315.461 3.071 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](CC)CC(C)C)c1ccccc1 ZINC001267378110 1082848763 /nfs/dbraw/zinc/84/87/63/1082848763.db2.gz XOBNUOLGEVAVRB-AEFFLSMTSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(CCC)s1 ZINC001267378937 1082849794 /nfs/dbraw/zinc/84/97/94/1082849794.db2.gz PLARMJVVMGAWSM-UHFFFAOYSA-N 0 1 300.855 3.115 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1cccc(Cl)c1 ZINC001267408876 1082872775 /nfs/dbraw/zinc/87/27/75/1082872775.db2.gz PAWVBXKKVFDNDR-UHFFFAOYSA-N 0 1 315.244 3.073 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132308402 1082877605 /nfs/dbraw/zinc/87/76/05/1082877605.db2.gz GAEHPWFVRPKGFK-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)CC(F)(F)F)CC[C@H]1C ZINC001132401863 1082900219 /nfs/dbraw/zinc/90/02/19/1082900219.db2.gz GEVSEUJBASGHCK-VXGBXAGGSA-N 0 1 306.372 3.120 20 30 DGEDMN CCC(=O)N[C@@H]1[C@H]2CCCN(CC#Cc3ccccc3)[C@H]2C1(C)C ZINC001087263317 1082904346 /nfs/dbraw/zinc/90/43/46/1082904346.db2.gz PSVIBHPQGKELOL-MISYRCLQSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001267476029 1082929325 /nfs/dbraw/zinc/92/93/25/1082929325.db2.gz SUDCMBLZEQHZEQ-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132569386 1082948778 /nfs/dbraw/zinc/94/87/78/1082948778.db2.gz PUCGEIOCUUOQPK-RYUDHWBXSA-N 0 1 312.816 3.143 20 30 DGEDMN CC#CCCCC(=O)NCCN[C@H](C)c1ccccc1Cl ZINC001132605440 1082954522 /nfs/dbraw/zinc/95/45/22/1082954522.db2.gz PTMAAVGNROXBIV-CQSZACIVSA-N 0 1 306.837 3.300 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132653103 1082967994 /nfs/dbraw/zinc/96/79/94/1082967994.db2.gz FIPIYOMZCGEPBE-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001132659598 1082973582 /nfs/dbraw/zinc/97/35/82/1082973582.db2.gz BHMKOKDSCHGJAV-MLGOLLRUSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132659766 1082973677 /nfs/dbraw/zinc/97/36/77/1082973677.db2.gz COCJPZJFKQQNKK-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](F)C(C)C)c1ccc(C(C)C)cc1 ZINC001267516264 1082974840 /nfs/dbraw/zinc/97/48/40/1082974840.db2.gz AZLIBWSATXOPFV-ROUUACIJSA-N 0 1 318.436 3.184 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001132667417 1082975841 /nfs/dbraw/zinc/97/58/41/1082975841.db2.gz KYBUBNYUIKIQQO-OLZOCXBDSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001132667469 1082976277 /nfs/dbraw/zinc/97/62/77/1082976277.db2.gz MFGNWUHTXNHKMN-STQMWFEESA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cccc(C)c1C)c1ccccc1 ZINC001267526095 1082988354 /nfs/dbraw/zinc/98/83/54/1082988354.db2.gz RPEMXGAPGUTWSV-FQEVSTJZSA-N 0 1 320.436 3.387 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(C)cc(C)c1)c1ccccc1 ZINC001267525818 1082988376 /nfs/dbraw/zinc/98/83/76/1082988376.db2.gz PCEVUYAWAAQRBJ-FQEVSTJZSA-N 0 1 320.436 3.387 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1sccc1CC)c1ccccc1 ZINC001267525740 1082988566 /nfs/dbraw/zinc/98/85/66/1082988566.db2.gz IBPFCSOAFBXGBR-INIZCTEOSA-N 0 1 312.438 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC2CC(F)(F)C2)C1 ZINC001267544725 1083012705 /nfs/dbraw/zinc/01/27/05/1083012705.db2.gz MXNDNGJEQVSXEV-GFCCVEGCSA-N 0 1 320.811 3.003 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001267548457 1083018804 /nfs/dbraw/zinc/01/88/04/1083018804.db2.gz GDRYXTZISVBROG-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CCN(Cc2csc(C)n2)C1 ZINC001267569602 1083055825 /nfs/dbraw/zinc/05/58/25/1083055825.db2.gz AESZHDQACWJBFI-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H]2CCN(CC(=C)Cl)C2)CCC1 ZINC001267570048 1083058111 /nfs/dbraw/zinc/05/81/11/1083058111.db2.gz HOQBSRQZTKWGHX-HNNXBMFYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2occc2Cl)C1 ZINC001267570056 1083059131 /nfs/dbraw/zinc/05/91/31/1083059131.db2.gz HQRVUYAILKYTBI-LLVKDONJSA-N 0 1 317.216 3.127 20 30 DGEDMN CCCC(C)(C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001133172707 1083063876 /nfs/dbraw/zinc/06/38/76/1083063876.db2.gz NWQXCLAHIIDGED-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001133382885 1083111675 /nfs/dbraw/zinc/11/16/75/1083111675.db2.gz CJRITMPZIBJOKK-SJCJKPOMSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc(CNC/C=C\Cl)cc1F ZINC001279716236 1083115007 /nfs/dbraw/zinc/11/50/07/1083115007.db2.gz QCJVTXSRJCDQIE-YVMONPNESA-N 0 1 324.827 3.496 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(CC)cc2)C1 ZINC001267593232 1083121410 /nfs/dbraw/zinc/12/14/10/1083121410.db2.gz GEAHIOVOBCUSLW-INIZCTEOSA-N 0 1 320.864 3.395 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN1Cc1sc(C)nc1C ZINC001481041584 1083129731 /nfs/dbraw/zinc/12/97/31/1083129731.db2.gz IBGVICSLSJIYBT-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN O=C(CC1CCCC1)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481075426 1083150460 /nfs/dbraw/zinc/15/04/60/1083150460.db2.gz CPGUXFATXPLLRE-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2c(C)cccc2Cl)C1 ZINC001133564010 1083151799 /nfs/dbraw/zinc/15/17/99/1083151799.db2.gz FJZLIHANSVKMPH-UHFFFAOYSA-N 0 1 306.837 3.211 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1ncc(Cl)s1 ZINC001133883989 1083205785 /nfs/dbraw/zinc/20/57/85/1083205785.db2.gz JMNQCKHCSXLPDU-QWRGUYRKSA-N 0 1 315.870 3.136 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H](C)c1ccccc1Cl ZINC001481132416 1083206490 /nfs/dbraw/zinc/20/64/90/1083206490.db2.gz OFFGKKREKNYKAB-KGLIPLIRSA-N 0 1 321.852 3.389 20 30 DGEDMN CCCN(CCNC(=O)C1(CC)CC1)Cc1ccc(C#N)s1 ZINC001481146260 1083211856 /nfs/dbraw/zinc/21/18/56/1083211856.db2.gz WZNBEYOVQFJAEF-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CC(C)CCC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001267621681 1083223583 /nfs/dbraw/zinc/22/35/83/1083223583.db2.gz VRPKHMSUGQAUNX-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2CCCCCC2)C1 ZINC001267632673 1083235644 /nfs/dbraw/zinc/23/56/44/1083235644.db2.gz PDUDXVRFIPZFGD-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001267656837 1083249448 /nfs/dbraw/zinc/24/94/48/1083249448.db2.gz YCFNMLDLAMCSGK-WBVHZDCISA-N 0 1 315.461 3.120 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(Cl)cc2C)C1 ZINC001267656937 1083249828 /nfs/dbraw/zinc/24/98/28/1083249828.db2.gz AVRDZNDGDQYJFF-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001267661958 1083251363 /nfs/dbraw/zinc/25/13/63/1083251363.db2.gz MYCQNJBCCPVDOR-OAHLLOKOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001267661520 1083251696 /nfs/dbraw/zinc/25/16/96/1083251696.db2.gz CRMDEFFAMHDYML-AWEZNQCLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(CCCCC(F)(F)F)C1 ZINC001267691822 1083267322 /nfs/dbraw/zinc/26/73/22/1083267322.db2.gz VXTZLKWVYCCJNR-OAHLLOKOSA-N 0 1 320.399 3.369 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H]1C[C@@H]3[C@H](C1)C3(C)C)CCC2 ZINC001170754976 1083301195 /nfs/dbraw/zinc/30/11/95/1083301195.db2.gz YLDWRTJMJGFYRH-PHZGNYQRSA-N 0 1 315.461 3.226 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001481225419 1083307333 /nfs/dbraw/zinc/30/73/33/1083307333.db2.gz OJIQBNIUYQSQMQ-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CN(CCNCc1cc(Cl)ccc1F)C(=O)C#CC(C)(C)C ZINC001481229016 1083307624 /nfs/dbraw/zinc/30/76/24/1083307624.db2.gz JKIHTELGOHEGRF-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CCC)CC1CCCC1 ZINC001134693921 1083321150 /nfs/dbraw/zinc/32/11/50/1083321150.db2.gz WTZKRNCQDMQDDP-OAHLLOKOSA-N 0 1 300.874 3.441 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC001267758178 1083323807 /nfs/dbraw/zinc/32/38/07/1083323807.db2.gz IUQAUNBXLNALEX-OAHLLOKOSA-N 0 1 322.399 3.006 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001267777080 1083340398 /nfs/dbraw/zinc/34/03/98/1083340398.db2.gz PJGJQJMTEFXVAF-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CC2(c3ccccc3)CC2)C1 ZINC001267787040 1083346596 /nfs/dbraw/zinc/34/65/96/1083346596.db2.gz UMMWGOFGRZKURS-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001481268797 1083352936 /nfs/dbraw/zinc/35/29/36/1083352936.db2.gz AXCDQMFQAHKFTJ-CYBMUJFWSA-N 0 1 324.852 3.196 20 30 DGEDMN CC[C@@H](CNC(=O)/C=C/C(C)(C)C)NCc1ccccc1C#N ZINC001267799207 1083354173 /nfs/dbraw/zinc/35/41/73/1083354173.db2.gz JFXCSZCWDRZETB-DVQDXYAYSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2ccncc2Cl)C1 ZINC001267818769 1083377730 /nfs/dbraw/zinc/37/77/30/1083377730.db2.gz NZRHOAJWJVBADN-CQSZACIVSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCC[C@@H]1CCC ZINC001273468603 1083388023 /nfs/dbraw/zinc/38/80/23/1083388023.db2.gz SJAWIBZYOAXIAQ-GJZGRUSLSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1sccc1CC ZINC001267830683 1083404038 /nfs/dbraw/zinc/40/40/38/1083404038.db2.gz FWHVSVDUWZEUMW-GFCCVEGCSA-N 0 1 300.855 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c[nH]c2ccc(F)cc12 ZINC001267830346 1083404328 /nfs/dbraw/zinc/40/43/28/1083404328.db2.gz PSOYVHDBXWAOBK-LBPRGKRZSA-N 0 1 323.799 3.158 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001267831268 1083405305 /nfs/dbraw/zinc/40/53/05/1083405305.db2.gz OFHHSGOYJGQLHY-OWCLPIDISA-N 0 1 324.827 3.166 20 30 DGEDMN Cc1ccc(C2(C(=O)Nc3nc[nH]c3C#N)CCCCC2)cc1 ZINC001181867595 1083562741 /nfs/dbraw/zinc/56/27/41/1083562741.db2.gz AWTVVTDFMJONLV-UHFFFAOYSA-N 0 1 308.385 3.430 20 30 DGEDMN Cc1cccc2nc(NC(=O)CCc3cccc(C#N)c3)[nH]c21 ZINC001182337142 1083698203 /nfs/dbraw/zinc/69/82/03/1083698203.db2.gz RXJSVZYKWMFNOC-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268017686 1083746479 /nfs/dbraw/zinc/74/64/79/1083746479.db2.gz LZDSIBNFDCGEDQ-WMZOPIPTSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C/C=C/c1ccc(C)cc1 ZINC001481324156 1083766966 /nfs/dbraw/zinc/76/69/66/1083766966.db2.gz JWTDKIDNIOIVAR-SNAWJCMRSA-N 0 1 306.837 3.199 20 30 DGEDMN Cc1cccc2nc(NC(=O)[C@H](C)c3ccc(C#N)cc3)[nH]c21 ZINC001182790367 1083804943 /nfs/dbraw/zinc/80/49/43/1083804943.db2.gz PWOUJSXKKDDNBL-GFCCVEGCSA-N 0 1 304.353 3.485 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C)c1ccc(C(C)C)cc1 ZINC001481359337 1083814774 /nfs/dbraw/zinc/81/47/74/1083814774.db2.gz IEFXFEZMVIYSBC-KRWDZBQOSA-N 0 1 300.446 3.236 20 30 DGEDMN CCCN(C(=O)C(C)C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001481391597 1083852640 /nfs/dbraw/zinc/85/26/40/1083852640.db2.gz WRJHYOMCLUXZQW-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN N#CC[C@@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)c1ccccc1 ZINC001126142681 1083867205 /nfs/dbraw/zinc/86/72/05/1083867205.db2.gz GUAVFBZSTYEZKO-MRXNPFEDSA-N 0 1 317.352 3.108 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCC=CCCC2)C1 ZINC001481401594 1083869361 /nfs/dbraw/zinc/86/93/61/1083869361.db2.gz OFGUTSPKQNJTID-RBUKOAKNSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](OCC)C2CCCC2)C1 ZINC001481403004 1083870907 /nfs/dbraw/zinc/87/09/07/1083870907.db2.gz JKGKDDOWPVQQRC-ZWKOTPCHSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3c2CCC3)C1 ZINC001481411939 1083885669 /nfs/dbraw/zinc/88/56/69/1083885669.db2.gz MNHVECAMKXXATA-KRWDZBQOSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC001481424044 1083899382 /nfs/dbraw/zinc/89/93/82/1083899382.db2.gz MYHRJMJXDMLYPZ-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cccn2CC)CC1 ZINC001481520717 1084064679 /nfs/dbraw/zinc/06/46/79/1084064679.db2.gz AXBSLUYQKMGXLW-UHFFFAOYSA-N 0 1 323.868 3.045 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001481525684 1084074452 /nfs/dbraw/zinc/07/44/52/1084074452.db2.gz CPAWGVXINYTEBN-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccc(C)cc2C)C1 ZINC001481573751 1084155117 /nfs/dbraw/zinc/15/51/17/1084155117.db2.gz DHSGCGZKJKGTDP-KRWDZBQOSA-N 0 1 314.473 3.391 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c[nH]c2ccc(F)cc12 ZINC001268102365 1084170951 /nfs/dbraw/zinc/17/09/51/1084170951.db2.gz SUQVVSNYSSHIED-LLVKDONJSA-N 0 1 323.799 3.110 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCc2ccc(C)cc2)C1 ZINC001481580384 1084192613 /nfs/dbraw/zinc/19/26/13/1084192613.db2.gz VPXYRNASCRMVHH-UHFFFAOYSA-N 0 1 300.446 3.084 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C[C@@H]3CC=CCC3)n2)c1 ZINC001184482256 1084196273 /nfs/dbraw/zinc/19/62/73/1084196273.db2.gz KDKVTWMWPIDJDC-GFCCVEGCSA-N 0 1 307.357 3.028 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001481589864 1084230793 /nfs/dbraw/zinc/23/07/93/1084230793.db2.gz KXAUGOXHOQTQLI-ZIAGYGMSSA-N 0 1 304.409 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001481599677 1084240992 /nfs/dbraw/zinc/24/09/92/1084240992.db2.gz AGEZMHHATVEORB-AWEZNQCLSA-N 0 1 317.477 3.455 20 30 DGEDMN C#CCN(CCNC(=O)c1ccccc1C(C)(C)CC)C1CC1 ZINC001493379975 1084273763 /nfs/dbraw/zinc/27/37/63/1084273763.db2.gz BPYCSLQGIOHDSD-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cccc(F)c1 ZINC001268179233 1084288292 /nfs/dbraw/zinc/28/82/92/1084288292.db2.gz IRNVLNJTFISQSR-LRDDRELGSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001481633272 1084292318 /nfs/dbraw/zinc/29/23/18/1084292318.db2.gz SUTCAKPZHWHMIO-UHFFFAOYSA-N 0 1 320.864 3.287 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H](C)C2CC2)CC1 ZINC001268200463 1084310374 /nfs/dbraw/zinc/31/03/74/1084310374.db2.gz NUKRHFLWBSIWAG-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H](C)C2CC2)CC1 ZINC001268200461 1084310548 /nfs/dbraw/zinc/31/05/48/1084310548.db2.gz NUKRHFLWBSIWAG-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185056660 1084324284 /nfs/dbraw/zinc/32/42/84/1084324284.db2.gz RNKPQCZMDSCMBK-VXGBXAGGSA-N 0 1 305.249 3.098 20 30 DGEDMN CCCCCC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001268229692 1084363667 /nfs/dbraw/zinc/36/36/67/1084363667.db2.gz AZNSXTWFYOIKEY-UHFFFAOYSA-N 0 1 301.434 3.029 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1ccc(C)c(F)c1 ZINC001268246098 1084401105 /nfs/dbraw/zinc/40/11/05/1084401105.db2.gz VTTRLUIDLWHNRJ-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@@H]2[C@H](CC[N@H+]2CC(=C)Cl)C1 ZINC001268270641 1084437913 /nfs/dbraw/zinc/43/79/13/1084437913.db2.gz YMCCIXRQAVBKMJ-DUHLTWJFSA-N 0 1 308.853 3.184 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001268270641 1084437917 /nfs/dbraw/zinc/43/79/17/1084437917.db2.gz YMCCIXRQAVBKMJ-DUHLTWJFSA-N 0 1 308.853 3.184 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C(C)(C)c1ccccc1 ZINC001282230216 1084451398 /nfs/dbraw/zinc/45/13/98/1084451398.db2.gz OOAQGYSRUHZGQO-ZIAGYGMSSA-N 0 1 308.853 3.200 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1C ZINC001481668710 1084462821 /nfs/dbraw/zinc/46/28/21/1084462821.db2.gz ODNKGEXVSBHHAN-IRXDYDNUSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cocc1C ZINC001481675894 1084466734 /nfs/dbraw/zinc/46/67/34/1084466734.db2.gz FTHFSQDRZVLHRH-HOTGVXAUSA-N 0 1 302.418 3.233 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481694397 1084481662 /nfs/dbraw/zinc/48/16/62/1084481662.db2.gz QEMDZJDVQLVDKB-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCC(C)(C)c2ccccc2)C1 ZINC001481697126 1084485377 /nfs/dbraw/zinc/48/53/77/1084485377.db2.gz DQBSZLBYERDXIC-KRWDZBQOSA-N 0 1 300.446 3.121 20 30 DGEDMN C#CCCCC(=O)NCCNCc1c(Cl)oc2ccccc21 ZINC001126344159 1084540524 /nfs/dbraw/zinc/54/05/24/1084540524.db2.gz OKYKROJPFTVCQS-UHFFFAOYSA-N 0 1 318.804 3.096 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)CCC2CCCCCC2)C1 ZINC001481735035 1084549780 /nfs/dbraw/zinc/54/97/80/1084549780.db2.gz IFSUENMEMOZULP-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C/C=C/Cc2ccccc2)C1 ZINC001481767663 1084618251 /nfs/dbraw/zinc/61/82/51/1084618251.db2.gz HYTASMDAIKBROM-XTXLJPNXSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C/C=C\Cc2ccccc2)C1 ZINC001481767660 1084618490 /nfs/dbraw/zinc/61/84/90/1084618490.db2.gz HYTASMDAIKBROM-GDCKJWNLSA-N 0 1 324.468 3.122 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001481768724 1084621545 /nfs/dbraw/zinc/62/15/45/1084621545.db2.gz LNPVCEQDAZZRJR-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001481777478 1084629128 /nfs/dbraw/zinc/62/91/28/1084629128.db2.gz OAVPSFOLOSWRMR-VQIMIIECSA-N 0 1 314.473 3.461 20 30 DGEDMN C=C(C)CN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C2CC2)C1 ZINC001087452010 1084661037 /nfs/dbraw/zinc/66/10/37/1084661037.db2.gz WYDPWMCSGLNWKB-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN CC[C@H](C)CCC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001186537019 1084663668 /nfs/dbraw/zinc/66/36/68/1084663668.db2.gz JKPRLDPERQXOQN-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CN[C@H](C)c1cc(F)ccc1F ZINC001268533068 1084789487 /nfs/dbraw/zinc/78/94/87/1084789487.db2.gz NDKSRRXXLMOSNU-PSKZRQQASA-N 0 1 320.383 3.091 20 30 DGEDMN CC(C)(C)C#CC(=O)NC/C=C\CNCc1cc2ccccc2o1 ZINC001268536447 1084790999 /nfs/dbraw/zinc/79/09/99/1084790999.db2.gz KBEVXVJKMINZOZ-SREVYHEPSA-N 0 1 324.424 3.244 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CCC2CCCCC2)CCO1 ZINC001482189338 1084928563 /nfs/dbraw/zinc/92/85/63/1084928563.db2.gz RDWHCEXQADTGHF-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CNCc2conc2C)CCCCC1 ZINC001268708728 1084961147 /nfs/dbraw/zinc/96/11/47/1084961147.db2.gz JWHCELMEUYEWFT-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CC)Cc1ccccc1C ZINC001268753694 1085028762 /nfs/dbraw/zinc/02/87/62/1085028762.db2.gz WURNCVNIZTUPFZ-QZTJIDSGSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)c1oc2c(cccc2C)c1C ZINC001268759800 1085036916 /nfs/dbraw/zinc/03/69/16/1085036916.db2.gz OLKNBHLDTOGCKS-CQSZACIVSA-N 0 1 312.413 3.123 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1oc2c(cccc2C)c1C ZINC001268759800 1085036921 /nfs/dbraw/zinc/03/69/21/1085036921.db2.gz OLKNBHLDTOGCKS-CQSZACIVSA-N 0 1 312.413 3.123 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001268838623 1085066679 /nfs/dbraw/zinc/06/66/79/1085066679.db2.gz SFGPROYLWDELGJ-HNNXBMFYSA-N 0 1 318.436 3.019 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC[C@@H](C)CC)CC1(C)C ZINC001284332758 1085075518 /nfs/dbraw/zinc/07/55/18/1085075518.db2.gz PSPNRDODJORLGB-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)s1 ZINC001268983680 1085094765 /nfs/dbraw/zinc/09/47/65/1085094765.db2.gz FILVRFWHEYRRMR-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)s1 ZINC001268983680 1085094771 /nfs/dbraw/zinc/09/47/71/1085094771.db2.gz FILVRFWHEYRRMR-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2Cl)C1 ZINC001269122534 1085138512 /nfs/dbraw/zinc/13/85/12/1085138512.db2.gz ZGTUCSDCZSNWNN-LSDHHAIUSA-N 0 1 318.848 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)c(F)c(C)c1)C1CC1 ZINC001269149526 1085163434 /nfs/dbraw/zinc/16/34/34/1085163434.db2.gz SNVVYWHYNJBUON-OAHLLOKOSA-N 0 1 324.827 3.293 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001269223530 1085217830 /nfs/dbraw/zinc/21/78/30/1085217830.db2.gz PMZXQYYVVMRNIT-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001269274580 1085257582 /nfs/dbraw/zinc/25/75/82/1085257582.db2.gz MLDAZOGPHIUANH-HKUYNNGSSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2ccc(C)cc2C)C1 ZINC001269292072 1085276661 /nfs/dbraw/zinc/27/66/61/1085276661.db2.gz NZUZPBKEZFIVBE-SFHVURJKSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001269303225 1085284077 /nfs/dbraw/zinc/28/40/77/1085284077.db2.gz ZWLNDZKFIZIYSR-PKOBYXMFSA-N 0 1 314.473 3.364 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001269395996 1085359461 /nfs/dbraw/zinc/35/94/61/1085359461.db2.gz AYQVGDLZFSXHNG-RQPMMQJISA-N 0 1 317.408 3.038 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)NCc1cccc(F)c1Cl ZINC001269398772 1085364717 /nfs/dbraw/zinc/36/47/17/1085364717.db2.gz UOGGGFZXYOYYNY-CQSZACIVSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cnc(C)o2)CCCC[C@H]1C ZINC001269660065 1085517198 /nfs/dbraw/zinc/51/71/98/1085517198.db2.gz ZPPCAWHPJGOPRJ-RDTXWAMCSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3ncccc32)C1 ZINC001269825005 1085575445 /nfs/dbraw/zinc/57/54/45/1085575445.db2.gz BPHAYBBBYJFJHG-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccsc2)C1 ZINC001269849655 1085584772 /nfs/dbraw/zinc/58/47/72/1085584772.db2.gz ZCMHKJQEKKYPSE-ZIAGYGMSSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)cc(F)c2)C1 ZINC001269849463 1085585055 /nfs/dbraw/zinc/58/50/55/1085585055.db2.gz SGMFYIIRXPSIJG-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=C[C@H](C(=O)N(C)C1CN(C[C@H](C)CC)C1)c1ccccc1 ZINC001269893405 1085601622 /nfs/dbraw/zinc/60/16/22/1085601622.db2.gz QDUSRFXHDCHEDJ-QAPCUYQASA-N 0 1 300.446 3.145 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001482257047 1085644817 /nfs/dbraw/zinc/64/48/17/1085644817.db2.gz GSWOVXDEKSHZTO-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001482257689 1085647998 /nfs/dbraw/zinc/64/79/98/1085647998.db2.gz AQQXUCPVYKAOQJ-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC23CCC3)CC1 ZINC001270144857 1085710802 /nfs/dbraw/zinc/71/08/02/1085710802.db2.gz YFQKGTVDJJNGRI-HNNXBMFYSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C)cc1C ZINC001270180507 1085723746 /nfs/dbraw/zinc/72/37/46/1085723746.db2.gz GQHWRODERAKUPR-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2conc2C)CC1 ZINC001270243445 1085746038 /nfs/dbraw/zinc/74/60/38/1085746038.db2.gz UAQFILWEFNXPRF-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@H](C)c2cccc(F)c2)C1 ZINC001270576850 1085867344 /nfs/dbraw/zinc/86/73/44/1085867344.db2.gz MVGRPYKPTAREBX-BEFAXECRSA-N 0 1 316.420 3.271 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001270575632 1085870273 /nfs/dbraw/zinc/87/02/73/1085870273.db2.gz FUBQSAVDJSAQCK-KXBFYZLASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](c1cccnc1)C(C)C ZINC001482349141 1086059975 /nfs/dbraw/zinc/05/99/75/1086059975.db2.gz SNAZCGWCQVXOGH-ZBFHGGJFSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc2cnccc21 ZINC001482364436 1086080643 /nfs/dbraw/zinc/08/06/43/1086080643.db2.gz JDOASCPAVPBYJE-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CCC)c1ccccc1)CC2 ZINC001270863666 1086083451 /nfs/dbraw/zinc/08/34/51/1086083451.db2.gz JDDLKJIUVNBYIS-IBGZPJMESA-N 0 1 324.468 3.128 20 30 DGEDMN C=C(Br)CNC[C@H](CC(C)C)NC(=O)[C@@H](C)CC ZINC001482378446 1086100264 /nfs/dbraw/zinc/10/02/64/1086100264.db2.gz SPYOQJQLWMQQRT-AAEUAGOBSA-N 0 1 319.287 3.062 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H](CC)C(C)C ZINC001280339811 1086224376 /nfs/dbraw/zinc/22/43/76/1086224376.db2.gz ACYOBXZFTGGJHJ-KRWDZBQOSA-N 0 1 314.473 3.440 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001270975803 1086228413 /nfs/dbraw/zinc/22/84/13/1086228413.db2.gz IVHMBZDARPHDIC-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001270998264 1086238051 /nfs/dbraw/zinc/23/80/51/1086238051.db2.gz APTNVZVKHBSJRB-DBVUQKKJSA-N 0 1 318.505 3.300 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2c(C)cc(C)cc2C)C1 ZINC001271007374 1086245611 /nfs/dbraw/zinc/24/56/11/1086245611.db2.gz NYHGDIVRVBSYSY-FQEVSTJZSA-N 0 1 312.457 3.077 20 30 DGEDMN C=CC[N@@H+]1CCC[C@](C)(CNC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001271017459 1086254078 /nfs/dbraw/zinc/25/40/78/1086254078.db2.gz QEWWMVHOHZFAFF-FXAWDEMLSA-N 0 1 314.473 3.270 20 30 DGEDMN C=CC[C@@H](Oc1nc(=O)[nH]c(C)c1Br)C(C)C ZINC001226783972 1086281033 /nfs/dbraw/zinc/28/10/33/1086281033.db2.gz AGOYUTXSLZHEJT-SECBINFHSA-N 0 1 301.184 3.233 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(CCC)CCC)C1 ZINC001271099080 1086289653 /nfs/dbraw/zinc/28/96/53/1086289653.db2.gz AFGWGNPJMCQIDX-HNNXBMFYSA-N 0 1 316.436 3.462 20 30 DGEDMN CCCC[C@H](CC)CN1CCC12CN(C(=O)C1(C#N)CCC1)C2 ZINC001271111582 1086296008 /nfs/dbraw/zinc/29/60/08/1086296008.db2.gz FNWWNQLTSPNLPA-INIZCTEOSA-N 0 1 317.477 3.183 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@H]1CC ZINC001087609034 1086325715 /nfs/dbraw/zinc/32/57/15/1086325715.db2.gz QCKQTLPTBUPRCY-CADBVGFASA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H]1CC ZINC001087833803 1086434197 /nfs/dbraw/zinc/43/41/97/1086434197.db2.gz VDGZBILTLGLOMG-DLBZAZTESA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3ccccc3C)CC2)[C@H]1CC ZINC001087849067 1086446298 /nfs/dbraw/zinc/44/62/98/1086446298.db2.gz DNKZKGJUTHDFSL-RBUKOAKNSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCSCC(=O)N1CC2(CCN2C[C@@H](CC)CCCC)C1 ZINC001271194222 1086541857 /nfs/dbraw/zinc/54/18/57/1086541857.db2.gz GYQSHEARGXRHAG-INIZCTEOSA-N 0 1 324.534 3.409 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2nccs2)CCCC1 ZINC001271237870 1086553267 /nfs/dbraw/zinc/55/32/67/1086553267.db2.gz GLVOUKGRWFXNCX-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccsc1 ZINC001271245288 1086554148 /nfs/dbraw/zinc/55/41/48/1086554148.db2.gz CFFRFCCWPUJALE-CYBMUJFWSA-N 0 1 300.855 3.235 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](CNC/C(Cl)=C/Cl)CC(C)C ZINC001271261398 1086559142 /nfs/dbraw/zinc/55/91/42/1086559142.db2.gz JUKZBDIGVLKURP-YLHGKKIISA-N 0 1 319.276 3.085 20 30 DGEDMN C#CCN[C@H](CNC(=O)C/C=C(\C)C=C)c1ccccc1CC ZINC001271445742 1086634469 /nfs/dbraw/zinc/63/44/69/1086634469.db2.gz IOYVHYOBEFXMMW-APEZIOSESA-N 0 1 310.441 3.152 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)C3CCC(C)(C)CC3)C[C@@H]2C1 ZINC001088216097 1086657336 /nfs/dbraw/zinc/65/73/36/1086657336.db2.gz QCRPLNJUKSJYGB-MSOLQXFVSA-N 0 1 316.489 3.006 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCC(C)(C)C3)C[C@@H]2C1 ZINC001088216149 1086657553 /nfs/dbraw/zinc/65/75/53/1086657553.db2.gz SIKHNPWMKXSOAX-KSZLIROESA-N 0 1 316.489 3.006 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NC/C(Cl)=C\Cl)C(C)C)C1 ZINC001482555577 1086883733 /nfs/dbraw/zinc/88/37/33/1086883733.db2.gz VZGKEICOKSVZSB-WHGQRRHOSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)Cc1ccc(OC)c(F)c1 ZINC001272028424 1086996342 /nfs/dbraw/zinc/99/63/42/1086996342.db2.gz UKDZJJMHSGIRID-UHFFFAOYSA-N 0 1 322.424 3.081 20 30 DGEDMN C=C[C@H](CCC)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228859601 1087013015 /nfs/dbraw/zinc/01/30/15/1087013015.db2.gz LSCIIXRYJQBOAM-SSDOTTSWSA-N 0 1 306.240 3.448 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)CC(C)C ZINC001272042349 1087022770 /nfs/dbraw/zinc/02/27/70/1087022770.db2.gz DCMXNPMAQJJLMA-SJORKVTESA-N 0 1 304.478 3.147 20 30 DGEDMN CC(C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C)=C1CCC1 ZINC001088559972 1087051888 /nfs/dbraw/zinc/05/18/88/1087051888.db2.gz PXOXQEIBBXJHNZ-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1cccc(Cl)c1 ZINC001272086476 1087113287 /nfs/dbraw/zinc/11/32/87/1087113287.db2.gz AQEJSHDJLPFGJW-UHFFFAOYSA-N 0 1 320.864 3.424 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccoc2C(F)(F)F)[C@H]1C ZINC001088593150 1087119441 /nfs/dbraw/zinc/11/94/41/1087119441.db2.gz SVVWQUMCHJRVLG-PWSUYJOCSA-N 0 1 316.323 3.067 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(F)c3ccccc3c2)[C@H]1C ZINC001088608074 1087155592 /nfs/dbraw/zinc/15/55/92/1087155592.db2.gz HHGLONVJZMLCLD-KUHUBIRLSA-N 0 1 324.399 3.195 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001482960081 1087200442 /nfs/dbraw/zinc/20/04/42/1087200442.db2.gz MWYHTZRUQYLDIT-ZWKOTPCHSA-N 0 1 314.473 3.158 20 30 DGEDMN N#CC1(CC(=O)N2CC3(CCN3C3CCCC3)C2)CCCCC1 ZINC001272130654 1087215748 /nfs/dbraw/zinc/21/57/48/1087215748.db2.gz JONPVTNSEXTMJP-UHFFFAOYSA-N 0 1 315.461 3.080 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3c2CCCCC3)[C@H]1C ZINC001088642868 1087242041 /nfs/dbraw/zinc/24/20/41/1087242041.db2.gz BLXXCABDWDKSTA-UZLBHIALSA-N 0 1 324.468 3.171 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC001483039157 1087251457 /nfs/dbraw/zinc/25/14/57/1087251457.db2.gz ZJVIJJQEOKSLDP-XETQRACLSA-N 0 1 310.869 3.217 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)[C@H]1C ZINC001088761946 1087342068 /nfs/dbraw/zinc/34/20/68/1087342068.db2.gz IBVKQZIHPYVVQU-WBMJQRKESA-N 0 1 314.429 3.413 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001483100155 1087342655 /nfs/dbraw/zinc/34/26/55/1087342655.db2.gz AQPVEDPFNJAYAJ-OOPCZODUSA-N 0 1 324.827 3.019 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@H]1C ZINC001088794054 1087357332 /nfs/dbraw/zinc/35/73/32/1087357332.db2.gz DLPIJKBUJFOQCL-WBVHZDCISA-N 0 1 323.440 3.001 20 30 DGEDMN CCCCCCCN1CC(OC2CCN(C(=O)CCC)CC2)C1 ZINC001105682760 1087421664 /nfs/dbraw/zinc/42/16/64/1087421664.db2.gz HUPSIWUYPRHSDS-UHFFFAOYSA-N 0 1 324.509 3.449 20 30 DGEDMN C=CCC[C@@H](C)N1CC(OC2CCN(C(=O)[C@H](C)CC)CC2)C1 ZINC001105688239 1087424632 /nfs/dbraw/zinc/42/46/32/1087424632.db2.gz WOQJWHJNHKQUJO-HZPDHXFCSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C/C=C/Cc1ccccc1 ZINC001483237529 1087443502 /nfs/dbraw/zinc/44/35/02/1087443502.db2.gz RFUWPUQDSMXVJB-LFAOLKIESA-N 0 1 306.837 3.022 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1c(C)cccc1Cl ZINC001483243667 1087448716 /nfs/dbraw/zinc/44/87/16/1087448716.db2.gz FIXTZXOWMMFCJJ-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001483261261 1087452451 /nfs/dbraw/zinc/45/24/51/1087452451.db2.gz XWQNCGXRKXKESB-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)CCC(=C)C)CC2)C1 ZINC001105709378 1087475335 /nfs/dbraw/zinc/47/53/35/1087475335.db2.gz JXHSUAVWXXUKTF-UHFFFAOYSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)Cc2ccsc2)CC1 ZINC001483381357 1087486811 /nfs/dbraw/zinc/48/68/11/1087486811.db2.gz XBRDYYUUUPNXEL-CQSZACIVSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1nc(C)cs1)C1CC1 ZINC001483564495 1087526207 /nfs/dbraw/zinc/52/62/07/1087526207.db2.gz ZNGUWRQFHLBUDM-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC(C(C)(C)C)C1)C1CC1 ZINC001483609843 1087535307 /nfs/dbraw/zinc/53/53/07/1087535307.db2.gz QZPPFMOVXRZONV-NRXISQOPSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc(C(C)C)n2)[C@H]1C ZINC001088922977 1087605302 /nfs/dbraw/zinc/60/53/02/1087605302.db2.gz LDIPYGKCTQLRGA-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1n[nH]c(C)c1Br ZINC001120813806 1087629250 /nfs/dbraw/zinc/62/92/50/1087629250.db2.gz NJTVRXUMNLWWJS-SNVBAGLBSA-N 0 1 312.211 3.051 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001483654060 1087606757 /nfs/dbraw/zinc/60/67/57/1087606757.db2.gz WBSVAJQSXJIQDM-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@H]1C ZINC001088964802 1087611037 /nfs/dbraw/zinc/61/10/37/1087611037.db2.gz OTTRBLFXQKWRIH-KDOFPFPSSA-N 0 1 310.397 3.325 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC001088973354 1087613156 /nfs/dbraw/zinc/61/31/56/1087613156.db2.gz KCHRNYZFJHCYLX-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2sccc2C(C)C)[C@H]1C ZINC001089055920 1087627686 /nfs/dbraw/zinc/62/76/86/1087627686.db2.gz HWGVZYYISNPJMS-HIFRSBDPSA-N 0 1 304.459 3.087 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)[C@H]1C ZINC001089063373 1087629484 /nfs/dbraw/zinc/62/94/84/1087629484.db2.gz ACMMOOAEEIMCTG-MDZRGWNJSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001483684562 1087650176 /nfs/dbraw/zinc/65/01/76/1087650176.db2.gz VWZAZXKASHDTSN-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)Cc1coc2ccccc12 ZINC001483685905 1087652787 /nfs/dbraw/zinc/65/27/87/1087652787.db2.gz DFANPLDVNKEZGC-MRXNPFEDSA-N 0 1 312.413 3.132 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1ccc(C)cc1F)CC2 ZINC001272394979 1087689770 /nfs/dbraw/zinc/68/97/70/1087689770.db2.gz MPATXWDRGDOHTM-INIZCTEOSA-N 0 1 316.420 3.038 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2coc(-c3ccccc3)n2)c1 ZINC000176395630 1087722413 /nfs/dbraw/zinc/72/24/13/1087722413.db2.gz CUOVPUQZCFGMJY-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN C[C@@H](CCOc1ccccc1)C(=O)Nc1cc(C#N)ccc1O ZINC000176407391 1087727337 /nfs/dbraw/zinc/72/73/37/1087727337.db2.gz MYSPLDCDFXRIBW-ZDUSSCGKSA-N 0 1 310.353 3.308 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@H+]2CCCC(F)(F)F ZINC001272506543 1087767613 /nfs/dbraw/zinc/76/76/13/1087767613.db2.gz OPQPEVLFVQUKHI-HNNXBMFYSA-N 0 1 318.383 3.362 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1occc1C ZINC001151893098 1087815829 /nfs/dbraw/zinc/81/58/29/1087815829.db2.gz RXAZLTYUWYSGIW-QGZVFWFLSA-N 0 1 324.424 3.080 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC/C=C\c1ccccc1 ZINC001158468259 1087851194 /nfs/dbraw/zinc/85/11/94/1087851194.db2.gz PXOOZYXAHSTNSB-HEFNWKINSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(C)c1Cl ZINC001158611276 1087938030 /nfs/dbraw/zinc/93/80/30/1087938030.db2.gz DWRWSRAWVSSTFJ-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC(C)C#CC(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC001152634935 1088023977 /nfs/dbraw/zinc/02/39/77/1088023977.db2.gz WBPJYAFQMXKCNK-UHFFFAOYSA-N 0 1 304.353 3.223 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)ccc1C ZINC001158787337 1088030688 /nfs/dbraw/zinc/03/06/88/1088030688.db2.gz QNJGMPGJDWJSIL-GOSISDBHSA-N 0 1 312.457 3.253 20 30 DGEDMN C[C@H](c1ccccc1)N(c1cc(CN)ncn1)C1=CC(=O)CCC1 ZINC001158794627 1088031178 /nfs/dbraw/zinc/03/11/78/1088031178.db2.gz OOUOCMRFZDSAKC-CQSZACIVSA-N 0 1 322.412 3.140 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)c(C)s1 ZINC001158829991 1088058388 /nfs/dbraw/zinc/05/83/88/1088058388.db2.gz MKNCMOVUSMAIFP-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001158935875 1088107990 /nfs/dbraw/zinc/10/79/90/1088107990.db2.gz HQIWWEYCKUDTEX-PBPGXSGUSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@]2(CCN(Cc3c(F)cccc3Cl)C2)C1=O ZINC001272600376 1088109511 /nfs/dbraw/zinc/10/95/11/1088109511.db2.gz GKQXUHSROALJIS-KRWDZBQOSA-N 0 1 322.811 3.090 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1ccccc1Cl)CC2 ZINC001272600163 1088110868 /nfs/dbraw/zinc/11/08/68/1088110868.db2.gz CCIZVLQVBZVLMY-OAHLLOKOSA-N 0 1 318.848 3.244 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC001152995061 1088131421 /nfs/dbraw/zinc/13/14/21/1088131421.db2.gz GUJXVMMQDLJLNP-UHFFFAOYSA-N 0 1 308.337 3.010 20 30 DGEDMN CCN(Cc1ccccc1Cl)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233005 1088193269 /nfs/dbraw/zinc/19/32/69/1088193269.db2.gz SKGDWWXQKYYKOX-CQSZACIVSA-N 0 1 318.848 3.080 20 30 DGEDMN CCN(Cc1ccccc1F)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001153281291 1088209792 /nfs/dbraw/zinc/20/97/92/1088209792.db2.gz IXUUOZDVSQZYFE-OAHLLOKOSA-N 0 1 318.436 3.202 20 30 DGEDMN C=CC[C@@H](C(=O)NOCCOc1ccccc1)c1ccccc1 ZINC001159228878 1088244386 /nfs/dbraw/zinc/24/43/86/1088244386.db2.gz RBNHAGJNHPYBRE-GOSISDBHSA-N 0 1 311.381 3.473 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001153593366 1088295832 /nfs/dbraw/zinc/29/58/32/1088295832.db2.gz DHNYCPOEFSZHPZ-OAHLLOKOSA-N 0 1 303.450 3.011 20 30 DGEDMN C#CCN1CC[C@@]2(CCC[N@H+]2Cc2csc3ccccc23)C1=O ZINC001272623224 1088330305 /nfs/dbraw/zinc/33/03/05/1088330305.db2.gz XYWAGMWFZHUGLF-IBGZPJMESA-N 0 1 324.449 3.101 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ccc(F)cc2F)CCCC1 ZINC001153809101 1088369274 /nfs/dbraw/zinc/36/92/74/1088369274.db2.gz BLBKTDZARITRSR-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN C=CCC1(C(=O)NCCNCC=C(Cl)Cl)CCCC1 ZINC001153813886 1088371067 /nfs/dbraw/zinc/37/10/67/1088371067.db2.gz HHZRPNSLXRGCRK-UHFFFAOYSA-N 0 1 305.249 3.148 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN([C@H](C)Cc2cccc(F)c2)C1 ZINC001168501203 1088388273 /nfs/dbraw/zinc/38/82/73/1088388273.db2.gz MSAFXPFXRRIPNT-PBHICJAKSA-N 0 1 320.408 3.133 20 30 DGEDMN C/C=C(/C)C(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159760832 1088474747 /nfs/dbraw/zinc/47/47/47/1088474747.db2.gz XUVOBDJSICUWAI-ZUWFHJRGSA-N 0 1 322.452 3.143 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1ccccc1CCC ZINC001154251470 1088537150 /nfs/dbraw/zinc/53/71/50/1088537150.db2.gz SAJMEHPOYJEJSN-MRXNPFEDSA-N 0 1 300.446 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1oc(CC)nc1C ZINC001154606084 1088673168 /nfs/dbraw/zinc/67/31/68/1088673168.db2.gz ZVYYLZOKEZLKAA-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1c(C)nsc1C ZINC001154620886 1088680346 /nfs/dbraw/zinc/68/03/46/1088680346.db2.gz UXGFUOPEDWRAIY-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)CC(C)(C)C)CC1 ZINC001483769795 1088706034 /nfs/dbraw/zinc/70/60/34/1088706034.db2.gz QHHKEEHMSVHHKN-LBPRGKRZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)NCCC1=CCN(CCC(F)(F)F)CC1 ZINC001160264599 1088731855 /nfs/dbraw/zinc/73/18/55/1088731855.db2.gz SSEXRXYKIMLOBC-UHFFFAOYSA-N 0 1 318.383 3.434 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001154743105 1088738394 /nfs/dbraw/zinc/73/83/94/1088738394.db2.gz UCESVXNWWCJXLX-ZIAGYGMSSA-N 0 1 323.506 3.380 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001154750782 1088740574 /nfs/dbraw/zinc/74/05/74/1088740574.db2.gz ANKPVYPWEMWKSQ-NVXWUHKLSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001154751493 1088745740 /nfs/dbraw/zinc/74/57/40/1088745740.db2.gz YLLPPVWOVBFGKI-CHWSQXEVSA-N 0 1 308.388 3.368 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)s1 ZINC001089600725 1088798289 /nfs/dbraw/zinc/79/82/89/1088798289.db2.gz PZULVUHGHXZTMT-AWEZNQCLSA-N 0 1 306.475 3.463 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001154957603 1088852937 /nfs/dbraw/zinc/85/29/37/1088852937.db2.gz KHSZQGVKRCQVEO-GOSISDBHSA-N 0 1 312.457 3.221 20 30 DGEDMN C#CCCN1CC(C)(C)CC[C@H]1CNC(=O)c1sccc1F ZINC001089638475 1088871029 /nfs/dbraw/zinc/87/10/29/1088871029.db2.gz XTJDVVTZHVAWFY-ZDUSSCGKSA-N 0 1 322.449 3.131 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001155067110 1088926676 /nfs/dbraw/zinc/92/66/76/1088926676.db2.gz SAEXOYSLFSUGRO-QAPCUYQASA-N 0 1 303.450 3.011 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001089651953 1088948105 /nfs/dbraw/zinc/94/81/05/1088948105.db2.gz RWQNTRGFPIAPEX-CQSZACIVSA-N 0 1 322.399 3.371 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001483840225 1088953628 /nfs/dbraw/zinc/95/36/28/1088953628.db2.gz ASUNTEYNOUMAQT-CTEOGUNLSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cccc(C)c2)C1 ZINC001483876376 1088975725 /nfs/dbraw/zinc/97/57/25/1088975725.db2.gz FMCOZCJWENLUFY-MRXNPFEDSA-N 0 1 300.446 3.035 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2Cc3ccccc32)C1 ZINC001483877919 1088977193 /nfs/dbraw/zinc/97/71/93/1088977193.db2.gz VQEFWSIOUYVHGJ-LJQANCHMSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160861628 1088993167 /nfs/dbraw/zinc/99/31/67/1088993167.db2.gz VIEQPSYYJQKCCI-UITAMQMPSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(CCCC=C)C2)CCCCC1 ZINC001483895190 1088996419 /nfs/dbraw/zinc/99/64/19/1088996419.db2.gz XJFYQOVOOYSDGT-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@](C)(F)CCCC ZINC001483934795 1089017409 /nfs/dbraw/zinc/01/74/09/1089017409.db2.gz LUACIBBCQKOGMV-UKRRQHHQSA-N 0 1 304.837 3.238 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160940929 1089031264 /nfs/dbraw/zinc/03/12/64/1089031264.db2.gz IOXAPYBPAKBDET-WSQYCBKMSA-N 0 1 310.869 3.336 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160968011 1089049155 /nfs/dbraw/zinc/04/91/55/1089049155.db2.gz PZWNJNANWSNCIL-FUVBFXSKSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC/C=C\c2ccccc2)CC1 ZINC001483970585 1089049481 /nfs/dbraw/zinc/04/94/81/1089049481.db2.gz DVVCGWTYHLOQCF-XFFZJAGNSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001483983008 1089059363 /nfs/dbraw/zinc/05/93/63/1089059363.db2.gz MGGQRMFATYJNQL-HOTGVXAUSA-N 0 1 310.457 3.097 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160992528 1089063099 /nfs/dbraw/zinc/06/30/99/1089063099.db2.gz ZDBNBJOUYHXFMF-UFRMUOTOSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC[C@H]2CCc3ccccc32)CC1 ZINC001483977369 1089064798 /nfs/dbraw/zinc/06/47/98/1089064798.db2.gz YYMCKHOVZMKOQI-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN(C(=O)[C@H](C)C1CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001483985075 1089071038 /nfs/dbraw/zinc/07/10/38/1089071038.db2.gz JZYHCGFDWPSPDL-WSVPDTOYSA-N 0 1 322.880 3.101 20 30 DGEDMN C=CCCCC(=O)NCCC1=CCN(Cc2cncs2)CC1 ZINC001161056994 1089094621 /nfs/dbraw/zinc/09/46/21/1089094621.db2.gz WMKMWHFQBFCPBC-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(Cl)cc2C)CC1 ZINC001161084985 1089109030 /nfs/dbraw/zinc/10/90/30/1089109030.db2.gz WQTFVUVOUMIZLK-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1occ2c1CCC2 ZINC001089676133 1089109116 /nfs/dbraw/zinc/10/91/16/1089109116.db2.gz AUFUCFUTNMXGSZ-HNNXBMFYSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001155489945 1089134806 /nfs/dbraw/zinc/13/48/06/1089134806.db2.gz PSAHRHJAQWFACX-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001484078269 1089172083 /nfs/dbraw/zinc/17/20/83/1089172083.db2.gz ZEUXDMJGXYHYII-VQIMIIECSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC001089691648 1089187423 /nfs/dbraw/zinc/18/74/23/1089187423.db2.gz UKUOUKXPIUGOBG-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001089722809 1089300833 /nfs/dbraw/zinc/30/08/33/1089300833.db2.gz DSBNOJBQWKTLRM-ULQDDVLXSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc3ccsc32)CC1 ZINC001161645497 1089328840 /nfs/dbraw/zinc/32/88/40/1089328840.db2.gz INRPBFJHDBIGDF-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc3ccccc3c2)CC1 ZINC001161771499 1089395679 /nfs/dbraw/zinc/39/56/79/1089395679.db2.gz NDVIKZROIPQJSR-UHFFFAOYSA-N 0 1 318.420 3.225 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001493559335 1089416227 /nfs/dbraw/zinc/41/62/27/1089416227.db2.gz ACBIPOZFIPKYKE-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913597 1089466294 /nfs/dbraw/zinc/46/62/94/1089466294.db2.gz BYBCWCBIGCLFRC-GFCCVEGCSA-N 0 1 311.389 3.354 20 30 DGEDMN Cc1cc(C#N)c(NCC2CN(Cc3ccccc3)C2)nc1C ZINC001156401364 1089508946 /nfs/dbraw/zinc/50/89/46/1089508946.db2.gz WZOGJXSYJDICRB-UHFFFAOYSA-N 0 1 306.413 3.114 20 30 DGEDMN CC(C)=CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001484704014 1089590406 /nfs/dbraw/zinc/59/04/06/1089590406.db2.gz LAFGGIQCTYAXKU-AWEZNQCLSA-N 0 1 317.458 3.057 20 30 DGEDMN CC(C)c1cc2c(ncnc2NC2(C#N)CCN(C)CC2)s1 ZINC001162226123 1089616485 /nfs/dbraw/zinc/61/64/85/1089616485.db2.gz WPKDHOYALUDXDR-UHFFFAOYSA-N 0 1 315.446 3.215 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001484735887 1089646921 /nfs/dbraw/zinc/64/69/21/1089646921.db2.gz XUQVZNQMXGKUQD-WQRHYEAKSA-N 0 1 312.457 3.175 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)/C(C)=C/CC)O2 ZINC001484781239 1089674251 /nfs/dbraw/zinc/67/42/51/1089674251.db2.gz KGYCADATJGNNIC-GXUJAFARSA-N 0 1 320.477 3.047 20 30 DGEDMN Cc1ccc(CN(C)C(=O)c2n[nH]c3cc(C#N)ccc32)cc1C ZINC001156884885 1089730938 /nfs/dbraw/zinc/73/09/38/1089730938.db2.gz VYYAJYNGIKENSH-UHFFFAOYSA-N 0 1 318.380 3.324 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001156889725 1089733242 /nfs/dbraw/zinc/73/32/42/1089733242.db2.gz DAFOEBQRZKIBKK-MRXNPFEDSA-N 0 1 323.440 3.145 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cnc(C)o2)CC1(C)C ZINC001484938305 1089766264 /nfs/dbraw/zinc/76/62/64/1089766264.db2.gz YFSNZYAKNALSRV-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cncs2)CC1(C)C ZINC001484937382 1089767877 /nfs/dbraw/zinc/76/78/77/1089767877.db2.gz SWIFGMQLQWKYGE-OAHLLOKOSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)CCCCC)C(C)(C)C1 ZINC001484943253 1089775214 /nfs/dbraw/zinc/77/52/14/1089775214.db2.gz WVJCAFLJEZYNTB-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001484941635 1089775395 /nfs/dbraw/zinc/77/53/95/1089775395.db2.gz JJWLHIRXJVZUFQ-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2ccc(C#N)cc2F)n[nH]1 ZINC001157200474 1089870190 /nfs/dbraw/zinc/87/01/90/1089870190.db2.gz OCDVXRVWQYJNAD-INIZCTEOSA-N 0 1 312.348 3.096 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C)c(Cl)cc1C ZINC001485066693 1089899144 /nfs/dbraw/zinc/89/91/44/1089899144.db2.gz ZCHTZVAYVZBRHV-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN CC(C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N)=C1CCC1 ZINC001485144502 1089982554 /nfs/dbraw/zinc/98/25/54/1089982554.db2.gz PBXZNRXNTMPANS-CABCVRRESA-N 0 1 311.429 3.041 20 30 DGEDMN CC(C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N)=C1CCC1 ZINC001485144505 1089983439 /nfs/dbraw/zinc/98/34/39/1089983439.db2.gz PBXZNRXNTMPANS-GJZGRUSLSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(F)c1Cl ZINC001485201941 1090016954 /nfs/dbraw/zinc/01/69/54/1090016954.db2.gz NNQYRXMQEVLPDY-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2ncccc2c1 ZINC001485187895 1090018537 /nfs/dbraw/zinc/01/85/37/1090018537.db2.gz LLQKIKPFGCPNBN-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccccc1Cl ZINC001485213495 1090032495 /nfs/dbraw/zinc/03/24/95/1090032495.db2.gz AUTHPGNAMHPXEX-MNOVXSKESA-N 0 1 301.217 3.189 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(c2cccc(C)c2)CC1 ZINC001485228761 1090036385 /nfs/dbraw/zinc/03/63/85/1090036385.db2.gz FEHJAOBOZYJICL-HUUCEWRRSA-N 0 1 320.864 3.262 20 30 DGEDMN C/C=C(\C)C(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001157784794 1090111018 /nfs/dbraw/zinc/11/10/18/1090111018.db2.gz SJRFPPRFCYHDAW-KZVITGMHSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2cccnc2)CCCC1 ZINC001485393274 1090142797 /nfs/dbraw/zinc/14/27/97/1090142797.db2.gz LIPUHDUSQMNITN-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1c(C)oc2ccccc21 ZINC001157999547 1090166803 /nfs/dbraw/zinc/16/68/03/1090166803.db2.gz KTFWRAHEFILSOD-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1c(C)oc2ccccc21 ZINC001157999547 1090166807 /nfs/dbraw/zinc/16/68/07/1090166807.db2.gz KTFWRAHEFILSOD-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN [O-]/N=C/c1cc(N[C@H]2C[NH+]3CCC2CC3)cc(C(F)(F)F)c1 ZINC001163395068 1090174693 /nfs/dbraw/zinc/17/46/93/1090174693.db2.gz LTIYZMBWZNSCRC-YUYIWDJPSA-N 0 1 313.323 3.020 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001158090261 1090183720 /nfs/dbraw/zinc/18/37/20/1090183720.db2.gz RDHGTPQAXHDWQV-AQKVLALTSA-N 0 1 324.468 3.122 20 30 DGEDMN CC(C)(C)c1nc2c(o1)c(C#N)cnc2N=C1CCCC(=O)C1 ZINC001163453063 1090189326 /nfs/dbraw/zinc/18/93/26/1090189326.db2.gz OXBLPWPWCASHEL-UHFFFAOYSA-N 0 1 310.357 3.441 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001485525024 1090202000 /nfs/dbraw/zinc/20/20/00/1090202000.db2.gz DDTDUFUZZGIITK-IRXDYDNUSA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001485525022 1090202205 /nfs/dbraw/zinc/20/22/05/1090202205.db2.gz DDTDUFUZZGIITK-DLBZAZTESA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C(C)(C)C1 ZINC001485578165 1090214216 /nfs/dbraw/zinc/21/42/16/1090214216.db2.gz RRCJUMNDKZCSKN-ROUUACIJSA-N 0 1 318.505 3.443 20 30 DGEDMN N#Cc1cc(C#N)cc(NC(=N)c2ccc(C(F)(F)F)cc2)c1 ZINC001163683478 1090232336 /nfs/dbraw/zinc/23/23/36/1090232336.db2.gz CUWSGYATYQSEHI-UHFFFAOYSA-N 0 1 314.270 3.486 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001163855998 1090274423 /nfs/dbraw/zinc/27/44/23/1090274423.db2.gz XCKJOVNRLXAVJE-UHFFFAOYSA-N 0 1 321.490 3.219 20 30 DGEDMN C=CCN1CC[C@]2(CCN(Cc3cc(Cl)ccc3F)C2)C1=O ZINC001272726738 1090335362 /nfs/dbraw/zinc/33/53/62/1090335362.db2.gz UDKRMZKREZDRBS-KRWDZBQOSA-N 0 1 322.811 3.090 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1nc2ccccc2o1 ZINC001164317264 1090399292 /nfs/dbraw/zinc/39/92/92/1090399292.db2.gz ATOJLXNQAUHJJD-AWEZNQCLSA-N 0 1 315.417 3.026 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164324092 1090400844 /nfs/dbraw/zinc/40/08/44/1090400844.db2.gz OYJFRKQWXGJTHX-CQSZACIVSA-N 0 1 321.465 3.170 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)c2ccco2)C1 ZINC001107696192 1090486879 /nfs/dbraw/zinc/48/68/79/1090486879.db2.gz HQOIEGLKAJDDIV-SFHVURJKSA-N 0 1 322.449 3.071 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)CC(C)C)C1 ZINC001107702281 1090489409 /nfs/dbraw/zinc/48/94/09/1090489409.db2.gz LXINHUNCMNMWJR-GOSISDBHSA-N 0 1 312.498 3.210 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)c2ccoc2)C1 ZINC001107737651 1090495533 /nfs/dbraw/zinc/49/55/33/1090495533.db2.gz GEVVREAPWZZPNF-SFHVURJKSA-N 0 1 322.449 3.071 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)CC)C1 ZINC001107753537 1090497937 /nfs/dbraw/zinc/49/79/37/1090497937.db2.gz HLPZFUJNBNWTSJ-AEFFLSMTSA-N 0 1 312.498 3.210 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)[C@H](C)CCC)C1 ZINC001107823157 1090509376 /nfs/dbraw/zinc/50/93/76/1090509376.db2.gz KJISARYQDHVACF-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C=C2CCC2)C1 ZINC001107862166 1090519107 /nfs/dbraw/zinc/51/91/07/1090519107.db2.gz JUXULRJUYIAMKD-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C1CCC(C(=O)NCCCNCc2nc(C)sc2C)CC1 ZINC001165907263 1090559772 /nfs/dbraw/zinc/55/97/72/1090559772.db2.gz YFMGHQLXMHCAKW-UHFFFAOYSA-N 0 1 321.490 3.102 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC(C)=C(C)C)C2)CC1 ZINC001272810517 1090613584 /nfs/dbraw/zinc/61/35/84/1090613584.db2.gz JKXQCNAOHCAWSA-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001128085655 1090646285 /nfs/dbraw/zinc/64/62/85/1090646285.db2.gz ZGMYEEHMBQBAHJ-UHFFFAOYSA-N 0 1 324.827 3.453 20 30 DGEDMN COc1cc2cnc(N3CCC[C@@H](CC#N)C3)cc2cc1OC ZINC001166740872 1090649401 /nfs/dbraw/zinc/64/94/01/1090649401.db2.gz GVXVTJNCGBSJBY-ZDUSSCGKSA-N 0 1 311.385 3.382 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2CCc2cccc(Cl)c2)C1=O ZINC001272893748 1090670853 /nfs/dbraw/zinc/67/08/53/1090670853.db2.gz RQPJPENZXMWLRB-SFHVURJKSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1c(Cl)cccc1OC ZINC001485852686 1090708954 /nfs/dbraw/zinc/70/89/54/1090708954.db2.gz CPRURGVUCDUWHZ-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1ccc(Cl)cc1F ZINC001485852731 1090708972 /nfs/dbraw/zinc/70/89/72/1090708972.db2.gz DCDPSLGCRDGHNS-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CC1(c2ccccc2)CC1 ZINC001485883699 1090719278 /nfs/dbraw/zinc/71/92/78/1090719278.db2.gz FAOLYZNPQGIUCB-HNNXBMFYSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(CC(C)C)CCC1 ZINC001485899117 1090730912 /nfs/dbraw/zinc/73/09/12/1090730912.db2.gz UGZUIARODOUCRT-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCC[C@H](O)C1CCCC1 ZINC001203428028 1090733992 /nfs/dbraw/zinc/73/39/92/1090733992.db2.gz LBYJVCAJCDCQOK-KRWDZBQOSA-N 0 1 321.848 3.383 20 30 DGEDMN N#Cc1csc(CN[C@@H](Cn2ccnc2)c2ccccc2)c1 ZINC001203472520 1090740204 /nfs/dbraw/zinc/74/02/04/1090740204.db2.gz VVYJMNZSIDCMLE-KRWDZBQOSA-N 0 1 308.410 3.347 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cc(Br)ccc2O)CC1 ZINC001203645970 1090772654 /nfs/dbraw/zinc/77/26/54/1090772654.db2.gz XFRDTLLDFNBYRJ-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1cccc(F)c1)C2 ZINC001203785474 1090803594 /nfs/dbraw/zinc/80/35/94/1090803594.db2.gz CBBORPMVORZURU-MSOLQXFVSA-N 0 1 305.393 3.152 20 30 DGEDMN COc1cc(CN(C)Cc2cccc(C#N)c2)ccc1OC(C)=O ZINC001203836592 1090817221 /nfs/dbraw/zinc/81/72/21/1090817221.db2.gz HYJILSAHLRTEHY-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C(C)(C)C ZINC001486394014 1090822747 /nfs/dbraw/zinc/82/27/47/1090822747.db2.gz XMEVTSZZLGLGBB-BARDWOONSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CCC(F)CC1)C(C)(C)C ZINC001486411610 1090824746 /nfs/dbraw/zinc/82/47/46/1090824746.db2.gz SYURQNNCGAPLTA-RUXDESIVSA-N 0 1 318.864 3.388 20 30 DGEDMN N#Cc1ccccc1OC1CCN(Cc2ccc(O)c(O)c2)CC1 ZINC001203880475 1090826141 /nfs/dbraw/zinc/82/61/41/1090826141.db2.gz SWRRJQFFWBTCBE-UHFFFAOYSA-N 0 1 324.380 3.013 20 30 DGEDMN CCCc1noc(C2CCN(Cc3ccc(C#N)cc3)CC2)n1 ZINC001203904770 1090834130 /nfs/dbraw/zinc/83/41/30/1090834130.db2.gz GJTFAOJIOJJUTJ-UHFFFAOYSA-N 0 1 310.401 3.273 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001276440595 1090902179 /nfs/dbraw/zinc/90/21/79/1090902179.db2.gz ZWIAGXJUNXLCRA-YJBOKZPZSA-N 0 1 300.446 3.049 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1csc2cc(C)ccc21 ZINC001128233270 1090918631 /nfs/dbraw/zinc/91/86/31/1090918631.db2.gz DOHKAPSPNZUZGN-UHFFFAOYSA-N 0 1 308.834 3.282 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1nsc2ccccc12 ZINC001128245674 1090923020 /nfs/dbraw/zinc/92/30/20/1090923020.db2.gz FUULADOLMPCUGM-UHFFFAOYSA-N 0 1 317.458 3.104 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cc(OC)ccc1Cl ZINC001128246109 1090923146 /nfs/dbraw/zinc/92/31/46/1090923146.db2.gz QLLMAWDPVNIFJN-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001204368577 1090957763 /nfs/dbraw/zinc/95/77/63/1090957763.db2.gz QRADKWBGVLEDJO-GOSISDBHSA-N 0 1 300.402 3.114 20 30 DGEDMN C=CCCC(=O)N1CCC(CNCc2ncoc2C(C)C)CC1 ZINC001204753085 1091030659 /nfs/dbraw/zinc/03/06/59/1091030659.db2.gz GLOWRCMGAMMDDM-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001273091260 1091033199 /nfs/dbraw/zinc/03/31/99/1091033199.db2.gz TUCLFFAASQSUJU-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108115914 1091094674 /nfs/dbraw/zinc/09/46/74/1091094674.db2.gz WUMPLZLMXNFMSX-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN CC(C)c1ccccc1C(=O)NCCNCc1ccccc1C#N ZINC001128875826 1091101110 /nfs/dbraw/zinc/10/11/10/1091101110.db2.gz WTXMLCDGJPBHDQ-UHFFFAOYSA-N 0 1 321.424 3.201 20 30 DGEDMN CCOC(=O)Cc1cccc(Nc2cc(C#N)c(F)cc2O)c1 ZINC001212527524 1091110286 /nfs/dbraw/zinc/11/02/86/1091110286.db2.gz UMJAECLWRLKBHE-UHFFFAOYSA-N 0 1 314.316 3.252 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCCN(Cc3cc(O)cc(O)c3)C2)cc1 ZINC001138333517 1091143433 /nfs/dbraw/zinc/14/34/33/1091143433.db2.gz GRVNTBQZLAOFNB-LJQANCHMSA-N 0 1 324.380 3.013 20 30 DGEDMN C#CCOc1ccc(CN2CCC(F)(F)[C@H](C)C2)cc1OC ZINC001138342680 1091147397 /nfs/dbraw/zinc/14/73/97/1091147397.db2.gz AQJWFQUMEOOINW-CYBMUJFWSA-N 0 1 309.356 3.184 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NCc2csc(CC)n2)C1 ZINC001316651569 1091173998 /nfs/dbraw/zinc/17/39/98/1091173998.db2.gz HBSRVSMFGRLATN-UONOGXRCSA-N 0 1 321.490 3.046 20 30 DGEDMN C[C@@H](CC#N)N(C)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001293071041 1091212622 /nfs/dbraw/zinc/21/26/22/1091212622.db2.gz GMWDATLAXRUSRX-JTQLQIEISA-N 0 1 302.765 3.104 20 30 DGEDMN C#CCN1CCN(Cc2ccc(-c3ccc(OC)cc3)cc2)CC1 ZINC001138517253 1091212814 /nfs/dbraw/zinc/21/28/14/1091212814.db2.gz AJCDUAXGPXZYJU-UHFFFAOYSA-N 0 1 320.436 3.113 20 30 DGEDMN C#CCOc1ccc(CN2CCc3cc(C#N)ccc3C2)cc1 ZINC001138556140 1091228645 /nfs/dbraw/zinc/22/86/45/1091228645.db2.gz HPOKPUPKWUENBH-UHFFFAOYSA-N 0 1 302.377 3.129 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3ccccc3N3CCCC3)CC[C@H]2S1 ZINC001138608885 1091252056 /nfs/dbraw/zinc/25/20/56/1091252056.db2.gz BUYGYRCGTCMCBN-QGZVFWFLSA-N 0 1 314.454 3.061 20 30 DGEDMN O=C1C=C2CN(Cc3ccccc3N3CCCC3)CCC2S1 ZINC001138608885 1091252077 /nfs/dbraw/zinc/25/20/77/1091252077.db2.gz BUYGYRCGTCMCBN-QGZVFWFLSA-N 0 1 314.454 3.061 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC2(CCOC2=O)CC1 ZINC001138666512 1091281642 /nfs/dbraw/zinc/28/16/42/1091281642.db2.gz VYXXWYJKWQUNOP-UHFFFAOYSA-N 0 1 315.413 3.171 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1ccc3c(c1)CCCO3)C2 ZINC001138713940 1091295358 /nfs/dbraw/zinc/29/53/58/1091295358.db2.gz JTEVJZWJNYIOSS-UHFFFAOYSA-N 0 1 304.393 3.442 20 30 DGEDMN N#CCc1cccc(-c2ccc(CCN3CCOCC3)cc2)c1 ZINC001205655350 1091301027 /nfs/dbraw/zinc/30/10/27/1091301027.db2.gz VCXNLEMMSXJRCU-UHFFFAOYSA-N 0 1 306.409 3.294 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108188763 1091374803 /nfs/dbraw/zinc/37/48/03/1091374803.db2.gz YJDJRAVLFPDYLL-BGGMYYEUSA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCN1CCN(Cc2ccc3c(c2)c2ccccc2n3C)CC1 ZINC001138918592 1091376350 /nfs/dbraw/zinc/37/63/50/1091376350.db2.gz KCAGYWQCWGSJJL-UHFFFAOYSA-N 0 1 317.436 3.082 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1c2cc[nH]c2ncc1Cl ZINC001138951452 1091387434 /nfs/dbraw/zinc/38/74/34/1091387434.db2.gz BLGSZGHMUHNLOZ-CNDDSTCGSA-N 0 1 300.793 3.434 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(CNCc2cscn2)CC1 ZINC001205975824 1091433820 /nfs/dbraw/zinc/43/38/20/1091433820.db2.gz NYPZLXVZRZPHPY-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN COc1ccc(CN2CCC(S)CC2)c(Cl)c1OC ZINC001139118128 1091453824 /nfs/dbraw/zinc/45/38/24/1091453824.db2.gz ITCDKTYKJOWODU-UHFFFAOYSA-N 0 1 301.839 3.251 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cscc3C(F)F)[C@@H]2C1 ZINC001084349283 1091479355 /nfs/dbraw/zinc/47/93/55/1091479355.db2.gz FZHVIYVRVACLBF-ZWNOBZJWSA-N 0 1 312.385 3.018 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(F)c(C(F)F)c3)[C@@H]2C1 ZINC001084349438 1091479891 /nfs/dbraw/zinc/47/98/91/1091479891.db2.gz LXOWVBZTHFZBTH-IUODEOHRSA-N 0 1 324.346 3.096 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1[nH]c2ccccc2c1C#N ZINC001295103582 1091503622 /nfs/dbraw/zinc/50/36/22/1091503622.db2.gz LAKXJTGMKHAVAI-UHFFFAOYSA-N 0 1 315.283 3.261 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)c3cccc(Cl)c3F)[C@@H]2C1 ZINC001084623778 1091520542 /nfs/dbraw/zinc/52/05/42/1091520542.db2.gz VRAHKMODPRTREG-IUODEOHRSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(Cl)c3F)[C@@H]2C1 ZINC001084623778 1091520548 /nfs/dbraw/zinc/52/05/48/1091520548.db2.gz VRAHKMODPRTREG-IUODEOHRSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(C)c(Cl)c3)[C@@H]2C1 ZINC001084627809 1091520821 /nfs/dbraw/zinc/52/08/21/1091520821.db2.gz KTPJPXKKASVADK-NVXWUHKLSA-N 0 1 318.848 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(C)c(Cl)c3)[C@@H]2C1 ZINC001084627809 1091520828 /nfs/dbraw/zinc/52/08/28/1091520828.db2.gz KTPJPXKKASVADK-NVXWUHKLSA-N 0 1 318.848 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(F)cc(Cl)c3)[C@@H]2C1 ZINC001084681135 1091533757 /nfs/dbraw/zinc/53/37/57/1091533757.db2.gz SUGVVMDLNRGZDY-MLGOLLRUSA-N 0 1 322.811 3.202 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001084699126 1091538820 /nfs/dbraw/zinc/53/88/20/1091538820.db2.gz XJWBUPSMTZMOEZ-RCCFBDPRSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCc3cc(Cl)cs3)[C@@H]2C1 ZINC001084689500 1091539185 /nfs/dbraw/zinc/53/91/85/1091539185.db2.gz IJZNBBGJSHQAAW-IUODEOHRSA-N 0 1 324.877 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C34CCC(CC3)C4(C)C)[C@@H]2C1 ZINC001084709224 1091543552 /nfs/dbraw/zinc/54/35/52/1091543552.db2.gz QULMXCGKLSRSAX-NZEHOUKNSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@]3(C)C[C@@H]3c3ccccc3)[C@@H]2C1 ZINC001084714391 1091546701 /nfs/dbraw/zinc/54/67/01/1091546701.db2.gz FECSDOOJZAOACO-XCJLJZCSSA-N 0 1 324.468 3.289 20 30 DGEDMN CC(C)[C@H]1COCCN1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139405680 1091549343 /nfs/dbraw/zinc/54/93/43/1091549343.db2.gz XZJPHRQYXVZMTD-HXUWFJFHSA-N 0 1 321.424 3.477 20 30 DGEDMN COC1CCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)CC1 ZINC001139423324 1091555775 /nfs/dbraw/zinc/55/57/75/1091555775.db2.gz CRSGFFRTVNNCNG-UHFFFAOYSA-N 0 1 323.396 3.356 20 30 DGEDMN Cc1cccc(N2CCCN(Cc3ccc(C#N)c(F)c3)CC2)n1 ZINC001139529544 1091589075 /nfs/dbraw/zinc/58/90/75/1091589075.db2.gz WASRBWGZUAPOET-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC(C)(C)c3ccccc3)[C@@H]2C1 ZINC001084852820 1091592095 /nfs/dbraw/zinc/59/20/95/1091592095.db2.gz PHNKMCNKZZIXSB-SJLPKXTDSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c(CC)oc4ccccc43)[C@@H]2C1 ZINC001084856298 1091594567 /nfs/dbraw/zinc/59/45/67/1091594567.db2.gz BFRYZRGCBQFHNC-GDBMZVCRSA-N 0 1 324.424 3.328 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cccc(C(C)C)n2)C[C@H]1C ZINC001206489800 1091646971 /nfs/dbraw/zinc/64/69/71/1091646971.db2.gz AEEVUYVTZLBHHY-CRAIPNDOSA-N 0 1 315.461 3.108 20 30 DGEDMN COC(=O)c1cccc2[nH]cc(CN3CCC(C)(C#N)CC3)c21 ZINC001139721978 1091653027 /nfs/dbraw/zinc/65/30/27/1091653027.db2.gz PIXQSUJSPKPZIL-UHFFFAOYSA-N 0 1 311.385 3.080 20 30 DGEDMN COC(CN(Cc1ccccc1)Cc1ccc(C)c(C#N)c1)OC ZINC001139723119 1091654834 /nfs/dbraw/zinc/65/48/34/1091654834.db2.gz QMTZHZLMPXOFEK-UHFFFAOYSA-N 0 1 324.424 3.488 20 30 DGEDMN C=CCn1cc(CN2CCC[C@@H](OCc3ccccc3)C2)cn1 ZINC001139772356 1091669572 /nfs/dbraw/zinc/66/95/72/1091669572.db2.gz GJNYUYVZMXLVQC-LJQANCHMSA-N 0 1 311.429 3.250 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(C)CCC(C)=C(C)C3)C[C@@H]21 ZINC001085103619 1091672177 /nfs/dbraw/zinc/67/21/77/1091672177.db2.gz CLWMBZLHOUUJOH-WSTZPKSXSA-N 0 1 314.473 3.069 20 30 DGEDMN COc1ccc(CN(C)Cc2cc(F)cc(C#N)c2)cc1OC ZINC001139875312 1091698507 /nfs/dbraw/zinc/69/85/07/1091698507.db2.gz YYVKKQWVNQIYOP-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN CN(Cc1ccc2c(c1)OCCO2)Cc1cc(F)cc(C#N)c1 ZINC001139873126 1091699569 /nfs/dbraw/zinc/69/95/69/1091699569.db2.gz AZJAJOTYJLOMMN-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2ccccc2C2CC2)CC1 ZINC001139883291 1091702814 /nfs/dbraw/zinc/70/28/14/1091702814.db2.gz MMYBWKQLBLSQMG-UHFFFAOYSA-N 0 1 312.413 3.233 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@]2(C)CN(CCCCCC)CCO2)C1 ZINC001108201658 1091711902 /nfs/dbraw/zinc/71/19/02/1091711902.db2.gz PKXVXSSJHAXDSB-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c[nH]c3nccc(Br)c23)C1 ZINC001139946655 1091720833 /nfs/dbraw/zinc/72/08/33/1091720833.db2.gz CIGJLBBOWBFIAK-NSHDSACASA-N 0 1 318.218 3.171 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NCc2cscn2)C12CCC2 ZINC001202989884 1091729378 /nfs/dbraw/zinc/72/93/78/1091729378.db2.gz ZACWGEWLIOUIFF-GJZGRUSLSA-N 0 1 319.474 3.016 20 30 DGEDMN Cc1ccnc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)c1 ZINC001206852859 1091769416 /nfs/dbraw/zinc/76/94/16/1091769416.db2.gz IFIPLQGQRBWHTL-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)C[C@H]1c1ccccc1 ZINC001140137704 1091780350 /nfs/dbraw/zinc/78/03/50/1091780350.db2.gz UFLSAYOOUAKTGG-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1c[nH]c3cccc(O)c13)C2 ZINC001140229920 1091804204 /nfs/dbraw/zinc/80/42/04/1091804204.db2.gz JYAVKNNXEZMHLS-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN Cc1ncsc1CN1CCC[C@@H](Oc2ccc(C#N)cc2)C1 ZINC001206991684 1091814092 /nfs/dbraw/zinc/81/40/92/1091814092.db2.gz LSAJBOHZAWLCQZ-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1ccc2n[nH]c(CN(CCC#N)Cc3cccnc3)c2c1 ZINC001140329463 1091827336 /nfs/dbraw/zinc/82/73/36/1091827336.db2.gz XKTXVTZHXYRDBF-UHFFFAOYSA-N 0 1 305.385 3.182 20 30 DGEDMN C#C[C@@H](C)N(C)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001297186818 1091841308 /nfs/dbraw/zinc/84/13/08/1091841308.db2.gz QHBASCJZBMJAON-CYBMUJFWSA-N 0 1 303.365 3.324 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001085600662 1091851107 /nfs/dbraw/zinc/85/11/07/1091851107.db2.gz YXKLAGHYBWCHTF-INIZCTEOSA-N 0 1 315.461 3.102 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc(-n2cccc2)c1 ZINC001085705901 1091892750 /nfs/dbraw/zinc/89/27/50/1091892750.db2.gz BOACUCDHEDACSQ-LJQANCHMSA-N 0 1 323.440 3.200 20 30 DGEDMN COc1cccc(C2CCN(Cc3ccc(C#N)nc3)CC2)c1 ZINC001140546276 1091904053 /nfs/dbraw/zinc/90/40/53/1091904053.db2.gz BVUKIMSXNLEBBC-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C)nc1C(C)C ZINC001085747509 1091911049 /nfs/dbraw/zinc/91/10/49/1091911049.db2.gz IJFCJMHVJQBNQO-MRXNPFEDSA-N 0 1 315.461 3.236 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001085756811 1091916238 /nfs/dbraw/zinc/91/62/38/1091916238.db2.gz QYQDWDRHSYEPIT-MRXNPFEDSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c(C)cc(F)cc1C ZINC001085759473 1091918268 /nfs/dbraw/zinc/91/82/68/1091918268.db2.gz IDIWUYUWCZQVMP-MRXNPFEDSA-N 0 1 304.409 3.165 20 30 DGEDMN CC(C)NC(=O)c1ccc(CN(C)Cc2cccc(C#N)c2)cc1 ZINC001140616965 1091933289 /nfs/dbraw/zinc/93/32/89/1091933289.db2.gz WNFSCNZKHPCTJI-UHFFFAOYSA-N 0 1 321.424 3.328 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(Cl)cc1OC ZINC001085785942 1091934041 /nfs/dbraw/zinc/93/40/41/1091934041.db2.gz CDSWAHCQANVOGP-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)cc1C ZINC001085796743 1091942721 /nfs/dbraw/zinc/94/27/21/1091942721.db2.gz YZQJIACKHHDMHF-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2occc2s1 ZINC001085815435 1091949063 /nfs/dbraw/zinc/94/90/63/1091949063.db2.gz VIBLYSOOFQXRSV-LBPRGKRZSA-N 0 1 304.415 3.217 20 30 DGEDMN C=CC(C)(C)CNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC001297826728 1091973203 /nfs/dbraw/zinc/97/32/03/1091973203.db2.gz RYHIRJMCYRKXJI-QGZVFWFLSA-N 0 1 300.446 3.370 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C)c(OCC)c1 ZINC001085853042 1091978877 /nfs/dbraw/zinc/97/88/77/1091978877.db2.gz MNQVRYBGDOBKIM-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1sc(CC)nc1C ZINC001085870716 1091990209 /nfs/dbraw/zinc/99/02/09/1091990209.db2.gz BOYVKVFIACSDFP-AWEZNQCLSA-N 0 1 321.490 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc(C)c1Cl ZINC001085879720 1091996356 /nfs/dbraw/zinc/99/63/56/1091996356.db2.gz CXRCUBUZAULZAV-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](NCc2cc(O)ccc2Cl)C1 ZINC001207653953 1092059459 /nfs/dbraw/zinc/05/94/59/1092059459.db2.gz LUYRWONWTQJCDN-CQSZACIVSA-N 0 1 322.836 3.092 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)c(F)c(C)c1 ZINC001085979395 1092067831 /nfs/dbraw/zinc/06/78/31/1092067831.db2.gz QTMGJOZYFYXHDJ-MRXNPFEDSA-N 0 1 304.409 3.165 20 30 DGEDMN N#C[C@H]1CN(Cc2cccn2-c2ccc(Cl)cc2)CCC1=O ZINC001140941924 1092072065 /nfs/dbraw/zinc/07/20/65/1092072065.db2.gz ZVFSQAVIUHNZCW-ZDUSSCGKSA-N 0 1 313.788 3.045 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2c1cccc2Cl ZINC001085995951 1092083559 /nfs/dbraw/zinc/08/35/59/1092083559.db2.gz ZCORAQJYNCZZBP-CJNGLKHVSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2c(C)cccc2Cl)C1=O ZINC001273383510 1092087812 /nfs/dbraw/zinc/08/78/12/1092087812.db2.gz HIWXSNCLDZRGMN-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2ccn3ccnc3c2)CC1 ZINC001141042291 1092118455 /nfs/dbraw/zinc/11/84/55/1092118455.db2.gz LBRYRHDBGCRWRC-UHFFFAOYSA-N 0 1 316.408 3.392 20 30 DGEDMN N#CCCN1CCN(Cc2ccccc2-c2cccs2)CC1 ZINC001141095734 1092137067 /nfs/dbraw/zinc/13/70/67/1092137067.db2.gz UTFRKTMUEHLFSQ-UHFFFAOYSA-N 0 1 311.454 3.446 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108246664 1092147456 /nfs/dbraw/zinc/14/74/56/1092147456.db2.gz SAASIBGNXNZWJA-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN CCOC[C@@]12CCC[C@@H]1CN(Cc1ccc(F)cc1C#N)C2 ZINC001141163679 1092157290 /nfs/dbraw/zinc/15/72/90/1092157290.db2.gz ONBLAHMRJRLJQM-AEFFLSMTSA-N 0 1 302.393 3.336 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(F)F)cc1F ZINC001491624778 1092191571 /nfs/dbraw/zinc/19/15/71/1092191571.db2.gz NECPUQTWMWRXBZ-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001491625916 1092192260 /nfs/dbraw/zinc/19/22/60/1092192260.db2.gz XVPKKEVZKDISBL-NVXWUHKLSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@@H](CC)CC(C)C ZINC001491633044 1092193535 /nfs/dbraw/zinc/19/35/35/1092193535.db2.gz PFEFIFQANSQJNA-STQMWFEESA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@@H](C)CC(C)(C)C)C1 ZINC001108260404 1092205192 /nfs/dbraw/zinc/20/51/92/1092205192.db2.gz MFTKCXKLQUHQDC-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2coc(C)n2)C1 ZINC001491882681 1092272837 /nfs/dbraw/zinc/27/28/37/1092272837.db2.gz PPSSNDMMDKJDOS-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2coc(C)n2)C1 ZINC001491882680 1092273183 /nfs/dbraw/zinc/27/31/83/1092273183.db2.gz PPSSNDMMDKJDOS-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001208239592 1092287173 /nfs/dbraw/zinc/28/71/73/1092287173.db2.gz DGHIKHUOPOZGGP-SRXBQZRASA-N 0 1 319.276 3.488 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1CN(Cc2ccc(C#N)cc2)C[C@H]1C ZINC001208350248 1092323477 /nfs/dbraw/zinc/32/34/77/1092323477.db2.gz GJVLHZCRTBHWLO-OQVRLZGLSA-N 0 1 323.440 3.017 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C(CC)(CC)CC)C1 ZINC001108307197 1092326472 /nfs/dbraw/zinc/32/64/72/1092326472.db2.gz XLMJKHUVTOMDMH-SFHVURJKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208391472 1092338235 /nfs/dbraw/zinc/33/82/35/1092338235.db2.gz PBOZNWXSKXKVHA-SQWLQELKSA-N 0 1 306.475 3.287 20 30 DGEDMN CC(C)(C)CCC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001168789192 1092346408 /nfs/dbraw/zinc/34/64/08/1092346408.db2.gz LGSVERYAVCPULT-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@](C)(F)CCCC)C1 ZINC001208455752 1092360590 /nfs/dbraw/zinc/36/05/90/1092360590.db2.gz AWALDRCSTTYUKO-UKRRQHHQSA-N 0 1 304.837 3.238 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NCc1csc(C)n1 ZINC001492067489 1092368405 /nfs/dbraw/zinc/36/84/05/1092368405.db2.gz IDXIJEQCSCBZSZ-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@H](F)CC)CC1(C)C ZINC001276586415 1092498995 /nfs/dbraw/zinc/49/89/95/1092498995.db2.gz ISUPDGXQJOWTJM-HZPDHXFCSA-N 0 1 310.457 3.330 20 30 DGEDMN CC[C@H](CCC(=O)OC)CN(CCC#N)Cc1ccccc1 ZINC001208780167 1092503799 /nfs/dbraw/zinc/50/37/99/1092503799.db2.gz NSKFDSZCMIXGSI-MRXNPFEDSA-N 0 1 302.418 3.382 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1cccc(C#C[Si](C)(C)C)c1 ZINC001142587745 1092507336 /nfs/dbraw/zinc/50/73/36/1092507336.db2.gz AUHWHKMDCUUXER-UHFFFAOYSA-N 0 1 302.494 3.194 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(Cl)cc1C(=O)[O-] ZINC001142660552 1092520236 /nfs/dbraw/zinc/52/02/36/1092520236.db2.gz XMMLBYXYRFEBDZ-OJNYZHBWSA-N 0 1 304.777 3.305 20 30 DGEDMN N#Cc1ccc2c(CN3CC4(C3)C[C@H](F)CS4)c[nH]c2c1 ZINC001142922396 1092586664 /nfs/dbraw/zinc/58/66/64/1092586664.db2.gz GODJLAQUGOFNSL-ZDUSSCGKSA-N 0 1 301.390 3.069 20 30 DGEDMN C#CCN(Cc1ccc2nccn2c1)[C@@H]1CCc2ccccc21 ZINC001143028469 1092606886 /nfs/dbraw/zinc/60/68/86/1092606886.db2.gz BSCZRDAKFRWLHL-LJQANCHMSA-N 0 1 301.393 3.457 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCCNCc1cc(F)ccc1C#N ZINC001169426698 1092691100 /nfs/dbraw/zinc/69/11/00/1092691100.db2.gz NKRWNNALSFFXDS-AWEZNQCLSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001209335263 1092738711 /nfs/dbraw/zinc/73/87/11/1092738711.db2.gz JXKZTRFPXSOFQY-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](CC)CCCCCC)C1 ZINC001150099617 1092754930 /nfs/dbraw/zinc/75/49/30/1092754930.db2.gz HRIPVLKORCIHJC-MSOLQXFVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCCN1Cc2c(cnn2C)[C@@H](COCC)C1 ZINC001209436747 1092774801 /nfs/dbraw/zinc/77/48/01/1092774801.db2.gz YVNMTBLHVSFBAP-MRXNPFEDSA-N 0 1 305.466 3.492 20 30 DGEDMN C=CCCCCCCN1Cc2c(cnn2C)[C@H](COCC)C1 ZINC001209436744 1092774944 /nfs/dbraw/zinc/77/49/44/1092774944.db2.gz YVNMTBLHVSFBAP-INIZCTEOSA-N 0 1 305.466 3.492 20 30 DGEDMN C=CCCCCCCCN1Cc2nnn(CC)c2[C@@H](COC)C1 ZINC001209441282 1092774974 /nfs/dbraw/zinc/77/49/74/1092774974.db2.gz JIGZOORVGPEGFF-MRXNPFEDSA-N 0 1 320.481 3.370 20 30 DGEDMN C=CCCCCCCN1CCN(c2nc(C)cc(OC)n2)CC1 ZINC001209441461 1092777258 /nfs/dbraw/zinc/77/72/58/1092777258.db2.gz WZUDUHWRLADBBU-UHFFFAOYSA-N 0 1 318.465 3.052 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1cccs1)C1CC1 ZINC001276656228 1092842906 /nfs/dbraw/zinc/84/29/06/1092842906.db2.gz MAFKTIIWKWKWBQ-AAEUAGOBSA-N 0 1 312.866 3.089 20 30 DGEDMN COc1cc(CN2CCC(C#Cc3ccccc3)CC2)ccn1 ZINC001209643598 1092860539 /nfs/dbraw/zinc/86/05/39/1092860539.db2.gz IYPMJIPSLZLGDS-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN COc1cc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)ccn1 ZINC001209645057 1092861068 /nfs/dbraw/zinc/86/10/68/1092861068.db2.gz OGNPLQCPIUOSOK-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1cccc2c1-c1ccccc1C2 ZINC001151380639 1092891846 /nfs/dbraw/zinc/89/18/46/1092891846.db2.gz RXMWZQLRTGKPKJ-UHFFFAOYSA-N 0 1 300.321 3.105 20 30 DGEDMN Cc1cc(N)ncc1Nc1cccc(Br)c1C#N ZINC001209966485 1092997357 /nfs/dbraw/zinc/99/73/57/1092997357.db2.gz BUOIBUTVAZTAON-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN CN(C)c1ccccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001209988629 1093006114 /nfs/dbraw/zinc/00/61/14/1093006114.db2.gz GAFHDRODMZRYHE-UHFFFAOYSA-N 0 1 312.442 3.417 20 30 DGEDMN Cc1cc2[nH]ncc2cc1Nc1c(C#N)cnn1-c1ccccn1 ZINC001210099967 1093042040 /nfs/dbraw/zinc/04/20/40/1093042040.db2.gz ADUNXYPKXCPMHC-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc(F)c3O)sc2C1 ZINC001210195136 1093073570 /nfs/dbraw/zinc/07/35/70/1093073570.db2.gz FECTZRIUOZPWJI-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001210321521 1093126053 /nfs/dbraw/zinc/12/60/53/1093126053.db2.gz DUPQBUDFCLJMOF-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN Cc1cc(C#N)ccc1Nc1cccc(N2CCN(C)CC2)c1 ZINC001210376047 1093144935 /nfs/dbraw/zinc/14/49/35/1093144935.db2.gz JZHYNNNVULRZKG-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN N#Cc1cnn(-c2ccccc2)c1Nc1ccc(O)c([N+](=O)[O-])c1 ZINC001210394170 1093151770 /nfs/dbraw/zinc/15/17/70/1093151770.db2.gz QEJJAAFHMNZCAJ-UHFFFAOYSA-N 0 1 321.296 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)c1ccco1)C1CC1 ZINC001276686536 1093207215 /nfs/dbraw/zinc/20/72/15/1093207215.db2.gz JAAFYLWXXJWEDR-SMDDNHRTSA-N 0 1 310.825 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H](CC)C(C)C)C1 ZINC001210737666 1093265828 /nfs/dbraw/zinc/26/58/28/1093265828.db2.gz XKIJRGIAFGIGSL-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)CCCCCCCC)C1 ZINC001211206190 1093419292 /nfs/dbraw/zinc/41/92/92/1093419292.db2.gz JEQBBPYDHCTHCC-KZNAEPCWSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H]1CN(C/C=C\Cl)C[C@H]1C)c1ccccc1 ZINC001211241621 1093430916 /nfs/dbraw/zinc/43/09/16/1093430916.db2.gz NRMNOZQBWLISEY-DNBMOGTGSA-N 0 1 318.848 3.145 20 30 DGEDMN Cc1cc(Nc2cc(C#N)cc([N+](=O)[O-])c2)n(-c2ccncc2)n1 ZINC001211328857 1093460027 /nfs/dbraw/zinc/46/00/27/1093460027.db2.gz OFYSUTDRPHEVDO-UHFFFAOYSA-N 0 1 320.312 3.099 20 30 DGEDMN C#CCC1(C(=O)N2CCC[C@@H](NCC(=C)Cl)C2)CCCCC1 ZINC001211360988 1093472288 /nfs/dbraw/zinc/47/22/88/1093472288.db2.gz SELQKSSQBBJRKW-MRXNPFEDSA-N 0 1 322.880 3.293 20 30 DGEDMN Cc1[nH]c2ccc(Nc3c(C#N)cnn3-c3ccccn3)cc2[nH+]1 ZINC001213071564 1093507487 /nfs/dbraw/zinc/50/74/87/1093507487.db2.gz YFWODEGQLRPRMW-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN Cc1nc2ccc(Nc3c(C#N)cnn3-c3ccccn3)cc2[nH]1 ZINC001213071564 1093507494 /nfs/dbraw/zinc/50/74/94/1093507494.db2.gz YFWODEGQLRPRMW-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](CCCCCCC)C[C@H]1OC ZINC001213319752 1093565739 /nfs/dbraw/zinc/56/57/39/1093565739.db2.gz AXCFVEYGKOIZTF-RCCFBDPRSA-N 0 1 324.509 3.375 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)c1ccccc1)C1CC1 ZINC001276702702 1093606066 /nfs/dbraw/zinc/60/60/66/1093606066.db2.gz JJGXQBGPCBTIMK-DYVFJYSZSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)C(CC(C)C)CC(C)C)[C@H](OC)C1 ZINC001213934410 1093682980 /nfs/dbraw/zinc/68/29/80/1093682980.db2.gz SKWCKWXTRYEJHE-QZTJIDSGSA-N 0 1 324.509 3.086 20 30 DGEDMN CCCCCC(=O)N1C[C@@H](C)[C@H](NCc2ccccc2C#N)C1 ZINC001214148060 1093722703 /nfs/dbraw/zinc/72/27/03/1093722703.db2.gz INKKMMZSTQDWQN-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001214306274 1093754142 /nfs/dbraw/zinc/75/41/42/1093754142.db2.gz YSANBHFKGMJOOU-XJKCOSOUSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C2CC2)cc1)C1CC1 ZINC001276711203 1093769704 /nfs/dbraw/zinc/76/97/04/1093769704.db2.gz FTNDTBBBLQKHJM-QGZVFWFLSA-N 0 1 318.848 3.415 20 30 DGEDMN Cc1cc(CO)ccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001214657836 1093825846 /nfs/dbraw/zinc/82/58/46/1093825846.db2.gz VYWFNAIWLCCVPR-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN CCNC(=O)c1ccc(Nc2cc(C#N)c(F)cc2O)c(F)c1 ZINC001214898840 1093874233 /nfs/dbraw/zinc/87/42/33/1093874233.db2.gz PSIKMHFNRBSJJS-UHFFFAOYSA-N 0 1 317.295 3.035 20 30 DGEDMN COc1ccc(Nc2cc(C)nn2-c2ccncc2)cc1C#N ZINC001214997083 1093899436 /nfs/dbraw/zinc/89/94/36/1093899436.db2.gz OYXHRFIAYGQXGQ-UHFFFAOYSA-N 0 1 305.341 3.200 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2ccc(F)cc2F)C1 ZINC001215427934 1093981282 /nfs/dbraw/zinc/98/12/82/1093981282.db2.gz QEGQJODABRUNTR-CXAGYDPISA-N 0 1 322.399 3.258 20 30 DGEDMN COc1cc(C#N)c(F)cc1Nc1cc2[nH]ncc2c(F)c1 ZINC001215558086 1094002141 /nfs/dbraw/zinc/00/21/41/1094002141.db2.gz IZTXJSKAVFUEKF-UHFFFAOYSA-N 0 1 300.268 3.465 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215701274 1094034813 /nfs/dbraw/zinc/03/48/13/1094034813.db2.gz QNOGYBPSVVVBRE-ZGPXNKBISA-N 0 1 319.276 3.344 20 30 DGEDMN N#Cc1cc(-c2nc(-c3ccc(F)c(F)c3F)no2)ccc1O ZINC001216969725 1094285416 /nfs/dbraw/zinc/28/54/16/1094285416.db2.gz OSBIPRFXJOGPOI-UHFFFAOYSA-N 0 1 317.226 3.398 20 30 DGEDMN COc1ccc(F)c(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001216969617 1094285747 /nfs/dbraw/zinc/28/57/47/1094285747.db2.gz JCJCVAJPNMTCCE-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN Cn1ccc2ccc(-c3noc(-c4ccc(O)c(C#N)c4)n3)cc21 ZINC001216969429 1094285958 /nfs/dbraw/zinc/28/59/58/1094285958.db2.gz DXBCQKJYOFMABN-UHFFFAOYSA-N 0 1 316.320 3.473 20 30 DGEDMN N#Cc1cc(-c2nc(-c3cc(F)c(F)cc3F)no2)ccc1O ZINC001216969826 1094286090 /nfs/dbraw/zinc/28/60/90/1094286090.db2.gz RVSOBTMMTAVWIQ-UHFFFAOYSA-N 0 1 317.226 3.398 20 30 DGEDMN C=CC1(CC(=O)NCCNCc2oc(C)nc2C)CCCCC1 ZINC001135190348 1094426490 /nfs/dbraw/zinc/42/64/90/1094426490.db2.gz BUYHXUBIEVASAN-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN C#CCCCCCC(=O)N[C@H](C)C[C@@H](C)NCc1cscn1 ZINC001135193337 1094428204 /nfs/dbraw/zinc/42/82/04/1094428204.db2.gz HPXTXTDTFCQGCD-HUUCEWRRSA-N 0 1 321.490 3.100 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1coc(C2CC2)n1 ZINC001135236770 1094454963 /nfs/dbraw/zinc/45/49/63/1094454963.db2.gz WNHJDSMWMXJYFL-QWHCGFSZSA-N 0 1 319.449 3.137 20 30 DGEDMN CNc1nc2cc(-c3nc(-c4cccc(C#N)c4)no3)ccc2[nH]1 ZINC001217626472 1094458239 /nfs/dbraw/zinc/45/82/39/1094458239.db2.gz GJIXCXWUDIYHSI-UHFFFAOYSA-N 0 1 316.324 3.193 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](c1ccccc1OC)N1CCCC1 ZINC001120603176 1094467612 /nfs/dbraw/zinc/46/76/12/1094467612.db2.gz NAZNHFCBNASGJZ-QGZVFWFLSA-N 0 1 316.445 3.305 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001135358794 1094503683 /nfs/dbraw/zinc/50/36/83/1094503683.db2.gz YOCPVMVEAYPZNN-CHWSQXEVSA-N 0 1 307.438 3.021 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC001135406642 1094523594 /nfs/dbraw/zinc/52/35/94/1094523594.db2.gz XKPLUMAKVFCJKH-OCCSQVGLSA-N 0 1 300.874 3.297 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCCC[C@@H](C)CC)[C@H]2C1 ZINC001217998134 1094550585 /nfs/dbraw/zinc/55/05/85/1094550585.db2.gz RSRQEGXCZNRCGZ-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CC[NH2+]CC[C@@H]1C ZINC001218027096 1094567656 /nfs/dbraw/zinc/56/76/56/1094567656.db2.gz MNVFYGDBCQPJSD-SJCJKPOMSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(CC)o1 ZINC001135560846 1094577097 /nfs/dbraw/zinc/57/70/97/1094577097.db2.gz MEVUFDQAYLJKDW-KGLIPLIRSA-N 0 1 321.465 3.212 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2cnc(C)s2)CC1 ZINC001159539024 1094641436 /nfs/dbraw/zinc/64/14/36/1094641436.db2.gz XQSDFTGELFHZTG-UHFFFAOYSA-N 0 1 319.474 3.056 20 30 DGEDMN CC(C)c1cc(Br)ccc1O[C@@H]1CNC[C@H]1C#N ZINC001218199970 1094654464 /nfs/dbraw/zinc/65/44/64/1094654464.db2.gz IMGQENBOBFKBMF-QMTHXVAHSA-N 0 1 309.207 3.063 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC001218199238 1094654487 /nfs/dbraw/zinc/65/44/87/1094654487.db2.gz BZVFUETYIGWOPK-CPCISQLKSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(-c2nc3ccccc3s2)cc1 ZINC001218199611 1094654610 /nfs/dbraw/zinc/65/46/10/1094654610.db2.gz GJIQWBCPQKUGMP-CJNGLKHVSA-N 0 1 321.405 3.454 20 30 DGEDMN Cc1ccc(O)c(Cc2cc(C)ccc2O[C@H]2CNC[C@H]2C#N)c1 ZINC001218200278 1094654684 /nfs/dbraw/zinc/65/46/84/1094654684.db2.gz FWKZZGRIWJCPQO-XLIONFOSSA-N 0 1 322.408 3.090 20 30 DGEDMN CC(C)c1cc(Br)ccc1O[C@@H]1CNC[C@@H]1C#N ZINC001218199969 1094655267 /nfs/dbraw/zinc/65/52/67/1094655267.db2.gz IMGQENBOBFKBMF-IINYFYTJSA-N 0 1 309.207 3.063 20 30 DGEDMN Cc1ccc2nc(C(F)(F)F)cc(O[C@H]3CNC[C@H]3C#N)c2c1 ZINC001218199831 1094655456 /nfs/dbraw/zinc/65/54/56/1094655456.db2.gz HNRUXVARNGDIIA-YGRLFVJLSA-N 0 1 321.302 3.052 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1nc2c(cc(Cl)cc2Cl)s1 ZINC001218201835 1094656697 /nfs/dbraw/zinc/65/66/97/1094656697.db2.gz VRUYHTQAMMRBMA-HZGVNTEJSA-N 0 1 314.197 3.093 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@@H](CC)CCCC)[C@H]2C1 ZINC001218331816 1094721425 /nfs/dbraw/zinc/72/14/25/1094721425.db2.gz ADCQNYFWTWIENB-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135863044 1094722374 /nfs/dbraw/zinc/72/23/74/1094722374.db2.gz BYMGNKDVNOBHHQ-GJZGRUSLSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCn1c(C(CC)CC)nnc1N1CCN(C2CCC2)CC1 ZINC001121606158 1094726215 /nfs/dbraw/zinc/72/62/15/1094726215.db2.gz WMIBPOKDRFLZCE-UHFFFAOYSA-N 0 1 317.481 3.042 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135874573 1094726421 /nfs/dbraw/zinc/72/64/21/1094726421.db2.gz DZGLCOMICVIYCO-INIZCTEOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@@H](C)[C@@H]1C ZINC001121711435 1094752965 /nfs/dbraw/zinc/75/29/65/1094752965.db2.gz XKNMQSKQOKIKQS-OLZOCXBDSA-N 0 1 322.416 3.242 20 30 DGEDMN C#CCN1CCC(Nc2nc(C(F)F)nc3ccccc32)CC1 ZINC001336837346 1094754278 /nfs/dbraw/zinc/75/42/78/1094754278.db2.gz SFLIGNYOZUBKNW-UHFFFAOYSA-N 0 1 316.355 3.077 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1n[nH]cc1C(F)(F)F ZINC001135973160 1094779254 /nfs/dbraw/zinc/77/92/54/1094779254.db2.gz PEIBALDFLFUOBN-UHFFFAOYSA-N 0 1 309.291 3.257 20 30 DGEDMN CCC(C#N)(CC)C(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC001336949908 1094791386 /nfs/dbraw/zinc/79/13/86/1094791386.db2.gz UUUBKTTYMKTHKO-UHFFFAOYSA-N 0 1 317.780 3.394 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C3(C4CCC4)CCC3)n2)c1 ZINC001649146586 1094804131 /nfs/dbraw/zinc/80/41/31/1094804131.db2.gz PUAVMACZPHECQD-UHFFFAOYSA-N 0 1 321.384 3.252 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1CCc1ccc(Cl)cc1 ZINC001276799756 1094904886 /nfs/dbraw/zinc/90/48/86/1094904886.db2.gz FBUIRPWAGPONCT-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)[C@H](N)Cc1ccc2cc[nH]c2c1 ZINC001122201774 1094923840 /nfs/dbraw/zinc/92/38/40/1094923840.db2.gz UEGJOTALBBYPME-MRXNPFEDSA-N 0 1 313.445 3.146 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@H](CC)CCCCC)[C@H]2C1 ZINC001219045345 1094931547 /nfs/dbraw/zinc/93/15/47/1094931547.db2.gz BFJXGVHZEAQNDD-FGTMMUONSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1Cc1ccc(C(C)C)cc1 ZINC001276801499 1094934828 /nfs/dbraw/zinc/93/48/28/1094934828.db2.gz QATOAHCOUKMXGM-SFHVURJKSA-N 0 1 300.446 3.467 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@H]1CN(Cc1ccccc1F)C2 ZINC001111649003 1094939864 /nfs/dbraw/zinc/93/98/64/1094939864.db2.gz YRWLUOXRVJDVFZ-LPHOPBHVSA-N 0 1 316.420 3.263 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCO[C@H]1C1CC1)c1ccc2ccccc2n1 ZINC001122392516 1094965354 /nfs/dbraw/zinc/96/53/54/1094965354.db2.gz BTPZFOWJMBFFOC-KHYOSLBOSA-N 0 1 306.365 3.226 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)CCCCCC)C1 ZINC001111906944 1095010814 /nfs/dbraw/zinc/01/08/14/1095010814.db2.gz WNLXBIXGWJRLDZ-AOIWGVFYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCOc1cccc(NC(=O)C(C)(C)N2CCCCC2)c1 ZINC001136388927 1095012950 /nfs/dbraw/zinc/01/29/50/1095012950.db2.gz IDONSSLXUXBFPY-UHFFFAOYSA-N 0 1 302.418 3.454 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](F)CCCCCC)C1 ZINC001111936363 1095016306 /nfs/dbraw/zinc/01/63/06/1095016306.db2.gz HBCPRLOTJYTXQX-LNLFQRSKSA-N 0 1 322.468 3.289 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1C(=O)C(C#N)c1cccc(F)n1 ZINC001122632070 1095022420 /nfs/dbraw/zinc/02/24/20/1095022420.db2.gz OTJYROSGXHXWLX-CQSZACIVSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1C(=O)[C@H](C#N)c1cccc(F)n1 ZINC001122632070 1095022434 /nfs/dbraw/zinc/02/24/34/1095022434.db2.gz OTJYROSGXHXWLX-CQSZACIVSA-N 0 1 320.327 3.205 20 30 DGEDMN N#CC(C(=O)[C@@H]1COCC[C@@H]1c1ccccc1)c1cccc(F)n1 ZINC001122649429 1095026634 /nfs/dbraw/zinc/02/66/34/1095026634.db2.gz JOQKTLQRMSHEBZ-OWCLPIDISA-N 0 1 324.355 3.217 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1ncc2ccccc2c1O ZINC001136453385 1095044654 /nfs/dbraw/zinc/04/46/54/1095044654.db2.gz FLBGNQYRRPGTRQ-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccccn2)n1)c1cccc(F)n1 ZINC001122718236 1095045233 /nfs/dbraw/zinc/04/52/33/1095045233.db2.gz VLDSFDYNEXDMMU-SNVBAGLBSA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(-c2ccccn2)n1)c1cccc(F)n1 ZINC001122718236 1095045247 /nfs/dbraw/zinc/04/52/47/1095045247.db2.gz VLDSFDYNEXDMMU-SNVBAGLBSA-N 0 1 324.340 3.229 20 30 DGEDMN N#Cc1cnc(CN[C@H]2CCc3c(Cl)cccc3C2)s1 ZINC001171022834 1095147828 /nfs/dbraw/zinc/14/78/28/1095147828.db2.gz QEPPKJPCUUPSPQ-NSHDSACASA-N 0 1 303.818 3.315 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2[C@H]3CN(C[C@@H](F)CC)C[C@H]32)CCCCC1 ZINC001115278147 1095161256 /nfs/dbraw/zinc/16/12/56/1095161256.db2.gz NRBHIPGQVBUSJX-MWDXBVQZSA-N 0 1 322.468 3.308 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001171035535 1095166229 /nfs/dbraw/zinc/16/62/29/1095166229.db2.gz MRLSCDGGIDNJJU-AWEZNQCLSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C[C@H](COC)[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC001338113266 1095193334 /nfs/dbraw/zinc/19/33/34/1095193334.db2.gz SSVOCVYAFBQOOT-GXSJLCMTSA-N 0 1 300.196 3.006 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(c2cccc(F)c2)CC1 ZINC001123232893 1095195259 /nfs/dbraw/zinc/19/52/59/1095195259.db2.gz DKIDFMGLSPYHNN-GFCCVEGCSA-N 0 1 317.751 3.121 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)c1nsc2ccc(C)cc21 ZINC001123233752 1095195426 /nfs/dbraw/zinc/19/54/26/1095195426.db2.gz NJFURXFYCXMOSM-GFCCVEGCSA-N 0 1 310.382 3.311 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1nsc2ccc(C)cc21 ZINC001123233752 1095195433 /nfs/dbraw/zinc/19/54/33/1095195433.db2.gz NJFURXFYCXMOSM-GFCCVEGCSA-N 0 1 310.382 3.311 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@H](C)c1nc(C)no1 ZINC001171052993 1095204547 /nfs/dbraw/zinc/20/45/47/1095204547.db2.gz MIGSSJOOGGTEQW-ZIAGYGMSSA-N 0 1 322.453 3.060 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)SCc1ccccc1 ZINC001123335941 1095244043 /nfs/dbraw/zinc/24/40/43/1095244043.db2.gz AFOPGOHFNAGBTH-DZGCQCFKSA-N 0 1 313.426 3.401 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(Cc2ccccc2)CCC1 ZINC001123337867 1095246152 /nfs/dbraw/zinc/24/61/52/1095246152.db2.gz MPIQYHLXIVWPPA-INIZCTEOSA-N 0 1 307.397 3.492 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@@H]1NCCc2cc(F)ccc21)c1ccccc1 ZINC001221361125 1095249186 /nfs/dbraw/zinc/24/91/86/1095249186.db2.gz QJNWHXLJYXCGIZ-RTBURBONSA-N 0 1 324.399 3.446 20 30 DGEDMN CC(C)[C@H](C(=O)C(C#N)c1ncc(F)cc1F)N1CCCCC1 ZINC001123475950 1095313467 /nfs/dbraw/zinc/31/34/67/1095313467.db2.gz HZRXWQASXLKZRS-XJKSGUPXSA-N 0 1 321.371 3.047 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CCN2CC[C@H](F)C2)c1 ZINC001143642094 1095328068 /nfs/dbraw/zinc/32/80/68/1095328068.db2.gz UQVBIEMATMMQCE-AWEZNQCLSA-N 0 1 306.381 3.014 20 30 DGEDMN COC(=O)c1cccc([C@H](C)Nc2c[nH]c3c(C#N)cnc-3c2)c1 ZINC001171110142 1095396985 /nfs/dbraw/zinc/39/69/85/1095396985.db2.gz QFJAAZURZVMRHG-NSHDSACASA-N 0 1 320.352 3.394 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](CC)CCCCC)[C@@H]2C1 ZINC001222036019 1095411915 /nfs/dbraw/zinc/41/19/15/1095411915.db2.gz QGXBSLZFVOHCSC-RCCFBDPRSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21)c1ccccc1 ZINC001222037016 1095413403 /nfs/dbraw/zinc/41/34/03/1095413403.db2.gz NMJKJGRTXONEMC-CEXWTWQISA-N 0 1 310.441 3.065 20 30 DGEDMN Cc1nc(CN=Nc2ccc(C(C)(C)C)nn2)c2ccccn12 ZINC001116090562 1095453928 /nfs/dbraw/zinc/45/39/28/1095453928.db2.gz RJEGAJWTOXLNFS-UHFFFAOYSA-N 0 1 308.389 3.176 20 30 DGEDMN C=CC[C@H](C)C(=O)NCCCc1nc2ccc(Cl)cc2[nH]1 ZINC001144025811 1095480499 /nfs/dbraw/zinc/48/04/99/1095480499.db2.gz BEEPGUBDQDGJQG-NSHDSACASA-N 0 1 305.809 3.477 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1n[nH]c2cncc(Br)c21 ZINC001144065551 1095493284 /nfs/dbraw/zinc/49/32/84/1095493284.db2.gz DYAPWZSZBBRFOF-UHFFFAOYSA-N 0 1 323.194 3.261 20 30 DGEDMN CCN(C(=O)c1cnc(C2CC2)[nH]1)[C@@H](C)c1ccc(C#N)cc1 ZINC001116251616 1095494436 /nfs/dbraw/zinc/49/44/36/1095494436.db2.gz UWOMOPHVXWJXOA-LBPRGKRZSA-N 0 1 308.385 3.382 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CC(OCC4CC4)C3)CC2)nc1 ZINC001144160380 1095541159 /nfs/dbraw/zinc/54/11/59/1095541159.db2.gz IMAKHTAMVHAYMP-UHFFFAOYSA-N 0 1 311.429 3.124 20 30 DGEDMN C#CCN(C)Cc1ccc(Br)c(Br)c1 ZINC001144212352 1095558002 /nfs/dbraw/zinc/55/80/02/1095558002.db2.gz WAYLHYJPZKOSSW-UHFFFAOYSA-N 0 1 317.024 3.277 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)NCCN[C@H](C)c1ccccc1Cl ZINC001124495173 1095598248 /nfs/dbraw/zinc/59/82/48/1095598248.db2.gz NHVCNFOIHOJYHD-KGLIPLIRSA-N 0 1 324.852 3.088 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cc(Br)c(F)cc2O)C1 ZINC001144306514 1095600382 /nfs/dbraw/zinc/60/03/82/1095600382.db2.gz FHBBFDRGYCSRMM-SECBINFHSA-N 0 1 313.170 3.029 20 30 DGEDMN CC(C)CN(C(=O)[C@@H]1CCCN1C(C)C)c1ccc(C#N)cc1 ZINC001124832738 1095635322 /nfs/dbraw/zinc/63/53/22/1095635322.db2.gz TXPSUZBRSYEZDE-SFHVURJKSA-N 0 1 313.445 3.420 20 30 DGEDMN COc1ccc(CN2CCC(Cc3ccc(C#N)cc3)CC2)nc1 ZINC001144428432 1095654531 /nfs/dbraw/zinc/65/45/31/1095654531.db2.gz LKEPBFXYPHAABX-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)nc1 ZINC001144430372 1095655307 /nfs/dbraw/zinc/65/53/07/1095655307.db2.gz FMVSBCHYDXLSOU-LJQANCHMSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2ccc(Br)cc2C#N)[nH]n1 ZINC001339630886 1095680343 /nfs/dbraw/zinc/68/03/43/1095680343.db2.gz XVOCZUPEGKWBSR-SECBINFHSA-N 0 1 319.206 3.395 20 30 DGEDMN C#CC[C@@H](NC(=O)c1ccc(CN2CCCC2)o1)c1ccccc1 ZINC001137622237 1095693151 /nfs/dbraw/zinc/69/31/51/1095693151.db2.gz GRVWGLBOESNFQJ-GOSISDBHSA-N 0 1 322.408 3.370 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)NCC(=O)c1cc(F)cc(F)c1 ZINC001171203675 1095747970 /nfs/dbraw/zinc/74/79/70/1095747970.db2.gz OULJBKMUOAWADV-GFCCVEGCSA-N 0 1 314.335 3.240 20 30 DGEDMN CC[C@@H]1OC(C)=C(O[C@H](C(=O)OC)c2ccccc2Cl)C1=O ZINC001223024595 1095835653 /nfs/dbraw/zinc/83/56/53/1095835653.db2.gz YFZYNMMOYIGJEU-WFASDCNBSA-N 0 1 324.760 3.180 20 30 DGEDMN C#CCCCCC(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001340052012 1095838161 /nfs/dbraw/zinc/83/81/61/1095838161.db2.gz IXAKGZXLPCDQBX-UHFFFAOYSA-N 0 1 302.765 3.257 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1nc(C(C)(C)C)cs1 ZINC001276866727 1095880203 /nfs/dbraw/zinc/88/02/03/1095880203.db2.gz GOMOCEZHSOBPAA-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCC[C@H]1CCCN1c1nnc(-c2csc(=O)[nH]2)n1CC ZINC001340242108 1095915824 /nfs/dbraw/zinc/91/58/24/1095915824.db2.gz GTDGBQFUPYDFBE-NSHDSACASA-N 0 1 319.434 3.062 20 30 DGEDMN CCCC[N@H+](CCC#N)Cc1cn(CC(=O)[O-])c2ccccc12 ZINC001138193247 1095920525 /nfs/dbraw/zinc/92/05/25/1095920525.db2.gz VETZXFSHDBMLJH-UHFFFAOYSA-N 0 1 313.401 3.242 20 30 DGEDMN CCCC[N@@H+](CCC#N)Cc1cn(CC(=O)[O-])c2ccccc12 ZINC001138193247 1095920536 /nfs/dbraw/zinc/92/05/36/1095920536.db2.gz VETZXFSHDBMLJH-UHFFFAOYSA-N 0 1 313.401 3.242 20 30 DGEDMN C=C(C)CCC(=O)NCC1CCN(Cc2cc(C)ns2)CC1 ZINC001223353731 1095947618 /nfs/dbraw/zinc/94/76/18/1095947618.db2.gz SBCVAJILPYEVQP-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCc1ccc(-c2noc(C)n2)cc1 ZINC001223464064 1095977352 /nfs/dbraw/zinc/97/73/52/1095977352.db2.gz JPHWYKFJHCEGMB-CQSZACIVSA-N 0 1 314.341 3.171 20 30 DGEDMN CC[C@H]1OC(C)=C(O[C@@H](C(=O)OC)c2ccc(Cl)cc2)C1=O ZINC001223576208 1096005131 /nfs/dbraw/zinc/00/51/31/1096005131.db2.gz KKGDTYGJZAFKEX-IUODEOHRSA-N 0 1 324.760 3.180 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC=C(C)C1 ZINC001340720553 1096015359 /nfs/dbraw/zinc/01/53/59/1096015359.db2.gz UNFMCMQSLZWHHT-UHFFFAOYSA-N 0 1 320.400 3.164 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1O[C@@H](C)c1ccc(C(=O)OC)cc1 ZINC001223750846 1096054192 /nfs/dbraw/zinc/05/41/92/1096054192.db2.gz BBFOFQSCYVIOKK-HZMBPMFUSA-N 0 1 304.342 3.160 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2ccc3cc[nH]c3c2)C1 ZINC001276924786 1096077652 /nfs/dbraw/zinc/07/76/52/1096077652.db2.gz YXXUETGBPQWVEH-QGZVFWFLSA-N 0 1 323.440 3.215 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCc1cccc(OC(=O)C(C)C)c1 ZINC001223894469 1096089901 /nfs/dbraw/zinc/08/99/01/1096089901.db2.gz XPHNXNQXBVXYTF-HNNXBMFYSA-N 0 1 318.369 3.374 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(C#N)ccc2O)c(C)n1CC1CC1 ZINC001341211179 1096134551 /nfs/dbraw/zinc/13/45/51/1096134551.db2.gz FXYBPVUXKICJQN-UHFFFAOYSA-N 0 1 309.369 3.345 20 30 DGEDMN C=C(CO[C@@H]1CCN(Cc2ccccc2Cl)C1)C(=O)OCC ZINC001224354977 1096195780 /nfs/dbraw/zinc/19/57/80/1096195780.db2.gz CJBNBZLXRBHXKA-OAHLLOKOSA-N 0 1 323.820 3.050 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1sc(C)nc1C ZINC001276970910 1096207978 /nfs/dbraw/zinc/20/79/78/1096207978.db2.gz WJRHDMMYRGLYOA-OAHLLOKOSA-N 0 1 321.490 3.310 20 30 DGEDMN CCCCCC[C@@H](F)C(=O)NCCNCC#Cc1ccccc1 ZINC001147796531 1096274176 /nfs/dbraw/zinc/27/41/76/1096274176.db2.gz ICJMGPAFUBWCHX-GOSISDBHSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Br)c(OC)c1 ZINC001225423154 1096426368 /nfs/dbraw/zinc/42/63/68/1096426368.db2.gz GDXTXODAIBJIGE-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cnc(C)o1 ZINC001148820598 1096453405 /nfs/dbraw/zinc/45/34/05/1096453405.db2.gz KGMLSXZJAJRGQH-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2C[C@@H](C)C(F)(F)F ZINC001273446604 1096482280 /nfs/dbraw/zinc/48/22/80/1096482280.db2.gz QLFAXJMISSUWGV-UKRRQHHQSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@H](C)CC)C2)CC1 ZINC001086905106 1096503196 /nfs/dbraw/zinc/50/31/96/1096503196.db2.gz SZBAOCLYLHDWGD-UKRRQHHQSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001086913200 1096506186 /nfs/dbraw/zinc/50/61/86/1096506186.db2.gz JZYABVUDRHVAAH-GJZGRUSLSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)/C(C)=C\C)C2)CC1 ZINC001086913951 1096506868 /nfs/dbraw/zinc/50/68/68/1096506868.db2.gz BQBRWZPZWWXOMA-KSKAUBAUSA-N 0 1 310.869 3.408 20 30 DGEDMN N#Cc1ccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c(Cl)c1 ZINC001225729366 1096515009 /nfs/dbraw/zinc/51/50/09/1096515009.db2.gz MMXAWEHDEJAJME-GASCZTMLSA-N 0 1 320.820 3.234 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc(OC)c1Br ZINC001225768243 1096524402 /nfs/dbraw/zinc/52/44/02/1096524402.db2.gz OYJKLQKNMCTFCW-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(Br)cc1C#N ZINC001225837046 1096541735 /nfs/dbraw/zinc/54/17/35/1096541735.db2.gz MIPZSGFIAQMVRI-NSHDSACASA-N 0 1 322.158 3.309 20 30 DGEDMN Cc1ccc(C#N)c(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)n1 ZINC001225865620 1096548025 /nfs/dbraw/zinc/54/80/25/1096548025.db2.gz FYLRHRIQONWNBV-NVXWUHKLSA-N 0 1 322.412 3.261 20 30 DGEDMN C[C@@H](c1ccccn1)[N@@H+]1CC[C@@H](Oc2cccc(/C=N/[O-])c2)C1 ZINC001226115713 1096616498 /nfs/dbraw/zinc/61/64/98/1096616498.db2.gz IAVVGWXWCRORME-SVQSYXLCSA-N 0 1 311.385 3.104 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2cccc(/C=N/[O-])c2)C1 ZINC001226115713 1096616500 /nfs/dbraw/zinc/61/65/00/1096616500.db2.gz IAVVGWXWCRORME-SVQSYXLCSA-N 0 1 311.385 3.104 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CC[C@H](C)CC2)[C@H](C)C1 ZINC001093140107 1096659554 /nfs/dbraw/zinc/65/95/54/1096659554.db2.gz GOFIUTQGUCYHQC-RRCSTGOVSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(C)cc2)[C@H](C)C1 ZINC001093153781 1096662023 /nfs/dbraw/zinc/66/20/23/1096662023.db2.gz QDZOSQZDXZWUMX-CZUORRHYSA-N 0 1 306.837 3.045 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2CCC=CCC2)[C@H](C)C1 ZINC001093201518 1096669057 /nfs/dbraw/zinc/66/90/57/1096669057.db2.gz UPCHVXDPAIKALP-CZUORRHYSA-N 0 1 310.869 3.169 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001226473843 1096698073 /nfs/dbraw/zinc/69/80/73/1096698073.db2.gz BXEUKKWFWNOPGF-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)oc1C ZINC001226522552 1096712142 /nfs/dbraw/zinc/71/21/42/1096712142.db2.gz CYDYAUQGRQJFSO-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(NC(C)=O)cc1Cl ZINC001226880427 1096801762 /nfs/dbraw/zinc/80/17/62/1096801762.db2.gz ROUHAMWIUPTULJ-LBPRGKRZSA-N 0 1 309.749 3.287 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)c(C)c2)CC1 ZINC001277164724 1096811384 /nfs/dbraw/zinc/81/13/84/1096811384.db2.gz MXNNSKPELYBMLO-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C=CCCCCCCCCN1CCc2cc(C(N)=O)[nH]c2C1 ZINC001277169715 1096829471 /nfs/dbraw/zinc/82/94/71/1096829471.db2.gz FOQAMZGVAFLNNE-UHFFFAOYSA-N 0 1 303.450 3.388 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](C(C)(C)Oc2nc(=O)[nH]c(C)c2Cl)O1 ZINC001227111011 1096871035 /nfs/dbraw/zinc/87/10/35/1096871035.db2.gz GXPMOBKGKBTZQE-ZUZCIYMTSA-N 0 1 312.797 3.425 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2cccc(C)c2)CC1 ZINC001277182985 1096876534 /nfs/dbraw/zinc/87/65/34/1096876534.db2.gz IPGIUKLCXBJKRH-CQSZACIVSA-N 0 1 306.837 3.089 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC001171491960 1096898030 /nfs/dbraw/zinc/89/80/30/1096898030.db2.gz ZXGLZXVKIYUAEL-GFCCVEGCSA-N 0 1 308.784 3.153 20 30 DGEDMN CC(C)C[C@H](Oc1nc2ccc(C#N)cc2[nH]1)C(=O)OC(C)C ZINC001227217049 1096898230 /nfs/dbraw/zinc/89/82/30/1096898230.db2.gz ZQMOIGNRAZLDAH-HNNXBMFYSA-N 0 1 315.373 3.180 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC1CCN(C[C@H](F)CC)CC1 ZINC001227536804 1096946783 /nfs/dbraw/zinc/94/67/83/1096946783.db2.gz YNOLBBFIWYTTOF-GFCCVEGCSA-N 0 1 304.837 3.094 20 30 DGEDMN C[NH+](C)CC[C@@H](Oc1ccc([O-])c(C#N)c1C#N)c1ccccc1 ZINC001227617185 1096962363 /nfs/dbraw/zinc/96/23/63/1096962363.db2.gz AORPYQOZSQSEDW-GOSISDBHSA-N 0 1 321.380 3.207 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)c(F)c1 ZINC001227630912 1096964423 /nfs/dbraw/zinc/96/44/23/1096964423.db2.gz OFWVGZQTXGYJMB-LURJTMIESA-N 0 1 306.211 3.322 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC[C@H](C#N)C2)CC12CCC2 ZINC001171857940 1096992971 /nfs/dbraw/zinc/99/29/71/1096992971.db2.gz MFQQSSPFHQIIJJ-HUUCEWRRSA-N 0 1 319.449 3.154 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(F)c(OC(F)(F)F)c1 ZINC001227869768 1097003759 /nfs/dbraw/zinc/00/37/59/1097003759.db2.gz BFBJJLXCMLZVQB-LURJTMIESA-N 0 1 306.211 3.322 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](CC)c2ccc(F)cc2)CC1 ZINC001277259930 1097028444 /nfs/dbraw/zinc/02/84/44/1097028444.db2.gz UIQRMUIEHLZVGA-OAHLLOKOSA-N 0 1 324.827 3.310 20 30 DGEDMN C#CC[C@@H](CC)Oc1[nH]c(=O)nc2c(Br)cccc21 ZINC001228062198 1097042772 /nfs/dbraw/zinc/04/27/72/1097042772.db2.gz JFDVJAYMXUNQKC-SECBINFHSA-N 0 1 321.174 3.279 20 30 DGEDMN COCCOc1ccccc1Nc1cc(C#N)c(F)cc1O ZINC001212641326 1097047422 /nfs/dbraw/zinc/04/74/22/1097047422.db2.gz QNVYXDAWGIRTQP-UHFFFAOYSA-N 0 1 302.305 3.172 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228111460 1097052903 /nfs/dbraw/zinc/05/29/03/1097052903.db2.gz BEXGICACAHYQGU-IAOVAPTHSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228122867 1097055750 /nfs/dbraw/zinc/05/57/50/1097055750.db2.gz IBFMZUIYKNXAIH-RBSFLKMASA-N 0 1 321.490 3.262 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228129370 1097057365 /nfs/dbraw/zinc/05/73/65/1097057365.db2.gz BWXYPFGXSMFMKB-NUEKZKHPSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001281409996 1097079625 /nfs/dbraw/zinc/07/96/25/1097079625.db2.gz VZIVHZUTDOTJKP-KGBWWEOFSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CC[C@H](CCC)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228242892 1097080105 /nfs/dbraw/zinc/08/01/05/1097080105.db2.gz RUKHWVDJLTXNOD-LLVKDONJSA-N 0 1 303.318 3.367 20 30 DGEDMN C=CC[C@H](Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21)C(C)C ZINC001228245932 1097081179 /nfs/dbraw/zinc/08/11/79/1097081179.db2.gz YNFDGWFOBITHRD-ZDUSSCGKSA-N 0 1 303.318 3.223 20 30 DGEDMN Cc1ccnc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1C#N ZINC001228308613 1097094492 /nfs/dbraw/zinc/09/44/92/1097094492.db2.gz LBPMWVFLYYTTNX-HOTGVXAUSA-N 0 1 322.412 3.261 20 30 DGEDMN CCOC(=O)[C@H]1C[C@H](C)CCN1[C@H](C)Cc1ccc(C#N)cc1 ZINC001172321049 1097100124 /nfs/dbraw/zinc/10/01/24/1097100124.db2.gz YDXDFXDBJIJZJT-IIDMSEBBSA-N 0 1 314.429 3.153 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228412735 1097115956 /nfs/dbraw/zinc/11/59/56/1097115956.db2.gz COLAVJROXHUTLZ-RKVPGOIHSA-N 0 1 319.449 3.102 20 30 DGEDMN CCCCCCC[C@@H](CC)N1CCN(C2CCOCC2)C(=O)C1 ZINC001172353764 1097123355 /nfs/dbraw/zinc/12/33/55/1097123355.db2.gz BHQIQUBDFCCATO-QGZVFWFLSA-N 0 1 324.509 3.449 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc2c(C)c(Cl)c(=O)oc2c1 ZINC001228508603 1097132868 /nfs/dbraw/zinc/13/28/68/1097132868.db2.gz IFJHPOWJTQPUOU-QMMMGPOBSA-N 0 1 320.728 3.353 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC001228622212 1097155165 /nfs/dbraw/zinc/15/51/65/1097155165.db2.gz PTKPRQPNWSOXEL-UHFFFAOYSA-N 0 1 304.409 3.119 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(Cc2c(F)cccc2F)CC1 ZINC001172497755 1097170973 /nfs/dbraw/zinc/17/09/73/1097170973.db2.gz FLINHOPUNRHPLE-AWEZNQCLSA-N 0 1 307.388 3.165 20 30 DGEDMN CC(C)OC(=O)CC[C@@H](C)N1CCc2cc(C#N)ccc2C1 ZINC001172753662 1097229905 /nfs/dbraw/zinc/22/99/05/1097229905.db2.gz CIABZMACAGOLOB-CQSZACIVSA-N 0 1 300.402 3.037 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3[nH]ccc3n2)C1 ZINC001228979871 1097231015 /nfs/dbraw/zinc/23/10/15/1097231015.db2.gz DCUPOWLMVGCFCJ-MRXNPFEDSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(O[C@H]2CCCCO2)cc1 ZINC001229047159 1097244826 /nfs/dbraw/zinc/24/48/26/1097244826.db2.gz OPEXSTGFDZJPCS-ABAIWWIYSA-N 0 1 304.342 3.190 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001229049088 1097245935 /nfs/dbraw/zinc/24/59/35/1097245935.db2.gz STUDYYFZZGPDFJ-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCc1ccc(O)c(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c1 ZINC001229339249 1097300284 /nfs/dbraw/zinc/30/02/84/1097300284.db2.gz XOUDAGPYDYXZIF-CALCHBBNSA-N 0 1 317.429 3.143 20 30 DGEDMN N#Cc1cc(Nc2ccc(Cn3ccnc3)cc2)c(O)cc1F ZINC001212697913 1097308181 /nfs/dbraw/zinc/30/81/81/1097308181.db2.gz AWQQJGIYBSGSKL-UHFFFAOYSA-N 0 1 308.316 3.391 20 30 DGEDMN C=C(C)[C@@H]1CC[C@H](C)C[C@@H]1Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-] ZINC001229382486 1097310209 /nfs/dbraw/zinc/31/02/09/1097310209.db2.gz TZWCWNVTVKIYLO-DLOVCJGASA-N 0 1 307.350 3.159 20 30 DGEDMN C=CCOc1ccccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001229612197 1097352220 /nfs/dbraw/zinc/35/22/20/1097352220.db2.gz XLMIKFFQKCQUOH-QAQDUYKDSA-N 0 1 317.429 3.274 20 30 DGEDMN C#CC1CCN([C@H](C)Cc2c(F)c(OC)ccc2[N+](=O)[O-])CC1 ZINC001173192660 1097357649 /nfs/dbraw/zinc/35/76/49/1097357649.db2.gz MPYWUDIIHUYQOQ-GFCCVEGCSA-N 0 1 320.364 3.019 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)Cc2c(F)c(OC)ccc2[N+](=O)[O-])C1 ZINC001173195648 1097359109 /nfs/dbraw/zinc/35/91/09/1097359109.db2.gz HWAASTVMHAPNIS-QWHCGFSZSA-N 0 1 320.364 3.019 20 30 DGEDMN C=CCN(CC=C)[C@H](C)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC001173197967 1097359613 /nfs/dbraw/zinc/35/96/13/1097359613.db2.gz XFKDUTCBXZSFQC-GFCCVEGCSA-N 0 1 308.353 3.348 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CN(C2CCC(CC#N)CC2)C1 ZINC001173333170 1097420050 /nfs/dbraw/zinc/42/00/50/1097420050.db2.gz ZZBZRTWTZPVCMG-UHFFFAOYSA-N 0 1 307.438 3.010 20 30 DGEDMN CCC[C@@H](C#Cc1ccccc1)Oc1nc[nH]c(=O)c1Cl ZINC001230016323 1097428804 /nfs/dbraw/zinc/42/88/04/1097428804.db2.gz GIMAXAVKVRZKCE-ZDUSSCGKSA-N 0 1 302.761 3.435 20 30 DGEDMN C=CCOC1CCC(N2CC(N(C)C(=O)OC(C)(C)C)C2)CC1 ZINC001173319754 1097429694 /nfs/dbraw/zinc/42/96/94/1097429694.db2.gz UUCJDIIBHLXZSU-UHFFFAOYSA-N 0 1 324.465 3.051 20 30 DGEDMN C=CC[C@@H](C=C)Oc1nc2cccc(N3CCN(C)CC3)c2o1 ZINC001230110713 1097446724 /nfs/dbraw/zinc/44/67/24/1097446724.db2.gz LCCCEUQUUDXBAH-CQSZACIVSA-N 0 1 313.401 3.089 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(C1Cc3cc(F)c(F)cc3C1)C2 ZINC001173481212 1097446878 /nfs/dbraw/zinc/44/68/78/1097446878.db2.gz QMDHPVFZLFWERF-UHFFFAOYSA-N 0 1 310.347 3.362 20 30 DGEDMN CN(CC(=O)OCc1ccccc1)C1CCC(C)(C#N)CC1 ZINC001173597221 1097467984 /nfs/dbraw/zinc/46/79/84/1097467984.db2.gz MKKPOJFZTJBYLI-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN C=CCN(CCCNC(=O)CCC(C)C)Cc1cccnc1 ZINC001230662905 1097549872 /nfs/dbraw/zinc/54/98/72/1097549872.db2.gz VEVLYAIMIQUVAM-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)[C@H](C)C=C)Cc1cccnc1 ZINC001230820207 1097598028 /nfs/dbraw/zinc/59/80/28/1097598028.db2.gz XOBDZUVRSKHYHW-IAGOWNOFSA-N 0 1 315.461 3.034 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H]1C[C@H]1CCC)Cc1cccnc1 ZINC001230840758 1097603860 /nfs/dbraw/zinc/60/38/60/1097603860.db2.gz GNYXTTDLWIXVCW-QZTJIDSGSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H]1C[C@]1(C)CC)Cc1cccnc1 ZINC001230882788 1097613044 /nfs/dbraw/zinc/61/30/44/1097613044.db2.gz SZYXKXNMTBSDKL-HKUYNNGSSA-N 0 1 315.461 3.012 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1cncs1 ZINC001230917821 1097622786 /nfs/dbraw/zinc/62/27/86/1097622786.db2.gz YXLNAVBUZIDUOB-CQSZACIVSA-N 0 1 309.479 3.260 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1Cc1c(C)cccc1C ZINC001231115480 1097671655 /nfs/dbraw/zinc/67/16/55/1097671655.db2.gz VLWVRGWKZRCZAC-QGZVFWFLSA-N 0 1 300.446 3.302 20 30 DGEDMN COC(=O)c1cc(C#N)cc(C)c1Nc1cccc2[nH]ncc21 ZINC001174347136 1097686505 /nfs/dbraw/zinc/68/65/05/1097686505.db2.gz IGHZEPFBIDHVQJ-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](Oc2nc(C)cc(O)c2[N+](=O)[O-])C(C)(C)O1 ZINC001231264902 1097708244 /nfs/dbraw/zinc/70/82/44/1097708244.db2.gz VRMKVPLCWICEFO-WBMJQRKESA-N 0 1 322.361 3.285 20 30 DGEDMN CC(C)CC(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001231320204 1097720969 /nfs/dbraw/zinc/72/09/69/1097720969.db2.gz SLGRNLOKHWDARX-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1ocnc1C ZINC001231410963 1097743510 /nfs/dbraw/zinc/74/35/10/1097743510.db2.gz UUUMGXTWQMRFQM-HNNXBMFYSA-N 0 1 307.438 3.100 20 30 DGEDMN CNc1ncc2cc(Nc3cc(C#N)c(F)cc3O)ccc2n1 ZINC001174533987 1097746123 /nfs/dbraw/zinc/74/61/23/1097746123.db2.gz NPERHTAGSKFMQC-UHFFFAOYSA-N 0 1 309.304 3.131 20 30 DGEDMN C#Cc1cccc(CN2CC[C@H](OC(=O)OC(C)(C)C)C2)c1 ZINC001231656202 1097804887 /nfs/dbraw/zinc/80/48/87/1097804887.db2.gz JFFJCSAIOQAUPK-INIZCTEOSA-N 0 1 301.386 3.194 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CC3(C2)CC(F)(F)C3)cc1 ZINC001231817454 1097853558 /nfs/dbraw/zinc/85/35/58/1097853558.db2.gz BDBJAPYPQFCDKI-UHFFFAOYSA-N 0 1 305.372 3.268 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CC3(C2)C[C@@H](F)CS3)cc1 ZINC001231821028 1097854124 /nfs/dbraw/zinc/85/41/24/1097854124.db2.gz NRNJIINTPMUGTO-OAHLLOKOSA-N 0 1 319.449 3.066 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001174849009 1097867492 /nfs/dbraw/zinc/86/74/92/1097867492.db2.gz IUMJDSRCBCGIRW-CABCVRRESA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001174850122 1097868315 /nfs/dbraw/zinc/86/83/15/1097868315.db2.gz UGYVFLNDRIFMLH-HUUCEWRRSA-N 0 1 307.438 3.042 20 30 DGEDMN COc1ccc(-c2c(CN3CCC[C@@H](CC#N)C3)cnn2C)cc1 ZINC001232114030 1097941876 /nfs/dbraw/zinc/94/18/76/1097941876.db2.gz RJDMBABLJFODEQ-HNNXBMFYSA-N 0 1 324.428 3.221 20 30 DGEDMN Cc1ccc(NC(=O)CCN(C)[C@@H]2CCC[C@H]2C#N)cc1F ZINC001175250283 1097986753 /nfs/dbraw/zinc/98/67/53/1097986753.db2.gz QIHVMUMBURHEQM-XJKSGUPXSA-N 0 1 303.381 3.087 20 30 DGEDMN COc1ccc(C2CC2)c(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC001175300050 1097993602 /nfs/dbraw/zinc/99/36/02/1097993602.db2.gz KWUYDWLNDGMVFO-UHFFFAOYSA-N 0 1 308.337 3.402 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(OCC)c2)C1 ZINC001277665522 1098040004 /nfs/dbraw/zinc/04/00/04/1098040004.db2.gz CNZCVFDNNLBACF-HNNXBMFYSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(OCC)c2)C1 ZINC001277665523 1098040527 /nfs/dbraw/zinc/04/05/27/1098040527.db2.gz CNZCVFDNNLBACF-OAHLLOKOSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001277670558 1098052442 /nfs/dbraw/zinc/05/24/42/1098052442.db2.gz FBAUOWHAMJYJCL-INIZCTEOSA-N 0 1 300.446 3.289 20 30 DGEDMN Cc1ccc2[nH]ncc2c1Nc1c(C#N)cnn1C1CCOCC1 ZINC001175523358 1098082263 /nfs/dbraw/zinc/08/22/63/1098082263.db2.gz IHEQLCGIYWGHIE-UHFFFAOYSA-N 0 1 322.372 3.035 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)cc2C)C1 ZINC001277691395 1098090817 /nfs/dbraw/zinc/09/08/17/1098090817.db2.gz CPMZERSVCJJGOR-MRXNPFEDSA-N 0 1 300.446 3.414 20 30 DGEDMN C#CC1CCN(Cc2cc(F)c(O)c(Br)c2)CC1 ZINC001232635793 1098104172 /nfs/dbraw/zinc/10/41/72/1098104172.db2.gz SXPVIZRIFNMLMX-UHFFFAOYSA-N 0 1 312.182 3.139 20 30 DGEDMN CC(C)(O)C[N@@H+](Cc1ccccc1)Cc1cc(C#N)ccc1[O-] ZINC001232677324 1098112508 /nfs/dbraw/zinc/11/25/08/1098112508.db2.gz AWZZLAGEOYITCU-UHFFFAOYSA-N 0 1 310.397 3.037 20 30 DGEDMN CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cc(C#N)ccc1[O-])CC2 ZINC001232678881 1098113426 /nfs/dbraw/zinc/11/34/26/1098113426.db2.gz SMFQIMPNRVYNKL-UHFFFAOYSA-N 0 1 306.365 3.025 20 30 DGEDMN CC(=O)c1ccc2c(c1)C[N@H+](Cc1cc(C#N)ccc1[O-])CC2 ZINC001232678881 1098113430 /nfs/dbraw/zinc/11/34/30/1098113430.db2.gz SMFQIMPNRVYNKL-UHFFFAOYSA-N 0 1 306.365 3.025 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001232681567 1098113551 /nfs/dbraw/zinc/11/35/51/1098113551.db2.gz QYBQFSMBFGUEBB-QGZVFWFLSA-N 0 1 300.402 3.045 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(F)c3occc32)C1 ZINC001277699693 1098113634 /nfs/dbraw/zinc/11/36/34/1098113634.db2.gz NUHGYSSJHUURKN-UHFFFAOYSA-N 0 1 316.376 3.294 20 30 DGEDMN C[C@H]1CN(Cc2cc(C#N)ccc2[O-])CC[N@H+]1C1CCCCC1 ZINC001232681596 1098113922 /nfs/dbraw/zinc/11/39/22/1098113922.db2.gz SJSLFBYUZKPIBV-HNNXBMFYSA-N 0 1 313.445 3.103 20 30 DGEDMN N#Cc1ccc(F)cc1CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001232891449 1098166274 /nfs/dbraw/zinc/16/62/74/1098166274.db2.gz IFAVIUYEMITLJX-PMACEKPBSA-N 0 1 321.399 3.156 20 30 DGEDMN CC(C)(O)[C@@H]1CCC[N@H+]1Cc1ccc(Br)c(C#N)c1 ZINC001232899972 1098168139 /nfs/dbraw/zinc/16/81/39/1098168139.db2.gz XQZSUKMQUOHKDS-AWEZNQCLSA-N 0 1 323.234 3.056 20 30 DGEDMN CC(C)(O)[C@@H]1CCCN1Cc1ccc(Br)c(C#N)c1 ZINC001232899972 1098168143 /nfs/dbraw/zinc/16/81/43/1098168143.db2.gz XQZSUKMQUOHKDS-AWEZNQCLSA-N 0 1 323.234 3.056 20 30 DGEDMN COc1cccc([C@H]2CCCN(Cc3cccc(C#N)n3)C2)c1 ZINC001232926879 1098175105 /nfs/dbraw/zinc/17/51/05/1098175105.db2.gz GUPLWABUJNKTPA-INIZCTEOSA-N 0 1 307.397 3.341 20 30 DGEDMN C#Cc1cccc(Nc2cc(F)ccc2N2CCN(C)CC2)c1 ZINC001175974964 1098216563 /nfs/dbraw/zinc/21/65/63/1098216563.db2.gz HHEWNILLJIPYMY-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[N@@H+](C)[C@@H](c2ccccc2)C1 ZINC001233081360 1098222360 /nfs/dbraw/zinc/22/23/60/1098222360.db2.gz VISDNHJYNBTPNI-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN COCOc1cccc(CN2CCc3cc(C#N)ccc3C2)c1 ZINC001233279089 1098273448 /nfs/dbraw/zinc/27/34/48/1098273448.db2.gz QANOBGRELKKSPK-UHFFFAOYSA-N 0 1 308.381 3.099 20 30 DGEDMN Cc1cc(C#N)ccc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176383011 1098324830 /nfs/dbraw/zinc/32/48/30/1098324830.db2.gz HTLHGOIUOLXOOC-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN N#Cc1sccc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176379460 1098325303 /nfs/dbraw/zinc/32/53/03/1098325303.db2.gz DMPJGADIEGJPSF-UHFFFAOYSA-N 0 1 313.426 3.238 20 30 DGEDMN C#Cc1ccc(Nc2ccc(CCN3CCOCC3)cc2)cc1 ZINC001176385500 1098326162 /nfs/dbraw/zinc/32/61/62/1098326162.db2.gz DTOITLCFJJRMNH-UHFFFAOYSA-N 0 1 306.409 3.286 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(O)cc3F)sc2C1 ZINC001176410030 1098347712 /nfs/dbraw/zinc/34/77/12/1098347712.db2.gz FGHCUOXWDDNQST-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccnc1OCc1ccccc1 ZINC001233607541 1098388783 /nfs/dbraw/zinc/38/87/83/1098388783.db2.gz WXTRFMFIEXJAMS-GFCCVEGCSA-N 0 1 311.337 3.259 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)NCCCNCc1cnon1 ZINC001176707085 1098422172 /nfs/dbraw/zinc/42/21/72/1098422172.db2.gz QEZNTRKTPIABTP-HNNXBMFYSA-N 0 1 324.469 3.052 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001277809852 1098437220 /nfs/dbraw/zinc/43/72/20/1098437220.db2.gz JSLYJLKJQNDTHB-KBPBESRZSA-N 0 1 322.399 3.122 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001277809854 1098437363 /nfs/dbraw/zinc/43/73/63/1098437363.db2.gz JSLYJLKJQNDTHB-UONOGXRCSA-N 0 1 322.399 3.122 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@]2(CO)c2ccccc2)cc1F ZINC001176958552 1098456566 /nfs/dbraw/zinc/45/65/66/1098456566.db2.gz HRRRDRKJAQRFDN-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(CC(=O)OC)cc1Cl ZINC001233960564 1098467639 /nfs/dbraw/zinc/46/76/39/1098467639.db2.gz GTPXQMZOEPFIAS-LBPRGKRZSA-N 0 1 324.760 3.044 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1CCCCC(F)(F)F ZINC001233966555 1098468287 /nfs/dbraw/zinc/46/82/87/1098468287.db2.gz NMYUZHBGRLLYRO-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN CCCCCC(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001233977216 1098470028 /nfs/dbraw/zinc/47/00/28/1098470028.db2.gz DHCIUPCVAIHMHY-UHFFFAOYSA-N 0 1 319.424 3.216 20 30 DGEDMN CSc1ccccc1NC(=S)NC=CC(=O)C(F)(F)F ZINC001249709604 1098476849 /nfs/dbraw/zinc/47/68/49/1098476849.db2.gz AXXGJYAWTMWUFF-VOTSOKGWSA-N 0 1 320.361 3.340 20 30 DGEDMN CCCc1ccc(CN2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cc1 ZINC001234178210 1098510157 /nfs/dbraw/zinc/51/01/57/1098510157.db2.gz JQUMWOFDSHRVBJ-HXUWFJFHSA-N 0 1 324.468 3.085 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(F)cc(C)cc1Br ZINC001234240804 1098520696 /nfs/dbraw/zinc/52/06/96/1098520696.db2.gz LDTVVTWRDRUQFV-ZETCQYMHSA-N 0 1 315.138 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H](OCC)C1=CCCC1 ZINC001234324038 1098537032 /nfs/dbraw/zinc/53/70/32/1098537032.db2.gz XOLHFADWFMFELT-ROUUACIJSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccc(F)c1C ZINC001234425616 1098553312 /nfs/dbraw/zinc/55/33/12/1098553312.db2.gz ONIASJVEUYWZEW-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN CCCC(=O)[C@@H](CCC)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234531177 1098585078 /nfs/dbraw/zinc/58/50/78/1098585078.db2.gz UBKFGHXFBXSYHU-OAHLLOKOSA-N 0 1 313.357 3.124 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CCC[C@@H](C)CCC ZINC001234546516 1098594145 /nfs/dbraw/zinc/59/41/45/1098594145.db2.gz LNRIBQMMZGIFAU-ZWKOTPCHSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CC1(C(F)(F)F)CC1 ZINC001277866485 1098606961 /nfs/dbraw/zinc/60/69/61/1098606961.db2.gz UXEYJMRUOQJWFY-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1ccns1 ZINC001234604730 1098616398 /nfs/dbraw/zinc/61/63/98/1098616398.db2.gz UPUIUXGLLRTATK-AWEZNQCLSA-N 0 1 309.479 3.260 20 30 DGEDMN C[C@H](NC(=O)C(C#N)Cc1cccs1)c1cccc(C#N)c1 ZINC001177916424 1098648078 /nfs/dbraw/zinc/64/80/78/1098648078.db2.gz WCNOKLIXGVNGOM-SWLSCSKDSA-N 0 1 309.394 3.179 20 30 DGEDMN C[C@H](NC(=O)C(C#N)Cc1cccs1)c1nc2ccccc2[nH]1 ZINC001177916007 1098649054 /nfs/dbraw/zinc/64/90/54/1098649054.db2.gz CPVIYHQJQMOLIE-RYUDHWBXSA-N 0 1 324.409 3.184 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1cccc(C)c1 ZINC001277894391 1098669746 /nfs/dbraw/zinc/66/97/46/1098669746.db2.gz ZKFJXFQHXCUBRZ-OAHLLOKOSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1cc(C2CC2)no1 ZINC001277899308 1098678802 /nfs/dbraw/zinc/67/88/02/1098678802.db2.gz CJAUFUKMGZOYJR-CYBMUJFWSA-N 0 1 319.449 3.091 20 30 DGEDMN C#CCCCC(=O)NCCCCCCN[C@@H](C)c1ncc(C)o1 ZINC001178327322 1098796551 /nfs/dbraw/zinc/79/65/51/1098796551.db2.gz DIDCTVGGOKOBGO-INIZCTEOSA-N 0 1 319.449 3.114 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2[C@H](C)c2ccccc2)CCC1 ZINC001235223585 1098897036 /nfs/dbraw/zinc/89/70/36/1098897036.db2.gz COGRGMTYVJOJAH-IEBWSBKVSA-N 0 1 324.468 3.474 20 30 DGEDMN CN1CCCC[C@@H]1C(=O)Nc1ccccc1-c1ccc(C#N)cn1 ZINC001178698264 1098913539 /nfs/dbraw/zinc/91/35/39/1098913539.db2.gz YQOWMGXFPVDYDM-GOSISDBHSA-N 0 1 320.396 3.043 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2cc(F)cc(Br)c2O)C1 ZINC001235306970 1098952213 /nfs/dbraw/zinc/95/22/13/1098952213.db2.gz IQIJSZZJIJUODX-ZDUSSCGKSA-N 0 1 313.170 3.029 20 30 DGEDMN N#Cc1ccc(CNCc2cnc(-c3ccncc3)s2)s1 ZINC001178981986 1098983390 /nfs/dbraw/zinc/98/33/90/1098983390.db2.gz RGQQKADDENQRME-UHFFFAOYSA-N 0 1 312.423 3.428 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)C(CC)CC)[C@H]1C ZINC001179032352 1099006948 /nfs/dbraw/zinc/00/69/48/1099006948.db2.gz MMLYRPDWUKLGKR-IPYPFGDCSA-N 0 1 300.874 3.390 20 30 DGEDMN COC(CN(Cc1ccccc1)Cc1ccccc1CC#N)OC ZINC001235513059 1099070052 /nfs/dbraw/zinc/07/00/52/1099070052.db2.gz YYPIQIGXEKHEGR-UHFFFAOYSA-N 0 1 324.424 3.374 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179335554 1099074877 /nfs/dbraw/zinc/07/48/77/1099074877.db2.gz LVFCTVHYFDNPNC-OAHLLOKOSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCCCCNCc1ccon1 ZINC001179339472 1099076598 /nfs/dbraw/zinc/07/65/98/1099076598.db2.gz FAXBWIFVBVRIRW-OAHLLOKOSA-N 0 1 307.438 3.043 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001179384837 1099088929 /nfs/dbraw/zinc/08/89/29/1099088929.db2.gz XYAPUTPPWZXFER-JJHVMEFISA-N 0 1 319.276 3.487 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2ncc(C)s2)[C@@H]1C ZINC001179384398 1099089139 /nfs/dbraw/zinc/08/91/39/1099089139.db2.gz LGTOYYXSPZEFJK-ZNMIVQPWSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@H](C)c1ncccn1 ZINC001179425835 1099102623 /nfs/dbraw/zinc/10/26/23/1099102623.db2.gz HDMCKFRTKZTUIX-MRXNPFEDSA-N 0 1 318.465 3.160 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1c(F)cc(C#N)cc1F)C2 ZINC001235654996 1099110905 /nfs/dbraw/zinc/11/09/05/1099110905.db2.gz SWIKGIOAEVVVBU-DYVFJYSZSA-N 0 1 306.356 3.085 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179693325 1099165464 /nfs/dbraw/zinc/16/54/64/1099165464.db2.gz WXJCESJALZDALS-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN COC(=O)c1cc(-c2c(F)cc(F)c(O)c2F)ccc1C#N ZINC001236002354 1099324017 /nfs/dbraw/zinc/32/40/17/1099324017.db2.gz NEMSMHOJCMGUGA-UHFFFAOYSA-N 0 1 307.227 3.135 20 30 DGEDMN Cc1cocc1C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001273547638 1099594287 /nfs/dbraw/zinc/59/42/87/1099594287.db2.gz DSXVZHCINRYVFQ-UHFFFAOYSA-N 0 1 324.424 3.034 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1cncc(Cl)n1 ZINC001187993071 1099651120 /nfs/dbraw/zinc/65/11/20/1099651120.db2.gz HGEFYODUVXAXED-UHFFFAOYSA-N 0 1 305.831 3.232 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1cnc(Cl)cn1 ZINC001187993054 1099651185 /nfs/dbraw/zinc/65/11/85/1099651185.db2.gz GNQYPKFYHBDPSV-UHFFFAOYSA-N 0 1 305.831 3.232 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1cc(-c2ccccc2F)n[nH]1 ZINC001188016810 1099666554 /nfs/dbraw/zinc/66/65/54/1099666554.db2.gz RJXWWPZNHHSIRC-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)CC(C)(C)C)C1 ZINC001188024641 1099670284 /nfs/dbraw/zinc/67/02/84/1099670284.db2.gz JQCYHYHLCZYLQD-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2CN(C[C@H](F)CC)C[C@H]21 ZINC001188033839 1099672405 /nfs/dbraw/zinc/67/24/05/1099672405.db2.gz PBHYQFPYADRRJN-GVDBMIGSSA-N 0 1 308.441 3.036 20 30 DGEDMN Cc1nc(C)c(CN2CCC[C@H](Oc3ccccc3C#N)C2)o1 ZINC001236595787 1099693842 /nfs/dbraw/zinc/69/38/42/1099693842.db2.gz VJECOKGFUZTZFY-INIZCTEOSA-N 0 1 311.385 3.207 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(Cl)c(F)c(Cl)c2)CCC1=O ZINC001236660709 1099728650 /nfs/dbraw/zinc/72/86/50/1099728650.db2.gz DEKBWCZUBFLCQT-SECBINFHSA-N 0 1 301.148 3.047 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H]2CN(CCC(F)(F)F)C[C@H]21 ZINC001188884673 1099879811 /nfs/dbraw/zinc/87/98/11/1099879811.db2.gz JWQPIWPIPZBPQZ-MELADBBJSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001188885135 1099881688 /nfs/dbraw/zinc/88/16/88/1099881688.db2.gz NQNDUQXBLCDWLP-BHYGNILZSA-N 0 1 318.486 3.383 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)c1ccccc1C ZINC001278032971 1099888790 /nfs/dbraw/zinc/88/87/90/1099888790.db2.gz DSMLSIHVGGTFRC-OAHLLOKOSA-N 0 1 322.880 3.462 20 30 DGEDMN N#CCC1CCN(Cc2c(O)cccc2OC(F)(F)F)CC1 ZINC001237121956 1099981796 /nfs/dbraw/zinc/98/17/96/1099981796.db2.gz CUUKOKJXRYCYJD-UHFFFAOYSA-N 0 1 314.307 3.416 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)Cc2cc(C)ccc2OC)C1 ZINC001189317992 1100023758 /nfs/dbraw/zinc/02/37/58/1100023758.db2.gz GUIBWMOXFVIVAO-QGZVFWFLSA-N 0 1 316.445 3.003 20 30 DGEDMN CCCC(C)(C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001273582101 1100076175 /nfs/dbraw/zinc/07/61/75/1100076175.db2.gz QKEIOZAVEJUEPL-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN N#Cc1ccc(NC(=O)c2cn[nH]c2-c2ccccc2)c(C#N)c1 ZINC001189696662 1100115205 /nfs/dbraw/zinc/11/52/05/1100115205.db2.gz HOTNFDMTNDTWLH-UHFFFAOYSA-N 0 1 313.320 3.072 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCC[N@H+](Cc1c(C)cccc1O)C2 ZINC001237488512 1100141728 /nfs/dbraw/zinc/14/17/28/1100141728.db2.gz MPEPTAPJIXFPMS-QFBILLFUSA-N 0 1 317.429 3.027 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@]23C[C@H]2CCN3CC(C)(C)C)c1 ZINC001278106741 1100148476 /nfs/dbraw/zinc/14/84/76/1100148476.db2.gz NISYXKURPFDKTE-BEFAXECRSA-N 0 1 311.429 3.316 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC3(C[C@H](F)CO3)CC2)c1 ZINC001237753669 1100239021 /nfs/dbraw/zinc/23/90/21/1100239021.db2.gz NATPDWQJAYWENS-HNNXBMFYSA-N 0 1 308.784 3.305 20 30 DGEDMN CCCN(CC[S@](=O)C(F)(F)F)Cc1ccc(C#N)cc1 ZINC001190349080 1100250569 /nfs/dbraw/zinc/25/05/69/1100250569.db2.gz RGPLBZPLHPVEQZ-NRFANRHFSA-N 0 1 318.364 3.039 20 30 DGEDMN COC(=O)c1ccc(-c2cccc(CN3CC(CC#N)C3)c2)cc1 ZINC001237810681 1100255327 /nfs/dbraw/zinc/25/53/27/1100255327.db2.gz UJTOMDUJFATBAS-UHFFFAOYSA-N 0 1 320.392 3.486 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cccnc2C)C1 ZINC001190398565 1100260118 /nfs/dbraw/zinc/26/01/18/1100260118.db2.gz XNVGKPRBWVZUQA-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC001190398565 1100260126 /nfs/dbraw/zinc/26/01/26/1100260126.db2.gz XNVGKPRBWVZUQA-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN(CC(=O)N(C)CCOc1cc(C)cc(C)c1)C(C)C ZINC001190589194 1100302377 /nfs/dbraw/zinc/30/23/77/1100302377.db2.gz LOUMMSPBOYGUQN-UHFFFAOYSA-N 0 1 318.461 3.037 20 30 DGEDMN CCCCCCCCCCCN1CCc2n[nH]c(C(N)=O)c2C1 ZINC001278180653 1100337103 /nfs/dbraw/zinc/33/71/03/1100337103.db2.gz CPIWALUTGXSVNP-UHFFFAOYSA-N 0 1 320.481 3.398 20 30 DGEDMN C[C@H](C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1)C1CCC1 ZINC001190870878 1100355628 /nfs/dbraw/zinc/35/56/28/1100355628.db2.gz WONXQBGGCBKJJD-PXNSSMCTSA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)(C#N)c1cccc(C(=O)Nc2ccc3[nH]nnc3c2)c1 ZINC001190938149 1100364104 /nfs/dbraw/zinc/36/41/04/1100364104.db2.gz FBCMYNIFSVEIMD-UHFFFAOYSA-N 0 1 305.341 3.011 20 30 DGEDMN Cc1cc(C(=O)[O-])ccc1C[N@H+]1CCc2ccc(C#N)cc2C1 ZINC001238135978 1100368827 /nfs/dbraw/zinc/36/88/27/1100368827.db2.gz HGERBEBLMMEPMO-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C#CCN(C(=O)CC(CC)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001278194745 1100374010 /nfs/dbraw/zinc/37/40/10/1100374010.db2.gz SWHGACCDFUEJIA-QGZVFWFLSA-N 0 1 324.484 3.487 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2ccc(Cl)c(C#N)c2)C1 ZINC001238198087 1100388230 /nfs/dbraw/zinc/38/82/30/1100388230.db2.gz GWMKAZHVYNMFJL-AWEZNQCLSA-N 0 1 320.820 3.377 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2ccc(Cl)c(C#N)c2)C1 ZINC001238199382 1100390110 /nfs/dbraw/zinc/39/01/10/1100390110.db2.gz SCLBJIIOSWPGMW-QGZVFWFLSA-N 0 1 320.820 3.377 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2ccc(Cl)c(C#N)c2)C1 ZINC001238199381 1100390354 /nfs/dbraw/zinc/39/03/54/1100390354.db2.gz SCLBJIIOSWPGMW-KRWDZBQOSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)SC)C1CCCC1 ZINC001278233056 1100443606 /nfs/dbraw/zinc/44/36/06/1100443606.db2.gz PHBHWZHCGWKKDO-GXTWGEPZSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C#CC(C)(C)C)C1CCCC1 ZINC001278242985 1100469124 /nfs/dbraw/zinc/46/91/24/1100469124.db2.gz ZKOKEUVJRAYUHS-HNNXBMFYSA-N 0 1 310.869 3.053 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001191889578 1100541438 /nfs/dbraw/zinc/54/14/38/1100541438.db2.gz IJERDURKPDKVEL-ZBFHGGJFSA-N 0 1 306.475 3.383 20 30 DGEDMN N#Cc1cc(F)c(C(=O)Nc2c(C#N)ccc(F)c2F)c(F)c1 ZINC001191935646 1100545596 /nfs/dbraw/zinc/54/55/96/1100545596.db2.gz AGVPZGXVSNKBJG-UHFFFAOYSA-N 0 1 319.217 3.239 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2ccc(Cl)nc2)C1 ZINC001192061567 1100570266 /nfs/dbraw/zinc/57/02/66/1100570266.db2.gz UXPHESXYFHTZGU-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H]3[C@H](C2)C3(F)F)c(OC(F)F)c1 ZINC001192389056 1100614028 /nfs/dbraw/zinc/61/40/28/1100614028.db2.gz GPMBTOPSTAIFIX-NEPJUHHUSA-N 0 1 314.282 3.247 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cnc(Cl)c(C)c2)cc1C#N ZINC001192570246 1100635220 /nfs/dbraw/zinc/63/52/20/1100635220.db2.gz AEGBGVBEUMRQOI-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001192586772 1100639553 /nfs/dbraw/zinc/63/95/53/1100639553.db2.gz VUEXCONSUXZOIQ-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CC[C@@H](NCc1cc2ccccc2n(C)c1=O)c1ccccc1 ZINC001192793122 1100662707 /nfs/dbraw/zinc/66/27/07/1100662707.db2.gz WTSNZMDDACBRCC-LJQANCHMSA-N 0 1 316.404 3.393 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)c2cc(Br)n[nH]2)cc1 ZINC001193294868 1100724146 /nfs/dbraw/zinc/72/41/46/1100724146.db2.gz NBQTXLYRPMIDJK-MRVPVSSYSA-N 0 1 319.162 3.052 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(F)cc3cccnc32)ccc1O ZINC001193505817 1100757640 /nfs/dbraw/zinc/75/76/40/1100757640.db2.gz OHMSHDHINWHSCZ-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN CN(Cc1cccc2cccnc21)C(=O)c1cccc(C#N)c1O ZINC001193643661 1100780607 /nfs/dbraw/zinc/78/06/07/1100780607.db2.gz ALLPZWYPESGBFP-UHFFFAOYSA-N 0 1 317.348 3.084 20 30 DGEDMN N#Cc1cccc(C(=O)NCCc2ccc3ccccc3c2)c1O ZINC001193657959 1100785722 /nfs/dbraw/zinc/78/57/22/1100785722.db2.gz NGCWWVNJFOPCEY-UHFFFAOYSA-N 0 1 316.360 3.390 20 30 DGEDMN C=Cc1cccc(NS(=O)(=O)c2cc(OC)ccc2C#N)c1 ZINC001193688075 1100792132 /nfs/dbraw/zinc/79/21/32/1100792132.db2.gz STFKIJZNIQQPJK-UHFFFAOYSA-N 0 1 314.366 3.011 20 30 DGEDMN CC(C)(C)NC(=O)c1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1 ZINC001239989291 1100821342 /nfs/dbraw/zinc/82/13/42/1100821342.db2.gz PBWDNARFJJLEIN-UHFFFAOYSA-N 0 1 319.368 3.025 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3cccc(C(=O)N4CCCC4)c3)c12 ZINC001240053812 1100846934 /nfs/dbraw/zinc/84/69/34/1100846934.db2.gz DXXUFYZTNQRNJZ-UHFFFAOYSA-N 0 1 316.364 3.338 20 30 DGEDMN C[C@@H](C#N)c1ccc(-c2ccc(C(=O)N3CCNCC3)cc2)cc1 ZINC001240132154 1100874956 /nfs/dbraw/zinc/87/49/56/1100874956.db2.gz MPLIZBFYPNJISX-HNNXBMFYSA-N 0 1 319.408 3.026 20 30 DGEDMN C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)[C@@H](CC)CCCCC)C1 ZINC001194182635 1100884891 /nfs/dbraw/zinc/88/48/91/1100884891.db2.gz BYVPOBXTCBUDTN-ROUUACIJSA-N 0 1 324.509 3.328 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2sccc2C(C)C)C1 ZINC001194201034 1100891831 /nfs/dbraw/zinc/89/18/31/1100891831.db2.gz QVYFGDFPLDRMRT-AWEZNQCLSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001194200088 1100892641 /nfs/dbraw/zinc/89/26/41/1100892641.db2.gz JKEXLRBMHHFEQC-AWEZNQCLSA-N 0 1 318.486 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001278309824 1100895469 /nfs/dbraw/zinc/89/54/69/1100895469.db2.gz KMIZKNRZPIZWIH-LTBMOJJQSA-N 0 1 310.869 3.407 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2oc3c(cccc3C)c2C)C1 ZINC001194266506 1100906251 /nfs/dbraw/zinc/90/62/51/1100906251.db2.gz UMZAYEIGKGXZSX-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)CCCc2cc(C)sc2C)C1 ZINC001194325316 1100914074 /nfs/dbraw/zinc/91/40/74/1100914074.db2.gz IXXSUBOGRJQPDT-KRWDZBQOSA-N 0 1 320.502 3.406 20 30 DGEDMN Cc1cccc(-c2cccc(CN3CC[NH+](C)CC3)c2)c1C#N ZINC001240298118 1100929340 /nfs/dbraw/zinc/92/93/40/1100929340.db2.gz KWSXJCANKAMMOK-UHFFFAOYSA-N 0 1 305.425 3.281 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001278315829 1101048430 /nfs/dbraw/zinc/04/84/30/1101048430.db2.gz VJKYJSUEDWNRRY-MYGHVRAUSA-N 0 1 322.880 3.388 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C4(C#N)CC4)cn3)cc2)CC1 ZINC001240849347 1101155060 /nfs/dbraw/zinc/15/50/60/1101155060.db2.gz MFONRFYFYHXCQL-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(CC#N)cc3F)cc2)CC1 ZINC001240852270 1101157239 /nfs/dbraw/zinc/15/72/39/1101157239.db2.gz QFELCZNWJSUHEI-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN C#CCCCC(=O)N1CCCN(Cc2cc(C)sc2C)CC1 ZINC001195645290 1101169311 /nfs/dbraw/zinc/16/93/11/1101169311.db2.gz ODVMIHUGVISAIR-UHFFFAOYSA-N 0 1 318.486 3.203 20 30 DGEDMN C#CCCCC(=O)N1CCCN([C@@H](C)c2cccc(F)c2)CC1 ZINC001195645535 1101169420 /nfs/dbraw/zinc/16/94/20/1101169420.db2.gz UGNKYLJSPPCRBY-INIZCTEOSA-N 0 1 316.420 3.225 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OCC3CCC3)nc2)c(O)c1 ZINC001195733553 1101182367 /nfs/dbraw/zinc/18/23/67/1101182367.db2.gz QDVNCHRTLPZHAK-UHFFFAOYSA-N 0 1 323.352 3.090 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2ccc(Cl)cc2)CC1 ZINC001196409895 1101303175 /nfs/dbraw/zinc/30/31/75/1101303175.db2.gz ZNTXHKSZVMCCGD-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)NCc2ccc(Cl)cc2)c1 ZINC001197578292 1101590622 /nfs/dbraw/zinc/59/06/22/1101590622.db2.gz NFUARVUCWMVGKW-UHFFFAOYSA-N 0 1 317.801 3.404 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3cc(F)cc(C#N)c3)cnc2[nH]1 ZINC001241659594 1101614166 /nfs/dbraw/zinc/61/41/66/1101614166.db2.gz XVCALCTUTUIODU-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1ccc2[nH]cnc2c1 ZINC001241688173 1101635665 /nfs/dbraw/zinc/63/56/65/1101635665.db2.gz KXHKZUNGMBPTFC-UHFFFAOYSA-N 0 1 319.368 3.481 20 30 DGEDMN N#CC1(c2cccc(-c3ccc4[nH]nnc4c3)c2)CCOCC1 ZINC001241943101 1101796236 /nfs/dbraw/zinc/79/62/36/1101796236.db2.gz VYLXWKHPVGEYPK-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN N#CC1(c2cccc(-c3ccc4nn[nH]c4c3)c2)CCOCC1 ZINC001241943101 1101796243 /nfs/dbraw/zinc/79/62/43/1101796243.db2.gz VYLXWKHPVGEYPK-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](CCC)CC(C)C)CC1 ZINC001198310440 1101832593 /nfs/dbraw/zinc/83/25/93/1101832593.db2.gz WMJFPHYMTMJMIT-GOSISDBHSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC(C)(C)C)c1ccccc1OC ZINC001198530948 1101895023 /nfs/dbraw/zinc/89/50/23/1101895023.db2.gz VCVNXSJGBQLPNN-OAHLLOKOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CC(C)(C)C)c1ccccc1OC ZINC001198529456 1101896272 /nfs/dbraw/zinc/89/62/72/1101896272.db2.gz FAHRHFYNTJRXOZ-INIZCTEOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCCCC)c1ccccc1OC ZINC001198530670 1101896922 /nfs/dbraw/zinc/89/69/22/1101896922.db2.gz SVFVXINJCOYQOB-INIZCTEOSA-N 0 1 304.434 3.208 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001152459624 1101900329 /nfs/dbraw/zinc/90/03/29/1101900329.db2.gz HLFYPOAXPHRLIA-ZWKOTPCHSA-N 0 1 314.473 3.301 20 30 DGEDMN C=CC[C@@H](NC(=O)c1cncn1-c1ccncc1)c1ccccc1 ZINC001198560621 1101906289 /nfs/dbraw/zinc/90/62/89/1101906289.db2.gz AIEFIKRJTJUZPX-QGZVFWFLSA-N 0 1 318.380 3.315 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCC=C(C)C)c1ccccc1OC ZINC001198596532 1101920216 /nfs/dbraw/zinc/92/02/16/1101920216.db2.gz RLFCSDAWYQGPJO-KRWDZBQOSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@H](C)C1CC1)c1ccccc1OC ZINC001198645989 1101939380 /nfs/dbraw/zinc/93/93/80/1101939380.db2.gz BERYLPXACLDBMK-PBHICJAKSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC(C)(C)C=C)c1ccccc1OC ZINC001198741762 1101964293 /nfs/dbraw/zinc/96/42/93/1101964293.db2.gz LZGXCTNNFMGXJE-INIZCTEOSA-N 0 1 316.445 3.230 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCC(=C)C)c1ccccc1OC ZINC001198751562 1101976985 /nfs/dbraw/zinc/97/69/85/1101976985.db2.gz IDSQRSBXJSEYID-QGZVFWFLSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](C)CC(=C)C)c1ccccc1OC ZINC001198854912 1102001357 /nfs/dbraw/zinc/00/13/57/1102001357.db2.gz ASGWSLUXJQWOET-NVXWUHKLSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(C)C1CC1)c1ccccc1OC ZINC001198856800 1102003211 /nfs/dbraw/zinc/00/32/11/1102003211.db2.gz JPAFJAJTRJRVQJ-MRXNPFEDSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(C)CCC)c1ccccc1OC ZINC001198876097 1102018601 /nfs/dbraw/zinc/01/86/01/1102018601.db2.gz NYMYZLRCPUJGPQ-MRXNPFEDSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C(C)(C)C)c1ccccc1OC ZINC001198969021 1102036463 /nfs/dbraw/zinc/03/64/63/1102036463.db2.gz RWCOVVIENULJHH-OAHLLOKOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](C)CC(C)C)c1ccccc1OC ZINC001198945701 1102039931 /nfs/dbraw/zinc/03/99/31/1102039931.db2.gz PGAQKBTUXZKOJA-DOTOQJQBSA-N 0 1 318.461 3.310 20 30 DGEDMN C#Cc1ccc(CNCc2cc(OC)c(O)cc2Cl)cc1 ZINC001205883042 1102321450 /nfs/dbraw/zinc/32/14/50/1102321450.db2.gz QHMMCQHUMGMMTO-UHFFFAOYSA-N 0 1 301.773 3.325 20 30 DGEDMN N#Cc1nc(-c2cc(O)cc(C(F)(F)F)c2)cc2[nH]cnc21 ZINC001242780629 1102338322 /nfs/dbraw/zinc/33/83/22/1102338322.db2.gz UPAQJXZRCPMJJA-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN CCCCCCCN1CC2CC1(C(=O)NCc1ccco1)C2 ZINC001201776801 1102734059 /nfs/dbraw/zinc/73/40/59/1102734059.db2.gz LYOXSOGATOARSL-UHFFFAOYSA-N 0 1 304.434 3.331 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)N(C)[C@H]1CCN(C)C1 ZINC001201837120 1102742452 /nfs/dbraw/zinc/74/24/52/1102742452.db2.gz SSHZRKCAJGXQIA-INIZCTEOSA-N 0 1 318.527 3.093 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001202130888 1102845842 /nfs/dbraw/zinc/84/58/42/1102845842.db2.gz QGDJAQAEDHFCKG-LSDHHAIUSA-N 0 1 319.449 3.227 20 30 DGEDMN Cc1cc(F)cc(-c2cccc(N3CCN(C)CC3)c2)c1C#N ZINC001243670744 1102906560 /nfs/dbraw/zinc/90/65/60/1102906560.db2.gz QZUCXNRHSHNQGH-UHFFFAOYSA-N 0 1 309.388 3.425 20 30 DGEDMN N#Cc1cccc(C2(NCc3ccc4nccnc4c3)CC2)c1 ZINC001202366774 1102963345 /nfs/dbraw/zinc/96/33/45/1102963345.db2.gz QEJFTLJGGRYQRA-UHFFFAOYSA-N 0 1 300.365 3.280 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2csc(C)c2)C1=O ZINC001273942045 1102966555 /nfs/dbraw/zinc/96/65/55/1102966555.db2.gz DCHWGCZDPMKSSH-QGZVFWFLSA-N 0 1 304.459 3.200 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2nc(Cl)sc2Cl)c1 ZINC001152999942 1102974052 /nfs/dbraw/zinc/97/40/52/1102974052.db2.gz LMDOFHQUQCVXFN-UHFFFAOYSA-N 0 1 314.153 3.279 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)c1cccc(F)c1)C2 ZINC001111009579 1103014449 /nfs/dbraw/zinc/01/44/49/1103014449.db2.gz AFBYHRQHCZNDCA-LIRZEXBASA-N 0 1 316.420 3.227 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C2 ZINC001111062140 1103032205 /nfs/dbraw/zinc/03/22/05/1103032205.db2.gz XVUVGODWONSILC-VQHPVUNQSA-N 0 1 304.478 3.194 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)CC1CCCC1)C2 ZINC001111094163 1103044167 /nfs/dbraw/zinc/04/41/67/1103044167.db2.gz PQKRVQVNMXHLLY-MANSERQUSA-N 0 1 316.489 3.338 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cccc(C(=O)C(F)(F)F)c2)C1 ZINC001325513507 1103057751 /nfs/dbraw/zinc/05/77/51/1103057751.db2.gz UISDEXFORGQQMY-CQSZACIVSA-N 0 1 313.319 3.209 20 30 DGEDMN COC(=O)c1c(OC)ccc(OC)c1N=C1CCC(C#N)CC1 ZINC001212866847 1103138886 /nfs/dbraw/zinc/13/88/86/1103138886.db2.gz ISSGCOCFQDVRJU-NSHDSACASA-N 0 1 316.357 3.110 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001488848512 1103178358 /nfs/dbraw/zinc/17/83/58/1103178358.db2.gz LPXUWMVVPIRJPQ-OTSPLWENSA-N 0 1 319.276 3.392 20 30 DGEDMN COc1ccc(OC)c(CNC2CC(C#N)(c3ccccc3)C2)c1 ZINC001326033435 1103188280 /nfs/dbraw/zinc/18/82/80/1103188280.db2.gz XKPHBVPKLXGXGR-UHFFFAOYSA-N 0 1 322.408 3.417 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c(Cl)c1F)C2 ZINC001095807878 1103189710 /nfs/dbraw/zinc/18/97/10/1103189710.db2.gz KAFWKBVIJNOMLS-XBFCOCLRSA-N 0 1 322.811 3.309 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@@H](C)c1ccccc1 ZINC001495103508 1103239482 /nfs/dbraw/zinc/23/94/82/1103239482.db2.gz IYKXPHXLCBUWNW-CJNGLKHVSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C1(CCF)CC1 ZINC001489043841 1103246506 /nfs/dbraw/zinc/24/65/06/1103246506.db2.gz KVYUJHBXWOVDIR-OCCSQVGLSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C1(F)CCCC1 ZINC001489042832 1103246726 /nfs/dbraw/zinc/24/67/26/1103246726.db2.gz XDRFTQOUKOPMIA-OCCSQVGLSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H](F)CC)C1 ZINC001489091293 1103290466 /nfs/dbraw/zinc/29/04/66/1103290466.db2.gz VFJMVRBWTKRRCF-KZNAEPCWSA-N 0 1 318.436 3.285 20 30 DGEDMN N#Cc1nc(-c2ccc(N3CCCC3)cc2F)cc2[nH]cnc21 ZINC001244268412 1103325334 /nfs/dbraw/zinc/32/53/34/1103325334.db2.gz PYPISVQFRMQFKH-UHFFFAOYSA-N 0 1 307.332 3.236 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CC(C)(C)c2ccc(F)cc2)[C@H]1C ZINC001489271333 1103349334 /nfs/dbraw/zinc/34/93/34/1103349334.db2.gz ILXYVXCPFLLYQP-PBHICJAKSA-N 0 1 318.436 3.258 20 30 DGEDMN CCCC(=O)NCC1(NCC#Cc2ccccc2Cl)CCC1 ZINC001326772913 1103438283 /nfs/dbraw/zinc/43/82/83/1103438283.db2.gz KNOQIDCLAXWSML-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001326875653 1103466626 /nfs/dbraw/zinc/46/66/26/1103466626.db2.gz QNTMZZFQKDTOOA-CQSZACIVSA-N 0 1 321.490 3.182 20 30 DGEDMN C/C=C(/C)C(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001490177064 1103505324 /nfs/dbraw/zinc/50/53/24/1103505324.db2.gz WHRLZEGLTJPNAC-TVPGTPATSA-N 0 1 318.848 3.096 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](CC=C)c1ccccc1 ZINC001276332817 1103505357 /nfs/dbraw/zinc/50/53/57/1103505357.db2.gz AECUZZIREWEUBK-PMACEKPBSA-N 0 1 324.468 3.340 20 30 DGEDMN Cc1cc(-c2nc3c(cc2C#N)COCC3)cc(Cl)c1O ZINC001244537765 1103507544 /nfs/dbraw/zinc/50/75/44/1103507544.db2.gz FVIYRVQJVWRKTB-UHFFFAOYSA-N 0 1 300.745 3.360 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001490236624 1103516371 /nfs/dbraw/zinc/51/63/71/1103516371.db2.gz MHOYDVZYVXHCLT-UHFFFAOYSA-N 0 1 313.445 3.243 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H]1CC[C@@H](C)C1 ZINC001490342718 1103554979 /nfs/dbraw/zinc/55/49/79/1103554979.db2.gz QSNVMKGNQLKYNF-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN CCN(CCCNC(=O)[C@H](C)C#N)[C@H](C)c1cc(F)ccc1F ZINC001490317197 1103566082 /nfs/dbraw/zinc/56/60/82/1103566082.db2.gz CVAXJHNOFFXTCS-CHWSQXEVSA-N 0 1 323.387 3.014 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1cccc(Cl)n1 ZINC001327212502 1103584537 /nfs/dbraw/zinc/58/45/37/1103584537.db2.gz GCARMFBKVVNSPG-UHFFFAOYSA-N 0 1 323.868 3.372 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001490416617 1103615504 /nfs/dbraw/zinc/61/55/04/1103615504.db2.gz PFTFHIMLGYQUOG-GOSISDBHSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1cccc(F)c1Cl)C1CC1 ZINC001490640493 1103675751 /nfs/dbraw/zinc/67/57/51/1103675751.db2.gz GFZXOYMWFNKZKI-OAHLLOKOSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1csc(C)n1)C1CC1 ZINC001490693903 1103706155 /nfs/dbraw/zinc/70/61/55/1103706155.db2.gz NAGPAOQYYILLPK-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN COc1nc(C)c(-c2cccc(CN(C)C)c2F)c(C)c1C#N ZINC001244865463 1103733803 /nfs/dbraw/zinc/73/38/03/1103733803.db2.gz SWLJAWLLJQITQO-UHFFFAOYSA-N 0 1 313.376 3.446 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1CCCCCC1)C2 ZINC001096074982 1103751327 /nfs/dbraw/zinc/75/13/27/1103751327.db2.gz GGHOMUSQENKGQN-SQNIBIBYSA-N 0 1 302.462 3.092 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1cccc(C)c1)C2 ZINC001096141933 1103766470 /nfs/dbraw/zinc/76/64/70/1103766470.db2.gz LDDCYUQORJQOOF-LFDJNIOPSA-N 0 1 310.441 3.006 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(c1)C=CCC3)C2 ZINC001096147666 1103768145 /nfs/dbraw/zinc/76/81/45/1103768145.db2.gz MILQYRQQJLOBCK-QYZOEREBSA-N 0 1 308.425 3.167 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\c1cccc(F)c1)C2 ZINC001110681183 1103788667 /nfs/dbraw/zinc/78/86/67/1103788667.db2.gz CSASITRHDMSGTN-CQDDRNAFSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\c1cccc(F)c1)C2 ZINC001110681183 1103788687 /nfs/dbraw/zinc/78/86/87/1103788687.db2.gz CSASITRHDMSGTN-CQDDRNAFSA-N 0 1 314.404 3.137 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncsc1C(C)C)C2 ZINC001096323431 1103799796 /nfs/dbraw/zinc/79/97/96/1103799796.db2.gz HKGWNRCGQBJBLH-RDBSUJKOSA-N 0 1 319.474 3.178 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCC(C3CC3)CC1)C2 ZINC001096459713 1103839197 /nfs/dbraw/zinc/83/91/97/1103839197.db2.gz KYQQJCBVUWNORB-QEXNXIHPSA-N 0 1 302.462 3.110 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C[C@H](F)CC)CC1(C)C ZINC001328101006 1103844239 /nfs/dbraw/zinc/84/42/39/1103844239.db2.gz DDPGQVRQJQQPOX-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(C)c(F)c3)C[C@H]21 ZINC001114352481 1103872222 /nfs/dbraw/zinc/87/22/22/1103872222.db2.gz BBSLOBFIVDURGA-MNZLEMJZSA-N 0 1 316.420 3.037 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)=C1CCC1 ZINC001328467596 1103956460 /nfs/dbraw/zinc/95/64/60/1103956460.db2.gz FQGAKWLGWMFNTF-FQEVSTJZSA-N 0 1 322.452 3.226 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001328620935 1104001140 /nfs/dbraw/zinc/00/11/40/1104001140.db2.gz NBJXUMSIFODGIE-LTIDMASMSA-N 0 1 322.880 3.312 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)CC1CC(c2ccccc2)C1 ZINC001328733999 1104044464 /nfs/dbraw/zinc/04/44/64/1104044464.db2.gz UMBGTVUWYSARML-UUKMXZOPSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC1CC(c2ccccc2)C1 ZINC001328733999 1104044475 /nfs/dbraw/zinc/04/44/75/1104044475.db2.gz UMBGTVUWYSARML-UUKMXZOPSA-N 0 1 324.468 3.126 20 30 DGEDMN N#Cc1c2cccnc2ccc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245425300 1104045599 /nfs/dbraw/zinc/04/55/99/1104045599.db2.gz FUJRCASASAQYNT-MRXNPFEDSA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1cnc2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc2c1 ZINC001245426964 1104048195 /nfs/dbraw/zinc/04/81/95/1104048195.db2.gz LFFWXGRYDVJMRJ-LJQANCHMSA-N 0 1 319.408 3.375 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)Cc1ccc(C2CCC2)cc1 ZINC001328752282 1104055327 /nfs/dbraw/zinc/05/53/27/1104055327.db2.gz HFCXODTWQFJUOO-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN Cn1ncc2cc(-c3cc(/C=N/O)cc(C(F)(F)F)c3)cnc21 ZINC001245473573 1104079843 /nfs/dbraw/zinc/07/98/43/1104079843.db2.gz BSFWPMUZMJBKSV-AERZKKPOSA-N 0 1 320.274 3.462 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC001202688215 1104119186 /nfs/dbraw/zinc/11/91/86/1104119186.db2.gz ISKOOCPPKGGOAH-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1C2CC3CC(C2)CC1C3 ZINC001329056535 1104139229 /nfs/dbraw/zinc/13/92/29/1104139229.db2.gz NLUZJUGKKAJCKD-SETUHHQYSA-N 0 1 324.896 3.294 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1C2CC3CC(C2)CC1C3 ZINC001329056532 1104139360 /nfs/dbraw/zinc/13/93/60/1104139360.db2.gz NLUZJUGKKAJCKD-OFZHJIRRSA-N 0 1 324.896 3.294 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(C(C)C)n1 ZINC001329072741 1104144227 /nfs/dbraw/zinc/14/42/27/1104144227.db2.gz FXHCXRUODKQTDD-STQMWFEESA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2ccc(C#N)cc2C)C1=O ZINC001274039661 1104230753 /nfs/dbraw/zinc/23/07/53/1104230753.db2.gz JKWAPIFFMBONCM-HXUWFJFHSA-N 0 1 323.440 3.010 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccccc1CC(C)(C)C ZINC001329473165 1104276460 /nfs/dbraw/zinc/27/64/60/1104276460.db2.gz WMPBCYQJZSLAJF-INIZCTEOSA-N 0 1 314.473 3.349 20 30 DGEDMN COc1cc(CCC#N)c(-c2ccc3cncn3c2)cc1OC ZINC001245896823 1104294110 /nfs/dbraw/zinc/29/41/10/1104294110.db2.gz RIPSXXSIVNEESK-UHFFFAOYSA-N 0 1 307.353 3.475 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1cc(C)ccc1OC ZINC001329523921 1104299137 /nfs/dbraw/zinc/29/91/37/1104299137.db2.gz MKGQHSRTQFUVMW-OAHLLOKOSA-N 0 1 318.461 3.152 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001329538255 1104305687 /nfs/dbraw/zinc/30/56/87/1104305687.db2.gz AQEYECNHFUSQLB-ZWKOTPCHSA-N 0 1 314.473 3.339 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cccc(Cl)c1)C2 ZINC001110872409 1104329905 /nfs/dbraw/zinc/32/99/05/1104329905.db2.gz ZSZSZABHAGLSFY-ZACQAIPSSA-N 0 1 318.848 3.180 20 30 DGEDMN CN(C)c1ccc(C2=NN(c3ccc(C#N)cc3)C(=O)C2)cc1 ZINC001245944763 1104332290 /nfs/dbraw/zinc/33/22/90/1104332290.db2.gz QSIMLABTXUZFRN-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN CN(C)c1ccc(-c2cc(=O)n(-c3ccc(C#N)cc3)[nH]2)cc1 ZINC001245944763 1104332301 /nfs/dbraw/zinc/33/23/01/1104332301.db2.gz QSIMLABTXUZFRN-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@H](C)C1 ZINC001274596999 1104415598 /nfs/dbraw/zinc/41/55/98/1104415598.db2.gz MFVMKYUQFARTTO-ZBFHGGJFSA-N 0 1 304.409 3.261 20 30 DGEDMN CC(C)C(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001329910048 1104419782 /nfs/dbraw/zinc/41/97/82/1104419782.db2.gz YHWIPMFCIJJDNL-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CCF)[C@@H](C)C2)CCCCC1 ZINC001274662684 1104444293 /nfs/dbraw/zinc/44/42/93/1104444293.db2.gz KMNHWIKGGDFIFR-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cccc(C)c2Cl)C1=O ZINC001274676053 1104452680 /nfs/dbraw/zinc/45/26/80/1104452680.db2.gz QIKZQTKWHBAWOZ-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001274693402 1104459522 /nfs/dbraw/zinc/45/95/22/1104459522.db2.gz KBPQCKRBKIRCEA-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCN1CCC2(CCN(Cc3ccsc3Cl)CC2)C1=O ZINC001274859429 1104522149 /nfs/dbraw/zinc/52/21/49/1104522149.db2.gz LLFADFXCCLIOED-UHFFFAOYSA-N 0 1 324.877 3.402 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](CCC)CC(C)C)C(C)(C)C1 ZINC001330261426 1104523688 /nfs/dbraw/zinc/52/36/88/1104523688.db2.gz GUAAFFKELVAOHM-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)C1(C)CCCCC1 ZINC001274906651 1104538119 /nfs/dbraw/zinc/53/81/19/1104538119.db2.gz JCDHMVIGBJMICB-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001274913163 1104540492 /nfs/dbraw/zinc/54/04/92/1104540492.db2.gz JIYKOXIPOOEMTH-PXAZEXFGSA-N 0 1 324.852 3.242 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](CCCCC)C(C)C ZINC001275160721 1104658651 /nfs/dbraw/zinc/65/86/51/1104658651.db2.gz PXGTTZDCHJOZDM-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CCCCC)C(C)C ZINC001275160721 1104658658 /nfs/dbraw/zinc/65/86/58/1104658658.db2.gz PXGTTZDCHJOZDM-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C(C)(C)C)s1 ZINC001275168438 1104663109 /nfs/dbraw/zinc/66/31/09/1104663109.db2.gz WVQREBIXHYXWQD-AWEZNQCLSA-N 0 1 318.486 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCNC/C(Cl)=C\Cl ZINC001330882254 1104669433 /nfs/dbraw/zinc/66/94/33/1104669433.db2.gz GSZSNLSMKNYFKW-UTEVDWOZSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2nc(C)c(C)s2)CCC1 ZINC001275297331 1104711130 /nfs/dbraw/zinc/71/11/30/1104711130.db2.gz IIHKPECJADUVTD-LBPRGKRZSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)CC(C)(C)CC ZINC001275404736 1104749163 /nfs/dbraw/zinc/74/91/63/1104749163.db2.gz SBKAVOYJZWCSBT-NEPJUHHUSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001275461815 1104766680 /nfs/dbraw/zinc/76/66/80/1104766680.db2.gz RLXRXZBZXWDETE-RYUDHWBXSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cnc(Cl)s1 ZINC001275481982 1104773085 /nfs/dbraw/zinc/77/30/85/1104773085.db2.gz OIBIZHORCVTQKA-MNOVXSKESA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(O)ccc1Cl ZINC001275481758 1104774312 /nfs/dbraw/zinc/77/43/12/1104774312.db2.gz NKEUDAZWJSWUNT-OLZOCXBDSA-N 0 1 324.852 3.385 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001275580339 1104818381 /nfs/dbraw/zinc/81/83/81/1104818381.db2.gz MKBCKMQHMJWNIG-QJRTVWDNSA-N 0 1 312.885 3.438 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001275622483 1104835871 /nfs/dbraw/zinc/83/58/71/1104835871.db2.gz PZPWRURUSHSWEI-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](CCC)CC1CCCCC1 ZINC001275665921 1104856165 /nfs/dbraw/zinc/85/61/65/1104856165.db2.gz QCSIBMPWEVUCTO-FUHWJXTLSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(-c2cccs2)o1 ZINC001275706668 1104872707 /nfs/dbraw/zinc/87/27/07/1104872707.db2.gz KDKZYGIRNJLLQS-ZDUSSCGKSA-N 0 1 316.426 3.082 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)[N@@H+](C)Cc1cc(C)sc1C ZINC001275904312 1104948442 /nfs/dbraw/zinc/94/84/42/1104948442.db2.gz GCTIVSRPYCJKBD-CQSZACIVSA-N 0 1 320.502 3.495 20 30 DGEDMN N#Cc1ccc(-c2noc([C@H]3CNC[C@H](F)C3)n2)c2ccccc12 ZINC001247782355 1104981833 /nfs/dbraw/zinc/98/18/33/1104981833.db2.gz MCEKZFNOEWQIIT-CHWSQXEVSA-N 0 1 322.343 3.176 20 30 DGEDMN CN[C@H](Cc1ccccc1)c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001248187901 1105063628 /nfs/dbraw/zinc/06/36/28/1105063628.db2.gz TWJLXZSVCFKKFG-MRXNPFEDSA-N 0 1 322.343 3.251 20 30 DGEDMN N#CC1(c2nc(-c3ncccc3/C=C/N3CCCC3)no2)CCC1 ZINC001248263224 1105081518 /nfs/dbraw/zinc/08/15/18/1105081518.db2.gz YRSFBPZFGPQVKH-WUXMJOGZSA-N 0 1 321.384 3.143 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1CC(c3ccccc3)C1)C2 ZINC001095648353 1105094532 /nfs/dbraw/zinc/09/45/32/1105094532.db2.gz WFMCAQTVQGHSMG-ABZWLXOVSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1-c1ccccc1)C2 ZINC001095720599 1105101144 /nfs/dbraw/zinc/10/11/44/1105101144.db2.gz FJIPGXLVSYJNPA-NJAFHUGGSA-N 0 1 322.408 3.468 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001110964637 1105128016 /nfs/dbraw/zinc/12/80/16/1105128016.db2.gz UGQYAGWLLDVHRD-QRVBRYPASA-N 0 1 323.440 3.136 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2cccc(O)c2)CC1 ZINC001248752569 1105155669 /nfs/dbraw/zinc/15/56/69/1105155669.db2.gz QQNJVMSECIFKHL-UHFFFAOYSA-N 0 1 321.424 3.148 20 30 DGEDMN CCCNCc1nc(-c2cc(Cl)c(C#N)cc2Cl)no1 ZINC001249022923 1105213710 /nfs/dbraw/zinc/21/37/10/1105213710.db2.gz WTYYXJMXCMODLC-UHFFFAOYSA-N 0 1 311.172 3.415 20 30 DGEDMN C#CC(C)(C)c1nc(-c2ncccc2/C=C/N2CCCC2)no1 ZINC001249086338 1105223725 /nfs/dbraw/zinc/22/37/25/1105223725.db2.gz VKZXWTONKWLPEJ-UKTHLTGXSA-N 0 1 308.385 3.109 20 30 DGEDMN C[C@H]1Cc2ccccc2CN1Cc1cnn2ccc(C#N)cc12 ZINC001249118730 1105228694 /nfs/dbraw/zinc/22/86/94/1105228694.db2.gz KFOMILKTOBHPBL-AWEZNQCLSA-N 0 1 302.381 3.153 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(F)c(F)c(F)c3F)no2)C1 ZINC001249233477 1105252229 /nfs/dbraw/zinc/25/22/29/1105252229.db2.gz ITKKZXQENAPNAS-AWEZNQCLSA-N 0 1 313.254 3.058 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cccc4ccc(C)nc43)no2)C1 ZINC001249231375 1105252363 /nfs/dbraw/zinc/25/23/63/1105252363.db2.gz CWXDREFRIXLHDP-SFHVURJKSA-N 0 1 306.369 3.358 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(Cl)c(OC)c3F)no2)C1 ZINC001249231725 1105252506 /nfs/dbraw/zinc/25/25/06/1105252506.db2.gz NWBOTZSASRIATE-HNNXBMFYSA-N 0 1 323.755 3.302 20 30 DGEDMN CCCN(CCC)Cc1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001249236321 1105253562 /nfs/dbraw/zinc/25/35/62/1105253562.db2.gz UDWVTSOHNDFTNM-UHFFFAOYSA-N 0 1 302.353 3.369 20 30 DGEDMN Cc1ccnn1C1CCN(Cc2cc3ccc(C#N)cc3[nH]2)CC1 ZINC001249430180 1105286533 /nfs/dbraw/zinc/28/65/33/1105286533.db2.gz GZEUQSNHRBJSNH-UHFFFAOYSA-N 0 1 319.412 3.382 20 30 DGEDMN N#Cc1cccc(Cl)c1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249558103 1105313006 /nfs/dbraw/zinc/31/30/06/1105313006.db2.gz GPNMXNICWLOZCJ-OAHLLOKOSA-N 0 1 317.820 3.392 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(F)(F)F)[C@H]1C ZINC001278526850 1105347877 /nfs/dbraw/zinc/34/78/77/1105347877.db2.gz NMYRZRZXAOUUBO-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN N#Cc1cccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1F ZINC001249687934 1105353375 /nfs/dbraw/zinc/35/33/75/1105353375.db2.gz UTSNCGWRYNVVDN-OALUTQOASA-N 0 1 321.399 3.156 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)c(F)ccc1Cl)C2 ZINC001097376985 1105386424 /nfs/dbraw/zinc/38/64/24/1105386424.db2.gz QACJMFKGLNRXDY-DFBGVHRSSA-N 0 1 322.811 3.309 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c(Cl)c1F)C2 ZINC001097575596 1105410076 /nfs/dbraw/zinc/41/00/76/1105410076.db2.gz KAFWKBVIJNOMLS-BNOWGMLFSA-N 0 1 322.811 3.309 20 30 DGEDMN CCOc1cc(OC)ccc1CN1[C@@H]2CC[C@H]1C[C@H](C#N)C2 ZINC001250062418 1105435782 /nfs/dbraw/zinc/43/57/82/1105435782.db2.gz HGUZZEUIZAJUFN-MKZZRHPPSA-N 0 1 300.402 3.360 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2ccsc2)C1 ZINC001097809581 1105449484 /nfs/dbraw/zinc/44/94/84/1105449484.db2.gz LOKRLHADPYOOCU-OAGGEKHMSA-N 0 1 316.470 3.326 20 30 DGEDMN Cc1cc(CN2CCC(Oc3ccccc3C#N)CC2)ccn1 ZINC001137416635 1105518094 /nfs/dbraw/zinc/51/80/94/1105518094.db2.gz IUIXXIMYWIFJLO-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN N#Cc1ccccc1-c1nc2cc(F)c(-n3ccnc3)cc2[nH]1 ZINC001250489810 1105524255 /nfs/dbraw/zinc/52/42/55/1105524255.db2.gz RWCWVBUZLIAYAQ-UHFFFAOYSA-N 0 1 303.300 3.426 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)CCN(C(=O)OC(C)(C)C)C2 ZINC001137447016 1105524395 /nfs/dbraw/zinc/52/43/95/1105524395.db2.gz TYKQJEKDTBQRLW-UHFFFAOYSA-N 0 1 314.429 3.045 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(c1)CCCC3)C2 ZINC001098329326 1105569782 /nfs/dbraw/zinc/56/97/82/1105569782.db2.gz UJWJQBGAWTWCTC-QRVBRYPASA-N 0 1 310.441 3.087 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(C)s1)C2 ZINC001098343126 1105570998 /nfs/dbraw/zinc/57/09/98/1105570998.db2.gz WWKJLIJHIBYLFH-KFWWJZLASA-N 0 1 304.459 3.276 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)C)s1)C2 ZINC001098474893 1105577531 /nfs/dbraw/zinc/57/75/31/1105577531.db2.gz PFZLUEUKSKAIAA-KFWWJZLASA-N 0 1 316.470 3.230 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1ccccc1C)C2 ZINC001098504829 1105581386 /nfs/dbraw/zinc/58/13/86/1105581386.db2.gz GQLFEJLGPXVAQI-NXHRZFHOSA-N 0 1 312.457 3.180 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CC)c(CC)c1)C2 ZINC001098536828 1105583442 /nfs/dbraw/zinc/58/34/42/1105583442.db2.gz DEBRMOYXVAMTHD-AQNXPRMDSA-N 0 1 324.468 3.170 20 30 DGEDMN COCOc1ccc2nc(-c3cc(F)c(C#N)c(F)c3)[nH]c2c1 ZINC001250904525 1105601667 /nfs/dbraw/zinc/60/16/67/1105601667.db2.gz NHARXAABCCVPKP-UHFFFAOYSA-N 0 1 315.279 3.362 20 30 DGEDMN N#Cc1cccc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)c1Cl ZINC001250911988 1105602663 /nfs/dbraw/zinc/60/26/63/1105602663.db2.gz VYRDJHZGSKUCLN-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1cccnc1 ZINC001098948648 1105616650 /nfs/dbraw/zinc/61/66/50/1105616650.db2.gz BZQBNOHYZCANJR-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C(=C)Cl ZINC001099092916 1105641789 /nfs/dbraw/zinc/64/17/89/1105641789.db2.gz KQEROPSMNZSOKR-CQSZACIVSA-N 0 1 310.869 3.005 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)C(C)(C)C ZINC001099122370 1105644279 /nfs/dbraw/zinc/64/42/79/1105644279.db2.gz ZBWFBDSFPDWYSF-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)C(CC)CC ZINC001099131032 1105646593 /nfs/dbraw/zinc/64/65/93/1105646593.db2.gz VQIWCRFRXZFTTJ-DOTOQJQBSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C1CCC1 ZINC001099158878 1105651828 /nfs/dbraw/zinc/65/18/28/1105651828.db2.gz MVGPLYZSOIAXMV-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1(CCC=C)CC1 ZINC001099214233 1105657363 /nfs/dbraw/zinc/65/73/63/1105657363.db2.gz IQCJNAOAZVCKHP-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCCCC(C)C ZINC001099275936 1105663984 /nfs/dbraw/zinc/66/39/84/1105663984.db2.gz LUNYVBOYWWNIKK-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](CC)CCC ZINC001099286590 1105664921 /nfs/dbraw/zinc/66/49/21/1105664921.db2.gz ZXFUEXQMAIFOFB-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)OCCC(C)C ZINC001099358642 1105672675 /nfs/dbraw/zinc/67/26/75/1105672675.db2.gz JNHSCOBLQJGLSJ-IRXDYDNUSA-N 0 1 324.509 3.230 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001099360661 1105673547 /nfs/dbraw/zinc/67/35/47/1105673547.db2.gz ZQRIHBKBIZQOMV-OHTSDLOESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001099360661 1105673559 /nfs/dbraw/zinc/67/35/59/1105673559.db2.gz ZQRIHBKBIZQOMV-OHTSDLOESA-N 0 1 318.505 3.443 20 30 DGEDMN CCCCC(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491089193 1105748701 /nfs/dbraw/zinc/74/87/01/1105748701.db2.gz KQEMCIPPAVKWDD-LJQANCHMSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCOC[C@@H](O)CNCc1cc(Cl)cc(Cl)c1Cl ZINC001252491985 1105784095 /nfs/dbraw/zinc/78/40/95/1105784095.db2.gz VCSCHUOYURZIGK-NSHDSACASA-N 0 1 324.635 3.300 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cccc(C)c1 ZINC001491238976 1105786741 /nfs/dbraw/zinc/78/67/41/1105786741.db2.gz HVEDSTZPYIYAPY-INIZCTEOSA-N 0 1 306.837 3.332 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C(C)(C)C(F)F ZINC001491263051 1105790571 /nfs/dbraw/zinc/79/05/71/1105790571.db2.gz AXQOHDOVEOPSKL-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@@](C)(O)CN1Cc2cc(Br)ccc2C[C@H]1C ZINC001252562870 1105794301 /nfs/dbraw/zinc/79/43/01/1105794301.db2.gz ZATNPVQYMOMWBS-IAQYHMDHSA-N 0 1 310.235 3.133 20 30 DGEDMN CC(C)=CC(=O)N[C@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491279647 1105795196 /nfs/dbraw/zinc/79/51/96/1105795196.db2.gz JNHNSADOMHOYLV-QUCCMNQESA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2cc(C)no2)C1 ZINC001278677586 1105818784 /nfs/dbraw/zinc/81/87/84/1105818784.db2.gz JXFRYTDQRJKDOK-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001278681336 1105825929 /nfs/dbraw/zinc/82/59/29/1105825929.db2.gz DNFYKTBUCXYMHR-YLJYHZDGSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001278681151 1105826001 /nfs/dbraw/zinc/82/60/01/1105826001.db2.gz BMLOMCQWOMFHMO-XFKSJGNHSA-N 0 1 316.489 3.054 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1ccccc1Cl ZINC001491437152 1105826320 /nfs/dbraw/zinc/82/63/20/1105826320.db2.gz ZZYBXPPTLHWWEE-CQSZACIVSA-N 0 1 306.837 3.128 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cc(Cl)ccc1OC ZINC001491466475 1105830150 /nfs/dbraw/zinc/83/01/50/1105830150.db2.gz POAIXTIGVNSVIW-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@](C)(CNC(=O)c2ccoc2CCC)C1 ZINC001278686699 1105853149 /nfs/dbraw/zinc/85/31/49/1105853149.db2.gz MKRPBHGXYTXZKU-LJQANCHMSA-N 0 1 316.445 3.087 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CNCc1ccc(OC)nn1 ZINC001252986569 1105866487 /nfs/dbraw/zinc/86/64/87/1105866487.db2.gz NAYKAEULAMPIIJ-QGZVFWFLSA-N 0 1 323.481 3.467 20 30 DGEDMN CCCC[C@H](CC)COC[C@@H](O)CNCC1(C#N)CCCC1 ZINC001253016621 1105877223 /nfs/dbraw/zinc/87/72/23/1105877223.db2.gz PVUKCCXZNIEVTC-IRXDYDNUSA-N 0 1 310.482 3.254 20 30 DGEDMN CCCCC(=O)N1C[C@H]2CN(CC#Cc3ccccc3)C[C@@]2(C)C1 ZINC001101129782 1105889801 /nfs/dbraw/zinc/88/98/01/1105889801.db2.gz OHEYOZIDNQCOQO-CTNGQTDRSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN(CC(=O)OC)C1CCCC1 ZINC001253240248 1105908757 /nfs/dbraw/zinc/90/87/57/1105908757.db2.gz HWFIOWBODVIRPH-QGZVFWFLSA-N 0 1 311.466 3.292 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101324113 1105908889 /nfs/dbraw/zinc/90/88/89/1105908889.db2.gz NUYXJSVBUUEZCA-JLLINPMKSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001253254535 1105912904 /nfs/dbraw/zinc/91/29/04/1105912904.db2.gz QHIYWKCEPXPOCS-KRWDZBQOSA-N 0 1 321.465 3.241 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CCC2CCCC2)C1 ZINC001278733808 1105967104 /nfs/dbraw/zinc/96/71/04/1105967104.db2.gz MZFXOOXBPILNIM-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(CC(C)C)CC2)[C@H](C)C1 ZINC001102034999 1106011537 /nfs/dbraw/zinc/01/15/37/1106011537.db2.gz ICBWBVDRQFQMPW-UKRRQHHQSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)CCC)[C@H](C)C1 ZINC001102299067 1106047622 /nfs/dbraw/zinc/04/76/22/1106047622.db2.gz KEYNXBQMVMJSQZ-TZMCWYRMSA-N 0 1 300.874 3.249 20 30 DGEDMN CCOC1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)C1 ZINC001254353289 1106058693 /nfs/dbraw/zinc/05/86/93/1106058693.db2.gz YSOOVVCRXRYMJC-UHFFFAOYSA-N 0 1 302.393 3.250 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)CC(C)C)[C@H](C)C1 ZINC001102485016 1106063785 /nfs/dbraw/zinc/06/37/85/1106063785.db2.gz FWUMBWBQAXVEIQ-UMVBOHGHSA-N 0 1 300.874 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H](C)CC(C)C)[C@H](C)C1 ZINC001102507998 1106066948 /nfs/dbraw/zinc/06/69/48/1106066948.db2.gz XSIBECUBHHAEHG-SQWLQELKSA-N 0 1 314.901 3.495 20 30 DGEDMN C[C@H](N[C@@H]1CC[C@@H](C#N)C1)C(=O)Nc1ccc2ccccc2c1 ZINC001254644099 1106120662 /nfs/dbraw/zinc/12/06/62/1106120662.db2.gz QNIIXKKLJRUMNJ-JJRVBVJISA-N 0 1 307.397 3.449 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2cn(Cc3ccc(Cl)cc3)cn2)C1 ZINC001254647544 1106121846 /nfs/dbraw/zinc/12/18/46/1106121846.db2.gz HBCWTROUUUDFGX-ZBFHGGJFSA-N 0 1 314.820 3.367 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2cn(Cc3ccccc3Cl)cn2)C1 ZINC001254655678 1106124299 /nfs/dbraw/zinc/12/42/99/1106124299.db2.gz FJEWCZLDRIQDSI-UKRRQHHQSA-N 0 1 314.820 3.367 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCC3(CC(=O)c4ccc(F)cc43)CC2)C1 ZINC001254658259 1106125070 /nfs/dbraw/zinc/12/50/70/1106125070.db2.gz HOIRYTNHAURQIB-DZGCQCFKSA-N 0 1 312.388 3.438 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2cn(Cc3cccc(Cl)c3)cn2)C1 ZINC001254658660 1106125427 /nfs/dbraw/zinc/12/54/27/1106125427.db2.gz WTAODYPRFPBNKV-BBRMVZONSA-N 0 1 314.820 3.367 20 30 DGEDMN Cc1ccc2c(c1)C(=O)CC1(CCN([C@H]3CC[C@H](C#N)C3)CC1)O2 ZINC001254672179 1106129931 /nfs/dbraw/zinc/12/99/31/1106129931.db2.gz ZBQYHYJOEHDKEC-HOTGVXAUSA-N 0 1 324.424 3.487 20 30 DGEDMN N#C[C@H](N[C@H]1CCN(Cc2ccccc2)C1)c1ccc(F)cc1 ZINC001255101274 1106180271 /nfs/dbraw/zinc/18/02/71/1106180271.db2.gz QJANCVZXMGAFJW-OALUTQOASA-N 0 1 309.388 3.254 20 30 DGEDMN CC[C@H](CC#N)NCC1(NC(=O)OC(C)(C)C)CCCCC1 ZINC001255156623 1106193411 /nfs/dbraw/zinc/19/34/11/1106193411.db2.gz MEGIYMLLJPABHW-CQSZACIVSA-N 0 1 309.454 3.496 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](c1ccc(Cl)cc1)N(C)CCOC ZINC001255165081 1106196074 /nfs/dbraw/zinc/19/60/74/1106196074.db2.gz SMKUUCBRWBDWFD-SJORKVTESA-N 0 1 323.868 3.241 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001255167171 1106197614 /nfs/dbraw/zinc/19/76/14/1106197614.db2.gz VLIRCAUPFBRMSO-YJBOKZPZSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@@]2(CCN(c3ccsc3)C2=O)C1 ZINC001255170164 1106198561 /nfs/dbraw/zinc/19/85/61/1106198561.db2.gz OPGPFHZNRWLPAR-RHSMWYFYSA-N 0 1 317.458 3.259 20 30 DGEDMN CC[C@@H](CC#N)N1CCC[C@]2(CCC(=O)N2CC2CC2)[C@@H]1CC ZINC001255169588 1106198659 /nfs/dbraw/zinc/19/86/59/1106198659.db2.gz HMPKLNOKUBAEDK-LNLFQRSKSA-N 0 1 317.477 3.324 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@]2(CC(=O)N(c3cccc(C)c3)C2)C1 ZINC001255170450 1106198692 /nfs/dbraw/zinc/19/86/92/1106198692.db2.gz RXAPGAZDLWSXPB-LPHOPBHVSA-N 0 1 311.429 3.116 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@@]2(CC(=O)N(c3ccc(C)cc3)C2)C1 ZINC001255172562 1106198774 /nfs/dbraw/zinc/19/87/74/1106198774.db2.gz PXOZGZUXBKOPJX-QFBILLFUSA-N 0 1 311.429 3.116 20 30 DGEDMN CC[C@H](CC#N)N(C)C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255174650 1106199444 /nfs/dbraw/zinc/19/94/44/1106199444.db2.gz HFXJUFUPAGNQIO-LSDHHAIUSA-N 0 1 309.454 3.258 20 30 DGEDMN CCCN1CCCC[C@@H]1C(=O)OCc1ccc(C#N)cc1C ZINC001255255703 1106211197 /nfs/dbraw/zinc/21/11/97/1106211197.db2.gz NCRVBMPWANKFBC-QGZVFWFLSA-N 0 1 300.402 3.174 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN([C@H](C)Cc2ccccc2F)C1 ZINC001255854453 1106329006 /nfs/dbraw/zinc/32/90/06/1106329006.db2.gz DQZJIBZJGNTDSO-GDBMZVCRSA-N 0 1 320.408 3.133 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C)c(Cl)cc1C)C(C)C ZINC001278915107 1106380081 /nfs/dbraw/zinc/38/00/81/1106380081.db2.gz QSZXHAJLVUPSKV-UHFFFAOYSA-N 0 1 320.864 3.372 20 30 DGEDMN CC#CC[N@@H+](C)CCN(C(=O)[C@H](C)c1cccc(C)c1)C(C)C ZINC001278921965 1106395717 /nfs/dbraw/zinc/39/57/17/1106395717.db2.gz DDYQNKHGCGSTQH-GOSISDBHSA-N 0 1 314.473 3.291 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)c1cccc(C)c1)C(C)C ZINC001278921965 1106395720 /nfs/dbraw/zinc/39/57/20/1106395720.db2.gz DDYQNKHGCGSTQH-GOSISDBHSA-N 0 1 314.473 3.291 20 30 DGEDMN N#CC1CCC([NH2+]Cc2ccc(Br)cc2[O-])CC1 ZINC001256959531 1106516001 /nfs/dbraw/zinc/51/60/01/1106516001.db2.gz JBUKEHWGGWHGHC-UHFFFAOYSA-N 0 1 309.207 3.327 20 30 DGEDMN N#Cc1ccc(C2CCC(N[C@H]3CCSC3=O)CC2)cc1 ZINC001257010529 1106524072 /nfs/dbraw/zinc/52/40/72/1106524072.db2.gz CAIDNPQKLHYVNX-GPANFISMSA-N 0 1 300.427 3.206 20 30 DGEDMN Cn1cnc2c1C[N@H+](C1CCC(c3ccc(C#N)cc3)CC1)CC2 ZINC001257022693 1106525325 /nfs/dbraw/zinc/52/53/25/1106525325.db2.gz PNQYTTXLGIBIPM-UHFFFAOYSA-N 0 1 320.440 3.376 20 30 DGEDMN Cn1cnc2c1CN(C1CCC(c3ccc(C#N)cc3)CC1)CC2 ZINC001257022693 1106525328 /nfs/dbraw/zinc/52/53/28/1106525328.db2.gz PNQYTTXLGIBIPM-UHFFFAOYSA-N 0 1 320.440 3.376 20 30 DGEDMN CC[C@@H]1C[C@H](N(CC)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257137000 1106542987 /nfs/dbraw/zinc/54/29/87/1106542987.db2.gz ZDVSZEPPKDERBB-HUUCEWRRSA-N 0 1 309.454 3.400 20 30 DGEDMN CCC[C@H](C)CC[C@@H](C)N1CCN(c2nccnc2C#N)CC1 ZINC001257481680 1106596378 /nfs/dbraw/zinc/59/63/78/1106596378.db2.gz LZPFRXCFQZUSGB-JKSUJKDBSA-N 0 1 315.465 3.075 20 30 DGEDMN C=C1C[C@@H]2CC(N3Cc4cn(C)nc4[C@@H](COC)C3)C[C@H](C1)C2 ZINC001257891613 1106641856 /nfs/dbraw/zinc/64/18/56/1106641856.db2.gz NVXCBOXJCXKHJK-FZBAJKBMSA-N 0 1 315.461 3.101 20 30 DGEDMN C=C1C[C@@H]2CC(N3Cc4ccnn4C[C@H](COC)C3)C[C@H](C1)C2 ZINC001257892864 1106642345 /nfs/dbraw/zinc/64/23/45/1106642345.db2.gz HSEZBGCIGBGOHH-KZCXMXTOSA-N 0 1 315.461 3.096 20 30 DGEDMN CCCCCCC[C@@H](C)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001258043100 1106664146 /nfs/dbraw/zinc/66/41/46/1106664146.db2.gz IVQMFWPNRJKOIY-SJORKVTESA-N 0 1 310.482 3.059 20 30 DGEDMN C[C@@H](Cc1ccco1)N1CCN(c2ccc(C#N)cc2F)CC1 ZINC001258196150 1106694090 /nfs/dbraw/zinc/69/40/90/1106694090.db2.gz VRIKDAZNMYJWCZ-AWEZNQCLSA-N 0 1 313.376 3.044 20 30 DGEDMN CN(CCN(C)C(=O)CCC1CCC1)Cc1ccc(C#N)cc1 ZINC001319990833 1106768048 /nfs/dbraw/zinc/76/80/48/1106768048.db2.gz WOFGVLOSENUCAL-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1ocnc1C)C1CC1 ZINC001316923569 1106769619 /nfs/dbraw/zinc/76/96/19/1106769619.db2.gz WDQVZOVOKRHBNQ-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001316946420 1106801269 /nfs/dbraw/zinc/80/12/69/1106801269.db2.gz BQSJORKVEAZLLC-RBUKOAKNSA-N 0 1 314.473 3.268 20 30 DGEDMN C=C(CN1CCCCC1)c1nnc(Cc2cccc(F)c2)o1 ZINC001258540662 1106811341 /nfs/dbraw/zinc/81/13/41/1106811341.db2.gz PMWGFRBJOBTPRQ-UHFFFAOYSA-N 0 1 301.365 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc2ccccc2o1)C1CC1 ZINC001317026486 1106916362 /nfs/dbraw/zinc/91/63/62/1106916362.db2.gz HUTUELIUSBRLNZ-AWEZNQCLSA-N 0 1 318.804 3.283 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)Cc1ccc(C)c(C)c1)C1CC1 ZINC001317026781 1106917208 /nfs/dbraw/zinc/91/72/08/1106917208.db2.gz JEPKMBISNLASHG-KRWDZBQOSA-N 0 1 320.864 3.083 20 30 DGEDMN C=CCC[C@H](C)N1Cc2nn(C)cc2[C@H](COCC2CC2)C1 ZINC001258729719 1106933620 /nfs/dbraw/zinc/93/36/20/1106933620.db2.gz XYHWRXQNOJEFQK-HOCLYGCPSA-N 0 1 303.450 3.101 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC001258735596 1106940496 /nfs/dbraw/zinc/94/04/96/1106940496.db2.gz XNSZVTONBBAVGG-SNVBAGLBSA-N 0 1 320.384 3.034 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@H](CCC)CCCC ZINC001258760435 1106957961 /nfs/dbraw/zinc/95/79/61/1106957961.db2.gz PQRBTNBQJYTCIX-NVXWUHKLSA-N 0 1 320.481 3.276 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@@H](O)c2ccccc2C(F)(F)F)C1 ZINC001320320345 1106959220 /nfs/dbraw/zinc/95/92/20/1106959220.db2.gz HACNMUIHRXFVEY-SWLSCSKDSA-N 0 1 315.335 3.016 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@H](CC)C[C@H](C)CC ZINC001258789507 1106976469 /nfs/dbraw/zinc/97/64/69/1106976469.db2.gz BFXNUZAQRAUTFF-BFYDXBDKSA-N 0 1 320.481 3.132 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@@H](CC)C[C@H](C)CC ZINC001258789508 1106978335 /nfs/dbraw/zinc/97/83/35/1106978335.db2.gz BFXNUZAQRAUTFF-HLLBOEOZSA-N 0 1 320.481 3.132 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCNC/C(Cl)=C/Cl ZINC001320438132 1107006975 /nfs/dbraw/zinc/00/69/75/1107006975.db2.gz UTOOBQNHZQGFIO-AWPPVZKDSA-N 0 1 307.265 3.392 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cc(Cl)ccc2OC)cc1 ZINC001258937373 1107062008 /nfs/dbraw/zinc/06/20/08/1107062008.db2.gz YGCRQQJAPJOHQB-UHFFFAOYSA-N 0 1 321.785 3.131 20 30 DGEDMN Cc1ccc2c(cccc2NS(=O)(=O)c2cccc(C#N)c2)n1 ZINC001259124458 1107081490 /nfs/dbraw/zinc/08/14/90/1107081490.db2.gz MAUDUPOMUWFMPU-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001317177242 1107094657 /nfs/dbraw/zinc/09/46/57/1107094657.db2.gz QNUYKCJIIHNDOB-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001317177241 1107095408 /nfs/dbraw/zinc/09/54/08/1107095408.db2.gz QNUYKCJIIHNDOB-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1C=CC=CC=C1 ZINC001317187035 1107108222 /nfs/dbraw/zinc/10/82/22/1107108222.db2.gz WMPATQFPBSXQAD-INIZCTEOSA-N 0 1 306.837 3.008 20 30 DGEDMN O=C(/C=C\c1ccc(F)cc1)C(=O)Nc1cc(F)cc(F)c1O ZINC001299581277 1107131329 /nfs/dbraw/zinc/13/13/29/1107131329.db2.gz OGSXAZIMSOIZSF-UTCJRWHESA-N 0 1 321.254 3.031 20 30 DGEDMN Cc1cc(C)cc(S(=O)(=O)Nc2cnc(C#N)c(Cl)c2)c1 ZINC001259517580 1107156303 /nfs/dbraw/zinc/15/63/03/1107156303.db2.gz BIXXTDQEJIRGEM-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cc(N[C@H]2CCC[C@@H](C#N)C2)ccc1N1CCN(C)CC1 ZINC001320864412 1107166711 /nfs/dbraw/zinc/16/67/11/1107166711.db2.gz HCYWBHAKSBYZBD-SJORKVTESA-N 0 1 312.461 3.241 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001317246610 1107170735 /nfs/dbraw/zinc/17/07/35/1107170735.db2.gz VJQSBKBXZIGBLI-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)oc1C(F)(F)F ZINC001317271801 1107193615 /nfs/dbraw/zinc/19/36/15/1107193615.db2.gz OTRQAKPIAZKIKI-MRVPVSSYSA-N 0 1 324.730 3.067 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2c(C3CC3)nc3sccn23)C1 ZINC001320967107 1107205513 /nfs/dbraw/zinc/20/55/13/1107205513.db2.gz ADSKMCSOWDERPY-CYBMUJFWSA-N 0 1 303.431 3.050 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1csc2c1CCCC2 ZINC001317283637 1107207424 /nfs/dbraw/zinc/20/74/24/1107207424.db2.gz KZOMYJHFROZPGF-LLVKDONJSA-N 0 1 312.866 3.087 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cnc3ccccc3c2)cc1C#N ZINC001260010380 1107234297 /nfs/dbraw/zinc/23/42/97/1107234297.db2.gz DRACUEVVZSRFTA-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C(C)(C)C#N)nc2)s1 ZINC001260678687 1107292852 /nfs/dbraw/zinc/29/28/52/1107292852.db2.gz IIPVRZVLYMXGAF-UHFFFAOYSA-N 0 1 321.427 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1ccc(Cl)nc1 ZINC001321270701 1107307388 /nfs/dbraw/zinc/30/73/88/1107307388.db2.gz LGXFOSKDFUOBOI-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H](C)c1ccccc1F ZINC001321294132 1107315198 /nfs/dbraw/zinc/31/51/98/1107315198.db2.gz LWXLFPWQAMOKCG-CFHLNLSMSA-N 0 1 324.827 3.334 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2cscn2)CCCC1 ZINC001317417196 1107341700 /nfs/dbraw/zinc/34/17/00/1107341700.db2.gz HXFVJNSSOQQWJB-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN CC(=N[N-]c1[nH]c2ccccc2[nH+]1)c1c(C)nc2sccn21 ZINC001321447485 1107349608 /nfs/dbraw/zinc/34/96/08/1107349608.db2.gz OSPNLGMLSXLIBJ-UHFFFAOYSA-N 0 1 310.386 3.417 20 30 DGEDMN CCC(=CC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F)CC ZINC001321492246 1107364790 /nfs/dbraw/zinc/36/47/90/1107364790.db2.gz ZAAWXZQCAVWZDW-CYBMUJFWSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2cccc(F)c2Cl)C1 ZINC001321636865 1107399869 /nfs/dbraw/zinc/39/98/69/1107399869.db2.gz BDQSFXMDRXYKKT-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(Cc2cccc(F)c2)C2CC2)C1 ZINC001317556630 1107534332 /nfs/dbraw/zinc/53/43/32/1107534332.db2.gz KPAYERYNUUFFOJ-UHFFFAOYSA-N 0 1 316.420 3.263 20 30 DGEDMN CC#CCN(CCNC(=O)c1occc1-c1ccccc1)C1CC1 ZINC001317555925 1107534359 /nfs/dbraw/zinc/53/43/59/1107534359.db2.gz UKIRGOKOKWLRIP-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H](CC(C)C)c1ccccc1)C1CC1 ZINC001317557843 1107537373 /nfs/dbraw/zinc/53/73/73/1107537373.db2.gz ATDHHONTHAUXGR-IBGZPJMESA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN(CCNC(=O)C1(c2ccc(C)cc2)CCC1)C1CC1 ZINC001317561315 1107543246 /nfs/dbraw/zinc/54/32/46/1107543246.db2.gz JINGKXZWASISSP-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001322169090 1107553378 /nfs/dbraw/zinc/55/33/78/1107553378.db2.gz NPIVLJIZSJWGNB-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1cccc(C(C)C)n1 ZINC001317624062 1107568788 /nfs/dbraw/zinc/56/87/88/1107568788.db2.gz SBUMFMVMZHLWSA-LJQANCHMSA-N 0 1 317.477 3.355 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001322235709 1107583692 /nfs/dbraw/zinc/58/36/92/1107583692.db2.gz HQWLUSFCRNBFPH-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C)c(CC)s1 ZINC001317661718 1107584980 /nfs/dbraw/zinc/58/49/80/1107584980.db2.gz JKQVGAQXSGSVIS-UHFFFAOYSA-N 0 1 300.855 3.033 20 30 DGEDMN CC1=CC[C@](C)(C(=O)N(C)CCNCC#Cc2ccccc2)CC1 ZINC001317664999 1107586153 /nfs/dbraw/zinc/58/61/53/1107586153.db2.gz WZTBGMQVNVSLKS-NRFANRHFSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN(C)Cc2ccc(F)c(F)c2)C1 ZINC001322245038 1107591888 /nfs/dbraw/zinc/59/18/88/1107591888.db2.gz SCZNUOLAIHKZFW-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@]12C[C@H]1CCC2)c1ccc(C(C)C)cc1 ZINC001317710864 1107614584 /nfs/dbraw/zinc/61/45/84/1107614584.db2.gz CXPZAKBODABJNH-SVFBPWRDSA-N 0 1 324.468 3.380 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2c(s1)CCCCC2 ZINC001322294716 1107616971 /nfs/dbraw/zinc/61/69/71/1107616971.db2.gz VSONPBIKEGOCCS-CQSZACIVSA-N 0 1 318.486 3.090 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccoc1C1CC1)c1ccccc1 ZINC001317722564 1107623213 /nfs/dbraw/zinc/62/32/13/1107623213.db2.gz WMEHTDKXZCWHOY-SFHVURJKSA-N 0 1 322.408 3.241 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CCCC1(C)C)c1ccccc1 ZINC001317722591 1107623334 /nfs/dbraw/zinc/62/33/34/1107623334.db2.gz XCBQOHIDJCHCJN-MSOLQXFVSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2ccc(CC)s2)C1 ZINC001317762471 1107647967 /nfs/dbraw/zinc/64/79/67/1107647967.db2.gz WURRTJCCYAAIMW-OAHLLOKOSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C3CC3)no2)C1 ZINC001317767850 1107652103 /nfs/dbraw/zinc/65/21/03/1107652103.db2.gz VVOHLJPBDIABAW-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001317768013 1107652511 /nfs/dbraw/zinc/65/25/11/1107652511.db2.gz YEVXNOCABYWOQV-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccccc2Cl)C1 ZINC001317769606 1107654537 /nfs/dbraw/zinc/65/45/37/1107654537.db2.gz GOIQMYGYVQUUAC-OAHLLOKOSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@](C)(F)CCCC)C1 ZINC001317790773 1107670263 /nfs/dbraw/zinc/67/02/63/1107670263.db2.gz XVTJCVRPPCWWCG-ZBFHGGJFSA-N 0 1 318.864 3.486 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001317795060 1107672626 /nfs/dbraw/zinc/67/26/26/1107672626.db2.gz HGBIOHNPKWVSDB-WCXIOVBPSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC(CN(C)CC(=C)Cl)CC1 ZINC001317876008 1107730355 /nfs/dbraw/zinc/73/03/55/1107730355.db2.gz QNYGDSLQHKHTMS-MKMNVTDBSA-N 0 1 310.869 3.432 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCc2sccc2C)C1 ZINC001317949234 1107759683 /nfs/dbraw/zinc/75/96/83/1107759683.db2.gz GCBVMSGAUNGKIS-OAHLLOKOSA-N 0 1 306.475 3.146 20 30 DGEDMN Cc1cccc(NC[C@H]2CCCN2Cc2ccc(C#N)cc2F)n1 ZINC001322863150 1107771428 /nfs/dbraw/zinc/77/14/28/1107771428.db2.gz RPGPIFOFJLENGU-QGZVFWFLSA-N 0 1 324.403 3.477 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2ccc(C#N)c(Br)c2)[nH]n1 ZINC001262687189 1107780092 /nfs/dbraw/zinc/78/00/92/1107780092.db2.gz GOYVJOZGLHSFLI-SECBINFHSA-N 0 1 319.206 3.395 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC001318041094 1107804971 /nfs/dbraw/zinc/80/49/71/1107804971.db2.gz HDBWLHCDOXAKIF-RHSMWYFYSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)CC(F)(F)F)C1 ZINC001318076846 1107827587 /nfs/dbraw/zinc/82/75/87/1107827587.db2.gz FIKSPHCJSKGZQG-NSHDSACASA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C)CCC(C)CC1)C1CC1 ZINC001323113916 1107838814 /nfs/dbraw/zinc/83/88/14/1107838814.db2.gz OWBOSWPQHQLGPH-YAJUMTOWSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001318147936 1107859489 /nfs/dbraw/zinc/85/94/89/1107859489.db2.gz AFKBMDUJJXAXNR-UHFFFAOYSA-N 0 1 300.446 3.153 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1[C@H]1CCCc2ccc(F)cc21 ZINC001323199179 1107878741 /nfs/dbraw/zinc/87/87/41/1107878741.db2.gz OBMAYXDFSZDWFQ-AEFFLSMTSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cccc(OCCC)c2)C1 ZINC001318240242 1107913191 /nfs/dbraw/zinc/91/31/91/1107913191.db2.gz JLEPVVDPXGCSJH-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001318292613 1107932825 /nfs/dbraw/zinc/93/28/25/1107932825.db2.gz QBFVANFFYWFCAB-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001323530307 1108000244 /nfs/dbraw/zinc/00/02/44/1108000244.db2.gz KCAXCPHQMWNFOP-MRXNPFEDSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)CC1 ZINC001323568785 1108017340 /nfs/dbraw/zinc/01/73/40/1108017340.db2.gz XHWBTHIUARYORC-WBVHZDCISA-N 0 1 318.848 3.171 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)C[C@@H]1CC ZINC001263340576 1108021588 /nfs/dbraw/zinc/02/15/88/1108021588.db2.gz OIVPXFAQQPEFCI-OCCSQVGLSA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC(C2CC2)C2CC2)c1 ZINC001263472160 1108059328 /nfs/dbraw/zinc/05/93/28/1108059328.db2.gz YNWQNVMHJPBBRO-GOSISDBHSA-N 0 1 324.424 3.146 20 30 DGEDMN C=CCO[C@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC001323729656 1108068529 /nfs/dbraw/zinc/06/85/29/1108068529.db2.gz QBXOHDRLRPCMCO-INIZCTEOSA-N 0 1 309.331 3.334 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cscc1Cl ZINC001318537108 1108083669 /nfs/dbraw/zinc/08/36/69/1108083669.db2.gz KJFMMSZXTHMPCE-VIFPVBQESA-N 0 1 307.246 3.252 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1c(C)onc1CC ZINC001318555047 1108091196 /nfs/dbraw/zinc/09/11/96/1108091196.db2.gz LSOXSOMYERNEMW-AWEZNQCLSA-N 0 1 321.465 3.132 20 30 DGEDMN C#CCCCCNC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001323814193 1108095175 /nfs/dbraw/zinc/09/51/75/1108095175.db2.gz PBKFYTWVGMBOMA-LJQANCHMSA-N 0 1 312.457 3.269 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001318582613 1108108671 /nfs/dbraw/zinc/10/86/71/1108108671.db2.gz LBWDYQGIJNTTEY-HYVNUMGLSA-N 0 1 320.864 3.335 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)Cc1ccc(Cl)s1 ZINC001263616506 1108112513 /nfs/dbraw/zinc/11/25/13/1108112513.db2.gz XYVJVLMQOMUOSV-MRVPVSSYSA-N 0 1 314.197 3.207 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC[C@@H]2CCc3ccccc32)C1 ZINC001323922236 1108121947 /nfs/dbraw/zinc/12/19/47/1108121947.db2.gz VCFOXYIEEVSQCW-SFHVURJKSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2nccs2)CCCC1 ZINC001318608900 1108123486 /nfs/dbraw/zinc/12/34/86/1108123486.db2.gz ZLVGTQVQGIAJBZ-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C(C)C)nc2)C1 ZINC001323934319 1108124791 /nfs/dbraw/zinc/12/47/91/1108124791.db2.gz QXOAVMFSFWZZGJ-OAHLLOKOSA-N 0 1 315.461 3.316 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2cccs2)C1 ZINC001324016688 1108147927 /nfs/dbraw/zinc/14/79/27/1108147927.db2.gz DMLXZAZADKBENX-CQSZACIVSA-N 0 1 306.475 3.178 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CC2CCC(OC)CC2)C1 ZINC001324028823 1108151220 /nfs/dbraw/zinc/15/12/20/1108151220.db2.gz CGMOQRMTXWRJMZ-HJOIGYKYSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CC1(C)CCCCC1 ZINC001263802274 1108206310 /nfs/dbraw/zinc/20/63/10/1108206310.db2.gz MUJPKJQOHWUCGV-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc2c(c1)CCC2 ZINC001324277026 1108234870 /nfs/dbraw/zinc/23/48/70/1108234870.db2.gz NCAVOKVGWGLVTE-QGZVFWFLSA-N 0 1 318.848 3.122 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H]2CCCc3ccccc32)CC1 ZINC001324339520 1108257683 /nfs/dbraw/zinc/25/76/83/1108257683.db2.gz IAQDDEPZVRGKIA-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001324387316 1108272843 /nfs/dbraw/zinc/27/28/43/1108272843.db2.gz SHEKNTMKFLRAEN-QJPKHSJYSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(C)(C)C)C1 ZINC001263882548 1108283602 /nfs/dbraw/zinc/28/36/02/1108283602.db2.gz SFSRDNSBAJAULU-DLBZAZTESA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1nc(C)sc1C ZINC001319074569 1108304857 /nfs/dbraw/zinc/30/48/57/1108304857.db2.gz FZBFKWSEEGWVCD-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C(C)=C/CC)CC2 ZINC001319140383 1108331754 /nfs/dbraw/zinc/33/17/54/1108331754.db2.gz VKYVFQATNKRSQR-LHHJGKSTSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001264188822 1108423815 /nfs/dbraw/zinc/42/38/15/1108423815.db2.gz XRWFJSMWOXADAX-JCYILVPMSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001319405237 1108447800 /nfs/dbraw/zinc/44/78/00/1108447800.db2.gz KEWQTZXPFJXRRY-JKSUJKDBSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001319405236 1108448070 /nfs/dbraw/zinc/44/80/70/1108448070.db2.gz KEWQTZXPFJXRRY-HZPDHXFCSA-N 0 1 310.457 3.261 20 30 DGEDMN C#CCN(CC#Cc1ccc(OC(F)(F)F)cc1)CC1CC1 ZINC001319458355 1108478650 /nfs/dbraw/zinc/47/86/50/1108478650.db2.gz KYZQQHHQKQBSIG-UHFFFAOYSA-N 0 1 307.315 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc(Cl)s1 ZINC001264374440 1108486393 /nfs/dbraw/zinc/48/63/93/1108486393.db2.gz HKYOIRLEBPIYOB-GFCCVEGCSA-N 0 1 312.866 3.053 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCCc1ccc(C)cc1 ZINC001264382696 1108496614 /nfs/dbraw/zinc/49/66/14/1108496614.db2.gz NWHHRGGQDRQIPX-IBGZPJMESA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001264402272 1108509359 /nfs/dbraw/zinc/50/93/59/1108509359.db2.gz WPDDTQTZICZUPQ-GASCZTMLSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001264403065 1108509639 /nfs/dbraw/zinc/50/96/39/1108509639.db2.gz CPOIAJWRTFDYGK-VBQJREDUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001264404896 1108511107 /nfs/dbraw/zinc/51/11/07/1108511107.db2.gz PYBSQEUUUZJPEP-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@H]1CCc2ccccc21 ZINC001319550016 1108520365 /nfs/dbraw/zinc/52/03/65/1108520365.db2.gz VCAFJIOJCLVRIG-GOEBONIOSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(C)cc(C)cc1C ZINC001319579219 1108535549 /nfs/dbraw/zinc/53/55/49/1108535549.db2.gz KZMBRUYYIJGGPD-HNNXBMFYSA-N 0 1 308.853 3.414 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(CC)CCCCC2)C1 ZINC001324979326 1108547779 /nfs/dbraw/zinc/54/77/79/1108547779.db2.gz WNGJQKUBGMQWOA-SFHVURJKSA-N 0 1 304.478 3.198 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCCCC)C1 ZINC001325043699 1108566445 /nfs/dbraw/zinc/56/64/45/1108566445.db2.gz UMLYTWFGXRPUTI-AWEZNQCLSA-N 0 1 302.409 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(C)(CC)CC)C1 ZINC001325055039 1108569735 /nfs/dbraw/zinc/56/97/35/1108569735.db2.gz QVKMSNLUTUCMSS-CYBMUJFWSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CC(C)(F)F)C1CCCCC1 ZINC001319659131 1108580147 /nfs/dbraw/zinc/58/01/47/1108580147.db2.gz HFSQALAQJWANHP-CYBMUJFWSA-N 0 1 322.827 3.439 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC1(C)C)c1ccccc1CC ZINC001325361593 1108632510 /nfs/dbraw/zinc/63/25/10/1108632510.db2.gz IQTPSGIGLXIAQY-ROUUACIJSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](F)CC1CCCCC1 ZINC001264745579 1108645769 /nfs/dbraw/zinc/64/57/69/1108645769.db2.gz LJLLXPSIAMOKGA-ROUUACIJSA-N 0 1 322.468 3.241 20 30 DGEDMN CCC[C@H](C#N)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001319963977 1108718232 /nfs/dbraw/zinc/71/82/32/1108718232.db2.gz YNPHVPWTCQRPHN-MSOLQXFVSA-N 0 1 313.445 3.158 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1cc(C)no1 ZINC001265085284 1108726530 /nfs/dbraw/zinc/72/65/30/1108726530.db2.gz CWYGXEUKVGQKQT-WBVHZDCISA-N 0 1 319.449 3.199 20 30 DGEDMN CCC(=O)NCCCN(CC#Cc1ccccc1Cl)C1CC1 ZINC001265149340 1108751357 /nfs/dbraw/zinc/75/13/57/1108751357.db2.gz MKHKFLZKWPPGFP-UHFFFAOYSA-N 0 1 318.848 3.072 20 30 DGEDMN O=C(C#CC1CC1)N[C@@H]1CCN(Cc2ccc(C3CCC3)cc2)C1 ZINC001265285022 1108805998 /nfs/dbraw/zinc/80/59/98/1108805998.db2.gz YJKHDMHJDWRJFM-HXUWFJFHSA-N 0 1 322.452 3.058 20 30 DGEDMN C#CCCCC(=O)N(CCC)C1CCN(C/C=C/Cl)CC1 ZINC001265338780 1108833448 /nfs/dbraw/zinc/83/34/48/1108833448.db2.gz KQRPQWQJRPOGBX-YRNVUSSQSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2coc(CCC)n2)CC1 ZINC001265341659 1108834232 /nfs/dbraw/zinc/83/42/32/1108834232.db2.gz WFQMNABXUXMYDL-UHFFFAOYSA-N 0 1 319.449 3.130 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C)c(C)c2)CC1 ZINC001265341571 1108834487 /nfs/dbraw/zinc/83/44/87/1108834487.db2.gz UNIVUFGIVUCTBF-UHFFFAOYSA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)cc(F)c2)CC1 ZINC001265341819 1108835409 /nfs/dbraw/zinc/83/54/09/1108835409.db2.gz ZCFZJNJZQCRPBU-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCCC2CC2)C1 ZINC001265394644 1108860986 /nfs/dbraw/zinc/86/09/86/1108860986.db2.gz FZVDMQYGWFCHAB-MRXNPFEDSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCC2(C)CC2)C1 ZINC001265396808 1108861464 /nfs/dbraw/zinc/86/14/64/1108861464.db2.gz LRGXCNSUSPAITH-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN CCC/C=C\CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405087 1108864242 /nfs/dbraw/zinc/86/42/42/1108864242.db2.gz NDVPAOLDWYFTPR-QHHIFSJYSA-N 0 1 302.462 3.067 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CCCC)C(C)C)C1 ZINC001265461043 1108879659 /nfs/dbraw/zinc/87/96/59/1108879659.db2.gz HBGYAZKELINFKH-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C(C)=C/CC)C1 ZINC001265520721 1108898083 /nfs/dbraw/zinc/89/80/83/1108898083.db2.gz JCJGVWRVBHCWBB-JQURRVOSSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001265541525 1108904200 /nfs/dbraw/zinc/90/42/00/1108904200.db2.gz GIVMPEZLARITQO-BETUJISGSA-N 0 1 322.399 3.304 20 30 DGEDMN CC1(CC(=O)NC[C@@H]2CCCN(CC#Cc3ccccc3)C2)CC1 ZINC001265586752 1108922080 /nfs/dbraw/zinc/92/20/80/1108922080.db2.gz NBNZZMAMOCIMMH-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CCF ZINC001265696419 1108970865 /nfs/dbraw/zinc/97/08/65/1108970865.db2.gz HTMKJERVWTWXFP-ZWKOTPCHSA-N 0 1 322.468 3.385 20 30 DGEDMN CCCCC1(C(=O)NC[C@H](C)NCC#Cc2ccccc2)CC1 ZINC001265821141 1109015110 /nfs/dbraw/zinc/01/51/10/1109015110.db2.gz MXXMPQPUWJASPP-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001265821654 1109016339 /nfs/dbraw/zinc/01/63/39/1109016339.db2.gz XQYAICOSZSJVKN-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1ccc(C)cc1Cl ZINC001265834297 1109020261 /nfs/dbraw/zinc/02/02/61/1109020261.db2.gz JDMYANYKMAAHPF-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001265835501 1109020393 /nfs/dbraw/zinc/02/03/93/1109020393.db2.gz PDBZHKQZGGMZMC-RDJZCZTQSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001265835039 1109020525 /nfs/dbraw/zinc/02/05/25/1109020525.db2.gz GIKVGKDKVSVVIY-GHJWDPDVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)c1cccc(OC)c1 ZINC001265873587 1109033050 /nfs/dbraw/zinc/03/30/50/1109033050.db2.gz XCYFGGCWHANEIN-GXTWGEPZSA-N 0 1 324.852 3.036 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001265923493 1109043059 /nfs/dbraw/zinc/04/30/59/1109043059.db2.gz QRJVPVWCERVYMB-ZDUSSCGKSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001266059808 1109075654 /nfs/dbraw/zinc/07/56/54/1109075654.db2.gz NAODTHABUPBSNZ-CVEARBPZSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2cnoc2C)CC1 ZINC001266080781 1109082612 /nfs/dbraw/zinc/08/26/12/1109082612.db2.gz UCMYVHFVHITDSI-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1occc1Cl ZINC001266080043 1109083197 /nfs/dbraw/zinc/08/31/97/1109083197.db2.gz BTTRHELBZQJPSQ-UHFFFAOYSA-N 0 1 305.205 3.127 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001266103736 1109091541 /nfs/dbraw/zinc/09/15/41/1109091541.db2.gz WXIMHSUNQUAGDP-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001266103781 1109092065 /nfs/dbraw/zinc/09/20/65/1109092065.db2.gz XOZVKBUKPNPDOZ-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001280184442 1109179239 /nfs/dbraw/zinc/17/92/39/1109179239.db2.gz FXCMWHVJEXELQG-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)/C=C/C(C)(C)C ZINC001280324239 1109185653 /nfs/dbraw/zinc/18/56/53/1109185653.db2.gz CEGXPFCXMIJGCR-OUKQBFOZSA-N 0 1 312.457 3.360 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@]1(C)CCC[C@H]1C ZINC001280334552 1109187065 /nfs/dbraw/zinc/18/70/65/1109187065.db2.gz ZUMSVGLKSRUXLP-OXQOHEQNSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001280333922 1109187116 /nfs/dbraw/zinc/18/71/16/1109187116.db2.gz QZWGRAUXWGJYDJ-RTBURBONSA-N 0 1 312.457 3.050 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCCC[C@@H]1C ZINC001280333827 1109187204 /nfs/dbraw/zinc/18/72/04/1109187204.db2.gz OARZMYUYVFYJDK-QFBILLFUSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CCCC(C)C)C2)CC1 ZINC001280392175 1109197489 /nfs/dbraw/zinc/19/74/89/1109197489.db2.gz RQSZXWKREUFNPX-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)c1ccc(F)cc1 ZINC001316604164 1109221930 /nfs/dbraw/zinc/22/19/30/1109221930.db2.gz FRBTUGGVVIKERY-KRWDZBQOSA-N 0 1 318.436 3.212 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC3CCC2CC3)CCC1 ZINC001280544808 1109222297 /nfs/dbraw/zinc/22/22/97/1109222297.db2.gz GGCKWCHDCDWRGR-YMAMQOFZSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1cncs1 ZINC001280855563 1109263903 /nfs/dbraw/zinc/26/39/03/1109263903.db2.gz DLZBGDRGKMLITJ-MRXNPFEDSA-N 0 1 309.479 3.026 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(CC(C)C)CCC2)C[C@@H]1C ZINC001281426660 1109350236 /nfs/dbraw/zinc/35/02/36/1109350236.db2.gz APZZAXLGEUMYQU-DZGCQCFKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)Cc1ccco1 ZINC001281778013 1109408111 /nfs/dbraw/zinc/40/81/11/1109408111.db2.gz XEZXXYHLFNLDEV-IBGZPJMESA-N 0 1 324.424 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)CCC(F)(F)F ZINC001281858969 1109411740 /nfs/dbraw/zinc/41/17/40/1109411740.db2.gz ALYJPTKKMRELDS-NSHDSACASA-N 0 1 312.763 3.052 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc([C@H](C)CC)cc1 ZINC001281967012 1109424583 /nfs/dbraw/zinc/42/45/83/1109424583.db2.gz DLDFWIHNDVTFFV-VQIMIIECSA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001282466338 1109517696 /nfs/dbraw/zinc/51/76/96/1109517696.db2.gz CGWBMSNKUWOGDW-AEFFLSMTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1conc1C ZINC001282666912 1109548143 /nfs/dbraw/zinc/54/81/43/1109548143.db2.gz UNQHOOIBOXPGJR-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC[C@@H](F)CN1CCCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001282668404 1109548497 /nfs/dbraw/zinc/54/84/97/1109548497.db2.gz XOQRKLXXUFAJBL-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN CC[C@H](F)CN1CCCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001282668403 1109548752 /nfs/dbraw/zinc/54/87/52/1109548752.db2.gz XOQRKLXXUFAJBL-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](CC)Cc2ccccc2)C(C)(C)C1 ZINC001282820198 1109569878 /nfs/dbraw/zinc/56/98/78/1109569878.db2.gz KIZZFXPVZDLFQI-MSOLQXFVSA-N 0 1 314.473 3.268 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(C)CCCCCC3)[C@@H]2C1 ZINC001282862935 1109575869 /nfs/dbraw/zinc/57/58/69/1109575869.db2.gz YPAXMGCJJFGKBI-PHZGNYQRSA-N 0 1 324.896 3.393 20 30 DGEDMN CCCCC(=O)N1CC2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC001282953490 1109583699 /nfs/dbraw/zinc/58/36/99/1109583699.db2.gz IFECXJUUFWPZPV-UHFFFAOYSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccoc1CCC ZINC001283551991 1109651376 /nfs/dbraw/zinc/65/13/76/1109651376.db2.gz AGGGHIRITSUMFO-HNNXBMFYSA-N 0 1 324.852 3.425 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1ccc(Cl)cc1F ZINC001283607593 1109659748 /nfs/dbraw/zinc/65/97/48/1109659748.db2.gz GOYGNUYHWNOJKD-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCCC(F)(F)C1 ZINC001283677617 1109672340 /nfs/dbraw/zinc/67/23/40/1109672340.db2.gz JJRPXDOTWPQKNU-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)[C@H]1C ZINC001284122231 1109740933 /nfs/dbraw/zinc/74/09/33/1109740933.db2.gz SBXHGXPRPFKFQL-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cnccc2C)[C@@H]1C ZINC001284132274 1109744891 /nfs/dbraw/zinc/74/48/91/1109744891.db2.gz VMCGCROTHWPNIK-NVXWUHKLSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C1CCC1)C(C)(C)C ZINC001284602232 1109822658 /nfs/dbraw/zinc/82/26/58/1109822658.db2.gz BQVMGKOTSHOCMB-JSGCOSHPSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C(C)(F)F)CC1)C(C)(C)C ZINC001284629762 1109828179 /nfs/dbraw/zinc/82/81/79/1109828179.db2.gz GKBDFAJYMMOHDQ-LLVKDONJSA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCC1(C(=O)N2CCN[C@H](Cc3ccccc3)C2)CCCC1 ZINC001302184736 1111109249 /nfs/dbraw/zinc/10/92/49/1111109249.db2.gz SXLIBGFLCYXGLQ-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C[C@H](NC(=O)c1ccc(CN2CCCC2)o1)c1cccc(C#N)c1 ZINC001303621779 1111148546 /nfs/dbraw/zinc/14/85/46/1111148546.db2.gz CNFDEWPRXNSEKK-AWEZNQCLSA-N 0 1 323.396 3.238 20 30 DGEDMN N#CC1(CC(=O)Nc2ccc3nc(-c4ccncc4)[nH]c3c2)CC1 ZINC001306893479 1111247969 /nfs/dbraw/zinc/24/79/69/1111247969.db2.gz MNSUZPWRAOWTGB-UHFFFAOYSA-N 0 1 317.352 3.257 20 30 DGEDMN Cc1cc(N[C@H]2CCN(C)[C@H]2c2ccc(F)cc2)ncc1C#N ZINC001307073546 1111262318 /nfs/dbraw/zinc/26/23/18/1111262318.db2.gz XDVOLTXKJZMQER-WMZOPIPTSA-N 0 1 310.376 3.258 20 30 DGEDMN CCC#C[C@@H](C)N(C)C[C@H](O)c1ccccc1Br ZINC001307841595 1111345592 /nfs/dbraw/zinc/34/55/92/1111345592.db2.gz DNVADZMOSXDLEE-DOMZBBRYSA-N 0 1 310.235 3.216 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC001307914045 1111355294 /nfs/dbraw/zinc/35/52/94/1111355294.db2.gz BKJODBOXBNBKGG-KBPBESRZSA-N 0 1 317.389 3.210 20 30 DGEDMN C#Cc1ccc(CNCc2ccnn2-c2ccc(F)cc2)cc1 ZINC001308409691 1111425594 /nfs/dbraw/zinc/42/55/94/1111425594.db2.gz FDBFBZOSRYKGKH-UHFFFAOYSA-N 0 1 305.356 3.283 20 30 DGEDMN C#CCOc1ccc(CNCc2ccnc(C(F)(F)F)c2)cc1 ZINC001308411035 1111426680 /nfs/dbraw/zinc/42/66/80/1111426680.db2.gz YVHHZDFKDFRDHY-UHFFFAOYSA-N 0 1 320.314 3.402 20 30 DGEDMN CCCCCC(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001317518743 1111629284 /nfs/dbraw/zinc/62/92/84/1111629284.db2.gz SRPMKIUVZGMBTO-IBGZPJMESA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(CC)CNC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC001312855784 1111677221 /nfs/dbraw/zinc/67/72/21/1111677221.db2.gz BHIIQQJOHMNZSQ-GOSISDBHSA-N 0 1 315.461 3.259 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1C[C@H](C)N(CC)C[C@@H]1C ZINC001313002113 1111687101 /nfs/dbraw/zinc/68/71/01/1111687101.db2.gz QBLNKDJMSSGRTB-NXOAAHMSSA-N 0 1 307.482 3.245 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(CC(C)C)CC2)CCCC1 ZINC001313003937 1111687301 /nfs/dbraw/zinc/68/73/01/1111687301.db2.gz MXZAMBPTOZBDNA-UHFFFAOYSA-N 0 1 321.509 3.496 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1csc(C)c1C ZINC001329051531 1111741978 /nfs/dbraw/zinc/74/19/78/1111741978.db2.gz XSHSZOZNKACOHN-MNOVXSKESA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001316739234 1111759127 /nfs/dbraw/zinc/75/91/27/1111759127.db2.gz RDHDHNBZWSULGZ-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001316788263 1111766782 /nfs/dbraw/zinc/76/67/82/1111766782.db2.gz PTNPUODPBBAQAI-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2cc(F)ccc2Cl)cc1 ZINC000103057776 432002394 /nfs/dbraw/zinc/00/23/94/432002394.db2.gz RPTHFSFVCWYBJS-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc3cccnc32)ccc1C#N ZINC000324347562 231222750 /nfs/dbraw/zinc/22/27/50/231222750.db2.gz DEZUCEQCQMEIMD-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cnc3ccccc3c2)ccc1C#N ZINC000324145773 231223903 /nfs/dbraw/zinc/22/39/03/231223903.db2.gz YXJXTBGAZUDQPF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]1C1CCC1 ZINC000328933198 231392828 /nfs/dbraw/zinc/39/28/28/231392828.db2.gz POBXATNSMWEFCV-MRXNPFEDSA-N 0 1 317.820 3.415 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCCC[C@H]3C2)n[nH]1 ZINC000328904696 529745966 /nfs/dbraw/zinc/74/59/66/529745966.db2.gz AHLWSEXZBYIZCM-XDQVBPFNSA-N 0 1 319.453 3.291 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](C(C)C)c3ccccc32)CC1 ZINC000278183210 214010839 /nfs/dbraw/zinc/01/08/39/214010839.db2.gz BLEDKQSPNHTEFF-GOSISDBHSA-N 0 1 310.441 3.118 20 30 DGEDMN Cc1csc([C@@H](NCCOc2ccc(C#N)cc2)C2CC2)n1 ZINC000181622845 199328412 /nfs/dbraw/zinc/32/84/12/199328412.db2.gz AOHGGRZECKKUEZ-INIZCTEOSA-N 0 1 313.426 3.443 20 30 DGEDMN CN(CC#Cc1cccc(Cl)c1)C[C@H](O)Cc1ccccc1 ZINC000433062142 529823189 /nfs/dbraw/zinc/82/31/89/529823189.db2.gz NFVMITDWHOFIHL-LJQANCHMSA-N 0 1 313.828 3.227 20 30 DGEDMN COc1ccc(CNCc2ccco2)cc1OCCCC#N ZINC000064613375 432009110 /nfs/dbraw/zinc/00/91/10/432009110.db2.gz BCPMLCSLOLGMAT-UHFFFAOYSA-N 0 1 300.358 3.261 20 30 DGEDMN CN(CCOc1ccc(C#N)cc1)Cc1cc(F)cc(F)c1 ZINC000444701537 529924865 /nfs/dbraw/zinc/92/48/65/529924865.db2.gz HBJADFGTVXXLKD-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN N#Cc1c(CN2CC[C@H](Nc3ccccc3)C2)cn2ccccc12 ZINC000103480975 432010909 /nfs/dbraw/zinc/01/09/09/432010909.db2.gz LVOQLQHIDKIKCY-SFHVURJKSA-N 0 1 316.408 3.497 20 30 DGEDMN N#CCC[C@@H](C#N)CSc1nc(-c2ccccc2F)n[nH]1 ZINC000066399121 432015669 /nfs/dbraw/zinc/01/56/69/432015669.db2.gz ZPVIHLDZZIASRT-JTQLQIEISA-N 0 1 301.350 3.146 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000066913324 432024358 /nfs/dbraw/zinc/02/43/58/432024358.db2.gz DEYVWGXHBCTQEG-LBPRGKRZSA-N 0 1 300.362 3.349 20 30 DGEDMN N#Cc1cccc(C2(NCc3ccc(N4CCCC4)nc3)CC2)c1 ZINC000269590285 186392758 /nfs/dbraw/zinc/39/27/58/186392758.db2.gz DCKDTBJWWDOMFJ-UHFFFAOYSA-N 0 1 318.424 3.332 20 30 DGEDMN CC(C)C1CCN(Cc2nnc(-c3ccc(C#N)cc3)o2)CC1 ZINC000173858599 198261129 /nfs/dbraw/zinc/26/11/29/198261129.db2.gz HVPCJUOQYDMXTP-UHFFFAOYSA-N 0 1 310.401 3.476 20 30 DGEDMN COC(=O)CC[C@@H](NCc1ccc(C#N)s1)c1ccccc1 ZINC000176965287 198643943 /nfs/dbraw/zinc/64/39/43/198643943.db2.gz VUUCQJOQVICEEF-MRXNPFEDSA-N 0 1 314.410 3.404 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN[C@@H](CC(N)=O)C1CCCCC1 ZINC000187491485 283094231 /nfs/dbraw/zinc/09/42/31/283094231.db2.gz SKBYFVCPFFZABI-INIZCTEOSA-N 0 1 319.836 3.126 20 30 DGEDMN CCOCC(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000068080796 432049559 /nfs/dbraw/zinc/04/95/59/432049559.db2.gz BUMYTLNHLZLGGA-UHFFFAOYSA-N 0 1 302.378 3.394 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC000276844883 213128071 /nfs/dbraw/zinc/12/80/71/213128071.db2.gz CSDYIWPZBCNMCP-WGVUZWOWSA-N 0 1 323.400 3.080 20 30 DGEDMN CC(C)(C)Oc1ccc(CNCc2ccc(C#N)s2)cn1 ZINC000180881155 199222684 /nfs/dbraw/zinc/22/26/84/199222684.db2.gz QCJSCVVMFZVQHK-UHFFFAOYSA-N 0 1 301.415 3.482 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@H]2CCO)c(Br)c1 ZINC000181082540 199249961 /nfs/dbraw/zinc/24/99/61/199249961.db2.gz FTABPDALJRTQCG-AWEZNQCLSA-N 0 1 323.234 3.058 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@@H]2CCO)c(Br)c1 ZINC000181082519 199250044 /nfs/dbraw/zinc/25/00/44/199250044.db2.gz FTABPDALJRTQCG-CQSZACIVSA-N 0 1 323.234 3.058 20 30 DGEDMN C[C@@H](O)[C@H]1CCCCN1Cc1ccc(C#N)cc1Br ZINC000181103841 199252519 /nfs/dbraw/zinc/25/25/19/199252519.db2.gz UJASJXHRFWUHSQ-IAQYHMDHSA-N 0 1 323.234 3.056 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc(C#N)s3)[nH]c21 ZINC000429605295 238056745 /nfs/dbraw/zinc/05/67/45/238056745.db2.gz PRTVYUXFFNEPAC-LLVKDONJSA-N 0 1 306.350 3.295 20 30 DGEDMN CCCO[C@H]1CCC[N@@H+](Cc2ccc(OC)c(C#N)c2)CC1 ZINC000135063819 432095878 /nfs/dbraw/zinc/09/58/78/432095878.db2.gz GKGFPJITKSJRMY-KRWDZBQOSA-N 0 1 302.418 3.348 20 30 DGEDMN N#Cc1cccc(N2CCN(Cc3cccc4ccoc43)CC2)n1 ZINC000449245029 240088988 /nfs/dbraw/zinc/08/89/88/240088988.db2.gz XWASKUXFFCQNMG-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN C=CCC[C@H](NC(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1)c1ccccc1 ZINC000454691574 242011491 /nfs/dbraw/zinc/01/14/91/242011491.db2.gz JYNVBIMKEGZYAH-JZXOWHBKSA-N 0 1 315.461 3.428 20 30 DGEDMN C=CCC[C@H](NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)c1ccccc1 ZINC000454691574 242011494 /nfs/dbraw/zinc/01/14/94/242011494.db2.gz JYNVBIMKEGZYAH-JZXOWHBKSA-N 0 1 315.461 3.428 20 30 DGEDMN N#Cc1ccc([C@@H](O)C[N@@H+](CCC(F)(F)F)CC2CC2)cc1 ZINC000268646806 432114199 /nfs/dbraw/zinc/11/41/99/432114199.db2.gz FRBJKFIEVBKZLO-HNNXBMFYSA-N 0 1 312.335 3.256 20 30 DGEDMN N#Cc1cccc(C2(NCC(=O)N(C3CC3)C3CCCC3)CC2)c1 ZINC000271752979 209182340 /nfs/dbraw/zinc/18/23/40/209182340.db2.gz GECAVDQJHJVFAT-UHFFFAOYSA-N 0 1 323.440 3.071 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000271749285 209181072 /nfs/dbraw/zinc/18/10/72/209181072.db2.gz KHCVIKYZQFYLAY-CYBMUJFWSA-N 0 1 324.371 3.139 20 30 DGEDMN COCC[C@@H](NCc1c(C#N)cccc1[N+](=O)[O-])C(C)(C)C ZINC000459442504 243372839 /nfs/dbraw/zinc/37/28/39/243372839.db2.gz PDHPRMICHWDWLW-OAHLLOKOSA-N 0 1 305.378 3.007 20 30 DGEDMN C=CCC1(C(=O)N2CCCN(Cc3ccccc3)CC2)CCC1 ZINC000191577019 335001424 /nfs/dbraw/zinc/00/14/24/335001424.db2.gz MDIMAKSOTBMSTB-UHFFFAOYSA-N 0 1 312.457 3.467 20 30 DGEDMN Cn1cc(CN2C[C@]3(C[C@@H]4CC[C@H]3C4)OC(C)(C)C2)cc1C#N ZINC000282495256 217039131 /nfs/dbraw/zinc/03/91/31/217039131.db2.gz XTQRKZKQDIXWJG-ALKREAHSSA-N 0 1 313.445 3.066 20 30 DGEDMN C[C@H](NCc1cc(F)cc2c1OCOC2)c1ccc(C#N)cc1 ZINC000266478114 205375711 /nfs/dbraw/zinc/37/57/11/205375711.db2.gz PIJQCCAXBUYRGP-LBPRGKRZSA-N 0 1 312.344 3.415 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@H](NCC1(C#N)CC1)C1CCCCC1 ZINC000495066963 246230144 /nfs/dbraw/zinc/23/01/44/246230144.db2.gz NEBKQVHFVUHKTD-HNNXBMFYSA-N 0 1 321.465 3.353 20 30 DGEDMN C[C@H](NCc1ccnc(OC(F)F)c1)c1ccc(C#N)cc1 ZINC000284425101 218022411 /nfs/dbraw/zinc/02/24/11/218022411.db2.gz OJCQMDDDFURNLR-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc2c(c1)CC(=O)N2C ZINC000268928624 207095050 /nfs/dbraw/zinc/09/50/50/207095050.db2.gz YQEBKTDEGIHSCR-LBPRGKRZSA-N 0 1 323.371 3.067 20 30 DGEDMN CCN(Cc1cc(C#N)cs1)Cc1ccc2c(c1)OCO2 ZINC000120038714 195085298 /nfs/dbraw/zinc/08/52/98/195085298.db2.gz IQAUXRACWCETKK-UHFFFAOYSA-N 0 1 300.383 3.371 20 30 DGEDMN CSc1ccccc1[C@H](C)N[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000336969785 533061423 /nfs/dbraw/zinc/06/14/23/533061423.db2.gz NZKWFOJQQJQKKM-STQMWFEESA-N 0 1 317.458 3.010 20 30 DGEDMN COCC1(C#N)CCN(C[C@H](OC)c2ccc(Cl)cc2)CC1 ZINC000341985861 533111609 /nfs/dbraw/zinc/11/16/09/533111609.db2.gz XTUKTKSUJNXKNI-INIZCTEOSA-N 0 1 322.836 3.280 20 30 DGEDMN N#Cc1ccc(SCC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC000343421206 254141212 /nfs/dbraw/zinc/14/12/12/254141212.db2.gz ZWHDINXZXROMBF-UHFFFAOYSA-N 0 1 308.366 3.165 20 30 DGEDMN C[C@@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C#N)c1 ZINC000344095549 254224535 /nfs/dbraw/zinc/22/45/35/254224535.db2.gz GLAXUHYXLNRGLN-DNVCBOLYSA-N 0 1 321.424 3.428 20 30 DGEDMN C[C@H]1CN(Cc2nnc(-c3cccc(C#N)c3)o2)CC(C)(C)C1 ZINC000344096871 254224854 /nfs/dbraw/zinc/22/48/54/254224854.db2.gz XEABVQMGURORPX-CYBMUJFWSA-N 0 1 310.401 3.476 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CC[C@@H](C(C)(C)C)C2)c(C#N)c1C ZINC000344183461 254236346 /nfs/dbraw/zinc/23/63/46/254236346.db2.gz ARAKUYFXGJJQOD-GXTWGEPZSA-N 0 1 317.433 3.463 20 30 DGEDMN CCC1(CO)CCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000344015693 254213499 /nfs/dbraw/zinc/21/34/99/254213499.db2.gz RMFGOSAAZWWMFT-UHFFFAOYSA-N 0 1 324.371 3.144 20 30 DGEDMN C#CCN(CCc1nc2ccccc2c(=O)[nH]1)Cc1ccccc1 ZINC000491127249 533133545 /nfs/dbraw/zinc/13/35/45/533133545.db2.gz VSCUSCQRVPRTSZ-UHFFFAOYSA-N 0 1 317.392 3.013 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000345765695 254395727 /nfs/dbraw/zinc/39/57/27/254395727.db2.gz KIIUASCBODBQFR-OKILXGFUSA-N 0 1 314.433 3.191 20 30 DGEDMN CCO[C@@H]1C[C@@H](N(C)Cc2cc(C#N)ccc2F)C12CCC2 ZINC000120840029 195247991 /nfs/dbraw/zinc/24/79/91/195247991.db2.gz OHOLYRGHBOUNEY-IAGOWNOFSA-N 0 1 302.393 3.477 20 30 DGEDMN COc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c(Cl)c1 ZINC000435335595 533223586 /nfs/dbraw/zinc/22/35/86/533223586.db2.gz JHFWDFJTEHYZLU-TWGQIWQCSA-N 0 1 315.760 3.173 20 30 DGEDMN COc1ccc2c(c1)C(=O)/C(=C\c1cc(F)c(O)c(F)c1)CO2 ZINC000175323800 533239454 /nfs/dbraw/zinc/23/94/54/533239454.db2.gz KBXIAAKOGSQGFR-WMZJFQQLSA-N 0 1 318.275 3.338 20 30 DGEDMN COc1ccc2oc(C=C(C)c3nc(C)c(C#N)c(=O)[nH]3)cc2c1 ZINC000435337407 533353379 /nfs/dbraw/zinc/35/33/79/533353379.db2.gz VTNMXUAESVZSKS-POHAHGRESA-N 0 1 321.336 3.265 20 30 DGEDMN C=C[C@@H](CO)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289857695 335066547 /nfs/dbraw/zinc/06/65/47/335066547.db2.gz QUHOLQGLASUGES-WFASDCNBSA-N 0 1 302.443 3.240 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1CCCN(c2ccccc2Cl)C1 ZINC000581408223 400079647 /nfs/dbraw/zinc/07/96/47/400079647.db2.gz LNLOSQYXHKCGEL-LSDHHAIUSA-N 0 1 316.836 3.342 20 30 DGEDMN Cc1cc(C[C@@H](C)NC[C@H](C#N)CCC#N)n(-c2ccccc2)n1 ZINC000581721344 400082347 /nfs/dbraw/zinc/08/23/47/400082347.db2.gz QKCBOTUGYXNSJQ-WBVHZDCISA-N 0 1 321.428 3.145 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC(C)CC1 ZINC000008318449 400123656 /nfs/dbraw/zinc/12/36/56/400123656.db2.gz PJMXCXHEXXKASX-LBPRGKRZSA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@H](CN(C)CC(=O)Nc1cccc(C#N)c1)c1ccccc1 ZINC000066854479 400126437 /nfs/dbraw/zinc/12/64/37/400126437.db2.gz BACLMEJMAUWNEP-OAHLLOKOSA-N 0 1 307.397 3.232 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@@H](c2ccc(C)cc2)C2CC2)CC1 ZINC000600682983 400130004 /nfs/dbraw/zinc/13/00/04/400130004.db2.gz FQYGVEGBONQVKC-FQEVSTJZSA-N 0 1 312.457 3.460 20 30 DGEDMN N#Cc1cc(F)c(N[C@@H]2CCN(C3CC3)C2)cc1Br ZINC000311463230 400134780 /nfs/dbraw/zinc/13/47/80/400134780.db2.gz GRKZGLILJIJJTQ-SNVBAGLBSA-N 0 1 324.197 3.108 20 30 DGEDMN Cc1ccc2nc(CN[C@H]3CCc4cc(C#N)ccc43)cn2c1 ZINC000585742959 400136968 /nfs/dbraw/zinc/13/69/68/400136968.db2.gz JBRBKRIIHTVDNZ-SFHVURJKSA-N 0 1 302.381 3.292 20 30 DGEDMN Cc1nc2ccc(NC(=O)CCc3ccc(C#N)cc3)cc2[nH]1 ZINC000010896767 400137340 /nfs/dbraw/zinc/13/73/40/400137340.db2.gz OQWVGHCGDAQGII-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000093281210 400235405 /nfs/dbraw/zinc/23/54/05/400235405.db2.gz AAQGUZGNNBWXCM-HNNXBMFYSA-N 0 1 318.392 3.109 20 30 DGEDMN CC(C)N(C(=O)[C@H](C)N[C@H](C)c1cccc(C#N)c1)C(C)C ZINC000610346335 400245814 /nfs/dbraw/zinc/24/58/14/400245814.db2.gz UNFQVEOVPFWLET-CABCVRRESA-N 0 1 301.434 3.243 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1CCc2ccccc21 ZINC000610345868 400246076 /nfs/dbraw/zinc/24/60/76/400246076.db2.gz ATSOQWVIXGQZMG-LSDHHAIUSA-N 0 1 319.408 3.187 20 30 DGEDMN CN(Cc1ccc(C#N)cc1C(F)(F)F)CC1=CCCOC1 ZINC000595748004 400248587 /nfs/dbraw/zinc/24/85/87/400248587.db2.gz SKLUYXMIHFNKDF-UHFFFAOYSA-N 0 1 310.319 3.356 20 30 DGEDMN C[C@H](CNC(=O)CCCCCC#N)N1CCc2ccccc2C1 ZINC000588149844 400192277 /nfs/dbraw/zinc/19/22/77/400192277.db2.gz XZDCPFRMEYOQFF-MRXNPFEDSA-N 0 1 313.445 3.023 20 30 DGEDMN C[C@H](C#N)Sc1nc(-c2ccc(Br)cc2)n[nH]1 ZINC000021261703 400193510 /nfs/dbraw/zinc/19/35/10/400193510.db2.gz NBKPLRCOJPUHFV-SSDOTTSWSA-N 0 1 309.192 3.238 20 30 DGEDMN CCN(CCCC1(C#N)CCOCC1)[C@@H](C)C(=O)OC(C)(C)C ZINC000595606068 400201425 /nfs/dbraw/zinc/20/14/25/400201425.db2.gz NCLUXDRWVNHJAG-HNNXBMFYSA-N 0 1 324.465 3.139 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3cc(C#N)ccc3F)CC2)c1 ZINC000022920476 400206188 /nfs/dbraw/zinc/20/61/88/400206188.db2.gz IZEIZQSUCDFJCD-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)[C@@H](C)N1CCC[C@@H](CC#N)C1 ZINC000595619206 400209778 /nfs/dbraw/zinc/20/97/78/400209778.db2.gz VEJAWGILGZMVQZ-AEFFLSMTSA-N 0 1 313.445 3.049 20 30 DGEDMN CN(C(=O)CNC(C)(C)c1cccc(C#N)c1)c1ccccc1 ZINC000595634822 400214006 /nfs/dbraw/zinc/21/40/06/400214006.db2.gz FAKKPRMRKXNPIH-UHFFFAOYSA-N 0 1 307.397 3.046 20 30 DGEDMN CC(C)N(C(=O)CNC(C)(C)c1cccc(C#N)c1)C(C)C ZINC000595643206 400216172 /nfs/dbraw/zinc/21/61/72/400216172.db2.gz VONBLUJWZJQSPP-UHFFFAOYSA-N 0 1 301.434 3.028 20 30 DGEDMN CN(C(=O)CNC(C)(C)c1cccc(C#N)c1)C1CCCCC1 ZINC000595641168 400216197 /nfs/dbraw/zinc/21/61/97/400216197.db2.gz CWLPPFTUMZECND-UHFFFAOYSA-N 0 1 313.445 3.174 20 30 DGEDMN C[C@H]1CCC[C@H](C)N1C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595643322 400216354 /nfs/dbraw/zinc/21/63/54/400216354.db2.gz XMIUCGWAMRZUSV-GJZGRUSLSA-N 0 1 313.445 3.172 20 30 DGEDMN N#Cc1ccc([C@@H](C(N)=O)N2CCCC3(CCCC3)CC2)cc1 ZINC000595643756 400216636 /nfs/dbraw/zinc/21/66/36/400216636.db2.gz ZJDKJQOCNZWRMY-KRWDZBQOSA-N 0 1 311.429 3.131 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@H](C#N)C2CCCCC2)o1 ZINC000610502052 400265625 /nfs/dbraw/zinc/26/56/25/400265625.db2.gz KSESOSZHTZHHDT-MRXNPFEDSA-N 0 1 317.433 3.324 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)nc1 ZINC000595827666 400273140 /nfs/dbraw/zinc/27/31/40/400273140.db2.gz FBRUOXBKUOLXAI-UHFFFAOYSA-N 0 1 319.408 3.313 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cc(O)cc(C#N)c2)cc1C#N ZINC000589192460 400273630 /nfs/dbraw/zinc/27/36/30/400273630.db2.gz BEJRNRFBZSWLRX-UHFFFAOYSA-N 0 1 321.336 3.175 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2nc3ccc(Br)cc3[nH]2)C1 ZINC000595842342 400279181 /nfs/dbraw/zinc/27/91/81/400279181.db2.gz DNQRAUQEDJNXHJ-CQSZACIVSA-N 0 1 319.206 3.061 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC000595844914 400279671 /nfs/dbraw/zinc/27/96/71/400279671.db2.gz HNUUOOCFMGBIEW-OKILXGFUSA-N 0 1 315.373 3.025 20 30 DGEDMN CC1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000595856346 400282618 /nfs/dbraw/zinc/28/26/18/400282618.db2.gz BBXSICSTAUMAES-PHTAMDTFSA-N 0 1 317.820 3.413 20 30 DGEDMN CC1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000595856346 400282620 /nfs/dbraw/zinc/28/26/20/400282620.db2.gz BBXSICSTAUMAES-PHTAMDTFSA-N 0 1 317.820 3.413 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CC3CC2(C)C3)c1 ZINC000595915046 400293931 /nfs/dbraw/zinc/29/39/31/400293931.db2.gz IGSUINAJGAJDQA-UHFFFAOYSA-N 0 1 311.429 3.034 20 30 DGEDMN N#Cc1ccc(CN2CCC([C@H]3CCCCO3)CC2)cc1F ZINC000595781692 400257872 /nfs/dbraw/zinc/25/78/72/400257872.db2.gz FWGNLXVEVKUYRM-GOSISDBHSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1ncc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C#N)c2)s1 ZINC000601930850 400378934 /nfs/dbraw/zinc/37/89/34/400378934.db2.gz AGOYHKLSFAAUTH-WDEREUQCSA-N 0 1 314.414 3.001 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)cc2C)c(=O)c1CCC#N ZINC000601937179 400380468 /nfs/dbraw/zinc/38/04/68/400380468.db2.gz CFZKFBHHEDCQSG-LBPRGKRZSA-N 0 1 320.190 3.400 20 30 DGEDMN N#Cc1c(CN2CCC[C@H](C[C@H]3CCOC3)C2)cn2ccccc12 ZINC000611350223 400382926 /nfs/dbraw/zinc/38/29/26/400382926.db2.gz FPTOVRGGPDYMDC-IAGOWNOFSA-N 0 1 323.440 3.450 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)cc2C(F)(F)F)c(=O)c1C1CC1 ZINC000601948893 400385299 /nfs/dbraw/zinc/38/52/99/400385299.db2.gz NGIHNLREQAXFEZ-CYBMUJFWSA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1cc(C)cc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c1 ZINC000611386026 400389307 /nfs/dbraw/zinc/38/93/07/400389307.db2.gz AQKREZXPGYCCFL-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@H]1CCCC[C@H]1C)c1cccc(C#N)c1 ZINC000611387211 400389625 /nfs/dbraw/zinc/38/96/25/400389625.db2.gz HJOYKJCFRJWPNN-VKJFTORMSA-N 0 1 313.445 3.246 20 30 DGEDMN CCc1oc(C(=O)OC)cc1CN[C@H](C)c1cccc(C#N)c1 ZINC000611388598 400389648 /nfs/dbraw/zinc/38/96/48/400389648.db2.gz KROSZQYFEAYHIX-GFCCVEGCSA-N 0 1 312.369 3.351 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)cc1F ZINC000611387979 400390136 /nfs/dbraw/zinc/39/01/36/400390136.db2.gz JCRVCCXLFKAODG-ZDUSSCGKSA-N 0 1 311.360 3.295 20 30 DGEDMN C[C@H](NCC(=O)NCCC1CCCCC1)c1cccc(C#N)c1 ZINC000611387959 400390599 /nfs/dbraw/zinc/39/05/99/400390599.db2.gz IZQDOJYLOLBENW-HNNXBMFYSA-N 0 1 313.445 3.295 20 30 DGEDMN CC[C@H](CC#N)NCCC(=O)Nc1cccc(C(F)(F)F)c1 ZINC000578639695 400330492 /nfs/dbraw/zinc/33/04/92/400330492.db2.gz DZFLWGQVKGHPSE-GFCCVEGCSA-N 0 1 313.323 3.316 20 30 DGEDMN COc1cccc(C2CCN(Cc3ccnc(C#N)c3)CC2)c1 ZINC000610992144 400334692 /nfs/dbraw/zinc/33/46/92/400334692.db2.gz DFNIPIYHCFAZHA-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@H]1c1ccccc1OC ZINC000069610943 400335992 /nfs/dbraw/zinc/33/59/92/400335992.db2.gz WXAYIKSPQVZTNO-KRWDZBQOSA-N 0 1 316.445 3.257 20 30 DGEDMN CCc1oc(C(=O)OC)cc1CN1CCC(CCC#N)CC1 ZINC000579226285 400336959 /nfs/dbraw/zinc/33/69/59/400336959.db2.gz BYTNJQKSNGUOLN-UHFFFAOYSA-N 0 1 304.390 3.144 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3c(C)cc(F)cc32)C[C@H]1C ZINC000611084370 400343457 /nfs/dbraw/zinc/34/34/57/400343457.db2.gz FDMLPQRWBZTILH-CYBMUJFWSA-N 0 1 312.392 3.084 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1cc(Cl)ccc1Cl ZINC000581020333 400349603 /nfs/dbraw/zinc/34/96/03/400349603.db2.gz IHVCTSSODJYEIX-RYUDHWBXSA-N 0 1 317.216 3.015 20 30 DGEDMN C[C@@H](C/N=C/c1cccc(O)c1O)N1CCc2sccc2C1 ZINC000031854470 400355824 /nfs/dbraw/zinc/35/58/24/400355824.db2.gz VKAUDOUZYBPVRG-NNLQFVHNSA-N 0 1 316.426 3.025 20 30 DGEDMN C[C@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1cccc(C#N)c1 ZINC000611438728 400400519 /nfs/dbraw/zinc/40/05/19/400400519.db2.gz XUANUXMROQXJCX-KSSFIOAISA-N 0 1 311.429 3.142 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(OCc2cccc(C#N)c2)c1)N(C)C ZINC000602062663 400409502 /nfs/dbraw/zinc/40/95/02/400409502.db2.gz UTZMOJLTEAERNA-CQSZACIVSA-N 0 1 323.396 3.026 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)c(OC)c1 ZINC000041545799 400409666 /nfs/dbraw/zinc/40/96/66/400409666.db2.gz JUIFPLGWGGOSDH-CYBMUJFWSA-N 0 1 322.320 3.335 20 30 DGEDMN CCCN(CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccc(C)cc1 ZINC000611565547 400422488 /nfs/dbraw/zinc/42/24/88/400422488.db2.gz WBRANGXTFUULQB-LJQANCHMSA-N 0 1 313.445 3.016 20 30 DGEDMN CCN(CC(=O)N1CCCCCC1)[C@H](C)c1ccc(C#N)cc1 ZINC000042741504 400440504 /nfs/dbraw/zinc/44/05/04/400440504.db2.gz QMPVWCZDOCRIKX-MRXNPFEDSA-N 0 1 313.445 3.344 20 30 DGEDMN N#CCCN(Cc1cccnc1)[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000602436370 400460615 /nfs/dbraw/zinc/46/06/15/400460615.db2.gz ZDULBLKQLKADNT-QGZVFWFLSA-N 0 1 324.432 3.237 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccc(C#N)cc1 ZINC000127520273 400503260 /nfs/dbraw/zinc/50/32/60/400503260.db2.gz UJXZLQOVUDORCE-OLZOCXBDSA-N 0 1 311.360 3.375 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(C(=O)CCC2CCCC2)CC1 ZINC000602439443 400463000 /nfs/dbraw/zinc/46/30/00/400463000.db2.gz FUFAWNHCPSZZRR-INIZCTEOSA-N 0 1 305.466 3.041 20 30 DGEDMN COc1cc(CN2CC(Cc3ccc(F)cc3)C2)ccc1C#N ZINC000590968504 400471511 /nfs/dbraw/zinc/47/15/11/400471511.db2.gz RWIVDRTWDZEPNK-UHFFFAOYSA-N 0 1 310.372 3.380 20 30 DGEDMN C[C@@H](CC#N)C(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000596661185 400475217 /nfs/dbraw/zinc/47/52/17/400475217.db2.gz HHTGHHHVSFQFCK-BBRMVZONSA-N 0 1 319.836 3.143 20 30 DGEDMN CC(C)Oc1ncccc1CN[C@@H](C)CC1(C#N)CCOCC1 ZINC000602500192 400480294 /nfs/dbraw/zinc/48/02/94/400480294.db2.gz PIBGZLHREOOKHW-HNNXBMFYSA-N 0 1 317.433 3.057 20 30 DGEDMN C[C@@H](CCC#N)CN[C@@H](c1nccn1C)c1cccc(F)c1 ZINC000602500812 400481612 /nfs/dbraw/zinc/48/16/12/400481612.db2.gz PWXHWFWBLMVFMK-XJKSGUPXSA-N 0 1 300.381 3.178 20 30 DGEDMN CN(C)[C@H](CNC(=O)CC1(C#N)CCCCC1)c1ccsc1 ZINC000596754249 400493105 /nfs/dbraw/zinc/49/31/05/400493105.db2.gz ZBKXVRADYCQNNX-OAHLLOKOSA-N 0 1 319.474 3.331 20 30 DGEDMN COCCc1ccc(OC[C@H](C)NCC2(C#N)CCCC2)cc1 ZINC000597158091 400573145 /nfs/dbraw/zinc/57/31/45/400573145.db2.gz MTPNODUNSCNCNR-INIZCTEOSA-N 0 1 316.445 3.316 20 30 DGEDMN CC[C@](C)(O)CN(Cc1ccccc1)Cc1cccc(C#N)n1 ZINC000602627280 400516917 /nfs/dbraw/zinc/51/69/17/400516917.db2.gz QAMNPTMFMAMZOZ-IBGZPJMESA-N 0 1 309.413 3.116 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2cnn(Cc3ccc(F)cc3)c2)C1 ZINC000597177597 400578361 /nfs/dbraw/zinc/57/83/61/400578361.db2.gz PZSJKSAMILEWPK-HNNXBMFYSA-N 0 1 312.392 3.196 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H](c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000602684616 400538244 /nfs/dbraw/zinc/53/82/44/400538244.db2.gz HXZKUWAKORUQIB-OAHLLOKOSA-N 0 1 322.368 3.241 20 30 DGEDMN N#CC1(c2ccc(CN3CCC(O)(C(F)(F)F)CC3)cc2)CC1 ZINC000597081221 400554065 /nfs/dbraw/zinc/55/40/65/400554065.db2.gz QJQQYOIWAAXXSK-UHFFFAOYSA-N 0 1 324.346 3.131 20 30 DGEDMN CCCN(CC(=O)N1CCC[C@@H](C)C1)Cc1ccc(C#N)cc1 ZINC000047739852 400629485 /nfs/dbraw/zinc/62/94/85/400629485.db2.gz DNKLMZCKKYFQOG-MRXNPFEDSA-N 0 1 313.445 3.029 20 30 DGEDMN C[C@H]1CN(c2cccc3cnccc32)CC[N@H+]1CC1(CC#N)CC1 ZINC000602816167 400583313 /nfs/dbraw/zinc/58/33/13/400583313.db2.gz AWDQANQJHWXHIW-INIZCTEOSA-N 0 1 320.440 3.439 20 30 DGEDMN C[C@H]1CN(c2cccc3cnccc32)CCN1CC1(CC#N)CC1 ZINC000602816167 400583316 /nfs/dbraw/zinc/58/33/16/400583316.db2.gz AWDQANQJHWXHIW-INIZCTEOSA-N 0 1 320.440 3.439 20 30 DGEDMN COc1cc2c(cc1CN[C@@H](C)c1cccc(C#N)c1)OCO2 ZINC000612690851 400587726 /nfs/dbraw/zinc/58/77/26/400587726.db2.gz VVMKCMBQPDGCDN-LBPRGKRZSA-N 0 1 310.353 3.146 20 30 DGEDMN N#CCCC1CCN([C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000592136104 400610647 /nfs/dbraw/zinc/61/06/47/400610647.db2.gz UUQJLAOCKJDLEA-GOSISDBHSA-N 0 1 311.429 3.198 20 30 DGEDMN C[C@@H](NC(=O)[C@@H](C)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000592135818 400611897 /nfs/dbraw/zinc/61/18/97/400611897.db2.gz VUKVNDKCTFOTQH-HZPDHXFCSA-N 0 1 313.445 3.268 20 30 DGEDMN C=CCCCN(C)C(=O)c1ccc(CN2CCSCC2)cc1 ZINC000076490063 400657192 /nfs/dbraw/zinc/65/71/92/400657192.db2.gz MGKDOZLDDCVOQP-UHFFFAOYSA-N 0 1 318.486 3.274 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)Cc1c(F)cccc1Cl ZINC000048617258 400658681 /nfs/dbraw/zinc/65/86/81/400658681.db2.gz RDOALFFKIZAOPF-UHFFFAOYSA-N 0 1 312.816 3.336 20 30 DGEDMN CCCCCc1cc(C(=O)N2Cc3cccc(C#N)c3C2)n[nH]1 ZINC000597829122 400678433 /nfs/dbraw/zinc/67/84/33/400678433.db2.gz XPNYHADAHIYJTJ-UHFFFAOYSA-N 0 1 308.385 3.170 20 30 DGEDMN COc1cc2c(cc1CN[C@H]1CCc3cc(C#N)ccc31)OCO2 ZINC000618741588 400679147 /nfs/dbraw/zinc/67/91/47/400679147.db2.gz HJLNZIBFGLXUMM-INIZCTEOSA-N 0 1 322.364 3.073 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3CN(c4ccccc4)C[C@H]3C2)c(F)c1 ZINC000603865307 400726365 /nfs/dbraw/zinc/72/63/65/400726365.db2.gz WGQQWQGPDGADNX-QZTJIDSGSA-N 0 1 321.399 3.266 20 30 DGEDMN Cc1[nH]n(Cc2ccc(C(F)(F)F)cc2)c(=O)c1CCC#N ZINC000603920977 400733211 /nfs/dbraw/zinc/73/32/11/400733211.db2.gz IENVTKOYZJFMOO-ZDUSSCGKSA-N 0 1 309.291 3.343 20 30 DGEDMN COc1ccc(N2CCCN(Cc3ccccc3C#N)CC2)cc1 ZINC000052465628 400733370 /nfs/dbraw/zinc/73/33/70/400733370.db2.gz CTWHOROLQSCQJB-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN CCCSCC(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000052779251 400746874 /nfs/dbraw/zinc/74/68/74/400746874.db2.gz KCSSOKYUYWJNNV-UHFFFAOYSA-N 0 1 306.391 3.212 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)N[C@@](C)(C#N)C(C)C)c(C)c1 ZINC000604081304 400748036 /nfs/dbraw/zinc/74/80/36/400748036.db2.gz LPEZPCHQUKSXHJ-LPHOPBHVSA-N 0 1 315.461 3.351 20 30 DGEDMN CCn1cc(CN(Cc2ccc(C#N)c(F)c2)C(C)C)cn1 ZINC000593080560 400755636 /nfs/dbraw/zinc/75/56/36/400755636.db2.gz OGYZGKHSAZAQID-UHFFFAOYSA-N 0 1 300.381 3.324 20 30 DGEDMN C=CCCSCCNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000597972462 400708112 /nfs/dbraw/zinc/70/81/12/400708112.db2.gz RQVSMXCZQQNNNH-KRWDZBQOSA-N 0 1 318.486 3.077 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CC[C@](C)(C#N)C3)n[nH]2)c(C)c1 ZINC000598415541 400809081 /nfs/dbraw/zinc/80/90/81/400809081.db2.gz QTFBFWDOIBHLJK-GOSISDBHSA-N 0 1 308.385 3.069 20 30 DGEDMN CCN(CCc1ccccc1)CC(=O)Nc1cccc(C#N)c1 ZINC000052968206 400758081 /nfs/dbraw/zinc/75/80/81/400758081.db2.gz XHRPMGFHTWFPRC-UHFFFAOYSA-N 0 1 307.397 3.061 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)NCC2(C#N)CCCCC2)o1 ZINC000598308508 400779624 /nfs/dbraw/zinc/77/96/24/400779624.db2.gz JOBGLDFHVVTFLX-UHFFFAOYSA-N 0 1 317.433 3.325 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)COc1ccccc1C ZINC000053420109 400783176 /nfs/dbraw/zinc/78/31/76/400783176.db2.gz CZROGECZVLYPIG-MRXNPFEDSA-N 0 1 317.454 3.484 20 30 DGEDMN N#Cc1cccc(CN2CCC(Nc3ccc(F)cn3)CC2)c1 ZINC000593516000 400870067 /nfs/dbraw/zinc/87/00/67/400870067.db2.gz WFAPGZYEPXPYBC-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1cc(Br)cc(Cl)c1O ZINC000593564994 400875868 /nfs/dbraw/zinc/87/58/68/400875868.db2.gz FHPUALJAILZYRL-ZCFIWIBFSA-N 0 1 317.570 3.296 20 30 DGEDMN CCC[C@@H]1CN(C(=O)[C@H](C#N)CC)CCN1Cc1ccccc1 ZINC000593587381 400877143 /nfs/dbraw/zinc/87/71/43/400877143.db2.gz GEOPVCFDHSWZDY-ZWKOTPCHSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@@H](C#N)C(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000593388324 400836066 /nfs/dbraw/zinc/83/60/66/400836066.db2.gz DKDDMFSUVPDPAZ-LSDHHAIUSA-N 0 1 319.474 3.187 20 30 DGEDMN COc1ccc(Cl)cc1CN(C)C[C@@H](O)CC(C)(C)C#N ZINC000598585313 400837543 /nfs/dbraw/zinc/83/75/43/400837543.db2.gz YZVSXGKCXVFYPU-AWEZNQCLSA-N 0 1 310.825 3.081 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000598625692 400846843 /nfs/dbraw/zinc/84/68/43/400846843.db2.gz UIGSONOZZVJJHP-ZBFHGGJFSA-N 0 1 302.418 3.497 20 30 DGEDMN C[C@@H]1CCN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@H]1CO ZINC000598647115 400849232 /nfs/dbraw/zinc/84/92/32/400849232.db2.gz NQKLZJCVLDEUAU-RISCZKNCSA-N 0 1 312.335 3.027 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2cscc2C)n1 ZINC000079149314 400850135 /nfs/dbraw/zinc/85/01/35/400850135.db2.gz CTHXSEIJOVEJAV-VIFPVBQESA-N 0 1 320.395 3.180 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1ccc(CN2CCSCC2)cc1C ZINC000593444105 400851703 /nfs/dbraw/zinc/85/17/03/400851703.db2.gz MFMSPWSWSPGVLI-HNNXBMFYSA-N 0 1 317.458 3.032 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000614402654 400893734 /nfs/dbraw/zinc/89/37/34/400893734.db2.gz OKEHCSBYXXGWEW-OAHLLOKOSA-N 0 1 312.335 3.002 20 30 DGEDMN C=C[C@H](Nc1cccc2c1C[N@@H+](CCC(C)C)C2)C(=O)OC ZINC000619734780 400895690 /nfs/dbraw/zinc/89/56/90/400895690.db2.gz ROXLEPUBRAJLFZ-INIZCTEOSA-N 0 1 302.418 3.188 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cc1 ZINC000182843054 400898908 /nfs/dbraw/zinc/89/89/08/400898908.db2.gz OZLYPCAQDBVBOG-CQSZACIVSA-N 0 1 303.321 3.256 20 30 DGEDMN C=CCN(C[C@H](C)CC1CCCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000183294227 400899780 /nfs/dbraw/zinc/89/97/80/400899780.db2.gz QQRYSDHFQFOXBJ-NVXWUHKLSA-N 0 1 313.507 3.268 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@@H](c1cccs1)N(C)C ZINC000183501244 400901038 /nfs/dbraw/zinc/90/10/38/400901038.db2.gz IQVRBJKGUAWESD-KGLIPLIRSA-N 0 1 309.479 3.395 20 30 DGEDMN C=CCNC(=O)CNC(c1ccccc1C)c1ccccc1C ZINC000183947446 400902306 /nfs/dbraw/zinc/90/23/06/400902306.db2.gz GNONQLNYMCOPLF-UHFFFAOYSA-N 0 1 308.425 3.285 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H]3CCC[C@H]32)cc1Cl ZINC000614600388 400921682 /nfs/dbraw/zinc/92/16/82/400921682.db2.gz HHRIXSAXRYUGGA-XHDPSFHLSA-N 0 1 303.793 3.025 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)COc1ccccc1 ZINC000057950406 401037220 /nfs/dbraw/zinc/03/72/20/401037220.db2.gz ZEWGYOUEOFJQGP-OAHLLOKOSA-N 0 1 303.427 3.176 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)COCc1cccs1 ZINC000057950465 401037514 /nfs/dbraw/zinc/03/75/14/401037514.db2.gz ZXPGPHJDICFLLD-AWEZNQCLSA-N 0 1 323.483 3.375 20 30 DGEDMN C=CCNC(=O)[C@H](C)N(C)Cc1ccccc1-c1ccccc1 ZINC000060356564 401109208 /nfs/dbraw/zinc/10/92/08/401109208.db2.gz DNAVWQNQTYVTFU-INIZCTEOSA-N 0 1 308.425 3.476 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc(Cl)s1)c1nnc2n1CCCCC2 ZINC000060851315 401136904 /nfs/dbraw/zinc/13/69/04/401136904.db2.gz FSNQOASEXUBYGL-VIFPVBQESA-N 0 1 320.805 3.209 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)s1)c1nnc2n1CCCCC2 ZINC000060851315 401136909 /nfs/dbraw/zinc/13/69/09/401136909.db2.gz FSNQOASEXUBYGL-VIFPVBQESA-N 0 1 320.805 3.209 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)CCOc1ccc(Cl)cc1 ZINC000061758563 401161742 /nfs/dbraw/zinc/16/17/42/401161742.db2.gz JRBBVJZJQOCNHE-UHFFFAOYSA-N 0 1 324.852 3.075 20 30 DGEDMN CC(C)(C#N)CCN1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC000625801691 401222757 /nfs/dbraw/zinc/22/27/57/401222757.db2.gz WTBRPFXGLUMKSZ-IBGZPJMESA-N 0 1 312.413 3.426 20 30 DGEDMN CCN(CC(=O)N[C@@H](C)C(C)C)[C@H](C)c1ccc(C#N)cc1 ZINC000065433083 401265855 /nfs/dbraw/zinc/26/58/55/401265855.db2.gz WGEANXHCMAWRHD-LSDHHAIUSA-N 0 1 301.434 3.102 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(CC)[C@H](C)CC ZINC000634389710 401278349 /nfs/dbraw/zinc/27/83/49/401278349.db2.gz SSSDAXQDNBNRRI-CQSZACIVSA-N 0 1 316.453 3.101 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(CCC)CCC ZINC000634388264 401278358 /nfs/dbraw/zinc/27/83/58/401278358.db2.gz RYCKWGBMGKRQHN-UHFFFAOYSA-N 0 1 316.453 3.103 20 30 DGEDMN C[C@H](O)[C@@H]1CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000089933018 401245206 /nfs/dbraw/zinc/24/52/06/401245206.db2.gz GVJKQEULXNBHEG-DZGCQCFKSA-N 0 1 311.347 3.150 20 30 DGEDMN CC(C)CN(C)CC(=O)Nc1sc2c(c1C#N)CCCCC2 ZINC000065962531 401288201 /nfs/dbraw/zinc/28/82/01/401288201.db2.gz CORVXBZJGZVONC-UHFFFAOYSA-N 0 1 319.474 3.415 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1n[nH]c(C)c1-c1cccc(F)c1 ZINC000634662888 401326999 /nfs/dbraw/zinc/32/69/99/401326999.db2.gz UWWMXNMIMRWFOW-UHFFFAOYSA-N 0 1 323.393 3.232 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000636119242 401534278 /nfs/dbraw/zinc/53/42/78/401534278.db2.gz PGEGDOZXUOEADC-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000636119242 401534281 /nfs/dbraw/zinc/53/42/81/401534281.db2.gz PGEGDOZXUOEADC-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000636117215 401534726 /nfs/dbraw/zinc/53/47/26/401534726.db2.gz DNWQJUAXGNTNLA-SFHVURJKSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000636117215 401534730 /nfs/dbraw/zinc/53/47/30/401534730.db2.gz DNWQJUAXGNTNLA-SFHVURJKSA-N 0 1 318.465 3.312 20 30 DGEDMN C=CCC[C@@H](NC[C@H](n1cncn1)C(F)(F)F)c1ccco1 ZINC000631693904 401537715 /nfs/dbraw/zinc/53/77/15/401537715.db2.gz VRZXNIQXUOONIE-YPMHNXCESA-N 0 1 314.311 3.272 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186687185 165054865 /nfs/dbraw/zinc/05/48/65/165054865.db2.gz LECDOHVNZUJHKS-FQEVSTJZSA-N 0 1 320.392 3.198 20 30 DGEDMN Cn1cc(CN2CCC(OCCc3ccccc3)CC2)cc1C#N ZINC000191263370 165239811 /nfs/dbraw/zinc/23/98/11/165239811.db2.gz DKJDHLQVKZBSBE-UHFFFAOYSA-N 0 1 323.440 3.120 20 30 DGEDMN Cn1cc(CN2CC[C@@H](OCCCc3ccccc3)C2)cc1C#N ZINC000191429679 165250128 /nfs/dbraw/zinc/25/01/28/165250128.db2.gz RMCCJMONSQQLDK-HXUWFJFHSA-N 0 1 323.440 3.120 20 30 DGEDMN COC[C@@H](Cc1ccccc1)N(C)Cc1ccc(C#N)cc1F ZINC000191509539 165254286 /nfs/dbraw/zinc/25/42/86/165254286.db2.gz ZRDVHHVLBMVYFG-GOSISDBHSA-N 0 1 312.388 3.387 20 30 DGEDMN N#Cc1ccc(CN2CCN(Cc3ccccc3)CC2)cc1F ZINC000191924678 165275675 /nfs/dbraw/zinc/27/56/75/165275675.db2.gz OTXNINXYOAPJQP-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1F ZINC000192193052 165286588 /nfs/dbraw/zinc/28/65/88/165286588.db2.gz NDPOERWDBLQIJP-IBGZPJMESA-N 0 1 308.356 3.329 20 30 DGEDMN COc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1OC ZINC000269614823 432188452 /nfs/dbraw/zinc/18/84/52/432188452.db2.gz NRGIVTKEOFBFIB-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN N#Cc1cccc(C2(NCc3ccc4c(c3)OCCO4)CC2)c1 ZINC000269635096 432189570 /nfs/dbraw/zinc/18/95/70/432189570.db2.gz VZDCPUXCOAKAQD-UHFFFAOYSA-N 0 1 306.365 3.108 20 30 DGEDMN CNC(=O)c1cccc(CN(Cc2ccc(C#N)cc2)C2CC2)c1 ZINC000172149660 432200525 /nfs/dbraw/zinc/20/05/25/432200525.db2.gz JVYMPGVDORNCIY-UHFFFAOYSA-N 0 1 319.408 3.082 20 30 DGEDMN CCCCCc1nnc(NC(=O)c2ccc(C#N)c(O)c2)s1 ZINC000190945645 432203356 /nfs/dbraw/zinc/20/33/56/432203356.db2.gz OMAXFLONRJPOCO-UHFFFAOYSA-N 0 1 316.386 3.100 20 30 DGEDMN N#CC(C(=O)c1cccc([N+](=O)[O-])c1)c1cnc2ccccc2n1 ZINC000190967378 432207462 /nfs/dbraw/zinc/20/74/62/432207462.db2.gz SMJQLFINVZCOOA-ZDUSSCGKSA-N 0 1 318.292 3.028 20 30 DGEDMN CCc1ccc(C(=O)C(C#N)c2cnc3ccccc3n2)c(C)n1 ZINC000190957203 432205051 /nfs/dbraw/zinc/20/50/51/432205051.db2.gz PATUSEVYEHYFLS-HNNXBMFYSA-N 0 1 316.364 3.386 20 30 DGEDMN C[C@H]1CCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)[C@H]1C ZINC000247350124 432224920 /nfs/dbraw/zinc/22/49/20/432224920.db2.gz OYXQJZAMVGLVMT-KBPBESRZSA-N 0 1 319.836 3.317 20 30 DGEDMN Cc1[nH]nc2ncc(CN[C@@H](CCC#N)c3ccccc3)cc12 ZINC000344854309 533679664 /nfs/dbraw/zinc/67/96/64/533679664.db2.gz MOHDQFGUJOWBSA-KRWDZBQOSA-N 0 1 305.385 3.401 20 30 DGEDMN C[C@@H](NC(=O)CN[C@H](C)c1ccc(C#N)cc1)c1cccs1 ZINC000271638336 209082757 /nfs/dbraw/zinc/08/27/57/209082757.db2.gz GNOPCYMYMBXNMJ-CHWSQXEVSA-N 0 1 313.426 3.148 20 30 DGEDMN N#CCC(=O)Nc1ccc2nc(-c3cc(F)ccc3F)[nH]c2c1 ZINC000153609338 227088942 /nfs/dbraw/zinc/08/89/42/227088942.db2.gz SDNGBMUMFVABBC-UHFFFAOYSA-N 0 1 312.279 3.360 20 30 DGEDMN Cc1cc(F)cc(NS(=O)(=O)c2cc(C#N)ccc2Cl)c1 ZINC000160831627 228249041 /nfs/dbraw/zinc/24/90/41/228249041.db2.gz AOLIDCRMTSNQEN-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1c(C#N)cccc1NS(=O)(=O)c1cccc2cccnc21 ZINC000179028391 228349336 /nfs/dbraw/zinc/34/93/36/228349336.db2.gz LYPJWAJLSSXZFE-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#C[C@@H]1CCC[C@@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000185774339 228397610 /nfs/dbraw/zinc/39/76/10/228397610.db2.gz YLGYCHJPSLLLBA-DGCLKSJQSA-N 0 1 313.323 3.195 20 30 DGEDMN FC(F)C1CCN(CCOCC(F)(F)C(F)(F)F)CC1 ZINC000346435498 229171122 /nfs/dbraw/zinc/17/11/22/229171122.db2.gz MRFYDCNFOSRVON-UHFFFAOYSA-N 0 1 311.241 3.178 20 30 DGEDMN COc1cccc(OC(F)(F)F)c1CN[C@H]1CC[C@H](C#N)C1 ZINC000353959307 229287748 /nfs/dbraw/zinc/28/77/48/229287748.db2.gz UBQFKDSOMGVKNO-QWRGUYRKSA-N 0 1 314.307 3.376 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(C#N)c(F)c2)cc1O ZINC000346132082 263966194 /nfs/dbraw/zinc/96/61/94/263966194.db2.gz OMKONYHPSXUCCB-LLVKDONJSA-N 0 1 300.333 3.262 20 30 DGEDMN CCOC1CC(N(C)Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000347442069 264221431 /nfs/dbraw/zinc/22/14/31/264221431.db2.gz DDUILNXCJJZHDL-UHFFFAOYSA-N 0 1 310.344 3.159 20 30 DGEDMN CC[C@@H](CO)N(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000348171819 264356387 /nfs/dbraw/zinc/35/63/87/264356387.db2.gz HZEAEDSYYIIREY-HNNXBMFYSA-N 0 1 300.427 3.393 20 30 DGEDMN N#Cc1ccc(CN2CCC(C(=O)c3ccc(O)cc3)CC2)cc1 ZINC000360809103 270004400 /nfs/dbraw/zinc/00/44/00/270004400.db2.gz DXPZOSOUVRDAAK-UHFFFAOYSA-N 0 1 320.392 3.359 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](NCc1cccc3c1OCCCO3)CC2 ZINC000353252072 265362742 /nfs/dbraw/zinc/36/27/42/265362742.db2.gz IYVBBLYFCKGRGT-SFHVURJKSA-N 0 1 320.392 3.497 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@]1(CO)Cc1ccccc1 ZINC000355674342 266152105 /nfs/dbraw/zinc/15/21/05/266152105.db2.gz NDALJDJECWBIFA-FQEVSTJZSA-N 0 1 324.399 3.267 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cccc2nc[nH]c21 ZINC000354088063 266172745 /nfs/dbraw/zinc/17/27/45/266172745.db2.gz KBSJXZYBBJADEC-UHFFFAOYSA-N 0 1 305.341 3.119 20 30 DGEDMN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(C#N)cc2F)[C@]12CCCO2 ZINC000354971146 266297710 /nfs/dbraw/zinc/29/77/10/266297710.db2.gz SMGNZXSGCKFGSO-DUOKCRBOSA-N 0 1 318.392 3.075 20 30 DGEDMN CC[C@H](CC(F)(F)F)N[C@H](C)C(=O)Nc1ccccc1C#N ZINC000354538631 266394138 /nfs/dbraw/zinc/39/41/38/266394138.db2.gz FCOSDZSYZCXGKQ-ZYHUDNBSSA-N 0 1 313.323 3.206 20 30 DGEDMN Cn1cc(CN(Cc2cccs2)C[C@@H]2CCCO2)cc1C#N ZINC000191189337 432232568 /nfs/dbraw/zinc/23/25/68/432232568.db2.gz CZFMVBUYQNSRRU-INIZCTEOSA-N 0 1 315.442 3.139 20 30 DGEDMN C[C@H]1CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1(C)C ZINC000354024791 267216911 /nfs/dbraw/zinc/21/69/11/267216911.db2.gz UMMNAXKNSLOAPT-WMLDXEAASA-N 0 1 311.429 3.032 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1c(F)cc(C#N)cc1F ZINC000360432992 267367185 /nfs/dbraw/zinc/36/71/85/267367185.db2.gz DPYXFRMFLADYDJ-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc(-c3cn[nH]n3)c2)ccc1Cl ZINC000527484262 268179101 /nfs/dbraw/zinc/17/91/01/268179101.db2.gz IDKAPQHORHSXMH-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1cccc(CSc2nc(-c3ccccn3)n[nH]2)c1F ZINC000353199004 268256536 /nfs/dbraw/zinc/25/65/36/268256536.db2.gz AZNNFGTZTWDWBW-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC000470586797 287682126 /nfs/dbraw/zinc/68/21/26/287682126.db2.gz BDNFNGZNRSZYJU-JYMZVQIXSA-N 0 1 319.493 3.474 20 30 DGEDMN CCCN1CCN(c2c(C#N)cnc3ccc(Cl)cc32)CC1 ZINC000337934451 273614378 /nfs/dbraw/zinc/61/43/78/273614378.db2.gz DVNRPKMAKPKZOR-UHFFFAOYSA-N 0 1 314.820 3.292 20 30 DGEDMN CN(C)[C@@H](CNc1c(C#N)cnc2ccccc21)c1ccco1 ZINC000301378307 273805623 /nfs/dbraw/zinc/80/56/23/273805623.db2.gz YREZBRNZHSULMQ-INIZCTEOSA-N 0 1 306.369 3.414 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1CNCc1ccc(C#N)s1 ZINC000183106318 432259377 /nfs/dbraw/zinc/25/93/77/432259377.db2.gz FFWHTLUPLARRAM-UHFFFAOYSA-N 0 1 308.410 3.404 20 30 DGEDMN OC[C@@H](CC(F)(F)F)NCc1ccc(F)cc1C(F)(F)F ZINC000344935169 275048138 /nfs/dbraw/zinc/04/81/38/275048138.db2.gz WLOVEOOCXVJJDH-SECBINFHSA-N 0 1 319.220 3.247 20 30 DGEDMN CCC1(C)CCN([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000337700072 276215636 /nfs/dbraw/zinc/21/56/36/276215636.db2.gz VYAYFMDHEYSPHZ-HNNXBMFYSA-N 0 1 305.466 3.230 20 30 DGEDMN C=C[C@H](CO)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000353562391 276923333 /nfs/dbraw/zinc/92/33/33/276923333.db2.gz CDHTUOYTYCUPQY-OLZOCXBDSA-N 0 1 305.365 3.246 20 30 DGEDMN COc1cccc([C@H](C)N2CCN([C@@H]3CC[C@H](C#N)C3)CC2)c1 ZINC000278585821 278021071 /nfs/dbraw/zinc/02/10/71/278021071.db2.gz KQGFDSAHMISCCK-XYJFISCASA-N 0 1 313.445 3.066 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCCC2(CCCCC2)C1 ZINC000111324087 431212789 /nfs/dbraw/zinc/21/27/89/431212789.db2.gz PYKDJCMZVZWSAB-QGZVFWFLSA-N 0 1 305.466 3.087 20 30 DGEDMN CCS[C@@H]1CCCCN(CC(=O)Nc2cccc(C#N)c2)C1 ZINC000112089779 431247502 /nfs/dbraw/zinc/24/75/02/431247502.db2.gz GKPTWKLHRHYBKL-MRXNPFEDSA-N 0 1 317.458 3.104 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H](C)c1cc(C)ccc1OC ZINC000073736553 431380765 /nfs/dbraw/zinc/38/07/65/431380765.db2.gz GUDZZXODIXOTJZ-HOTGVXAUSA-N 0 1 316.445 3.243 20 30 DGEDMN COc1ccc(-c2[nH]ncc2C(=O)Nc2cccc(C#N)c2)cc1 ZINC000010895352 431468177 /nfs/dbraw/zinc/46/81/77/431468177.db2.gz NQOZDEDLVVADQZ-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000075672498 431492697 /nfs/dbraw/zinc/49/26/97/431492697.db2.gz WOGSIAFCOWIMJH-WDEREUQCSA-N 0 1 300.324 3.047 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000117024743 431545243 /nfs/dbraw/zinc/54/52/43/431545243.db2.gz AWOHIDIMYVLRBF-HUUCEWRRSA-N 0 1 305.422 3.070 20 30 DGEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)Cc1csc2ccccc12 ZINC000076990879 431567711 /nfs/dbraw/zinc/56/77/11/431567711.db2.gz YYPZDBXVJCXVPI-ZDUSSCGKSA-N 0 1 315.442 3.094 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(C)[C@H](C)c1ccco1 ZINC000077272464 431577222 /nfs/dbraw/zinc/57/72/22/431577222.db2.gz KHLUJVVVDFKHJO-CYBMUJFWSA-N 0 1 300.358 3.232 20 30 DGEDMN CCCCN(C)[C@@H](C)C(=O)Nc1sc2c(c1C#N)CCC2 ZINC000025510177 431657672 /nfs/dbraw/zinc/65/76/72/431657672.db2.gz WYZDXWWMKQVWIR-NSHDSACASA-N 0 1 305.447 3.167 20 30 DGEDMN Cc1ccc(Cl)cc1NS(=O)(=O)c1ccccc1C#N ZINC000016149039 431610050 /nfs/dbraw/zinc/61/00/50/431610050.db2.gz FTNXCCSVDZFXGK-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cccnc1[C@@H](NCC(=O)Nc1cccc(C#N)c1)C(C)C ZINC000118839255 431622383 /nfs/dbraw/zinc/62/23/83/431622383.db2.gz ROJNZOPXYOIUGP-SFHVURJKSA-N 0 1 322.412 3.187 20 30 DGEDMN C[C@H](NCc1cccnc1OCC(F)F)c1ccc(C#N)cc1 ZINC000118969273 431630168 /nfs/dbraw/zinc/63/01/68/431630168.db2.gz QKUBKOMILNCHIF-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](c3ccccc3C)C[C@H]2C)CC1 ZINC000078183776 431648857 /nfs/dbraw/zinc/64/88/57/431648857.db2.gz DAXIPZZDRYPTNF-IEBWSBKVSA-N 0 1 324.468 3.045 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](C)c1cc2cccc(OCC)c2o1 ZINC000078595785 431686006 /nfs/dbraw/zinc/68/60/06/431686006.db2.gz YUZCCAZDHRUSRI-QWHCGFSZSA-N 0 1 316.401 3.173 20 30 DGEDMN Cc1cc2c(cc1C)O[C@H](C(=O)Nc1nc(-c3ccco3)n[nH]1)C2 ZINC000079988463 431757759 /nfs/dbraw/zinc/75/77/59/431757759.db2.gz XUHPJWOLNPLLOE-AWEZNQCLSA-N 0 1 324.340 3.273 20 30 DGEDMN C=CCCS(=O)(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC000120126713 431721079 /nfs/dbraw/zinc/72/10/79/431721079.db2.gz XZFISZYYYIGKHM-UHFFFAOYSA-N 0 1 317.370 3.141 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1cccc(Cl)c1)C1CC1 ZINC000120070433 431721965 /nfs/dbraw/zinc/72/19/65/431721965.db2.gz ILDQMRWPNOLGAM-UHFFFAOYSA-N 0 1 305.809 3.071 20 30 DGEDMN CCCCCN(CCS(=O)(=O)c1ccc(C#N)cc1)C(C)C ZINC000081512518 431792394 /nfs/dbraw/zinc/79/23/94/431792394.db2.gz YGOYFDKYSHCPNF-UHFFFAOYSA-N 0 1 322.474 3.233 20 30 DGEDMN CCN(CC)c1ncc(CNCc2cccc(C#N)c2)s1 ZINC000037748880 431850114 /nfs/dbraw/zinc/85/01/14/431850114.db2.gz RFADFDQCZCPMCW-UHFFFAOYSA-N 0 1 300.431 3.151 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000123032377 431852559 /nfs/dbraw/zinc/85/25/59/431852559.db2.gz WGBRQEQOSHDQEV-CQSZACIVSA-N 0 1 319.836 3.319 20 30 DGEDMN O=C(Cc1ccc(F)cc1F)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279569 431853081 /nfs/dbraw/zinc/85/30/81/431853081.db2.gz RTFNDGHZZPFGAU-UHFFFAOYSA-N 0 1 304.256 3.174 20 30 DGEDMN COc1ccc(C(=O)[C@@H](C#N)c2nc3ccccc3o2)cc1OC ZINC000041545502 431860690 /nfs/dbraw/zinc/86/06/90/431860690.db2.gz DLQBEBPDIUAKIW-GFCCVEGCSA-N 0 1 322.320 3.335 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)cc1OC ZINC000041545502 431860691 /nfs/dbraw/zinc/86/06/91/431860691.db2.gz DLQBEBPDIUAKIW-GFCCVEGCSA-N 0 1 322.320 3.335 20 30 DGEDMN N#CCCn1cc(CN2CCC(C#N)CC2)c(-c2ccccc2)n1 ZINC000123674394 431871678 /nfs/dbraw/zinc/87/16/78/431871678.db2.gz QOZDCYVZYHZAAD-UHFFFAOYSA-N 0 1 319.412 3.199 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000124973042 431910226 /nfs/dbraw/zinc/91/02/26/431910226.db2.gz IKKHYGOJPWHCQC-LBPRGKRZSA-N 0 1 318.446 3.108 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)NCCCc1cn[nH]c1C ZINC000045539245 431887140 /nfs/dbraw/zinc/88/71/40/431887140.db2.gz HKPVXRAFIWTZKO-UHFFFAOYSA-N 0 1 312.417 3.049 20 30 DGEDMN Fc1ccc(C#CCN(C[C@H]2CCCO2)[C@@H]2CCSC2)cc1 ZINC000089952854 431887522 /nfs/dbraw/zinc/88/75/22/431887522.db2.gz WRQMTCIZPBYPAU-QZTJIDSGSA-N 0 1 319.445 3.164 20 30 DGEDMN CN(C)[C@H](CNc1snc(Cl)c1C#N)c1ccsc1 ZINC000048557638 431927684 /nfs/dbraw/zinc/92/76/84/431927684.db2.gz CIHPGHUTYFFZSN-SNVBAGLBSA-N 0 1 312.851 3.444 20 30 DGEDMN CCCCN(C)CC(=O)N(CCC#N)c1cccc(C)c1C ZINC000051180085 431950145 /nfs/dbraw/zinc/95/01/45/431950145.db2.gz OIFSQDIUUAIVCH-UHFFFAOYSA-N 0 1 301.434 3.282 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1c(O)cccc1F ZINC000127349968 431969573 /nfs/dbraw/zinc/96/95/73/431969573.db2.gz NXUYHRQRKQOOKL-GOEBONIOSA-N 0 1 324.355 3.443 20 30 DGEDMN CCCCCNC(=O)CN(CC)[C@H](C)c1ccc(C#N)cc1 ZINC000054340613 431970128 /nfs/dbraw/zinc/97/01/28/431970128.db2.gz LGCROZSGKFSVJK-OAHLLOKOSA-N 0 1 301.434 3.248 20 30 DGEDMN COc1ccc(CC[C@@H]2CCCCN2CCC#N)cc1OC ZINC000101965920 431990411 /nfs/dbraw/zinc/99/04/11/431990411.db2.gz KNBROEAOZYKVGF-INIZCTEOSA-N 0 1 302.418 3.405 20 30 DGEDMN CCOC[C@H]1CCN(Cc2cc(C#N)ccc2Br)C1 ZINC000191803891 432303672 /nfs/dbraw/zinc/30/36/72/432303672.db2.gz CSNNMIVTLNDFCJ-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN Cc1oc(NC(=O)CN2CCC[C@H]2C2CCCC2)c(C#N)c1C ZINC000128439479 431994636 /nfs/dbraw/zinc/99/46/36/431994636.db2.gz YITLIBSJKCOYIV-INIZCTEOSA-N 0 1 315.417 3.361 20 30 DGEDMN N#Cc1ccc(CNCc2cccc3c2OCCCO3)s1 ZINC000128501408 431995363 /nfs/dbraw/zinc/99/53/63/431995363.db2.gz IWQYJYBWNGWCQH-UHFFFAOYSA-N 0 1 300.383 3.071 20 30 DGEDMN COc1ccc(C)cc1[C@@H](C)NCC(=O)Nc1cccc(C#N)c1 ZINC000102691758 431997149 /nfs/dbraw/zinc/99/71/49/431997149.db2.gz FHDYWIGQOPNVMC-CQSZACIVSA-N 0 1 323.396 3.165 20 30 DGEDMN CCN(CC(=O)N1CCC(C)CC1)[C@H](C)c1ccc(C#N)cc1 ZINC000060477620 432000187 /nfs/dbraw/zinc/00/01/87/432000187.db2.gz CYUQRQUGEHKSHA-MRXNPFEDSA-N 0 1 313.445 3.200 20 30 DGEDMN CCc1nc2c(s1)[C@H]([N@@H+](C)Cc1cc(C#N)n(C)c1)CCC2 ZINC000191418395 432263070 /nfs/dbraw/zinc/26/30/70/432263070.db2.gz BDVYEUKMELUVRY-OAHLLOKOSA-N 0 1 314.458 3.425 20 30 DGEDMN CCc1nc2c(s1)[C@H](N(C)Cc1cc(C#N)n(C)c1)CCC2 ZINC000191418395 432263072 /nfs/dbraw/zinc/26/30/72/432263072.db2.gz BDVYEUKMELUVRY-OAHLLOKOSA-N 0 1 314.458 3.425 20 30 DGEDMN COc1cc(C#N)ccc1CN(CCO)[C@@H]1CCc2ccccc21 ZINC000271072600 432326128 /nfs/dbraw/zinc/32/61/28/432326128.db2.gz WCQNDDUBOMNYQJ-LJQANCHMSA-N 0 1 322.408 3.049 20 30 DGEDMN CCCCCNC(=O)C1CCN(Cc2cc(C#N)cs2)CC1 ZINC000153023422 432377456 /nfs/dbraw/zinc/37/74/56/432377456.db2.gz KAMTXORKALKEBA-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CC[C@@H](NCCOc1cccc(C#N)c1)c1nc(C)cs1 ZINC000184341668 432381654 /nfs/dbraw/zinc/38/16/54/432381654.db2.gz SYJODKCKNITPAA-OAHLLOKOSA-N 0 1 301.415 3.443 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CC[C@H](C)C2(CCC2)C1 ZINC000271382156 432383696 /nfs/dbraw/zinc/38/36/96/432383696.db2.gz TWZPRISGXZCNDT-GJZGRUSLSA-N 0 1 311.429 3.397 20 30 DGEDMN CC[C@@H](NCCOc1ccccc1C#N)c1nc(C)cs1 ZINC000184391277 432386555 /nfs/dbraw/zinc/38/65/55/432386555.db2.gz KEQKNDDTOZHVPX-CQSZACIVSA-N 0 1 301.415 3.443 20 30 DGEDMN C=C(Br)CN1CCCC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000253086213 432389116 /nfs/dbraw/zinc/38/91/16/432389116.db2.gz AUPGIQCKALLSRM-BFHYXJOUSA-N 0 1 302.256 3.301 20 30 DGEDMN N#Cc1csc(CNCc2cc(Cl)cc3c2OCC3)c1 ZINC000184136881 432359930 /nfs/dbraw/zinc/35/99/30/432359930.db2.gz LQZRPHXIPPDSNA-UHFFFAOYSA-N 0 1 304.802 3.498 20 30 DGEDMN C[C@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1cccc(C#N)c1 ZINC000175402927 432431973 /nfs/dbraw/zinc/43/19/73/432431973.db2.gz HKAGDMAPXIYXFD-JLCFBVMHSA-N 0 1 312.438 3.489 20 30 DGEDMN COC[C@H](NCCCOc1cccc(C#N)c1)c1ccc(C)o1 ZINC000184681280 432421525 /nfs/dbraw/zinc/42/15/25/432421525.db2.gz UASXRPJAASVEIL-KRWDZBQOSA-N 0 1 314.385 3.206 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)C[C@H]1COc2ccccc2O1 ZINC000186165077 432565059 /nfs/dbraw/zinc/56/50/59/432565059.db2.gz MWWVHCAGVCNOPY-KRWDZBQOSA-N 0 1 308.381 3.139 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(CC(C)C)c2C)n1 ZINC000155507047 432557911 /nfs/dbraw/zinc/55/79/11/432557911.db2.gz DSPBVZSNGSUXSX-LBPRGKRZSA-N 0 1 302.403 3.103 20 30 DGEDMN C[C@@H](O)C[C@@H]1CCCN1Cc1ccc(C#N)cc1Br ZINC000272530868 432517685 /nfs/dbraw/zinc/51/76/85/432517685.db2.gz MKWOWWRALNWUOP-RISCZKNCSA-N 0 1 323.234 3.056 20 30 DGEDMN N#Cc1ccccc1OCCN1CC[C@]2(C1)OCc1ccccc12 ZINC000186633112 432616277 /nfs/dbraw/zinc/61/62/77/432616277.db2.gz AHHPCKPUHVTQNI-HXUWFJFHSA-N 0 1 320.392 3.068 20 30 DGEDMN Cc1sc(NC(=O)CN(CC(C)C)C2CC2)c(C#N)c1C ZINC000177017529 432576071 /nfs/dbraw/zinc/57/60/71/432576071.db2.gz MCRQRDOORJEYDC-UHFFFAOYSA-N 0 1 305.447 3.296 20 30 DGEDMN Cc1cc(C#N)ccc1CSc1nc(-c2ccccn2)n[nH]1 ZINC000186283591 432577894 /nfs/dbraw/zinc/57/78/94/432577894.db2.gz JYXSEROOBFBEGB-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN COc1cc(C(=O)Nc2ccccc2SC[C@H](C)C#N)on1 ZINC000155883595 432578662 /nfs/dbraw/zinc/57/86/62/432578662.db2.gz LAPRCZDGKXAWCD-SNVBAGLBSA-N 0 1 317.370 3.187 20 30 DGEDMN Cc1sc(NC(=O)CN2CCC[C@@H]2C2CCC2)c(C#N)c1C ZINC000177114000 432587259 /nfs/dbraw/zinc/58/72/59/432587259.db2.gz ZLQGJXJEFCVGMV-OAHLLOKOSA-N 0 1 317.458 3.440 20 30 DGEDMN C=CC[C@@H](C)[C@H](C)[NH2+]Cc1nnc(COc2ccccc2)[n-]1 ZINC000186431143 432594978 /nfs/dbraw/zinc/59/49/78/432594978.db2.gz KBACMCXXGALHTI-KGLIPLIRSA-N 0 1 300.406 3.074 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CCc3cccc(F)c3C2)c1 ZINC000157873008 432631167 /nfs/dbraw/zinc/63/11/67/432631167.db2.gz ZHFOVWBFWHROTH-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cccc2[nH]cnc21 ZINC000157883896 432631921 /nfs/dbraw/zinc/63/19/21/432631921.db2.gz JTBDGYMQECZDEM-UHFFFAOYSA-N 0 1 318.380 3.487 20 30 DGEDMN C=CC[C@@H](C)NCc1cccc(Cl)c1S(=O)(=O)CC ZINC000187248136 432654112 /nfs/dbraw/zinc/65/41/12/432654112.db2.gz JDJDEZOHDOIFFZ-LLVKDONJSA-N 0 1 301.839 3.188 20 30 DGEDMN Cc1c(-c2cc(CN[C@@H](C)c3ccc(C#N)cc3)on2)cnn1C ZINC000274369706 432656859 /nfs/dbraw/zinc/65/68/59/432656859.db2.gz ZYIZRJUERZONII-LBPRGKRZSA-N 0 1 321.384 3.106 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCc2ccc(OCC#N)cc2)n1 ZINC000264696729 432662507 /nfs/dbraw/zinc/66/25/07/432662507.db2.gz FDEWEQVUVPYTJY-UHFFFAOYSA-N 0 1 302.403 3.297 20 30 DGEDMN CC(C)(C)c1nc(SCc2ccc(OCC#N)cc2)n[nH]1 ZINC000264696729 432662509 /nfs/dbraw/zinc/66/25/09/432662509.db2.gz FDEWEQVUVPYTJY-UHFFFAOYSA-N 0 1 302.403 3.297 20 30 DGEDMN Cc1ncc(CN(C)CCCOc2cccc(C#N)c2)s1 ZINC000178301386 432716872 /nfs/dbraw/zinc/71/68/72/432716872.db2.gz FUCFAPMQTXWDKX-UHFFFAOYSA-N 0 1 301.415 3.224 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1cc(C#N)ccc1C ZINC000188404785 432724032 /nfs/dbraw/zinc/72/40/32/432724032.db2.gz YCLRHLDQSHXZQR-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1C#N ZINC000188953291 432755623 /nfs/dbraw/zinc/75/56/23/432755623.db2.gz KCPVTOMMALBIQM-UHFFFAOYSA-N 0 1 321.336 3.175 20 30 DGEDMN Cc1ccc([C@H](CNc2nc(C)ccc2C#N)N2CCCC2)o1 ZINC000161078953 432757427 /nfs/dbraw/zinc/75/74/27/432757427.db2.gz RPNCYGMVUFILAI-INIZCTEOSA-N 0 1 310.401 3.412 20 30 DGEDMN COc1ccc(NS(=O)(=O)c2cc(C#N)ccc2Cl)cc1 ZINC000160753051 432738425 /nfs/dbraw/zinc/73/84/25/432738425.db2.gz SXDYCGBGZOWRSF-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN CC(C)(C)c1ccc(S(=O)(=O)Nc2cc(C#N)ccn2)cc1 ZINC000276598069 432822598 /nfs/dbraw/zinc/82/25/98/432822598.db2.gz KFVPWURQTUKWJN-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN COc1cccc([C@@H](C)N2CCN([C@H](C)CCC#N)CC2)c1 ZINC000276303343 432793796 /nfs/dbraw/zinc/79/37/96/432793796.db2.gz KKBDHRSJULUXDY-HZPDHXFCSA-N 0 1 301.434 3.066 20 30 DGEDMN C#CCC1(C(=O)NC[C@H](c2cccs2)N(C)C)CCCCC1 ZINC000276364965 432800943 /nfs/dbraw/zinc/80/09/43/432800943.db2.gz ZIBKKKYIJGUSCT-OAHLLOKOSA-N 0 1 318.486 3.441 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2cncc(F)c2)CC1 ZINC000277575219 432861466 /nfs/dbraw/zinc/86/14/66/432861466.db2.gz BUCIKKJEVCWTDL-UHFFFAOYSA-N 0 1 304.413 3.063 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)N(C)c2nccs2)CCCC1(C)C ZINC000277739358 432873209 /nfs/dbraw/zinc/87/32/09/432873209.db2.gz KQQSXIFGYJZCTI-ZDUSSCGKSA-N 0 1 307.463 3.173 20 30 DGEDMN CC[C@H](C)n1ncc(C(=O)NCCN2CC=C(C)CC2)c1C ZINC000330055250 432891068 /nfs/dbraw/zinc/89/10/68/432891068.db2.gz UBLXFEMCZIITND-AWEZNQCLSA-N 0 1 304.438 3.119 20 30 DGEDMN C[C@@H]1CC[C@H]([NH+]=C([O-])N[C@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330184042 432896149 /nfs/dbraw/zinc/89/61/49/432896149.db2.gz IIRPVOOVHRFOHS-KEYYUXOJSA-N 0 1 324.428 3.340 20 30 DGEDMN C[C@@H]1CC[C@H](NC([O-])=[NH+][C@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330184042 432896151 /nfs/dbraw/zinc/89/61/51/432896151.db2.gz IIRPVOOVHRFOHS-KEYYUXOJSA-N 0 1 324.428 3.340 20 30 DGEDMN O=C(CNC(=O)CC1CCCC1)N[C@@H]1CCCc2cn[nH]c21 ZINC000330129766 432894513 /nfs/dbraw/zinc/89/45/13/432894513.db2.gz OOIAYOXFBMZHCV-CYBMUJFWSA-N 0 1 304.394 3.280 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2ncc(C#N)cc2Cl)n[nH]1 ZINC000277478114 432854203 /nfs/dbraw/zinc/85/42/03/432854203.db2.gz SGDYYBRNWBDIGU-RKDXNWHRSA-N 0 1 304.785 3.411 20 30 DGEDMN O=C(Nc1cccc(F)c1)C1CCN([C@H]2CCCNC2=O)CC1 ZINC000330166201 432895224 /nfs/dbraw/zinc/89/52/24/432895224.db2.gz XTJHYDWMJIHASU-HNNXBMFYSA-N 0 1 319.380 3.245 20 30 DGEDMN CC1(C)CCCN(C(=O)c2cc(-c3cccs3)[nH]n2)[C@@H]1C#N ZINC000277539290 432859033 /nfs/dbraw/zinc/85/90/33/432859033.db2.gz NEZAJPQDXRMSOU-CQSZACIVSA-N 0 1 314.414 3.293 20 30 DGEDMN CC(C)CC[C@@H]1CCCCN1C([O-])=[NH+][C@@H](C)Cn1cccn1 ZINC000331007687 432926524 /nfs/dbraw/zinc/92/65/24/432926524.db2.gz IBRAWZMGSXHPHY-HOTGVXAUSA-N 0 1 306.454 3.476 20 30 DGEDMN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000331166435 432933697 /nfs/dbraw/zinc/93/36/97/432933697.db2.gz TZRQSVGXVQSINA-GXFFZTMASA-N 0 1 305.447 3.296 20 30 DGEDMN C[C@@H](NCCNC(=O)OC(C)(C)C)c1ccc(C#N)cc1Cl ZINC000279160889 432940893 /nfs/dbraw/zinc/94/08/93/432940893.db2.gz UBNXIAAEFYLYGL-LLVKDONJSA-N 0 1 323.824 3.387 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](c2ccncc2)C1 ZINC000289301533 432943160 /nfs/dbraw/zinc/94/31/60/432943160.db2.gz IGQYMOAIURSCCQ-QGZVFWFLSA-N 0 1 307.397 3.341 20 30 DGEDMN Cc1ccc(-n2cccn2)cc1NC(=O)[C@@H]1CCCN1C1CC1 ZINC000330240572 432899812 /nfs/dbraw/zinc/89/98/12/432899812.db2.gz VYFUANDXAPBUJY-KRWDZBQOSA-N 0 1 310.401 3.396 20 30 DGEDMN Cc1cnn([C@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@H]3c3ccccc3)C2)c1 ZINC000330287362 432902396 /nfs/dbraw/zinc/90/23/96/432902396.db2.gz CITPPHXYELQVIN-OKZBNKHCSA-N 0 1 324.428 3.299 20 30 DGEDMN CC(C)(C)c1cnc(NC(=O)c2c[nH]nc2[C@H]2CCOC2)s1 ZINC000330326942 432904238 /nfs/dbraw/zinc/90/42/38/432904238.db2.gz KNOHBKIHSJTPAD-VIFPVBQESA-N 0 1 320.418 3.304 20 30 DGEDMN Cc1ccc(NC(=O)NC(=O)[C@H](C)N2CC=C(C)CC2)c(C)c1 ZINC000330459942 432911851 /nfs/dbraw/zinc/91/18/51/432911851.db2.gz JMUYIHRBBHOMCL-HNNXBMFYSA-N 0 1 315.417 3.006 20 30 DGEDMN C=C[C@@H](CO)N[C@@H](C)c1cc2cc(Br)ccc2o1 ZINC000289815474 432974730 /nfs/dbraw/zinc/97/47/30/432974730.db2.gz ORPAWUUDYSEZIY-CABZTGNLSA-N 0 1 310.191 3.393 20 30 DGEDMN Fc1cccc(OC(F)(F)F)c1CNCCOC(F)(F)F ZINC000341411660 433051103 /nfs/dbraw/zinc/05/11/03/433051103.db2.gz WNMBAWGDFOVWIR-UHFFFAOYSA-N 0 1 321.192 3.350 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)NCc1n[nH]c(C(C)C)n1 ZINC000298216597 433059340 /nfs/dbraw/zinc/05/93/40/433059340.db2.gz VFQOSLUSTIJEGL-UHFFFAOYSA-N 0 1 319.453 3.206 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)NC2CCCCC2)s1 ZINC000419827715 433073684 /nfs/dbraw/zinc/07/36/84/433073684.db2.gz BQQAVLSWAJVRCM-GFCCVEGCSA-N 0 1 305.447 3.497 20 30 DGEDMN CC[C@@H](CC(F)(F)F)N[C@H](C)C(=O)Nc1ccccc1C#N ZINC000354538630 433140142 /nfs/dbraw/zinc/14/01/42/433140142.db2.gz FCOSDZSYZCXGKQ-PWSUYJOCSA-N 0 1 313.323 3.206 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)C[C@H]1OCCc2ccccc21 ZINC000446839030 433114990 /nfs/dbraw/zinc/11/49/90/433114990.db2.gz ONRWBYWAOLKPNQ-HXUWFJFHSA-N 0 1 322.408 3.313 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1CN[C@H](c1ncc[nH]1)c1ccc(F)cc1 ZINC000280775416 433168827 /nfs/dbraw/zinc/16/88/27/433168827.db2.gz HRZCEGKFGZJMCA-PVAVHDDUSA-N 0 1 315.392 3.209 20 30 DGEDMN CCc1cc(NS(=O)(=O)c2ccccc2C#N)ccc1F ZINC000350518550 433192282 /nfs/dbraw/zinc/19/22/82/433192282.db2.gz VGWRLAVFWFWOHL-UHFFFAOYSA-N 0 1 304.346 3.061 20 30 DGEDMN C=CCN1CC[C@H](N2CCC[C@@H]2c2ccc(OCC)cc2)C1=O ZINC000337129581 433205846 /nfs/dbraw/zinc/20/58/46/433205846.db2.gz JDCNEPSFRTVGKV-MSOLQXFVSA-N 0 1 314.429 3.009 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](C)c2cc3cccc(F)c3o2)C1=O ZINC000337205083 433214114 /nfs/dbraw/zinc/21/41/14/433214114.db2.gz JTXRCAVAAFHPHD-BXUZGUMPSA-N 0 1 302.349 3.009 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)C1=O ZINC000337200790 433215690 /nfs/dbraw/zinc/21/56/90/433215690.db2.gz ZZXZETNRGPTDNU-VNQPRFMTSA-N 0 1 302.418 3.148 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)Cc1ccc(F)cc1)c1ccccc1 ZINC000298738895 433233193 /nfs/dbraw/zinc/23/31/93/433233193.db2.gz BTLGCXIWNQMIDR-LJQANCHMSA-N 0 1 324.399 3.138 20 30 DGEDMN C[C@H](CCC#N)[N@H+]1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000298794652 433247578 /nfs/dbraw/zinc/24/75/78/433247578.db2.gz DPRCYPXOIBKQHL-NEPJUHHUSA-N 0 1 300.328 3.231 20 30 DGEDMN C=C(C)CN(C)C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCC1(F)F ZINC000354743224 433251397 /nfs/dbraw/zinc/25/13/97/433251397.db2.gz GMEDRYVJSJHZQK-MRXNPFEDSA-N 0 1 322.399 3.178 20 30 DGEDMN CC1(C)C[N@@H+](Cc2ccccc2)CC[C@H]1Nc1ccc(C#N)cn1 ZINC000337801365 433260807 /nfs/dbraw/zinc/26/08/07/433260807.db2.gz IINVKEUPNMAMBI-GOSISDBHSA-N 0 1 320.440 3.666 20 30 DGEDMN COc1cccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C#N)c2)c1 ZINC000298874044 433267412 /nfs/dbraw/zinc/26/74/12/433267412.db2.gz LJODLNORKXTUFS-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN COc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C#N)c2)c1 ZINC000298874040 433267955 /nfs/dbraw/zinc/26/79/55/433267955.db2.gz LJODLNORKXTUFS-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN CC(C)c1nc(CSc2nc3c(cc2C#N)CCCC3)n[nH]1 ZINC000291705775 433320524 /nfs/dbraw/zinc/32/05/24/433320524.db2.gz PKCXKGCQDXPCCQ-UHFFFAOYSA-N 0 1 313.430 3.366 20 30 DGEDMN CCC(C)(C)CCN1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000338251557 433288147 /nfs/dbraw/zinc/28/81/47/433288147.db2.gz KMJUTFWJOBYIAQ-AUUYWEPGSA-N 0 1 311.429 3.158 20 30 DGEDMN CCC(C)(C)CCN1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000338251569 433288624 /nfs/dbraw/zinc/28/86/24/433288624.db2.gz KMJUTFWJOBYIAQ-LIRRHRJNSA-N 0 1 311.429 3.158 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@H]2CCc3c2cccc3Cl)C1=O ZINC000281401511 433299958 /nfs/dbraw/zinc/29/99/58/433299958.db2.gz QOOKXWMCVCVZKC-HOTGVXAUSA-N 0 1 304.821 3.046 20 30 DGEDMN C=CCN(CCOC)Cc1ccccc1OCc1ccncc1 ZINC000361641856 433312503 /nfs/dbraw/zinc/31/25/03/433312503.db2.gz DRGDWQGZBHRHNR-UHFFFAOYSA-N 0 1 312.413 3.295 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccccc2)[C@@H](C)C2CC2)CC1 ZINC000299460590 433366321 /nfs/dbraw/zinc/36/63/21/433366321.db2.gz ZUVVKBCGDVYVEH-KRWDZBQOSA-N 0 1 324.468 3.159 20 30 DGEDMN Cc1cc(CN2CCSCC2)ccc1NC(=O)CCCC#N ZINC000291738424 433331369 /nfs/dbraw/zinc/33/13/69/433331369.db2.gz RMWOUEKWFCYWCJ-UHFFFAOYSA-N 0 1 317.458 3.176 20 30 DGEDMN Cn1cc([C@@H](NCC(C)(C)CC#N)c2cccc(F)c2)cn1 ZINC000414159375 433427734 /nfs/dbraw/zinc/42/77/34/433427734.db2.gz BHLKRUHTPLDSEX-INIZCTEOSA-N 0 1 300.381 3.178 20 30 DGEDMN N#CC(C(=O)c1cc(F)ccc1-c1ccoc1)c1ccncn1 ZINC000361792196 433390010 /nfs/dbraw/zinc/39/00/10/433390010.db2.gz KNRFCZDMONMEFM-HNNXBMFYSA-N 0 1 307.284 3.366 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000426000011 433397441 /nfs/dbraw/zinc/39/74/41/433397441.db2.gz GFNLUZCCVHSVQC-INIZCTEOSA-N 0 1 319.424 3.137 20 30 DGEDMN Cc1n[nH]cc1C1CCN(Cc2cn3ccccc3c2C#N)CC1 ZINC000375336547 433435038 /nfs/dbraw/zinc/43/50/38/433435038.db2.gz OEBXIBDCIKKIRD-UHFFFAOYSA-N 0 1 319.412 3.222 20 30 DGEDMN COc1ccccc1[C@H](CNc1ccc(C#N)cc1F)N(C)C ZINC000301155188 433437342 /nfs/dbraw/zinc/43/73/42/433437342.db2.gz RCEFCVLMXYZTFG-KRWDZBQOSA-N 0 1 313.376 3.421 20 30 DGEDMN C[C@H](c1cccc(F)c1)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292042916 433439820 /nfs/dbraw/zinc/43/98/20/433439820.db2.gz ASEMMSRLXJXYOK-CXAGYDPISA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@@H](C(F)(F)F)[C@H](CO)C1 ZINC000286342430 290220602 /nfs/dbraw/zinc/22/06/02/290220602.db2.gz FDWKTZVJSCTEEI-BYCMXARLSA-N 0 1 312.335 3.112 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(N3CCCC3=O)c2)cc1F ZINC000421322922 433478907 /nfs/dbraw/zinc/47/89/07/433478907.db2.gz FIUZTZCGPPBJBM-UHFFFAOYSA-N 0 1 323.371 3.114 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(N3CCCCC3)nc2)cc1F ZINC000421334299 433482668 /nfs/dbraw/zinc/48/26/68/433482668.db2.gz PWNZWRPEMFKZKE-UHFFFAOYSA-N 0 1 324.403 3.372 20 30 DGEDMN C=CCN1CC[C@@H](NC2(c3ccc(Cl)cc3)CCC2)C1=O ZINC000282144890 433511988 /nfs/dbraw/zinc/51/19/88/433511988.db2.gz ZVJGZXTXKYSJRO-OAHLLOKOSA-N 0 1 304.821 3.096 20 30 DGEDMN C[C@H]1C[C@@H](C(=O)OC(C)(C)C)CN(Cc2cc(C#N)cs2)C1 ZINC000421390910 433538735 /nfs/dbraw/zinc/53/87/35/433538735.db2.gz SYWFWGRODPJEOJ-GXTWGEPZSA-N 0 1 320.458 3.419 20 30 DGEDMN COCCCN(Cc1ccncc1)Cc1ccc(C#N)c(F)c1 ZINC000421357793 433500159 /nfs/dbraw/zinc/50/01/59/433500159.db2.gz QQPUFHNOHSMCDH-UHFFFAOYSA-N 0 1 313.376 3.131 20 30 DGEDMN N#CC(C(=O)c1cncc(F)c1)c1cc(C(F)(F)F)ccn1 ZINC000362172626 433562799 /nfs/dbraw/zinc/56/27/99/433562799.db2.gz TWUDPLONMXZRRQ-LLVKDONJSA-N 0 1 309.222 3.125 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000292653653 433586260 /nfs/dbraw/zinc/58/62/60/433586260.db2.gz CPCLFMMWDDXAFI-LBPRGKRZSA-N 0 1 314.401 3.213 20 30 DGEDMN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1cccc(C#N)c1 ZINC000283663249 433757505 /nfs/dbraw/zinc/75/75/05/433757505.db2.gz FKWRUKZBHDPKNE-MSOLQXFVSA-N 0 1 304.397 3.112 20 30 DGEDMN C=CCCN(C)CC(=O)N1CC[C@@H](C)Sc2ccccc21 ZINC000342765691 433759621 /nfs/dbraw/zinc/75/96/21/433759621.db2.gz QADXZLIXWYAEOL-CQSZACIVSA-N 0 1 304.459 3.412 20 30 DGEDMN CC[C@H](C(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1)N(C)C ZINC000356992349 433807333 /nfs/dbraw/zinc/80/73/33/433807333.db2.gz MPHKRSNWDQLHIE-QGZVFWFLSA-N 0 1 324.384 3.024 20 30 DGEDMN C=C(CNC(=O)N1CCC(c2cn[nH]c2C)CC1)c1ccccc1 ZINC000377029950 433845005 /nfs/dbraw/zinc/84/50/05/433845005.db2.gz KFCUYEBDRXTIFJ-UHFFFAOYSA-N 0 1 324.428 3.321 20 30 DGEDMN C=CCC[C@H](NC(=O)CN(C)[C@H]1CCSC1)c1ccccc1 ZINC000344309236 433861629 /nfs/dbraw/zinc/86/16/29/433861629.db2.gz DHMSPLHXAPUAEJ-IRXDYDNUSA-N 0 1 318.486 3.247 20 30 DGEDMN CN(C)Cc1ccc(CNc2c(C#N)cccc2C#N)cc1F ZINC000302889310 433899744 /nfs/dbraw/zinc/89/97/44/433899744.db2.gz OVEOPPBEZIWBIZ-UHFFFAOYSA-N 0 1 308.360 3.243 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000285306743 433921213 /nfs/dbraw/zinc/92/12/13/433921213.db2.gz VFCSIQQOYONBHV-KRWDZBQOSA-N 0 1 317.458 3.114 20 30 DGEDMN N#Cc1cccc(C#N)c1Sc1nc(-c2ccccc2)n[nH]1 ZINC000436268963 433921859 /nfs/dbraw/zinc/92/18/59/433921859.db2.gz IYWXRJVCDYWTJN-UHFFFAOYSA-N 0 1 303.350 3.366 20 30 DGEDMN Cc1ccn(-c2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2)n1 ZINC000357302261 433944700 /nfs/dbraw/zinc/94/47/00/433944700.db2.gz PZNBHZHEAMOLBN-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=CCC[C@H](NCC1(S(C)(=O)=O)CCCC1)c1ccco1 ZINC000285540172 433985415 /nfs/dbraw/zinc/98/54/15/433985415.db2.gz DFORAKRLJMZOTP-AWEZNQCLSA-N 0 1 311.447 3.234 20 30 DGEDMN COCCN(Cc1cccs1)Cc1ccc(OC)c(C#N)c1 ZINC000340130714 433936410 /nfs/dbraw/zinc/93/64/10/433936410.db2.gz ZXSGSLXCAFATJT-UHFFFAOYSA-N 0 1 316.426 3.277 20 30 DGEDMN C[C@@H]1C[C@@]1(C#N)C(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000450189683 434019885 /nfs/dbraw/zinc/01/98/85/434019885.db2.gz ALVZPQLAAXFJCB-UHLUBPPHSA-N 0 1 308.263 3.070 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCC(O)(c3ccccc3Cl)CC2)C1 ZINC000294202560 434038047 /nfs/dbraw/zinc/03/80/47/434038047.db2.gz RMHFVAHVZUHSLA-UONOGXRCSA-N 0 1 304.821 3.316 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1ccc(Cl)cc1O ZINC000436542138 434000654 /nfs/dbraw/zinc/00/06/54/434000654.db2.gz DSFHRDJZNYSRJN-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN COc1cc(CNCc2ccccc2OC(F)F)ccc1C#N ZINC000353228076 434070312 /nfs/dbraw/zinc/07/03/12/434070312.db2.gz PYNSGDAALVGMLA-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN COc1cccc2c1OCC[C@H]2NCc1ccc(C#N)s1 ZINC000377991093 434052065 /nfs/dbraw/zinc/05/20/65/434052065.db2.gz DDRRUODDDKAXEX-CQSZACIVSA-N 0 1 300.383 3.242 20 30 DGEDMN N#Cc1ccnc(N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000450376826 434140856 /nfs/dbraw/zinc/14/08/56/434140856.db2.gz JRTLIYRZALEPQD-AWEZNQCLSA-N 0 1 324.775 3.219 20 30 DGEDMN CC1(C)C(=O)Nc2cc(C(=O)N[C@@H]3CCc4nc[nH]c4C3)ccc21 ZINC000328938829 434333140 /nfs/dbraw/zinc/33/31/40/434333140.db2.gz RRHZUHNGQUTKAL-LLVKDONJSA-N 0 1 324.384 3.151 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1)C(=O)N1c2ccccc2C[C@H]1C ZINC000358020801 434289671 /nfs/dbraw/zinc/28/96/71/434289671.db2.gz DVROOQPQWBMZSB-HUUCEWRRSA-N 0 1 319.408 3.014 20 30 DGEDMN C=CCCCN1CCCC[C@@H]1c1nnc(C2CCOCC2)o1 ZINC000365173058 434291313 /nfs/dbraw/zinc/29/13/13/434291313.db2.gz XMNDZJNSVFEHKK-OAHLLOKOSA-N 0 1 305.422 3.457 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@@H]3CCC(C)(C)C3)C2)n[nH]1 ZINC000328746337 434304339 /nfs/dbraw/zinc/30/43/39/434304339.db2.gz PSRWBXGWPVYTAR-ZIAGYGMSSA-N 0 1 304.438 3.390 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@@H]3CCCC3(C)C)C2)n[nH]1 ZINC000328737529 434306671 /nfs/dbraw/zinc/30/66/71/434306671.db2.gz LRCVZMBXAJRDNN-UKRRQHHQSA-N 0 1 304.438 3.390 20 30 DGEDMN O=C(CCC(=O)N[C@@H]1CCCc2cn[nH]c21)NC1CCCC1 ZINC000328865169 434320461 /nfs/dbraw/zinc/32/04/61/434320461.db2.gz NFQZBWFEUIQPLL-CYBMUJFWSA-N 0 1 304.394 3.423 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CCCC(F)(F)C2)n[nH]1 ZINC000328945227 434331722 /nfs/dbraw/zinc/33/17/22/434331722.db2.gz VAQJIGBNXMTOFP-VHSXEESVSA-N 0 1 315.368 3.071 20 30 DGEDMN CC1(C)C(=O)Nc2cc(C(=O)N[C@H]3CCc4nc[nH]c4C3)ccc21 ZINC000328938830 434332629 /nfs/dbraw/zinc/33/26/29/434332629.db2.gz RRHZUHNGQUTKAL-NSHDSACASA-N 0 1 324.384 3.151 20 30 DGEDMN C[N@H+](Cc1ccc(Br)cc1C#N)C[C@H]1CCCOC1 ZINC000287448091 434362231 /nfs/dbraw/zinc/36/22/31/434362231.db2.gz VEUWBOXFGXVFIY-GFCCVEGCSA-N 0 1 323.234 3.179 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)C[C@H]1CCCOC1 ZINC000287448091 434362239 /nfs/dbraw/zinc/36/22/39/434362239.db2.gz VEUWBOXFGXVFIY-GFCCVEGCSA-N 0 1 323.234 3.179 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)N(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000329225326 434374857 /nfs/dbraw/zinc/37/48/57/434374857.db2.gz GETZFYRSUVUVCE-DJIMGWMZSA-N 0 1 324.428 3.195 20 30 DGEDMN Cc1nc([C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)n[nH]1 ZINC000329256599 434382680 /nfs/dbraw/zinc/38/26/80/434382680.db2.gz AYQZBYDZASEADB-SCUASFONSA-N 0 1 305.426 3.037 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc(NC(N)=O)cc1 ZINC000358211926 434384274 /nfs/dbraw/zinc/38/42/74/434384274.db2.gz ZZZMOOFJYMMTMD-NSHDSACASA-N 0 1 312.348 3.039 20 30 DGEDMN C=CCC[C@H](C(=O)NCc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC000295427541 434355352 /nfs/dbraw/zinc/35/53/52/434355352.db2.gz MOKGDSYEFQKGOA-HNNXBMFYSA-N 0 1 310.401 3.048 20 30 DGEDMN [O-]C(=[NH+][C@@H]1C[C@@H]1C1CCCCC1)N1CC[C@@H](n2cccn2)C1 ZINC000329621568 434443328 /nfs/dbraw/zinc/44/33/28/434443328.db2.gz NAJCQTAMYBHJCE-BZUAXINKSA-N 0 1 302.422 3.013 20 30 DGEDMN C=CCC1(C(=O)NCc2n[nH]c(C(C)(C)C)n2)CCCCC1 ZINC000458017957 434445648 /nfs/dbraw/zinc/44/56/48/434445648.db2.gz CLTDUJCFLFTLPI-UHFFFAOYSA-N 0 1 304.438 3.245 20 30 DGEDMN CC(C)[C@@H]1OCCC[C@H]1CNC(=O)c1cc2[nH]cnc2cc1F ZINC000329406164 434406391 /nfs/dbraw/zinc/40/63/91/434406391.db2.gz XEMJESWJTYZMBF-ZBEGNZNMSA-N 0 1 319.380 3.458 20 30 DGEDMN Cc1nc2ccc(CSc3nc(C)nc(C)c3C#N)cc2[nH]1 ZINC000358863697 434408132 /nfs/dbraw/zinc/40/81/32/434408132.db2.gz QZCMYRKCQJTUOQ-UHFFFAOYSA-N 0 1 309.398 3.442 20 30 DGEDMN C[C@@H](C(=O)Nc1nccs1)N1CCC2(CCOCC2)[C@@H]1C ZINC000329467730 434416515 /nfs/dbraw/zinc/41/65/15/434416515.db2.gz WJWQUQRGNCKPFK-RYUDHWBXSA-N 0 1 309.435 3.011 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329543092 434429319 /nfs/dbraw/zinc/42/93/19/434429319.db2.gz FENIFLBPBQSJDX-MCIONIFRSA-N 0 1 318.465 3.376 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CC[C@@H]4CCC[C@H]4C3)C2)c1 ZINC000329685832 434460851 /nfs/dbraw/zinc/46/08/51/434460851.db2.gz YOXOKRRGWPFVOQ-MWDXBVQZSA-N 0 1 316.449 3.321 20 30 DGEDMN CC[C@@H]1CCC[C@H]([NH+]=C([O-])N2CC[C@H](n3cc(C)cn3)C2)C1 ZINC000329742040 434470621 /nfs/dbraw/zinc/47/06/21/434470621.db2.gz WHAOJOKDXONJAI-PMPSAXMXSA-N 0 1 304.438 3.321 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)c2ccccc21 ZINC000329747884 434472648 /nfs/dbraw/zinc/47/26/48/434472648.db2.gz SPRNDCKPIUFSPM-ZFWWWQNUSA-N 0 1 324.428 3.441 20 30 DGEDMN CCc1c(NC(=O)[C@H]2C[C@]23CCOC3)[nH]nc1-c1ccncc1 ZINC000329753717 434473918 /nfs/dbraw/zinc/47/39/18/434473918.db2.gz WXOQEJDEANVMHZ-DYVFJYSZSA-N 0 1 312.373 3.049 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000329754456 434476367 /nfs/dbraw/zinc/47/63/67/434476367.db2.gz XICQGCZCZCFUFA-DVOMOZLQSA-N 0 1 304.438 3.082 20 30 DGEDMN N#Cc1cccc(NCC(=O)Nc2cc(F)cc(Cl)c2O)c1 ZINC000353891306 434505205 /nfs/dbraw/zinc/50/52/05/434505205.db2.gz QZZITTGEBFJPDT-UHFFFAOYSA-N 0 1 319.723 3.107 20 30 DGEDMN CC(C)c1nnc(CNC(=O)[C@H](C)N2CCCCCC2)s1 ZINC000329790796 434478240 /nfs/dbraw/zinc/47/82/40/434478240.db2.gz DAPOFPRHMTVUJA-LBPRGKRZSA-N 0 1 310.467 3.383 20 30 DGEDMN COc1ccc2c(c1)C1(CC1)CN(C(=O)Nc1ncc(C)o1)C2 ZINC000329794982 434478532 /nfs/dbraw/zinc/47/85/32/434478532.db2.gz WGDCXYCCAAEJAJ-UHFFFAOYSA-N 0 1 313.357 3.085 20 30 DGEDMN CCC1(C)CN(C([O-])=[NH+][C@@H]2CCc3nc(C(C)(C)C)cn3C2)C1 ZINC000329791853 434479406 /nfs/dbraw/zinc/47/94/06/434479406.db2.gz FAAOGQFSWKZFFP-CYBMUJFWSA-N 0 1 318.465 3.141 20 30 DGEDMN C[C@@H](C(=O)NC1CCC(C)CC1)N1CC[C@H](n2cccn2)C1 ZINC000329803049 434484649 /nfs/dbraw/zinc/48/46/49/434484649.db2.gz JNBFFTSLRXTTHC-GRAKBVBRSA-N 0 1 304.438 3.054 20 30 DGEDMN Cc1nc(C)c(C(=O)N[C@@H]2CCN([C@H](C)c3ccccc3)C2)o1 ZINC000329848184 434491689 /nfs/dbraw/zinc/49/16/89/434491689.db2.gz RJHNYMGQQLQBCR-CZUORRHYSA-N 0 1 313.401 3.432 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329861038 434495852 /nfs/dbraw/zinc/49/58/52/434495852.db2.gz PXSDPQPTEBNHRU-KFWWJZLASA-N 0 1 318.465 3.376 20 30 DGEDMN C[C@H]1CN(CCC(=O)Nc2cccc(C#N)c2)C[C@H](C2CC2)O1 ZINC000329892251 434501243 /nfs/dbraw/zinc/50/12/43/434501243.db2.gz ADKZBMJHVVHIFG-SUMWQHHRSA-N 0 1 313.401 3.036 20 30 DGEDMN CCc1ccc([C@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])cc1 ZINC000417581431 434452209 /nfs/dbraw/zinc/45/22/09/434452209.db2.gz HOFGUDNGWHOOPP-HNNXBMFYSA-N 0 1 300.383 3.098 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)[C@@H]1CCCc2c(O)cccc21 ZINC000329662807 434454288 /nfs/dbraw/zinc/45/42/88/434454288.db2.gz OZSDMAVHOFJAEA-BXUZGUMPSA-N 0 1 311.385 3.049 20 30 DGEDMN N#Cc1ccc(CN[C@@]2(CO)CCCc3ccccc32)cc1F ZINC000367109312 434539740 /nfs/dbraw/zinc/53/97/40/434539740.db2.gz UGFNTTIJBIQHSU-LJQANCHMSA-N 0 1 310.372 3.011 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)c1F)c1ccc([S@](C)=O)cc1 ZINC000360210353 434548381 /nfs/dbraw/zinc/54/83/81/434548381.db2.gz XHTGNWNJWWJVCC-IPQOISQHSA-N 0 1 316.401 3.286 20 30 DGEDMN C#CCN(Cc1cn(C)nc1-c1ccncc1)Cc1ccccc1 ZINC000367659281 434552773 /nfs/dbraw/zinc/55/27/73/434552773.db2.gz UKMYNVUCHCQWHD-UHFFFAOYSA-N 0 1 316.408 3.118 20 30 DGEDMN COc1cc(CN2CCC(OC3CCC3)CC2)ccc1C#N ZINC000418087466 434582135 /nfs/dbraw/zinc/58/21/35/434582135.db2.gz CDZOUHLTYKVXLS-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN CCn1nccc1C1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000369301386 434593142 /nfs/dbraw/zinc/59/31/42/434593142.db2.gz BPKPGIYPVBYBFL-UHFFFAOYSA-N 0 1 312.392 3.293 20 30 DGEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N1CCCC2(CCCCC2)C1 ZINC000330277312 295387923 /nfs/dbraw/zinc/38/79/23/295387923.db2.gz OAYUEQMQOIEOSV-HNNXBMFYSA-N 0 1 316.449 3.158 20 30 DGEDMN Cc1noc([C@H]2CCCN(Cc3ccc(C#N)cc3Cl)C2)n1 ZINC000439432681 434601772 /nfs/dbraw/zinc/60/17/72/434601772.db2.gz VBBHXBKBQYVBRR-AWEZNQCLSA-N 0 1 316.792 3.283 20 30 DGEDMN COC(=O)c1cc(CN[C@@H]2CCCc3cc(C#N)ccc32)oc1C ZINC000418154069 434606992 /nfs/dbraw/zinc/60/69/92/434606992.db2.gz ZRAPKWYEWWFFIH-GOSISDBHSA-N 0 1 324.380 3.414 20 30 DGEDMN N#Cc1cccc(CN[C@]2(CO)CCCc3ccccc32)c1F ZINC000440817781 434747876 /nfs/dbraw/zinc/74/78/76/434747876.db2.gz RAZYKSVYNGULKR-IBGZPJMESA-N 0 1 310.372 3.011 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N[C@H](C)c1ccc(Cl)cc1 ZINC000459785770 434717914 /nfs/dbraw/zinc/71/79/14/434717914.db2.gz KIWYLHDFOXQIEY-XNJGSVPQSA-N 0 1 321.852 3.434 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N[C@@H](C)c1ccc(Cl)cc1 ZINC000459824860 434730707 /nfs/dbraw/zinc/73/07/07/434730707.db2.gz KIWYLHDFOXQIEY-DCGLDWPTSA-N 0 1 321.852 3.434 20 30 DGEDMN Cc1cc(C)c2ncc(C#N)c(N3CCN(C4CCC4)CC3)c2c1 ZINC000361034020 434775355 /nfs/dbraw/zinc/77/53/55/434775355.db2.gz VKIWQJQQOFPHAF-UHFFFAOYSA-N 0 1 320.440 3.398 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@H](C)[C@@H](C)[C@@H]2C)c(C#N)c1C ZINC000419369218 434778723 /nfs/dbraw/zinc/77/87/23/434778723.db2.gz HGUGKDHQVBWAMF-UMNHJUIQSA-N 0 1 305.447 3.151 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)ccc1Cl ZINC000554593857 434937607 /nfs/dbraw/zinc/93/76/07/434937607.db2.gz RTSODGNELRNVMN-UHFFFAOYSA-N 0 1 314.654 3.206 20 30 DGEDMN COc1ccc([C@H]2C[C@H](NCc3nc(C#N)cs3)C2)cc1F ZINC000554976415 434955839 /nfs/dbraw/zinc/95/58/39/434955839.db2.gz UVQIVYCZTQWTMF-HAQNSBGRSA-N 0 1 317.389 3.198 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C=Cc3ccc(C#N)cc3)ccc12 ZINC000492317798 434958938 /nfs/dbraw/zinc/95/89/38/434958938.db2.gz GQWRLYNGEFMPCF-TWGQIWQCSA-N 0 1 302.337 3.395 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2[C@H](C)[C@H]2C2CC2)cc1 ZINC000528918579 435030464 /nfs/dbraw/zinc/03/04/64/435030464.db2.gz JFVXVLGBPSFOKO-KLWIRTJPSA-N 0 1 310.397 3.188 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(F)c(Cl)c2)nc(C)n1 ZINC000528913124 435030582 /nfs/dbraw/zinc/03/05/82/435030582.db2.gz BJYGTKKMXVSZKN-LBPRGKRZSA-N 0 1 317.751 3.305 20 30 DGEDMN C=C[C@H](C)NC(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000464755776 434998333 /nfs/dbraw/zinc/99/83/33/434998333.db2.gz JPXCWOAAZGUSQG-INIZCTEOSA-N 0 1 323.440 3.242 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000466261496 435068645 /nfs/dbraw/zinc/06/86/45/435068645.db2.gz RJWHIKPZGXIXEW-QZTJIDSGSA-N 0 1 312.457 3.301 20 30 DGEDMN CN(Cc1cnc2c(C#N)cnn2c1)[C@H]1CCCc2ccccc21 ZINC000578749780 435106839 /nfs/dbraw/zinc/10/68/39/435106839.db2.gz GYTQJMIYZNOZSQ-SFHVURJKSA-N 0 1 317.396 3.110 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000467291741 435117245 /nfs/dbraw/zinc/11/72/45/435117245.db2.gz XWCVLOCXJJCHAG-HNNXBMFYSA-N 0 1 300.446 3.251 20 30 DGEDMN CCOC(=O)N1CCCN(CCC2(C#N)CCCCC2)CC1 ZINC000496482945 435215302 /nfs/dbraw/zinc/21/53/02/435215302.db2.gz WWGBFUTZUYFZFD-UHFFFAOYSA-N 0 1 307.438 3.015 20 30 DGEDMN CN1CCN(c2ccc(F)cc2C#N)C[C@@H]1Cc1ccccc1 ZINC000561423479 435215764 /nfs/dbraw/zinc/21/57/64/435215764.db2.gz HXFKLGRQEDASEX-SFHVURJKSA-N 0 1 309.388 3.060 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000496505553 435216280 /nfs/dbraw/zinc/21/62/80/435216280.db2.gz AZMBQJVSLDSKBS-ILXRZTDVSA-N 0 1 309.454 3.113 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H]([C@H]2CCCCN2CCC#N)C1 ZINC000496629373 435233675 /nfs/dbraw/zinc/23/36/75/435233675.db2.gz ZPZBMUMNYDQLFT-HZPDHXFCSA-N 0 1 321.465 3.402 20 30 DGEDMN C[C@](C#N)(NC(=O)c1[nH]nc2c1CCCCC2)C1CCCCC1 ZINC000562306924 435256946 /nfs/dbraw/zinc/25/69/46/435256946.db2.gz LNHMMZLAUISGHH-GOSISDBHSA-N 0 1 314.433 3.271 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2c(C#N)nnc3ccccc32)C1 ZINC000535265656 435328326 /nfs/dbraw/zinc/32/83/26/435328326.db2.gz LSOVGSXEVFISBI-GOSISDBHSA-N 0 1 315.380 3.100 20 30 DGEDMN Clc1cccc(C#CC[N@H+]2CCC[C@@H](n3ccnn3)CC2)c1 ZINC000639819787 435432366 /nfs/dbraw/zinc/43/23/66/435432366.db2.gz ZCHLBVLNOVRGIM-QGZVFWFLSA-N 0 1 314.820 3.010 20 30 DGEDMN C=C(C)[C@@H](NC(=O)[C@H](C)Cc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000568409687 435432871 /nfs/dbraw/zinc/43/28/71/435432871.db2.gz ONCQXAKCLOWUJI-BDJLRTHQSA-N 0 1 319.355 3.300 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000539388567 435551740 /nfs/dbraw/zinc/55/17/40/435551740.db2.gz OHFUWTDMFPREHM-WMLDXEAASA-N 0 1 321.440 3.472 20 30 DGEDMN CC#CC[C@@H](CO)Nc1ccnc2cc(C(F)(F)F)ccc21 ZINC000645406028 435637025 /nfs/dbraw/zinc/63/70/25/435637025.db2.gz OOCOFOZZUYPMOL-LBPRGKRZSA-N 0 1 308.303 3.440 20 30 DGEDMN C=CCCC[N@@H+]1Cc2cccc(Br)c2C[C@H]1C(=O)[O-] ZINC000570168545 435597150 /nfs/dbraw/zinc/59/71/50/435597150.db2.gz KUBTUOBPMWPVIX-AWEZNQCLSA-N 0 1 324.218 3.227 20 30 DGEDMN COc1ccc(C2CCN(CC#Cc3ccccc3)CC2)nc1 ZINC000649650959 435657032 /nfs/dbraw/zinc/65/70/32/435657032.db2.gz RPCKYDWVOFGILX-UHFFFAOYSA-N 0 1 306.409 3.321 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2ccccc2Cl)CC1 ZINC000490853849 435684315 /nfs/dbraw/zinc/68/43/15/435684315.db2.gz VFHJUCNYYFTUBS-ZDUSSCGKSA-N 0 1 305.805 3.289 20 30 DGEDMN C#CCNC(=O)N1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000491252917 435721935 /nfs/dbraw/zinc/72/19/35/435721935.db2.gz KIIYHFWQOWGGFD-CQSZACIVSA-N 0 1 316.792 3.086 20 30 DGEDMN CC(C)[C@H](NCC#Cc1ccccc1Cl)[C@H](O)C(F)(F)F ZINC000660525767 435789066 /nfs/dbraw/zinc/78/90/66/435789066.db2.gz OPSQYRDMZAANIZ-KBPBESRZSA-N 0 1 319.754 3.229 20 30 DGEDMN C=CCOCCNCc1oc2ccccc2c1Br ZINC000655758158 435780007 /nfs/dbraw/zinc/78/00/07/435780007.db2.gz RVCQNLBTKIXFCR-UHFFFAOYSA-N 0 1 310.191 3.488 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@H](c2ccccn2)C1 ZINC000660633116 435833239 /nfs/dbraw/zinc/83/32/39/435833239.db2.gz ZNSBQTGIEXXSBT-SJORKVTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)NCCCc1cn[nH]c1C ZINC000656536663 435969382 /nfs/dbraw/zinc/96/93/82/435969382.db2.gz QCEZEGGZZBQZET-HOCLYGCPSA-N 0 1 304.438 3.085 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(c2ccco2)CC1 ZINC000661164270 435982744 /nfs/dbraw/zinc/98/27/44/435982744.db2.gz TYKFEFJFHPZTLU-HNNXBMFYSA-N 0 1 304.434 3.272 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(c2ccco2)CC1 ZINC000661163928 435982787 /nfs/dbraw/zinc/98/27/87/435982787.db2.gz RFXAXNKDKBIRBR-HNNXBMFYSA-N 0 1 302.418 3.048 20 30 DGEDMN COCC#CCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000656678347 436002671 /nfs/dbraw/zinc/00/26/71/436002671.db2.gz DQEFALCOHCRATP-INIZCTEOSA-N 0 1 317.820 3.393 20 30 DGEDMN N#CC(C(=O)c1cnn2c1CCCC2)c1nc(C2CC2)cs1 ZINC000647378618 436035805 /nfs/dbraw/zinc/03/58/05/436035805.db2.gz LZGBRXLTBBQYIB-LLVKDONJSA-N 0 1 312.398 3.043 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2OC)C1 ZINC000661377487 436050565 /nfs/dbraw/zinc/05/05/65/436050565.db2.gz OFWLJCCXNBVJRV-LSDHHAIUSA-N 0 1 302.418 3.310 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](Nc2ccccc2)C(C)C)nn1 ZINC000657354358 436153616 /nfs/dbraw/zinc/15/36/16/436153616.db2.gz PFCFEMUWCIDPTN-GOSISDBHSA-N 0 1 313.449 3.081 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc3c2OCCCO3)n1 ZINC000651259398 436156280 /nfs/dbraw/zinc/15/62/80/436156280.db2.gz PNLYHJXFDYAGSA-LBPRGKRZSA-N 0 1 314.366 3.103 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2cccc3c2OCCCO3)n1 ZINC000651259398 436156283 /nfs/dbraw/zinc/15/62/83/436156283.db2.gz PNLYHJXFDYAGSA-LBPRGKRZSA-N 0 1 314.366 3.103 20 30 DGEDMN C=CCCn1cc(CNCC2(c3cccc(Cl)c3)CC2)nn1 ZINC000657369712 436163502 /nfs/dbraw/zinc/16/35/02/436163502.db2.gz CPKSPNFLHLVHTB-UHFFFAOYSA-N 0 1 316.836 3.329 20 30 DGEDMN C=CCN(CCCS(=O)(=O)c1ccc(F)cc1)C(C)(C)C ZINC000661760341 436179529 /nfs/dbraw/zinc/17/95/29/436179529.db2.gz RRPHFRUMBGXUEY-UHFFFAOYSA-N 0 1 313.438 3.276 20 30 DGEDMN C=CCCn1cc(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)nn1 ZINC000653558118 436204661 /nfs/dbraw/zinc/20/46/61/436204661.db2.gz VFBZWTCXUHFZDU-GDBMZVCRSA-N 0 1 314.408 3.371 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1nc(C)c(C)s1 ZINC000662086459 436269493 /nfs/dbraw/zinc/26/94/93/436269493.db2.gz SVUQBACXWVJOCA-BNOWGMLFSA-N 0 1 305.447 3.270 20 30 DGEDMN FC(F)(F)c1ccc(C#CCN[C@H]2CCCn3nccc32)cc1 ZINC000657922367 436341082 /nfs/dbraw/zinc/34/10/82/436341082.db2.gz JPGGZWXXBQPGBE-HNNXBMFYSA-N 0 1 319.330 3.378 20 30 DGEDMN C=CCCNC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000663456844 436462342 /nfs/dbraw/zinc/46/23/42/436462342.db2.gz DOACUIRVXPRDEQ-INIZCTEOSA-N 0 1 321.852 3.352 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1Nc1nccc2cc(OC)c(OC)cc21 ZINC000663968928 436536257 /nfs/dbraw/zinc/53/62/57/436536257.db2.gz KPHJYUQVXFTQDG-KGLIPLIRSA-N 0 1 300.358 3.007 20 30 DGEDMN C[C@H](N[C@H]1C[C@H](C)N(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000174255689 312761859 /nfs/dbraw/zinc/76/18/59/312761859.db2.gz CNMIETKZROFSEW-DOXZYTNZSA-N 0 1 319.408 3.403 20 30 DGEDMN Cc1sc(NC(=O)CN2CC[C@@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000563066795 315076487 /nfs/dbraw/zinc/07/64/87/315076487.db2.gz AWOOISBEOCNUNQ-ZFWWWQNUSA-N 0 1 317.458 3.440 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCCc2ccsc2)CC1 ZINC000564042295 315154107 /nfs/dbraw/zinc/15/41/07/315154107.db2.gz RXAXKVYWUWHQFO-UHFFFAOYSA-N 0 1 311.454 3.375 20 30 DGEDMN C[C@H](O)C[C@@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000576222215 316107972 /nfs/dbraw/zinc/10/79/72/316107972.db2.gz RTLUXKXQKVFXLQ-WFASDCNBSA-N 0 1 324.371 3.285 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c(F)c1 ZINC000576293852 316115712 /nfs/dbraw/zinc/11/57/12/316115712.db2.gz YHLMTXKROYIKBV-ZDUSSCGKSA-N 0 1 311.360 3.295 20 30 DGEDMN Cc1noc(C2CC2)c1NC(=O)c1c(F)cc(C#N)cc1F ZINC000182831106 323313346 /nfs/dbraw/zinc/31/33/46/323313346.db2.gz LRQHAUFUXGQCBY-UHFFFAOYSA-N 0 1 303.268 3.263 20 30 DGEDMN Cc1cnc([C@@H](C)NCCC(=O)Nc2cccc(C#N)c2)s1 ZINC000185039559 323379647 /nfs/dbraw/zinc/37/96/47/323379647.db2.gz JFSZXHAVVQMBLA-GFCCVEGCSA-N 0 1 314.414 3.003 20 30 DGEDMN Cc1cnc([C@H](NCCOc2ccccc2C#N)C2CC2)s1 ZINC000185332830 323388620 /nfs/dbraw/zinc/38/86/20/323388620.db2.gz USTYYXMOVGHIPT-MRXNPFEDSA-N 0 1 313.426 3.443 20 30 DGEDMN CC(C)[C@H]1CN(C(=O)c2ccc(C#N)c(O)c2)c2ccccc2N1 ZINC000191111477 323444990 /nfs/dbraw/zinc/44/49/90/323444990.db2.gz RTGBVCKSLVFLJC-MRXNPFEDSA-N 0 1 321.380 3.361 20 30 DGEDMN CC(C)n1cnnc1[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000581496445 324123783 /nfs/dbraw/zinc/12/37/83/324123783.db2.gz HLKWSXDCQTXPFP-CYBMUJFWSA-N 0 1 315.446 3.172 20 30 DGEDMN Cc1ccc(NC(=O)C[C@H](C)NCC2(C#N)CC2)cc1Cl ZINC000516947108 332348147 /nfs/dbraw/zinc/34/81/47/332348147.db2.gz NFLYIBMSEXMMLZ-LBPRGKRZSA-N 0 1 305.809 3.259 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC000560576278 332363668 /nfs/dbraw/zinc/36/36/68/332363668.db2.gz KKMAFNLUUKUSQN-FAFZWHIHSA-N 0 1 319.493 3.285 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)[C@@]3(C#N)C[C@@H]3C)[nH]c2c1 ZINC000560637445 332371807 /nfs/dbraw/zinc/37/18/07/332371807.db2.gz ULNREDVVOFJGJF-XLWVJDPXSA-N 0 1 308.385 3.085 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2cc(Cl)ccc2Cl)CC1 ZINC000561170887 332419454 /nfs/dbraw/zinc/41/94/54/332419454.db2.gz VDSQKRMYBYSETG-ZDUSSCGKSA-N 0 1 316.228 3.385 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](CCN(CCC#N)CC2CC2)C1 ZINC000497200991 333221304 /nfs/dbraw/zinc/22/13/04/333221304.db2.gz FYZWNFQBEGJGRM-INIZCTEOSA-N 0 1 321.465 3.259 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cccc(C(F)(F)F)c1O ZINC000519178855 335810764 /nfs/dbraw/zinc/81/07/64/335810764.db2.gz PRYIKGXELAYGNR-SECBINFHSA-N 0 1 300.280 3.033 20 30 DGEDMN C=CCCC1(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000527003204 336279126 /nfs/dbraw/zinc/27/91/26/336279126.db2.gz MMBJUTIRBKPAMY-CQSZACIVSA-N 0 1 314.433 3.135 20 30 DGEDMN CC1CCC(N(C)C(=O)CN2CCC(CCC#N)CC2)CC1 ZINC000582603709 337112455 /nfs/dbraw/zinc/11/24/55/337112455.db2.gz OKYHWIKSNKIVAS-UHFFFAOYSA-N 0 1 305.466 3.039 20 30 DGEDMN Fc1ccc(C#CCN2CC[C@H](n3ncc4ccccc43)C2)cc1 ZINC000510459965 340300063 /nfs/dbraw/zinc/30/00/63/340300063.db2.gz SXWSMYZSFGZKJO-IBGZPJMESA-N 0 1 319.383 3.474 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N(C)Cc1c(CC)oc2ccccc21 ZINC000172883890 340346075 /nfs/dbraw/zinc/34/60/75/340346075.db2.gz MQWAWEPOIRAVQI-CYBMUJFWSA-N 0 1 300.402 3.118 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N1CC[C@H](C)C(C)(C)C1 ZINC000545419190 341124622 /nfs/dbraw/zinc/12/46/22/341124622.db2.gz GQOZSXYKYAZMLG-GJZGRUSLSA-N 0 1 305.466 3.086 20 30 DGEDMN C=CCOc1ccc(CNC(C)(C)c2cnn(C)c2)cc1OC ZINC000547410385 341211813 /nfs/dbraw/zinc/21/18/13/341211813.db2.gz OBABWIZYVZKTLD-UHFFFAOYSA-N 0 1 315.417 3.018 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC(CC#N)CC3)n[nH]2)cc1C ZINC000547719866 341228617 /nfs/dbraw/zinc/22/86/17/341228617.db2.gz KGOYPHQPHGIPPB-UHFFFAOYSA-N 0 1 322.412 3.459 20 30 DGEDMN N#CCC[C@H](C#N)CNCCn1ccc2cc(Cl)ccc21 ZINC000552017278 341361118 /nfs/dbraw/zinc/36/11/18/341361118.db2.gz QNTTZUBEGLNLNX-CYBMUJFWSA-N 0 1 300.793 3.328 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000552514581 341397086 /nfs/dbraw/zinc/39/70/86/341397086.db2.gz HKUPTUJIENTRDD-NVXWUHKLSA-N 0 1 318.436 3.428 20 30 DGEDMN CC[C@@H](CC#N)NCCC(=O)Nc1ccccc1Br ZINC000565958857 341543724 /nfs/dbraw/zinc/54/37/24/341543724.db2.gz FPJFSUQKYAGSJW-NSHDSACASA-N 0 1 324.222 3.060 20 30 DGEDMN Cc1cc(F)ccc1N1CCC[C@@H](NC[C@H](C#N)CCC#N)C1 ZINC000567079731 341578745 /nfs/dbraw/zinc/57/87/45/341578745.db2.gz WUXYPVUAXBSHEY-DOTOQJQBSA-N 0 1 314.408 3.136 20 30 DGEDMN Cc1cc(Cl)ccc1CN1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000576771211 341862727 /nfs/dbraw/zinc/86/27/27/341862727.db2.gz YMVKKYZZUSOEEZ-YJBOKZPZSA-N 0 1 317.864 3.458 20 30 DGEDMN Cc1c(NC(=O)c2ccc(C#N)c(O)c2)cnn1CCC(C)C ZINC000442674160 533917061 /nfs/dbraw/zinc/91/70/61/533917061.db2.gz VIQLLQRGIDEFMY-UHFFFAOYSA-N 0 1 312.373 3.067 20 30 DGEDMN C[C@@H]1CCN(CC(=O)c2cn(CCC#N)c3ccccc23)[C@@H]1C ZINC000177870563 534028401 /nfs/dbraw/zinc/02/84/01/534028401.db2.gz JYEGOYMIZFWKNY-HUUCEWRRSA-N 0 1 309.413 3.468 20 30 DGEDMN COC(=O)c1ccccc1-c1ccc(CN2CCC(C#N)CC2)o1 ZINC000132256638 534286019 /nfs/dbraw/zinc/28/60/19/534286019.db2.gz ZFPGTQCOCIXVAF-UHFFFAOYSA-N 0 1 324.380 3.469 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(CC(C)C)CC1)c1ccccc1 ZINC000494915872 534326644 /nfs/dbraw/zinc/32/66/44/534326644.db2.gz FXFRXKKRKRHWGX-SFHVURJKSA-N 0 1 300.446 3.147 20 30 DGEDMN Cc1noc(C[C@H](NCc2ccc(C#N)s2)c2ccccc2)n1 ZINC000428644769 534422904 /nfs/dbraw/zinc/42/29/04/534422904.db2.gz ALADYDRJHGQEPD-INIZCTEOSA-N 0 1 324.409 3.385 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(-c2cccc(F)c2)n1 ZINC000451684113 534575187 /nfs/dbraw/zinc/57/51/87/534575187.db2.gz WKMQQQSBIALKTI-CVEARBPZSA-N 0 1 302.349 3.304 20 30 DGEDMN C=CCCCCNC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000471700679 534689169 /nfs/dbraw/zinc/68/91/69/534689169.db2.gz CQPMYCZFRWXTKX-UHFFFAOYSA-N 0 1 318.486 3.322 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N1CCc2[nH]c3ccccc3c2C1 ZINC000489482955 534693166 /nfs/dbraw/zinc/69/31/66/534693166.db2.gz DJJBDDMTEQNSND-HNNXBMFYSA-N 0 1 323.440 3.093 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)NCc1ccc(OCCC)cc1 ZINC000489422198 534693289 /nfs/dbraw/zinc/69/32/89/534693289.db2.gz FSUAFVIOSHMGFP-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@@H](C(=O)OC)[C@H]2C)cc1Cl ZINC000470149866 534883351 /nfs/dbraw/zinc/88/33/51/534883351.db2.gz UVGATKAPYAUGDE-TZMCWYRMSA-N 0 1 323.820 3.288 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc(-n2ccnc2)nc1 ZINC000177251401 526357250 /nfs/dbraw/zinc/35/72/50/526357250.db2.gz AAOUMSNAUSGIJL-UHFFFAOYSA-N 0 1 304.397 3.456 20 30 DGEDMN C=CCN(Cc1ccco1)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000353514439 526365655 /nfs/dbraw/zinc/36/56/55/526365655.db2.gz GUKAJUNLXPVTDC-AWEZNQCLSA-N 0 1 309.373 3.005 20 30 DGEDMN C=CCN1CC[C@H](NC2(c3cccc(C(F)(F)F)c3)CC2)C1=O ZINC000337166268 526513077 /nfs/dbraw/zinc/51/30/77/526513077.db2.gz ZHLMRBURBMOQIJ-AWEZNQCLSA-N 0 1 324.346 3.071 20 30 DGEDMN C=CCNC(=O)CN[C@@H]1CCCSc2ccc(Cl)cc21 ZINC000180038142 526571641 /nfs/dbraw/zinc/57/16/41/526571641.db2.gz IDZPVHCMEKVZMS-CYBMUJFWSA-N 0 1 310.850 3.159 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000347312650 526573716 /nfs/dbraw/zinc/57/37/16/526573716.db2.gz FEFKFDYLMJJXRE-SNVBAGLBSA-N 0 1 321.471 3.133 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000353402901 526668628 /nfs/dbraw/zinc/66/86/28/526668628.db2.gz AFTNVUDQLZVFHP-HUUCEWRRSA-N 0 1 317.433 3.198 20 30 DGEDMN C=CCOCC(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC000427808159 526724529 /nfs/dbraw/zinc/72/45/29/526724529.db2.gz WPYBRFJBSDOUHG-UHFFFAOYSA-N 0 1 307.353 3.371 20 30 DGEDMN C=CCOCCCN1CCc2cccc(Br)c2C1 ZINC000348043159 526759142 /nfs/dbraw/zinc/75/91/42/526759142.db2.gz XPZLKFYFCKGSJH-UHFFFAOYSA-N 0 1 310.235 3.400 20 30 DGEDMN CC(C)(C)c1nc(CN2CCC[C@@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000331366416 526842325 /nfs/dbraw/zinc/84/23/25/526842325.db2.gz ADKVDUQLFHUTAF-HZPDHXFCSA-N 0 1 323.444 3.374 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](CO)CC(F)(F)F)cc1Cl ZINC000339791261 526888431 /nfs/dbraw/zinc/88/84/31/526888431.db2.gz FLOXZWYTOPWUIH-LLVKDONJSA-N 0 1 323.742 3.308 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2C[C@@H](C)N(CC)C[C@H]2C)c1 ZINC000346643569 526913571 /nfs/dbraw/zinc/91/35/71/526913571.db2.gz YDWIAUQSTXKHTG-HUUCEWRRSA-N 0 1 317.433 3.198 20 30 DGEDMN C=CCOc1cccnc1C(=O)Nc1cccc(Cl)c1O ZINC000342169594 526970124 /nfs/dbraw/zinc/97/01/24/526970124.db2.gz VJXFHXNSMIHOFH-UHFFFAOYSA-N 0 1 304.733 3.258 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000353030384 527044922 /nfs/dbraw/zinc/04/49/22/527044922.db2.gz DFPFYJADBCATPQ-HUUCEWRRSA-N 0 1 307.438 3.316 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000347745326 527154241 /nfs/dbraw/zinc/15/42/41/527154241.db2.gz NLXYYIXPSQZYBB-YJBOKZPZSA-N 0 1 315.461 3.087 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000346040601 527154523 /nfs/dbraw/zinc/15/45/23/527154523.db2.gz ALNYGSNHEGQUTC-JKSUJKDBSA-N 0 1 307.413 3.082 20 30 DGEDMN C=CCCCN1CCN(Cc2nc3ccccc3s2)CC1 ZINC000349010988 527199609 /nfs/dbraw/zinc/19/96/09/527199609.db2.gz RDQBMKMLEASLNH-UHFFFAOYSA-N 0 1 301.459 3.380 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000342974653 527266467 /nfs/dbraw/zinc/26/64/67/527266467.db2.gz WYYHFPAVWSYHMF-CYBMUJFWSA-N 0 1 300.406 3.415 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000343007762 527266745 /nfs/dbraw/zinc/26/67/45/527266745.db2.gz VFNQMIXKVSHDMY-CQSZACIVSA-N 0 1 321.440 3.431 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@H](CC)c1ccccc1OCC ZINC000342760114 527319837 /nfs/dbraw/zinc/31/98/37/527319837.db2.gz DOLVDRPMTQRKPW-MRXNPFEDSA-N 0 1 304.434 3.161 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000342741972 527325059 /nfs/dbraw/zinc/32/50/59/527325059.db2.gz ZTRTYUPLKRLFQV-QGZVFWFLSA-N 0 1 313.445 3.447 20 30 DGEDMN C=CCCOCCCCC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000342865023 527335622 /nfs/dbraw/zinc/33/56/22/527335622.db2.gz CQXGXVNKZQKJEI-UHFFFAOYSA-N 0 1 315.417 3.251 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2cccc(F)c2C#N)CC1 ZINC000174819505 527463929 /nfs/dbraw/zinc/46/39/29/527463929.db2.gz RCLUFEJNGZSROF-UHFFFAOYSA-N 0 1 314.408 3.149 20 30 DGEDMN C=CCC[C@H](NCc1ccc2c(c1)C(=O)NCC2)c1ccco1 ZINC000353689649 527485573 /nfs/dbraw/zinc/48/55/73/527485573.db2.gz QMGWVSQPXRPGEM-KRWDZBQOSA-N 0 1 310.397 3.363 20 30 DGEDMN C=CCN(C)Cc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000154773074 527573829 /nfs/dbraw/zinc/57/38/29/527573829.db2.gz YNNYVEMINLWPDC-UHFFFAOYSA-N 0 1 302.330 3.481 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000341085909 527615587 /nfs/dbraw/zinc/61/55/87/527615587.db2.gz DRTUOKACIWFQQZ-INIZCTEOSA-N 0 1 316.445 3.325 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(CC)Cc1ccccc1C ZINC000343263127 527631571 /nfs/dbraw/zinc/63/15/71/527631571.db2.gz IHNZBXURPDMGKB-KRWDZBQOSA-N 0 1 300.446 3.406 20 30 DGEDMN CC(C)CCC1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000346436414 527700334 /nfs/dbraw/zinc/70/03/34/527700334.db2.gz QRPJOFGRXNRITR-HNNXBMFYSA-N 0 1 305.466 3.086 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1cccc(F)c1 ZINC000173545848 527716143 /nfs/dbraw/zinc/71/61/43/527716143.db2.gz QFZKMCVXHMQESB-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN CC(C)[C@H]1CCCN(Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000444772645 527778662 /nfs/dbraw/zinc/77/86/62/527778662.db2.gz XATJZODLHIRNTN-INIZCTEOSA-N 0 1 310.401 3.476 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccnn2CC(F)F)n1 ZINC000434561822 528240273 /nfs/dbraw/zinc/24/02/73/528240273.db2.gz PMBRNVVKEKYVLZ-VIFPVBQESA-N 0 1 324.356 3.218 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn3ccccc23)n1 ZINC000353857726 528240531 /nfs/dbraw/zinc/24/05/31/528240531.db2.gz MZROHCYWHMTUGW-NSHDSACASA-N 0 1 310.382 3.404 20 30 DGEDMN CC(C)c1nc(C2CCN(Cc3ccc(C#N)cc3)CC2)no1 ZINC000299597732 528268045 /nfs/dbraw/zinc/26/80/45/528268045.db2.gz DZCVVPLUNVUVCK-UHFFFAOYSA-N 0 1 310.401 3.444 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2cc(C)oc2C)n1 ZINC000354650675 528366154 /nfs/dbraw/zinc/36/61/54/528366154.db2.gz HGXVNPBDWGOHLG-LLVKDONJSA-N 0 1 318.354 3.020 20 30 DGEDMN CCN(CCC(=O)N[C@@H]1CCCc2ccccc21)C[C@H](C)C#N ZINC000356471140 528398487 /nfs/dbraw/zinc/39/84/87/528398487.db2.gz HMMBAOSLBNCVNQ-CRAIPNDOSA-N 0 1 313.445 3.052 20 30 DGEDMN CCC(=O)c1ccc(C(=O)C(C#N)c2cc(C)nc(C)n2)cc1 ZINC000340875130 528457343 /nfs/dbraw/zinc/45/73/43/528457343.db2.gz LMEYUBNXBDHRGO-OAHLLOKOSA-N 0 1 307.353 3.176 20 30 DGEDMN CCCNC(=O)c1cccc(CNCc2ccc(C#N)c(C)c2)c1 ZINC000443955431 528463663 /nfs/dbraw/zinc/46/36/63/528463663.db2.gz DCZUCEWJTIJUDG-UHFFFAOYSA-N 0 1 321.424 3.296 20 30 DGEDMN CC(C)[C@@H]1CCCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000444764993 528670145 /nfs/dbraw/zinc/67/01/45/528670145.db2.gz CZVXLHWITQQENL-CABCVRRESA-N 0 1 317.458 3.093 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H](C)c1cc(C)ccc1C ZINC000346277187 528689487 /nfs/dbraw/zinc/68/94/87/528689487.db2.gz VZDOZYZCHOUYLN-NVXWUHKLSA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H](C)c1cc(C)ccc1C ZINC000346277190 528689674 /nfs/dbraw/zinc/68/96/74/528689674.db2.gz VZDOZYZCHOUYLN-WBVHZDCISA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(CCC(C)C)CC1 ZINC000347216121 528691400 /nfs/dbraw/zinc/69/14/00/528691400.db2.gz RASBXXPFHYLASY-MRXNPFEDSA-N 0 1 307.482 3.143 20 30 DGEDMN CCN(C[C@H](C)C#N)CC1(COc2cccc(COC)c2)CC1 ZINC000342995550 528705285 /nfs/dbraw/zinc/70/52/85/528705285.db2.gz UHLFPACVTBBSPA-MRXNPFEDSA-N 0 1 316.445 3.474 20 30 DGEDMN CCC1(C)CCN(CC(=O)N(CCC#N)c2ccccc2)CC1 ZINC000337685927 529021861 /nfs/dbraw/zinc/02/18/61/529021861.db2.gz KTTINJOHGUUHJQ-UHFFFAOYSA-N 0 1 313.445 3.445 20 30 DGEDMN CCC1(CC)CCC([NH+]=C([O-])N(C)CCn2cccn2)CC1 ZINC000331022254 529033932 /nfs/dbraw/zinc/03/39/32/529033932.db2.gz QJWXLDAAAMGPAN-UHFFFAOYSA-N 0 1 306.454 3.478 20 30 DGEDMN CC(C)N1CCN([C@H](C#N)c2ccc(Br)cc2)CC1 ZINC000354878613 529043374 /nfs/dbraw/zinc/04/33/74/529043374.db2.gz JDUQULZLTAUZSP-OAHLLOKOSA-N 0 1 322.250 3.040 20 30 DGEDMN CC[C@H]1CCCN1C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000331044696 529219891 /nfs/dbraw/zinc/21/98/91/529219891.db2.gz FJBPPBFZCMOKFD-AWEZNQCLSA-N 0 1 318.421 3.051 20 30 DGEDMN CCSc1ccc([C@@H](C)NCC(=O)NC2(C#N)CCC2)cc1 ZINC000346935073 529245911 /nfs/dbraw/zinc/24/59/11/529245911.db2.gz DJAQDIRMXOYMKM-CYBMUJFWSA-N 0 1 317.458 3.012 20 30 DGEDMN CC[C@@H](C(=O)N(CC#N)C1CCCC1)N(C)Cc1ccccc1 ZINC000339280044 529272879 /nfs/dbraw/zinc/27/28/79/529272879.db2.gz DJWRIFQMORWZRF-SFHVURJKSA-N 0 1 313.445 3.192 20 30 DGEDMN COc1cc(C)c(CNCc2ccc(C#N)c(F)c2)cc1OC ZINC000449733808 536576231 /nfs/dbraw/zinc/57/62/31/536576231.db2.gz KIGQIIPEEZGXON-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN O=C(COc1ccc(Cl)cc1)Nc1nc(-c2ccco2)n[nH]1 ZINC000078868629 545755576 /nfs/dbraw/zinc/75/55/76/545755576.db2.gz ZQSVNDHQZCHUIM-UHFFFAOYSA-N 0 1 318.720 3.385 20 30 DGEDMN CCc1nn(C)cc1[NH+]=C([O-])Nc1c2c(ccc1OC)CCC2 ZINC000330101335 546495000 /nfs/dbraw/zinc/49/50/00/546495000.db2.gz FKNVGOGXSRMJEY-UHFFFAOYSA-N 0 1 314.389 3.137 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)[C@H]1CCCN1c1ccccc1 ZINC000330212779 546496245 /nfs/dbraw/zinc/49/62/45/546496245.db2.gz DWMYFRHWNXVDIF-HZPDHXFCSA-N 0 1 310.401 3.413 20 30 DGEDMN C=C(C)Cn1c(C2CCCC2)nnc1N1CCN(CC)[C@@H](C)C1 ZINC000670230190 547738312 /nfs/dbraw/zinc/73/83/12/547738312.db2.gz MULAWTGJVFIDMJ-HNNXBMFYSA-N 0 1 317.481 3.042 20 30 DGEDMN CC(C)c1ccc(C(=O)C(C#N)c2nnc3n2CCCCC3)cn1 ZINC000676458190 548364337 /nfs/dbraw/zinc/36/43/37/548364337.db2.gz CUUHRTMXJFYIJG-CQSZACIVSA-N 0 1 323.400 3.013 20 30 DGEDMN Fc1ccc(C#CCN[C@@H](Cn2cccn2)c2ccccc2)cc1 ZINC000676703003 548395275 /nfs/dbraw/zinc/39/52/75/548395275.db2.gz FDYQJHHWZJFEGO-FQEVSTJZSA-N 0 1 319.383 3.405 20 30 DGEDMN C=CCCOCC(=O)Nc1cccc2c1CN(CC(C)C)C2 ZINC000677922683 548580560 /nfs/dbraw/zinc/58/05/60/548580560.db2.gz DKHZLNWIEAYMTR-UHFFFAOYSA-N 0 1 302.418 3.189 20 30 DGEDMN C=CCOCCN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000680350088 549036121 /nfs/dbraw/zinc/03/61/21/549036121.db2.gz YDRNTATWUWESBA-GOSISDBHSA-N 0 1 300.377 3.411 20 30 DGEDMN N#C[C@H](C(=O)c1ccn(C(F)F)n1)c1nccc2ccccc21 ZINC000682994947 549410472 /nfs/dbraw/zinc/41/04/72/549410472.db2.gz MAUPQXFLGABZNK-LBPRGKRZSA-N 0 1 312.279 3.316 20 30 DGEDMN N#CC(C(=O)c1ccn(C(F)F)n1)c1nccc2ccccc21 ZINC000682994947 549410475 /nfs/dbraw/zinc/41/04/75/549410475.db2.gz MAUPQXFLGABZNK-LBPRGKRZSA-N 0 1 312.279 3.316 20 30 DGEDMN C=CCN1CC[C@H](N2CCCC[C@H]2c2ccccc2OC)C1=O ZINC000685001070 549732226 /nfs/dbraw/zinc/73/22/26/549732226.db2.gz ILGCBWFWKBUFHU-IRXDYDNUSA-N 0 1 314.429 3.009 20 30 DGEDMN C#CCOc1ccccc1OC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000730706812 574534325 /nfs/dbraw/zinc/53/43/25/574534325.db2.gz IPUBZCHUPTXQOT-UHFFFAOYSA-N 0 1 322.320 3.209 20 30 DGEDMN Oc1ccc(CN=Nc2ccc(F)cn2)cc1Br ZINC000731318623 574546894 /nfs/dbraw/zinc/54/68/94/574546894.db2.gz JNTJKSYEOLQHPM-UHFFFAOYSA-N 0 1 310.126 3.135 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CCOC[C@@H]1CCOC1 ZINC000731562600 574551773 /nfs/dbraw/zinc/55/17/73/574551773.db2.gz DNLLDGWGLPIKNQ-FBVSVWLOSA-N 0 1 318.200 3.171 20 30 DGEDMN N#Cc1cccc(CNCC(=O)Nc2cccc3ccccc32)c1 ZINC000732231325 574568938 /nfs/dbraw/zinc/56/89/38/574568938.db2.gz LUXCTVGBYZBVLJ-UHFFFAOYSA-N 0 1 315.376 3.440 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000732547409 574575758 /nfs/dbraw/zinc/57/57/58/574575758.db2.gz SKMLCZALDDPIFD-QWRGUYRKSA-N 0 1 321.808 3.375 20 30 DGEDMN COc1ccc(CN=Nc2cnnc3ccccc23)cc1OC ZINC000733748449 574636685 /nfs/dbraw/zinc/63/66/85/574636685.db2.gz YBWMOQSJRRNMQM-UHFFFAOYSA-N 0 1 308.341 3.093 20 30 DGEDMN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(O[C@@H](C)C#N)cc1 ZINC000734852097 574679131 /nfs/dbraw/zinc/67/91/31/574679131.db2.gz OTBGLNGVLZBRFI-UGSOOPFHSA-N 0 1 322.412 3.243 20 30 DGEDMN Cc1nn(C)c2ncc(C=NNc3c(F)cccc3F)cc12 ZINC000735615756 574709783 /nfs/dbraw/zinc/70/97/83/574709783.db2.gz XLJZKZOGACEMJV-UHFFFAOYSA-N 0 1 301.300 3.001 20 30 DGEDMN Cc1cccc(OCC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000735793809 574715756 /nfs/dbraw/zinc/71/57/56/574715756.db2.gz ZKOYVGXWPXJRBF-HNNXBMFYSA-N 0 1 319.364 3.435 20 30 DGEDMN CC(C)C[N@@H+](CCC(=O)[O-])Cc1cc(Cl)ccc1OCC#N ZINC000736432594 574750103 /nfs/dbraw/zinc/75/01/03/574750103.db2.gz PYYNGIVSSXOBKO-UHFFFAOYSA-N 0 1 324.808 3.175 20 30 DGEDMN CC[N@H+](Cc1c(O)c(C(=O)[O-])cc2ccccc21)C[C@@H](C)C#N ZINC000737101825 574823742 /nfs/dbraw/zinc/82/37/42/574823742.db2.gz JNMYWIGTABDKOR-LBPRGKRZSA-N 0 1 312.369 3.225 20 30 DGEDMN C[N@@H+](Cc1cn2ccccc2c1C#N)[C@H](C(=O)[O-])c1ccccc1 ZINC000737496058 574847757 /nfs/dbraw/zinc/84/77/57/574847757.db2.gz OPRYGSRXFJVWPY-SFHVURJKSA-N 0 1 319.364 3.069 20 30 DGEDMN Cc1cccc2c1CC[N@H+]([C@@H](C(=O)[O-])c1ccc(C#N)cc1)C2 ZINC000738746976 574880935 /nfs/dbraw/zinc/88/09/35/574880935.db2.gz BAIDQOSLGMOJIA-GOSISDBHSA-N 0 1 306.365 3.051 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccccc2F)nc(C)n1 ZINC000739144725 574892996 /nfs/dbraw/zinc/89/29/96/574892996.db2.gz WIDOHOBTRJFCKW-ABAIWWIYSA-N 0 1 311.360 3.288 20 30 DGEDMN COc1cc(C=NNc2cccc(C(F)(F)F)n2)ccc1O ZINC000739420748 574902456 /nfs/dbraw/zinc/90/24/56/574902456.db2.gz ACWYFQVKOYBKKH-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2C=NNc2cc(Cl)nc(C)n2)o1 ZINC000741763755 574969102 /nfs/dbraw/zinc/96/91/02/574969102.db2.gz FZGROONBFXVXKW-UHFFFAOYSA-N 0 1 316.752 3.176 20 30 DGEDMN COc1ccc(CN=Nc2ccc(C(F)(F)F)cn2)cc1C#N ZINC000741760367 574969108 /nfs/dbraw/zinc/96/91/08/574969108.db2.gz LMIWVVRCWMPZFQ-UHFFFAOYSA-N 0 1 320.274 3.427 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2cc(C#N)ccc2F)cc1 ZINC000742381756 574994668 /nfs/dbraw/zinc/99/46/68/574994668.db2.gz HTDWPWLZUOOASJ-ZDUSSCGKSA-N 0 1 309.344 3.278 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2ccc(-n3ccnc3)cc2)c1 ZINC000742383956 574995332 /nfs/dbraw/zinc/99/53/32/574995332.db2.gz WIDVZPABZLAKPO-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN Cc1cc([C@H]2CCCN2CCC(=O)Nc2cccc(C#N)c2)no1 ZINC000743258663 575040626 /nfs/dbraw/zinc/04/06/26/575040626.db2.gz IUCWXVWBLMYAJK-QGZVFWFLSA-N 0 1 324.384 3.020 20 30 DGEDMN CC(=O)N1CCC(/N=C\c2cc(Cl)cc(Cl)c2O)CC1 ZINC000743334126 575043770 /nfs/dbraw/zinc/04/37/70/575043770.db2.gz NYDNXCDSQGCKSL-IUXPMGMMSA-N 0 1 315.200 3.129 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1C(=O)CC12CCC2 ZINC000743356853 575045664 /nfs/dbraw/zinc/04/56/64/575045664.db2.gz PQKLAJLFZVEROQ-UHFFFAOYSA-N 0 1 311.429 3.129 20 30 DGEDMN Clc1ccccc1CNN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000743439460 575051203 /nfs/dbraw/zinc/05/12/03/575051203.db2.gz XGWMJYFZRUKFJP-UHFFFAOYSA-N 0 1 311.776 3.249 20 30 DGEDMN C#CCN(CC(=O)N(C)[C@H](C)c1ccccc1)C1CCCCC1 ZINC000744212516 575078704 /nfs/dbraw/zinc/07/87/04/575078704.db2.gz ARJGGDXHXWVYLL-QGZVFWFLSA-N 0 1 312.457 3.474 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@H]2CCCC[C@@H]21)C1CCCCC1 ZINC000744212632 575078721 /nfs/dbraw/zinc/07/87/21/575078721.db2.gz ZZYFXQHDHACKJA-MJGOQNOKSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)c1ccsc1 ZINC001571405045 1191016145 /nfs/dbraw/zinc/01/61/45/1191016145.db2.gz YGDVUPMBVZQTTD-NEPJUHHUSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCc2c(C)cccc2C1 ZINC000729480048 575252737 /nfs/dbraw/zinc/25/27/37/575252737.db2.gz VIWQRHMZZNYTKL-MRXNPFEDSA-N 0 1 300.446 3.166 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1scnc1Cl ZINC000744929926 575364828 /nfs/dbraw/zinc/36/48/28/575364828.db2.gz RUAVCEDPLOFFDF-MRVPVSSYSA-N 0 1 316.773 3.173 20 30 DGEDMN C#CCN(C(=O)NCc1nc2ccc(C)cc2[nH]1)C1CCCCC1 ZINC000745932499 575422608 /nfs/dbraw/zinc/42/26/08/575422608.db2.gz OTTRNUKCZHKPQY-UHFFFAOYSA-N 0 1 324.428 3.349 20 30 DGEDMN CCCCCCCCN1CCN(Cc2noc(CC)n2)CC1 ZINC000746478542 575454960 /nfs/dbraw/zinc/45/49/60/575454960.db2.gz DSGHAMMEALVPHE-UHFFFAOYSA-N 0 1 308.470 3.110 20 30 DGEDMN CCCn1cccc1C=NNc1ccc([N+](=O)[O-])cc1OC ZINC000746681351 575469362 /nfs/dbraw/zinc/46/93/62/575469362.db2.gz GUVABQJDCWNVNV-UHFFFAOYSA-N 0 1 302.334 3.261 20 30 DGEDMN COc1ccc(C)cc1C=NNc1ccc([N+](=O)[O-])cc1OC ZINC000746682608 575470315 /nfs/dbraw/zinc/47/03/15/575470315.db2.gz ZWEXCBMOYOFFDP-UHFFFAOYSA-N 0 1 315.329 3.366 20 30 DGEDMN CCc1c2cc(C)ccc2oc1C(C)N=Nc1nccn(C)c1=O ZINC000748080396 575559636 /nfs/dbraw/zinc/55/96/36/575559636.db2.gz QBKYRYGBEDJUTE-UHFFFAOYSA-N 0 1 324.384 3.233 20 30 DGEDMN Cc1ccc(Cl)c(OCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000748410281 575585359 /nfs/dbraw/zinc/58/53/59/575585359.db2.gz GXTQXIVGAHGKQS-UHFFFAOYSA-N 0 1 316.744 3.243 20 30 DGEDMN N#Cc1ccc(C=NNc2nc3cc(F)c(F)cc3[nH]2)s1 ZINC000748781089 575605938 /nfs/dbraw/zinc/60/59/38/575605938.db2.gz SDZKFFWOHNLBCX-UHFFFAOYSA-N 0 1 303.297 3.220 20 30 DGEDMN N#Cc1ccc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)s1 ZINC000748781089 575605939 /nfs/dbraw/zinc/60/59/39/575605939.db2.gz SDZKFFWOHNLBCX-UHFFFAOYSA-N 0 1 303.297 3.220 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)ccn1 ZINC000749523113 575662983 /nfs/dbraw/zinc/66/29/83/575662983.db2.gz PKSYORGNFDKGHM-HZPDHXFCSA-N 0 1 313.426 3.103 20 30 DGEDMN N#CCCSc1ccccc1NC(=S)NC[C@H]1CCOC1 ZINC000749710815 575673529 /nfs/dbraw/zinc/67/35/29/575673529.db2.gz VSMJYQNKERRHAS-GFCCVEGCSA-N 0 1 321.471 3.015 20 30 DGEDMN Oc1c(F)cc(C=NNc2cnnc3ccccc32)cc1F ZINC000749857662 575683420 /nfs/dbraw/zinc/68/34/20/575683420.db2.gz DWUHDUBQEVZMTR-UHFFFAOYSA-N 0 1 300.268 3.060 20 30 DGEDMN C[C@@H]1Cc2ccccc2C1N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000751131371 575771405 /nfs/dbraw/zinc/77/14/05/575771405.db2.gz UJKVMRJIQRSVFW-GFCCVEGCSA-N 0 1 314.410 3.099 20 30 DGEDMN Cc1ccc(NN=Cc2cnc(-c3cccs3)s2)nn1 ZINC000751529994 575789169 /nfs/dbraw/zinc/78/91/69/575789169.db2.gz XNWBILVCQBJHPZ-UHFFFAOYSA-N 0 1 301.400 3.416 20 30 DGEDMN C(=Nn1cnnc1-c1ccccc1)[C@@H]1CCOc2ccccc21 ZINC000752163448 575825180 /nfs/dbraw/zinc/82/51/80/575825180.db2.gz WYTZOSKGGHOZIJ-HNNXBMFYSA-N 0 1 304.353 3.345 20 30 DGEDMN C[C@H]1CC(=NNCCN2CCCCC2)c2ccsc2S1 ZINC000743439454 575910869 /nfs/dbraw/zinc/91/08/69/575910869.db2.gz XBFDNOKVLFPFDE-LBPRGKRZSA-N 0 1 309.504 3.412 20 30 DGEDMN COc1cc(CN=Nc2cccc(F)c2F)cc(OC)c1O ZINC000743443783 575910946 /nfs/dbraw/zinc/91/09/46/575910946.db2.gz QMQDCJAODUGGOB-UHFFFAOYSA-N 0 1 308.284 3.134 20 30 DGEDMN N#CC(C(=O)CC1CC1)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000916886287 620648960 /nfs/dbraw/zinc/64/89/60/620648960.db2.gz DYZJZYOWDATNIZ-LBPRGKRZSA-N 0 1 324.352 3.449 20 30 DGEDMN COc1cc(Cl)c(C)cc1NC(=O)C(C#N)C(=O)CC1CC1 ZINC000916902380 620649339 /nfs/dbraw/zinc/64/93/39/620649339.db2.gz GCJKXJVFRZTXPU-LLVKDONJSA-N 0 1 320.776 3.105 20 30 DGEDMN C=CCN(CC(=O)N1CCc2sccc2[C@@H]1CC)C(C)C ZINC000917071025 620655364 /nfs/dbraw/zinc/65/53/64/620655364.db2.gz MKWGJLVJAGLPAR-HNNXBMFYSA-N 0 1 306.475 3.480 20 30 DGEDMN C=CCN(CC(=O)NCc1cccc(COC(C)C)c1)C(C)C ZINC000917167816 620659107 /nfs/dbraw/zinc/65/91/07/620659107.db2.gz BOUXZWUBGDBEOY-UHFFFAOYSA-N 0 1 318.461 3.124 20 30 DGEDMN Clc1ccc(NN=Cc2cnc(-c3ccccc3)nc2)nn1 ZINC000917534962 620674788 /nfs/dbraw/zinc/67/47/88/620674788.db2.gz SHRVYFCPKXVTHX-UHFFFAOYSA-N 0 1 310.748 3.033 20 30 DGEDMN CCCCCOc1ccc(C=NNc2ncnc3[nH]cnc32)cc1 ZINC000917555507 620675433 /nfs/dbraw/zinc/67/54/33/620675433.db2.gz SVFNRRPLPUCSJB-UHFFFAOYSA-N 0 1 324.388 3.368 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccco1)N1CCCC1)C(C)C ZINC000918056517 620695684 /nfs/dbraw/zinc/69/56/84/620695684.db2.gz IIEFVYHFNLYFJL-OAHLLOKOSA-N 0 1 305.422 3.023 20 30 DGEDMN Fc1ccc2c(c1)C(N=Nc1ccc(C(F)(F)F)cn1)CO2 ZINC000918153929 620699749 /nfs/dbraw/zinc/69/97/49/620699749.db2.gz USJGQYZLDYRVDA-UHFFFAOYSA-N 0 1 311.238 3.448 20 30 DGEDMN C=CC[C@@H]1CCCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)C1 ZINC000853536980 620707900 /nfs/dbraw/zinc/70/79/00/620707900.db2.gz LNLKRMBBHTYCDH-WBVHZDCISA-N 0 1 316.420 3.387 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cn1c2ccc(Cl)cc2oc1=O ZINC000853598374 620714325 /nfs/dbraw/zinc/71/43/25/620714325.db2.gz PWGRWNOSTJKMFN-BETUJISGSA-N 0 1 304.777 3.388 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1c(O)ccc2ccc(OC)cc21 ZINC000853619468 620716619 /nfs/dbraw/zinc/71/66/19/620716619.db2.gz CDJUPOUOWUNMBJ-UHFFFAOYSA-N 0 1 313.397 3.026 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC000890658174 617635313 /nfs/dbraw/zinc/63/53/13/617635313.db2.gz GYUAJLLPDMWCAM-JTQLQIEISA-N 0 1 303.312 3.128 20 30 DGEDMN CCCN(CCN1CCCCC1)c1snc(Cl)c1C#N ZINC000804815133 617675507 /nfs/dbraw/zinc/67/55/07/617675507.db2.gz OPLARCYRFNJQHJ-UHFFFAOYSA-N 0 1 312.870 3.370 20 30 DGEDMN CO[C@](C)(C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000813096837 617689736 /nfs/dbraw/zinc/68/97/36/617689736.db2.gz YIKFUJYGKJVSLF-ZBEGNZNMSA-N 0 1 306.431 3.432 20 30 DGEDMN COc1ccc(OCCN(C)Cc2csc(C#N)c2)cc1 ZINC000891503534 617818303 /nfs/dbraw/zinc/81/83/03/617818303.db2.gz HYGOPEWFGXQFQP-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN C=CCN(Cc1ccccc1)[C@@H]1CCC2(C[C@H]1F)OCCO2 ZINC000891674267 617865958 /nfs/dbraw/zinc/86/59/58/617865958.db2.gz GTJXFHRMEFFBBH-IAGOWNOFSA-N 0 1 305.393 3.308 20 30 DGEDMN COc1cc(CN[C@H]2CCc3c2nccc3Cl)ccc1C#N ZINC000926447753 618022574 /nfs/dbraw/zinc/02/25/74/618022574.db2.gz FVBNLYWFWPTNPC-HNNXBMFYSA-N 0 1 313.788 3.392 20 30 DGEDMN CC[C@@H](NCc1ccc(C#N)c(OC)c1)c1cccc(OC)n1 ZINC000926448951 618023192 /nfs/dbraw/zinc/02/31/92/618023192.db2.gz PPJBHDVVDNSQEK-OAHLLOKOSA-N 0 1 311.385 3.211 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC2(CCC2)O1)c1nc2ccccc2[nH]1 ZINC000892419829 618062055 /nfs/dbraw/zinc/06/20/55/618062055.db2.gz IGFQMYRONJPJES-QWHCGFSZSA-N 0 1 309.369 3.231 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC2(CCC2)O1)c1nc2ccccc2[nH]1 ZINC000892419828 618062123 /nfs/dbraw/zinc/06/21/23/618062123.db2.gz IGFQMYRONJPJES-OLZOCXBDSA-N 0 1 309.369 3.231 20 30 DGEDMN N#CC(=C([O-])c1cnc(CC2CC2)s1)c1nc2ccccc2[nH]1 ZINC000892420836 618062191 /nfs/dbraw/zinc/06/21/91/618062191.db2.gz YGYQPXRNTRKTAU-NSHDSACASA-N 0 1 322.393 3.462 20 30 DGEDMN N#CC(C(=O)c1cnc(CC2CC2)s1)c1nc2ccccc2[nH]1 ZINC000892420836 618062195 /nfs/dbraw/zinc/06/21/95/618062195.db2.gz YGYQPXRNTRKTAU-NSHDSACASA-N 0 1 322.393 3.462 20 30 DGEDMN CCCCCNC(=O)[C@H](C#N)C(=O)CC(C)(C)CC(F)F ZINC000892465863 618072897 /nfs/dbraw/zinc/07/28/97/618072897.db2.gz VCOQJYBUCYQTMJ-LLVKDONJSA-N 0 1 302.365 3.073 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCC3(CCC3)CO2)nc(C)n1 ZINC000892475267 618076122 /nfs/dbraw/zinc/07/61/22/618076122.db2.gz IROBHZUDKVJEAY-LSDHHAIUSA-N 0 1 313.401 3.009 20 30 DGEDMN N#Cc1ccc(CNCc2cnc(CC(F)(F)F)s2)cc1 ZINC000892602614 618116694 /nfs/dbraw/zinc/11/66/94/618116694.db2.gz CKYLLQCHUJGAII-UHFFFAOYSA-N 0 1 311.332 3.409 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccn(CC(C)C)n3)[nH]c21 ZINC000892706237 618143756 /nfs/dbraw/zinc/14/37/56/618143756.db2.gz UDJXTAPJIVVHJH-CYBMUJFWSA-N 0 1 321.384 3.214 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H]3CC(C)(C)CO3)[nH]c21 ZINC000892706242 618144048 /nfs/dbraw/zinc/14/40/48/618144048.db2.gz UDKKLPRJRVUMPU-CHWSQXEVSA-N 0 1 311.385 3.253 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN[C@@H](C)C(=O)Nc2ccccc2)cc1 ZINC000112099882 620791597 /nfs/dbraw/zinc/79/15/97/620791597.db2.gz FWESCRZRGGTWFB-GJZGRUSLSA-N 0 1 323.396 3.094 20 30 DGEDMN C(=NNc1ncnc2nc[nH]c21)c1ccccc1OC1CCCC1 ZINC000920373343 620797693 /nfs/dbraw/zinc/79/76/93/620797693.db2.gz DMIIWTLCGAUGCL-UHFFFAOYSA-N 0 1 322.372 3.120 20 30 DGEDMN C#CCCOc1ccc(CN=Nc2nc3ccccc3n2C)cc1 ZINC000920373442 620797787 /nfs/dbraw/zinc/79/77/87/620797787.db2.gz AOHDYVVTGMAYOE-UHFFFAOYSA-N 0 1 318.380 3.421 20 30 DGEDMN CC(C)c1ccc2c(c1)C(=NNc1ncnc3nc[nH]c31)CCO2 ZINC000920373165 620797903 /nfs/dbraw/zinc/79/79/03/620797903.db2.gz AAQLGKKTTHPCIV-UHFFFAOYSA-N 0 1 322.372 3.075 20 30 DGEDMN CC(N=Nc1cc(F)cc(F)c1)c1ccc(-n2cncn2)cc1 ZINC000920375403 620798238 /nfs/dbraw/zinc/79/82/38/620798238.db2.gz YHLFHGHHVMLVIP-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnn(C)c2C2CC2)c1 ZINC000892971895 618373556 /nfs/dbraw/zinc/37/35/56/618373556.db2.gz FRIRLHBFOXMRSO-KRWDZBQOSA-N 0 1 324.428 3.268 20 30 DGEDMN Cc1cc(CNCC#Cc2ccccc2)cc(N2CCCC2)n1 ZINC000894543030 618378739 /nfs/dbraw/zinc/37/87/39/618378739.db2.gz UGVVCNJLGXKSDA-UHFFFAOYSA-N 0 1 305.425 3.132 20 30 DGEDMN C#C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Br)cc1 ZINC000894666372 618416498 /nfs/dbraw/zinc/41/64/98/618416498.db2.gz CBNWQIXBAPWGLS-GJZGRUSLSA-N 0 1 320.230 3.425 20 30 DGEDMN C#C[C@H](N[C@@H]1CC[C@H](C#N)C1)c1ccc(Br)cc1 ZINC000894869644 618483905 /nfs/dbraw/zinc/48/39/05/618483905.db2.gz BCKGKKHSVPSYLQ-GLQYFDAESA-N 0 1 303.203 3.405 20 30 DGEDMN CC(C)Cc1ccc(CN(C)C[C@H](O)c2cccc(C#N)c2)cn1 ZINC000895140352 618550931 /nfs/dbraw/zinc/55/09/31/618550931.db2.gz CLQGARLKLWHBBU-FQEVSTJZSA-N 0 1 323.440 3.317 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000909474215 618554473 /nfs/dbraw/zinc/55/44/73/618554473.db2.gz FUPFCEGLVUEDIP-INIZCTEOSA-N 0 1 310.401 3.099 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2cnc(Cl)s2)cc1 ZINC000895156040 618557120 /nfs/dbraw/zinc/55/71/20/618557120.db2.gz RFYPIVCYAVFTPI-JTQLQIEISA-N 0 1 307.806 3.377 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(C(F)(F)F)nc2N(C)C)C1 ZINC000895201936 618574258 /nfs/dbraw/zinc/57/42/58/618574258.db2.gz HVPZXYCUOGYORZ-LBPRGKRZSA-N 0 1 311.351 3.012 20 30 DGEDMN Cc1ncsc1CN(C)CCCOc1ccc(C#N)cc1 ZINC000111617929 618584574 /nfs/dbraw/zinc/58/45/74/618584574.db2.gz RJPWQTIYDSEWNW-UHFFFAOYSA-N 0 1 301.415 3.224 20 30 DGEDMN C[C@H](CC#N)N(C)CC1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000894001751 618692332 /nfs/dbraw/zinc/69/23/32/618692332.db2.gz JTTFLRUMFOYXBA-WZYMTQMTSA-N 0 1 321.465 3.398 20 30 DGEDMN CC(=[NH+][N-]c1ccc(Br)cn1)c1cc(N)ccc1F ZINC000814832246 618731215 /nfs/dbraw/zinc/73/12/15/618731215.db2.gz KQCKTNCHCWNRHV-UHFFFAOYSA-N 0 1 323.169 3.402 20 30 DGEDMN C=C1CCC(C(=O)Nc2nc(-c3ccccc3OC)n[nH]2)CC1 ZINC000895707582 618820029 /nfs/dbraw/zinc/82/00/29/618820029.db2.gz UCVULFVMMAPNLP-UHFFFAOYSA-N 0 1 312.373 3.165 20 30 DGEDMN C=CCC1(O)CCN(Cc2cc3c(ccnc3Cl)o2)CC1 ZINC000895827118 618834174 /nfs/dbraw/zinc/83/41/74/618834174.db2.gz KFEITRYIMPFOAE-UHFFFAOYSA-N 0 1 306.793 3.384 20 30 DGEDMN C=CCC1(O)CCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000895835094 618839741 /nfs/dbraw/zinc/83/97/41/618839741.db2.gz YZSPQXVCNHHOQX-UHFFFAOYSA-N 0 1 315.442 3.108 20 30 DGEDMN COC1(OC)CC2(CN(Cc3cc(C#N)cs3)[C@@H]2C(C)C)C1 ZINC000895989638 618858104 /nfs/dbraw/zinc/85/81/04/618858104.db2.gz NENGNPBEXUFCMX-OAHLLOKOSA-N 0 1 320.458 3.229 20 30 DGEDMN COC1(OC)CC2(CN(Cc3ccc(C#N)s3)[C@H]2C(C)C)C1 ZINC000895988298 618858176 /nfs/dbraw/zinc/85/81/76/618858176.db2.gz BKTXBLUHAZHXLP-HNNXBMFYSA-N 0 1 320.458 3.229 20 30 DGEDMN COC1(OC)CC2(C[N@@H+](Cc3cccc(C#N)c3)[C@@H]2C(C)C)C1 ZINC000895996066 618860188 /nfs/dbraw/zinc/86/01/88/618860188.db2.gz NVBFHMFHBLZVTM-QGZVFWFLSA-N 0 1 314.429 3.168 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000896502767 618922070 /nfs/dbraw/zinc/92/20/70/618922070.db2.gz GXQXBGTYHITKDT-OAGGEKHMSA-N 0 1 321.465 3.258 20 30 DGEDMN CCN(C(=O)[C@H]1CCN1C(C)(C)C)[C@H](C)c1ccc(C#N)cc1 ZINC000896671570 618942681 /nfs/dbraw/zinc/94/26/81/618942681.db2.gz FICGZKXCLQJOPV-RHSMWYFYSA-N 0 1 313.445 3.341 20 30 DGEDMN CO[C@@H]1COCC[C@@H]1C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840706857 618975503 /nfs/dbraw/zinc/97/55/03/618975503.db2.gz LQOZIAJDMOWNCD-LYJFXPOQSA-N 0 1 318.200 3.169 20 30 DGEDMN CCc1ncc(CN2CCC(c3ccc(C#N)cc3)CC2)cn1 ZINC000897589438 619164078 /nfs/dbraw/zinc/16/40/78/619164078.db2.gz YCDXUMVQBLNCBS-UHFFFAOYSA-N 0 1 306.413 3.290 20 30 DGEDMN N#Cc1csc(CN[C@H]2CCc3cccc(Cl)c3C2)n1 ZINC000897629936 619169104 /nfs/dbraw/zinc/16/91/04/619169104.db2.gz PGVIWGIRXLGNEG-NSHDSACASA-N 0 1 303.818 3.315 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CC[C@H](c2nc[nH]n2)C1)c1ccccc1 ZINC000898042934 619209035 /nfs/dbraw/zinc/20/90/35/619209035.db2.gz YZFGGLORYIEIES-DLBZAZTESA-N 0 1 324.428 3.261 20 30 DGEDMN O=C([C@@H]1CCCC[N@@H+]1C1CCCC1)N([O-])Cc1ccc(F)cc1 ZINC000900095325 619404295 /nfs/dbraw/zinc/40/42/95/619404295.db2.gz YQMKJRINGGIKIU-KRWDZBQOSA-N 0 1 320.408 3.341 20 30 DGEDMN O=C([C@@H]1CCCC[N@H+]1C1CCCC1)N([O-])Cc1ccc(F)cc1 ZINC000900095325 619404296 /nfs/dbraw/zinc/40/42/96/619404296.db2.gz YQMKJRINGGIKIU-KRWDZBQOSA-N 0 1 320.408 3.341 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1cc(C(F)F)n[nH]1 ZINC000900339526 619420490 /nfs/dbraw/zinc/42/04/90/619420490.db2.gz HZZWAIHFUABKEI-UHFFFAOYSA-N 0 1 320.299 3.258 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)cc1 ZINC000900418629 619428095 /nfs/dbraw/zinc/42/80/95/619428095.db2.gz QHWZVHIYMGKYEM-WMLDXEAASA-N 0 1 322.412 3.331 20 30 DGEDMN Brc1ccc(NN=Cc2cnn(C3CCC3)c2)nc1 ZINC000901183047 619475189 /nfs/dbraw/zinc/47/51/89/619475189.db2.gz CELXWDAGNVOZCJ-UHFFFAOYSA-N 0 1 320.194 3.212 20 30 DGEDMN Cn1cc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)c(C2CC2)n1 ZINC000901183218 619475284 /nfs/dbraw/zinc/47/52/84/619475284.db2.gz IKHVRAJALUPGTP-UHFFFAOYSA-N 0 1 319.752 3.305 20 30 DGEDMN Cc1cccc([N-]N=Cc2cnc(CC(F)(F)F)s2)[nH+]1 ZINC000901268068 619482451 /nfs/dbraw/zinc/48/24/51/619482451.db2.gz SOXVRHPNWOSRCD-UHFFFAOYSA-N 0 1 300.309 3.397 20 30 DGEDMN CC(C)N(C)c1ccc(C=NNC2CCC(F)(F)CC2)cn1 ZINC000901341353 619485825 /nfs/dbraw/zinc/48/58/25/619485825.db2.gz CTNGWBCRQMAKMP-UHFFFAOYSA-N 0 1 310.392 3.428 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2c3c(nn2C)CCSC3)c(O)c1 ZINC000901483015 619504363 /nfs/dbraw/zinc/50/43/63/619504363.db2.gz NEJGUPXHVRQOGA-WAYWQWQTSA-N 0 1 314.410 3.120 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1cc2n(n1)CCCC2 ZINC000901560574 619516417 /nfs/dbraw/zinc/51/64/17/619516417.db2.gz HHENVPUOUCCBGA-SNAWJCMRSA-N 0 1 304.296 3.099 20 30 DGEDMN O=C(/C=C\c1cc2n(n1)CCCC2)c1ccc(O)cc1Cl ZINC000901579108 619521739 /nfs/dbraw/zinc/52/17/39/619521739.db2.gz PPDTXHVVAXLWOE-DAXSKMNVSA-N 0 1 302.761 3.475 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1ccc(-c2scnc2C)cc1 ZINC000901585279 619522484 /nfs/dbraw/zinc/52/24/84/619522484.db2.gz CAHZKAIKLSCRAJ-UHFFFAOYSA-N 0 1 302.399 3.191 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1ccc(-c2scnc2C)cc1 ZINC000901585279 619522486 /nfs/dbraw/zinc/52/24/86/619522486.db2.gz CAHZKAIKLSCRAJ-UHFFFAOYSA-N 0 1 302.399 3.191 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(CCC#N)cc2)c(C)o1 ZINC000901742394 619539098 /nfs/dbraw/zinc/53/90/98/619539098.db2.gz VFCQVVZXEAJMKQ-UHFFFAOYSA-N 0 1 304.371 3.153 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCCC[C@H]2CCC(=O)[O-])c1 ZINC000901995608 619571827 /nfs/dbraw/zinc/57/18/27/619571827.db2.gz BGUWNCWEARHEID-INIZCTEOSA-N 0 1 303.402 3.471 20 30 DGEDMN COc1ccc2c(c1)C[C@H](C)C[C@H]2NCc1ccc(C#N)cn1 ZINC000902965073 619698003 /nfs/dbraw/zinc/69/80/03/619698003.db2.gz QCUAPCLOCCCQOE-ORAYPTAESA-N 0 1 307.397 3.375 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C(C)C)[nH]1)[C@]1(C)CCCCO1 ZINC000903005700 619703705 /nfs/dbraw/zinc/70/37/05/619703705.db2.gz YERPEMLNFJRBSL-PBHICJAKSA-N 0 1 306.454 3.312 20 30 DGEDMN Cc1cc(Br)cc([C@H](C)NCC2(C#N)CC2)c1O ZINC000903105246 619717592 /nfs/dbraw/zinc/71/75/92/619717592.db2.gz BEJMSCPYAHEGPP-JTQLQIEISA-N 0 1 309.207 3.418 20 30 DGEDMN C=CCC[C@H](NCc1cc(C(F)(F)F)n(C)n1)[C@@H]1CCCO1 ZINC000903337140 619759719 /nfs/dbraw/zinc/75/97/19/619759719.db2.gz SQTVHHYIRWEWON-STQMWFEESA-N 0 1 317.355 3.042 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cnn(CC(F)F)c2)c1 ZINC000905078468 619967884 /nfs/dbraw/zinc/96/78/84/619967884.db2.gz SCUFAZPPWYKTJD-SREVYHEPSA-N 0 1 319.355 3.106 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cn(C)nc2Cl)c1 ZINC000905078121 619968031 /nfs/dbraw/zinc/96/80/31/619968031.db2.gz LIUXXUPAACTZQY-FPLPWBNLSA-N 0 1 303.793 3.031 20 30 DGEDMN Cc1nn(C)c(/C=C/C(=O)c2cccc(CN(C)C)c2)c1Cl ZINC000905078861 619968047 /nfs/dbraw/zinc/96/80/47/619968047.db2.gz XUPWCHFLAPBBPC-CMDGGOBGSA-N 0 1 317.820 3.340 20 30 DGEDMN CCOc1cncc(/C=C\C(=O)c2cccc(CN(C)C)c2)c1 ZINC000905077949 619968075 /nfs/dbraw/zinc/96/80/75/619968075.db2.gz JRLSCAGFALPVRF-HJWRWDBZSA-N 0 1 310.397 3.438 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)N(c1ccc(C#N)cc1)C1CCC1 ZINC000906052313 620053729 /nfs/dbraw/zinc/05/37/29/620053729.db2.gz JJCUZBOHXRYNQB-GOSISDBHSA-N 0 1 311.429 3.317 20 30 DGEDMN C#CCN(C(=O)c1ccc(CN2CCCCC2)o1)C(C)(C)C ZINC000906267936 620082354 /nfs/dbraw/zinc/08/23/54/620082354.db2.gz BNBFKQOXUBCXSY-UHFFFAOYSA-N 0 1 302.418 3.139 20 30 DGEDMN N#CC1(CCCCOC(=O)Cc2n[nH]c3c2CCCC3)CCC1 ZINC000909197477 620245249 /nfs/dbraw/zinc/24/52/49/620245249.db2.gz VSYILVUQWTUICF-UHFFFAOYSA-N 0 1 315.417 3.238 20 30 DGEDMN C=CCOCC(=O)Nc1c(C2CC2)n[nH]c1-c1cccc(F)c1 ZINC000909709090 620252614 /nfs/dbraw/zinc/25/26/14/620252614.db2.gz IUNUQNCIWRDWRD-UHFFFAOYSA-N 0 1 315.348 3.234 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](N)c2ccccc2OC)CCCCC1 ZINC000911665717 620339910 /nfs/dbraw/zinc/33/99/10/620339910.db2.gz SMZMJYHWSIIXEL-MRXNPFEDSA-N 0 1 316.445 3.338 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC000911925549 620352813 /nfs/dbraw/zinc/35/28/13/620352813.db2.gz KISRWIINRLOINL-ROUUACIJSA-N 0 1 323.396 3.167 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000913510688 620446518 /nfs/dbraw/zinc/44/65/18/620446518.db2.gz LKDPOQCIQRUJPR-OAHLLOKOSA-N 0 1 317.339 3.095 20 30 DGEDMN C#CCSCCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000753679731 620459335 /nfs/dbraw/zinc/45/93/35/620459335.db2.gz NUWVOVUAZWLZRC-QGZVFWFLSA-N 0 1 300.402 3.266 20 30 DGEDMN CN(C)c1nccc(N=NCc2cc(Cl)ccc2Cl)n1 ZINC000753707105 620460301 /nfs/dbraw/zinc/46/03/01/620460301.db2.gz DTXDEAQKRHIGQP-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000153277145 620545932 /nfs/dbraw/zinc/54/59/32/620545932.db2.gz AMVHKTIQANUXSU-JKSUJKDBSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CCc1ccc(COC(=O)c2ccc(O)c(Cl)c2)cc1 ZINC000762829722 620546987 /nfs/dbraw/zinc/54/69/87/620546987.db2.gz KDVKNTREGHRAMR-UHFFFAOYSA-N 0 1 301.729 3.469 20 30 DGEDMN Cc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1C ZINC000915946002 620608007 /nfs/dbraw/zinc/60/80/07/620608007.db2.gz ZIFVROXFCWLJPP-UHFFFAOYSA-N 0 1 300.309 3.085 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNc1ccc(Cl)cc1)O2 ZINC000915933379 620608845 /nfs/dbraw/zinc/60/88/45/620608845.db2.gz VGGJZNUYNMKJBC-UHFFFAOYSA-N 0 1 302.717 3.355 20 30 DGEDMN Cn1c(NN=Cc2ccc(F)c(F)c2)nnc1-c1ccccc1 ZINC000915969522 620609583 /nfs/dbraw/zinc/60/95/83/620609583.db2.gz UXCLFYNLHRYHBE-UHFFFAOYSA-N 0 1 313.311 3.206 20 30 DGEDMN COc1ccc2cc(C=NNCCN3CCCCC3)ccc2c1 ZINC000915973840 620610261 /nfs/dbraw/zinc/61/02/61/620610261.db2.gz NIRQFAMASGMSHQ-UHFFFAOYSA-N 0 1 311.429 3.258 20 30 DGEDMN CC(N=Nc1ccc([N+](=O)[O-])cc1)c1ccc(OCC#N)cc1 ZINC000916245031 620622601 /nfs/dbraw/zinc/62/26/01/620622601.db2.gz PBNUMOZVCYMGGO-UHFFFAOYSA-N 0 1 310.313 3.333 20 30 DGEDMN CC(=[NH+]Nc1ccc(Cl)c(C(=O)[O-])c1)c1ccc(N)cc1 ZINC000916540397 620633483 /nfs/dbraw/zinc/63/34/83/620633483.db2.gz UVSBDVJRPJAJJL-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN C[C@@H]1CCCC[C@H]1CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000920789807 620822592 /nfs/dbraw/zinc/82/25/92/620822592.db2.gz GKIURJUGUSTQBM-PVAVHDDUSA-N 0 1 312.413 3.228 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000855172454 620876713 /nfs/dbraw/zinc/87/67/13/620876713.db2.gz LLUIKSUIFKOCGE-HIFRSBDPSA-N 0 1 301.434 3.082 20 30 DGEDMN C#CCCOc1ccc(CNCc2cccnc2OCC)cc1 ZINC000113320347 620904549 /nfs/dbraw/zinc/90/45/49/620904549.db2.gz ZCPYFLVPWXGCAG-UHFFFAOYSA-N 0 1 310.397 3.172 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(Nc2ccncc2)cc1)C1CCCC1 ZINC000921542312 620905612 /nfs/dbraw/zinc/90/56/12/620905612.db2.gz GXRZGHPPSKZKLD-GOSISDBHSA-N 0 1 320.396 3.059 20 30 DGEDMN CC(=O)N1CC[C@@H](NCc2csc(C#N)c2)c2ccccc21 ZINC000921935389 620969788 /nfs/dbraw/zinc/96/97/88/620969788.db2.gz KMFGKKBEYRYSLO-MRXNPFEDSA-N 0 1 311.410 3.207 20 30 DGEDMN N#Cc1ccc(Br)c(CNC2CC(F)(F)C2)c1 ZINC000922059215 620984412 /nfs/dbraw/zinc/98/44/12/620984412.db2.gz DYSKRBSOULEUKO-UHFFFAOYSA-N 0 1 301.134 3.208 20 30 DGEDMN CN(C)C(=O)Nc1ccc(CNCc2csc(C#N)c2)cc1 ZINC000922083127 620987493 /nfs/dbraw/zinc/98/74/93/620987493.db2.gz AKQIBIZXIFNIIJ-UHFFFAOYSA-N 0 1 314.414 3.003 20 30 DGEDMN COCCOCc1ccc(CNCc2csc(C#N)c2)cc1 ZINC000922143288 620994898 /nfs/dbraw/zinc/99/48/98/620994898.db2.gz UWKYCYMSPLPVPY-UHFFFAOYSA-N 0 1 316.426 3.073 20 30 DGEDMN C#CCOc1ccc(CNCc2nccc3c2CCCC3)cc1 ZINC000922161952 620998658 /nfs/dbraw/zinc/99/86/58/620998658.db2.gz CZWQWPYZPCVQGD-UHFFFAOYSA-N 0 1 306.409 3.262 20 30 DGEDMN C#CC[C@H](NCCS(=O)(=O)C1CCCCC1)c1ccccc1 ZINC000856733769 621013643 /nfs/dbraw/zinc/01/36/43/621013643.db2.gz GUCIFKUBJKWLNA-SFHVURJKSA-N 0 1 319.470 3.088 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1c(C(F)(F)F)cnn1C ZINC000922283862 621017522 /nfs/dbraw/zinc/01/75/22/621017522.db2.gz CTLULQVSSWIWPM-UHFFFAOYSA-N 0 1 322.334 3.217 20 30 DGEDMN CCOc1cncc(C=NNc2ncnc(Cl)c2C(C)C)c1 ZINC000857209324 621068583 /nfs/dbraw/zinc/06/85/83/621068583.db2.gz CHLSWUZJFQHKIV-UHFFFAOYSA-N 0 1 319.796 3.493 20 30 DGEDMN CC(C)(C)n1cc(C=[NH+][N-]c2nc3cccnc3s2)cn1 ZINC000857219813 621069589 /nfs/dbraw/zinc/06/95/89/621069589.db2.gz QXFMGEZCZRGRTM-UHFFFAOYSA-N 0 1 300.391 3.089 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CCOC[C@H]1CCCO1 ZINC000114990765 621071266 /nfs/dbraw/zinc/07/12/66/621071266.db2.gz MHKCXASIFHPMJD-VQGSWFTOSA-N 0 1 318.200 3.314 20 30 DGEDMN N#CC[C@@H](NCc1cccc(Br)c1O)C(F)(F)F ZINC000922647485 621072958 /nfs/dbraw/zinc/07/29/58/621072958.db2.gz UFAOZLVXLFJVHA-SECBINFHSA-N 0 1 323.112 3.089 20 30 DGEDMN C#CCOCCN[C@H](C)c1cc(F)c(Br)cc1F ZINC000858403859 621186343 /nfs/dbraw/zinc/18/63/43/621186343.db2.gz DJMMFIDEASBFSV-SECBINFHSA-N 0 1 318.161 3.028 20 30 DGEDMN C[C@H](NCc1ccc(N(C)CCC#N)cc1)c1ccns1 ZINC000858317549 621175007 /nfs/dbraw/zinc/17/50/07/621175007.db2.gz TWDGGGXDZLQYGE-ZDUSSCGKSA-N 0 1 300.431 3.344 20 30 DGEDMN C#CC[C@@H](COC)NCc1cnc(CCc2ccccc2)s1 ZINC000858333895 621177034 /nfs/dbraw/zinc/17/70/34/621177034.db2.gz CXJZNZHACUUPLE-INIZCTEOSA-N 0 1 314.454 3.056 20 30 DGEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000923474508 621186666 /nfs/dbraw/zinc/18/66/66/621186666.db2.gz YCHJCCUKKZXHHR-MRXNPFEDSA-N 0 1 306.793 3.288 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)Cc2n[nH]c3ccccc32)c1 ZINC000103101301 621258728 /nfs/dbraw/zinc/25/87/28/621258728.db2.gz BSKPXCUIBRITAP-UHFFFAOYSA-N 0 1 303.365 3.140 20 30 DGEDMN C=CCCCCCCNC(=O)NCc1ccc2cncn2c1 ZINC000838592218 621266282 /nfs/dbraw/zinc/26/62/82/621266282.db2.gz QKFRBBYGYKRDBW-UHFFFAOYSA-N 0 1 300.406 3.270 20 30 DGEDMN CC(C)(C)C1CC(C(=O)C(C#N)C(=O)NC2CCCCC2)C1 ZINC000838788929 621281232 /nfs/dbraw/zinc/28/12/32/621281232.db2.gz XEXSCNFNYPGKGH-SSDMNJCBSA-N 0 1 304.434 3.216 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@](O)(c3ccccc3)C2)cc1F ZINC000859587628 621295726 /nfs/dbraw/zinc/29/57/26/621295726.db2.gz ASNISRUATPFPHW-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN C#CCC[C@@H](C)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000839038083 621312288 /nfs/dbraw/zinc/31/22/88/621312288.db2.gz BYCCEINDWLSFPP-GFCCVEGCSA-N 0 1 309.369 3.308 20 30 DGEDMN C=CCCn1cc(CN2C[C@@H]3C[C@@H](c4ccccc4)[C@@H]3C2)nn1 ZINC000859926246 621346409 /nfs/dbraw/zinc/34/64/09/621346409.db2.gz NDTHOEBFPCSMAW-YTQUADARSA-N 0 1 308.429 3.090 20 30 DGEDMN N#Cc1ccc(OCCCN2CCc3c(O)cccc3C2)cc1 ZINC000859943313 621348856 /nfs/dbraw/zinc/34/88/56/621348856.db2.gz HONUUCSRWSKDHZ-UHFFFAOYSA-N 0 1 308.381 3.091 20 30 DGEDMN CCCCCCCCNC(c1ccnn1C)c1ccnn1C ZINC000860078963 621364227 /nfs/dbraw/zinc/36/42/27/621364227.db2.gz XJQSEFHMLAWGFA-UHFFFAOYSA-N 0 1 303.454 3.193 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC2CCC(F)(F)CC2)nc(C)n1 ZINC000860465322 621407986 /nfs/dbraw/zinc/40/79/86/621407986.db2.gz HVISATVTWNPPJK-ZDUSSCGKSA-N 0 1 307.344 3.485 20 30 DGEDMN CSCCCCCCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000860478414 621409405 /nfs/dbraw/zinc/40/94/05/621409405.db2.gz XWKOWDZSTUHYJS-CQSZACIVSA-N 0 1 310.463 3.068 20 30 DGEDMN CCCC[C@@H](CC)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000117532759 621411313 /nfs/dbraw/zinc/41/13/13/621411313.db2.gz YCJJOFCMMCNGTK-KGLIPLIRSA-N 0 1 301.390 3.335 20 30 DGEDMN C#C[C@H](Cc1ccccc1)OC(=O)c1[nH]nc2c1CCCCC2 ZINC000789402450 625488789 /nfs/dbraw/zinc/48/87/89/625488789.db2.gz VKRQBFBVHISEFO-OAHLLOKOSA-N 0 1 308.381 3.080 20 30 DGEDMN CCC[C@H](OCC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000860853354 621450640 /nfs/dbraw/zinc/45/06/40/621450640.db2.gz HPBRMINWAQDPKR-HOTGVXAUSA-N 0 1 313.397 3.201 20 30 DGEDMN C[C@@]1(C#N)CCCN(Cc2cccc(Br)c2O)C1 ZINC000753586680 621451930 /nfs/dbraw/zinc/45/19/30/621451930.db2.gz JAZPRDZUBKWZKN-AWEZNQCLSA-N 0 1 309.207 3.280 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C2CC[C@@H](C)C2)n1 ZINC000753806331 621466385 /nfs/dbraw/zinc/46/63/85/621466385.db2.gz WKYJCQHQKFAMNS-CKRBCLJCSA-N 0 1 318.398 3.242 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc2c(c1)CCCC2 ZINC000753929765 621472096 /nfs/dbraw/zinc/47/20/96/621472096.db2.gz RWSVHMLABBUNLR-ROUUACIJSA-N 0 1 310.445 3.149 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cccc(C(F)(F)F)c1 ZINC000753936852 621472506 /nfs/dbraw/zinc/47/25/06/621472506.db2.gz UTCKSCBOILRYFV-OKILXGFUSA-N 0 1 324.350 3.289 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc([N+](=O)[O-])cc2Cl)CN1C1CC1 ZINC000753960178 621474115 /nfs/dbraw/zinc/47/41/15/621474115.db2.gz OXYLCGAHIZPKMK-SECBINFHSA-N 0 1 308.769 3.273 20 30 DGEDMN C#CCOc1ccccc1CNCc1cnc(-c2ccccc2)[nH]1 ZINC000754341965 621504322 /nfs/dbraw/zinc/50/43/22/621504322.db2.gz NYGIUXYFHHMLGF-UHFFFAOYSA-N 0 1 317.392 3.379 20 30 DGEDMN C#CCNC(=S)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC000754856787 621537378 /nfs/dbraw/zinc/53/73/78/621537378.db2.gz HIWRKZWBXNLBHT-UHFFFAOYSA-N 0 1 306.394 3.150 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2cnc3ccccn23)nc1 ZINC000755488608 621575720 /nfs/dbraw/zinc/57/57/20/621575720.db2.gz PGUQEFVMMNALNB-UHFFFAOYSA-N 0 1 305.263 3.194 20 30 DGEDMN N#CC(C(=O)Cc1ccc2c(c1)COC2)c1nc2ccccc2[nH]1 ZINC000755581593 621579056 /nfs/dbraw/zinc/57/90/56/621579056.db2.gz XDXJANKKCRWXBS-HNNXBMFYSA-N 0 1 317.348 3.012 20 30 DGEDMN Cn1c(NN=Cc2cc(F)cc(F)c2)nnc1-c1ccccc1 ZINC000755647747 621583364 /nfs/dbraw/zinc/58/33/64/621583364.db2.gz DPXNSBNEZMBEPE-UHFFFAOYSA-N 0 1 313.311 3.206 20 30 DGEDMN Fc1ccc(C=NNCCN2CCCCC2)cc1C(F)(F)F ZINC000755655120 621583964 /nfs/dbraw/zinc/58/39/64/621583964.db2.gz CFXKRHNWLWITOE-UHFFFAOYSA-N 0 1 317.330 3.254 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2c(O)cccc2Br)C1 ZINC000862399963 621585116 /nfs/dbraw/zinc/58/51/16/621585116.db2.gz IRFORYBOXGZIHU-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@H]1CCC[N@@H+](Cc2c([O-])cccc2Br)C1 ZINC000862399963 621585118 /nfs/dbraw/zinc/58/51/18/621585118.db2.gz IRFORYBOXGZIHU-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2c([O-])cccc2Br)C1 ZINC000862399963 621585120 /nfs/dbraw/zinc/58/51/20/621585120.db2.gz IRFORYBOXGZIHU-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN Cc1cc(NN=Cc2c[nH]nc2-c2cccc(Cl)c2)ncn1 ZINC000755728285 621588913 /nfs/dbraw/zinc/58/89/13/621588913.db2.gz RMXKKEDSFSMYRX-UHFFFAOYSA-N 0 1 312.764 3.275 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCc3c(O)cccc3C2)cc1 ZINC000862529213 621596794 /nfs/dbraw/zinc/59/67/94/621596794.db2.gz HZUIHWTZZROIRN-UHFFFAOYSA-N 0 1 321.424 3.300 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000862563004 621601438 /nfs/dbraw/zinc/60/14/38/621601438.db2.gz PARRHVCTWFBFBQ-LBPRGKRZSA-N 0 1 313.788 3.216 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000862563002 621601527 /nfs/dbraw/zinc/60/15/27/621601527.db2.gz PARRHVCTWFBFBQ-GFCCVEGCSA-N 0 1 313.788 3.216 20 30 DGEDMN CC[C@@H]1CC(=O)N(CN(Cc2ccc(C#N)cc2)CC(C)C)C1 ZINC000755911854 621602214 /nfs/dbraw/zinc/60/22/14/621602214.db2.gz DJTIBLFNZHWPDX-MRXNPFEDSA-N 0 1 313.445 3.232 20 30 DGEDMN CCOc1ccc(C(C)=NNc2ccncc2C)cc1OCC ZINC000863157076 621643207 /nfs/dbraw/zinc/64/32/07/621643207.db2.gz HAXCKHCUMUWCAW-UHFFFAOYSA-N 0 1 313.401 3.445 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)CCc1c[nH]c2c1cccc2C ZINC000756546034 621646516 /nfs/dbraw/zinc/64/65/16/621646516.db2.gz YOXFSSRLONXLTK-KRWDZBQOSA-N 0 1 324.424 3.050 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1ccncc1Cl ZINC000756842962 621667592 /nfs/dbraw/zinc/66/75/92/621667592.db2.gz ZPOHOBQTPSODFG-CYBMUJFWSA-N 0 1 314.820 3.077 20 30 DGEDMN CCOc1ccc(O)c(/C=N\[C@@H]2CN(C)Cc3ccccc32)c1 ZINC000756846836 621668032 /nfs/dbraw/zinc/66/80/32/621668032.db2.gz OKUHFCJJFFZHHT-HDOAHBKWSA-N 0 1 310.397 3.397 20 30 DGEDMN C#CCN(CC(=O)N[C@@H](c1ccccc1)C1CC1)C1CCCC1 ZINC000756911795 621672515 /nfs/dbraw/zinc/67/25/15/621672515.db2.gz GRBPRBXSSCSFSY-FQEVSTJZSA-N 0 1 310.441 3.132 20 30 DGEDMN CC[C@H](C)NN=C(C)c1cc(Br)c(F)cc1O ZINC000790190976 621699279 /nfs/dbraw/zinc/69/92/79/621699279.db2.gz WWJYXCLZMQSBTO-ZETCQYMHSA-N 0 1 303.175 3.406 20 30 DGEDMN CS[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000757410325 621707835 /nfs/dbraw/zinc/70/78/35/621707835.db2.gz RLKSPBQGTGQVJY-VHSXEESVSA-N 0 1 310.806 3.129 20 30 DGEDMN COCCOc1cccc(/C=C/C(=O)c2cc(F)ccc2O)c1 ZINC000757466893 621714105 /nfs/dbraw/zinc/71/41/05/621714105.db2.gz AHVDTGNBTWCGIM-FNORWQNLSA-N 0 1 316.328 3.453 20 30 DGEDMN COc1cc(/C=C/C(=O)c2cc(F)ccc2O)cc(OC)c1 ZINC000757468915 621714569 /nfs/dbraw/zinc/71/45/69/621714569.db2.gz ZNUMIALKCXXVEN-HWKANZROSA-N 0 1 302.301 3.445 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cnn(-c3ccccc3)c2)c(O)c1 ZINC000757472646 621715298 /nfs/dbraw/zinc/71/52/98/621715298.db2.gz QQCNOCJZBNBCTG-JXMROGBWSA-N 0 1 320.348 3.483 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccccc2-n2cncn2)c(O)c1 ZINC000757475927 621716174 /nfs/dbraw/zinc/71/61/74/621716174.db2.gz NNVYMUJUPALQHN-CLFYSBASSA-N 0 1 305.337 3.177 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccc(-n3cncn3)c(C)c2)c(O)c1 ZINC000757477088 621716206 /nfs/dbraw/zinc/71/62/06/621716206.db2.gz ZMFVTIDSKIYAPB-VMPITWQZSA-N 0 1 319.364 3.486 20 30 DGEDMN Cc1cc(N=NC2C[C@@H](C)N(Cc3ccccc3)C2)nc(C)n1 ZINC000757576928 621725481 /nfs/dbraw/zinc/72/54/81/621725481.db2.gz HUSXWNPGYQIGLW-CQSZACIVSA-N 0 1 309.417 3.156 20 30 DGEDMN Cc1ccn(CN2CCC[C@H]2Cc2ccccc2C)c(=O)c1C#N ZINC000758224410 621768052 /nfs/dbraw/zinc/76/80/52/621768052.db2.gz UPEGJJQECSKCPT-SFHVURJKSA-N 0 1 321.424 3.001 20 30 DGEDMN CC(C)c1ncc(C=Nn2c(=S)[nH]nc2C(F)(F)F)s1 ZINC000758367903 621777684 /nfs/dbraw/zinc/77/76/84/621777684.db2.gz RWQMLCCDIODWQP-UHFFFAOYSA-N 0 1 321.353 3.048 20 30 DGEDMN COC(=O)[C@@H](C)Oc1ccc(CN=Nc2ccccc2F)cc1 ZINC000758374673 621778463 /nfs/dbraw/zinc/77/84/63/621778463.db2.gz UJLZIIGPLZRSEE-GFCCVEGCSA-N 0 1 316.332 3.212 20 30 DGEDMN C#CCCCC(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000758525841 621786537 /nfs/dbraw/zinc/78/65/37/621786537.db2.gz FXZXQBTXSKTWRL-UHFFFAOYSA-N 0 1 306.163 3.067 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(F)c(C#N)c1)N1CCCCC1 ZINC000758953008 621813951 /nfs/dbraw/zinc/81/39/51/621813951.db2.gz VUEUZASVLPKSLN-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN C[C@H](C(=O)OCc1cc(F)ccc1C#N)N1[C@H](C)CC[C@@H]1C ZINC000759363932 621849995 /nfs/dbraw/zinc/84/99/95/621849995.db2.gz ZUUVWYAWORKRBM-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3cncc(F)c3)[nH]c21 ZINC000759368270 621850512 /nfs/dbraw/zinc/85/05/12/621850512.db2.gz WIVSWTKKPWCWER-GXMNPTEKSA-N 0 1 320.327 3.295 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1C(=O)Nc1cc(C#N)ccc1O ZINC000759712654 621884920 /nfs/dbraw/zinc/88/49/20/621884920.db2.gz APWDAPJLJBDRPZ-UHFFFAOYSA-N 0 1 312.373 3.085 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C(F)(F)c2ccc(F)cc2)c1 ZINC000759717987 621885853 /nfs/dbraw/zinc/88/58/53/621885853.db2.gz NHHIDVIOMBBQNR-UHFFFAOYSA-N 0 1 306.243 3.133 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1CC12CCC2 ZINC000759832788 621893947 /nfs/dbraw/zinc/89/39/47/621893947.db2.gz IIJAJFRTJBHFPO-MNOVXSKESA-N 0 1 302.761 3.178 20 30 DGEDMN Cc1cc(Cl)ccc1O[C@@H](C)C(=O)C(C#N)c1ccncn1 ZINC000760338127 621933070 /nfs/dbraw/zinc/93/30/70/621933070.db2.gz CXZRQAXHQSGJMK-WCQYABFASA-N 0 1 315.760 3.082 20 30 DGEDMN N#C[C@@H](C(=O)CCOc1ccc2ccccc2c1)c1ccncn1 ZINC000760341377 621933095 /nfs/dbraw/zinc/93/30/95/621933095.db2.gz RAUFQLRGKBAHJY-QGZVFWFLSA-N 0 1 317.348 3.275 20 30 DGEDMN Cc1cc(Cl)ccc1O[C@@H](C)C(=O)C(C#N)c1ccncn1 ZINC000760338123 621933439 /nfs/dbraw/zinc/93/34/39/621933439.db2.gz CXZRQAXHQSGJMK-AAEUAGOBSA-N 0 1 315.760 3.082 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2cc(C(F)(F)F)cc(C#N)n2)[nH]n1 ZINC000865622009 621963980 /nfs/dbraw/zinc/96/39/80/621963980.db2.gz ITMAXCPPYOKYCW-QMMMGPOBSA-N 0 1 309.295 3.047 20 30 DGEDMN N#CC(C(=O)[C@H]1CCSC1)c1nc2ccccc2n1C(F)F ZINC000762068484 622050947 /nfs/dbraw/zinc/05/09/47/622050947.db2.gz KRVJKMRIBAWYEQ-UWVGGRQHSA-N 0 1 321.352 3.361 20 30 DGEDMN N#CCOc1ccc(COC(=O)c2ccc(O)c(Cl)c2)cc1 ZINC000762826155 622102206 /nfs/dbraw/zinc/10/22/06/622102206.db2.gz ZQUYDRORTXJKOG-UHFFFAOYSA-N 0 1 317.728 3.305 20 30 DGEDMN Cc1cc([C@H](C)NC2CCN(c3ccccc3C#N)CC2)no1 ZINC000866448211 622120604 /nfs/dbraw/zinc/12/06/04/622120604.db2.gz BMWZWGQZSYKRKE-AWEZNQCLSA-N 0 1 310.401 3.174 20 30 DGEDMN CCOc1cccc(C=NNc2ccc(C(F)(F)F)cn2)n1 ZINC000763122298 622125134 /nfs/dbraw/zinc/12/51/34/622125134.db2.gz VSMHLEONQBRALD-UHFFFAOYSA-N 0 1 310.279 3.340 20 30 DGEDMN CC(C)(C)OC(=O)c1ccccc1CN[C@@H]1CC[C@H](C#N)C1 ZINC000866483804 622125862 /nfs/dbraw/zinc/12/58/62/622125862.db2.gz NVNROICVIFNDAK-DZGCQCFKSA-N 0 1 300.402 3.424 20 30 DGEDMN Clc1cc(C=NNCCN2CCCCC2)c2ncccc2c1 ZINC000763168159 622127529 /nfs/dbraw/zinc/12/75/29/622127529.db2.gz ASASDVXRMQNSCT-UHFFFAOYSA-N 0 1 316.836 3.298 20 30 DGEDMN Clc1cccc(Cl)c1N=NCc1cnc2cnccn12 ZINC000763188580 622128542 /nfs/dbraw/zinc/12/85/42/622128542.db2.gz SKAZTXJSISMPSA-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN O=c1ccc2c([nH]1)CCCC2=NNc1ncccc1C(F)(F)F ZINC000764684156 622213185 /nfs/dbraw/zinc/21/31/85/622213185.db2.gz VEWCICQWJLRIDQ-UHFFFAOYSA-N 0 1 322.290 3.354 20 30 DGEDMN Nc1ncccc1C=NNCc1ccc(-c2ccccc2)cc1 ZINC000764696259 622213981 /nfs/dbraw/zinc/21/39/81/622213981.db2.gz IOEXMVIFQCSBES-UHFFFAOYSA-N 0 1 302.381 3.455 20 30 DGEDMN COc1cccc(N=NCc2sccc2Br)n1 ZINC000764907900 622231890 /nfs/dbraw/zinc/23/18/90/622231890.db2.gz QQZQIODBCZUNSH-UHFFFAOYSA-N 0 1 312.192 3.360 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2cc3c(s2)-c2ccccc2OC3)=NO1 ZINC000765379186 622261942 /nfs/dbraw/zinc/26/19/42/622261942.db2.gz QYXXBXUSVPTDCI-SECBINFHSA-N 0 1 314.366 3.160 20 30 DGEDMN N#C[C@H](NC(=O)C(F)(F)c1ccccc1F)c1ccc(F)cc1 ZINC000765576109 622274642 /nfs/dbraw/zinc/27/46/42/622274642.db2.gz KWIRLIBQYCNPET-AWEZNQCLSA-N 0 1 322.261 3.438 20 30 DGEDMN Cc1cc(CN=Nc2nc3cc(F)c(F)cc3[nH]2)ccc1C#N ZINC000766435044 622329711 /nfs/dbraw/zinc/32/97/11/622329711.db2.gz XFUBJDUARXNNMZ-UHFFFAOYSA-N 0 1 311.295 3.467 20 30 DGEDMN COC(=O)c1coc(C(C)N=Nc2cc(F)c(F)cc2F)c1 ZINC000766450168 622330510 /nfs/dbraw/zinc/33/05/10/622330510.db2.gz FKHMBBHZKBJFBW-UHFFFAOYSA-N 0 1 312.247 3.320 20 30 DGEDMN CCOc1ccccc1NC(=O)CCN(CCC#N)CC(C)C ZINC000766897734 622360009 /nfs/dbraw/zinc/36/00/09/622360009.db2.gz NFICTIPDRBCUQW-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN N#CC(C(=O)CCc1cccc(C(F)(F)F)c1)c1ccncn1 ZINC000766929498 622362213 /nfs/dbraw/zinc/36/22/13/622362213.db2.gz DLTNUHCIYZFAJC-CYBMUJFWSA-N 0 1 319.286 3.304 20 30 DGEDMN Clc1ccccc1Cn1cc(CN=Nc2ccccn2)cn1 ZINC000767034969 622370435 /nfs/dbraw/zinc/37/04/35/622370435.db2.gz YFFIXZDARUQMJX-UHFFFAOYSA-N 0 1 311.776 3.426 20 30 DGEDMN Cc1ccc(N=NC2C[C@@H](C)C[N@H+](Cc3ccccc3)C2)nn1 ZINC000767380454 622394480 /nfs/dbraw/zinc/39/44/80/622394480.db2.gz VXDCUVPPJDXTAG-CQSZACIVSA-N 0 1 309.417 3.095 20 30 DGEDMN Cc1ccc(N=NC2C[C@@H](C)CN(Cc3ccccc3)C2)nn1 ZINC000767380454 622394483 /nfs/dbraw/zinc/39/44/83/622394483.db2.gz VXDCUVPPJDXTAG-CQSZACIVSA-N 0 1 309.417 3.095 20 30 DGEDMN CN(CCc1ccccn1)Cc1cc(Cl)ccc1OCC#N ZINC000767539840 622404714 /nfs/dbraw/zinc/40/47/14/622404714.db2.gz NAARIFNOVWTUJJ-UHFFFAOYSA-N 0 1 315.804 3.312 20 30 DGEDMN COC(=O)c1ccc(C(C)=NNc2cnnc3ccccc32)cc1 ZINC000767782190 622421549 /nfs/dbraw/zinc/42/15/49/622421549.db2.gz MTCBDCRHHUHGAT-UHFFFAOYSA-N 0 1 320.352 3.253 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2cc(F)c(F)cc2F)n1 ZINC000767785171 622421848 /nfs/dbraw/zinc/42/18/48/622421848.db2.gz MCOVULNOIWIVLY-UHFFFAOYSA-N 0 1 300.671 3.302 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc(F)cc2O)c([N+](=O)[O-])c1 ZINC000767803889 622422782 /nfs/dbraw/zinc/42/27/82/622422782.db2.gz UDYLBPSIEJLDOU-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN CCOC1CC2(C[C@H]2C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000870207352 622442374 /nfs/dbraw/zinc/44/23/74/622442374.db2.gz FFXRPOYWSJKNSA-SGJMOYSZSA-N 0 1 323.396 3.253 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=Cc2cnc(C3CC3)s2)c1 ZINC000768375364 622453106 /nfs/dbraw/zinc/45/31/06/622453106.db2.gz NWTIDULWDGZGBW-UHFFFAOYSA-N 0 1 303.778 3.387 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C)c1ccccc1 ZINC000870310469 622454993 /nfs/dbraw/zinc/45/49/93/622454993.db2.gz YNTKIMRZXVFWLL-DACNLWEQSA-N 0 1 324.424 3.255 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000870314928 622455948 /nfs/dbraw/zinc/45/59/48/622455948.db2.gz WIUUIXXTMHGQQF-LIRRHRJNSA-N 0 1 323.396 3.401 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000870454995 622469517 /nfs/dbraw/zinc/46/95/17/622469517.db2.gz SERNAMGRWHCOPK-GWFJUFKTSA-N 0 1 307.393 3.288 20 30 DGEDMN COc1cccc2cc(C=C(C)c3nc(C)c(C#N)c(=O)[nH]3)oc21 ZINC000789829773 625569250 /nfs/dbraw/zinc/56/92/50/625569250.db2.gz UZPSPHOULTWTDL-YFHOEESVSA-N 0 1 321.336 3.265 20 30 DGEDMN C#CCN(Cc1cc2c(cc1OC(F)F)OCO2)C1CCCC1 ZINC000769530333 622538577 /nfs/dbraw/zinc/53/85/77/622538577.db2.gz KLMCTRILXVNYQI-UHFFFAOYSA-N 0 1 323.339 3.395 20 30 DGEDMN CC(C)C[C@H](C)OCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071766 622543299 /nfs/dbraw/zinc/54/32/99/622543299.db2.gz DTLRIMCCCSKWGY-LBPRGKRZSA-N 0 1 314.389 3.214 20 30 DGEDMN CCOC(=O)C1(C/N=C\c2cc(Cl)cc(Cl)c2O)CC1 ZINC000769718683 622553590 /nfs/dbraw/zinc/55/35/90/622553590.db2.gz SQZOIILLNIMEOU-IDUWFGFVSA-N 0 1 316.184 3.461 20 30 DGEDMN COC(=O)[C@H](C)n1cccc1CN=Nc1c(C)cccc1F ZINC000769827510 622565854 /nfs/dbraw/zinc/56/58/54/622565854.db2.gz BKMJOGKRUSZHNH-LBPRGKRZSA-N 0 1 303.337 3.116 20 30 DGEDMN CNC(=O)c1ccc(C=NNc2c(F)cccc2Cl)cc1 ZINC000769827374 622566136 /nfs/dbraw/zinc/56/61/36/622566136.db2.gz VJNBNHCKYCNMML-UHFFFAOYSA-N 0 1 305.740 3.285 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2ccc3ncccc3c2)cc1 ZINC000769828494 622566265 /nfs/dbraw/zinc/56/62/65/622566265.db2.gz IYWCKTLXRFZDOL-UHFFFAOYSA-N 0 1 320.396 3.138 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@H](CO)c2ccsc2)cc1 ZINC000769991601 622587417 /nfs/dbraw/zinc/58/74/17/622587417.db2.gz YXYDUNXQQSCUMC-CXAGYDPISA-N 0 1 301.411 3.144 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(C)o2)cc([N+](=O)[O-])c1O ZINC000208886523 622603541 /nfs/dbraw/zinc/60/35/41/622603541.db2.gz QZKXSPQYTBPRFS-PLNGDYQASA-N 0 1 303.270 3.107 20 30 DGEDMN C=C(C)CNC(=S)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000871678110 622665415 /nfs/dbraw/zinc/66/54/15/622665415.db2.gz UQPNHEBPEVXQCH-ZDUSSCGKSA-N 0 1 306.479 3.163 20 30 DGEDMN N#Cc1ccc(C2(c3nc(C4(N)CCC4)no3)CCCC2)cc1 ZINC000871786655 622697562 /nfs/dbraw/zinc/69/75/62/622697562.db2.gz FWVMLEGTJWYOKN-UHFFFAOYSA-N 0 1 308.385 3.139 20 30 DGEDMN CCc1ccc(C(=O)/C=C\c2ccc(N3CCN(C)CC3)cc2)o1 ZINC000771523596 622771282 /nfs/dbraw/zinc/77/12/82/622771282.db2.gz BXWWPCZUEMBVDI-POHAHGRESA-N 0 1 324.424 3.490 20 30 DGEDMN CCC(=NNc1nc2ccncc2s1)c1c(C)nn(C)c1C ZINC000872414410 622908843 /nfs/dbraw/zinc/90/88/43/622908843.db2.gz QUJNCULUPNZYAL-UHFFFAOYSA-N 0 1 314.418 3.268 20 30 DGEDMN CCCn1nc(C)c(C=NN=c2[nH]c3ccncc3s2)c1C ZINC000872413424 622909385 /nfs/dbraw/zinc/90/93/85/622909385.db2.gz ZLQZISPWZZIELT-UHFFFAOYSA-N 0 1 314.418 3.361 20 30 DGEDMN CCCn1nc(C)c(C=[NH+][N-]c2nc3ccncc3s2)c1C ZINC000872413424 622909389 /nfs/dbraw/zinc/90/93/89/622909389.db2.gz ZLQZISPWZZIELT-UHFFFAOYSA-N 0 1 314.418 3.361 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@@](C)(c2ccccc2F)C1=O ZINC000872623540 622943665 /nfs/dbraw/zinc/94/36/65/622943665.db2.gz SPGBMPHDUFQZHM-KHYOSLBOSA-N 0 1 314.404 3.066 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(c3ncc(C#N)cc3F)C2)[nH]n1 ZINC000872647551 622947255 /nfs/dbraw/zinc/94/72/55/622947255.db2.gz GYCOLYVUDCSTTE-CYBMUJFWSA-N 0 1 313.380 3.323 20 30 DGEDMN Cc1nc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)ccc1C#N ZINC000872648193 622948082 /nfs/dbraw/zinc/94/80/82/622948082.db2.gz KEPIBNUGJFHDLH-OAHLLOKOSA-N 0 1 309.417 3.492 20 30 DGEDMN Cc1cc(C(F)(F)F)nn1CN(C)CCc1cccc(C#N)c1 ZINC000872705119 622955857 /nfs/dbraw/zinc/95/58/57/622955857.db2.gz JIZOEQLMJCFOBP-UHFFFAOYSA-N 0 1 322.334 3.214 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2cnn(CC)c2)c1 ZINC000772984743 622960937 /nfs/dbraw/zinc/96/09/37/622960937.db2.gz PVSGUVZXLYJSGV-WMLDXEAASA-N 0 1 312.417 3.435 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@H](CO)c2ccc(F)cc2)cc1 ZINC000773008379 622965278 /nfs/dbraw/zinc/96/52/78/622965278.db2.gz LDIBOOAPUHQMMF-AUUYWEPGSA-N 0 1 313.372 3.222 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccn(-c3ccccc3)n2)c(O)c1 ZINC000773153848 622993028 /nfs/dbraw/zinc/99/30/28/622993028.db2.gz PBBREBURMUSOQE-JXMROGBWSA-N 0 1 320.348 3.483 20 30 DGEDMN C=CC[C@@H](C)NC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872894791 622995621 /nfs/dbraw/zinc/99/56/21/622995621.db2.gz DYFAGVDYSYAWLQ-KGLIPLIRSA-N 0 1 304.438 3.387 20 30 DGEDMN COc1cccc(CN=Nc2ncccc2C)c1OC(F)F ZINC000790185596 625613940 /nfs/dbraw/zinc/61/39/40/625613940.db2.gz GZBAGIWYQUJZJG-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN CCOc1c(OC)cc(CN=Nc2ncccc2C)cc1OC ZINC000790185072 625614403 /nfs/dbraw/zinc/61/44/03/625614403.db2.gz AOIHSHFEAHLSOP-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN CC[C@H](NC1CN(C(=O)OC(C)(C)C)C1)c1ccc(C#N)cc1 ZINC000774217818 623121451 /nfs/dbraw/zinc/12/14/51/623121451.db2.gz MBORGWODHCQKJF-INIZCTEOSA-N 0 1 315.417 3.218 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000873497205 623159587 /nfs/dbraw/zinc/15/95/87/623159587.db2.gz DJFDPWXPXOVEFG-SWLSCSKDSA-N 0 1 302.374 3.169 20 30 DGEDMN N#CC(C(=O)Cc1csc(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000873495383 623159663 /nfs/dbraw/zinc/15/96/63/623159663.db2.gz LSIFYWWVJPRMAI-LBPRGKRZSA-N 0 1 322.393 3.316 20 30 DGEDMN Cc1cc(CN(C)CCN(C)C(=O)OC(C)(C)C)ccc1C#N ZINC000775057248 623222715 /nfs/dbraw/zinc/22/27/15/623222715.db2.gz NFEIZVFHSOHFRV-UHFFFAOYSA-N 0 1 317.433 3.165 20 30 DGEDMN Cn1ccnc1N=NC1CCc2ccc(OC(F)(F)F)cc21 ZINC000790299995 625631076 /nfs/dbraw/zinc/63/10/76/625631076.db2.gz NUSBGNWZDPTYFW-UHFFFAOYSA-N 0 1 310.279 3.081 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=C1CCc2ccc(OC(F)(F)F)cc21 ZINC000790299995 625631079 /nfs/dbraw/zinc/63/10/79/625631079.db2.gz NUSBGNWZDPTYFW-UHFFFAOYSA-N 0 1 310.279 3.081 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccccc1OC ZINC000775085085 623230069 /nfs/dbraw/zinc/23/00/69/623230069.db2.gz WJFYDARWIJCTQG-QGZVFWFLSA-N 0 1 323.396 3.246 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1cccc(Cl)c1 ZINC000775298252 623250627 /nfs/dbraw/zinc/25/06/27/623250627.db2.gz MLIPMOAHLPCFPB-UHFFFAOYSA-N 0 1 321.785 3.153 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)c(OCC)c(OC)c1)[C@H]1CCCO1 ZINC000775343913 623256906 /nfs/dbraw/zinc/25/69/06/623256906.db2.gz ZVUHPKAPGPWDSY-LSDHHAIUSA-N 0 1 323.820 3.018 20 30 DGEDMN C#C[C@@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@@H]1CCCO1 ZINC000775345900 623256981 /nfs/dbraw/zinc/25/69/81/623256981.db2.gz ACQRDOUHNNPWBF-KGLIPLIRSA-N 0 1 301.283 3.115 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(O[C@@H](C)CC)c1)[C@H]1CCCO1 ZINC000775343664 623257105 /nfs/dbraw/zinc/25/71/05/623257105.db2.gz XFVFDTXLTLEUBV-BHYGNILZSA-N 0 1 317.429 3.143 20 30 DGEDMN CCC#C[C@@H](C)N[C@H](C)c1cc(F)ccc1N1CCC(O)CC1 ZINC000775356597 623259627 /nfs/dbraw/zinc/25/96/27/623259627.db2.gz JAZYFBPNFAOMBK-HUUCEWRRSA-N 0 1 318.436 3.239 20 30 DGEDMN CCC#C[C@H](C)N[C@H](C)c1cc(F)ccc1N1CCC(O)CC1 ZINC000775356599 623259663 /nfs/dbraw/zinc/25/96/63/623259663.db2.gz JAZYFBPNFAOMBK-LSDHHAIUSA-N 0 1 318.436 3.239 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1cccc(C(C)=O)c1)c1ccccc1 ZINC000775401304 623268736 /nfs/dbraw/zinc/26/87/36/623268736.db2.gz ZEVDVOLRANFAAD-IBGZPJMESA-N 0 1 320.392 3.182 20 30 DGEDMN C#CCN(Cc1cccc2nccn21)[C@@H]1CCc2ccccc21 ZINC000775632486 623299525 /nfs/dbraw/zinc/29/95/25/623299525.db2.gz YPZCMXSFCJAYKM-LJQANCHMSA-N 0 1 301.393 3.457 20 30 DGEDMN C#C[C@H](NCCOc1cc(Cl)ccc1Cl)[C@H]1CCCO1 ZINC000775802211 623333957 /nfs/dbraw/zinc/33/39/57/623333957.db2.gz ZIYPGCJYPDHYIO-UONOGXRCSA-N 0 1 314.212 3.143 20 30 DGEDMN C#C[C@@H](NCc1ccc(Cl)c2cccnc12)[C@H]1CCCO1 ZINC000775807939 623334882 /nfs/dbraw/zinc/33/48/82/623334882.db2.gz WFXWKPSKAJVUFN-HZPDHXFCSA-N 0 1 300.789 3.159 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1ccc(Cl)cc1O ZINC000775950744 623356455 /nfs/dbraw/zinc/35/64/55/623356455.db2.gz WZDSUQQYUWYOKD-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000775953011 623357384 /nfs/dbraw/zinc/35/73/84/623357384.db2.gz ZFICMBKXSSUJFY-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCc2c1cccc2Cl ZINC000776088514 623374770 /nfs/dbraw/zinc/37/47/70/623374770.db2.gz KKCYAUFHSRBXAE-CJNGLKHVSA-N 0 1 317.816 3.011 20 30 DGEDMN Cc1ccsc1CCCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000129479184 625645312 /nfs/dbraw/zinc/64/53/12/625645312.db2.gz YJJIPICIISNUNK-AWEZNQCLSA-N 0 1 318.442 3.147 20 30 DGEDMN C#CCCN1CCN([C@H](C)c2cccc(Br)c2)CC1 ZINC000776592572 623444923 /nfs/dbraw/zinc/44/49/23/623444923.db2.gz JODBLKKPBRUIBR-CQSZACIVSA-N 0 1 321.262 3.151 20 30 DGEDMN C[C@@H](NCc1ccc([S@](C)=O)cc1)c1ccc(C#N)c(F)c1 ZINC000776744582 623458912 /nfs/dbraw/zinc/45/89/12/623458912.db2.gz AOAHGLUYLQBNQD-IPQOISQHSA-N 0 1 316.401 3.286 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1=NOCCC(F)(F)F ZINC000777455780 623527747 /nfs/dbraw/zinc/52/77/47/623527747.db2.gz LEGJSSROKRLPSV-GFCCVEGCSA-N 0 1 300.324 3.463 20 30 DGEDMN C#CC[N@@H+](Cc1cc(=O)oc2cc([O-])ccc12)C1CCCCC1 ZINC000777561481 623541700 /nfs/dbraw/zinc/54/17/00/623541700.db2.gz MEQMUMSYCDMNNT-UHFFFAOYSA-N 0 1 311.381 3.267 20 30 DGEDMN C#CC[N@H+](Cc1cc(=O)oc2cc([O-])ccc12)C1CCCCC1 ZINC000777561481 623541705 /nfs/dbraw/zinc/54/17/05/623541705.db2.gz MEQMUMSYCDMNNT-UHFFFAOYSA-N 0 1 311.381 3.267 20 30 DGEDMN Clc1nc2ccccn2c1C=NNc1cc(Cl)ccn1 ZINC000777906829 623583588 /nfs/dbraw/zinc/58/35/88/623583588.db2.gz HSQGWVHNOGHQDQ-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN COc1cc(OC)c(OC)cc1C=NNc1cc(Cl)ccn1 ZINC000777906763 623583830 /nfs/dbraw/zinc/58/38/30/623583830.db2.gz MQMCWRVSJNGKPE-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCOC1CCCC1 ZINC000778165472 623618808 /nfs/dbraw/zinc/61/88/08/623618808.db2.gz PEDBHNLOZTYMJN-UHFFFAOYSA-N 0 1 307.821 3.401 20 30 DGEDMN Cc1cccc(O)c1CN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000876511637 623631424 /nfs/dbraw/zinc/63/14/24/623631424.db2.gz QCJAFVGOVOUWKI-LJQANCHMSA-N 0 1 321.424 3.083 20 30 DGEDMN CCN(C[C@H](C)C#N)CC1(CNC(=O)OC(C)(C)C)CCC1 ZINC000876617308 623661043 /nfs/dbraw/zinc/66/10/43/623661043.db2.gz JXAPKEWVBMGKNL-CQSZACIVSA-N 0 1 309.454 3.163 20 30 DGEDMN CC(C)N(Cc1ccccn1)Cc1cc(C#N)ccc1N(C)C ZINC000876625237 623663137 /nfs/dbraw/zinc/66/31/37/623663137.db2.gz XCMWUZKSEKBBPR-UHFFFAOYSA-N 0 1 308.429 3.430 20 30 DGEDMN CCN(C)c1ccc(C(C)=[NH+][N-]c2cc(Cl)nc(N)n2)cc1 ZINC000778543634 623665895 /nfs/dbraw/zinc/66/58/95/623665895.db2.gz OYWNJLJWJROXQO-UHFFFAOYSA-N 0 1 318.812 3.004 20 30 DGEDMN CC(=N[N-]c1cc(C)cc[nH+]1)c1cc2c(s1)SCC(=O)N2 ZINC000778542174 623666130 /nfs/dbraw/zinc/66/61/30/623666130.db2.gz VEVUDROWMNDQSI-UHFFFAOYSA-N 0 1 318.427 3.332 20 30 DGEDMN CC(=NNc1cc(C)ccn1)c1cc2c(s1)SCC(=O)N2 ZINC000778542174 623666132 /nfs/dbraw/zinc/66/61/32/623666132.db2.gz VEVUDROWMNDQSI-UHFFFAOYSA-N 0 1 318.427 3.332 20 30 DGEDMN CC[C@@H](C(=O)OC[C@@H](C#N)Cc1ccc(F)cc1)N(CC)CC ZINC000778618883 623677377 /nfs/dbraw/zinc/67/73/77/623677377.db2.gz BWVFCQPHSGXZKS-WBVHZDCISA-N 0 1 320.408 3.172 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC2(C)C)cc1Cl ZINC000126139399 623696780 /nfs/dbraw/zinc/69/67/80/623696780.db2.gz HIBUQLOKSMGLKX-GHMZBOCLSA-N 0 1 320.776 3.042 20 30 DGEDMN C[C@H]1CCCC[C@H]1OCCNCc1cc(C#N)cnc1Cl ZINC000876932593 623747545 /nfs/dbraw/zinc/74/75/45/623747545.db2.gz PXSGQTGATTZYRG-SWLSCSKDSA-N 0 1 307.825 3.292 20 30 DGEDMN Cc1cc(N(C)C)ccc1CNCc1cc(C#N)cnc1Cl ZINC000877030688 623769817 /nfs/dbraw/zinc/76/98/17/623769817.db2.gz HOFKPAIXFODQDL-UHFFFAOYSA-N 0 1 314.820 3.271 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@@H]2OCCc3ccccc32)c1 ZINC000877037700 623772899 /nfs/dbraw/zinc/77/28/99/623772899.db2.gz WFYNLAGBPGCZHK-INIZCTEOSA-N 0 1 313.788 3.010 20 30 DGEDMN CC(C)CCN(CCC#N)CC1CN(C(=O)OC(C)(C)C)C1 ZINC000779479767 623774526 /nfs/dbraw/zinc/77/45/26/623774526.db2.gz YRGWPXDGCFEXST-UHFFFAOYSA-N 0 1 309.454 3.115 20 30 DGEDMN C[C@@H](CNCc1cc(C#N)cnc1Cl)Oc1cccc(F)c1 ZINC000877064502 623780979 /nfs/dbraw/zinc/78/09/79/623780979.db2.gz RJRKUFODNKILQC-NSHDSACASA-N 0 1 319.767 3.303 20 30 DGEDMN C(=NNCCN1CCCCC1)c1ccc(Oc2ccccc2)o1 ZINC000779773817 623799773 /nfs/dbraw/zinc/79/97/73/623799773.db2.gz ULWRIKDTBOPUML-UHFFFAOYSA-N 0 1 313.401 3.481 20 30 DGEDMN COc1cccc(N=NC2C[C@H](C)N(Cc3ccccc3)C2)n1 ZINC000779817524 623813883 /nfs/dbraw/zinc/81/38/83/623813883.db2.gz QUWHZHBGIWPRJZ-AWEZNQCLSA-N 0 1 310.401 3.153 20 30 DGEDMN Cc1ccccc1S(=O)(=O)Nc1ccccc1O[C@@H](C)C#N ZINC000779848769 623819681 /nfs/dbraw/zinc/81/96/81/623819681.db2.gz QPYXKIBJWYHUID-ZDUSSCGKSA-N 0 1 316.382 3.087 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NCc2cc(C#N)cnc2Cl)n[nH]1 ZINC000877475192 623900166 /nfs/dbraw/zinc/90/01/66/623900166.db2.gz NSYZYRIKGQMOGG-UWVGGRQHSA-N 0 1 318.812 3.089 20 30 DGEDMN COc1ccc(N=NCc2ccc(O)c(Br)c2)nc1 ZINC000780269749 623900561 /nfs/dbraw/zinc/90/05/61/623900561.db2.gz WMNRCDDXHNVGHV-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN COc1ccc(NN=C2CCCOc3ccc(F)cc32)nc1 ZINC000780275533 623902667 /nfs/dbraw/zinc/90/26/67/623902667.db2.gz ZAVOLNUPFQJJDZ-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN CN1CC[C@@H](NCCC#N)C[C@H]1c1ccc(Br)cc1 ZINC000877484119 623905345 /nfs/dbraw/zinc/90/53/45/623905345.db2.gz VXWQASCEZAQHMQ-CABCVRRESA-N 0 1 322.250 3.088 20 30 DGEDMN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1cc(C#N)ccc1N(C)C ZINC000877487123 623907746 /nfs/dbraw/zinc/90/77/46/623907746.db2.gz QFLPYGZUETXYSJ-BXUZGUMPSA-N 0 1 311.351 3.397 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000780304016 623908978 /nfs/dbraw/zinc/90/89/78/623908978.db2.gz KVWRHYXJRUHBDG-FXUDXRNXSA-N 0 1 306.450 3.464 20 30 DGEDMN Cc1ccccc1[C@@H](O)C1CCN(Cc2cccc(C#N)n2)CC1 ZINC000877519710 623920599 /nfs/dbraw/zinc/92/05/99/623920599.db2.gz YSNBDDKLZDDEQH-FQEVSTJZSA-N 0 1 321.424 3.207 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000877538596 623931877 /nfs/dbraw/zinc/93/18/77/623931877.db2.gz FKDQSSJCSGEXCG-QZTJIDSGSA-N 0 1 313.445 3.014 20 30 DGEDMN C[C@]1(CNCc2cc(C#N)cnc2Cl)CC1(Cl)Cl ZINC000877564686 623943473 /nfs/dbraw/zinc/94/34/73/623943473.db2.gz IYRVCXXPBLELTC-LLVKDONJSA-N 0 1 304.608 3.280 20 30 DGEDMN COC(=O)c1cccc2c(CNCC3(C#N)CCCC3)ccnc12 ZINC000877609041 623960985 /nfs/dbraw/zinc/96/09/85/623960985.db2.gz DCLVLPYKVQFIFJ-UHFFFAOYSA-N 0 1 323.396 3.195 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@@H]2[C@H]3Cc4cc(F)ccc4[C@@H]23)c1 ZINC000877639969 623974637 /nfs/dbraw/zinc/97/46/37/623974637.db2.gz HLVYXTWNDUPLBO-ARFHVFGLSA-N 0 1 313.763 3.174 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cccc2nc[nH]c21 ZINC000781036346 624012155 /nfs/dbraw/zinc/01/21/55/624012155.db2.gz LZYOGNCSRLHSRY-NSHDSACASA-N 0 1 306.325 3.106 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)C[C@H](O)C[C@H](O)c1ccccc1 ZINC000877917254 624091759 /nfs/dbraw/zinc/09/17/59/624091759.db2.gz RNNTYVSOZFTNSC-UXHICEINSA-N 0 1 311.425 3.159 20 30 DGEDMN N#CCC1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1 ZINC000781571412 624095165 /nfs/dbraw/zinc/09/51/65/624095165.db2.gz DZNNJEFDGNAMPL-UHFFFAOYSA-N 0 1 305.385 3.005 20 30 DGEDMN C#CCCCCCN1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000878031447 624142468 /nfs/dbraw/zinc/14/24/68/624142468.db2.gz ZNDRJLRHCCWNGI-UHFFFAOYSA-N 0 1 323.440 3.119 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2cnc(-c3ccco3)s2)nc1 ZINC000782202779 624219286 /nfs/dbraw/zinc/21/92/86/624219286.db2.gz KGBKFSNGYCCDEH-UHFFFAOYSA-N 0 1 315.314 3.152 20 30 DGEDMN CC(=NNc1cc(C)nc(C)n1)c1ccc([N+](=O)[O-])cc1Cl ZINC000782225061 624223274 /nfs/dbraw/zinc/22/32/74/624223274.db2.gz HLTFCQIVASKHTN-UHFFFAOYSA-N 0 1 319.752 3.491 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NCc2ccc([N+](=O)[O-])s2)c1 ZINC000782227556 624223924 /nfs/dbraw/zinc/22/39/24/624223924.db2.gz NHWABEOYIGGPEP-UHFFFAOYSA-N 0 1 307.722 3.022 20 30 DGEDMN CC(=O)[C@](C#N)(CCN(C)Cc1ccccc1O)c1ccccc1 ZINC000878242780 624224930 /nfs/dbraw/zinc/22/49/30/624224930.db2.gz VLRQLGCFAPNLGR-HXUWFJFHSA-N 0 1 322.408 3.265 20 30 DGEDMN CCC(N=Nc1ccc(C(=O)OC)c(Cl)c1)c1cnn(C)c1 ZINC000782238849 624225455 /nfs/dbraw/zinc/22/54/55/624225455.db2.gz STOAKHIPYASSGY-UHFFFAOYSA-N 0 1 320.780 3.086 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)nc2ccccc12)[C@@H]1CCCO1 ZINC000782543686 624262526 /nfs/dbraw/zinc/26/25/26/624262526.db2.gz NCZAZXCMOWEFAQ-HOCLYGCPSA-N 0 1 300.789 3.159 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000878491745 624289749 /nfs/dbraw/zinc/28/97/49/624289749.db2.gz RUFVTBSQRVYSPL-KXBFYZLASA-N 0 1 323.440 3.333 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)O[C@H](C)[C@@H]2C)c(O)c(OC)c1 ZINC000783541261 624363516 /nfs/dbraw/zinc/36/35/16/624363516.db2.gz GDNOYRLSVJPCFC-MELADBBJSA-N 0 1 305.418 3.127 20 30 DGEDMN C[C@H](OC(=O)c1c(F)cc(O)cc1F)c1ccc(C#N)cc1 ZINC000783715920 624387050 /nfs/dbraw/zinc/38/70/50/624387050.db2.gz IZOGRCOGTWPEQB-VIFPVBQESA-N 0 1 303.264 3.460 20 30 DGEDMN C[C@@H]1CC[N@@H+](CC2(CC#N)CC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000878956218 624396281 /nfs/dbraw/zinc/39/62/81/624396281.db2.gz MQMQPMTVZWKFHL-CABCVRRESA-N 0 1 321.465 3.305 20 30 DGEDMN C[C@@H]1CCN(CC2(CC#N)CC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000878956218 624396284 /nfs/dbraw/zinc/39/62/84/624396284.db2.gz MQMQPMTVZWKFHL-CABCVRRESA-N 0 1 321.465 3.305 20 30 DGEDMN O=C1CCC(=NNc2nc3ccccc3[nH]2)c2ccccc2N1 ZINC000783790838 624396945 /nfs/dbraw/zinc/39/69/45/624396945.db2.gz RKUQJHYDLSXGAL-UHFFFAOYSA-N 0 1 305.341 3.111 20 30 DGEDMN Cn1c2ccccc2nc1NN=C1CCC(=O)Nc2ccccc21 ZINC000783827242 624398843 /nfs/dbraw/zinc/39/88/43/624398843.db2.gz KRYZEOIIRCJUSP-UHFFFAOYSA-N 0 1 319.368 3.122 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNc1cc(C)ccc1F ZINC000783853951 624401510 /nfs/dbraw/zinc/40/15/10/624401510.db2.gz JCHILZHEQNCOHL-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](CN2CCC[C@](C)(C#N)C2)C1 ZINC000784452926 624526599 /nfs/dbraw/zinc/52/65/99/624526599.db2.gz FTZKZTPPGHCBQI-MAUKXSAKSA-N 0 1 321.465 3.259 20 30 DGEDMN Cc1cc(C#N)ccc1CN1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879500147 624569545 /nfs/dbraw/zinc/56/95/45/624569545.db2.gz QFLUTKMSCNTZGS-LJQANCHMSA-N 0 1 318.424 3.246 20 30 DGEDMN COc1ccc([C@@H]2CN(CCc3ccc(C#N)cc3)CCO2)cc1 ZINC000879636059 624616298 /nfs/dbraw/zinc/61/62/98/624616298.db2.gz FYEUDPRTXHYPSF-FQEVSTJZSA-N 0 1 322.408 3.183 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@@H](CCCN2CC2(C#N)CC2)C1 ZINC000879701957 624644120 /nfs/dbraw/zinc/64/41/20/624644120.db2.gz VCNKVLDIOVLMAD-GJZGRUSLSA-N 0 1 319.449 3.012 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(CC#N)cc1)N1[C@H](C)CC[C@@H]1C ZINC000785333217 624654587 /nfs/dbraw/zinc/65/45/87/624654587.db2.gz LTQZINCHVCPRKG-ZNMIVQPWSA-N 0 1 300.402 3.057 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(N3CCCC3)cc2)cc1O ZINC000785404948 624662189 /nfs/dbraw/zinc/66/21/89/624662189.db2.gz YSOGUHJZAPWQSL-UHFFFAOYSA-N 0 1 307.353 3.116 20 30 DGEDMN N#Cc1ccc(CNC[C@H](O)c2cc(F)cc(Cl)c2)c(F)c1 ZINC000785613788 624701373 /nfs/dbraw/zinc/70/13/73/624701373.db2.gz DBRMECLSHXKZKV-INIZCTEOSA-N 0 1 322.742 3.313 20 30 DGEDMN CCCCCCCCCN1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879940937 624727998 /nfs/dbraw/zinc/72/79/98/624727998.db2.gz REALEXWPIVQXBG-HZPDHXFCSA-N 0 1 301.496 3.104 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCC[C@H](CF)C1)c1ccccc1 ZINC000880238430 624861640 /nfs/dbraw/zinc/86/16/40/624861640.db2.gz GHBOIUNWCHFKKW-SJLPKXTDSA-N 0 1 302.393 3.109 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880296176 624899461 /nfs/dbraw/zinc/89/94/61/624899461.db2.gz WMDBWFIHWUQCKU-ZSZQSSIHSA-N 0 1 323.440 3.379 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786548947 624918593 /nfs/dbraw/zinc/91/85/93/624918593.db2.gz CWMKDGXYRHDACK-CJNGLKHVSA-N 0 1 300.402 3.146 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786553533 624920376 /nfs/dbraw/zinc/92/03/76/624920376.db2.gz VPWLQUKNDQSKAZ-DZKIICNBSA-N 0 1 300.402 3.002 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786561985 624922729 /nfs/dbraw/zinc/92/27/29/624922729.db2.gz QVQQATGQRHNFMB-FZMZJTMJSA-N 0 1 322.355 3.116 20 30 DGEDMN CN(Cc1ccccc1)C(=O)C(C#N)C(=O)C1C(C)(C)C1(C)C ZINC000787071117 624975748 /nfs/dbraw/zinc/97/57/48/624975748.db2.gz APVSWURIUXTTAC-CQSZACIVSA-N 0 1 312.413 3.036 20 30 DGEDMN N#Cc1csc(CN2CCCC[C@H](C3CCOCC3)C2)n1 ZINC000880576550 624981649 /nfs/dbraw/zinc/98/16/49/624981649.db2.gz AAYKOUWETIXNQM-AWEZNQCLSA-N 0 1 305.447 3.043 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cc2ccccc2[nH]1 ZINC000788422336 625165849 /nfs/dbraw/zinc/16/58/49/625165849.db2.gz PZHLYZWFOFOYCJ-UHFFFAOYSA-N 0 1 320.377 3.049 20 30 DGEDMN Cc1c(N=NC(C)c2cn3ccccc3n2)cccc1[N+](=O)[O-] ZINC000788427406 625165863 /nfs/dbraw/zinc/16/58/63/625165863.db2.gz MSZKBBSSWCHPLH-UHFFFAOYSA-N 0 1 309.329 3.387 20 30 DGEDMN Cc1cc(C=NNc2cc(C#N)cc(Cl)n2)cc(Cl)n1 ZINC000788721328 625250082 /nfs/dbraw/zinc/25/00/82/625250082.db2.gz BJPFOJWUXUVLMH-UHFFFAOYSA-N 0 1 306.156 3.410 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cccc(OC)n2)c1 ZINC000790965317 625724059 /nfs/dbraw/zinc/72/40/59/625724059.db2.gz DHMOXJMVGLJUGM-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC000129689768 625741120 /nfs/dbraw/zinc/74/11/20/625741120.db2.gz QGGLFFMCSGMVQS-SZEHBUNVSA-N 0 1 311.168 3.297 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2cc3cn[nH]c3cc2C)cc1 ZINC000791052054 625744335 /nfs/dbraw/zinc/74/43/35/625744335.db2.gz OFAKXSXHNGFERB-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)Cc1ccccc1CC#N ZINC000791056660 625745663 /nfs/dbraw/zinc/74/56/63/625745663.db2.gz JENCKGNWNVGQIK-UHFFFAOYSA-N 0 1 304.353 3.119 20 30 DGEDMN C[C@H]1CCCN(C(=O)OC[C@@H]2CCCCN2CCCC#N)C1 ZINC000796554130 626061930 /nfs/dbraw/zinc/06/19/30/626061930.db2.gz MPQSBCXAGYGPRI-HOTGVXAUSA-N 0 1 307.438 3.013 20 30 DGEDMN C#CCN(CCc1nc(-c2ccccc2)no1)Cc1ccccc1 ZINC000796637346 626068220 /nfs/dbraw/zinc/06/82/20/626068220.db2.gz BSMGJGFPUFFLOH-UHFFFAOYSA-N 0 1 317.392 3.415 20 30 DGEDMN N#C[C@@H](C(=O)c1occ2c1CCOC2)c1ccc2ccccc2n1 ZINC000796750210 626079018 /nfs/dbraw/zinc/07/90/18/626079018.db2.gz UHWWQXXUUIDWSF-OAHLLOKOSA-N 0 1 318.332 3.391 20 30 DGEDMN N#CC(C(=O)c1occ2c1CCOC2)c1ccc2ccccc2n1 ZINC000796750210 626079027 /nfs/dbraw/zinc/07/90/27/626079027.db2.gz UHWWQXXUUIDWSF-OAHLLOKOSA-N 0 1 318.332 3.391 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CC[C@@H](C(F)(F)F)C2)nc(C)n1 ZINC000796778079 626081243 /nfs/dbraw/zinc/08/12/43/626081243.db2.gz VXVCXLINAJFJGI-UTUOFQBUSA-N 0 1 311.307 3.248 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C1CC(C)(C)C1 ZINC000796798628 626084071 /nfs/dbraw/zinc/08/40/71/626084071.db2.gz MRKWBBOWSASQRD-ZDUSSCGKSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CC[C@H]2CCOC2)s1 ZINC000797568127 626153836 /nfs/dbraw/zinc/15/38/36/626153836.db2.gz FOLSZHCODQAPRJ-RYUDHWBXSA-N 0 1 306.431 3.434 20 30 DGEDMN N#Cc1c(CN2CC[C@@H](c3ccccn3)C2)cn2ccccc12 ZINC000799192099 626279813 /nfs/dbraw/zinc/27/98/13/626279813.db2.gz CEGVUPHTTKNQAO-OAHLLOKOSA-N 0 1 302.381 3.195 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2cccc(C(F)(F)F)n2)n[nH]1 ZINC000799481070 626304223 /nfs/dbraw/zinc/30/42/23/626304223.db2.gz IAQGUJNUPHNXTR-UHFFFAOYSA-N 0 1 323.200 3.288 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2cccc(C(F)(F)F)n2)[nH]n1 ZINC000799481070 626304225 /nfs/dbraw/zinc/30/42/25/626304225.db2.gz IAQGUJNUPHNXTR-UHFFFAOYSA-N 0 1 323.200 3.288 20 30 DGEDMN FC(F)(F)c1cc(CN=Nc2cccc(C(F)(F)F)n2)[nH]n1 ZINC000799481070 626304227 /nfs/dbraw/zinc/30/42/27/626304227.db2.gz IAQGUJNUPHNXTR-UHFFFAOYSA-N 0 1 323.200 3.288 20 30 DGEDMN COCCC[C@@H](NCc1ccc(C#N)cn1)c1ccc(F)cc1 ZINC000799726810 626325110 /nfs/dbraw/zinc/32/51/10/626325110.db2.gz UDGMOBGMWIJJNQ-GOSISDBHSA-N 0 1 313.376 3.350 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(C#N)c(OC)c2)cc1F ZINC000799729406 626325305 /nfs/dbraw/zinc/32/53/05/626325305.db2.gz QAMQLDIIAPCWPD-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1ccc(C)cc1O ZINC000800816889 626376565 /nfs/dbraw/zinc/37/65/65/626376565.db2.gz FWBFRQFABAJWJA-UHFFFAOYSA-N 0 1 316.332 3.462 20 30 DGEDMN CC(C)SCCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000800868118 626380873 /nfs/dbraw/zinc/38/08/73/626380873.db2.gz MJTAVIOBRHEWOD-UHFFFAOYSA-N 0 1 321.490 3.455 20 30 DGEDMN CC#CC(=O)Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1 ZINC000801181475 626396485 /nfs/dbraw/zinc/39/64/85/626396485.db2.gz RFJMCLLUSYQINY-UHFFFAOYSA-N 0 1 317.217 3.179 20 30 DGEDMN CC#CC(=O)N[C@H](c1ccc(F)c(F)c1)C(C)(C)N1CCCC1 ZINC000801187719 626396802 /nfs/dbraw/zinc/39/68/02/626396802.db2.gz OYCYGZMCLGYCBF-QGZVFWFLSA-N 0 1 320.383 3.020 20 30 DGEDMN Cc1cccc(CN(CCO[C@@H](C)C#N)Cc2ccccn2)c1 ZINC000801933352 626426595 /nfs/dbraw/zinc/42/65/95/626426595.db2.gz OXZXAWKDXIXETJ-KRWDZBQOSA-N 0 1 309.413 3.321 20 30 DGEDMN C[C@@H](C#N)OCCNC(C)(C)c1ccccc1Br ZINC000801940667 626427221 /nfs/dbraw/zinc/42/72/21/626427221.db2.gz ZVMHSVMZYMAZLP-NSHDSACASA-N 0 1 311.223 3.202 20 30 DGEDMN COc1c2ccccc2[nH]c1C(=O)Nc1cc(C#N)ccc1O ZINC000802891740 626580955 /nfs/dbraw/zinc/58/09/55/626580955.db2.gz VDBQSCLZHHATLZ-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN C[C@@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1cccc(F)c1F ZINC000883360740 626661068 /nfs/dbraw/zinc/66/10/68/626661068.db2.gz JVAVFTVLBNWZOB-MUWHJKNJSA-N 0 1 319.220 3.174 20 30 DGEDMN C=C(C)C[C@H](CO)[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000883391126 626670720 /nfs/dbraw/zinc/67/07/20/626670720.db2.gz CRNXXTZQKYQLRN-ZYHUDNBSSA-N 0 1 314.223 3.132 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1cccc(F)c1Br ZINC000883399978 626672988 /nfs/dbraw/zinc/67/29/88/626672988.db2.gz AOYCOUFMBLREPP-LLVKDONJSA-N 0 1 302.187 3.005 20 30 DGEDMN C#C[C@H](NC(=O)C(C)(Cl)Cl)c1ccc(Cl)cc1F ZINC000804413815 626677156 /nfs/dbraw/zinc/67/71/56/626677156.db2.gz BVSUHUUTZBFESH-JTQLQIEISA-N 0 1 308.567 3.463 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)NC(=O)c1c(C)n[nH]c1C(C)C ZINC000804456141 626682131 /nfs/dbraw/zinc/68/21/31/626682131.db2.gz SYFJTOFDNLQQAD-INIZCTEOSA-N 0 1 309.413 3.206 20 30 DGEDMN N#Cc1sc(NCCc2nc3ccc(F)cc3[nH]2)nc1Cl ZINC000804535835 626690963 /nfs/dbraw/zinc/69/09/63/626690963.db2.gz XZOUWNRCFSGNTG-UHFFFAOYSA-N 0 1 321.768 3.338 20 30 DGEDMN O=C1c2ccc(Cl)nc2C/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000806578094 626723555 /nfs/dbraw/zinc/72/35/55/626723555.db2.gz NDIOWOYGPDRPKH-UITAMQMPSA-N 0 1 316.700 3.171 20 30 DGEDMN CC(C)NC(=O)[C@H](C#N)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC000132694378 626735282 /nfs/dbraw/zinc/73/52/82/626735282.db2.gz NXBVWHJKSGHMPG-YMAMQOFZSA-N 0 1 306.450 3.462 20 30 DGEDMN CCSCC(N=Nc1ccnc(N(C)C)n1)c1ccccc1 ZINC000807972783 626781388 /nfs/dbraw/zinc/78/13/88/626781388.db2.gz VYWZGHQHMPNKOI-UHFFFAOYSA-N 0 1 315.446 3.112 20 30 DGEDMN CC(=CN=NC1=NC[C@@H](C)N1)Cc1cccc(C(F)(F)F)c1 ZINC000807981066 626782084 /nfs/dbraw/zinc/78/20/84/626782084.db2.gz GUNRDNPFDZAEJJ-MGPMIFNGSA-N 0 1 310.323 3.032 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2nccnc2C2CCC2)s1 ZINC000807994222 626784233 /nfs/dbraw/zinc/78/42/33/626784233.db2.gz NFHDRWBAEDWRDX-UHFFFAOYSA-N 0 1 323.809 3.309 20 30 DGEDMN N#CC1(CCCOC(=O)c2ccc(O)c(Cl)c2)CCOCC1 ZINC000800083946 626802925 /nfs/dbraw/zinc/80/29/25/626802925.db2.gz UVHCJYSQQUNHCY-UHFFFAOYSA-N 0 1 323.776 3.303 20 30 DGEDMN COc1cccc2c(C(=O)OCCCCC(C)(C)C#N)[nH]nc21 ZINC000808673210 626847963 /nfs/dbraw/zinc/84/79/63/626847963.db2.gz KRVGHUOFRUXPHU-UHFFFAOYSA-N 0 1 315.373 3.448 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)C[C@@H]1CC1(Cl)Cl ZINC000808786936 626861916 /nfs/dbraw/zinc/86/19/16/626861916.db2.gz IJOXHAULFWIKOX-AWEZNQCLSA-N 0 1 313.228 3.200 20 30 DGEDMN N#CCOc1ccccc1-c1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000809409959 626911026 /nfs/dbraw/zinc/91/10/26/626911026.db2.gz WDTQLKYMTZMTOM-UHFFFAOYSA-N 0 1 317.308 3.182 20 30 DGEDMN C#Cc1ccc(CN[C@H]2CCN(c3ccc(Cl)cc3)C2=O)cc1 ZINC000809621660 626927605 /nfs/dbraw/zinc/92/76/05/626927605.db2.gz MMYBOZNDJZWKRH-SFHVURJKSA-N 0 1 324.811 3.216 20 30 DGEDMN C#Cc1ccc(CN[C@@H](c2nnc3ccccn32)C(C)C)cc1 ZINC000809633123 626929618 /nfs/dbraw/zinc/92/96/18/626929618.db2.gz FBXYYCLKPSIJAL-GOSISDBHSA-N 0 1 304.397 3.198 20 30 DGEDMN C#Cc1ccc(CN[C@H](CC(N)=O)c2cccc(Cl)c2)cc1 ZINC000809640052 626931222 /nfs/dbraw/zinc/93/12/22/626931222.db2.gz SCHREIIDRAEJAJ-QGZVFWFLSA-N 0 1 312.800 3.028 20 30 DGEDMN C#Cc1ccc(CNCc2nc3cc(Cl)ccc3n2C)cc1 ZINC000809644153 626931866 /nfs/dbraw/zinc/93/18/66/626931866.db2.gz DGDHJCDMFOHTIY-UHFFFAOYSA-N 0 1 309.800 3.498 20 30 DGEDMN C#C[C@@H](C)NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC000809683654 626935405 /nfs/dbraw/zinc/93/54/05/626935405.db2.gz IQHGVBXVXJZVHW-GFCCVEGCSA-N 0 1 307.319 3.062 20 30 DGEDMN C#C[C@H](C)NCc1ccccc1OCc1ccc(C(=O)OC)cc1 ZINC000809683945 626935539 /nfs/dbraw/zinc/93/55/39/626935539.db2.gz SDWQGDRRORXYCV-HNNXBMFYSA-N 0 1 323.392 3.164 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(OCCOC)cc1 ZINC000809718419 626940976 /nfs/dbraw/zinc/94/09/76/626940976.db2.gz UGGQJELQXXITSH-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN C#C[C@H](NC/C(C)=C\c1ccccc1OC(F)F)[C@H]1CCCO1 ZINC000810153262 626981429 /nfs/dbraw/zinc/98/14/29/626981429.db2.gz SUZOEFUPVMBXQU-FONJURLJSA-N 0 1 321.367 3.462 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(C)c2Cl)nc(C)n1 ZINC000810526929 627011591 /nfs/dbraw/zinc/01/15/91/627011591.db2.gz FRUTUJFDRPOCKH-AWEZNQCLSA-N 0 1 313.788 3.474 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(Cl)c2F)nc(C)n1 ZINC000810528189 627012384 /nfs/dbraw/zinc/01/23/84/627012384.db2.gz QJSTZHIZMKXHEL-LBPRGKRZSA-N 0 1 317.751 3.305 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2CC[C@@H]3CCCCN32)c(Cl)c1 ZINC000885670176 627041524 /nfs/dbraw/zinc/04/15/24/627041524.db2.gz VQDYSTKJDOAKSF-BBRMVZONSA-N 0 1 302.805 3.277 20 30 DGEDMN CN(Cc1ccc(F)cc1Cl)C[C@@H](O)CC1(C#N)CCC1 ZINC000886001939 627080503 /nfs/dbraw/zinc/08/05/03/627080503.db2.gz NINCBHFCWLBJMZ-AWEZNQCLSA-N 0 1 310.800 3.356 20 30 DGEDMN N#CC1(C[C@@H](O)C[N@H+]2CCc3cc(Cl)ccc3C2)CCC1 ZINC000886166618 627116742 /nfs/dbraw/zinc/11/67/42/627116742.db2.gz MHGBPROKNVKNNH-MRXNPFEDSA-N 0 1 304.821 3.143 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCc3cc(Cl)ccc3C2)CCC1 ZINC000886166618 627116743 /nfs/dbraw/zinc/11/67/43/627116743.db2.gz MHGBPROKNVKNNH-MRXNPFEDSA-N 0 1 304.821 3.143 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCC[C@@](F)(c3ccccc3)C2)CCC1 ZINC000886309263 627134764 /nfs/dbraw/zinc/13/47/64/627134764.db2.gz YQVYVFNETYRHPT-MJGOQNOKSA-N 0 1 316.420 3.392 20 30 DGEDMN C#CCC1(NCc2csc(-c3ccco3)n2)CCOCC1 ZINC000886381589 627145360 /nfs/dbraw/zinc/14/53/60/627145360.db2.gz JMGUWEWGQSBNMY-UHFFFAOYSA-N 0 1 302.399 3.065 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)N[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000886512439 627155144 /nfs/dbraw/zinc/15/51/44/627155144.db2.gz GCNLNXSHFRTKII-QWHCGFSZSA-N 0 1 309.369 3.152 20 30 DGEDMN COC(=O)c1cncc([C@H](C)N[C@H](C)c2ccc(C#N)cc2)c1 ZINC000886512438 627155147 /nfs/dbraw/zinc/15/51/47/627155147.db2.gz GCNLNXSHFRTKII-OLZOCXBDSA-N 0 1 309.369 3.152 20 30 DGEDMN CSc1ccc(CN[C@H]2CCCc3c2cnn3C)cc1C#N ZINC000886688468 627190351 /nfs/dbraw/zinc/19/03/51/627190351.db2.gz SHWWXGZVQUYCQH-HNNXBMFYSA-N 0 1 312.442 3.181 20 30 DGEDMN C#CCC1(NCc2csc(C3CCCC3)n2)CCOCC1 ZINC000887124542 627227159 /nfs/dbraw/zinc/22/71/59/627227159.db2.gz IVLGHUNQSGVQCX-UHFFFAOYSA-N 0 1 304.459 3.463 20 30 DGEDMN C#CCC1(NCc2coc(-c3ccc(F)cc3)n2)CCOCC1 ZINC000887125854 627227268 /nfs/dbraw/zinc/22/72/68/627227268.db2.gz MHVRWJHHTRIYNG-UHFFFAOYSA-N 0 1 314.360 3.143 20 30 DGEDMN C#CCN(C(=O)[C@H](C)Cc1cnc[nH]1)[C@H]1CCCc2ccccc21 ZINC000887231999 627235226 /nfs/dbraw/zinc/23/52/26/627235226.db2.gz HFUZZNFNGZXCCP-BEFAXECRSA-N 0 1 321.424 3.128 20 30 DGEDMN Cc1cc(C)nc(N=NC2CCN(Cc3ccccc3)[C@@H]2C)n1 ZINC000811628798 627296639 /nfs/dbraw/zinc/29/66/39/627296639.db2.gz MQPZNTCIZLMWAD-OAHLLOKOSA-N 0 1 309.417 3.156 20 30 DGEDMN C=C(C)CNN=C(C)c1cc(Br)cc(F)c1O ZINC000811650682 627300252 /nfs/dbraw/zinc/30/02/52/627300252.db2.gz LGFOKTPWPAQBPX-UHFFFAOYSA-N 0 1 301.159 3.184 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=Cc1ccc(N(C)C)c(F)c1 ZINC000811667391 627304058 /nfs/dbraw/zinc/30/40/58/627304058.db2.gz UNWRMWLCZRDSRE-AWEZNQCLSA-N 0 1 315.392 3.283 20 30 DGEDMN N#Cc1ccc(C2CCN(C(=O)c3cccc(F)c3O)CC2)cc1 ZINC000887695914 627306908 /nfs/dbraw/zinc/30/69/08/627306908.db2.gz IQOFYCHCABBXEH-UHFFFAOYSA-N 0 1 324.355 3.423 20 30 DGEDMN C#C[C@H](NC(=O)c1n[nH]c2ccccc21)c1ccc(Cl)cc1 ZINC000811951057 627340383 /nfs/dbraw/zinc/34/03/83/627340383.db2.gz VKRYXUMDJJCMOD-AWEZNQCLSA-N 0 1 309.756 3.321 20 30 DGEDMN C#C[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccc(Cl)cc1 ZINC000812352027 627374037 /nfs/dbraw/zinc/37/40/37/627374037.db2.gz YDUFTMULHTVCEG-AWEZNQCLSA-N 0 1 313.788 3.046 20 30 DGEDMN Cc1sc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)c(C#N)c1C ZINC000812826904 627426312 /nfs/dbraw/zinc/42/63/12/627426312.db2.gz SZZZMECHAUUWGU-MRXNPFEDSA-N 0 1 323.437 3.388 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCCC1CC1 ZINC000813060086 627444246 /nfs/dbraw/zinc/44/42/46/627444246.db2.gz PBCCPFYLRAPVPX-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)N[C@@H](CC)c1ccccc1 ZINC000813091047 627447888 /nfs/dbraw/zinc/44/78/88/627447888.db2.gz TVTSZWZLRNTFQF-NCOADZHNSA-N 0 1 312.413 3.399 20 30 DGEDMN C=C1[C@@H]2C[C@H](C[C@@H]1OC(=O)CCCCc1cn[nH]n1)C2(C)C ZINC000813094826 627448847 /nfs/dbraw/zinc/44/88/47/627448847.db2.gz WNPVCXCBGJLVMQ-SNPRPXQTSA-N 0 1 303.406 3.052 20 30 DGEDMN N#C[C@H](C(=O)CCOCC1CC1)c1cc(C(F)(F)F)ccn1 ZINC000813213579 627467612 /nfs/dbraw/zinc/46/76/12/627467612.db2.gz NVESWBBASXHZMD-LBPRGKRZSA-N 0 1 312.291 3.093 20 30 DGEDMN Fc1cccc(Cl)c1NN=Cc1cnn(CC(F)F)c1 ZINC000814226578 627553172 /nfs/dbraw/zinc/55/31/72/627553172.db2.gz FJNMGLCTVCPJLT-UHFFFAOYSA-N 0 1 302.687 3.387 20 30 DGEDMN COCc1ccccc1/C=C(\C)CNCc1ccc(C#N)cn1 ZINC000814296311 627557389 /nfs/dbraw/zinc/55/73/89/627557389.db2.gz UADWVAWQLLAZEX-OQLLNIDSSA-N 0 1 307.397 3.293 20 30 DGEDMN CC(=[NH+][N-]c1nncc2ccccc21)c1cc(F)c(N)c(F)c1 ZINC000814703723 627584426 /nfs/dbraw/zinc/58/44/26/627584426.db2.gz OYWBLJAHSDTVQY-UHFFFAOYSA-N 0 1 313.311 3.326 20 30 DGEDMN Fc1cc2c(c(F)c1)C(=N[N-]c1[nH]c3ccccc3[nH+]1)CO2 ZINC000814708217 627584993 /nfs/dbraw/zinc/58/49/93/627584993.db2.gz DXTWFUQDJHBFNR-UHFFFAOYSA-N 0 1 300.268 3.050 20 30 DGEDMN Cc1nc2sc3c(c2c(N=NCc2cncnc2)n1)CCCC3 ZINC000814726722 627586973 /nfs/dbraw/zinc/58/69/73/627586973.db2.gz IGAJROHHNWSYIF-UHFFFAOYSA-N 0 1 324.413 3.115 20 30 DGEDMN CCc1cnc(C=NNc2ccc([N+](=O)[O-])cc2OC)s1 ZINC000814821559 627594948 /nfs/dbraw/zinc/59/49/48/627594948.db2.gz AUAVXOULGMQOHN-UHFFFAOYSA-N 0 1 306.347 3.068 20 30 DGEDMN CC(N=Nc1c(F)cccc1F)c1cc([N+](=O)[O-])ccc1N ZINC000814852737 627598273 /nfs/dbraw/zinc/59/82/73/627598273.db2.gz MVKOEPJLWGIUOK-UHFFFAOYSA-N 0 1 306.272 3.291 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2COc3cccc(Cl)c32)n1 ZINC000814855359 627599012 /nfs/dbraw/zinc/59/90/12/627599012.db2.gz KJNDCEPYSISOLT-UHFFFAOYSA-N 0 1 309.156 3.300 20 30 DGEDMN COc1ccc(NN=C(C)c2ccccc2C#N)c([N+](=O)[O-])c1 ZINC000814881264 627602298 /nfs/dbraw/zinc/60/22/98/627602298.db2.gz VGODADNTTLYDEH-UHFFFAOYSA-N 0 1 310.313 3.311 20 30 DGEDMN COc1cccc(NN=C2COc3c2cc(Cl)cc3C)n1 ZINC000814900065 627604680 /nfs/dbraw/zinc/60/46/80/627604680.db2.gz CBBSCRNPCUEOLP-UHFFFAOYSA-N 0 1 303.749 3.261 20 30 DGEDMN Fc1ccc(N=NCc2cn(-c3ccccc3)nn2)c(F)c1F ZINC000814946078 627612154 /nfs/dbraw/zinc/61/21/54/627612154.db2.gz FDFWWOOWCWHNKY-UHFFFAOYSA-N 0 1 317.274 3.131 20 30 DGEDMN COCc1nc(Cl)cc(NN=Cc2scnc2C2CC2)n1 ZINC000814977782 627614821 /nfs/dbraw/zinc/61/48/21/627614821.db2.gz BWDVZHJTCAURMX-UHFFFAOYSA-N 0 1 323.809 3.056 20 30 DGEDMN CC(=NNc1cnnn1C)c1ccc(OCc2ccccc2)cc1 ZINC000814980963 627615327 /nfs/dbraw/zinc/61/53/27/627615327.db2.gz QVUHDXXZOWTXQE-UHFFFAOYSA-N 0 1 321.384 3.230 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2cccc(-c3nnc[nH]3)c2)cc1 ZINC000815605535 627690447 /nfs/dbraw/zinc/69/04/47/627690447.db2.gz XXHHNHZGMVTVLP-UHFFFAOYSA-N 0 1 316.364 3.024 20 30 DGEDMN N#CC(C(=O)CC1(C#N)CC1)c1nc2ccccc2n1C(F)F ZINC000815913030 627712153 /nfs/dbraw/zinc/71/21/53/627712153.db2.gz GFJIIIDJVKGEEY-SNVBAGLBSA-N 0 1 314.295 3.302 20 30 DGEDMN C=CCC(F)(F)C(=O)O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000816012660 627725352 /nfs/dbraw/zinc/72/53/52/627725352.db2.gz UGDSWWZLOTUXKF-OAHLLOKOSA-N 0 1 309.356 3.406 20 30 DGEDMN COc1ccc(/C=C/C(=O)c2ccccc2O)c(OC)c1OC ZINC000034139827 627732182 /nfs/dbraw/zinc/73/21/82/627732182.db2.gz QZHCWJDVWAMRRI-CSKARUKUSA-N 0 1 314.337 3.314 20 30 DGEDMN C#CCOc1ccc([C@H](C)N2CCOc3ccc(N)cc3C2)cc1 ZINC000925751605 627787061 /nfs/dbraw/zinc/78/70/61/627787061.db2.gz BYADOOZZBHCQJA-HNNXBMFYSA-N 0 1 322.408 3.236 20 30 DGEDMN COc1cc(CN[C@H](C)c2cccc3c2OCO3)ccc1C#N ZINC000926448828 627897378 /nfs/dbraw/zinc/89/73/78/627897378.db2.gz GRJRUONFGNAHMA-GFCCVEGCSA-N 0 1 310.353 3.146 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)[C@@H]1CC12CC2 ZINC000817714613 627938029 /nfs/dbraw/zinc/93/80/29/627938029.db2.gz VHMSRSANDASARC-GJZGRUSLSA-N 0 1 304.349 3.287 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc2c(s1)CN(C(C)(C)C)CC2 ZINC000819677946 628178092 /nfs/dbraw/zinc/17/80/92/628178092.db2.gz HNOFXNJAYAAFJE-UHFFFAOYSA-N 0 1 319.474 3.288 20 30 DGEDMN C[C@@H](C[N@H+](C)Cc1cccc(C#N)c1)C(=O)c1ccc([O-])cc1 ZINC000929161739 628218814 /nfs/dbraw/zinc/21/88/14/628218814.db2.gz CPHQHLYZXQVZAD-AWEZNQCLSA-N 0 1 308.381 3.215 20 30 DGEDMN C[C@@H](C[N@@H+](C)Cc1cccc(C#N)c1)C(=O)c1ccc([O-])cc1 ZINC000929161739 628218815 /nfs/dbraw/zinc/21/88/15/628218815.db2.gz CPHQHLYZXQVZAD-AWEZNQCLSA-N 0 1 308.381 3.215 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCC(C)(C)C2)o1 ZINC000820197510 628233649 /nfs/dbraw/zinc/23/36/49/628233649.db2.gz KNPGNTRZGDYSIL-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000822306821 628438674 /nfs/dbraw/zinc/43/86/74/628438674.db2.gz PCHPRFMTJADSCF-HZPDHXFCSA-N 0 1 311.385 3.050 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000823512013 628571363 /nfs/dbraw/zinc/57/13/63/628571363.db2.gz IAPWPOJJRIEGKT-MRXNPFEDSA-N 0 1 314.429 3.141 20 30 DGEDMN C[C@H](NCc1cc(C2CC2)nn1C)c1ccc(OCC#N)cc1 ZINC000823830918 628600451 /nfs/dbraw/zinc/60/04/51/628600451.db2.gz JNSICZYQUJTWNY-ZDUSSCGKSA-N 0 1 310.401 3.051 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H](OC)[C@H](C)C1 ZINC000824815540 628696874 /nfs/dbraw/zinc/69/68/74/628696874.db2.gz SLCGBARAZOKDAH-CJNGLKHVSA-N 0 1 307.821 3.209 20 30 DGEDMN CN1CCN(c2ccc(CNc3cccc(CC#N)c3)cc2)CC1 ZINC000825147636 628732533 /nfs/dbraw/zinc/73/25/33/628732533.db2.gz JMLYMAKVNUSLOX-UHFFFAOYSA-N 0 1 320.440 3.117 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2Cc3ccccc3C[C@@H]2C(=O)[O-])cc1 ZINC000825699372 628786946 /nfs/dbraw/zinc/78/69/46/628786946.db2.gz YEXSWHHYXLLEOQ-LJQANCHMSA-N 0 1 323.392 3.263 20 30 DGEDMN C#C[C@H](N[C@H](COC)CC1CCOCC1)c1ccc(Cl)cc1 ZINC000825949247 628813613 /nfs/dbraw/zinc/81/36/13/628813613.db2.gz RPAFNMYENAYTKE-ROUUACIJSA-N 0 1 321.848 3.436 20 30 DGEDMN CC(C)C[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000826831769 628892532 /nfs/dbraw/zinc/89/25/32/628892532.db2.gz GUBHHHDGDMFRAF-WBVHZDCISA-N 0 1 300.402 3.442 20 30 DGEDMN C#C[C@@H](NCc1ccc(C)cc1Br)C1CCOCC1 ZINC000827565040 628971380 /nfs/dbraw/zinc/97/13/80/628971380.db2.gz HXQZETGRCPDCHX-MRXNPFEDSA-N 0 1 322.246 3.276 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccc(-c2ccncc2)cc1F ZINC000827823601 628994382 /nfs/dbraw/zinc/99/43/82/628994382.db2.gz QVIFFIRAAJKDEM-UHFFFAOYSA-N 0 1 312.388 3.359 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(-c2ccncc2)cc1F ZINC000827823601 628994383 /nfs/dbraw/zinc/99/43/83/628994383.db2.gz QVIFFIRAAJKDEM-UHFFFAOYSA-N 0 1 312.388 3.359 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)[C@@H]1CCC[C@H]1C#N ZINC000828141060 629026989 /nfs/dbraw/zinc/02/69/89/629026989.db2.gz JOWTXXYKDXUTAO-GOEBONIOSA-N 0 1 315.417 3.030 20 30 DGEDMN C#CC1(NC(=O)c2cccc3nc(C(F)F)[nH]c32)CCCC1 ZINC000829466123 629159252 /nfs/dbraw/zinc/15/92/52/629159252.db2.gz LYUHRBHZEMRIEV-UHFFFAOYSA-N 0 1 303.312 3.176 20 30 DGEDMN Cc1nc(-c2ccc(C(=O)NC3=NO[C@@H](C)C3)s2)cs1 ZINC000867062533 629164427 /nfs/dbraw/zinc/16/44/27/629164427.db2.gz DPAHIFVZIUXIIW-ZETCQYMHSA-N 0 1 307.400 3.032 20 30 DGEDMN C#CC[C@H]1CCCN(C[C@H](O)c2cccc(Br)c2)C1 ZINC000829774576 629190668 /nfs/dbraw/zinc/19/06/68/629190668.db2.gz QIVOQOIPNDYSOC-BBRMVZONSA-N 0 1 322.246 3.218 20 30 DGEDMN C=CC1(CC(=O)NCc2cc(N(C)C)ccn2)CCCCC1 ZINC000829965238 629212892 /nfs/dbraw/zinc/21/28/92/629212892.db2.gz LYYJHSBXMJIMAX-UHFFFAOYSA-N 0 1 301.434 3.290 20 30 DGEDMN C#CCN1CCC(NC(=O)c2c(C)ccc3cc(C)ccc32)CC1 ZINC000830161880 629232795 /nfs/dbraw/zinc/23/27/95/629232795.db2.gz AIWXUSBBYJKMFR-UHFFFAOYSA-N 0 1 320.436 3.284 20 30 DGEDMN C#CC1CCN([C@H]2CCN(c3c(C)cc(C)cc3C)C2=O)CC1 ZINC000830345603 629256728 /nfs/dbraw/zinc/25/67/28/629256728.db2.gz KBESLJWXLLUHRW-SFHVURJKSA-N 0 1 310.441 3.062 20 30 DGEDMN C[N@@H+](CCCOc1ccccc1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830777042 629304667 /nfs/dbraw/zinc/30/46/67/629304667.db2.gz KBCMNSZONRJEMH-SFHVURJKSA-N 0 1 324.380 3.085 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)CCCCC#N)C2)n[nH]1 ZINC000831880304 629421594 /nfs/dbraw/zinc/42/15/94/629421594.db2.gz TXRTYUQNNBGHTM-CQSZACIVSA-N 0 1 302.422 3.323 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831898927 629425276 /nfs/dbraw/zinc/42/52/76/629425276.db2.gz OOHNAOYEHBDUMO-HNNXBMFYSA-N 0 1 301.434 3.433 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@@H+]2[C@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928460 629534832 /nfs/dbraw/zinc/53/48/32/629534832.db2.gz RQBQNOFEZZVHAA-WBVHZDCISA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@H+]2[C@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928460 629534836 /nfs/dbraw/zinc/53/48/36/629534836.db2.gz RQBQNOFEZZVHAA-WBVHZDCISA-N 0 1 310.353 3.423 20 30 DGEDMN C#CCOc1ccc(C[N@@H+]2CCC[C@@H](C(C)(C)C(=O)[O-])C2)cc1 ZINC000833367164 629593227 /nfs/dbraw/zinc/59/32/27/629593227.db2.gz QVBIGMBZSKQKJO-MRXNPFEDSA-N 0 1 315.413 3.021 20 30 DGEDMN C=CC[N@@H+](CCc1ccco1)Cc1cccc(C(=O)[O-])c1C#N ZINC000833374492 629594211 /nfs/dbraw/zinc/59/42/11/629594211.db2.gz LZPXRGIMVNMPIU-UHFFFAOYSA-N 0 1 310.353 3.080 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1cccc(C(=O)[O-])c1C#N ZINC000833374492 629594215 /nfs/dbraw/zinc/59/42/15/629594215.db2.gz LZPXRGIMVNMPIU-UHFFFAOYSA-N 0 1 310.353 3.080 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1N[C@@H](C)c1nc(Br)cs1 ZINC000834890638 629798608 /nfs/dbraw/zinc/79/86/08/629798608.db2.gz FLIRSVPEIASGTG-AEJSXWLSSA-N 0 1 317.252 3.290 20 30 DGEDMN CCC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000835060154 629840557 /nfs/dbraw/zinc/84/05/57/629840557.db2.gz AXYAKKGSQJPLQZ-IKGGRYGDSA-N 0 1 312.413 3.228 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@H]2C[C@H]21)C(=O)Nc1ccccc1Cl ZINC000835063675 629841230 /nfs/dbraw/zinc/84/12/30/629841230.db2.gz OZNTZUNQIGCKQM-WUHRBBMRSA-N 0 1 316.788 3.424 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000835065649 629842740 /nfs/dbraw/zinc/84/27/40/629842740.db2.gz PKLGSANKBUTCCD-RDBSUJKOSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000835341923 629910724 /nfs/dbraw/zinc/91/07/24/629910724.db2.gz XAKANRZWJFQAAX-IXDOHACOSA-N 0 1 312.413 3.146 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CC12CCSCC2)c1cnc2ccccc2n1 ZINC000835348254 629912349 /nfs/dbraw/zinc/91/23/49/629912349.db2.gz METRHNHNLJBTOJ-STQMWFEESA-N 0 1 323.421 3.339 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)c1nc2ccc(Cl)cc2[nH]1 ZINC000837414294 630255322 /nfs/dbraw/zinc/25/53/22/630255322.db2.gz SOIAQPVETCAZDJ-JTQLQIEISA-N 0 1 303.793 3.443 20 30 DGEDMN CN(CCC#N)c1ccc(CN[C@@H]2COCc3ccccc32)cc1 ZINC000841889805 630473505 /nfs/dbraw/zinc/47/35/05/630473505.db2.gz DNESAYMGWWTTNV-HXUWFJFHSA-N 0 1 321.424 3.398 20 30 DGEDMN Cn1nc(C2CC2)cc1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000841894869 630474111 /nfs/dbraw/zinc/47/41/11/630474111.db2.gz YXAVLMVUINMHBL-GOSISDBHSA-N 0 1 306.413 3.336 20 30 DGEDMN C#C[C@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccccc1 ZINC000842363774 630523991 /nfs/dbraw/zinc/52/39/91/630523991.db2.gz OQZICGUQUGKLER-MAUKXSAKSA-N 0 1 303.377 3.117 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@H](c3cccc(C)c3)CC2=O)C1 ZINC000842629475 630555735 /nfs/dbraw/zinc/55/57/35/630555735.db2.gz NQOSSBMUKDTLPW-IEBWSBKVSA-N 0 1 310.441 3.004 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)OC3(CCC3)C2)c(O)c(OC)c1 ZINC000842642213 630557624 /nfs/dbraw/zinc/55/76/24/630557624.db2.gz ZKLJQKOPBZDURQ-CQSZACIVSA-N 0 1 317.429 3.273 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2c(C(C)C)nc3sc(C)nn23)C1 ZINC000843423191 630656095 /nfs/dbraw/zinc/65/60/95/630656095.db2.gz AAFDNVWCGOGDGL-AWEZNQCLSA-N 0 1 316.474 3.458 20 30 DGEDMN Cc1ccc2cc(Cl)cc(C(=O)NC3=NO[C@H](C)C3)c2n1 ZINC000843841125 630701869 /nfs/dbraw/zinc/70/18/69/630701869.db2.gz YKFJAXLNDABYPX-SECBINFHSA-N 0 1 303.749 3.049 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2cccc(Br)c2O)C1 ZINC000843998583 630706095 /nfs/dbraw/zinc/70/60/95/630706095.db2.gz FTODLQHXOVVPJK-LLVKDONJSA-N 0 1 322.202 3.030 20 30 DGEDMN COC(=O)[C@@H](CCF)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000844270533 630714841 /nfs/dbraw/zinc/71/48/41/630714841.db2.gz IRJXZNGSTUSTJG-GJRQGDOSSA-N 0 1 308.136 3.019 20 30 DGEDMN N#Cc1c(=O)[nH]cc2c1CCC(=Cc1cccc3c1CCC3)C2=O ZINC000844554929 630738810 /nfs/dbraw/zinc/73/88/10/630738810.db2.gz WZLCGDNZLMKYCB-ZROIWOOFSA-N 0 1 316.360 3.360 20 30 DGEDMN Cc1c(N=NC(C)C[NH+]2Cc3ccccc3C2)cccc1C(=O)[O-] ZINC000844622235 630744158 /nfs/dbraw/zinc/74/41/58/630744158.db2.gz AVTBPXGJVCUGGI-UHFFFAOYSA-N 0 1 323.396 3.497 20 30 DGEDMN CCCCCCCCCS(=O)(=O)Nc1nc(SC)n[nH]1 ZINC000844827006 630919361 /nfs/dbraw/zinc/91/93/61/630919361.db2.gz YIYLIQDDLLRBJS-UHFFFAOYSA-N 0 1 320.484 3.019 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000105757980 631057695 /nfs/dbraw/zinc/05/76/95/631057695.db2.gz HCKPLRQRXNAKIO-KGLIPLIRSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C2CCCC2)c1 ZINC000845442153 631175503 /nfs/dbraw/zinc/17/55/03/631175503.db2.gz WHKUKWPGLGNEIG-GVDBMIGSSA-N 0 1 310.397 3.469 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCSC1)=C(O)C1(c2ccccc2)CC1 ZINC000845845117 631198897 /nfs/dbraw/zinc/19/88/97/631198897.db2.gz VKRBUSBEXAXYKS-DZGCQCFKSA-N 0 1 313.422 3.139 20 30 DGEDMN C[N@H+](Cc1cnc(C2CC2)s1)C[C@H](O)c1cccc(C#N)c1 ZINC000846009033 631205583 /nfs/dbraw/zinc/20/55/83/631205583.db2.gz ZNACSLQTUXSIHE-INIZCTEOSA-N 0 1 313.426 3.058 20 30 DGEDMN CN(Cc1cnc(C2CC2)s1)C[C@H](O)c1cccc(C#N)c1 ZINC000846009033 631205584 /nfs/dbraw/zinc/20/55/84/631205584.db2.gz ZNACSLQTUXSIHE-INIZCTEOSA-N 0 1 313.426 3.058 20 30 DGEDMN C[C@H]1CC[C@@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC000846011317 631205836 /nfs/dbraw/zinc/20/58/36/631205836.db2.gz RWSKQTOPAKVUCV-LSDHHAIUSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@H](C)C#N)cc2)[C@@H](CC)CO1 ZINC000846027366 631206776 /nfs/dbraw/zinc/20/67/76/631206776.db2.gz LXBKPPFGFINXPC-HYVNUMGLSA-N 0 1 302.418 3.367 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2C[C@H](C)OCC23CCC3)cc1 ZINC000846067003 631208912 /nfs/dbraw/zinc/20/89/12/631208912.db2.gz KYCVQYOIWIYWCL-CABCVRRESA-N 0 1 300.402 3.121 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2C[C@H](C)OCC23CCC3)cc1 ZINC000846067004 631208947 /nfs/dbraw/zinc/20/89/47/631208947.db2.gz KYCVQYOIWIYWCL-GJZGRUSLSA-N 0 1 300.402 3.121 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1csc(-c2ccc(C)cc2)n1 ZINC000846542725 631258100 /nfs/dbraw/zinc/25/81/00/631258100.db2.gz HHNWUTPVFNAZGX-LBPRGKRZSA-N 0 1 302.399 3.283 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N[C@H](C)c2cc3ccccc3o2)C1 ZINC000847027629 631385037 /nfs/dbraw/zinc/38/50/37/631385037.db2.gz VDCGPBWIOOGJCB-OWCLPIDISA-N 0 1 324.424 3.344 20 30 DGEDMN Cn1c(Cl)ncc1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000848556251 631690915 /nfs/dbraw/zinc/69/09/15/631690915.db2.gz REGJJTCXUIRQJS-HNNXBMFYSA-N 0 1 300.793 3.112 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(Br)cc(OC)c2O)C1 ZINC000848924572 631789335 /nfs/dbraw/zinc/78/93/35/631789335.db2.gz VQFZQQOTDVXGLI-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCC2(C#N)CCCCC2)C1 ZINC000849350722 631902056 /nfs/dbraw/zinc/90/20/56/631902056.db2.gz TVGZHEZPVGXMQO-CQSZACIVSA-N 0 1 307.438 3.059 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1ccc(-c2cccs2)cc1 ZINC000850244522 632092267 /nfs/dbraw/zinc/09/22/67/632092267.db2.gz VJMYQEPDVVRJMC-UHFFFAOYSA-N 0 1 321.467 3.106 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CCC1 ZINC000850740105 632210741 /nfs/dbraw/zinc/21/07/41/632210741.db2.gz SATVJINFQILOJG-IAQYHMDHSA-N 0 1 300.383 3.288 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)CC1(C#N)CCCCC1 ZINC000850752928 632214718 /nfs/dbraw/zinc/21/47/18/632214718.db2.gz VERNRDVXXICPAQ-OAHLLOKOSA-N 0 1 317.433 3.256 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC000850978070 632275274 /nfs/dbraw/zinc/27/52/74/632275274.db2.gz KYCZKUATIPTUQP-DRRXZNNHSA-N 0 1 310.397 3.009 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccc(C4CC4)cc3)CC2)cn1 ZINC000852000276 632537333 /nfs/dbraw/zinc/53/73/33/632537333.db2.gz RKUSUDVANXAERY-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1cccc(Br)c1 ZINC000852099170 632554002 /nfs/dbraw/zinc/55/40/02/632554002.db2.gz FXQWLCRTGFGWGY-XHDPSFHLSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@@](C)(CC)NCc1nc2cc(Br)ccc2[nH]1 ZINC000852100260 632554744 /nfs/dbraw/zinc/55/47/44/632554744.db2.gz GPGNVNZJBSDNRX-AWEZNQCLSA-N 0 1 306.207 3.217 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000852102631 632555058 /nfs/dbraw/zinc/55/50/58/632555058.db2.gz ZXAQSSGKADFCIU-YVEFUNNKSA-N 0 1 322.836 3.376 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000852102630 632555233 /nfs/dbraw/zinc/55/52/33/632555233.db2.gz ZXAQSSGKADFCIU-SJKOYZFVSA-N 0 1 322.836 3.376 20 30 DGEDMN CCOC(=O)[C@@]1(F)CN(CCCC(C)(C)C#N)CC12CCC2 ZINC000852316362 632585759 /nfs/dbraw/zinc/58/57/59/632585759.db2.gz UEBRGVHTKIPQOJ-KRWDZBQOSA-N 0 1 310.413 3.074 20 30 DGEDMN N#CC[C@H]1CCCN(C[C@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC000852319581 632586687 /nfs/dbraw/zinc/58/66/87/632586687.db2.gz PREXWCJRYOYNTH-CZUORRHYSA-N 0 1 317.820 3.459 20 30 DGEDMN CC(C)(C)OC(=O)NCCN(CCCC#N)Cc1ccccc1 ZINC000184824295 660189462 /nfs/dbraw/zinc/18/94/62/660189462.db2.gz KXWZLPZFSFLOFN-UHFFFAOYSA-N 0 1 317.433 3.317 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001032111709 660201916 /nfs/dbraw/zinc/20/19/16/660201916.db2.gz NGDSHVJFVMLJFQ-UHFFFAOYSA-N 0 1 310.397 3.184 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001032147180 660207654 /nfs/dbraw/zinc/20/76/54/660207654.db2.gz WKRYOJRSYNRFNK-UHFFFAOYSA-N 0 1 313.228 3.231 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001032168383 660210117 /nfs/dbraw/zinc/21/01/17/660210117.db2.gz ATJRSQWRHPHPJS-ZDUSSCGKSA-N 0 1 318.486 3.111 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1nc2ccccc2n1C ZINC000180165573 659953782 /nfs/dbraw/zinc/95/37/82/659953782.db2.gz GQZBHTYVQMUTME-CQSZACIVSA-N 0 1 320.396 3.326 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)c(C)o2)CC1(C)C ZINC000995670254 660241345 /nfs/dbraw/zinc/24/13/45/660241345.db2.gz QWCRYUPYHNOLOO-CQSZACIVSA-N 0 1 310.825 3.089 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)[C@@H](C)C1 ZINC000945182795 660243067 /nfs/dbraw/zinc/24/30/67/660243067.db2.gz QHDGTDQCIYGXOJ-YOEHRIQHSA-N 0 1 324.424 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2[nH]ccc2C2CC2)CC1(C)C ZINC000995883976 660272454 /nfs/dbraw/zinc/27/24/54/660272454.db2.gz KPDORWPSXFAMLF-AWEZNQCLSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](C)C(C)(C)C)CC1(C)C ZINC000995960043 660273281 /nfs/dbraw/zinc/27/32/81/660273281.db2.gz NEWSTLXLHRKIIN-OLZOCXBDSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)C(C)(C)C)CC1(C)C ZINC000995960041 660273314 /nfs/dbraw/zinc/27/33/14/660273314.db2.gz NEWSTLXLHRKIIN-CHWSQXEVSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2cccc(C)c2)CC1(C)C ZINC000996238366 660278527 /nfs/dbraw/zinc/27/85/27/660278527.db2.gz LDHYINIDXCZVIX-INIZCTEOSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)c(CC)o2)CC1(C)C ZINC000996503580 660283721 /nfs/dbraw/zinc/28/37/21/660283721.db2.gz AAVBJWHWVZCTKG-OAHLLOKOSA-N 0 1 324.852 3.343 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@@H](C)c2ccco2)CC1(C)C ZINC000996520096 660284849 /nfs/dbraw/zinc/28/48/49/660284849.db2.gz RPAPDLHGBXESLY-DOMZBBRYSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C)c(C)c2)CC1(C)C ZINC000996569381 660286181 /nfs/dbraw/zinc/28/61/81/660286181.db2.gz FVFJYXRFIRPCEX-INIZCTEOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC000996962553 660304016 /nfs/dbraw/zinc/30/40/16/660304016.db2.gz VARVOLCIWUEBBE-KRWDZBQOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C1CCc2ccccc2CC1 ZINC001032487104 660330358 /nfs/dbraw/zinc/33/03/58/660330358.db2.gz ABEIPJOMYYNBFM-PMACEKPBSA-N 0 1 324.468 3.043 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc2cc[nH]c2c1 ZINC001032561789 660348661 /nfs/dbraw/zinc/34/86/61/660348661.db2.gz BFTRYXSVARGPRQ-IRXDYDNUSA-N 0 1 323.440 3.165 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001016087718 660368343 /nfs/dbraw/zinc/36/83/43/660368343.db2.gz JPJZZQDLYZIDRI-AWEZNQCLSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@@H](N(CC)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000998088343 660368776 /nfs/dbraw/zinc/36/87/76/660368776.db2.gz KTTRILBIEGFBGG-LTIDMASMSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000998088343 660368778 /nfs/dbraw/zinc/36/87/78/660368778.db2.gz KTTRILBIEGFBGG-LTIDMASMSA-N 0 1 324.896 3.488 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C12CCC(CC1)C2(C)C ZINC001032670275 660409936 /nfs/dbraw/zinc/40/99/36/660409936.db2.gz SIMKDIAWCBRQGR-IOSXPMGOSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2cccc(CC)c2)C1 ZINC000999549339 660421372 /nfs/dbraw/zinc/42/13/72/660421372.db2.gz SSXSBDWLFLTKSI-QGZVFWFLSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC000999780934 660426885 /nfs/dbraw/zinc/42/68/85/660426885.db2.gz WNVXUCITFAMGAE-QKPAOTATSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCCCC2(F)F)C1 ZINC000999801178 660427542 /nfs/dbraw/zinc/42/75/42/660427542.db2.gz RBIIGEHVQMATSO-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001032722342 660434029 /nfs/dbraw/zinc/43/40/29/660434029.db2.gz SNTHEPHFTYTUTA-AVGNSLFASA-N 0 1 324.877 3.366 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(F)cc1Cl ZINC001032756774 660439632 /nfs/dbraw/zinc/43/96/32/660439632.db2.gz SECUTNVEQHQYTD-STQMWFEESA-N 0 1 322.811 3.262 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc2ccccc2c1C ZINC001032767117 660441910 /nfs/dbraw/zinc/44/19/10/660441910.db2.gz JESVQKZNZDCUCF-GJZGRUSLSA-N 0 1 310.397 3.216 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sc(C(C)C)nc1C ZINC001032780038 660443869 /nfs/dbraw/zinc/44/38/69/660443869.db2.gz SXGOUMMPVHQKEK-KBPBESRZSA-N 0 1 319.474 3.050 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3c(s2)CCC3)C1 ZINC001000845945 660449933 /nfs/dbraw/zinc/44/99/33/660449933.db2.gz GRCDZJXPEPPQCB-CYBMUJFWSA-N 0 1 324.877 3.184 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001016239119 660450952 /nfs/dbraw/zinc/45/09/52/660450952.db2.gz HZPWQLOBHKZADP-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc(C)sc1C ZINC001032809058 660452316 /nfs/dbraw/zinc/45/23/16/660452316.db2.gz DAVVJEQPTUNNKI-IRXDYDNUSA-N 0 1 318.486 3.159 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cccc2C)o1 ZINC001032809046 660452325 /nfs/dbraw/zinc/45/23/25/660452325.db2.gz CJXRHYJXYDZJFW-GJZGRUSLSA-N 0 1 310.397 3.216 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)C1 ZINC001043280974 660457321 /nfs/dbraw/zinc/45/73/21/660457321.db2.gz SISKSDXNISZHAZ-SJORKVTESA-N 0 1 304.478 3.334 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CN(CCC3=CCCCC3)C2)C1 ZINC001043811398 660481114 /nfs/dbraw/zinc/48/11/14/660481114.db2.gz DDJFPEKGRNVHLK-UHFFFAOYSA-N 0 1 302.462 3.376 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(CC(C)C)s2)CC1 ZINC001003045768 660484679 /nfs/dbraw/zinc/48/46/79/660484679.db2.gz RUQJAYRLGCPATL-UHFFFAOYSA-N 0 1 318.486 3.164 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(C)ccc2C2CC2)CC1 ZINC001003373485 660492294 /nfs/dbraw/zinc/49/22/94/660492294.db2.gz WBQPAYOEJZPLML-UHFFFAOYSA-N 0 1 310.441 3.090 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccccc2-c2ccccc2)CC1 ZINC001004092922 660528662 /nfs/dbraw/zinc/52/86/62/660528662.db2.gz OCJRRTZKWBTVSB-UHFFFAOYSA-N 0 1 318.420 3.181 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2coc3ccc(CC)cc32)C1 ZINC001033082663 660537068 /nfs/dbraw/zinc/53/70/68/660537068.db2.gz TUOYQKFZMVUTQA-HNNXBMFYSA-N 0 1 312.413 3.328 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cscc2C(F)F)C1 ZINC001033092220 660538186 /nfs/dbraw/zinc/53/81/86/660538186.db2.gz OMXKGZGIMIVPJE-JTQLQIEISA-N 0 1 300.374 3.018 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001033160793 660570524 /nfs/dbraw/zinc/57/05/24/660570524.db2.gz KOXHKENHKCLYPZ-BFHYXJOUSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001033160793 660570525 /nfs/dbraw/zinc/57/05/25/660570525.db2.gz KOXHKENHKCLYPZ-BFHYXJOUSA-N 0 1 318.383 3.074 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccccc2CC(C)(C)C)C1 ZINC001033177386 660572563 /nfs/dbraw/zinc/57/25/63/660572563.db2.gz PRMMUKBUUIDITK-KRWDZBQOSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001033284556 660603563 /nfs/dbraw/zinc/60/35/63/660603563.db2.gz ZGKMJWIXCRAOKC-OAHLLOKOSA-N 0 1 314.429 3.367 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CCN(Cc3cccc(F)c3)C2)C1 ZINC001033394596 660616708 /nfs/dbraw/zinc/61/67/08/660616708.db2.gz XAYQUQJAHJHKND-KRWDZBQOSA-N 0 1 316.420 3.215 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@@H]2CCN(CCC(F)(F)F)C2)CC1 ZINC001033388615 660618169 /nfs/dbraw/zinc/61/81/69/660618169.db2.gz DRPCHCSGXMYJGK-CQSZACIVSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(F)c3ccccc23)C1 ZINC001033454234 660625956 /nfs/dbraw/zinc/62/59/56/660625956.db2.gz TVIWJJWXBLYIOO-CQSZACIVSA-N 0 1 312.388 3.311 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001033474977 660627797 /nfs/dbraw/zinc/62/77/97/660627797.db2.gz MMSSVRAYWCTZCZ-BXWFABGCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033518876 660629763 /nfs/dbraw/zinc/62/97/63/660629763.db2.gz IFPGRHFZCILDAR-KRWDZBQOSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033518876 660629764 /nfs/dbraw/zinc/62/97/64/660629764.db2.gz IFPGRHFZCILDAR-KRWDZBQOSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001033535935 660631353 /nfs/dbraw/zinc/63/13/53/660631353.db2.gz FJTQKYLAJPHBJV-HNNXBMFYSA-N 0 1 311.429 3.117 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001033564710 660633003 /nfs/dbraw/zinc/63/30/03/660633003.db2.gz HSPDWFKBOWMMCM-VXGBXAGGSA-N 0 1 312.866 3.224 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001033587223 660634743 /nfs/dbraw/zinc/63/47/43/660634743.db2.gz DSOZSMOUXZGYJJ-HNNXBMFYSA-N 0 1 304.434 3.127 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001033600508 660637169 /nfs/dbraw/zinc/63/71/69/660637169.db2.gz DZIDNQJNZNNFDS-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001033633990 660642432 /nfs/dbraw/zinc/64/24/32/660642432.db2.gz HBXIURXKTRJIAC-ZIAGYGMSSA-N 0 1 318.486 3.205 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001033633986 660642450 /nfs/dbraw/zinc/64/24/50/660642450.db2.gz HBXIURXKTRJIAC-KBPBESRZSA-N 0 1 318.486 3.205 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001033633614 660642623 /nfs/dbraw/zinc/64/26/23/660642623.db2.gz CBBSHUVMWXOYFV-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700260 660652008 /nfs/dbraw/zinc/65/20/08/660652008.db2.gz VNCXZGAYVGDAFN-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700260 660652009 /nfs/dbraw/zinc/65/20/09/660652009.db2.gz VNCXZGAYVGDAFN-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2c(C)oc3ccccc32)C1 ZINC001033731059 660657923 /nfs/dbraw/zinc/65/79/23/660657923.db2.gz WWJDXCBXJBEQGD-HNNXBMFYSA-N 0 1 312.413 3.002 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001033834579 660667650 /nfs/dbraw/zinc/66/76/50/660667650.db2.gz QVGBZKWMNARMGR-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(C)c(F)c2)C1 ZINC001033890893 660674195 /nfs/dbraw/zinc/67/41/95/660674195.db2.gz UTGYCSCEDYAPIY-MRXNPFEDSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C)n(CC)c2C)C1 ZINC001033889307 660674296 /nfs/dbraw/zinc/67/42/96/660674296.db2.gz WYYANJGGNZMQDA-KRWDZBQOSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001033880030 660675043 /nfs/dbraw/zinc/67/50/43/660675043.db2.gz YCCFHXCFTIUXGS-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001033880030 660675044 /nfs/dbraw/zinc/67/50/44/660675044.db2.gz YCCFHXCFTIUXGS-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cnc3ccsc3c2)C1 ZINC001033919731 660680004 /nfs/dbraw/zinc/68/00/04/660680004.db2.gz NTEYGVQLUWAAMO-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2coc(C(F)F)c2)C1 ZINC001033933171 660681494 /nfs/dbraw/zinc/68/14/94/660681494.db2.gz BIQZGOJDNPMDSV-CYBMUJFWSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@@H]2CCN(C[C@@H](F)CC)C2)CC1 ZINC001033946436 660682814 /nfs/dbraw/zinc/68/28/14/660682814.db2.gz VMUUTVPUYWVJRM-DLBZAZTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(Cl)c2F)C1 ZINC001033938862 660684408 /nfs/dbraw/zinc/68/44/08/660684408.db2.gz SLJZJYUHSCYQRG-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001033945373 660685573 /nfs/dbraw/zinc/68/55/73/660685573.db2.gz YRWIXQSEXPYZPD-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001033973204 660687253 /nfs/dbraw/zinc/68/72/53/660687253.db2.gz SNNALYMWTJXZPD-WNRNVDISSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001033986681 660689428 /nfs/dbraw/zinc/68/94/28/660689428.db2.gz VWRRTLIXMKOMPT-HSALFYBXSA-N 0 1 324.468 3.045 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001033999861 660692171 /nfs/dbraw/zinc/69/21/71/660692171.db2.gz OHUXCJSJOUOBSO-HNNXBMFYSA-N 0 1 322.836 3.071 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2ccc(C3CC3)s2)C1 ZINC001034013257 660693585 /nfs/dbraw/zinc/69/35/85/660693585.db2.gz MSZNAYXZLOWXKV-HNNXBMFYSA-N 0 1 316.470 3.185 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001034044432 660700885 /nfs/dbraw/zinc/70/08/85/660700885.db2.gz AZBYUBOBZKYQQC-QGZVFWFLSA-N 0 1 324.424 3.301 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001034047678 660701201 /nfs/dbraw/zinc/70/12/01/660701201.db2.gz JWLRNMOKJGPMII-QGZVFWFLSA-N 0 1 324.468 3.043 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001034050835 660702670 /nfs/dbraw/zinc/70/26/70/660702670.db2.gz PRRWWTDLKGTWBQ-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC001034210853 660724134 /nfs/dbraw/zinc/72/41/34/660724134.db2.gz TVDYETDVNMDDQY-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001034256065 660729249 /nfs/dbraw/zinc/72/92/49/660729249.db2.gz HIWWCZBWBDTVMZ-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2ccc(CC)s2)C1 ZINC001034297031 660733825 /nfs/dbraw/zinc/73/38/25/660733825.db2.gz MSNUDXXQTYHJIQ-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001034305664 660734988 /nfs/dbraw/zinc/73/49/88/660734988.db2.gz CUGWOFHWIPBUCN-PMACEKPBSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001034305666 660735068 /nfs/dbraw/zinc/73/50/68/660735068.db2.gz CUGWOFHWIPBUCN-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cccc3c2CCCCC3)CC1 ZINC001005539333 660737140 /nfs/dbraw/zinc/73/71/40/660737140.db2.gz LZSFBSADCCHJAR-UHFFFAOYSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001034337199 660738843 /nfs/dbraw/zinc/73/88/43/660738843.db2.gz UTZBQIHPUNXOID-KRWDZBQOSA-N 0 1 323.440 3.084 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3ncccc3s2)C1 ZINC001034339418 660738980 /nfs/dbraw/zinc/73/89/80/660738980.db2.gz FHDIGBBQQRQQHE-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCCN(C/C=C/Cl)C2)cc1 ZINC001034345601 660740705 /nfs/dbraw/zinc/74/07/05/660740705.db2.gz VGKGHOWDJPTNNX-OPGUWZMBSA-N 0 1 316.832 3.005 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001034448250 660752583 /nfs/dbraw/zinc/75/25/83/660752583.db2.gz OFLIEWBSVUORAP-CABCVRRESA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001034411175 660749365 /nfs/dbraw/zinc/74/93/65/660749365.db2.gz NPIAWZDBLQWKRZ-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001034414821 660750793 /nfs/dbraw/zinc/75/07/93/660750793.db2.gz DVDWKERVMNDJEG-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001034416658 660751172 /nfs/dbraw/zinc/75/11/72/660751172.db2.gz BCEPEOLXWYLNKA-KRWDZBQOSA-N 0 1 320.436 3.366 20 30 DGEDMN C#CCCN1CCCC[C@@H](NC(=O)c2cc(Cl)cs2)C1 ZINC001034434775 660753612 /nfs/dbraw/zinc/75/36/12/660753612.db2.gz QMUQEJPTKSWPEG-CYBMUJFWSA-N 0 1 310.850 3.009 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2scnc2C2CC2)C1 ZINC001034434195 660753682 /nfs/dbraw/zinc/75/36/82/660753682.db2.gz YSZMRJBRYJDMDU-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001034505454 660763545 /nfs/dbraw/zinc/76/35/45/660763545.db2.gz KWPZBHQYFRYAQU-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001034439029 660754309 /nfs/dbraw/zinc/75/43/09/660754309.db2.gz SHKGPLGQUHUZFY-BXWFABGCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001034440838 660754441 /nfs/dbraw/zinc/75/44/41/660754441.db2.gz ZLXHCPZNQNGJLB-YZGWKJHDSA-N 0 1 324.468 3.093 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001034439030 660754564 /nfs/dbraw/zinc/75/45/64/660754564.db2.gz SHKGPLGQUHUZFY-FCEWJHQRSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001034442936 660754962 /nfs/dbraw/zinc/75/49/62/660754962.db2.gz AGBFYUGIBVHEIS-CYBMUJFWSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC001034444170 660755152 /nfs/dbraw/zinc/75/51/52/660755152.db2.gz DQFITDPVIWENQF-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001034450872 660756049 /nfs/dbraw/zinc/75/60/49/660756049.db2.gz MZSZHVFCRDBADH-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001034476509 660757015 /nfs/dbraw/zinc/75/70/15/660757015.db2.gz DRFYSLOQPVNQFD-DOTOQJQBSA-N 0 1 324.424 3.140 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001034469199 660758555 /nfs/dbraw/zinc/75/85/55/660758555.db2.gz UQVHBIRGZJCSDU-HNNXBMFYSA-N 0 1 304.434 3.482 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC001034472791 660758738 /nfs/dbraw/zinc/75/87/38/660758738.db2.gz ZNMHSVZUUIBMPZ-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001034490919 660758945 /nfs/dbraw/zinc/75/89/45/660758945.db2.gz NTSXQALIELBBPD-OAHLLOKOSA-N 0 1 304.434 3.175 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC001034500561 660766856 /nfs/dbraw/zinc/76/68/56/660766856.db2.gz FQWCQVXVUIDOMX-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001034558424 660774137 /nfs/dbraw/zinc/77/41/37/660774137.db2.gz OSARKOMTERGRGG-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC001034564359 660774533 /nfs/dbraw/zinc/77/45/33/660774533.db2.gz MVNPEHRWQBREAV-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC001005691078 660789698 /nfs/dbraw/zinc/78/96/98/660789698.db2.gz NPVXWVBZTJERRG-WOJBJXKFSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1CC=CC1)CC2 ZINC001035171762 660821254 /nfs/dbraw/zinc/82/12/54/660821254.db2.gz QFJIXJWPONIQCQ-UHFFFAOYSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1coc(C)c1)CC2 ZINC001035180998 660823802 /nfs/dbraw/zinc/82/38/02/660823802.db2.gz GMPOQUHPVZHVMG-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@H]1CC)CC2 ZINC001035230621 660834277 /nfs/dbraw/zinc/83/42/77/660834277.db2.gz PBXGTUKORUWCMG-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C2CCCCCCC2)C1 ZINC001035440985 660837748 /nfs/dbraw/zinc/83/77/48/660837748.db2.gz SXFRBMTWMVUTOO-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC3(CC3)C1)CC2 ZINC001035704443 660845869 /nfs/dbraw/zinc/84/58/69/660845869.db2.gz AMGQPRQFEOTXPN-MRXNPFEDSA-N 0 1 302.462 3.067 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCc1cccc(C)c1)CC2 ZINC001035758267 660849214 /nfs/dbraw/zinc/84/92/14/660849214.db2.gz AIUJYKQTIXMKQE-UHFFFAOYSA-N 0 1 312.457 3.038 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C(C)(C)c1cccs1)CC2 ZINC001035766016 660849767 /nfs/dbraw/zinc/84/97/67/660849767.db2.gz FNBCCFCQGRQDIU-UHFFFAOYSA-N 0 1 318.486 3.136 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(Cl)ccc1F)CC2 ZINC001035745511 660850659 /nfs/dbraw/zinc/85/06/59/660850659.db2.gz LXBGNESDVIIARM-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1[C@@H](C=C(C)C)C1(C)C)CC2 ZINC001035746956 660850714 /nfs/dbraw/zinc/85/07/14/660850714.db2.gz FQPIVHPMZWOBAE-IAGOWNOFSA-N 0 1 316.489 3.335 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(Cl)c(F)c1)CC2 ZINC001035805788 660854641 /nfs/dbraw/zinc/85/46/41/660854641.db2.gz LUGSHIJWGIQZOH-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1csc(Cl)c1)CC2 ZINC001035810475 660855242 /nfs/dbraw/zinc/85/52/42/660855242.db2.gz MNPGMXPLOLDNCC-UHFFFAOYSA-N 0 1 310.850 3.126 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc3c(c1)CCCC3)CC2 ZINC001035848167 660855982 /nfs/dbraw/zinc/85/59/82/660855982.db2.gz QNIINOMFRVUQOY-UHFFFAOYSA-N 0 1 324.468 3.289 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@]1(CC)C(C)C)CC2 ZINC001035828385 660856541 /nfs/dbraw/zinc/85/65/41/660856541.db2.gz QVDXJTLBRKWUKO-VQIMIIECSA-N 0 1 304.478 3.169 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(F)cccc1Cl)CC2 ZINC001035840718 660857744 /nfs/dbraw/zinc/85/77/44/660857744.db2.gz QJFNYEFPECMFLA-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(C)c3c1CCC3)CC2 ZINC001035859135 660860872 /nfs/dbraw/zinc/86/08/72/660860872.db2.gz REMRRDPMQDFFRQ-UHFFFAOYSA-N 0 1 324.468 3.208 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCCC[C@@H]1C)CC2 ZINC001035860583 660861241 /nfs/dbraw/zinc/86/12/41/660861241.db2.gz SDFCDJJMGUFDHY-IRXDYDNUSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3sccc3C)CC[C@H]21 ZINC001036619777 660926788 /nfs/dbraw/zinc/92/67/88/660926788.db2.gz VUHGBBCYWABYKP-UONOGXRCSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3sccc3C)CC[C@@H]21 ZINC001036619775 660926341 /nfs/dbraw/zinc/92/63/41/660926341.db2.gz VUHGBBCYWABYKP-KBPBESRZSA-N 0 1 324.877 3.345 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)CC1 ZINC001006117608 660964645 /nfs/dbraw/zinc/96/46/45/660964645.db2.gz XGLOSMCOJZBCMC-CTNGQTDRSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccoc3CC)CC[C@@H]21 ZINC001036705342 660957601 /nfs/dbraw/zinc/95/76/01/660957601.db2.gz HGGZIIRRCTXEAO-ZFWWWQNUSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CC(C)(C)C3)CC[C@H]21 ZINC001036705019 660957878 /nfs/dbraw/zinc/95/78/78/660957878.db2.gz BYLQHYFIFCXYJO-DZGCQCFKSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H]2CC=CCC2)CC1 ZINC001016499737 660970780 /nfs/dbraw/zinc/97/07/80/660970780.db2.gz JHGMGYPGTAHSEQ-LSDHHAIUSA-N 0 1 308.853 3.066 20 30 DGEDMN CN(C(=O)C(C)(C)C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001006327446 660975041 /nfs/dbraw/zinc/97/50/41/660975041.db2.gz RLTAWUFTWOHFSS-UHFFFAOYSA-N 0 1 319.474 3.089 20 30 DGEDMN C[C@H]1CSCCN(Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000792719147 660981146 /nfs/dbraw/zinc/98/11/46/660981146.db2.gz GRIOJVQFDLQIBV-CQSZACIVSA-N 0 1 312.442 3.064 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000792928012 660994673 /nfs/dbraw/zinc/99/46/73/660994673.db2.gz RKSYPWFIHJGQDD-NVXWUHKLSA-N 0 1 320.820 3.386 20 30 DGEDMN Fc1cccc(F)c1NN=Cc1cnnn1Cc1ccccc1 ZINC000793017265 661000434 /nfs/dbraw/zinc/00/04/34/661000434.db2.gz XQIODKUHWVTLCE-UHFFFAOYSA-N 0 1 313.311 3.051 20 30 DGEDMN CCN(C(C)=O)c1nc(CN=Nc2cccc(Cl)n2)cs1 ZINC000793161644 661008919 /nfs/dbraw/zinc/00/89/19/661008919.db2.gz KKLQEHVHPJDPQS-UHFFFAOYSA-N 0 1 323.809 3.010 20 30 DGEDMN COc1cccc2c1OCCC2=NNc1cccc(Cl)n1 ZINC000793175190 661010365 /nfs/dbraw/zinc/01/03/65/661010365.db2.gz QVHYJMJFLBKUIG-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN Oc1ccc(F)c(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)c1F ZINC000794488759 661087216 /nfs/dbraw/zinc/08/72/16/661087216.db2.gz LOGOOGRXFYCLNP-UHFFFAOYSA-N 0 1 324.237 3.271 20 30 DGEDMN CS(=O)(=O)c1ccccc1NN=Cc1ccc(C(F)F)cc1 ZINC000794509495 661088124 /nfs/dbraw/zinc/08/81/24/661088124.db2.gz ANYMEFVLFPSOGZ-UHFFFAOYSA-N 0 1 324.352 3.474 20 30 DGEDMN COc1ccc(N=NCc2ccnn2-c2ccccc2C)nc1 ZINC000794535129 661089419 /nfs/dbraw/zinc/08/94/19/661089419.db2.gz GAHBXYQQXQBKQJ-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN O=C1c2ccc(F)cc2OC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000794728470 661102463 /nfs/dbraw/zinc/10/24/63/661102463.db2.gz QSCVBGLAXHAQII-YHYXMXQVSA-N 0 1 315.256 3.098 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc(OC)c(OC)c2C)nc1 ZINC000794932688 661114491 /nfs/dbraw/zinc/11/44/91/661114491.db2.gz JMJPYHHDPCMLBW-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN Cc1ccc(F)c(N=NC(C)c2ccc3c(c2)OCC(=O)N3)c1 ZINC000794918184 661113143 /nfs/dbraw/zinc/11/31/43/661113143.db2.gz CTJLLVRJHRMUTQ-UHFFFAOYSA-N 0 1 313.332 3.301 20 30 DGEDMN COc1ccc(C(C)N=Nc2ccncc2Cl)c(C)c1OC ZINC000794918787 661113409 /nfs/dbraw/zinc/11/34/09/661113409.db2.gz LFJKEIVKQWWYLB-UHFFFAOYSA-N 0 1 319.792 3.319 20 30 DGEDMN N#Cc1ccc(CN2CCC(N=Nc3ncccc3F)CC2)cc1 ZINC000794989462 661119237 /nfs/dbraw/zinc/11/92/37/661119237.db2.gz HYAJOGWATQKNDS-UHFFFAOYSA-N 0 1 323.375 3.156 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1oc(CC)cc1C ZINC000969114263 655523956 /nfs/dbraw/zinc/52/39/56/655523956.db2.gz DTTANUTVHUKVFR-INIZCTEOSA-N 0 1 316.445 3.148 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC000969137226 655526918 /nfs/dbraw/zinc/52/69/18/655526918.db2.gz WYSWAYHLPVGPLR-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc(C)c(C)s1 ZINC000969140061 655527286 /nfs/dbraw/zinc/52/72/86/655527286.db2.gz WFKKHUIYFRIQFV-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC000969160916 655529666 /nfs/dbraw/zinc/52/96/66/655529666.db2.gz NRUGHNNLUYGVJS-PBPGXSGUSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC000969587095 655551803 /nfs/dbraw/zinc/55/18/03/655551803.db2.gz QQQJMMZEMAKHON-LLVKDONJSA-N 0 1 312.866 3.304 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccccc2C(C)(C)C)C1 ZINC000969921533 655563497 /nfs/dbraw/zinc/56/34/97/655563497.db2.gz CFQJZDSEDAVSRT-HNNXBMFYSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC000970000890 655567452 /nfs/dbraw/zinc/56/74/52/655567452.db2.gz OAKNIUQARGPLCS-LLVKDONJSA-N 0 1 310.800 3.024 20 30 DGEDMN CC(C)c1n[nH]c(COC(=O)C[C@@H](CC#N)c2ccccc2)n1 ZINC000795574466 661160828 /nfs/dbraw/zinc/16/08/28/661160828.db2.gz GVPNLZUOMYSEGI-CQSZACIVSA-N 0 1 312.373 3.059 20 30 DGEDMN CC(C)c1nc(COC(=O)C[C@@H](CC#N)c2ccccc2)n[nH]1 ZINC000795574466 661160829 /nfs/dbraw/zinc/16/08/29/661160829.db2.gz GVPNLZUOMYSEGI-CQSZACIVSA-N 0 1 312.373 3.059 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC000970101758 655575190 /nfs/dbraw/zinc/57/51/90/655575190.db2.gz QQUCGOQVWBEKQL-CQSZACIVSA-N 0 1 320.436 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](CC)Cc2ccccc2)C1 ZINC000970149512 655581863 /nfs/dbraw/zinc/58/18/63/655581863.db2.gz UOCRBMXKVZIEIE-IAGOWNOFSA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC000970182203 655587159 /nfs/dbraw/zinc/58/71/59/655587159.db2.gz MHINBCWJTISFBI-LBPRGKRZSA-N 0 1 314.429 3.271 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC000970205192 655588740 /nfs/dbraw/zinc/58/87/40/655588740.db2.gz RWEVZGNFFJKLPB-LLVKDONJSA-N 0 1 310.800 3.024 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2csc3ccccc23)C1 ZINC000970228631 655589467 /nfs/dbraw/zinc/58/94/67/655589467.db2.gz OHOHJBDAELIXMH-LBPRGKRZSA-N 0 1 300.427 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](F)CC2CCCCC2)C1 ZINC000970487132 655602499 /nfs/dbraw/zinc/60/24/99/655602499.db2.gz BFKKAQHJTTYIBA-CABCVRRESA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2C2CC2)C1 ZINC000970644513 655610757 /nfs/dbraw/zinc/61/07/57/655610757.db2.gz GMHQZXJQBVKVOK-GFCCVEGCSA-N 0 1 310.850 3.182 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)/C=C\C2CC2)C1 ZINC001039196250 655610915 /nfs/dbraw/zinc/61/09/15/655610915.db2.gz UNNFQNZQSDGWTL-PRJTXATQSA-N 0 1 322.880 3.408 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC000970740696 655615717 /nfs/dbraw/zinc/61/57/17/655615717.db2.gz MAHPRHFQZHOCJF-MRXNPFEDSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3cc(C)ccc3o2)C1 ZINC000970793995 655621219 /nfs/dbraw/zinc/62/12/19/655621219.db2.gz NLMPOFZKAHLWHW-CQSZACIVSA-N 0 1 318.804 3.298 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccccc2O)ccc1OCC#N ZINC000255168684 655626344 /nfs/dbraw/zinc/62/63/44/655626344.db2.gz NBGOCAYNGHMSQV-VURMDHGXSA-N 0 1 309.321 3.199 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C2CCC(CCCC)CC2)C1 ZINC000970846101 655629173 /nfs/dbraw/zinc/62/91/73/655629173.db2.gz PZEFNGUKGFBAIY-WWDZGPRUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2sc(C(C)C)nc2C)C1 ZINC000970865310 655631264 /nfs/dbraw/zinc/63/12/64/655631264.db2.gz JKWIHBXRCIDNRL-GFCCVEGCSA-N 0 1 321.490 3.201 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3cccc(F)c3o2)C1 ZINC000970905851 655635576 /nfs/dbraw/zinc/63/55/76/655635576.db2.gz WPGWMSSVXCZCGL-LBPRGKRZSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC000970929273 655638354 /nfs/dbraw/zinc/63/83/54/655638354.db2.gz PPNSZDXATJTQMQ-LBPRGKRZSA-N 0 1 321.490 3.067 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC000970930476 655638398 /nfs/dbraw/zinc/63/83/98/655638398.db2.gz ASLHZJAXDDPCPL-CVEARBPZSA-N 0 1 312.457 3.274 20 30 DGEDMN Cc1ccn(CN2C[C@H](C)C[C@H]2c2ccccc2)c(=O)c1C#N ZINC000795743308 661170829 /nfs/dbraw/zinc/17/08/29/661170829.db2.gz JOVARFFFRRDBJE-KDOFPFPSSA-N 0 1 307.397 3.069 20 30 DGEDMN Cc1ccn(CN2C[C@H](C)C[C@@H]2c2ccccc2)c(=O)c1C#N ZINC000795743312 661170880 /nfs/dbraw/zinc/17/08/80/661170880.db2.gz JOVARFFFRRDBJE-RDTXWAMCSA-N 0 1 307.397 3.069 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1csc(C)c1C ZINC001039380165 655693427 /nfs/dbraw/zinc/69/34/27/655693427.db2.gz QGZZGXDHEKSRHU-CABCVRRESA-N 0 1 304.459 3.230 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3cccc[n+]3[O-])cc2[nH]1 ZINC000076400746 655703230 /nfs/dbraw/zinc/70/32/30/655703230.db2.gz ZAZGCJZFPRFFIY-UHFFFAOYSA-N 0 1 310.357 3.130 20 30 DGEDMN COCCOc1ccc(/C=C\C(=O)c2ccc(O)c(F)c2)cc1 ZINC000255359899 655707956 /nfs/dbraw/zinc/70/79/56/655707956.db2.gz OUQLMITWCOGXNS-YWEYNIOJSA-N 0 1 316.328 3.453 20 30 DGEDMN C#Cc1ccc(C(=O)N2CC[C@@H](N(C)Cc3ccsc3)C2)cc1 ZINC000972059367 655760823 /nfs/dbraw/zinc/76/08/23/655760823.db2.gz SGUYWJSGGHEPKY-GOSISDBHSA-N 0 1 324.449 3.076 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Cc3nccs3)C2)cc1F ZINC000934331597 655768406 /nfs/dbraw/zinc/76/84/06/655768406.db2.gz FJYDHQARMOPDAI-ZDUSSCGKSA-N 0 1 301.390 3.218 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC000972245095 655782228 /nfs/dbraw/zinc/78/22/28/655782228.db2.gz JSIPNWAEHJKMTL-IEBWSBKVSA-N 0 1 315.461 3.025 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccc2c(c1)CCO2 ZINC000255525578 655791055 /nfs/dbraw/zinc/79/10/55/655791055.db2.gz YYCDDSJPEDVKLH-KTAJNNJTSA-N 0 1 311.293 3.131 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC000972383802 655792582 /nfs/dbraw/zinc/79/25/82/655792582.db2.gz YUHQLXGSCDXXOA-XUVXKRRUSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC000972472461 655803901 /nfs/dbraw/zinc/80/39/01/655803901.db2.gz OHIMOXBBFOVFCH-OALUTQOASA-N 0 1 318.505 3.395 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3ccc(F)cc3)on2)c1 ZINC000161539567 655804628 /nfs/dbraw/zinc/80/46/28/655804628.db2.gz FSLXXEPZMOEODN-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@H](C)[C@H]2C)c1O ZINC000246817177 655826549 /nfs/dbraw/zinc/82/65/49/655826549.db2.gz AYOINKPXGBGLOP-ZIAGYGMSSA-N 0 1 305.418 3.129 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)C2CCC(CCCC)CC2)C1 ZINC001023736809 655860509 /nfs/dbraw/zinc/86/05/09/655860509.db2.gz JLDGAEZFJDATAH-JLAWEPINSA-N 0 1 318.505 3.444 20 30 DGEDMN COc1cccc(/C(C)=C\C(=O)Nc2cc(C#N)ccc2O)c1 ZINC000255602296 655862423 /nfs/dbraw/zinc/86/24/23/655862423.db2.gz PERUKXANOZXIBA-WQLSENKSSA-N 0 1 308.337 3.314 20 30 DGEDMN CC1(C)CCC[C@@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000179381639 655891586 /nfs/dbraw/zinc/89/15/86/655891586.db2.gz OHLDJNGOQHETRP-CVEARBPZSA-N 0 1 312.413 3.228 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C)CCCC3)CC[C@@H]21 ZINC001036783487 661200718 /nfs/dbraw/zinc/20/07/18/661200718.db2.gz ZSGDYEALUVOXDF-CABCVRRESA-N 0 1 310.869 3.242 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc2scnc21 ZINC001024438710 655906188 /nfs/dbraw/zinc/90/61/88/655906188.db2.gz VBVZBCJICWUBIV-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1sccc1Cl ZINC001024452734 655906618 /nfs/dbraw/zinc/90/66/18/655906618.db2.gz ANSGIDUQAOZEFD-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1sccc1Cl ZINC001024452734 655906619 /nfs/dbraw/zinc/90/66/19/655906619.db2.gz ANSGIDUQAOZEFD-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc2c1CCCC2 ZINC001024457440 655907184 /nfs/dbraw/zinc/90/71/84/655907184.db2.gz JMOCCZBYVQJHGV-QGZVFWFLSA-N 0 1 312.457 3.336 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1coc2c1cccc2C ZINC001024482875 655909399 /nfs/dbraw/zinc/90/93/99/655909399.db2.gz KWJUTPPYBFZDDV-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(C)noc1C(C)C ZINC001024490258 655910122 /nfs/dbraw/zinc/91/01/22/655910122.db2.gz KHSKDONYOKYDCE-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001024499548 655910829 /nfs/dbraw/zinc/91/08/29/655910829.db2.gz NNOLIFMDNCHDFO-MRXNPFEDSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001024499548 655910831 /nfs/dbraw/zinc/91/08/31/655910831.db2.gz NNOLIFMDNCHDFO-MRXNPFEDSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001024499547 655911037 /nfs/dbraw/zinc/91/10/37/655911037.db2.gz NNOLIFMDNCHDFO-INIZCTEOSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001024499547 655911038 /nfs/dbraw/zinc/91/10/38/655911038.db2.gz NNOLIFMDNCHDFO-INIZCTEOSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC001024508371 655911495 /nfs/dbraw/zinc/91/14/95/655911495.db2.gz IWBHLYJLJVCSCY-QUCCMNQESA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@@H]2CCCC[N@H+]2CC=C)cc1 ZINC001024512176 655912227 /nfs/dbraw/zinc/91/22/27/655912227.db2.gz UNBSYTJEMXPJHN-KRWDZBQOSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@@H]2CCCCN2CC=C)cc1 ZINC001024512176 655912228 /nfs/dbraw/zinc/91/22/28/655912228.db2.gz UNBSYTJEMXPJHN-KRWDZBQOSA-N 0 1 314.429 3.022 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCOc2ccccc2Cl)c1 ZINC000179734539 655912559 /nfs/dbraw/zinc/91/25/59/655912559.db2.gz JIYUNGCMTIWOOE-UHFFFAOYSA-N 0 1 316.744 3.325 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@H](C)Cc1ccc(Cl)cc1 ZINC000179795944 655913691 /nfs/dbraw/zinc/91/36/91/655913691.db2.gz AWAUSOOQEOUCJP-DOMZBBRYSA-N 0 1 320.820 3.142 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(-n2cccc2)c1 ZINC001024536245 655914232 /nfs/dbraw/zinc/91/42/32/655914232.db2.gz IIXDOEZVLANINX-IBGZPJMESA-N 0 1 323.440 3.248 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001024582562 655920227 /nfs/dbraw/zinc/92/02/27/655920227.db2.gz YRWDUMMUCUFMCO-QGZVFWFLSA-N 0 1 323.440 3.084 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)C)nc1C ZINC001024652367 655927853 /nfs/dbraw/zinc/92/78/53/655927853.db2.gz KIWOWEJZZDUSCL-INIZCTEOSA-N 0 1 315.461 3.284 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001024673589 655928769 /nfs/dbraw/zinc/92/87/69/655928769.db2.gz NDTQJSBHRCIWJB-INDMIFKZSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1scnc1C1CC1 ZINC001024697426 655931475 /nfs/dbraw/zinc/93/14/75/655931475.db2.gz YXIFIKXSXSEXDB-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc(C)c1Cl ZINC001024713007 655932813 /nfs/dbraw/zinc/93/28/13/655932813.db2.gz GOZFVXSVYULVKK-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc(C2CCC2)c1 ZINC001024753846 655935727 /nfs/dbraw/zinc/93/57/27/655935727.db2.gz IPLVQLCZHSVBHW-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1oc(CCC)nc1C ZINC001024760770 655935912 /nfs/dbraw/zinc/93/59/12/655935912.db2.gz XLRXVQOXDKURLY-HNNXBMFYSA-N 0 1 319.449 3.096 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC001024790589 655939850 /nfs/dbraw/zinc/93/98/50/655939850.db2.gz MWZULMUAAROETB-FQEVSTJZSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCCc2ccccc21 ZINC001024814209 655941209 /nfs/dbraw/zinc/94/12/09/655941209.db2.gz PHNSRUTVAFTFCF-UYAOXDASSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc2cccc(C)c2o1 ZINC001024817490 655942579 /nfs/dbraw/zinc/94/25/79/655942579.db2.gz CBNIMBJEQHELKV-QGZVFWFLSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(CC)c(CC)c1 ZINC001024829408 655943559 /nfs/dbraw/zinc/94/35/59/655943559.db2.gz BFNVWHBWGNETNS-IBGZPJMESA-N 0 1 312.457 3.029 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001024830452 655943798 /nfs/dbraw/zinc/94/37/98/655943798.db2.gz QGIMAHHPEGXGAO-FQEVSTJZSA-N 0 1 322.452 3.471 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001024830452 655943800 /nfs/dbraw/zinc/94/38/00/655943800.db2.gz QGIMAHHPEGXGAO-FQEVSTJZSA-N 0 1 322.452 3.471 20 30 DGEDMN N#CC(C(=O)C1(c2cccc(F)c2)CCOCC1)c1ccccn1 ZINC000066506920 655952820 /nfs/dbraw/zinc/95/28/20/655952820.db2.gz FSHWMDDOCOVGEC-MRXNPFEDSA-N 0 1 324.355 3.145 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001025070071 655963504 /nfs/dbraw/zinc/96/35/04/655963504.db2.gz TWLCOMLNBNXVLO-AEFFLSMTSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nsc1C ZINC001018316523 655978985 /nfs/dbraw/zinc/97/89/85/655978985.db2.gz JTYDADSMPMSCTR-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CCF ZINC001025259588 655985328 /nfs/dbraw/zinc/98/53/28/655985328.db2.gz QKMNNVQYJKHDGY-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)[C@H]3CC[C@H](C)CC3)CC[C@@H]21 ZINC001036874747 661219102 /nfs/dbraw/zinc/21/91/02/661219102.db2.gz OKDRQGPOFZXXQU-DZUCGIPZSA-N 0 1 324.896 3.488 20 30 DGEDMN CC(C)[C@@]1(CO)CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC000930586816 656098039 /nfs/dbraw/zinc/09/80/39/656098039.db2.gz ZZEQRDOAJIUWMG-KRWDZBQOSA-N 0 1 306.837 3.442 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)oc3ccccc32)C(C)(C)C1 ZINC000974622023 656218315 /nfs/dbraw/zinc/21/83/15/656218315.db2.gz NWLAONAHRILDIA-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCCc3ccccc32)C(C)(C)C1 ZINC000974748611 656226520 /nfs/dbraw/zinc/22/65/20/656226520.db2.gz CBUCGCDPIZKKRI-ROUUACIJSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C(C)(C)C1 ZINC000974738720 656226936 /nfs/dbraw/zinc/22/69/36/656226936.db2.gz DJSGXWMZPHPTJN-AWEZNQCLSA-N 0 1 324.827 3.414 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(C(C)C)n2)C(C)(C)C1 ZINC000974767459 656228281 /nfs/dbraw/zinc/22/82/81/656228281.db2.gz PRCOJYFAIOMTSJ-QGZVFWFLSA-N 0 1 315.461 3.221 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974807767 656231114 /nfs/dbraw/zinc/23/11/14/656231114.db2.gz MDMAVTYDTYICTD-RBUKOAKNSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808701 656231234 /nfs/dbraw/zinc/23/12/34/656231234.db2.gz HOAHLOIYYZARSJ-KRWDZBQOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808701 656231237 /nfs/dbraw/zinc/23/12/37/656231237.db2.gz HOAHLOIYYZARSJ-KRWDZBQOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-c3ccco3)o2)C(C)(C)C1 ZINC000974815726 656231973 /nfs/dbraw/zinc/23/19/73/656231973.db2.gz YDRFCMJDIZWIKC-INIZCTEOSA-N 0 1 314.385 3.166 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974827332 656233000 /nfs/dbraw/zinc/23/30/00/656233000.db2.gz MYFJNMBUBGQTMV-ZWKOTPCHSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c3cccnc23)C(C)(C)C1 ZINC000974840229 656233801 /nfs/dbraw/zinc/23/38/01/656233801.db2.gz ZAYDWKGJHQHYFN-KRWDZBQOSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C(C)(C)C1 ZINC000974895122 656239386 /nfs/dbraw/zinc/23/93/86/656239386.db2.gz CNUSBWSGHHNMIE-KRWDZBQOSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C(C)(C)C1 ZINC000974895122 656239389 /nfs/dbraw/zinc/23/93/89/656239389.db2.gz CNUSBWSGHHNMIE-KRWDZBQOSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)ccc2Cl)C(C)(C)C1 ZINC000975104615 656256687 /nfs/dbraw/zinc/25/66/87/656256687.db2.gz JGSXQMXLWQOSPF-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)CCC2CCCCCC2)C1 ZINC001027493611 656317318 /nfs/dbraw/zinc/31/73/18/656317318.db2.gz KSAGFTIDBAFOLJ-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C(C)(C)C1 ZINC000977389438 656326073 /nfs/dbraw/zinc/32/60/73/656326073.db2.gz LOFRQVIXFJPTLV-ULQDDVLXSA-N 0 1 306.494 3.461 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(CC)c(CC)o2)C(C)(C)C1 ZINC000977500865 656337363 /nfs/dbraw/zinc/33/73/63/656337363.db2.gz RUUWZVLLEMXVSD-KRWDZBQOSA-N 0 1 318.461 3.421 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ccccc3c2)C(C)(C)C1 ZINC000977529117 656339078 /nfs/dbraw/zinc/33/90/78/656339078.db2.gz GPTDOXOUHZEUPT-GOSISDBHSA-N 0 1 308.425 3.466 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C(F)F)o2)C(C)(C)C1 ZINC000977539324 656340637 /nfs/dbraw/zinc/34/06/37/656340637.db2.gz PHBJAXPUKNTFLF-CYBMUJFWSA-N 0 1 312.360 3.234 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3c(s2)CCC3)C(C)(C)C1 ZINC000977561602 656343171 /nfs/dbraw/zinc/34/31/71/656343171.db2.gz BNFIGGCRIFCURQ-INIZCTEOSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)C(C)(C)C1 ZINC000977630516 656348726 /nfs/dbraw/zinc/34/87/26/656348726.db2.gz SUQPNSHWFAAMFL-QGZVFWFLSA-N 0 1 312.457 3.039 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977659567 656353666 /nfs/dbraw/zinc/35/36/66/656353666.db2.gz CDVLVPWNBLVMNX-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(C)csc2Cl)CC1 ZINC001006349042 661257596 /nfs/dbraw/zinc/25/75/96/661257596.db2.gz WXNQSRAMJGLPTA-UHFFFAOYSA-N 0 1 324.877 3.270 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1sc(C2CC2)nc1C ZINC001027880836 656455126 /nfs/dbraw/zinc/45/51/26/656455126.db2.gz VJWGBRNQUDOPEV-CQSZACIVSA-N 0 1 319.474 3.099 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1coc2ccc(CC)cc12 ZINC001027884618 656457197 /nfs/dbraw/zinc/45/71/97/656457197.db2.gz NFHQMXIBHIOXBZ-OAHLLOKOSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cccc(C(F)F)c1F ZINC001027887078 656461058 /nfs/dbraw/zinc/46/10/58/656461058.db2.gz HNSNXSKRAIVRMI-NSHDSACASA-N 0 1 312.335 3.144 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001039375169 656489645 /nfs/dbraw/zinc/48/96/45/656489645.db2.gz RZRBLIAFXFEKRX-KURKYZTESA-N 0 1 314.473 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1csc(C)c1C ZINC001039379625 656490550 /nfs/dbraw/zinc/49/05/50/656490550.db2.gz ZIYHSHCWRKEWCI-CVEARBPZSA-N 0 1 316.470 3.067 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cncc(-c2ccccc2)c1 ZINC001027918764 656491717 /nfs/dbraw/zinc/49/17/17/656491717.db2.gz PURMSLLHXMQIOZ-LJQANCHMSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(Cc2ccccc2)CC1 ZINC001039387313 656492153 /nfs/dbraw/zinc/49/21/53/656492153.db2.gz DQVKOHLRTLXJOK-MOPGFXCFSA-N 0 1 324.468 3.261 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C(F)F)c1 ZINC001039424336 656499039 /nfs/dbraw/zinc/49/90/39/656499039.db2.gz MFPVBXWWBQPIDX-OLZOCXBDSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001039434982 656501693 /nfs/dbraw/zinc/50/16/93/656501693.db2.gz DWQAZXTZRWHBFV-KFWWJZLASA-N 0 1 312.404 3.063 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2sccc2[nH]1 ZINC001039457769 656506718 /nfs/dbraw/zinc/50/67/18/656506718.db2.gz MIUQVPGIKUUDKB-OLZOCXBDSA-N 0 1 315.442 3.094 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)cc1 ZINC001039462625 656507260 /nfs/dbraw/zinc/50/72/60/656507260.db2.gz WXFHLNLTAXUJDU-SJORKVTESA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CCCCC2)CC1 ZINC001039463751 656508040 /nfs/dbraw/zinc/50/80/40/656508040.db2.gz FXNDZEQJSBVESO-MSOLQXFVSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)c2c1CCC2 ZINC001039475177 656511334 /nfs/dbraw/zinc/51/13/34/656511334.db2.gz HWFRSUURMLUPSK-SJORKVTESA-N 0 1 324.468 3.349 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)CCC(C)CC1 ZINC001039476034 656511773 /nfs/dbraw/zinc/51/17/73/656511773.db2.gz WLOPENYGVKRLRE-NNVNDSJASA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1C[C@H]1C(C)C)C2 ZINC001048578551 656517110 /nfs/dbraw/zinc/51/71/10/656517110.db2.gz RBPJEWZZDPZBSJ-QEOTZNIISA-N 0 1 324.896 3.390 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC000980550065 656523035 /nfs/dbraw/zinc/52/30/35/656523035.db2.gz JZNCCSRBVGTBKV-LEOMRAHMSA-N 0 1 316.420 3.038 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccccc1CC(C)(C)C ZINC001027955463 656533957 /nfs/dbraw/zinc/53/39/57/656533957.db2.gz CGUMETJCDRFOCH-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC3(C)CCCCC3)C[C@@H]2C1 ZINC001048931091 656547640 /nfs/dbraw/zinc/54/76/40/656547640.db2.gz ZMRRNKJJXWZLBY-IYBDPMFKSA-N 0 1 324.896 3.490 20 30 DGEDMN CCCC(=O)N1CC[C@]2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC001040037080 656553607 /nfs/dbraw/zinc/55/36/07/656553607.db2.gz PDUJXNIJUNLEQH-OAQYLSRUSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCCC[C@@H]3CC)C[C@@H]2C1 ZINC001049141278 656564462 /nfs/dbraw/zinc/56/44/62/656564462.db2.gz MFOUOTKRYNXOPQ-MWDXBVQZSA-N 0 1 324.896 3.346 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c(C)c(F)ccc1Cl ZINC001027980590 656566401 /nfs/dbraw/zinc/56/64/01/656566401.db2.gz XCKKOCCAMGZYFW-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCCC(C)(C)C3)C[C@@H]2C1 ZINC001049238673 656575926 /nfs/dbraw/zinc/57/59/26/656575926.db2.gz QAQHWDNNNUIGHP-OAGGEKHMSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@H]3CCCC[C@H]3C)C[C@@H]2C1 ZINC001049247008 656577669 /nfs/dbraw/zinc/57/76/69/656577669.db2.gz XGCCDNRXXQYAAG-DZUCGIPZSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C=C3CCC3)C2)CC1 ZINC001040666430 656601783 /nfs/dbraw/zinc/60/17/83/656601783.db2.gz DQXQTOGCKRYMSG-UHFFFAOYSA-N 0 1 308.853 3.164 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049337734 656606920 /nfs/dbraw/zinc/60/69/20/656606920.db2.gz APUANBJRKSATFZ-YSIASYRMSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001040881807 656612965 /nfs/dbraw/zinc/61/29/65/656612965.db2.gz TUVJFEFWNGPMJN-JKSUJKDBSA-N 0 1 322.880 3.100 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049408924 656615776 /nfs/dbraw/zinc/61/57/76/656615776.db2.gz XQXLHBIHJCHYNQ-GUCZIIDPSA-N 0 1 322.452 3.070 20 30 DGEDMN C#CCCN1CCC[C@H]1CNC(=O)c1cc2cscc2s1 ZINC001028030979 656623384 /nfs/dbraw/zinc/62/33/84/656623384.db2.gz ODUOGYVYBVYOOM-ZDUSSCGKSA-N 0 1 318.467 3.180 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049496763 656630651 /nfs/dbraw/zinc/63/06/51/656630651.db2.gz DUTYAJUQPPXUIN-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1C(C)C ZINC001049513035 656633846 /nfs/dbraw/zinc/63/38/46/656633846.db2.gz VJMHFZGXYZUURF-RBUKOAKNSA-N 0 1 310.441 3.122 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)C1CCCC1 ZINC001049523610 656635364 /nfs/dbraw/zinc/63/53/64/656635364.db2.gz LYRLRCUSEVXQBS-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cscc1Cl ZINC001049531358 656636697 /nfs/dbraw/zinc/63/66/97/656636697.db2.gz AZPBFXCWCVNPDT-HUUCEWRRSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cscc1Cl ZINC001049531354 656637202 /nfs/dbraw/zinc/63/72/02/656637202.db2.gz AZPBFXCWCVNPDT-CABCVRRESA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043361 656641221 /nfs/dbraw/zinc/64/12/21/656641221.db2.gz MEEIBSIJBDFCPG-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1csc2ccccc12 ZINC001049619865 656650480 /nfs/dbraw/zinc/65/04/80/656650480.db2.gz HFRMUWYXJRPDJL-IRXDYDNUSA-N 0 1 324.449 3.213 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@]3(CCN(C/C=C\Cl)C3)C2)C1 ZINC001041653375 656663332 /nfs/dbraw/zinc/66/33/32/656663332.db2.gz RFCPYPKBXKTJJW-GPCFVJJQSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCC[C@H](NCc3cscn3)C2)C1 ZINC000981324293 656678346 /nfs/dbraw/zinc/67/83/46/656678346.db2.gz UZHHIMAUJFWYQD-KBPBESRZSA-N 0 1 319.474 3.016 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1ccccc1C ZINC001049750685 656679295 /nfs/dbraw/zinc/67/92/95/656679295.db2.gz ZTKCBIUCVWHHHS-VQTJNVASSA-N 0 1 324.468 3.016 20 30 DGEDMN C=C(C)COc1cc(CNCc2cc(CC)n[nH]2)ccc1OC ZINC000074373245 661286258 /nfs/dbraw/zinc/28/62/58/661286258.db2.gz NCVGPIYFSXFIFS-UHFFFAOYSA-N 0 1 315.417 3.225 20 30 DGEDMN C=C(C)COc1cc(CNCc2cc(CC)[nH]n2)ccc1OC ZINC000074373245 661286259 /nfs/dbraw/zinc/28/62/59/661286259.db2.gz NCVGPIYFSXFIFS-UHFFFAOYSA-N 0 1 315.417 3.225 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc3c2CCCCC3)CC1 ZINC000981403085 656691153 /nfs/dbraw/zinc/69/11/53/656691153.db2.gz VYILTVRSDWXFKS-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(CC)(CC)CC ZINC001049816170 656691518 /nfs/dbraw/zinc/69/15/18/656691518.db2.gz SZZXNTWPUXZOPG-SJORKVTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1c(Cl)oc2ccccc21 ZINC001028093349 656694365 /nfs/dbraw/zinc/69/43/65/656694365.db2.gz GIEWJOPZUNSAQB-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(CC)c(CC)o1 ZINC001049846653 656697221 /nfs/dbraw/zinc/69/72/21/656697221.db2.gz LBPJTLUNVCVRHZ-CVEARBPZSA-N 0 1 316.445 3.269 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C2CCCCC2)CC1 ZINC001049868754 656705398 /nfs/dbraw/zinc/70/53/98/656705398.db2.gz XEOMYVPSZDTTGR-ROUUACIJSA-N 0 1 314.473 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)c1ccccc1 ZINC001049885711 656713849 /nfs/dbraw/zinc/71/38/49/656713849.db2.gz OXWHUMLKLCEPFJ-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(CC)c(C)s1 ZINC001049886322 656714056 /nfs/dbraw/zinc/71/40/56/656714056.db2.gz SRSWKLYFEGXECI-JKSUJKDBSA-N 0 1 318.486 3.484 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001049904858 656717102 /nfs/dbraw/zinc/71/71/02/656717102.db2.gz SNEULUUDPGIHPB-NSHGMRRFSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3sccc3Cl)C[C@@H]21 ZINC001042066750 656717842 /nfs/dbraw/zinc/71/78/42/656717842.db2.gz JHTCEROOBWVLFC-AAEUAGOBSA-N 0 1 310.850 3.124 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc3ccccc3s2)CC1 ZINC000981565528 656724304 /nfs/dbraw/zinc/72/43/04/656724304.db2.gz FZHPWWSKZDNATR-UHFFFAOYSA-N 0 1 312.438 3.073 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnc(C(C)C)s1 ZINC001049934913 656727228 /nfs/dbraw/zinc/72/72/28/656727228.db2.gz DLXMJTNCLJQJPE-KGLIPLIRSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnc(C(C)C)s1 ZINC001049934915 656727461 /nfs/dbraw/zinc/72/74/61/656727461.db2.gz DLXMJTNCLJQJPE-UONOGXRCSA-N 0 1 319.474 3.131 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)ccc3C3CC3)C[C@@H]21 ZINC001042163443 656729487 /nfs/dbraw/zinc/72/94/87/656729487.db2.gz UBRPKWBLYOIPPX-XLIONFOSSA-N 0 1 322.452 3.042 20 30 DGEDMN CCCN(Cc1cncc(C#N)c1)C[C@@H]1COc2ccccc2O1 ZINC000929250973 661291087 /nfs/dbraw/zinc/29/10/87/661291087.db2.gz DZUPQIASGIKXJS-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CC[C@H]2c2ccc(F)cc2)CC1 ZINC000981673757 656746519 /nfs/dbraw/zinc/74/65/19/656746519.db2.gz LMBNWYPLEKWBDE-ZWKOTPCHSA-N 0 1 316.420 3.040 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001042322143 656752881 /nfs/dbraw/zinc/75/28/81/656752881.db2.gz MHBJBAMPLPEFAU-OALUTQOASA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC000981744980 656759807 /nfs/dbraw/zinc/75/98/07/656759807.db2.gz OYDZOIJGCMROSH-UHFFFAOYSA-N 0 1 318.848 3.128 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(CC)c(C)s3)C[C@@H]21 ZINC001042345453 656761774 /nfs/dbraw/zinc/76/17/74/656761774.db2.gz LMIOGTCQHHPMHC-HOTGVXAUSA-N 0 1 318.486 3.341 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C(C)C)s3)C[C@H]21 ZINC001042371065 656766682 /nfs/dbraw/zinc/76/66/82/656766682.db2.gz KQYZRSCBDDWSNX-HUUCEWRRSA-N 0 1 316.470 3.041 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CC23CCCC3)CC1 ZINC001052840101 656774540 /nfs/dbraw/zinc/77/45/40/656774540.db2.gz XBLIKJHQWMGBRT-LSDHHAIUSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC[C@H](C(F)(F)F)C2)CC1 ZINC000981834079 656780496 /nfs/dbraw/zinc/78/04/96/656780496.db2.gz QJWFJCBUYZSSQT-KBPBESRZSA-N 0 1 318.383 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(OC)cc2)CC1 ZINC001052887654 656783175 /nfs/dbraw/zinc/78/31/75/656783175.db2.gz BNNAUKZAUOKACG-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN CC#CC[N@@H+]1CCCC2(CN(C(=O)[C@@]3(C)CCC[C@H]3CC)C2)C1 ZINC000981846863 656785068 /nfs/dbraw/zinc/78/50/68/656785068.db2.gz PKTXFKBKSZMGLM-MJGOQNOKSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@]3(C)CCC[C@H]3CC)C2)C1 ZINC000981846863 656785073 /nfs/dbraw/zinc/78/50/73/656785073.db2.gz PKTXFKBKSZMGLM-MJGOQNOKSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cccc(OC)c2)CC1 ZINC001052893419 656785309 /nfs/dbraw/zinc/78/53/09/656785309.db2.gz YOINPKBCXQECBE-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2cccc(F)c2)CC1 ZINC001052915490 656789963 /nfs/dbraw/zinc/78/99/63/656789963.db2.gz COHXPTBSJYZAOF-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)Cc2ccccc2F)CC1 ZINC000981941423 656816824 /nfs/dbraw/zinc/81/68/24/656816824.db2.gz PGBHHBZAQYZTMZ-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H](Cc2ccccc2)C(C)C)CC1 ZINC000981944525 656819601 /nfs/dbraw/zinc/81/96/01/656819601.db2.gz PSWPLXFWZCXXIS-LJQANCHMSA-N 0 1 314.473 3.222 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](Cc2ccccc2)C(C)C)CC1 ZINC000981944525 656819604 /nfs/dbraw/zinc/81/96/04/656819604.db2.gz PSWPLXFWZCXXIS-LJQANCHMSA-N 0 1 314.473 3.222 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001043246407 656820122 /nfs/dbraw/zinc/82/01/22/656820122.db2.gz PJQLACMGAHNENU-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001028162428 656822841 /nfs/dbraw/zinc/82/28/41/656822841.db2.gz HVNSXEXSLYRRLI-WNRNVDISSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cccc(C(F)F)c2)C1 ZINC001043328412 656824850 /nfs/dbraw/zinc/82/48/50/656824850.db2.gz RLWPYBOSAIFDCM-UHFFFAOYSA-N 0 1 322.399 3.276 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C)c2ccccc12 ZINC001028165482 656827729 /nfs/dbraw/zinc/82/77/29/656827729.db2.gz WVOWRZPTWXPOJE-KRWDZBQOSA-N 0 1 320.436 3.366 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCCN2C(=O)C2CC2)C1 ZINC001053059714 656834441 /nfs/dbraw/zinc/83/44/41/656834441.db2.gz XFRFRXYQTYXLDV-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001028190295 656851957 /nfs/dbraw/zinc/85/19/57/656851957.db2.gz QYSZWBDZTATNDF-SLFFLAALSA-N 0 1 324.468 3.011 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001044029296 656873864 /nfs/dbraw/zinc/87/38/64/656873864.db2.gz CORGIHJCIRLEHG-HZPDHXFCSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CC[N@@H+]1CCCC2(CN(C(=O)Cc3ccc(Cl)s3)C2)C1 ZINC000982057559 656878140 /nfs/dbraw/zinc/87/81/40/656878140.db2.gz AEYKKUYUXAXGBF-UHFFFAOYSA-N 0 1 324.877 3.054 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)c1cc[nH]c1C ZINC001054036211 656888147 /nfs/dbraw/zinc/88/81/47/656888147.db2.gz FBCFISARLOBVMP-GOSISDBHSA-N 0 1 323.440 3.056 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001044174474 656893059 /nfs/dbraw/zinc/89/30/59/656893059.db2.gz KQPUEJMLYUJBBE-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC12CCC2 ZINC001054055559 656895461 /nfs/dbraw/zinc/89/54/61/656895461.db2.gz OCRIZLWLBYFCIX-RBUKOAKNSA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C(CC)CC)C2 ZINC001054086040 656907118 /nfs/dbraw/zinc/90/71/18/656907118.db2.gz FPUJZUPWWDXKOV-QGZVFWFLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)CC1 ZINC000982165447 656908218 /nfs/dbraw/zinc/90/82/18/656908218.db2.gz GMZAZHSOGZQRGY-DLBZAZTESA-N 0 1 318.848 3.164 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccccc2C)CC1 ZINC000982166051 656908233 /nfs/dbraw/zinc/90/82/33/656908233.db2.gz XPBJCTSBKUXLKV-RBUKOAKNSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001044318790 656908559 /nfs/dbraw/zinc/90/85/59/656908559.db2.gz OFNUZQQCWIMVSW-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H]3CCCC[C@@H]3C)C2)C1 ZINC000982168744 656910621 /nfs/dbraw/zinc/91/06/21/656910621.db2.gz BKMUMXSOPQBROW-ROUUACIJSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1(C)CCC1)C2 ZINC001054178368 656925381 /nfs/dbraw/zinc/92/53/81/656925381.db2.gz AVXYJVDXPSMLAK-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C)c(C)c1Cl ZINC001028263528 656952383 /nfs/dbraw/zinc/95/23/83/656952383.db2.gz RQIQGMUYDCIGKM-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3occc3C)cc2C1 ZINC001054256361 656953112 /nfs/dbraw/zinc/95/31/12/656953112.db2.gz XTFWPBOXCKRJAF-UHFFFAOYSA-N 0 1 310.397 3.410 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001028267448 656954281 /nfs/dbraw/zinc/95/42/81/656954281.db2.gz JVBURTBTTICYRZ-MRXNPFEDSA-N 0 1 312.413 3.430 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@]34C[C@@H]3CCCC4)cc2C1 ZINC001054280187 656959982 /nfs/dbraw/zinc/95/99/82/656959982.db2.gz CFNHZTXLIGNKRT-FPOVZHCZSA-N 0 1 322.452 3.222 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001028301633 656987192 /nfs/dbraw/zinc/98/71/92/656987192.db2.gz HTMDFIYYBWGQCQ-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNCc1c(F)cccc1F ZINC001045149672 656995419 /nfs/dbraw/zinc/99/54/19/656995419.db2.gz MXMDWMPSHWHRNX-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2c(C)cccc2C)C[C@@H]1C ZINC001054694435 657001568 /nfs/dbraw/zinc/00/15/68/657001568.db2.gz MZXUKKVKWLVCME-WMLDXEAASA-N 0 1 320.864 3.035 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001028357258 657005743 /nfs/dbraw/zinc/00/57/43/657005743.db2.gz BWTVJCSFGDFZAS-FQEVSTJZSA-N 0 1 322.452 3.471 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC3(CC3)CC2)C[C@H]1C ZINC001054913613 657020774 /nfs/dbraw/zinc/02/07/74/657020774.db2.gz GZBKNGRESVPKGY-IUODEOHRSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2sccc2Cl)CC1 ZINC001045446455 657023423 /nfs/dbraw/zinc/02/34/23/657023423.db2.gz SKOOKGIRKWGCBQ-UHFFFAOYSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(F)c3ccccc3c2)CC1 ZINC001045457513 657024960 /nfs/dbraw/zinc/02/49/60/657024960.db2.gz DWZUXGNOHRJEFJ-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(CC(C)C)s2)CC1 ZINC001045464692 657026895 /nfs/dbraw/zinc/02/68/95/657026895.db2.gz WGJGOQYMVPSTKQ-UHFFFAOYSA-N 0 1 318.486 3.164 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(Cl)ccc2F)CC1 ZINC001045495514 657029933 /nfs/dbraw/zinc/02/99/33/657029933.db2.gz AXKMOUVTOQFGKB-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccsc2Cl)CC1 ZINC001045578933 657039270 /nfs/dbraw/zinc/03/92/70/657039270.db2.gz UTUMFIJPEXPOEO-UHFFFAOYSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cccc3ccccc32)CC1 ZINC001045634761 657046717 /nfs/dbraw/zinc/04/67/17/657046717.db2.gz XHMRXMJLOLOUGF-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN CC(C)=CC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001045703343 657056067 /nfs/dbraw/zinc/05/60/67/657056067.db2.gz WRFVZHPMQNNERN-MOPGFXCFSA-N 0 1 323.440 3.090 20 30 DGEDMN CC[C@@H](C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045718229 657059089 /nfs/dbraw/zinc/05/90/89/657059089.db2.gz LGCGPWPFZMXHMB-RLLQIKCJSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccoc2C2CC2)C1 ZINC001000022707 657086217 /nfs/dbraw/zinc/08/62/17/657086217.db2.gz YMBVSELTJRXQKS-CYBMUJFWSA-N 0 1 308.809 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001000093199 657093559 /nfs/dbraw/zinc/09/35/59/657093559.db2.gz UVLDIZVXJFOAHG-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@H](F)CC)C2 ZINC001045957539 657104696 /nfs/dbraw/zinc/10/46/96/657104696.db2.gz YEFZGICOWPFCHO-ZBFHGGJFSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(Cl)cs2)C1 ZINC001000364155 657119234 /nfs/dbraw/zinc/11/92/34/657119234.db2.gz NHGPTUJVURPXQS-LLVKDONJSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2csc(Cl)c2)C1 ZINC001000388187 657122463 /nfs/dbraw/zinc/12/24/63/657122463.db2.gz IMXCQEJSUHECNL-NSHDSACASA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2ccccc2)C1 ZINC001000727279 657145954 /nfs/dbraw/zinc/14/59/54/657145954.db2.gz BVTOMNBXGUGTTQ-ZBFHGGJFSA-N 0 1 306.837 3.123 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001046318617 657146808 /nfs/dbraw/zinc/14/68/08/657146808.db2.gz DSDRHVUEIBZJAV-LVCYWYKZSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc(Cl)c2)C1 ZINC001000756831 657150227 /nfs/dbraw/zinc/15/02/27/657150227.db2.gz HUTBYPWNBYYJIJ-AWEZNQCLSA-N 0 1 313.228 3.287 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001046373678 657154289 /nfs/dbraw/zinc/15/42/89/657154289.db2.gz FTDMTRCSMVBIRM-GOSISDBHSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc(C)c2C)C1 ZINC001000842723 657156567 /nfs/dbraw/zinc/15/65/67/657156567.db2.gz UDXVQHZWCAJTNH-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(Cl)s2)C1 ZINC001000850109 657159095 /nfs/dbraw/zinc/15/90/95/657159095.db2.gz SGYYQAUOSINXIR-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)c2ccccc2)C1 ZINC001000873187 657160454 /nfs/dbraw/zinc/16/04/54/657160454.db2.gz QBIBNLHESHWBSY-MRXNPFEDSA-N 0 1 320.864 3.297 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001046507541 657170711 /nfs/dbraw/zinc/17/07/11/657170711.db2.gz KUXKZXCXTIPYKD-INIZCTEOSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001000981059 657171050 /nfs/dbraw/zinc/17/10/50/657171050.db2.gz MYWKOMDQOHRPQL-JKSUJKDBSA-N 0 1 320.864 3.432 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001046518739 657171707 /nfs/dbraw/zinc/17/17/07/657171707.db2.gz VBBNSBUHCCPDEL-IBGZPJMESA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001046513774 657171766 /nfs/dbraw/zinc/17/17/66/657171766.db2.gz BFJIQXHXQLAVGK-FQEVSTJZSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001046739651 657210137 /nfs/dbraw/zinc/21/01/37/657210137.db2.gz SAPUHTACOPIHII-HXUWFJFHSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc3sccc3c2)C1 ZINC001046743087 657211196 /nfs/dbraw/zinc/21/11/96/657211196.db2.gz PCCDLWRAHNUXKX-GOSISDBHSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2cccc(C3CCCC3)c2)CC1 ZINC001001371967 657211580 /nfs/dbraw/zinc/21/15/80/657211580.db2.gz RRVCIVYYLJHSMQ-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C3CC3)s2)CC1 ZINC001001371830 657211607 /nfs/dbraw/zinc/21/16/07/657211607.db2.gz PLCFZGMEQAMSQL-UHFFFAOYSA-N 0 1 314.454 3.011 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2sccc2C(F)F)CC1 ZINC001001413219 657216245 /nfs/dbraw/zinc/21/62/45/657216245.db2.gz NXPXMNLRBDXJNT-UHFFFAOYSA-N 0 1 324.396 3.071 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001046795741 657221445 /nfs/dbraw/zinc/22/14/45/657221445.db2.gz XTAIDFRVWKAPSB-HXUWFJFHSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc3c(cccc3C)o2)CC1 ZINC001001482377 657225819 /nfs/dbraw/zinc/22/58/19/657225819.db2.gz VKONPCUNHGAXDX-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001046815576 657227110 /nfs/dbraw/zinc/22/71/10/657227110.db2.gz BDEDVFGLVFVGEX-SFHVURJKSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001046823894 657230795 /nfs/dbraw/zinc/23/07/95/657230795.db2.gz CFSFPSHJMNBSAE-KRWDZBQOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001046827440 657231984 /nfs/dbraw/zinc/23/19/84/657231984.db2.gz SBHXGGRKDGVOGT-ACJLOTCBSA-N 0 1 318.486 3.253 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001046856263 657238115 /nfs/dbraw/zinc/23/81/15/657238115.db2.gz VVKIPISJAZRZAI-JXFKEZNVSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCCN1CC[C@@](C)(NC(=O)c2ccc(C(C)C)s2)C1 ZINC001046862455 657238682 /nfs/dbraw/zinc/23/86/82/657238682.db2.gz KHVDTBKXQPLEGS-QGZVFWFLSA-N 0 1 304.459 3.089 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001046879458 657242676 /nfs/dbraw/zinc/24/26/76/657242676.db2.gz MIOAGHBKDRXVKO-MRXNPFEDSA-N 0 1 308.372 3.179 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3ccc(CCC)s3)[C@@H]2C1 ZINC001050056658 657243425 /nfs/dbraw/zinc/24/34/25/657243425.db2.gz UOUNUQOHYWQYRQ-GOEBONIOSA-N 0 1 318.486 3.423 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3ccc(CC)s3)[C@@H]2C1 ZINC001050088658 657247379 /nfs/dbraw/zinc/24/73/79/657247379.db2.gz LHTFMSPBFUOJLM-DZGCQCFKSA-N 0 1 304.459 3.033 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2ccc(C)cc2C)C1 ZINC001046995411 657250303 /nfs/dbraw/zinc/25/03/03/657250303.db2.gz JCNGBMROMZGKPV-OAHLLOKOSA-N 0 1 322.399 3.176 20 30 DGEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cccc(C(C)C)c3)[C@@H]2C1 ZINC001050176716 657264133 /nfs/dbraw/zinc/26/41/33/657264133.db2.gz ISZLZXHZWPBWCC-VQTJNVASSA-N 0 1 324.468 3.370 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)CC1 ZINC001050477976 657314883 /nfs/dbraw/zinc/31/48/83/657314883.db2.gz JPIOGISVITZFBQ-ZSHCYNCHSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cc(C)cs3)C2)CC1 ZINC001050512359 657318362 /nfs/dbraw/zinc/31/83/62/657318362.db2.gz RPLYDAWEQXPQHS-UHFFFAOYSA-N 0 1 324.877 3.347 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3CCC[C@@H]3C)C2)CC1 ZINC001050530935 657320966 /nfs/dbraw/zinc/32/09/66/657320966.db2.gz GBWSMXTXONSWQJ-GOEBONIOSA-N 0 1 324.896 3.490 20 30 DGEDMN CN(Cc1ccc(Cl)s1)C[C@@H](O)c1ccc(C#N)cc1 ZINC000048972013 657328752 /nfs/dbraw/zinc/32/87/52/657328752.db2.gz ZMCOGWPWBNQLMF-CQSZACIVSA-N 0 1 306.818 3.439 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cc[nH]c3CC)cccc2C1 ZINC001051287136 657382847 /nfs/dbraw/zinc/38/28/47/657382847.db2.gz OSDYFNIELDEBHM-UHFFFAOYSA-N 0 1 323.440 3.051 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CC[C@H](C)CC3)cccc2C1 ZINC001051305611 657385312 /nfs/dbraw/zinc/38/53/12/657385312.db2.gz MTJBBUMWBJAYTB-QAQDUYKDSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](F)CC2CCCCC2)CC1 ZINC000957337305 657402151 /nfs/dbraw/zinc/40/21/51/657402151.db2.gz UBMUWNDUEZXORF-QGZVFWFLSA-N 0 1 310.457 3.405 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC000968405531 657407625 /nfs/dbraw/zinc/40/76/25/657407625.db2.gz NKCDWUFBHQIEJV-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968404650 657407872 /nfs/dbraw/zinc/40/78/72/657407872.db2.gz GBBLZGLBHHRJFS-QCTRZYONSA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968423391 657409804 /nfs/dbraw/zinc/40/98/04/657409804.db2.gz RUNMCFLSBRVHHB-YOEHRIQHSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528838 657421936 /nfs/dbraw/zinc/42/19/36/657421936.db2.gz HHRQYMRKCQZKEM-ZBFHGGJFSA-N 0 1 304.434 3.248 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528838 657421938 /nfs/dbraw/zinc/42/19/38/657421938.db2.gz HHRQYMRKCQZKEM-ZBFHGGJFSA-N 0 1 304.434 3.248 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528836 657422113 /nfs/dbraw/zinc/42/21/13/657422113.db2.gz HHRQYMRKCQZKEM-GOEBONIOSA-N 0 1 304.434 3.248 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528836 657422117 /nfs/dbraw/zinc/42/21/17/657422117.db2.gz HHRQYMRKCQZKEM-GOEBONIOSA-N 0 1 304.434 3.248 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC000968557760 657426553 /nfs/dbraw/zinc/42/65/53/657426553.db2.gz NWGIGVPHHDFVJA-PBHICJAKSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC000968557572 657426573 /nfs/dbraw/zinc/42/65/73/657426573.db2.gz NLDBQEKGFKNYCB-SJLPKXTDSA-N 0 1 314.473 3.285 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597228 657436732 /nfs/dbraw/zinc/43/67/32/657436732.db2.gz UKRTWBJHDQFWGE-WMZOPIPTSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC000968598557 657437606 /nfs/dbraw/zinc/43/76/06/657437606.db2.gz HZVWTZMWQFEVCJ-JXFKEZNVSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597528 657437689 /nfs/dbraw/zinc/43/76/89/657437689.db2.gz ZFAAKGXGXWJYBZ-WBVHZDCISA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2OCC)C1 ZINC000968602038 657438678 /nfs/dbraw/zinc/43/86/78/657438678.db2.gz OISHNOVKQFZMLE-RDJZCZTQSA-N 0 1 316.445 3.102 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968605528 657439462 /nfs/dbraw/zinc/43/94/62/657439462.db2.gz AELRIJDGCBELBU-UZLBHIALSA-N 0 1 320.436 3.303 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C)c2C)C1 ZINC000968607146 657441160 /nfs/dbraw/zinc/44/11/60/657441160.db2.gz OZUBZZVRKUFBAR-QAPCUYQASA-N 0 1 300.446 3.320 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC000968618038 657443502 /nfs/dbraw/zinc/44/35/02/657443502.db2.gz CSDWAUFFVMGMBC-CZUORRHYSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC000968618039 657444224 /nfs/dbraw/zinc/44/42/24/657444224.db2.gz CSDWAUFFVMGMBC-XJKSGUPXSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968620274 657445288 /nfs/dbraw/zinc/44/52/88/657445288.db2.gz SBYCCKZQDQNBCM-YOEHRIQHSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968620272 657445356 /nfs/dbraw/zinc/44/53/56/657445356.db2.gz SBYCCKZQDQNBCM-RHSMWYFYSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC000968630703 657449733 /nfs/dbraw/zinc/44/97/33/657449733.db2.gz AVIDRXRIBDOAPK-KSSFIOAISA-N 0 1 317.477 3.312 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)c2cccc(Cl)c2)CC1 ZINC000957712540 657453421 /nfs/dbraw/zinc/45/34/21/657453421.db2.gz VLSSCVWLDMGORU-AWEZNQCLSA-N 0 1 306.837 3.164 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC000968680515 657455433 /nfs/dbraw/zinc/45/54/33/657455433.db2.gz AOITWSBNFIKQQI-KDOFPFPSSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2sccc2CC)C1 ZINC000968682813 657456412 /nfs/dbraw/zinc/45/64/12/657456412.db2.gz VEWOUKAHFJTCRE-HIFRSBDPSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC000968694839 657462601 /nfs/dbraw/zinc/46/26/01/657462601.db2.gz BHPZBKZODZGFFO-FUHWJXTLSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(c3cccc(C)c3)CC2)CC1 ZINC000957786333 657463223 /nfs/dbraw/zinc/46/32/23/657463223.db2.gz KVSCWFLUIZCJBG-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CCC)s2)C1 ZINC000968810633 657494501 /nfs/dbraw/zinc/49/45/01/657494501.db2.gz QMHQGWZVDCCXKU-GFCCVEGCSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC000968921847 657514910 /nfs/dbraw/zinc/51/49/10/657514910.db2.gz LEBGLCHOYBYTLX-OBYDGYHJSA-N 0 1 322.880 3.002 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccccc1CCC ZINC000968943997 657520572 /nfs/dbraw/zinc/52/05/72/657520572.db2.gz DEKXPSFBYYWAJE-GOSISDBHSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1cc(F)ccc1C ZINC000968968979 657525541 /nfs/dbraw/zinc/52/55/41/657525541.db2.gz VILROVBLZHTBGI-QGZVFWFLSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(F)ccc1C ZINC000968968979 657525544 /nfs/dbraw/zinc/52/55/44/657525544.db2.gz VILROVBLZHTBGI-QGZVFWFLSA-N 0 1 316.420 3.132 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1occc1C(C)C ZINC000969002596 657530693 /nfs/dbraw/zinc/53/06/93/657530693.db2.gz XTNDSYPTJNWEFN-HNNXBMFYSA-N 0 1 302.418 3.011 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1scnc1C(C)C ZINC000969061983 657546346 /nfs/dbraw/zinc/54/63/46/657546346.db2.gz IIWFQIUELKXBAN-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1oc(C(C)C)nc1C ZINC000969062295 657546730 /nfs/dbraw/zinc/54/67/30/657546730.db2.gz LIUPRIWRLJRAQK-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC000969064483 657548757 /nfs/dbraw/zinc/54/87/57/657548757.db2.gz IGDBTJUMBDLNRY-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC000969064483 657548760 /nfs/dbraw/zinc/54/87/60/657548760.db2.gz IGDBTJUMBDLNRY-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(Cl)ccc1C ZINC000969079195 657550471 /nfs/dbraw/zinc/55/04/71/657550471.db2.gz FBLMVGPXJGSSDS-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nsc3ccccc32)C1 ZINC001007400224 657604205 /nfs/dbraw/zinc/60/42/05/657604205.db2.gz JTAGLYVWIVTNMR-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001007510921 657615102 /nfs/dbraw/zinc/61/51/02/657615102.db2.gz MUWQQQPQQIVSKM-HNNXBMFYSA-N 0 1 318.486 3.164 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001007510921 657615108 /nfs/dbraw/zinc/61/51/08/657615108.db2.gz MUWQQQPQQIVSKM-HNNXBMFYSA-N 0 1 318.486 3.164 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001007528089 657617613 /nfs/dbraw/zinc/61/76/13/657617613.db2.gz SVJQRNRCBKWMHW-KZNAEPCWSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001007625190 657623352 /nfs/dbraw/zinc/62/33/52/657623352.db2.gz QSPHVDWKMRJIQU-QRVBRYPASA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001007645518 657625184 /nfs/dbraw/zinc/62/51/84/657625184.db2.gz MZFCWMWCNPRKTO-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc3ccccc23)C1 ZINC001008099366 657660037 /nfs/dbraw/zinc/66/00/37/657660037.db2.gz AKJVIXPSDDXLBA-CYBMUJFWSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001008138530 657664083 /nfs/dbraw/zinc/66/40/83/657664083.db2.gz MAEWRQFBBHWOHD-INIZCTEOSA-N 0 1 311.429 3.247 20 30 DGEDMN C=C(Cl)C[N@H+](C)C1CCN(C(=O)[C@@H]2CCC[C@H](F)C2)CC1 ZINC000985427174 657666000 /nfs/dbraw/zinc/66/60/00/657666000.db2.gz XRHREVPFRNMMIL-KGLIPLIRSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@H](F)C2)CC1 ZINC000985427174 657666004 /nfs/dbraw/zinc/66/60/04/657666004.db2.gz XRHREVPFRNMMIL-KGLIPLIRSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001008324357 657682658 /nfs/dbraw/zinc/68/26/58/657682658.db2.gz VDFZZVUBMIGMOT-KRWDZBQOSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC(F)(F)C2)CC1 ZINC000985460707 657691953 /nfs/dbraw/zinc/69/19/53/657691953.db2.gz DIISVBOGUOFQNX-LBPRGKRZSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001008414413 657693371 /nfs/dbraw/zinc/69/33/71/657693371.db2.gz ZXAJANBHVABUQP-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001008517090 657702453 /nfs/dbraw/zinc/70/24/53/657702453.db2.gz KEEBNOMGILBIMQ-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc[nH]c2C2CCC2)C1 ZINC001008528865 657704687 /nfs/dbraw/zinc/70/46/87/657704687.db2.gz DDRUFSDABBEIOW-OAHLLOKOSA-N 0 1 301.434 3.053 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001008572371 657706094 /nfs/dbraw/zinc/70/60/94/657706094.db2.gz XRVYGZLEJLCSSJ-INIZCTEOSA-N 0 1 313.445 3.038 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001008644264 657712951 /nfs/dbraw/zinc/71/29/51/657712951.db2.gz FUJNKQVDZVEJSW-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H]2C=CCCC2)CC1 ZINC000985501721 657723517 /nfs/dbraw/zinc/72/35/17/657723517.db2.gz ZHTKPOOOGJPXCK-HNNXBMFYSA-N 0 1 310.869 3.408 20 30 DGEDMN N#Cc1ccccc1CNC[C@@H]1CCCCN1C(=O)C1=CCCC1 ZINC000959957214 657732761 /nfs/dbraw/zinc/73/27/61/657732761.db2.gz HBSMJVLQJYXYRY-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001008917557 657739850 /nfs/dbraw/zinc/73/98/50/657739850.db2.gz DUIJEZJLROEYEQ-KRWDZBQOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2c(C)oc(C)c2C)CC1 ZINC000985531524 657741289 /nfs/dbraw/zinc/74/12/89/657741289.db2.gz HJUNRIAQXQSCRM-UHFFFAOYSA-N 0 1 324.852 3.494 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001008966369 657741676 /nfs/dbraw/zinc/74/16/76/657741676.db2.gz ODPRBIVPYFYHOJ-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(c3ccccc3F)CC2)C1 ZINC001009058162 657743791 /nfs/dbraw/zinc/74/37/91/657743791.db2.gz LHMVURDSAVOKTM-HNNXBMFYSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001009193507 657754790 /nfs/dbraw/zinc/75/47/90/657754790.db2.gz CVYIPWREPSKPGO-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001009200234 657755905 /nfs/dbraw/zinc/75/59/05/657755905.db2.gz GAMZUGKAWHLEOB-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001009271240 657762164 /nfs/dbraw/zinc/76/21/64/657762164.db2.gz VPBSZRKKLADIFK-GOSISDBHSA-N 0 1 314.473 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(N(C)[C@@H](C)c2ncc(C)o2)CC1 ZINC000985575445 657766496 /nfs/dbraw/zinc/76/64/96/657766496.db2.gz YZQRMNGHFMDAJH-AWEZNQCLSA-N 0 1 319.449 3.179 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC000960270977 657770614 /nfs/dbraw/zinc/77/06/14/657770614.db2.gz JLNOFFTUKQNVKY-MISYRCLQSA-N 0 1 322.452 3.128 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1CCC(C)(C)CC1 ZINC000960334744 657779771 /nfs/dbraw/zinc/77/97/71/657779771.db2.gz WBQHMRWIHSEFPJ-RTBURBONSA-N 0 1 324.468 3.208 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000985610212 657781495 /nfs/dbraw/zinc/78/14/95/657781495.db2.gz GLNVTEDKCDRZBR-UKRRQHHQSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC000985610211 657782305 /nfs/dbraw/zinc/78/23/05/657782305.db2.gz GLNVTEDKCDRZBR-HIFRSBDPSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001009476651 657790893 /nfs/dbraw/zinc/79/08/93/657790893.db2.gz OBALFAQUFGMDNU-INIZCTEOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001009460984 657790937 /nfs/dbraw/zinc/79/09/37/657790937.db2.gz VZUIFSSBXUCTAA-MRXNPFEDSA-N 0 1 303.450 3.066 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2C[C@@H](C)O[C@@H](C)C2)c1O ZINC000098051181 657793959 /nfs/dbraw/zinc/79/39/59/657793959.db2.gz JPKCJILFLKXIGY-OKILXGFUSA-N 0 1 305.418 3.129 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001009570137 657795333 /nfs/dbraw/zinc/79/53/33/657795333.db2.gz ODVAMJKRTHOUNQ-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC000985687240 657807126 /nfs/dbraw/zinc/80/71/26/657807126.db2.gz QYQXUMKZQXAACG-MRXNPFEDSA-N 0 1 324.827 3.373 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@]2(C)CCc3ccccc32)C1 ZINC001009692085 657810151 /nfs/dbraw/zinc/81/01/51/657810151.db2.gz PCIYHOOIQMNQEO-PXNSSMCTSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2csc(C)c2)CC1 ZINC000985700538 657813279 /nfs/dbraw/zinc/81/32/79/657813279.db2.gz BNNGZVXEUREBIE-UHFFFAOYSA-N 0 1 312.866 3.345 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H](C)n2cccc2)CC1 ZINC000985757564 657834921 /nfs/dbraw/zinc/83/49/21/657834921.db2.gz RMAYKSYQBCWGTK-HNNXBMFYSA-N 0 1 323.868 3.115 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC(C2CC2)C2CC2)CC1 ZINC000985807053 657864067 /nfs/dbraw/zinc/86/40/67/657864067.db2.gz VZQYMBRFJZQEET-UHFFFAOYSA-N 0 1 324.896 3.488 20 30 DGEDMN CC(C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1)=C1CCCC1 ZINC001010506492 657908043 /nfs/dbraw/zinc/90/80/43/657908043.db2.gz HEMWSCMXYGFHBA-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC000961088981 657944404 /nfs/dbraw/zinc/94/44/04/657944404.db2.gz OZPVPEYDPHGKCO-CVEARBPZSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)/C=C/C3CC3)C2)C1 ZINC000961136021 657961576 /nfs/dbraw/zinc/96/15/76/657961576.db2.gz GTGBQRFTRYHSFM-NGHLMZTLSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C=C3CCC3)C2)C1 ZINC000961157054 657967522 /nfs/dbraw/zinc/96/75/22/657967522.db2.gz XJPLVPKDNAWFIS-IAGOWNOFSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C=C3CCC3)C2)C1 ZINC000961157045 657967980 /nfs/dbraw/zinc/96/79/80/657967980.db2.gz XJPLVPKDNAWFIS-DLBZAZTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CCC3(CC3)CC2)[C@H]1C ZINC000986569309 658070440 /nfs/dbraw/zinc/07/04/40/658070440.db2.gz LAXOPXODAYACEO-DZGCQCFKSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc(C)cc(C)c2)[C@@H]1C ZINC000986813663 658103184 /nfs/dbraw/zinc/10/31/84/658103184.db2.gz PJJDIPFZAAYAKZ-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001015233966 658103826 /nfs/dbraw/zinc/10/38/26/658103826.db2.gz NJJKWYFSKMEXTO-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3ccc(F)cc3)CCC2)C1 ZINC001015467971 658122986 /nfs/dbraw/zinc/12/29/86/658122986.db2.gz RQXAHUWOYQVMGT-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN CCOc1ccc(C=Nn2c(=S)[nH]nc2-c2ccco2)cc1 ZINC000254619234 658131252 /nfs/dbraw/zinc/13/12/52/658131252.db2.gz LTTNPXQDCHNCRT-UHFFFAOYSA-N 0 1 314.370 3.482 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)cc(F)c2)[C@H]1C ZINC000987033288 658142508 /nfs/dbraw/zinc/14/25/08/658142508.db2.gz ZOYPXBLDRKBSMB-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CCC(F)(F)CC2)[C@H]1C ZINC000987067839 658150502 /nfs/dbraw/zinc/15/05/02/658150502.db2.gz ZHVHUBBJFDBHAV-AAEUAGOBSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN([C@@H](C)c3cccc(F)c3)C2)C1 ZINC001015671930 658162478 /nfs/dbraw/zinc/16/24/78/658162478.db2.gz IKWNGDBQKAOLLV-WMLDXEAASA-N 0 1 316.420 3.434 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001015710673 658169646 /nfs/dbraw/zinc/16/96/46/658169646.db2.gz PQZYEFJFFLBZNT-OAHLLOKOSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H]1[C@H]1CCCN(C(=O)C=C(C)C)C1 ZINC000963249505 658169636 /nfs/dbraw/zinc/16/96/36/658169636.db2.gz INBHEPOHTOMJKP-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001015730072 658172722 /nfs/dbraw/zinc/17/27/22/658172722.db2.gz BWYNCMKWUTZVMT-GUDVDZBRSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(CC)o2)[C@H]1C ZINC000987343841 658191748 /nfs/dbraw/zinc/19/17/48/658191748.db2.gz XVZQTXPKVOXZFM-QWHCGFSZSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2occ3c2CCCC3)[C@@H]1C ZINC000987410931 658204640 /nfs/dbraw/zinc/20/46/40/658204640.db2.gz UAHZIQBUQBDOAE-IUODEOHRSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccccc3o2)[C@@H]1C ZINC000987460204 658211472 /nfs/dbraw/zinc/21/14/72/658211472.db2.gz PUPDCOGPCJOQTJ-OCCSQVGLSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3ccccc3F)CCC2)C1 ZINC001015982411 658214382 /nfs/dbraw/zinc/21/43/82/658214382.db2.gz FBDZXYXMKDVGDU-HNNXBMFYSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(C)s2)[C@H]1C ZINC000987492520 658220426 /nfs/dbraw/zinc/22/04/26/658220426.db2.gz KMQSYCDHTNGRMW-WCQYABFASA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CF)cc2)[C@@H]1C ZINC000987591122 658238365 /nfs/dbraw/zinc/23/83/65/658238365.db2.gz QLHXLNXPILDZJE-IUODEOHRSA-N 0 1 310.800 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc3ccccc23)[C@H]1C ZINC000987609295 658241110 /nfs/dbraw/zinc/24/11/10/658241110.db2.gz JKJRFHNJJSGPRG-SWLSCSKDSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001016230992 658259262 /nfs/dbraw/zinc/25/92/62/658259262.db2.gz WWOSWYZZNQWSEB-DZGCQCFKSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H]2CCCC23CC3)CC1 ZINC001016685437 658316150 /nfs/dbraw/zinc/31/61/50/658316150.db2.gz PFFQBBVIOUSSBG-HUUCEWRRSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H](C)c2ccco2)CC1 ZINC001016819000 658337856 /nfs/dbraw/zinc/33/78/56/658337856.db2.gz IHLKXUMLCLAJBE-ZFWWWQNUSA-N 0 1 322.836 3.106 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001016841490 658339892 /nfs/dbraw/zinc/33/98/92/658339892.db2.gz ZDCJARFTEAXWBE-BZUAXINKSA-N 0 1 324.896 3.392 20 30 DGEDMN CC(C(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@H]1C)=C1CCC1 ZINC000988818927 658407906 /nfs/dbraw/zinc/40/79/06/658407906.db2.gz KUZRPMHRHPAYOZ-KUHUBIRLSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1F ZINC001017530964 658436878 /nfs/dbraw/zinc/43/68/78/658436878.db2.gz YJWHVJKGVDIXMX-BETUJISGSA-N 0 1 308.422 3.142 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017541208 658437931 /nfs/dbraw/zinc/43/79/31/658437931.db2.gz UHZUFLHNSZWXHB-QRQLOZEOSA-N 0 1 322.452 3.145 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(C)c1C ZINC001017582848 658441633 /nfs/dbraw/zinc/44/16/33/658441633.db2.gz DDSWCTBSZCFVKO-GASCZTMLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)cc1F ZINC001017716381 658453346 /nfs/dbraw/zinc/45/33/46/658453346.db2.gz DQEIINXSDZLUEE-OKILXGFUSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2coc(C(F)F)c2)C1 ZINC000989365579 658468768 /nfs/dbraw/zinc/46/87/68/658468768.db2.gz RJZJIWNUPYOZLY-ONGXEEELSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccccc1C ZINC001017991234 658486215 /nfs/dbraw/zinc/48/62/15/658486215.db2.gz OHPUFVWTYZUUBG-KDURUIRLSA-N 0 1 312.457 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2ccccc2C)C1 ZINC000989485324 658496048 /nfs/dbraw/zinc/49/60/48/658496048.db2.gz MAZIFLFVTRCEOX-WBVHZDCISA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)cc(F)c2)C1 ZINC000989474175 658496582 /nfs/dbraw/zinc/49/65/82/658496582.db2.gz RAEQINKFXYPCGJ-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)cc1 ZINC001018064206 658498939 /nfs/dbraw/zinc/49/89/39/658498939.db2.gz CHJFSGPVCZXUDD-IYBDPMFKSA-N 0 1 320.383 3.489 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC000966874356 658502331 /nfs/dbraw/zinc/50/23/31/658502331.db2.gz GVDHKEGTWVUHDN-ZFWWWQNUSA-N 0 1 319.449 3.123 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(C)(C)C)c1 ZINC001018092004 658502840 /nfs/dbraw/zinc/50/28/40/658502840.db2.gz UOIPJLSXZUOHHK-KDURUIRLSA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cncc(Cl)c2C)C1 ZINC000966876176 658503971 /nfs/dbraw/zinc/50/39/71/658503971.db2.gz WBVZSTOUVAJHFU-LRDDRELGSA-N 0 1 321.852 3.060 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1C(C)C ZINC001018150225 658507872 /nfs/dbraw/zinc/50/78/72/658507872.db2.gz QOXJUPNXODJOIU-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ccccc21 ZINC001018233461 658522842 /nfs/dbraw/zinc/52/28/42/658522842.db2.gz KIERZRDBLUNJRE-HDICACEKSA-N 0 1 318.420 3.152 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(C)cc2Cl)C1 ZINC000966980287 658524788 /nfs/dbraw/zinc/52/47/88/658524788.db2.gz RKLDTXAUJIEAPS-PBHICJAKSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(C)cc2Cl)C1 ZINC000966980289 658525111 /nfs/dbraw/zinc/52/51/11/658525111.db2.gz RKLDTXAUJIEAPS-RHSMWYFYSA-N 0 1 320.864 3.204 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc(CCC)c(C)s2)CC1 ZINC000989623955 658531151 /nfs/dbraw/zinc/53/11/51/658531151.db2.gz OMEWGCOKODPSEX-UHFFFAOYSA-N 0 1 318.486 3.180 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc(C)n(C(C)C)c2C)CC1 ZINC000989632870 658531303 /nfs/dbraw/zinc/53/13/03/658531303.db2.gz UUMDZTKNRHUQOM-UHFFFAOYSA-N 0 1 303.450 3.020 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2oc(CC)cc2C)C1 ZINC000989677968 658539886 /nfs/dbraw/zinc/53/98/86/658539886.db2.gz BAXVLAQHGNDIOI-QWHCGFSZSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(CC)c(CC)o2)C1 ZINC000989685650 658540929 /nfs/dbraw/zinc/54/09/29/658540929.db2.gz FBEJLYVREASOFI-TZMCWYRMSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccccc2Cl)C1 ZINC000989708328 658547613 /nfs/dbraw/zinc/54/76/13/658547613.db2.gz SQVXWDBNBAHXIU-VXGBXAGGSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C(C)C)n2)C1 ZINC000967265029 658554898 /nfs/dbraw/zinc/55/48/98/658554898.db2.gz SAZYYOJUNHHHAR-QAPCUYQASA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cccc(C(C)C)n2)C1 ZINC000967265028 658555544 /nfs/dbraw/zinc/55/55/44/658555544.db2.gz SAZYYOJUNHHHAR-MAUKXSAKSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)sc2C)C1 ZINC000967269092 658556571 /nfs/dbraw/zinc/55/65/71/658556571.db2.gz RMWIZWPZEOSWPM-WBMJQRKESA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC000967283771 658562560 /nfs/dbraw/zinc/56/25/60/658562560.db2.gz IVBHZYPGRUBXAF-FUHWJXTLSA-N 0 1 312.457 3.121 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C2CCCCCCC2)C1 ZINC000967314751 658567039 /nfs/dbraw/zinc/56/70/39/658567039.db2.gz PPVBREFTSFMVRE-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(Cl)s2)C1 ZINC000989752449 658569750 /nfs/dbraw/zinc/56/97/50/658569750.db2.gz MXEROPXVEPFVRK-VHSXEESVSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cccc(C)c2Cl)C1 ZINC000967338425 658571180 /nfs/dbraw/zinc/57/11/80/658571180.db2.gz QGUMJKMVWSOMMI-CZUORRHYSA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2cccs2)C1 ZINC000989776062 658574973 /nfs/dbraw/zinc/57/49/73/658574973.db2.gz KEQIZYSXWIDZOR-QWHCGFSZSA-N 0 1 312.866 3.012 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC000967419415 658579925 /nfs/dbraw/zinc/57/99/25/658579925.db2.gz KUEOVIRSVRBVFG-BXUZGUMPSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424402 658580090 /nfs/dbraw/zinc/58/00/90/658580090.db2.gz RJGHJPYXCVHJPU-HNAYVOBHSA-N 0 1 312.457 3.499 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC000967470912 658591527 /nfs/dbraw/zinc/59/15/27/658591527.db2.gz VEDFEPICYZMCSW-KBXCAEBGSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2coc3ccccc23)C1 ZINC000989858134 658599693 /nfs/dbraw/zinc/59/96/93/658599693.db2.gz XMAVAUWWVVZDIP-CHWSQXEVSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2cccc(C(C)C)n2)C1 ZINC001019238166 658618619 /nfs/dbraw/zinc/61/86/19/658618619.db2.gz OYSYXJTYDHQWOL-INIZCTEOSA-N 0 1 315.461 3.108 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2c(C)cc(C)cc2C)C1 ZINC001019319350 658631121 /nfs/dbraw/zinc/63/11/21/658631121.db2.gz KCGNJCNMSXGYLZ-INIZCTEOSA-N 0 1 320.864 3.097 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001019331735 658632819 /nfs/dbraw/zinc/63/28/19/658632819.db2.gz CBLJJDRKVOWHRV-WMLDXEAASA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001019358511 658638890 /nfs/dbraw/zinc/63/88/90/658638890.db2.gz IFRAYAUKTSNZAV-WBMJQRKESA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001019463977 658648713 /nfs/dbraw/zinc/64/87/13/658648713.db2.gz WKSQWISUGRPRAA-ZBFHGGJFSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC001019671865 658660225 /nfs/dbraw/zinc/66/02/25/658660225.db2.gz VIZRKYGWJZMSTR-HOCLYGCPSA-N 0 1 306.837 3.042 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3cccc(C)c3o2)C1 ZINC001019831665 658676594 /nfs/dbraw/zinc/67/65/94/658676594.db2.gz KUUFHMQNZFVRIA-AWEZNQCLSA-N 0 1 318.804 3.298 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)s2)C1 ZINC001019840161 658677389 /nfs/dbraw/zinc/67/73/89/658677389.db2.gz CSWUBEVXNQNGOC-LBPRGKRZSA-N 0 1 312.866 3.428 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191858 658681068 /nfs/dbraw/zinc/68/10/68/658681068.db2.gz PJMCTKULTPQPIJ-YOEHRIQHSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968191429 658681393 /nfs/dbraw/zinc/68/13/93/658681393.db2.gz NLNASULIGPZDQF-BLLLJJGKSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)C)cn2)C1 ZINC000968331313 658700526 /nfs/dbraw/zinc/70/05/26/658700526.db2.gz NOLNAQXBEJUKKQ-YJBOKZPZSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)cn2)C1 ZINC000968331308 658700728 /nfs/dbraw/zinc/70/07/28/658700728.db2.gz NOLNAQXBEJUKKQ-CRAIPNDOSA-N 0 1 315.461 3.221 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C[C@H]2C=CCCC2)C1 ZINC001020284217 658719991 /nfs/dbraw/zinc/71/99/91/658719991.db2.gz UXONQDDCTPLOOQ-SNRMKQJTSA-N 0 1 323.440 3.041 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(Cl)c3C)CCC[C@H]12 ZINC000990792289 658752504 /nfs/dbraw/zinc/75/25/04/658752504.db2.gz RJMWVSOSTJYOPZ-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1[nH]c2ccccc2c1Cl ZINC001038449945 658753482 /nfs/dbraw/zinc/75/34/82/658753482.db2.gz IQFIZCXPCBPQGS-GFCCVEGCSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc2c3c(cccc31)CC2 ZINC001038483188 658757746 /nfs/dbraw/zinc/75/77/46/658757746.db2.gz DQGJDFFYQHHNOQ-QGZVFWFLSA-N 0 1 320.436 3.319 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cccc(C)c3F)CCC[C@H]12 ZINC000990848870 658767917 /nfs/dbraw/zinc/76/79/17/658767917.db2.gz JYZJRWLSGWVGBG-YJBOKZPZSA-N 0 1 302.393 3.047 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2Cc2ccc(C)cc2)CC1 ZINC001038659814 658775823 /nfs/dbraw/zinc/77/58/23/658775823.db2.gz CJYVRIJQLKCDNG-IBGZPJMESA-N 0 1 312.457 3.432 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CCC)s3)CCC[C@@H]12 ZINC000990939555 658782609 /nfs/dbraw/zinc/78/26/09/658782609.db2.gz ZOODWHCYQUYQEX-SJLPKXTDSA-N 0 1 316.470 3.061 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCC(C)C ZINC000128946063 658807375 /nfs/dbraw/zinc/80/73/75/658807375.db2.gz SVIKCRKQNIACFP-CYBMUJFWSA-N 0 1 302.374 3.169 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001038833231 658946996 /nfs/dbraw/zinc/94/69/96/658946996.db2.gz LZSWUTKTFYLUNS-QGZVFWFLSA-N 0 1 300.446 3.364 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc(C2CCCC2)c1 ZINC001038927504 658958101 /nfs/dbraw/zinc/95/81/01/658958101.db2.gz XWMHZRLBXYTKPD-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001038944830 658959789 /nfs/dbraw/zinc/95/97/89/658959789.db2.gz IXEWKVSXFZETDK-KRWDZBQOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccccc1C(F)(F)F ZINC001038946190 658960803 /nfs/dbraw/zinc/96/08/03/658960803.db2.gz YGAGNRKTJUEHDH-GFCCVEGCSA-N 0 1 312.335 3.086 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001038974721 658968355 /nfs/dbraw/zinc/96/83/55/658968355.db2.gz DYCDVDAHLNDLDN-FQEVSTJZSA-N 0 1 318.420 3.181 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2c(cccc2F)s1 ZINC001038999907 658977990 /nfs/dbraw/zinc/97/79/90/658977990.db2.gz OKVYSYILDADHIU-LBPRGKRZSA-N 0 1 318.417 3.421 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001039108880 659003602 /nfs/dbraw/zinc/00/36/02/659003602.db2.gz XVZPGCGGBRPUNE-IBGZPJMESA-N 0 1 308.425 3.081 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)/C=C/C2CC2)C1 ZINC001039196248 659034013 /nfs/dbraw/zinc/03/40/13/659034013.db2.gz UNNFQNZQSDGWTL-FNQZAJIHSA-N 0 1 322.880 3.408 20 30 DGEDMN N#Cc1ccc(CNCc2cncc(Br)c2)cc1 ZINC000166874975 659059922 /nfs/dbraw/zinc/05/99/22/659059922.db2.gz CDEOQYQXXCWBJN-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H](C)c2ccco2)CC1 ZINC000948772288 659184432 /nfs/dbraw/zinc/18/44/32/659184432.db2.gz VIXBNVBCJRCJPE-LSDHHAIUSA-N 0 1 324.852 3.449 20 30 DGEDMN N#Cc1cccc(CNC[C@](O)(c2ccccc2)C(F)(F)F)c1 ZINC000170790788 659237119 /nfs/dbraw/zinc/23/71/19/659237119.db2.gz DOEFOYMFWNNXMM-INIZCTEOSA-N 0 1 320.314 3.098 20 30 DGEDMN N#CC(C(=O)Cc1ccccc1Br)c1ccccn1 ZINC000171566274 659287978 /nfs/dbraw/zinc/28/79/78/659287978.db2.gz XIUMACSXBFMFSH-LBPRGKRZSA-N 0 1 315.170 3.263 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccnc(C)c2)CC1 ZINC000948868345 659349389 /nfs/dbraw/zinc/34/93/89/659349389.db2.gz HLXDTBMBAJMXBO-MRXNPFEDSA-N 0 1 321.852 3.069 20 30 DGEDMN N#CC(C(=O)CC1CCC1)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000173979552 659407567 /nfs/dbraw/zinc/40/75/67/659407567.db2.gz CBIPUKZQYGPLCH-NSHDSACASA-N 0 1 308.740 3.317 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3csc(Cl)c3)CCC[C@@H]12 ZINC000992296630 659515729 /nfs/dbraw/zinc/51/57/29/659515729.db2.gz IPGSYSVHOUUSSK-CZUORRHYSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C(C)(C)C)c3)CCC[C@H]12 ZINC000992369438 659535008 /nfs/dbraw/zinc/53/50/08/659535008.db2.gz QOCHYLVSNRZGDD-RXVVDRJESA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C4CCC4)c3)CCC[C@@H]12 ZINC000992420541 659545560 /nfs/dbraw/zinc/54/55/60/659545560.db2.gz WCEKCOMJCIMDNW-CTNGQTDRSA-N 0 1 322.452 3.314 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccc(Cl)s3)CCC[C@@H]12 ZINC000992484250 659561516 /nfs/dbraw/zinc/56/15/16/659561516.db2.gz DXHKHEIXDAFLSY-CZUORRHYSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3ccc(Cl)s3)CCC[C@H]12 ZINC000992482912 659561677 /nfs/dbraw/zinc/56/16/77/659561677.db2.gz CVBXMSXYXCTLNL-WFASDCNBSA-N 0 1 310.850 3.314 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3CCCC(C)(C)C3)CCC[C@H]12 ZINC000992515934 659568718 /nfs/dbraw/zinc/56/87/18/659568718.db2.gz MYJDMYSEOXYNTG-FUHIMQAGSA-N 0 1 316.489 3.339 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)noc2CCC)[C@@H]1C ZINC000993360786 659720851 /nfs/dbraw/zinc/72/08/51/659720851.db2.gz SLZXGWBRJNWQOB-GJZGRUSLSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3nccc(C)c3c2)[C@@H]1C ZINC000993367809 659722378 /nfs/dbraw/zinc/72/23/78/659722378.db2.gz CEKZVNPMJAOGBW-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccccc2C(C)C)[C@H]1C ZINC000993411790 659729928 /nfs/dbraw/zinc/72/99/28/659729928.db2.gz ITDIVQDJPNYWBL-APWZRJJASA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C)(C)C2CCCC2)[C@@H]1C ZINC000993442084 659741391 /nfs/dbraw/zinc/74/13/91/659741391.db2.gz IZCOHPRBRBMOLH-RDJZCZTQSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)[C@@H]1C ZINC000993445445 659744118 /nfs/dbraw/zinc/74/41/18/659744118.db2.gz KJIBUXWKKULBHI-NDHMYGHJSA-N 0 1 316.489 3.214 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCCC3)[C@H]1C ZINC000993486265 659753364 /nfs/dbraw/zinc/75/33/64/659753364.db2.gz JOGRUSZYQIGFDY-OXQOHEQNSA-N 0 1 324.468 3.171 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3ccc(CF)cc3)C2)C1 ZINC001015672449 659758965 /nfs/dbraw/zinc/75/89/65/659758965.db2.gz BYSORNYNENDWME-KRWDZBQOSA-N 0 1 316.420 3.203 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@@H]1C ZINC000993563506 659763069 /nfs/dbraw/zinc/76/30/69/659763069.db2.gz GFKSEWKWFJMQLT-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2CCCCCCC2)[C@@H]1C ZINC000993564589 659763567 /nfs/dbraw/zinc/76/35/67/659763567.db2.gz CTOOFXMVHQURGR-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)cc(C)cc2F)[C@@H]1C ZINC000993569068 659764120 /nfs/dbraw/zinc/76/41/20/659764120.db2.gz ZTXLMLJZRGBRGR-BBRMVZONSA-N 0 1 322.399 3.432 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@@H]1C ZINC000993618203 659767677 /nfs/dbraw/zinc/76/76/77/659767677.db2.gz DPQRWYZDDSRGCJ-KXBFYZLASA-N 0 1 310.441 3.088 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2csc3ccccc23)[C@@H]1C ZINC000993656928 659775974 /nfs/dbraw/zinc/77/59/74/659775974.db2.gz QDBFVYAHGFKEFR-BBRMVZONSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@H]1C ZINC000993660612 659777594 /nfs/dbraw/zinc/77/75/94/659777594.db2.gz LWLIGRZXMABLBC-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(F)c2F)[C@@H]1C ZINC000993712523 659779143 /nfs/dbraw/zinc/77/91/43/659779143.db2.gz INANGXGRIQHCEV-SWLSCSKDSA-N 0 1 308.372 3.124 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)cccc2Cl)[C@H]1C ZINC000993770743 659789713 /nfs/dbraw/zinc/78/97/13/659789713.db2.gz FMYGLLQLMPDWOR-ZBFHGGJFSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3occc3s2)[C@H]1C ZINC000993805354 659792023 /nfs/dbraw/zinc/79/20/23/659792023.db2.gz MUTDSDPPEBEYJY-OLZOCXBDSA-N 0 1 316.426 3.100 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2CCCCCC2)[C@@H]1C ZINC000993883410 659796782 /nfs/dbraw/zinc/79/67/82/659796782.db2.gz CORAROHGFQECHN-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OCC)c(C)c2)[C@@H]1C ZINC000993929292 659800073 /nfs/dbraw/zinc/80/00/73/659800073.db2.gz OSRWMKRCCOGZSE-DOTOQJQBSA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(C3CC3)nc2C)[C@@H]1C ZINC000993966451 659804312 /nfs/dbraw/zinc/80/43/12/659804312.db2.gz WMOZXZMYQZTUHK-ZFWWWQNUSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C)c2Cl)[C@H]1C ZINC000994025841 659809174 /nfs/dbraw/zinc/80/91/74/659809174.db2.gz WFDBZKCZWNVXNR-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@@H]1C ZINC000994069018 659811618 /nfs/dbraw/zinc/81/16/18/659811618.db2.gz IRSNCYGRXBMXCP-YJBOKZPZSA-N 0 1 312.457 3.200 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1C ZINC000994096060 659812033 /nfs/dbraw/zinc/81/20/33/659812033.db2.gz UOXVWMAKWQSSQJ-NCXUSEDFSA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc(C3CCCC3)n2)[C@H]1C ZINC000994132532 659813837 /nfs/dbraw/zinc/81/38/37/659813837.db2.gz HBMKXNVPCSNFPX-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cc3c(C)cccn3c2)[C@@H]1C ZINC000994216661 659820735 /nfs/dbraw/zinc/82/07/35/659820735.db2.gz WJURJMVNXRPUGH-DOTOQJQBSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(C)cccn3c2)[C@@H]1C ZINC000994216661 659820740 /nfs/dbraw/zinc/82/07/40/659820740.db2.gz WJURJMVNXRPUGH-DOTOQJQBSA-N 0 1 311.429 3.016 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C(F)F)c2)[C@@H]1C ZINC000994251592 659825066 /nfs/dbraw/zinc/82/50/66/659825066.db2.gz NGEHBLNIFRMERR-BBRMVZONSA-N 0 1 320.383 3.230 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@H]1C ZINC000994294119 659834975 /nfs/dbraw/zinc/83/49/75/659834975.db2.gz DIFJKXUSPWJZTE-CHWSQXEVSA-N 0 1 317.458 3.388 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ncsc3c2)[C@H]1C ZINC000994324555 659837828 /nfs/dbraw/zinc/83/78/28/659837828.db2.gz BVBUYFJFCIRFRZ-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC(C)C)cc2)[C@H]1C ZINC000994340363 659840014 /nfs/dbraw/zinc/84/00/14/659840014.db2.gz UFFNFPBQFMPVIX-QAPCUYQASA-N 0 1 316.445 3.243 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2OC)[C@@H]1C ZINC000994341415 659842330 /nfs/dbraw/zinc/84/23/30/659842330.db2.gz WXFPDOIZRYQMAL-WFASDCNBSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(Cl)s2)[C@@H]1C ZINC000994354739 659843730 /nfs/dbraw/zinc/84/37/30/659843730.db2.gz VKWIQGGDNZFDMP-NWDGAFQWSA-N 0 1 310.850 3.008 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1C ZINC000994367986 659845652 /nfs/dbraw/zinc/84/56/52/659845652.db2.gz VAXZLVTXNUONQZ-CABCVRRESA-N 0 1 318.486 3.225 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC000994380463 659848845 /nfs/dbraw/zinc/84/88/45/659848845.db2.gz RXKZYBQTIFDDIR-UKRRQHHQSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@H]1C ZINC000994497116 659862450 /nfs/dbraw/zinc/86/24/50/659862450.db2.gz QGZUBDRYBVINGN-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2CCC(C)(C)CC2)[C@@H]1C ZINC000994500233 659863560 /nfs/dbraw/zinc/86/35/60/659863560.db2.gz XGHROMPJBRAEQR-DOTOQJQBSA-N 0 1 304.478 3.195 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000237701972 659936911 /nfs/dbraw/zinc/93/69/11/659936911.db2.gz NELTVXCHZSTUIN-ZDUSSCGKSA-N 0 1 311.341 3.175 20 30 DGEDMN Cc1nc(-c2ccco2)ccc1C(=O)Nc1cc(C#N)ccc1O ZINC000179740646 659940423 /nfs/dbraw/zinc/94/04/23/659940423.db2.gz SHADORABZUZDOF-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001031761871 659972645 /nfs/dbraw/zinc/97/26/45/659972645.db2.gz FUHGMHSALJUINM-RTBURBONSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@]3(C)CC)C2)CC1 ZINC001040901248 659992159 /nfs/dbraw/zinc/99/21/59/659992159.db2.gz ISRNIQSWKPRJEL-RDJZCZTQSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(CC)c(CC)o2)C[C@H]1C ZINC000939552655 660003559 /nfs/dbraw/zinc/00/35/59/660003559.db2.gz ZYPDKIVCTLNJFT-BXUZGUMPSA-N 0 1 324.852 3.207 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2csc(C)c2C)C(C)(C)C1 ZINC000940771230 660063764 /nfs/dbraw/zinc/06/37/64/660063764.db2.gz LONBYRJVCLHOIL-INIZCTEOSA-N 0 1 318.486 3.219 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000940800654 660064471 /nfs/dbraw/zinc/06/44/71/660064471.db2.gz CURRZLKJYBENQO-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3[nH]ccc32)C(C)(C)C1 ZINC000941050112 660082196 /nfs/dbraw/zinc/08/21/96/660082196.db2.gz NZMNWEMQFUNSLP-SFHVURJKSA-N 0 1 323.440 3.022 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccsc2Cl)C(C)(C)C1 ZINC000941075939 660083025 /nfs/dbraw/zinc/08/30/25/660083025.db2.gz QUQNUZVVQFWFGX-ZDUSSCGKSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C(C)(C)C1 ZINC000941058517 660083194 /nfs/dbraw/zinc/08/31/94/660083194.db2.gz NVAALDICNQAGPX-XWTMOSNGSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccc(OCC)c2)C(C)(C)C1 ZINC000941064445 660083484 /nfs/dbraw/zinc/08/34/84/660083484.db2.gz OZDDNSGQLFQOFW-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941163675 660090010 /nfs/dbraw/zinc/09/00/10/660090010.db2.gz OBQYIFUKGCRNRG-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2oc(CC)cc2C)C(C)(C)C1 ZINC000941163627 660090096 /nfs/dbraw/zinc/09/00/96/660090096.db2.gz NPAIMTVNGHCMOG-INIZCTEOSA-N 0 1 316.445 3.004 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)Cc2cc(C)ccc2F)C(C)(C)C1 ZINC000941174918 660091341 /nfs/dbraw/zinc/09/13/41/660091341.db2.gz NFJDYOGIDRLWRA-QGZVFWFLSA-N 0 1 318.436 3.079 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2sccc2C2CC2)C(C)(C)C1 ZINC000941199839 660094310 /nfs/dbraw/zinc/09/43/10/660094310.db2.gz SIKRMYRIIQQTGR-HNNXBMFYSA-N 0 1 316.470 3.089 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)c(CC)s2)C(C)(C)C1 ZINC000941204988 660094586 /nfs/dbraw/zinc/09/45/86/660094586.db2.gz ZVZDXKIHWADMNT-MRXNPFEDSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)c2cccc(F)c2)C(C)(C)C1 ZINC000941229462 660096810 /nfs/dbraw/zinc/09/68/10/660096810.db2.gz AMGIFKCMYFFLOY-WMLDXEAASA-N 0 1 318.436 3.332 20 30 DGEDMN CC[C@@H](C)Sc1nc(-c2ccc(OC)cc2)c(C#N)c(=O)[nH]1 ZINC000071948175 660157951 /nfs/dbraw/zinc/15/79/51/660157951.db2.gz CUWQZSFZCXZNCZ-SNVBAGLBSA-N 0 1 315.398 3.208 20 30 DGEDMN Cc1nc(C2(NCC3CCC(C#N)CC3)CCCCC2)no1 ZINC000929890894 661347735 /nfs/dbraw/zinc/34/77/35/661347735.db2.gz APLCUZRBFFRBAQ-UHFFFAOYSA-N 0 1 302.422 3.457 20 30 DGEDMN Cc1cccc(C)c1OC[C@@H](NC[C@H](O)CC#N)c1ccccc1 ZINC000930230018 661380219 /nfs/dbraw/zinc/38/02/19/661380219.db2.gz FQABKEROMAYQHG-RTBURBONSA-N 0 1 324.424 3.288 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H](c3ccc([N+](=O)[O-])cc3)C2)c1 ZINC000930638403 661417616 /nfs/dbraw/zinc/41/76/16/661417616.db2.gz NSYHUFYUPRKXMK-QGZVFWFLSA-N 0 1 322.368 3.241 20 30 DGEDMN N#Cc1cncc(CN[C@H](c2ccccc2)C2(CO)CCCC2)c1 ZINC000930681139 661421374 /nfs/dbraw/zinc/42/13/74/661421374.db2.gz JWDIBHVFOJGHGO-LJQANCHMSA-N 0 1 321.424 3.337 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2cc(Cl)cc3ccccc32)C1 ZINC000930818692 661432428 /nfs/dbraw/zinc/43/24/28/661432428.db2.gz LLXATFXAVYTVLW-KRWDZBQOSA-N 0 1 300.789 3.344 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2c(Cl)ccc(C)c2C)CC1 ZINC000931090050 661451110 /nfs/dbraw/zinc/45/11/10/661451110.db2.gz GSNLVKIJHKZOCM-UHFFFAOYSA-N 0 1 319.836 3.176 20 30 DGEDMN N#Cc1cnccc1CN1CCC[C@@H](c2c[nH]c3ncccc23)C1 ZINC000931375814 661472311 /nfs/dbraw/zinc/47/23/11/661472311.db2.gz LQOKYUOUJMOQHI-OAHLLOKOSA-N 0 1 317.396 3.209 20 30 DGEDMN CC[C@H](NCc1cncc(C#N)c1)c1cccc(OC)c1OC ZINC000931532918 661485414 /nfs/dbraw/zinc/48/54/14/661485414.db2.gz SJMMWIIBQUHPFS-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN Cc1csc(C[C@@H]2CCCN(Cc3cncc(C#N)c3)C2)n1 ZINC000931750486 661505389 /nfs/dbraw/zinc/50/53/89/661505389.db2.gz PDZMDVNVAFLMNR-AWEZNQCLSA-N 0 1 312.442 3.173 20 30 DGEDMN N#Cc1ccc(F)cc1CN1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000931904754 661519796 /nfs/dbraw/zinc/51/97/96/661519796.db2.gz XDJRSQFBJDHTEF-QUCCMNQESA-N 0 1 322.383 3.097 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)Nc2ccc(CCC#N)cc2)C[C@H]1C ZINC000932569769 661581351 /nfs/dbraw/zinc/58/13/51/661581351.db2.gz FTNCRAJUWRDTCI-HUUCEWRRSA-N 0 1 314.433 3.089 20 30 DGEDMN N#CCCc1ccc(NC(=O)N2CCC(c3cnc[nH]3)CC2)cc1 ZINC000932573626 661581773 /nfs/dbraw/zinc/58/17/73/661581773.db2.gz BOKSMLUCLDNSIB-UHFFFAOYSA-N 0 1 323.400 3.277 20 30 DGEDMN COc1ccc([C@H](C)NCC(=O)Nc2cccc(C#N)c2)cc1C ZINC000932575141 661582115 /nfs/dbraw/zinc/58/21/15/661582115.db2.gz VFXSFQCMPHVMEC-AWEZNQCLSA-N 0 1 323.396 3.165 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(CC2CCC(C#N)CC2)[C@H]2C[C@H]21 ZINC000932730261 661593887 /nfs/dbraw/zinc/59/38/87/661593887.db2.gz OBJQDQSPMZAMLA-SSHXOBKSSA-N 0 1 319.449 3.010 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H](C2CCOCC2)C1 ZINC000933076820 661615899 /nfs/dbraw/zinc/61/58/99/661615899.db2.gz BRCIEXASOZKKMM-MRXNPFEDSA-N 0 1 302.393 3.336 20 30 DGEDMN C#Cc1ccc(NC(=O)N(CCC)[C@@H]2CC[N@@H+](C)C2)c(Cl)c1 ZINC000933361483 661630156 /nfs/dbraw/zinc/63/01/56/661630156.db2.gz YHDRPLBLBSXBNH-CQSZACIVSA-N 0 1 319.836 3.269 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2ccncc2)C2CC2)c([N+](=O)[O-])c1 ZINC000934701042 661727430 /nfs/dbraw/zinc/72/74/30/661727430.db2.gz JZBMZGHVBZEMEB-KRWDZBQOSA-N 0 1 308.341 3.102 20 30 DGEDMN C[C@]12CSC[C@H]1CN(Cc1cc(Cl)ccc1OCC#N)C2 ZINC000934776782 661733560 /nfs/dbraw/zinc/73/35/60/661733560.db2.gz JLEJNEMHCQFJOM-CJNGLKHVSA-N 0 1 322.861 3.427 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@H+]1CCCC[C@H]([C@H]2CCOC2)C1 ZINC000934837664 661739422 /nfs/dbraw/zinc/73/94/22/661739422.db2.gz VHFQNBAGTXYNMN-HOCLYGCPSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@@H](C#N)CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)C1CC1 ZINC000935068841 661758132 /nfs/dbraw/zinc/75/81/32/661758132.db2.gz JCVQIZHTCBCYSW-VIFPVBQESA-N 0 1 318.327 3.265 20 30 DGEDMN CN(C)c1ccc([C@@H]2C[C@H]2C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000935108210 661760910 /nfs/dbraw/zinc/76/09/10/661760910.db2.gz NSUFCTGOVCCFHD-JKSUJKDBSA-N 0 1 321.380 3.072 20 30 DGEDMN C[C@@]1(C#N)CCCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)C1 ZINC000935350043 661779333 /nfs/dbraw/zinc/77/93/33/661779333.db2.gz GHVOCHSEQPEAIR-INIZCTEOSA-N 0 1 318.327 3.266 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)c1ccc(CN2CCCCC2)o1 ZINC000935696351 661802938 /nfs/dbraw/zinc/80/29/38/661802938.db2.gz GNKLRZWRGOKYER-HNNXBMFYSA-N 0 1 302.418 3.446 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@H](C3N=NC(=O)O3)C2)CCCCC1 ZINC000935794303 661811493 /nfs/dbraw/zinc/81/14/93/661811493.db2.gz OKGCZLWRZUEGTM-ZDUSSCGKSA-N 0 1 319.405 3.008 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)CCCCC1 ZINC000935794303 661811495 /nfs/dbraw/zinc/81/14/95/661811495.db2.gz OKGCZLWRZUEGTM-ZDUSSCGKSA-N 0 1 319.405 3.008 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1ccc(F)cc1OCC#N ZINC000159464617 661888519 /nfs/dbraw/zinc/88/85/19/661888519.db2.gz KPDZPORYKRZEMH-UHFFFAOYSA-N 0 1 321.396 3.179 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(OC(F)(F)F)c2)c1 ZINC000159863320 661896986 /nfs/dbraw/zinc/89/69/86/661896986.db2.gz FYXBTIMSMHNBQZ-UHFFFAOYSA-N 0 1 322.242 3.415 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C[C@H]1C ZINC000947559596 661916423 /nfs/dbraw/zinc/91/64/23/661916423.db2.gz KNTADADFQYNVHZ-CHWSQXEVSA-N 0 1 322.811 3.003 20 30 DGEDMN C#CCN(CCOCC(F)(F)C(F)F)Cc1ccc(F)cc1 ZINC000188914381 661953238 /nfs/dbraw/zinc/95/32/38/661953238.db2.gz NUUKTCNEEUIOJR-UHFFFAOYSA-N 0 1 321.289 3.178 20 30 DGEDMN CN(C(=O)CC1CC1)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948135446 661954148 /nfs/dbraw/zinc/95/41/48/661954148.db2.gz CORDTVMLTMGETF-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2CC=CCC2)CC1 ZINC000948181554 661957746 /nfs/dbraw/zinc/95/77/46/661957746.db2.gz OFELVVAXADJTRJ-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN CC(C)C(=O)N(C)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948205811 661959592 /nfs/dbraw/zinc/95/95/92/661959592.db2.gz NQOBTABQIGCZQF-IBGZPJMESA-N 0 1 312.457 3.007 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2cc[nH]c2C)CC1 ZINC000948281278 661965440 /nfs/dbraw/zinc/96/54/40/661965440.db2.gz HPHIZPQEYROUTN-CQSZACIVSA-N 0 1 309.841 3.002 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2(F)CCCC2)CC1 ZINC000948569916 661983616 /nfs/dbraw/zinc/98/36/16/661983616.db2.gz FXAWXJLQXPZMHG-CQSZACIVSA-N 0 1 316.848 3.334 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CC=C(C)CC2)CC1 ZINC001006433814 661987811 /nfs/dbraw/zinc/98/78/11/661987811.db2.gz GEYFNJJYVRGDBU-LJQANCHMSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)c2ccccc2C)CC1 ZINC001006435320 661993861 /nfs/dbraw/zinc/99/38/61/661993861.db2.gz NEYSUUXEOLPTQC-QGZVFWFLSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1cc(C2CC2)n[nH]1 ZINC000189906814 661996321 /nfs/dbraw/zinc/99/63/21/661996321.db2.gz JZMRKNIZKUTCKR-UHFFFAOYSA-N 0 1 311.385 3.437 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2cc(C)[nH]c2C)CC1 ZINC000948816463 662000611 /nfs/dbraw/zinc/00/06/11/662000611.db2.gz HAHJNKNBHQCACS-OAHLLOKOSA-N 0 1 323.868 3.311 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)C1CCCCCCC1 ZINC000076825922 662001033 /nfs/dbraw/zinc/00/10/33/662001033.db2.gz JFWRBFWBXVOJSD-QGZVFWFLSA-N 0 1 312.413 3.372 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC1(C)C ZINC000948906851 662006945 /nfs/dbraw/zinc/00/69/45/662006945.db2.gz SIYUTZXZFHZRRS-MSOLQXFVSA-N 0 1 312.457 3.324 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1coc(C)c1 ZINC000948910304 662007345 /nfs/dbraw/zinc/00/73/45/662007345.db2.gz NNWHOJQPTXXLGR-LJQANCHMSA-N 0 1 324.424 3.493 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(C)cc2Cl)CC1 ZINC000948924049 662008180 /nfs/dbraw/zinc/00/81/80/662008180.db2.gz CBLUEZPHAVKERE-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC12CC2 ZINC000948965979 662010129 /nfs/dbraw/zinc/01/01/29/662010129.db2.gz SWIHMOAKQJZWFQ-QZTJIDSGSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000949029744 662011109 /nfs/dbraw/zinc/01/11/09/662011109.db2.gz OYYJAUQKARLVNC-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCCN1CCN(C(=O)c2csc3ccccc23)CC1 ZINC000949532515 662017948 /nfs/dbraw/zinc/01/79/48/662017948.db2.gz OWATYNDFEXYTLQ-UHFFFAOYSA-N 0 1 300.427 3.235 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccn(C(C)C)c2C)CC1 ZINC000949793728 662030553 /nfs/dbraw/zinc/03/05/53/662030553.db2.gz YDBLOWXPYTXTNG-UHFFFAOYSA-N 0 1 303.450 3.101 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C)c(Cl)c2)CC1 ZINC000950004162 662041212 /nfs/dbraw/zinc/04/12/12/662041212.db2.gz GUXQLLVJGJDMLO-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(C)cccc2Cl)CC1 ZINC000950018510 662041886 /nfs/dbraw/zinc/04/18/86/662041886.db2.gz JUDXDGSNCYAASE-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C1CCC(C(=O)N2CCN(CCC3CCCC3)CC2)CC1 ZINC000950047410 662042974 /nfs/dbraw/zinc/04/29/74/662042974.db2.gz VVFAGRHYAOUJMH-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](Cc2ccccc2)C(C)C)CC1 ZINC000950470661 662068364 /nfs/dbraw/zinc/06/83/64/662068364.db2.gz OCAGMIVPCCGBCO-IBGZPJMESA-N 0 1 314.473 3.222 20 30 DGEDMN C=CCn1cccc1C(=O)N(CC)C1CN(CCC(C)(C)C)C1 ZINC000950512623 662069374 /nfs/dbraw/zinc/06/93/74/662069374.db2.gz RNJNDLREAXDPQQ-UHFFFAOYSA-N 0 1 317.477 3.257 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC001006458313 662071107 /nfs/dbraw/zinc/07/11/07/662071107.db2.gz KMJPGCGCYAUHPB-HXUWFJFHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCOc1ccccc1C(=O)N(CC)C1CN(CC(C)C)C1 ZINC000950645123 662076838 /nfs/dbraw/zinc/07/68/38/662076838.db2.gz HOQKNGRVGHEEII-UHFFFAOYSA-N 0 1 316.445 3.054 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000192055412 662086741 /nfs/dbraw/zinc/08/67/41/662086741.db2.gz IEQIGOAJOHDFIC-UHFFFAOYSA-N 0 1 320.436 3.288 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2CC(C(C)(C)C)C2)CC1 ZINC001006462752 662086959 /nfs/dbraw/zinc/08/69/59/662086959.db2.gz LWUMWQMCDZSPTI-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CC3CC(C)(C)C3)C2)cc1 ZINC000951117508 662113909 /nfs/dbraw/zinc/11/39/09/662113909.db2.gz VPNONQHLPHKCJR-UHFFFAOYSA-N 0 1 324.468 3.250 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CCC(C)(C)C)C2)cc1 ZINC000951117228 662114183 /nfs/dbraw/zinc/11/41/83/662114183.db2.gz JAFJEMYSOKMYQA-UHFFFAOYSA-N 0 1 312.457 3.250 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)CC1 ZINC000951627992 662143543 /nfs/dbraw/zinc/14/35/43/662143543.db2.gz DYHPSHQQBWSJSV-QUCCMNQESA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC000951738774 662149652 /nfs/dbraw/zinc/14/96/52/662149652.db2.gz XOZXFBJPXRRLCC-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(C)c2Cl)CC1 ZINC000952323236 662182138 /nfs/dbraw/zinc/18/21/38/662182138.db2.gz FHVRGRPZMJIGNU-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc[nH]c2C(C)C)[C@@H]1C ZINC000986688775 685349473 /nfs/dbraw/zinc/34/94/73/685349473.db2.gz DEJNQVWQDPVZFS-OCCSQVGLSA-N 0 1 309.841 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C(C)C)cn2)C1 ZINC001000189541 685361577 /nfs/dbraw/zinc/36/15/77/685361577.db2.gz DFVOAFXKWFGSLR-OAHLLOKOSA-N 0 1 321.852 3.152 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3scnc32)CC[C@H]1C ZINC001071509015 686672028 /nfs/dbraw/zinc/67/20/28/686672028.db2.gz WKUOIPZHDSDBCE-CHWSQXEVSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3c2CCCC3)CC[C@H]1C ZINC001071531232 686681166 /nfs/dbraw/zinc/68/11/66/686681166.db2.gz IWZALKASBYVTBZ-NVXWUHKLSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(OCCC)c2)CC[C@H]1C ZINC001071543492 686684491 /nfs/dbraw/zinc/68/44/91/686684491.db2.gz LUIDQMXWYACURP-NVXWUHKLSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)CC[C@H]1C ZINC001071561766 686689102 /nfs/dbraw/zinc/68/91/02/686689102.db2.gz URNVVGUGAIETCN-ZBFHGGJFSA-N 0 1 316.445 3.317 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)C2CCCC2)CC[C@@H]1C ZINC001071575497 686693123 /nfs/dbraw/zinc/69/31/23/686693123.db2.gz WIRHPRZPBXWNRY-RDJZCZTQSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC[C@@H]1C ZINC001071582802 686694832 /nfs/dbraw/zinc/69/48/32/686694832.db2.gz AQERAIXORYCZNW-WNHJNPCNSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@H]1C ZINC001071583440 686694971 /nfs/dbraw/zinc/69/49/71/686694971.db2.gz IHURHUZYOKEEEN-OLZOCXBDSA-N 0 1 324.371 3.132 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@H]1C ZINC001071594428 686697505 /nfs/dbraw/zinc/69/75/05/686697505.db2.gz QSJKSXOCJHXGCE-OLZOCXBDSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)cc(OC)c2C)CC[C@@H]1C ZINC001071647245 686711489 /nfs/dbraw/zinc/71/14/89/686711489.db2.gz FSDRMIFVPULUIP-HOCLYGCPSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2CCC)CC[C@H]1C ZINC001071674310 686720722 /nfs/dbraw/zinc/72/07/22/686720722.db2.gz RIYZKSFGBFVSTM-WBVHZDCISA-N 0 1 300.446 3.408 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@H]1C ZINC001071701397 686726908 /nfs/dbraw/zinc/72/69/08/686726908.db2.gz IEZVGPNKEYNFLL-SJLPKXTDSA-N 0 1 324.468 3.478 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@@H]1C ZINC001071701398 686727405 /nfs/dbraw/zinc/72/74/05/686727405.db2.gz IEZVGPNKEYNFLL-WMZOPIPTSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(F)ccc3[nH]2)CC[C@H]1C ZINC001071727708 686734211 /nfs/dbraw/zinc/73/42/11/686734211.db2.gz CDLTYVSAQXSLRF-IUODEOHRSA-N 0 1 315.392 3.076 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2cccs2)CC[C@H]1C ZINC001071741496 686739437 /nfs/dbraw/zinc/73/94/37/686739437.db2.gz HMAIBXGNGVOFAO-KGLIPLIRSA-N 0 1 306.475 3.181 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@H]1C ZINC001071792247 686754735 /nfs/dbraw/zinc/75/47/35/686754735.db2.gz GNSVOKWIPYKINH-GDBMZVCRSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cccc2Cl)CC[C@H]1C ZINC001071798789 686757525 /nfs/dbraw/zinc/75/75/25/686757525.db2.gz CAXXTSQIRZJWCA-HUUCEWRRSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(F)c3ccccc23)CC[C@@H]1C ZINC001071835644 686764978 /nfs/dbraw/zinc/76/49/78/686764978.db2.gz OOMBTQZDJPFDRS-LSDHHAIUSA-N 0 1 324.399 3.195 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@H]1C ZINC001071845118 686769646 /nfs/dbraw/zinc/76/96/46/686769646.db2.gz XGRXHSNWCJBZPK-WBMJQRKESA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(C(C)(C)C)n2)CC[C@@H]1C ZINC001071892992 686784748 /nfs/dbraw/zinc/78/47/48/686784748.db2.gz JWIVEXBDMSWDNM-QWHCGFSZSA-N 0 1 321.490 3.209 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)CC[C@@H]1C ZINC001071920934 686791180 /nfs/dbraw/zinc/79/11/80/686791180.db2.gz ZDNFIGFVQYVRJR-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)CC[C@H]1C ZINC001071920933 686791212 /nfs/dbraw/zinc/79/12/12/686791212.db2.gz ZDNFIGFVQYVRJR-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2CCC)CC[C@@H]1C ZINC001071982655 686803596 /nfs/dbraw/zinc/80/35/96/686803596.db2.gz OKJXDXJPPADMPV-LSDHHAIUSA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(CC)cc2C)CC[C@H]1C ZINC001071991149 686807350 /nfs/dbraw/zinc/80/73/50/686807350.db2.gz DFQVIHUIBYOWMC-HUUCEWRRSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@@H]1C ZINC001071998307 686810507 /nfs/dbraw/zinc/81/05/07/686810507.db2.gz IIKFTKUFYWESLT-HNAYVOBHSA-N 0 1 310.441 3.415 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C3CC3)s2)CC[C@@H]1C ZINC001072008348 686814370 /nfs/dbraw/zinc/81/43/70/686814370.db2.gz FABSVHCURPEWIE-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2C2CC2)CC[C@@H]1C ZINC001072046151 686841640 /nfs/dbraw/zinc/84/16/40/686841640.db2.gz VPJPJWJKIBWUNX-ZFWWWQNUSA-N 0 1 316.470 3.232 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(F)cc2Cl)CC[C@H]1C ZINC001072045210 686842030 /nfs/dbraw/zinc/84/20/30/686842030.db2.gz PMTKLOJIDHHSTI-TZMCWYRMSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3ccccc3o2)CC[C@@H]1C ZINC001072047090 686843201 /nfs/dbraw/zinc/84/32/01/686843201.db2.gz ABCMGFWKKWQQPD-HOCLYGCPSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2oc3ccccc3c2C)CC[C@H]1C ZINC001072047703 686843467 /nfs/dbraw/zinc/84/34/67/686843467.db2.gz GADDMJUXECAWOP-ZBFHGGJFSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2)CC[C@H]1C ZINC001072050458 686846356 /nfs/dbraw/zinc/84/63/56/686846356.db2.gz XTWSBXUKIWCZRZ-WBVHZDCISA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(CC)c(C)s2)CC[C@@H]1C ZINC001072055249 686849110 /nfs/dbraw/zinc/84/91/10/686849110.db2.gz RKFKFLBSYQCLQB-SWLSCSKDSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@@H]1C ZINC001072055329 686850225 /nfs/dbraw/zinc/85/02/25/686850225.db2.gz SLRYPTYMKSJJSW-ROUUACIJSA-N 0 1 312.457 3.218 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)CC[C@H]1C ZINC001072061412 686851241 /nfs/dbraw/zinc/85/12/41/686851241.db2.gz CTBLRCUYXBPNSZ-RHSMWYFYSA-N 0 1 317.477 3.455 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@H]1C ZINC001072099545 686865007 /nfs/dbraw/zinc/86/50/07/686865007.db2.gz SIXVLQKFCYYTRV-SJLPKXTDSA-N 0 1 312.457 3.182 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(C(C)C)s2)CC[C@H]1C ZINC001072104653 686867421 /nfs/dbraw/zinc/86/74/21/686867421.db2.gz CPAVIINPNMYBEV-HUUCEWRRSA-N 0 1 318.486 3.478 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCC(C)(C)CC2)CC[C@H]1C ZINC001072104818 686869452 /nfs/dbraw/zinc/86/94/52/686869452.db2.gz DGHUWEITJBGQSW-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN CC(C)(C)CC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001072477382 686921674 /nfs/dbraw/zinc/92/16/74/686921674.db2.gz KBZJFLNJAOOTBL-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC)C3CCC(C)CC3)C2)C1 ZINC001072805916 686963166 /nfs/dbraw/zinc/96/31/66/686963166.db2.gz SYJALIYZXYQFFJ-DAWZGUTISA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)[C@H]1C ZINC001074759971 687292175 /nfs/dbraw/zinc/29/21/75/687292175.db2.gz IZVRZNZMKNDYGH-QMHWVQJVSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](F)c2ccccc2)[C@H]1C ZINC001074922585 687314581 /nfs/dbraw/zinc/31/45/81/687314581.db2.gz XPSBVVLAJUEQQF-QEJZJMRPSA-N 0 1 310.800 3.029 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccc(C4CCCC4)c3)[C@@H]2C1 ZINC001076460821 687525070 /nfs/dbraw/zinc/52/50/70/687525070.db2.gz DPHPYGGRVNPXGZ-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cccc(C)c3s2)C1 ZINC001079989213 687909791 /nfs/dbraw/zinc/90/97/91/687909791.db2.gz MBTXDLCMRXNUTL-UKRRQHHQSA-N 0 1 314.454 3.446 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001080036206 687913277 /nfs/dbraw/zinc/91/32/77/687913277.db2.gz NEMYNLXDTNPNGH-MWLCHTKSSA-N 0 1 318.364 3.003 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001080168918 687926149 /nfs/dbraw/zinc/92/61/49/687926149.db2.gz DQUHXTJWRORZBA-MLGOLLRUSA-N 0 1 314.429 3.271 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001080253494 687936073 /nfs/dbraw/zinc/93/60/73/687936073.db2.gz DLRDFLJPAOEOCJ-RHSMWYFYSA-N 0 1 318.848 3.030 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCC(F)(F)F)C[C@H]2C)CC1 ZINC001080391403 687969832 /nfs/dbraw/zinc/96/98/32/687969832.db2.gz CDZNYHKTHZQXMG-TZMCWYRMSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3ccccc3F)C[C@H]2C)C1 ZINC001080404619 687972522 /nfs/dbraw/zinc/97/25/22/687972522.db2.gz QVWNERPKZDXZLY-RHSMWYFYSA-N 0 1 316.420 3.119 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC001080583565 687997792 /nfs/dbraw/zinc/99/77/92/687997792.db2.gz KCJYCWPRADGUMT-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001080738579 688009175 /nfs/dbraw/zinc/00/91/75/688009175.db2.gz LQNYVBFZPVFWIN-DNVCBOLYSA-N 0 1 322.408 3.020 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001080892535 688024795 /nfs/dbraw/zinc/02/47/95/688024795.db2.gz DYWNNDLNWTYRKX-UKRRQHHQSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2cccs2)C[C@H]1C ZINC001082735569 688125656 /nfs/dbraw/zinc/12/56/56/688125656.db2.gz HHMJKMICWUCSEX-RAIGVLPGSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2cccc(F)c2)C[C@H]1C ZINC001082931450 688131811 /nfs/dbraw/zinc/13/18/11/688131811.db2.gz CMBAAMHALIMKCV-PVXIVEMSSA-N 0 1 324.827 3.118 20 30 DGEDMN C=C[C@H](C(=O)N1C[C@@H](C)[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001082937058 688132016 /nfs/dbraw/zinc/13/20/16/688132016.db2.gz IZQNTVJUYWTNPB-XOKHGSTOSA-N 0 1 318.848 3.145 20 30 DGEDMN O=C([C@H]1CC[C@H](C2CC2)[NH2+]1)N([O-])Cc1cccc2ccccc21 ZINC001580481125 1192029477 /nfs/dbraw/zinc/02/94/77/1192029477.db2.gz DGZYQBPCBYKRIW-QZTJIDSGSA-N 0 1 310.397 3.088 20 30 DGEDMN C#CCOc1ccc(CN[C@H](COC)c2ccc(F)cc2)cc1 ZINC001620816663 1192136315 /nfs/dbraw/zinc/13/63/15/1192136315.db2.gz QBUCMCUZKJNNDW-LJQANCHMSA-N 0 1 313.372 3.315 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CCC(C)(F)F)C1 ZINC001661996479 1196673280 /nfs/dbraw/zinc/67/32/80/1196673280.db2.gz MUUNPGVFYGPAJI-CHWSQXEVSA-N 0 1 322.827 3.391 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCCC[C@H]2C)o1 ZINC001590255469 1192499552 /nfs/dbraw/zinc/49/95/52/1192499552.db2.gz SXLHNAXCZHDOCI-SNVBAGLBSA-N 0 1 317.397 3.346 20 30 DGEDMN CCCCCCCn1cc(-c2nn[nH]n2)c2ccsc2c1=O ZINC001590594052 1192529779 /nfs/dbraw/zinc/52/97/79/1192529779.db2.gz CEURNNVWNGHEDY-UHFFFAOYSA-N 0 1 317.418 3.214 20 30 DGEDMN CCCCCCCC(C)N=Nc1oc(C)nc1-c1nn[nH]n1 ZINC001590592962 1192530179 /nfs/dbraw/zinc/53/01/79/1192530179.db2.gz QGWJPQJOGFHPRT-UHFFFAOYSA-N 0 1 305.386 3.311 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2ccc(Cl)s2)C1 ZINC001664685469 1197034829 /nfs/dbraw/zinc/03/48/29/1197034829.db2.gz BIHQUHFCDKTJDK-CYBMUJFWSA-N 0 1 324.877 3.351 20 30 DGEDMN COc1cccc2c(CNCc3ccc(C#N)cn3)cccc12 ZINC001620958135 1192654054 /nfs/dbraw/zinc/65/40/54/1192654054.db2.gz CGGRUKBHMGHULM-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCc4cc(F)ccc43)[nH]c2c1 ZINC001595739779 1192668055 /nfs/dbraw/zinc/66/80/55/1192668055.db2.gz BBWCIZZCOCKYIP-CQSZACIVSA-N 0 1 320.327 3.242 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1C[C@@H](OC)C12CCC2 ZINC001620964937 1192681804 /nfs/dbraw/zinc/68/18/04/1192681804.db2.gz LPGMYGRUJXMQNJ-IAGOWNOFSA-N 0 1 319.832 3.399 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC(CC)CC)C1 ZINC001671971961 1176048156 /nfs/dbraw/zinc/04/81/56/1176048156.db2.gz KUHDBQFTZRDMJD-HNNXBMFYSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCC1(C(=O)NCCN(C)Cc2ccsc2)CCCCC1 ZINC001691002655 1176084622 /nfs/dbraw/zinc/08/46/22/1176084622.db2.gz NZDCAQTZNNHBQT-UHFFFAOYSA-N 0 1 318.486 3.270 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CCCCC)C(C)C)C2)C1 ZINC001772517148 1176107537 /nfs/dbraw/zinc/10/75/37/1176107537.db2.gz HBFLMEPHVMPQKR-SFHVURJKSA-N 0 1 318.505 3.397 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(-c2ccccc2)o1 ZINC001691042177 1176206128 /nfs/dbraw/zinc/20/61/28/1176206128.db2.gz FOJLZRKMIZWOJO-UHFFFAOYSA-N 0 1 310.397 3.022 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1CCc1ccc(Cl)cc1 ZINC001670682264 1176215016 /nfs/dbraw/zinc/21/50/16/1176215016.db2.gz NRCBYKZEFMFEOO-KRWDZBQOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001691056021 1176280823 /nfs/dbraw/zinc/28/08/23/1176280823.db2.gz UCLGOBODQJWPJQ-IAGOWNOFSA-N 0 1 315.461 3.074 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC/C=C/c1ccccc1 ZINC001691058034 1176282814 /nfs/dbraw/zinc/28/28/14/1176282814.db2.gz GZTUUZBMVUMHDP-YRVHBARZSA-N 0 1 310.441 3.036 20 30 DGEDMN C=C[C@H](C(=O)N(C)C[C@@H]1CCN1CC=C(C)C)c1ccccc1 ZINC001691074743 1176296530 /nfs/dbraw/zinc/29/65/30/1176296530.db2.gz HIDPARZCVQUMRC-OALUTQOASA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)CN(C)CC(C)(C)C)cc2n1 ZINC001597381633 1192731032 /nfs/dbraw/zinc/73/10/32/1192731032.db2.gz ZSIWEAMUOXJXFH-UHFFFAOYSA-N 0 1 314.433 3.139 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001691106109 1176316248 /nfs/dbraw/zinc/31/62/48/1176316248.db2.gz QXONKDBTVITKGN-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H](C)c1cc(F)ccc1F ZINC001691176800 1176357626 /nfs/dbraw/zinc/35/76/26/1176357626.db2.gz AWUHGUMHCNYJRU-QWHCGFSZSA-N 0 1 323.387 3.014 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H](C)c1cc(F)ccc1F ZINC001691176801 1176358611 /nfs/dbraw/zinc/35/86/11/1176358611.db2.gz AWUHGUMHCNYJRU-STQMWFEESA-N 0 1 323.387 3.014 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1cc(F)ccc1Cl ZINC001665765179 1197190522 /nfs/dbraw/zinc/19/05/22/1197190522.db2.gz IIAICWLOKGZIOI-AWEZNQCLSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CC[N@H+](CCNC(=O)[C@@H]1CCCC[C@H]1C(F)(F)F)C1CC1 ZINC001691212837 1176394872 /nfs/dbraw/zinc/39/48/72/1176394872.db2.gz ASDZLJGAXHFTJR-ZIAGYGMSSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001691228426 1176404116 /nfs/dbraw/zinc/40/41/16/1176404116.db2.gz KWIFSGDUQJRQCD-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN CCCC(=O)NC[C@@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC001691772878 1176434128 /nfs/dbraw/zinc/43/41/28/1176434128.db2.gz RAOUWJWLZJWIDB-LJQANCHMSA-N 0 1 321.424 3.305 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001691363880 1176453407 /nfs/dbraw/zinc/45/34/07/1176453407.db2.gz PDXWWVMRXBVCOC-ZCPGHIKRSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccccc1 ZINC001691414022 1176473927 /nfs/dbraw/zinc/47/39/27/1176473927.db2.gz WYDWGBZENYVVNW-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN CCCC(CCC)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001691450708 1176618396 /nfs/dbraw/zinc/61/83/96/1176618396.db2.gz CWFQQCMACZCYEV-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CCN(C[C@@H](F)CC)CC1 ZINC001752301764 1176721869 /nfs/dbraw/zinc/72/18/69/1176721869.db2.gz SAOUHJQRSDSWDT-INIZCTEOSA-N 0 1 310.457 3.426 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CCN(C[C@H](F)CC)CC1 ZINC001752304668 1176723316 /nfs/dbraw/zinc/72/33/16/1176723316.db2.gz UNWWRMJEODUHHC-MRXNPFEDSA-N 0 1 310.457 3.241 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccoc1CC)C(C)C ZINC001732007674 1176788890 /nfs/dbraw/zinc/78/88/90/1176788890.db2.gz URSZUWYFCHCWPL-UHFFFAOYSA-N 0 1 312.841 3.425 20 30 DGEDMN C[C@H](NC1(CNC(=O)C#CC(C)(C)C)CC1)c1ccccc1F ZINC001670780997 1176837325 /nfs/dbraw/zinc/83/73/25/1176837325.db2.gz BWOUXVGNGUNKQY-AWEZNQCLSA-N 0 1 316.420 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@](C)(F)CCCC)CC1(C)C ZINC001700920555 1177018172 /nfs/dbraw/zinc/01/81/72/1177018172.db2.gz BXZRLMHOTDNONF-CJNGLKHVSA-N 0 1 318.864 3.484 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001752821458 1177201981 /nfs/dbraw/zinc/20/19/81/1177201981.db2.gz MYLCKJCQAWNELG-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001752923856 1177444570 /nfs/dbraw/zinc/44/45/70/1177444570.db2.gz HGLRLZOWNRWPTN-XVWMLYKFSA-N 0 1 306.837 3.245 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C)c(C)o2)C1 ZINC001691849805 1177451365 /nfs/dbraw/zinc/45/13/65/1177451365.db2.gz VMASLOCGKPZLIU-MRXNPFEDSA-N 0 1 304.434 3.399 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001691852502 1177505836 /nfs/dbraw/zinc/50/58/36/1177505836.db2.gz HQINGNBZSSGUNO-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001691651965 1177527351 /nfs/dbraw/zinc/52/73/51/1177527351.db2.gz GMTDSXQTZWULPK-IBGZPJMESA-N 0 1 312.457 3.221 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccccc2-c2ccncc2)CC1 ZINC001687481367 1177540945 /nfs/dbraw/zinc/54/09/45/1177540945.db2.gz DZGLPKNAZVNRHB-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CCCCC ZINC001670968897 1177557526 /nfs/dbraw/zinc/55/75/26/1177557526.db2.gz FCFOCZFBMGDAMM-KSZLIROESA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H](CC)c1ccccc1 ZINC001691669922 1177628168 /nfs/dbraw/zinc/62/81/68/1177628168.db2.gz DHJBWHKLUMCFMN-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccc(F)cc1F ZINC001691678657 1177682005 /nfs/dbraw/zinc/68/20/05/1177682005.db2.gz OSHZSXFYLWYGKZ-ZFWWWQNUSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(c2cccc(C)c2)CC1 ZINC001691679335 1177683059 /nfs/dbraw/zinc/68/30/59/1177683059.db2.gz SVAKFCOZBBKOTQ-GOSISDBHSA-N 0 1 312.457 3.135 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(CC2CCC2)CC1)c1ccccc1 ZINC001113661433 1177726959 /nfs/dbraw/zinc/72/69/59/1177726959.db2.gz TVUDFYZNOLCFIA-LJQANCHMSA-N 0 1 312.457 3.291 20 30 DGEDMN CC1CCC(CN2CC(N(C)C(=O)C#CC(C)(C)C)C2)CC1 ZINC001671128897 1178036484 /nfs/dbraw/zinc/03/64/84/1178036484.db2.gz NHYMOQAZAOXIFL-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)C1 ZINC001671144410 1178047102 /nfs/dbraw/zinc/04/71/02/1178047102.db2.gz HNSMQGJPEPWDOW-RYRKJORJSA-N 0 1 304.478 3.312 20 30 DGEDMN N#CCOc1cccc(CNCc2ccc(C(F)F)nc2)c1 ZINC001331026839 1178116661 /nfs/dbraw/zinc/11/66/61/1178116661.db2.gz GEYLHJHHFAFGQA-UHFFFAOYSA-N 0 1 303.312 3.211 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)[C@H](C)C(=O)NCc1ccco1 ZINC001331326065 1178185732 /nfs/dbraw/zinc/18/57/32/1178185732.db2.gz KTCNEXQANKDUHW-MRXNPFEDSA-N 0 1 312.413 3.015 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@H](C)C(=O)NCc1ccco1 ZINC001331326065 1178185737 /nfs/dbraw/zinc/18/57/37/1178185737.db2.gz KTCNEXQANKDUHW-MRXNPFEDSA-N 0 1 312.413 3.015 20 30 DGEDMN COc1cccc2c1OC(C)(C)CC2=NNc1ccc(C#N)cn1 ZINC001331362194 1178194074 /nfs/dbraw/zinc/19/40/74/1178194074.db2.gz BJPRSRYPJOSLKE-UHFFFAOYSA-N 0 1 322.368 3.339 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001331407524 1178205563 /nfs/dbraw/zinc/20/55/63/1178205563.db2.gz RSKUVSUVYWOJNT-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001331504564 1178228655 /nfs/dbraw/zinc/22/86/55/1178228655.db2.gz PUXNKCOOIAUJSX-BLLLJJGKSA-N 0 1 324.827 3.045 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001331545044 1178241458 /nfs/dbraw/zinc/24/14/58/1178241458.db2.gz DKEGLGZHOOFWNV-BEFAXECRSA-N 0 1 313.445 3.097 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3ccc(C#N)c(F)c3)C2)cc1 ZINC001331565852 1178248998 /nfs/dbraw/zinc/24/89/98/1178248998.db2.gz LATWGPMLENINGC-KRWDZBQOSA-N 0 1 323.371 3.289 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2c(s1)CCC2 ZINC001331629099 1178263692 /nfs/dbraw/zinc/26/36/92/1178263692.db2.gz PHTQBJSCEYKRPF-LLVKDONJSA-N 0 1 312.866 3.039 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cccc(F)c2F)[C@H]1C ZINC001331859713 1178293295 /nfs/dbraw/zinc/29/32/95/1178293295.db2.gz JDYNGXKPMAAATA-DOMZBBRYSA-N 0 1 308.372 3.010 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)[C@H]1C ZINC001332158073 1178371159 /nfs/dbraw/zinc/37/11/59/1178371159.db2.gz JYKWDQSYRQVOLC-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN Cn1cc(CNCc2cccc(OCC#N)c2)c(C2CCCC2)n1 ZINC001332298667 1178412901 /nfs/dbraw/zinc/41/29/01/1178412901.db2.gz ADWUOQPRLAQXGE-UHFFFAOYSA-N 0 1 324.428 3.270 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C(C)(C)C)oc1C)C(C)C ZINC001332732474 1178485102 /nfs/dbraw/zinc/48/51/02/1178485102.db2.gz PFNVEFKZGDEOSR-UHFFFAOYSA-N 0 1 318.461 3.301 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CC2CCC1CC2)C(C)C ZINC001332740424 1178488478 /nfs/dbraw/zinc/48/84/78/1178488478.db2.gz KNNOFTAQHJFMNX-ABHNRTSZSA-N 0 1 304.478 3.005 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CC[C@H](CN2CCC[C@](C)(C#N)C2)C1 ZINC001332839773 1178505042 /nfs/dbraw/zinc/50/50/42/1178505042.db2.gz QEJYMWZOOIEZPR-HDMKZQKVSA-N 0 1 321.465 3.305 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC1(C)CC1)C(C)(C)C ZINC001332882545 1178514601 /nfs/dbraw/zinc/51/46/01/1178514601.db2.gz VBLRSOPJDOCFNG-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)c1nc([C@@H]2CN3CCC2CC3)no1 ZINC001332944110 1178526225 /nfs/dbraw/zinc/52/62/25/1178526225.db2.gz CRPIGEYMWFVXSZ-SUMWQHHRSA-N 0 1 322.412 3.097 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)c1nc([C@@H]2CN3CCC2CC3)no1 ZINC001332944099 1178526314 /nfs/dbraw/zinc/52/63/14/1178526314.db2.gz CRPIGEYMWFVXSZ-CXAGYDPISA-N 0 1 322.412 3.097 20 30 DGEDMN CCCC(=O)N[C@@H](C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001332971589 1178531257 /nfs/dbraw/zinc/53/12/57/1178531257.db2.gz BUXWTCMOZMTLGS-ZDUSSCGKSA-N 0 1 319.474 3.137 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2cccc(C)c2C)c(F)c1 ZINC001333479710 1178611913 /nfs/dbraw/zinc/61/19/13/1178611913.db2.gz NNYJHYCFFISBQW-UHFFFAOYSA-N 0 1 324.399 3.095 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccnn1C1CCC1 ZINC001333597871 1178635717 /nfs/dbraw/zinc/63/57/17/1178635717.db2.gz RVVXRNINUSIJIT-AWEZNQCLSA-N 0 1 310.401 3.361 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C\c2cc(C(=O)[O-])co2)cc1 ZINC001600754157 1192898060 /nfs/dbraw/zinc/89/80/60/1192898060.db2.gz WHQOXWLMFIPXQJ-SREVYHEPSA-N 0 1 322.320 3.368 20 30 DGEDMN CSc1ccc(CNCc2cccnc2N(C)C)cc1C#N ZINC001334034219 1178709886 /nfs/dbraw/zinc/70/98/86/1178709886.db2.gz TZNCCVHWRVLILE-UHFFFAOYSA-N 0 1 312.442 3.031 20 30 DGEDMN Cc1oc(C[NH2+]Cc2ccc(C#N)c(Cl)c2)cc1C(=O)[O-] ZINC001600852124 1192904405 /nfs/dbraw/zinc/90/44/05/1192904405.db2.gz GNODDFSRXMOTFL-UHFFFAOYSA-N 0 1 304.733 3.101 20 30 DGEDMN N#Cc1ccc(N[C@@H]2CCCN(Cc3cccn3C3CC3)C2)nc1 ZINC001334318588 1178748362 /nfs/dbraw/zinc/74/83/62/1178748362.db2.gz MBEMHTZUCNMYPV-MRXNPFEDSA-N 0 1 321.428 3.166 20 30 DGEDMN N#C[C@@H]1CC[C@@H]([N@@H+]2C[C@@H](c3ccc(Cl)cc3)[C@H](C(=O)[O-])C2)C1 ZINC001601059650 1192914872 /nfs/dbraw/zinc/91/48/72/1192914872.db2.gz JDDNRGZZZDUPMI-BQDHKBFISA-N 0 1 318.804 3.132 20 30 DGEDMN N#Cc1ccc(C[N@H+](C[C@H]2CCCC[C@@H]2C(=O)[O-])C2CC2)cc1 ZINC001601097626 1192918879 /nfs/dbraw/zinc/91/88/79/1192918879.db2.gz JIECIZFJDLZSGK-AEFFLSMTSA-N 0 1 312.413 3.414 20 30 DGEDMN N#CCC[C@@H](C#N)CNCCCOc1cccc2cccnc21 ZINC001335407235 1178905727 /nfs/dbraw/zinc/90/57/27/1178905727.db2.gz JRRFOWVCUPMAHN-HNNXBMFYSA-N 0 1 308.385 3.037 20 30 DGEDMN N#Cc1ccc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)cc1F ZINC001601100741 1192919007 /nfs/dbraw/zinc/91/90/07/1192919007.db2.gz WHTTVDNVBOQXKO-ROUUACIJSA-N 0 1 324.355 3.488 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)cc1F ZINC001601100991 1192918752 /nfs/dbraw/zinc/91/87/52/1192918752.db2.gz UPYMFIMWBXHHJW-ZOBUZTSGSA-N 0 1 316.376 3.163 20 30 DGEDMN C=CC[C@@H](C(=O)OCc1ccc2[nH]nnc2c1)c1ccccc1 ZINC001341804462 1179064551 /nfs/dbraw/zinc/06/45/51/1179064551.db2.gz KLTVLHGNRONZSX-OAHLLOKOSA-N 0 1 307.353 3.361 20 30 DGEDMN C=CC[C@@H](C(=O)OCc1ccc2nn[nH]c2c1)c1ccccc1 ZINC001341804462 1179064560 /nfs/dbraw/zinc/06/45/60/1179064560.db2.gz KLTVLHGNRONZSX-OAHLLOKOSA-N 0 1 307.353 3.361 20 30 DGEDMN N#CC(C(=O)[C@H]1CCC=CCCC1)c1nnc2n1CCCCC2 ZINC001342259770 1179123352 /nfs/dbraw/zinc/12/33/52/1179123352.db2.gz QIBNMXFMTUOCGV-GJZGRUSLSA-N 0 1 312.417 3.317 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)C[C@H](N)c1ccccc1OCC ZINC001342453650 1179142447 /nfs/dbraw/zinc/14/24/47/1179142447.db2.gz IKQAQQQIKRYIHI-RDJZCZTQSA-N 0 1 316.445 3.432 20 30 DGEDMN N#CC(C(=O)CC1(C(F)(F)F)CC1)C(=O)Nc1ccccc1 ZINC001342597796 1179158193 /nfs/dbraw/zinc/15/81/93/1179158193.db2.gz OLXMVJCSKOQRKT-LLVKDONJSA-N 0 1 310.275 3.067 20 30 DGEDMN CCCC[C@@H](C(=O)C(C#N)C(=O)Nc1ccccn1)C(C)C ZINC001342632130 1179163755 /nfs/dbraw/zinc/16/37/55/1179163755.db2.gz ZIXHDHIYQXVFCQ-ZIAGYGMSSA-N 0 1 301.390 3.191 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccco2)s1)c1cccc(F)n1 ZINC001342638527 1179164786 /nfs/dbraw/zinc/16/47/86/1179164786.db2.gz CRYUYYFFISPEIY-VIFPVBQESA-N 0 1 313.313 3.427 20 30 DGEDMN Cn1cc(C(=O)C(C#N)c2cccc(F)n2)c(C2CCCC2)n1 ZINC001342958998 1179215983 /nfs/dbraw/zinc/21/59/83/1179215983.db2.gz MFYDPOLVLCEZMZ-LBPRGKRZSA-N 0 1 312.348 3.102 20 30 DGEDMN Cn1cc(C(=O)[C@@H](C#N)c2cccc(F)n2)c(C2CCCC2)n1 ZINC001342958998 1179215991 /nfs/dbraw/zinc/21/59/91/1179215991.db2.gz MFYDPOLVLCEZMZ-LBPRGKRZSA-N 0 1 312.348 3.102 20 30 DGEDMN N#CC(C(=O)c1coc(-c2ccccc2)n1)c1cccc(F)n1 ZINC001343313175 1179254127 /nfs/dbraw/zinc/25/41/27/1179254127.db2.gz VPWYUTLZBUSCKJ-LBPRGKRZSA-N 0 1 307.284 3.366 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCCN1Cc1ccccc1)c1cccc(F)n1 ZINC001343330688 1179256610 /nfs/dbraw/zinc/25/66/10/1179256610.db2.gz JFERZTYPRQNGGI-WBVHZDCISA-N 0 1 323.371 3.062 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)OC(=O)c1ccc2cncn2c1C ZINC001343357875 1179261802 /nfs/dbraw/zinc/26/18/02/1179261802.db2.gz WBJAWRYCNWHPPO-SFHVURJKSA-N 0 1 318.376 3.434 20 30 DGEDMN C=CCN(CCOC)Cc1sc(N2CCCCC2)nc1C ZINC001343595637 1179302007 /nfs/dbraw/zinc/30/20/07/1179302007.db2.gz JKBRUADOHXKTFS-UHFFFAOYSA-N 0 1 309.479 3.076 20 30 DGEDMN C=CC1(CC(=O)C(C#N)C(=O)NC2CCCC2)CCCCC1 ZINC001343642176 1179309707 /nfs/dbraw/zinc/30/97/07/1179309707.db2.gz OZGUQXYEMLXXSI-OAHLLOKOSA-N 0 1 302.418 3.281 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@H]1c1cccc(F)c1F)c1cccc(F)n1 ZINC001344007983 1179364529 /nfs/dbraw/zinc/36/45/29/1179364529.db2.gz SOSIXGIBRJGUQK-SRVKXCTJSA-N 0 1 316.282 3.479 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1sccc1OC(F)F ZINC001344325660 1179414053 /nfs/dbraw/zinc/41/40/53/1179414053.db2.gz BCROKJDZOPKLOW-QMMMGPOBSA-N 0 1 311.313 3.056 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1cnc2ccccc2n1)C(F)(F)F ZINC001344580089 1179453834 /nfs/dbraw/zinc/45/38/34/1179453834.db2.gz ORLZVXVQYDNTEG-SECBINFHSA-N 0 1 307.275 3.395 20 30 DGEDMN O=C([O-])[C@]12CCC[C@H]1[N@@H+](CC#Cc1cccc(Cl)c1)CC2 ZINC001602222773 1192963518 /nfs/dbraw/zinc/96/35/18/1192963518.db2.gz CFYQKBTYSZFTQW-WBVHZDCISA-N 0 1 303.789 3.021 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H](c1cccs1)N(C)C)c1ccccc1 ZINC001345527550 1179570049 /nfs/dbraw/zinc/57/00/49/1179570049.db2.gz TVGSAQVKKGADDD-JKSUJKDBSA-N 0 1 314.454 3.437 20 30 DGEDMN COCC1(C(=O)C(C#N)c2ncc(F)cc2F)CCCCC1 ZINC001345622307 1179581221 /nfs/dbraw/zinc/58/12/21/1179581221.db2.gz XYOBLCUYQZKSTO-GFCCVEGCSA-N 0 1 308.328 3.133 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC001346096816 1179652785 /nfs/dbraw/zinc/65/27/85/1179652785.db2.gz VAVOYAVVSPXCFP-BEFAXECRSA-N 0 1 307.397 3.447 20 30 DGEDMN C=C(CC)C(=O)Nc1ccc(CN2CCSCC2)cc1C ZINC001346386598 1179693807 /nfs/dbraw/zinc/69/38/07/1179693807.db2.gz LSDQKGURZPBMOY-UHFFFAOYSA-N 0 1 304.459 3.449 20 30 DGEDMN COc1nc(C(=O)C(C#N)c2cccc(F)n2)cc2ccccc21 ZINC001347106901 1179777878 /nfs/dbraw/zinc/77/78/78/1179777878.db2.gz JNXDGELNEGLMGW-ZDUSSCGKSA-N 0 1 321.311 3.268 20 30 DGEDMN C=C(C)CN(CC)c1nnc(-c2[nH]cnc2C)n1CC(C)C ZINC001347403257 1179804797 /nfs/dbraw/zinc/80/47/97/1179804797.db2.gz OBDGLAVVZVFYCI-UHFFFAOYSA-N 0 1 302.426 3.035 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cnc3c(F)cccc3c2)c1 ZINC001347806226 1179818574 /nfs/dbraw/zinc/81/85/74/1179818574.db2.gz UNELXQFUHHXNGM-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C#CC[C@H]([NH2+]Cc1nc2cc(C(=O)[O-])ccc2[nH]1)c1ccccc1 ZINC001602694041 1192994638 /nfs/dbraw/zinc/99/46/38/1192994638.db2.gz FXFNUHAQLRLQCT-HNNXBMFYSA-N 0 1 319.364 3.115 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H](C)C2CC2)CC1 ZINC001479996763 1179989309 /nfs/dbraw/zinc/98/93/09/1179989309.db2.gz VCLYJXBMQYDYSU-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@@H+](C)Cc2ccc(C(=O)[O-])cc2)cc1 ZINC001603132146 1193008831 /nfs/dbraw/zinc/00/88/31/1193008831.db2.gz SOGVWNLJSMJMQG-CQSZACIVSA-N 0 1 324.380 3.308 20 30 DGEDMN CC1(C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)CCCCC1 ZINC001480116186 1180292807 /nfs/dbraw/zinc/29/28/07/1180292807.db2.gz LFMKNUWLYCXWSB-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H](O)C1CCCCC1 ZINC001200021997 1180332294 /nfs/dbraw/zinc/33/22/94/1180332294.db2.gz AYIODNQFAZATCJ-KRWDZBQOSA-N 0 1 321.848 3.383 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CCCCCCCCCC)[C@@H](O)C1 ZINC001100083406 1180366141 /nfs/dbraw/zinc/36/61/41/1180366141.db2.gz RZANCBKJSPVDAE-ROUUACIJSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCCCCCCCC)[C@@H](O)C1 ZINC001100083406 1180366148 /nfs/dbraw/zinc/36/61/48/1180366148.db2.gz RZANCBKJSPVDAE-ROUUACIJSA-N 0 1 324.509 3.255 20 30 DGEDMN CC(C)C[N@@H+](CCOc1cccc(C#N)c1)CC(C)(C)C(=O)[O-] ZINC001603811595 1193033475 /nfs/dbraw/zinc/03/34/75/1193033475.db2.gz XSDKWMLQUNUGEN-UHFFFAOYSA-N 0 1 318.417 3.006 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1cccc2c1OCO2 ZINC001354229237 1180752446 /nfs/dbraw/zinc/75/24/46/1180752446.db2.gz OYAOTIGGBJZFAK-ZDUSSCGKSA-N 0 1 310.353 3.168 20 30 DGEDMN C[C@@H]1CN(c2nc(C#N)c(Cl)s2)CCN1C1CCCC1 ZINC001354266766 1180759048 /nfs/dbraw/zinc/75/90/48/1180759048.db2.gz CVRGOYKPHYFMOH-SNVBAGLBSA-N 0 1 310.854 3.121 20 30 DGEDMN CCCCCCC[C@@H](C)CNc1cc(C)[nH+]cc1-c1nnn[n-]1 ZINC001604175223 1193058166 /nfs/dbraw/zinc/05/81/66/1193058166.db2.gz CTPBPOUXLHYEFI-CYBMUJFWSA-N 0 1 316.453 3.401 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CC(NC/C(Cl)=C\Cl)C2)C1 ZINC001100661545 1180905061 /nfs/dbraw/zinc/90/50/61/1180905061.db2.gz ZUKKKGCMYWVDSC-KPKJPENVSA-N 0 1 317.260 3.146 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC001200794491 1180914204 /nfs/dbraw/zinc/91/42/04/1180914204.db2.gz HWSXYMJWYGTNOV-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC001604459643 1193073512 /nfs/dbraw/zinc/07/35/12/1193073512.db2.gz DATNROBNSZWLGF-QRWLVFNGSA-N 0 1 320.392 3.348 20 30 DGEDMN C=CCC[C@@H]1CCCN1c1nnc(-c2[nH]cnc2C)n1CC=C ZINC001355921206 1181114104 /nfs/dbraw/zinc/11/41/04/1181114104.db2.gz MXBZMGQYSKKYHH-CQSZACIVSA-N 0 1 312.421 3.098 20 30 DGEDMN C=CCn1c(C2=NC(=O)SC2)nnc1N1CCC[C@@H]1CCC ZINC001356040856 1181130545 /nfs/dbraw/zinc/13/05/45/1181130545.db2.gz NLELTFSRLAXQOW-NSHDSACASA-N 0 1 319.434 3.062 20 30 DGEDMN C[C@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CCc2ccccc21 ZINC001604787040 1193093199 /nfs/dbraw/zinc/09/31/99/1193093199.db2.gz VQMNNUNWMDJKOM-IFXJQAMLSA-N 0 1 320.392 3.346 20 30 DGEDMN CC[C@@H]1C[C@H]1CN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC001473429826 1181523425 /nfs/dbraw/zinc/52/34/25/1181523425.db2.gz KJXHYXOVMLNYBL-CABCVRRESA-N 0 1 303.837 3.380 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H](C)CCC)CC1 ZINC001571328740 1181713824 /nfs/dbraw/zinc/71/38/24/1181713824.db2.gz FZOGZSUWOQYIDO-CYBMUJFWSA-N 0 1 300.874 3.488 20 30 DGEDMN CSc1ccccc1CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001438136909 1181958980 /nfs/dbraw/zinc/95/89/80/1181958980.db2.gz NUPKHVNFMCPTCE-UHFFFAOYSA-N 0 1 322.393 3.338 20 30 DGEDMN C=C[C@@H](C(=O)NCCN1CCc2ccccc2C1)c1ccccc1 ZINC001441046674 1182055236 /nfs/dbraw/zinc/05/52/36/1182055236.db2.gz IGUBXQAYVBQBBK-HXUWFJFHSA-N 0 1 320.436 3.131 20 30 DGEDMN Cc1oc(Br)cc1C(=O)Nc1cc(C#N)ccc1O ZINC001447063367 1182182383 /nfs/dbraw/zinc/18/23/83/1182182383.db2.gz LUPSFZFWWFERLZ-UHFFFAOYSA-N 0 1 321.130 3.180 20 30 DGEDMN CC1(C)Cc2cccc(C(=O)Nc3cc(C#N)ccc3O)c2O1 ZINC001447066080 1182182649 /nfs/dbraw/zinc/18/26/49/1182182649.db2.gz WKXMGCCQWMSFRM-UHFFFAOYSA-N 0 1 308.337 3.230 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2cc(-c3ccco3)n[nH]2)cc1 ZINC001455940946 1182389759 /nfs/dbraw/zinc/38/97/59/1182389759.db2.gz LXJTVTXKSMXUQM-UHFFFAOYSA-N 0 1 306.325 3.378 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)Nc1ccc2cncn2c1 ZINC001456442359 1182416960 /nfs/dbraw/zinc/41/69/60/1182416960.db2.gz CMEQVSHMKHLLMF-HNNXBMFYSA-N 0 1 302.378 3.244 20 30 DGEDMN CC[C@@H]1CN(C)c2ccccc2CN1Cc1cc(C#N)ccn1 ZINC001459645051 1182601765 /nfs/dbraw/zinc/60/17/65/1182601765.db2.gz BUCKVBUSNUXLJJ-GOSISDBHSA-N 0 1 306.413 3.184 20 30 DGEDMN CCC[C@H](C)CCCN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC001459770605 1182613046 /nfs/dbraw/zinc/61/30/46/1182613046.db2.gz SXWOPXZRRLDTOB-HOTGVXAUSA-N 0 1 315.465 3.075 20 30 DGEDMN N#Cc1ccnc(CN(Cc2ccccc2)CC2(O)CCCC2)c1 ZINC001459759966 1182613658 /nfs/dbraw/zinc/61/36/58/1182613658.db2.gz JLEDXLPIUKTNTJ-UHFFFAOYSA-N 0 1 321.424 3.261 20 30 DGEDMN C=C(C)CCNC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001460233780 1182665307 /nfs/dbraw/zinc/66/53/07/1182665307.db2.gz JQZWXBMQJOCFIX-GOSISDBHSA-N 0 1 300.446 3.432 20 30 DGEDMN C=CCN(C[C@@H]1CC(c2ccc(Cl)cc2)=NO1)[C@H](C)COC ZINC001460410118 1182686207 /nfs/dbraw/zinc/68/62/07/1182686207.db2.gz RVMBHJXSDKCBEQ-CJNGLKHVSA-N 0 1 322.836 3.356 20 30 DGEDMN N#Cc1ccc(CN[C@H](CO)c2ccccc2C(F)(F)F)cc1 ZINC001460827566 1182729973 /nfs/dbraw/zinc/72/99/73/1182729973.db2.gz CNVRXWWKCRTULK-MRXNPFEDSA-N 0 1 320.314 3.400 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001460886697 1182735506 /nfs/dbraw/zinc/73/55/06/1182735506.db2.gz PEASVLXDUBRMMS-CQSZACIVSA-N 0 1 318.417 3.031 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCC[N@H+]2CCC(C)(C)C#N)n1C ZINC001460943131 1182742857 /nfs/dbraw/zinc/74/28/57/1182742857.db2.gz FCMDZTXQABWSLZ-HNNXBMFYSA-N 0 1 317.433 3.279 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCCN2CCC(C)(C)C#N)n1C ZINC001460943131 1182742861 /nfs/dbraw/zinc/74/28/61/1182742861.db2.gz FCMDZTXQABWSLZ-HNNXBMFYSA-N 0 1 317.433 3.279 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1C[C@@H](OC)C1(C)C ZINC001461267475 1182790117 /nfs/dbraw/zinc/79/01/17/1182790117.db2.gz VHPHJLWBCDPAGC-HZPDHXFCSA-N 0 1 307.821 3.255 20 30 DGEDMN C[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1F ZINC001461918900 1182877557 /nfs/dbraw/zinc/87/75/57/1182877557.db2.gz RPELBNWCNLSKNN-SNVBAGLBSA-N 0 1 308.316 3.316 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1cc(C)nc(C)c1 ZINC001461948929 1182882605 /nfs/dbraw/zinc/88/26/05/1182882605.db2.gz JJTHNAZAQCXOLR-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN CC(C)[C@@H](CNCc1cccc(F)c1C#N)C(=O)OC(C)(C)C ZINC001462383498 1182944425 /nfs/dbraw/zinc/94/44/25/1182944425.db2.gz CLKVVDRMVLNYPO-OAHLLOKOSA-N 0 1 320.408 3.401 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ncc(Br)cc2O)C1 ZINC001462416934 1182949690 /nfs/dbraw/zinc/94/96/90/1182949690.db2.gz UEQKHWBIBLWCMX-NSHDSACASA-N 0 1 311.223 3.338 20 30 DGEDMN C=CC[C@H]1CCC[N@@H+](Cc2ncc(Br)cc2[O-])C1 ZINC001462416934 1182949692 /nfs/dbraw/zinc/94/96/92/1182949692.db2.gz UEQKHWBIBLWCMX-NSHDSACASA-N 0 1 311.223 3.338 20 30 DGEDMN COc1ccc(CNCc2nc3ccccc3nc2C)cc1C#N ZINC001462535750 1182965495 /nfs/dbraw/zinc/96/54/95/1182965495.db2.gz JGVDKKRVQNAQPS-UHFFFAOYSA-N 0 1 318.380 3.108 20 30 DGEDMN Cc1nc(C)c(C(=O)Nc2cccc(C#Cc3ccccn3)c2)[nH]1 ZINC001463899916 1183055777 /nfs/dbraw/zinc/05/57/77/1183055777.db2.gz TXNZODQHGOSSTF-UHFFFAOYSA-N 0 1 316.364 3.074 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(CCCC)cc2)CC1 ZINC001464906095 1183120898 /nfs/dbraw/zinc/12/08/98/1183120898.db2.gz KVFYMRKXVYQDOQ-UHFFFAOYSA-N 0 1 313.445 3.248 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2ccc(Cl)c(O)c2)c1 ZINC001465094816 1183130119 /nfs/dbraw/zinc/13/01/19/1183130119.db2.gz XQPSZVZUQQOYQC-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=CC(=O)c1ccc(C)cc1 ZINC001466101501 1183187145 /nfs/dbraw/zinc/18/71/45/1183187145.db2.gz KILKJFQESNTATA-FPLPWBNLSA-N 0 1 319.311 3.342 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC001469533183 1183415722 /nfs/dbraw/zinc/41/57/22/1183415722.db2.gz NSTZRNGMBGAUIN-AEFFLSMTSA-N 0 1 315.461 3.305 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(Nc3ccncc3)cc2)s1 ZINC001469733205 1183432954 /nfs/dbraw/zinc/43/29/54/1183432954.db2.gz PZQNUCWJRKMBPQ-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(C(C)(C)C)CC1 ZINC001470785767 1183513016 /nfs/dbraw/zinc/51/30/16/1183513016.db2.gz DCNIUCMNPYTQIY-CVEARBPZSA-N 0 1 307.482 3.247 20 30 DGEDMN Cc1cccc(CC(=O)NOc2cccc(C#N)c2)c1Cl ZINC001471086283 1183536883 /nfs/dbraw/zinc/53/68/83/1183536883.db2.gz WUQCMMPKMAQCPM-UHFFFAOYSA-N 0 1 300.745 3.173 20 30 DGEDMN C=CCC1(C(=O)Nc2n[nH]c(C(=O)OCC)c2C)CCCCC1 ZINC001472097337 1183616934 /nfs/dbraw/zinc/61/69/34/1183616934.db2.gz ZIJFKIFVXOHLQX-UHFFFAOYSA-N 0 1 319.405 3.360 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1C[C@@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC001607566316 1193237718 /nfs/dbraw/zinc/23/77/18/1193237718.db2.gz SRHMGOYJCMGSNY-RTBURBONSA-N 0 1 320.392 3.167 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2cc(Cl)cc(Cl)c2)CC1 ZINC001473149010 1183721870 /nfs/dbraw/zinc/72/18/70/1183721870.db2.gz MALATYNVJXBXRH-CQSZACIVSA-N 0 1 316.228 3.385 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC001473627016 1183782572 /nfs/dbraw/zinc/78/25/72/1183782572.db2.gz CNWKKJRBIFBKGM-KDOFPFPSSA-N 0 1 316.420 3.434 20 30 DGEDMN Fc1ccc(C#CCN[C@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001473748477 1183796945 /nfs/dbraw/zinc/79/69/45/1183796945.db2.gz ZEEOWESTIZAGLM-HXUWFJFHSA-N 0 1 319.383 3.405 20 30 DGEDMN CN(CCc1ccc2c(c1)OCO2)Cc1ccc(F)cc1C#N ZINC001473800643 1183803965 /nfs/dbraw/zinc/80/39/65/1183803965.db2.gz CHRVNRISJCXIIL-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN C[C@@]12CSC[C@@H]1CN(Cc1cc(Cl)ccc1OCC#N)C2 ZINC001473861731 1183813503 /nfs/dbraw/zinc/81/35/03/1183813503.db2.gz JLEJNEMHCQFJOM-XJKSGUPXSA-N 0 1 322.861 3.427 20 30 DGEDMN C=C(Br)CNCc1cc(OC)c(OC)cc1C ZINC001473988686 1183845959 /nfs/dbraw/zinc/84/59/59/1183845959.db2.gz QTXAANXYZNSDGA-UHFFFAOYSA-N 0 1 300.196 3.010 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H](CO)C[C@@H](C)CC ZINC001474189017 1183878506 /nfs/dbraw/zinc/87/85/06/1183878506.db2.gz LAKXFBGMMAANJI-KBPBESRZSA-N 0 1 309.837 3.239 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2ccc(F)cc2)s1 ZINC001474185528 1183878558 /nfs/dbraw/zinc/87/85/58/1183878558.db2.gz ZFUMSHIIQPDDCL-UHFFFAOYSA-N 0 1 312.373 3.439 20 30 DGEDMN CC(C)(NCc1cc(-c2ccccn2)n[nH]1)c1cccc(C#N)c1 ZINC001474207499 1183882827 /nfs/dbraw/zinc/88/28/27/1183882827.db2.gz VSNIJQCNTCWEAC-UHFFFAOYSA-N 0 1 317.396 3.368 20 30 DGEDMN N#Cc1ccc(CNCc2cccn(C(F)F)c2=O)cc1Cl ZINC001474470349 1183926866 /nfs/dbraw/zinc/92/68/66/1183926866.db2.gz IAXYJOUSIQGSHS-UHFFFAOYSA-N 0 1 323.730 3.058 20 30 DGEDMN Cc1cc(CN2CCC(C#N)(c3ccccn3)CC2)cc(C)n1 ZINC001474475194 1183928329 /nfs/dbraw/zinc/92/83/29/1183928329.db2.gz WSCZTVRNZSCQDF-UHFFFAOYSA-N 0 1 306.413 3.151 20 30 DGEDMN COc1ccc(C[NH2+]Cc2ccc(Cl)cc2[O-])cc1C#N ZINC001474685220 1183961715 /nfs/dbraw/zinc/96/17/15/1183961715.db2.gz VVFNJLZYABNFAJ-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN Cc1ccc(C[N@@H+](C)Cc2cc(C#N)cs2)cc1C(=O)[O-] ZINC001607777165 1193256295 /nfs/dbraw/zinc/25/62/95/1193256295.db2.gz DZWBMZBVMXOQOL-UHFFFAOYSA-N 0 1 300.383 3.258 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001496048579 1184044775 /nfs/dbraw/zinc/04/47/75/1184044775.db2.gz FPPLWXNISIMWBA-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN CCCCCCCCNC(=O)NCc1cc(N(C)C)ccn1 ZINC001478233979 1184136911 /nfs/dbraw/zinc/13/69/11/1184136911.db2.gz MVXSWRXKABYZSW-UHFFFAOYSA-N 0 1 306.454 3.307 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1CCCc1ccsc1 ZINC001479239487 1184214560 /nfs/dbraw/zinc/21/45/60/1184214560.db2.gz SGWDGMDOSSFYAC-INIZCTEOSA-N 0 1 320.502 3.426 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001479704340 1184293997 /nfs/dbraw/zinc/29/39/97/1184293997.db2.gz VTNAVHFIJQWWPS-DAYGRLMNSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2c(C)nsc2C)[C@@H]1C ZINC001479714566 1184296431 /nfs/dbraw/zinc/29/64/31/1184296431.db2.gz LUAQVVUYUAIORZ-DOMZBBRYSA-N 0 1 321.490 3.051 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001479716170 1184299175 /nfs/dbraw/zinc/29/91/75/1184299175.db2.gz VBOJLJCTPADALK-KFWWJZLASA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CCC1CCCC1 ZINC001479732103 1184304350 /nfs/dbraw/zinc/30/43/50/1184304350.db2.gz WBBKICMQAVLJFH-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001479738997 1184307126 /nfs/dbraw/zinc/30/71/26/1184307126.db2.gz QVFPTOZQZFMQLI-IHPCNDPISA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001479773422 1184319404 /nfs/dbraw/zinc/31/94/04/1184319404.db2.gz VKOYQHOLFLWQIC-UHFFFAOYSA-N 0 1 321.490 3.240 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@@]12C[C@@H]1CCCC2 ZINC001479795322 1184328262 /nfs/dbraw/zinc/32/82/62/1184328262.db2.gz MAPBUFDWJTWVST-FPOVZHCZSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1C[C@]1(C)C(C)C ZINC001479858673 1184353980 /nfs/dbraw/zinc/35/39/80/1184353980.db2.gz QPHZQLSOWFKWEB-GDBMZVCRSA-N 0 1 300.874 3.249 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C/C=C\c2ccc(C)cc2)CC1 ZINC001479897121 1184369129 /nfs/dbraw/zinc/36/91/29/1184369129.db2.gz ZUBUWTLMWWZFRU-SREVYHEPSA-N 0 1 324.468 3.344 20 30 DGEDMN Cc1cc(CN2CCC[C@H](NC(=O)C#CC(C)C)C2)c(C)s1 ZINC001479903523 1184372139 /nfs/dbraw/zinc/37/21/39/1184372139.db2.gz XGRWNFURBGIEGG-KRWDZBQOSA-N 0 1 318.486 3.105 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001479910027 1184377032 /nfs/dbraw/zinc/37/70/32/1184377032.db2.gz CCKVJKLKEAIRDV-DLBZAZTESA-N 0 1 322.493 3.271 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC001479951534 1184387575 /nfs/dbraw/zinc/38/75/75/1184387575.db2.gz RHMOQQIYBWYNEH-WMLDXEAASA-N 0 1 318.848 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001479960480 1184392974 /nfs/dbraw/zinc/39/29/74/1184392974.db2.gz MAWXTTAZGVFZSW-MRXNPFEDSA-N 0 1 304.409 3.037 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)C2CCC2)C1 ZINC001480078067 1184427170 /nfs/dbraw/zinc/42/71/70/1184427170.db2.gz IULJXNQGCYBKHY-LSDHHAIUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ccc(Cl)cc2F)C1 ZINC001480107022 1184435765 /nfs/dbraw/zinc/43/57/65/1184435765.db2.gz XIUNFDGOLPTUGK-AWEZNQCLSA-N 0 1 310.800 3.136 20 30 DGEDMN Cc1ccc2cnccc2c1NC(=O)c1ccc(C#N)c(O)c1 ZINC001608315746 1193287266 /nfs/dbraw/zinc/28/72/66/1193287266.db2.gz QUNZWGICQCKXMA-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3nccc(C)c3c2)CC1 ZINC001480362157 1184506018 /nfs/dbraw/zinc/50/60/18/1184506018.db2.gz SRRNTPAUBWTDTB-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1ccc(C)cc1Cl ZINC001480517832 1184541236 /nfs/dbraw/zinc/54/12/36/1184541236.db2.gz JGIQVKHSENZTAQ-OAHLLOKOSA-N 0 1 320.864 3.436 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@@H](NCc2ncc(C)o2)C1 ZINC001480696053 1184578427 /nfs/dbraw/zinc/57/84/27/1184578427.db2.gz GOBDSECEDXDRTC-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)CC2CCC2)C1 ZINC001480721950 1184588175 /nfs/dbraw/zinc/58/81/75/1184588175.db2.gz ISUUHHLKFMBHBH-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Br)CN1CCC[C@@H]1CCCNC(=O)CCC ZINC001480814923 1184615810 /nfs/dbraw/zinc/61/58/10/1184615810.db2.gz NYNWIFDLWNHAIS-ZDUSSCGKSA-N 0 1 317.271 3.056 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2cc(F)ccc2F)CC1 ZINC001493681301 1184724883 /nfs/dbraw/zinc/72/48/83/1184724883.db2.gz HZSMRFLPMPLTEE-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)CCNCC(=C)Cl)c1ccccc1 ZINC001493801949 1184739759 /nfs/dbraw/zinc/73/97/59/1184739759.db2.gz PZLDKGSJZUIQCP-HNNXBMFYSA-N 0 1 306.837 3.147 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCC(C)=C(C)C1)c1ccccc1 ZINC001493889626 1184759470 /nfs/dbraw/zinc/75/94/70/1184759470.db2.gz UFBZBEXZUGWBHB-MOPGFXCFSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H](C)c1ccccc1)c1ccccc1 ZINC001493902512 1184761824 /nfs/dbraw/zinc/76/18/24/1184761824.db2.gz CDYZMOSHJCNQOP-PXNSSMCTSA-N 0 1 320.436 3.261 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)c1ccccc1)c1ccccc1 ZINC001493902637 1184761912 /nfs/dbraw/zinc/76/19/12/1184761912.db2.gz FBVCXFMYKDCIBJ-PXNSSMCTSA-N 0 1 320.436 3.261 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)c1ccccc1)c1ccccc1 ZINC001493902635 1184762574 /nfs/dbraw/zinc/76/25/74/1184762574.db2.gz FBVCXFMYKDCIBJ-FXAWDEMLSA-N 0 1 320.436 3.261 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cc3ccccc3o2)C1 ZINC001493974624 1184782865 /nfs/dbraw/zinc/78/28/65/1184782865.db2.gz TYSVAKDBQJSUJH-QGZVFWFLSA-N 0 1 324.424 3.383 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2cc3ccccc3o2)C1 ZINC001493974624 1184782873 /nfs/dbraw/zinc/78/28/73/1184782873.db2.gz TYSVAKDBQJSUJH-QGZVFWFLSA-N 0 1 324.424 3.383 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H]2CCc3c2cccc3F)C1 ZINC001494262255 1184840543 /nfs/dbraw/zinc/84/05/43/1184840543.db2.gz IFXHYNCJYDKIAT-RDTXWAMCSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001494437381 1184869903 /nfs/dbraw/zinc/86/99/03/1184869903.db2.gz MJRAXVAGZCNKJC-GFCCVEGCSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3scnc3c2)C1 ZINC001494477635 1184875181 /nfs/dbraw/zinc/87/51/81/1184875181.db2.gz TUERIBOWXAEUMT-GFCCVEGCSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001494501157 1184877969 /nfs/dbraw/zinc/87/79/69/1184877969.db2.gz CFOLIBPFQDHCKY-CQSZACIVSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1F ZINC001494640054 1184897625 /nfs/dbraw/zinc/89/76/25/1184897625.db2.gz VETGTVIAKVUMTC-HOTGVXAUSA-N 0 1 316.420 3.471 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC/C=C\c1ccccc1 ZINC001494672757 1184904120 /nfs/dbraw/zinc/90/41/20/1184904120.db2.gz LVALDFRBGGTHQW-FJOVNSMMSA-N 0 1 310.441 3.341 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001494770495 1184922096 /nfs/dbraw/zinc/92/20/96/1184922096.db2.gz VKLHKWIEHSBWCH-QGZVFWFLSA-N 0 1 318.436 3.449 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001494821064 1184935238 /nfs/dbraw/zinc/93/52/38/1184935238.db2.gz HKJXSVPQHXVHFF-HOTGVXAUSA-N 0 1 316.420 3.223 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001494852648 1184943820 /nfs/dbraw/zinc/94/38/20/1184943820.db2.gz XWRNMBDOGPXKQG-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001494875590 1184951018 /nfs/dbraw/zinc/95/10/18/1184951018.db2.gz LAQAQFLFYMSYPN-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](F)CC2CCCCC2)C1 ZINC001494920554 1184962607 /nfs/dbraw/zinc/96/26/07/1184962607.db2.gz YHCXHWKHGSJYLG-IAGOWNOFSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(Cl)c(C)c1 ZINC001495050226 1184988198 /nfs/dbraw/zinc/98/81/98/1184988198.db2.gz NIBMXILKOWMHJP-CYBMUJFWSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccccc1Cl ZINC001495095710 1184995738 /nfs/dbraw/zinc/99/57/38/1184995738.db2.gz KZWBLZMNHNQRQX-NSHDSACASA-N 0 1 301.217 3.191 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CC(F)(F)F)cc1 ZINC001495484051 1185062736 /nfs/dbraw/zinc/06/27/36/1185062736.db2.gz WNQVEGLMGDWHMC-UHFFFAOYSA-N 0 1 320.742 3.097 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1c(C)onc1CC ZINC001496132848 1185138652 /nfs/dbraw/zinc/13/86/52/1185138652.db2.gz UCDBKGHTRWUCPA-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(C)c(C)o1 ZINC001496262866 1185156728 /nfs/dbraw/zinc/15/67/28/1185156728.db2.gz CPCWBCNJPKLCMS-CQSZACIVSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)CC)C1CCCCC1 ZINC001496309128 1185164840 /nfs/dbraw/zinc/16/48/40/1185164840.db2.gz QPTXGSYKRZMJHK-IUODEOHRSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)C/C=C/c1ccccc1 ZINC001496759022 1185241200 /nfs/dbraw/zinc/24/12/00/1185241200.db2.gz VGJVSNGDVBVCSO-JLHYYAGUSA-N 0 1 300.446 3.302 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@@H]1CNCc1nc(C)oc1C ZINC001496902632 1185267798 /nfs/dbraw/zinc/26/77/98/1185267798.db2.gz CDLUVLRMJZOFJU-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN CC(=[NH+]Nc1ccc(C)c(C(=O)[O-])c1)c1cc(N)ccc1F ZINC001609557007 1193344354 /nfs/dbraw/zinc/34/43/54/1193344354.db2.gz YRGIQAKBOFTWAY-UHFFFAOYSA-N 0 1 301.321 3.251 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc2ccncc21 ZINC001497472778 1185338578 /nfs/dbraw/zinc/33/85/78/1185338578.db2.gz HGMCQJHDIRBECD-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1c(C)cccc1Cl ZINC001497573304 1185355621 /nfs/dbraw/zinc/35/56/21/1185355621.db2.gz OEIUSPFXRCHKIB-VOTSOKGWSA-N 0 1 318.848 3.214 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C/CNCc1ccccc1Cl ZINC001497589638 1185358261 /nfs/dbraw/zinc/35/82/61/1185358261.db2.gz APMGREBNDVIGSQ-AATRIKPKSA-N 0 1 306.837 3.458 20 30 DGEDMN CC(C)(CCC#N)C[N@H+]1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC001609673977 1193348432 /nfs/dbraw/zinc/34/84/32/1193348432.db2.gz ZFCGDAPYWNEKST-GOSISDBHSA-N 0 1 300.402 3.045 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1ccc(Cl)nc1 ZINC001497671474 1185373279 /nfs/dbraw/zinc/37/32/79/1185373279.db2.gz LPEHCPFQQRDBBD-JTGQJZMRSA-N 0 1 321.852 3.099 20 30 DGEDMN C[C@@H](C(=O)NC/C=C\CNCC#Cc1ccccc1)C1CCCC1 ZINC001497721428 1185382207 /nfs/dbraw/zinc/38/22/07/1185382207.db2.gz FUHMXSHWGQBNKS-JTHGQSKGSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc2ccccc2c1 ZINC001497737707 1185383812 /nfs/dbraw/zinc/38/38/12/1185383812.db2.gz DWYTUWLWBPFZEU-SNAWJCMRSA-N 0 1 314.816 3.468 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)c1ccccc1F ZINC001497943503 1185413773 /nfs/dbraw/zinc/41/37/73/1185413773.db2.gz SMSOSEDWKFVWSI-DGCLKSJQSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(Cl)c(Cl)c1 ZINC001497970394 1185416829 /nfs/dbraw/zinc/41/68/29/1185416829.db2.gz NPKMOHVEFAYZHW-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN Cc1cc(C)cc(C(=O)N[C@H](C)CNCc2ccccc2C#N)c1 ZINC001497970129 1185417025 /nfs/dbraw/zinc/41/70/25/1185417025.db2.gz OYECYWXKAHNJOF-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001498093267 1185434929 /nfs/dbraw/zinc/43/49/29/1185434929.db2.gz UYXGEPCQSZWQCI-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C[C@@H]([NH2+][C@H](C)c1ccc(C#N)c(F)c1)c1ncc(C(=O)[O-])s1 ZINC001609774178 1193355075 /nfs/dbraw/zinc/35/50/75/1193355075.db2.gz IYKMAMIGDCMLPE-RKDXNWHRSA-N 0 1 319.361 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001498156883 1185441835 /nfs/dbraw/zinc/44/18/35/1185441835.db2.gz KCWDOMUUIYASFV-KBPBESRZSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)C[C@@H](CC)C(C)C ZINC001498166917 1185443683 /nfs/dbraw/zinc/44/36/83/1185443683.db2.gz JBPGNCUJOJHEJD-QWHCGFSZSA-N 0 1 319.287 3.062 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2cscc2s1 ZINC001498341164 1185473044 /nfs/dbraw/zinc/47/30/44/1185473044.db2.gz MXVYQHGVTUUKHL-LLVKDONJSA-N 0 1 306.456 3.036 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001498380011 1185483458 /nfs/dbraw/zinc/48/34/58/1185483458.db2.gz SGGPECQSMSXHIB-QZTJIDSGSA-N 0 1 314.473 3.339 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1nc(C)oc1C ZINC001498474733 1185503812 /nfs/dbraw/zinc/50/38/12/1185503812.db2.gz QJZXTJOHSVJXGV-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c(C)oc(C)c1C)C1CC1 ZINC001498787079 1185546283 /nfs/dbraw/zinc/54/62/83/1185546283.db2.gz ACZKEQPZYDWQNB-AWEZNQCLSA-N 0 1 310.825 3.055 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCN1Cc1ccc2ccccc2c1 ZINC001498973862 1185585997 /nfs/dbraw/zinc/58/59/97/1185585997.db2.gz PTGYIQOKQRRUHD-FQEVSTJZSA-N 0 1 320.436 3.190 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001499041443 1185598524 /nfs/dbraw/zinc/59/85/24/1185598524.db2.gz GJLAEFFHULXRIW-CRAIPNDOSA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001499073586 1185605855 /nfs/dbraw/zinc/60/58/55/1185605855.db2.gz CTOWNNNFHRIVAF-ZWKOTPCHSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H]1CC[N@H+](C/C=C\Cl)C1 ZINC001499480084 1185655430 /nfs/dbraw/zinc/65/54/30/1185655430.db2.gz CDXYERHSOBOJAL-KETLTQCKSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]([C@@H]2CCCCN2C(=O)CC(C)=C(C)C)C1 ZINC001499480491 1185655541 /nfs/dbraw/zinc/65/55/41/1185655541.db2.gz HHEWTCLIFFTRJF-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC1CC(F)(F)C1 ZINC001499545436 1185667068 /nfs/dbraw/zinc/66/70/68/1185667068.db2.gz AWCOIGRTKRJOGK-GASCZTMLSA-N 0 1 312.404 3.063 20 30 DGEDMN CCCO[C@@H]1CCC[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)CC1 ZINC001609979314 1193370213 /nfs/dbraw/zinc/37/02/13/1193370213.db2.gz GOXLLVOWPPVBNO-OAHLLOKOSA-N 0 1 316.401 3.038 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001499739087 1185689648 /nfs/dbraw/zinc/68/96/48/1185689648.db2.gz RBOPYYWXSFSSGY-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN CC[N@H+](Cc1ccc(OC)cc1)Cc1cccc(C(=O)[O-])c1C#N ZINC001610002745 1193371702 /nfs/dbraw/zinc/37/17/02/1193371702.db2.gz MJIYFUVIQZDWHN-UHFFFAOYSA-N 0 1 324.380 3.287 20 30 DGEDMN CC[N@@H+](Cc1ccc(OC)cc1)Cc1cccc(C(=O)[O-])c1C#N ZINC001610002745 1193371704 /nfs/dbraw/zinc/37/17/04/1193371704.db2.gz MJIYFUVIQZDWHN-UHFFFAOYSA-N 0 1 324.380 3.287 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001499806008 1185697068 /nfs/dbraw/zinc/69/70/68/1185697068.db2.gz LQADOAYXHVAMEA-UHFFFAOYSA-N 0 1 318.383 3.218 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC001500018721 1185731987 /nfs/dbraw/zinc/73/19/87/1185731987.db2.gz NSSPNRUGXSAIIH-HNNXBMFYSA-N 0 1 316.420 3.223 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CC(C)C)C1CCN(CC#CC)CC1 ZINC001500054118 1185734908 /nfs/dbraw/zinc/73/49/08/1185734908.db2.gz IWCRVKPDNLSLBD-SFHVURJKSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC(F)(F)C1)C1CCCC1 ZINC001500076125 1185739250 /nfs/dbraw/zinc/73/92/50/1185739250.db2.gz BKHFFTHGNXFXGN-ZDUSSCGKSA-N 0 1 320.811 3.049 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2cccc(Cl)c2)C1 ZINC001500212660 1185757994 /nfs/dbraw/zinc/75/79/94/1185757994.db2.gz LCAQIGUKIQVGDD-SFHVURJKSA-N 0 1 318.848 3.224 20 30 DGEDMN CN(C)c1ccc(C=[NH+]Nc2cccc(F)c2C(=O)[O-])cc1F ZINC001610105871 1193376766 /nfs/dbraw/zinc/37/67/66/1193376766.db2.gz YMIPCEPWYMSWOK-UHFFFAOYSA-N 0 1 319.311 3.175 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001500444130 1185793663 /nfs/dbraw/zinc/79/36/63/1185793663.db2.gz FJPWHHCJZGJJQF-KBPBESRZSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001500444131 1185793861 /nfs/dbraw/zinc/79/38/61/1185793861.db2.gz FJPWHHCJZGJJQF-KGLIPLIRSA-N 0 1 316.848 3.095 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)CCC)C1 ZINC001500614374 1185829189 /nfs/dbraw/zinc/82/91/89/1185829189.db2.gz VFAVYRUOTJNQSV-CYBMUJFWSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H](C)C1CC1 ZINC001501193568 1185881678 /nfs/dbraw/zinc/88/16/78/1185881678.db2.gz IWVRMORPPIFSJX-OAHLLOKOSA-N 0 1 320.864 3.403 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC001501662656 1185917505 /nfs/dbraw/zinc/91/75/05/1185917505.db2.gz DEDYXFUXCUOPIG-ABSDTBQOSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)CCC ZINC001501665766 1185918030 /nfs/dbraw/zinc/91/80/30/1185918030.db2.gz PMJRFTXFVHSKDM-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)C#CC(C)C)cc1 ZINC001501677148 1185920778 /nfs/dbraw/zinc/92/07/78/1185920778.db2.gz UPXDUWOFZBKYSO-HNNXBMFYSA-N 0 1 318.848 3.365 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)[C@@H](C)CC)C2)CC1 ZINC001501746985 1185926872 /nfs/dbraw/zinc/92/68/72/1185926872.db2.gz YDKCGZQMGXBPML-ZFWWWQNUSA-N 0 1 312.885 3.346 20 30 DGEDMN CN(CCCN(C)C(=O)CC(C)(C)C)Cc1ccccc1C#N ZINC001502159595 1185966582 /nfs/dbraw/zinc/96/65/82/1185966582.db2.gz GHNNLBBVPGRSLE-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C=C)c2ccccc2)C(C)(C)C1 ZINC001502835854 1186022204 /nfs/dbraw/zinc/02/22/04/1186022204.db2.gz CNKANEJUICUFJA-PKOBYXMFSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)CC=C ZINC001503692441 1186050595 /nfs/dbraw/zinc/05/05/95/1186050595.db2.gz VEBQWUDNIFQKRN-IBGZPJMESA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@H](F)CC ZINC001505414725 1186070783 /nfs/dbraw/zinc/07/07/83/1186070783.db2.gz QZXBPOFZMKPGTA-SZVBFZGTSA-N 0 1 318.436 3.463 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCCc2ccccc21 ZINC001505435519 1186078701 /nfs/dbraw/zinc/07/87/01/1186078701.db2.gz JJDIFJTWZWKTFM-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001505588869 1186109904 /nfs/dbraw/zinc/10/99/04/1186109904.db2.gz RBEWHDGQPYUWKD-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(c2ccccc2)CCC1 ZINC001505649507 1186118593 /nfs/dbraw/zinc/11/85/93/1186118593.db2.gz NMNPXLZBLGMTFW-GJZGRUSLSA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1cccc(C2CC2)c1 ZINC001505659466 1186120197 /nfs/dbraw/zinc/12/01/97/1186120197.db2.gz FSXAEDLDSQMSAO-KBPBESRZSA-N 0 1 320.864 3.342 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2oc(C)nc2C)CCC1 ZINC001505685035 1186124202 /nfs/dbraw/zinc/12/42/02/1186124202.db2.gz DORPRTLSRYQCAC-QWHCGFSZSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C)c(Cl)c1 ZINC001505681904 1186124293 /nfs/dbraw/zinc/12/42/93/1186124293.db2.gz PKLIBTGQBGKEKJ-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(F)ccc(C)c1F ZINC001505686980 1186125778 /nfs/dbraw/zinc/12/57/78/1186125778.db2.gz XKZJKRAWZWFIPW-MNOVXSKESA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC001505884316 1186157033 /nfs/dbraw/zinc/15/70/33/1186157033.db2.gz GTOPCAQMUILAOU-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)[C@H](C)c1ccccc1Cl ZINC001505916343 1186163842 /nfs/dbraw/zinc/16/38/42/1186163842.db2.gz JDIQDPVZZNSRGL-LSDHHAIUSA-N 0 1 320.864 3.497 20 30 DGEDMN N#Cc1ccc(C2=CC[N@@H+]([C@H](C(=O)[O-])c3ccccc3)CC2)cc1 ZINC001610627840 1193401902 /nfs/dbraw/zinc/40/19/02/1193401902.db2.gz SKPHGWRKYBEHAU-IBGZPJMESA-N 0 1 318.376 3.473 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)CCC)C2)C1 ZINC001506712081 1186276913 /nfs/dbraw/zinc/27/69/13/1186276913.db2.gz SJUQRDFQATWFRZ-GOSISDBHSA-N 0 1 310.482 3.060 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001506719220 1186277461 /nfs/dbraw/zinc/27/74/61/1186277461.db2.gz BUFNVEULTKCRCQ-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)C[C@@H](C)CCC)C2)C1 ZINC001506715927 1186277927 /nfs/dbraw/zinc/27/79/27/1186277927.db2.gz BVXJTGSFBYYTPD-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCCC2CCCCC2)C(C)(C)C1 ZINC001506755200 1186288632 /nfs/dbraw/zinc/28/86/32/1186288632.db2.gz ZWAGFWQXMPDVRY-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H]1CN(CC=C)CC1(C)C)c1ccccc1 ZINC001506754235 1186288891 /nfs/dbraw/zinc/28/88/91/1186288891.db2.gz WBYAXMNTSFRWTK-ZWKOTPCHSA-N 0 1 312.457 3.359 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(CCCC)CC3)[C@@H]2C1 ZINC001506801979 1186291781 /nfs/dbraw/zinc/29/17/81/1186291781.db2.gz GIQKELXQOZYBBL-QKDCVEJESA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C[C@@H](C)CC(C)C)[C@@H]2C1 ZINC001506823601 1186293700 /nfs/dbraw/zinc/29/37/00/1186293700.db2.gz VXMQHTXBHVVMBI-QCEMKRCNSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001507107129 1186361853 /nfs/dbraw/zinc/36/18/53/1186361853.db2.gz RFNSDQDYCXHKMN-CYBMUJFWSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C1CCC1)C1CCC1 ZINC001507116402 1186364447 /nfs/dbraw/zinc/36/44/47/1186364447.db2.gz FTBAQTPLFPCLGU-ZDUSSCGKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001507130337 1186367671 /nfs/dbraw/zinc/36/76/71/1186367671.db2.gz SMXTYICIGGWHPX-LLVKDONJSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1CC(C(C)(C)C)C1 ZINC001507159029 1186374953 /nfs/dbraw/zinc/37/49/53/1186374953.db2.gz MQAOTUFZIPNQQM-HSBZDZAISA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(C)cc(C)cc1C ZINC001507155665 1186375443 /nfs/dbraw/zinc/37/54/43/1186375443.db2.gz WRZNLCULNALHQU-HNNXBMFYSA-N 0 1 308.853 3.414 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001507344832 1186396629 /nfs/dbraw/zinc/39/66/29/1186396629.db2.gz ZQHWLTYPFGTDLY-CTNGQTDRSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001507344838 1186396665 /nfs/dbraw/zinc/39/66/65/1186396665.db2.gz ZQHWLTYPFGTDLY-TZIWHRDSSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2nc(C)c(C)s2)C(C)(C)C1 ZINC001507425442 1186426071 /nfs/dbraw/zinc/42/60/71/1186426071.db2.gz MWLCPCOAHSNPAD-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2cccc(C)c2o1)C(C)C ZINC001507861810 1186451563 /nfs/dbraw/zinc/45/15/63/1186451563.db2.gz IELUWLIDFVKHDE-UHFFFAOYSA-N 0 1 312.413 3.157 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001508139116 1186484500 /nfs/dbraw/zinc/48/45/00/1186484500.db2.gz MRBLJCJQLFAAKE-PKNBQFBNSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1=CCN(Cc2cccc(F)c2)CC1 ZINC001508212938 1186489145 /nfs/dbraw/zinc/48/91/45/1186489145.db2.gz GMEOBKZLCPRNLE-UHFFFAOYSA-N 0 1 316.420 3.286 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001508227754 1186491925 /nfs/dbraw/zinc/49/19/25/1186491925.db2.gz RHDIUCDTZNPYES-IBGZPJMESA-N 0 1 324.468 3.342 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ocnc2-c2ccccc2)c1 ZINC001546332273 1186653121 /nfs/dbraw/zinc/65/31/21/1186653121.db2.gz CEZHWTDAYAIFEG-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN COc1ccc2cc(C(=O)C(C#N)c3ccncn3)ccc2c1 ZINC001516766210 1186792540 /nfs/dbraw/zinc/79/25/40/1186792540.db2.gz GQUGVZBKCIYNTD-INIZCTEOSA-N 0 1 303.321 3.128 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(C(=O)CCCCC#N)CC1 ZINC001523692911 1187179785 /nfs/dbraw/zinc/17/97/85/1187179785.db2.gz JBESEVKTUJJLGA-SFHVURJKSA-N 0 1 313.445 3.366 20 30 DGEDMN N#CC(C(=O)c1ccnn1C1CCC1)c1nc2ccccc2o1 ZINC001524256594 1187228931 /nfs/dbraw/zinc/22/89/31/1187228931.db2.gz LYCLJHUAPVPCCJ-LBPRGKRZSA-N 0 1 306.325 3.239 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3CCOC(C)(C)C3)[nH]c21 ZINC001524671716 1187258781 /nfs/dbraw/zinc/25/87/81/1187258781.db2.gz KKHLPNRYFBVXLH-OLZOCXBDSA-N 0 1 311.385 3.253 20 30 DGEDMN N#CC(C(=O)c1cccn2nccc12)c1nc(C2CC2)cs1 ZINC001525458654 1187315609 /nfs/dbraw/zinc/31/56/09/1187315609.db2.gz ZGBMTUNMAWWYOI-LBPRGKRZSA-N 0 1 308.366 3.158 20 30 DGEDMN N#C[C@@H](C(=O)c1cccn2nccc12)c1nc(C2CC2)cs1 ZINC001525458654 1187315612 /nfs/dbraw/zinc/31/56/12/1187315612.db2.gz ZGBMTUNMAWWYOI-LBPRGKRZSA-N 0 1 308.366 3.158 20 30 DGEDMN C#CCN1CCC(OC(=O)C2(c3ccc(Cl)cc3)CC2)CC1 ZINC001526629249 1187380335 /nfs/dbraw/zinc/38/03/35/1187380335.db2.gz BETCCOQRRXNAFW-UHFFFAOYSA-N 0 1 317.816 3.012 20 30 DGEDMN C[C@]1(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CCc2ccccc21 ZINC001534067877 1187873366 /nfs/dbraw/zinc/87/33/66/1187873366.db2.gz LTYIPLOZLXQAQL-IBGZPJMESA-N 0 1 316.364 3.277 20 30 DGEDMN Cc1cc(F)ccc1N(CC#N)C(=O)c1ccc2cncn2c1C ZINC001534815824 1187923430 /nfs/dbraw/zinc/92/34/30/1187923430.db2.gz CFQSNEOIEDWUOF-UHFFFAOYSA-N 0 1 322.343 3.261 20 30 DGEDMN C#CCN(CC#CC)C[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC001615664539 1193525928 /nfs/dbraw/zinc/52/59/28/1193525928.db2.gz BVYLDCLGYYAADS-DOTOQJQBSA-N 0 1 321.342 3.127 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001535493350 1187963540 /nfs/dbraw/zinc/96/35/40/1187963540.db2.gz UMTPBEHDYXAXSG-RBUKOAKNSA-N 0 1 312.457 3.268 20 30 DGEDMN N#Cc1cccc(CC(=O)Nc2nc3ccc(Cl)cc3[nH]2)c1 ZINC001537168680 1188055976 /nfs/dbraw/zinc/05/59/76/1188055976.db2.gz QHPOMLLAVXENML-UHFFFAOYSA-N 0 1 310.744 3.269 20 30 DGEDMN N#Cc1cccc(CNC(=O)c2cccc(C(F)(F)F)c2O)c1 ZINC001540795865 1188261865 /nfs/dbraw/zinc/26/18/65/1188261865.db2.gz NKRZEZWSSAMYHD-UHFFFAOYSA-N 0 1 320.270 3.213 20 30 DGEDMN C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)CC[C@H](C)c1ccccc1 ZINC001565828882 1188980743 /nfs/dbraw/zinc/98/07/43/1188980743.db2.gz SVAPNHOGDKGKHF-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC[C@H](C)c1ccccc1 ZINC001565828882 1188980747 /nfs/dbraw/zinc/98/07/47/1188980747.db2.gz SVAPNHOGDKGKHF-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(-c3cccs3)on2)cc1O ZINC001559009764 1189162869 /nfs/dbraw/zinc/16/28/69/1189162869.db2.gz VFKJNVQFLYNIHA-UHFFFAOYSA-N 0 1 311.322 3.233 20 30 DGEDMN N#Cc1cccc(Br)c1N[C@H]1CCN(CC2CC2)C1 ZINC001559102586 1189170934 /nfs/dbraw/zinc/17/09/34/1189170934.db2.gz WOABAAZNVTWZLX-ZDUSSCGKSA-N 0 1 320.234 3.217 20 30 DGEDMN C#CCN(CCOc1ccc([N+](=O)[O-])cc1)Cc1ccccc1 ZINC001559820436 1189245121 /nfs/dbraw/zinc/24/51/21/1189245121.db2.gz JXYRXYAVDZZGGG-UHFFFAOYSA-N 0 1 310.353 3.109 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)[C@H](Cc2ccccc2)C1 ZINC001559967391 1189263578 /nfs/dbraw/zinc/26/35/78/1189263578.db2.gz AZVQORSLRXAYAF-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN C=CCC[C@@H]1CCCN1Cc1nc2cc([N+](=O)[O-])ccc2[nH]1 ZINC001560200254 1189289777 /nfs/dbraw/zinc/28/97/77/1189289777.db2.gz QSEYPYUJWQEVJS-GFCCVEGCSA-N 0 1 300.362 3.402 20 30 DGEDMN C#C[C@@H](N[C@H]1COC(C)(C)C1)c1ccc(Br)cc1 ZINC001560854715 1189394590 /nfs/dbraw/zinc/39/45/90/1189394590.db2.gz LNOQCSZNWMKAHU-ZIAGYGMSSA-N 0 1 308.219 3.281 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccc(C)cc1C ZINC001565823158 1189678093 /nfs/dbraw/zinc/67/80/93/1189678093.db2.gz SUDYGFXCDDJTIF-IBGZPJMESA-N 0 1 314.473 3.345 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(CC(=C)Cl)C1 ZINC001565997179 1189712620 /nfs/dbraw/zinc/71/26/20/1189712620.db2.gz HOMQVSNFKKPZOF-QAETUUGQSA-N 0 1 322.880 3.264 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001566102275 1189733408 /nfs/dbraw/zinc/73/34/08/1189733408.db2.gz PZCATUWIPXPNPH-AYBZRNKSSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1cc(C)no1 ZINC001566533850 1189793996 /nfs/dbraw/zinc/79/39/96/1189793996.db2.gz PQBSPCFFCSCQBF-DOTOQJQBSA-N 0 1 319.449 3.199 20 30 DGEDMN CC(C)CC(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001566578897 1189807523 /nfs/dbraw/zinc/80/75/23/1189807523.db2.gz NTMNVCAAOMTCEE-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(CCOCCC(C)C)C1 ZINC001566626653 1189832458 /nfs/dbraw/zinc/83/24/58/1189832458.db2.gz UXJGYRUWLYQHBP-QGZVFWFLSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001566633841 1189838828 /nfs/dbraw/zinc/83/88/28/1189838828.db2.gz ZSZKQRTYUZGIEB-PBHICJAKSA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CC2(C)CCCC2)CC1 ZINC001566696837 1189863613 /nfs/dbraw/zinc/86/36/13/1189863613.db2.gz FDTAAWLQTICBEZ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H](C)CC(C)C)CC1 ZINC001566699306 1189864512 /nfs/dbraw/zinc/86/45/12/1189864512.db2.gz HCFGIFNHQVQZHK-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1CCC2(CC2)CC1)C1CC1 ZINC001566716546 1189872030 /nfs/dbraw/zinc/87/20/30/1189872030.db2.gz SLEWRJAYWCKTAH-HNNXBMFYSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C(C)=C2CCC2)C1 ZINC001566735695 1189881907 /nfs/dbraw/zinc/88/19/07/1189881907.db2.gz NYMQULXORDFVAI-HNNXBMFYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)/C=C(\C)C2CC2)C1 ZINC001566736136 1189882046 /nfs/dbraw/zinc/88/20/46/1189882046.db2.gz MZMJYLUYVFOYTL-BMQCOBNYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C(C)C ZINC001566755775 1189891721 /nfs/dbraw/zinc/89/17/21/1189891721.db2.gz RIWMOHRQDLJWOV-FGTMMUONSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001566800942 1189905757 /nfs/dbraw/zinc/90/57/57/1189905757.db2.gz LJDBVEAKTHBMNZ-KKUMJFAQSA-N 0 1 321.490 3.099 20 30 DGEDMN O=C(CCC1CC1)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001566868517 1189929782 /nfs/dbraw/zinc/92/97/82/1189929782.db2.gz GCBPTBBOWNSTAJ-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001566922854 1189952916 /nfs/dbraw/zinc/95/29/16/1189952916.db2.gz GBCZIUGAVSJDQS-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001566928795 1189958717 /nfs/dbraw/zinc/95/87/17/1189958717.db2.gz MSNBCQWSXFSFST-MOPGFXCFSA-N 0 1 312.457 3.174 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001567004456 1189990602 /nfs/dbraw/zinc/99/06/02/1189990602.db2.gz LZNSYENCGWJSLI-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2cc(C)ccc2n1 ZINC001567033224 1190001130 /nfs/dbraw/zinc/00/11/30/1190001130.db2.gz PHNOPUDNIGNBPZ-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN COc1cc(Cl)c(F)c(C(=O)Nc2cc(C#N)ccc2O)c1 ZINC001567073718 1190021891 /nfs/dbraw/zinc/02/18/91/1190021891.db2.gz ZIXHUYHNHAJWDL-UHFFFAOYSA-N 0 1 320.707 3.317 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001567076328 1190022706 /nfs/dbraw/zinc/02/27/06/1190022706.db2.gz DDRRGPLYLUSLKF-LLVKDONJSA-N 0 1 319.836 3.245 20 30 DGEDMN COc1cc(F)c(C(=O)Nc2cc(C#N)ccc2O)c(Cl)c1 ZINC001567075342 1190022949 /nfs/dbraw/zinc/02/29/49/1190022949.db2.gz SLSAOLKECBJOTJ-UHFFFAOYSA-N 0 1 320.707 3.317 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN(C/C=C\Cl)C2)CCCC1 ZINC001567421190 1190181133 /nfs/dbraw/zinc/18/11/33/1190181133.db2.gz NYCJJQMQGHSVDF-ANOSYLROSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCN(CCNC(=O)C#CC(C)C)[C@@H](C)c1ccccc1F ZINC001567425416 1190184701 /nfs/dbraw/zinc/18/47/01/1190184701.db2.gz ODVGTEDYWXOZBU-INIZCTEOSA-N 0 1 318.436 3.374 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H](CC)CC1CCCCC1)C1CC1 ZINC001567450950 1190200821 /nfs/dbraw/zinc/20/08/21/1190200821.db2.gz XHPBVWRSKFZCJQ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)CCC(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001567462295 1190205368 /nfs/dbraw/zinc/20/53/68/1190205368.db2.gz DJQARQCDAHXELZ-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1ccc(F)cc1Cl ZINC001567553437 1190216945 /nfs/dbraw/zinc/21/69/45/1190216945.db2.gz MBJPTKYLEJYUQX-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@@H](C)NCc2ncc(C)o2)CC1 ZINC001567630658 1190230314 /nfs/dbraw/zinc/23/03/14/1190230314.db2.gz WUKNDPHHGAEPJY-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1sccc1Cl)c1ccccc1 ZINC001567660140 1190241148 /nfs/dbraw/zinc/24/11/48/1190241148.db2.gz UJPVPTMWGYMHPC-AWEZNQCLSA-N 0 1 318.829 3.095 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2oc(C)nc2C)C1 ZINC001567681454 1190250203 /nfs/dbraw/zinc/25/02/03/1190250203.db2.gz RTMGTBSXFCWDJQ-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc[nH]c2CCC)C1 ZINC001567687189 1190253608 /nfs/dbraw/zinc/25/36/08/1190253608.db2.gz VHGQIDOZFAPCBD-OAHLLOKOSA-N 0 1 303.450 3.080 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H]1CCN(CC=C(Cl)Cl)C1 ZINC001567706478 1190264603 /nfs/dbraw/zinc/26/46/03/1190264603.db2.gz YOLCCTDHMOEPOG-LBPRGKRZSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001567706039 1190265122 /nfs/dbraw/zinc/26/51/22/1190265122.db2.gz RCEWXOGKHONFCI-BPUTZDHNSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2occc2Cl)C1 ZINC001567735453 1190278302 /nfs/dbraw/zinc/27/83/02/1190278302.db2.gz CGUCBTGZODEHGF-NSHDSACASA-N 0 1 317.216 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001567807505 1190304488 /nfs/dbraw/zinc/30/44/88/1190304488.db2.gz MRFCLNYTOSDDHD-HUUCEWRRSA-N 0 1 318.436 3.159 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001567815771 1190308137 /nfs/dbraw/zinc/30/81/37/1190308137.db2.gz WZJFPCYSVXQWKZ-ODIFPOPNSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN(C)C(=O)c1cc(-c2c(C)nn([C@@H](C)CC)c2C)[nH]n1 ZINC001567821286 1190309770 /nfs/dbraw/zinc/30/97/70/1190309770.db2.gz KKXCCAFNYGQBMF-NSHDSACASA-N 0 1 315.421 3.119 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567911814 1190347017 /nfs/dbraw/zinc/34/70/17/1190347017.db2.gz RFMGZYNIZHGRBP-QAPCUYQASA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CCNCc2cc(C)on2)CC1 ZINC001568086921 1190416596 /nfs/dbraw/zinc/41/65/96/1190416596.db2.gz IATYSWBZQSXRNW-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2nc(C)oc2C)CC1 ZINC001568108284 1190423016 /nfs/dbraw/zinc/42/30/16/1190423016.db2.gz HSNIYGVBXZFOFL-SHTZXODSSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2C[C@H]2C(C)C)cc1 ZINC001568120947 1190427266 /nfs/dbraw/zinc/42/72/66/1190427266.db2.gz ICMQWXGYZAKGLA-IRXDYDNUSA-N 0 1 320.864 3.437 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001568144555 1190434022 /nfs/dbraw/zinc/43/40/22/1190434022.db2.gz LFDPGRKUJOEWPA-GOEBONIOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)C2CCCCC2)C1 ZINC001568212725 1190454036 /nfs/dbraw/zinc/45/40/36/1190454036.db2.gz DGPZKYICPRPCOO-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H](C)[C@H](C)C(=O)OCC ZINC001657697383 1196169934 /nfs/dbraw/zinc/16/99/34/1196169934.db2.gz YHRZPAQTOLFUIZ-QWHCGFSZSA-N 0 1 323.820 3.029 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001568302515 1190479968 /nfs/dbraw/zinc/47/99/68/1190479968.db2.gz BIHOCJHDRIQJGA-NVXWUHKLSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1ccc(Cl)s1 ZINC001568304149 1190480533 /nfs/dbraw/zinc/48/05/33/1190480533.db2.gz HYYCKYZSTLYRMU-JTQLQIEISA-N 0 1 321.273 3.133 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1sccc1F ZINC001568327692 1190491993 /nfs/dbraw/zinc/49/19/93/1190491993.db2.gz NAPVJPTUGDXPMR-LLVKDONJSA-N 0 1 318.845 3.374 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc[nH]c1)C1CCCCC1 ZINC001568343969 1190498418 /nfs/dbraw/zinc/49/84/18/1190498418.db2.gz UKLJORUIKNXDSF-HNNXBMFYSA-N 0 1 309.841 3.036 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001568430226 1190517689 /nfs/dbraw/zinc/51/76/89/1190517689.db2.gz SWHJCAOALYQPDF-GZFHQYITSA-N 0 1 310.869 3.002 20 30 DGEDMN CCCCCC(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001568474745 1190536112 /nfs/dbraw/zinc/53/61/12/1190536112.db2.gz QCVLWEJLDUCXHD-UHFFFAOYSA-N 0 1 319.424 3.168 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001568609915 1190568381 /nfs/dbraw/zinc/56/83/81/1190568381.db2.gz ZMEDFZJSCQRVDD-GUVYXZIWSA-N 0 1 317.408 3.038 20 30 DGEDMN CC[C@@H](C)CC(=O)N(CC)CCNCc1cc(C#N)ccc1F ZINC001568739116 1190583982 /nfs/dbraw/zinc/58/39/82/1190583982.db2.gz NGYDDFNWOPXSLN-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1nccc2ccsc21 ZINC001568749469 1190585279 /nfs/dbraw/zinc/58/52/79/1190585279.db2.gz JVULXKBUJFMYQB-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN CC#CCCCC(=O)N(CC)CCNCc1cc(C)ccc1F ZINC001568768135 1190586921 /nfs/dbraw/zinc/58/69/21/1190586921.db2.gz WSRPBSNOSUTXBQ-UHFFFAOYSA-N 0 1 318.436 3.266 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(F)cccc1Cl ZINC001568793335 1190589301 /nfs/dbraw/zinc/58/93/01/1190589301.db2.gz HINRAXHOPFZIEZ-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN CCCCC1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CC1 ZINC001568913454 1190599187 /nfs/dbraw/zinc/59/91/87/1190599187.db2.gz DZWYHROQNUHZNN-BQYQJAHWSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(CC)c(CC)c1 ZINC001568975969 1190603400 /nfs/dbraw/zinc/60/34/00/1190603400.db2.gz AYLMYUNLGYRIJX-SREVYHEPSA-N 0 1 320.864 3.440 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001568984200 1190604468 /nfs/dbraw/zinc/60/44/68/1190604468.db2.gz DVIHVQWGBLGTSY-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001569012258 1190608332 /nfs/dbraw/zinc/60/83/32/1190608332.db2.gz RELSBXPSUSVVEK-VXGBXAGGSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(C(F)(F)F)c1 ZINC001569032195 1190611049 /nfs/dbraw/zinc/61/10/49/1190611049.db2.gz OGKOGPVESKPCSX-SNVBAGLBSA-N 0 1 320.742 3.166 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc2ccccc21 ZINC001569094873 1190620118 /nfs/dbraw/zinc/62/01/18/1190620118.db2.gz GDLRSJOGDZQJEK-ZDUSSCGKSA-N 0 1 302.805 3.300 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001569105480 1190624162 /nfs/dbraw/zinc/62/41/62/1190624162.db2.gz RNHJAYWGNGOVTH-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1cn(C(C)C)nc1C ZINC001569119220 1190630373 /nfs/dbraw/zinc/63/03/73/1190630373.db2.gz MQIXANVWCOQCTK-HNNXBMFYSA-N 0 1 320.481 3.065 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001569134925 1190636492 /nfs/dbraw/zinc/63/64/92/1190636492.db2.gz NMCZVQUYDGPPMJ-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@H](CC)c1ccccc1 ZINC001569146665 1190641621 /nfs/dbraw/zinc/64/16/21/1190641621.db2.gz JKJIKTRPNWVELE-IRXDYDNUSA-N 0 1 300.446 3.030 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2ccccn2)CCCC1 ZINC001569155171 1190645626 /nfs/dbraw/zinc/64/56/26/1190645626.db2.gz HXUHTYGTBYJYLT-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2Cc2cccc(C)c2)CCC1 ZINC001569373361 1190682135 /nfs/dbraw/zinc/68/21/35/1190682135.db2.gz MPSFCBWEWSOHEQ-SFHVURJKSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)CC2CC(F)(F)C2)C1 ZINC001569494350 1190707827 /nfs/dbraw/zinc/70/78/27/1190707827.db2.gz SYDAKHWFLLKWBV-UHFFFAOYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001569495651 1190707852 /nfs/dbraw/zinc/70/78/52/1190707852.db2.gz LKCGILJHSQRJIK-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001569500716 1190709412 /nfs/dbraw/zinc/70/94/12/1190709412.db2.gz VDOSXWFBLUOTIL-AWEZNQCLSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccccc2)C1 ZINC001569505068 1190710171 /nfs/dbraw/zinc/71/01/71/1190710171.db2.gz YLFJLWPTPAZXLA-JKSUJKDBSA-N 0 1 300.446 3.287 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569589370 1190720321 /nfs/dbraw/zinc/72/03/21/1190720321.db2.gz JVQZORRQDVKFQX-MRXNPFEDSA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)CC[C@@H](C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001569593755 1190720884 /nfs/dbraw/zinc/72/08/84/1190720884.db2.gz KHWLULGRHXTXIN-BCTQVIJGSA-N 0 1 324.896 3.491 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CCC2CCCCCC2)C1 ZINC001569643235 1190730685 /nfs/dbraw/zinc/73/06/85/1190730685.db2.gz VGVOLAHGPLFVIM-IBGZPJMESA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCCCC(=O)N[C@]1(C)CCN(Cc2c(C)nsc2C)C1 ZINC001569646900 1190731910 /nfs/dbraw/zinc/73/19/10/1190731910.db2.gz UWGVBPKUAOTOFF-QGZVFWFLSA-N 0 1 321.490 3.197 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@]1(C)CC=CCC1 ZINC001570027088 1190783295 /nfs/dbraw/zinc/78/32/95/1190783295.db2.gz VDZXKXSKJALIOX-FQEVSTJZSA-N 0 1 310.441 3.114 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)cc2)CCC1 ZINC001570125050 1190801210 /nfs/dbraw/zinc/80/12/10/1190801210.db2.gz HCMLJSHMNJFQAG-UHFFFAOYSA-N 0 1 313.228 3.335 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001570182078 1190810507 /nfs/dbraw/zinc/81/05/07/1190810507.db2.gz WIZSOVYDFWORKD-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)/C=C/C(C)(C)C ZINC001570269005 1190833074 /nfs/dbraw/zinc/83/30/74/1190833074.db2.gz MNTCIQNHKVKWRH-TZZQJPOUSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001570322933 1190839372 /nfs/dbraw/zinc/83/93/72/1190839372.db2.gz KECYZPGYOWFXIR-LACBQNOSSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)c2ccccc2)C(C)(C)C1 ZINC001570399185 1190853652 /nfs/dbraw/zinc/85/36/52/1190853652.db2.gz AFMQZYGLABKYEL-FUHWJXTLSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001570510497 1190872312 /nfs/dbraw/zinc/87/23/12/1190872312.db2.gz CRCWIWOJDORLOV-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]2CN(C)C[C@@H](F)CC)CC1 ZINC001570541088 1190880972 /nfs/dbraw/zinc/88/09/72/1190880972.db2.gz XCEJACCGWCXXEL-IRXDYDNUSA-N 0 1 310.457 3.404 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1c2[nH]cnc2ccc1F ZINC001570556354 1190887881 /nfs/dbraw/zinc/88/78/81/1190887881.db2.gz YLTKZFCHWORGOA-NSHDSACASA-N 0 1 322.343 3.407 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(C)cc(F)cc1C ZINC001570623773 1190903913 /nfs/dbraw/zinc/90/39/13/1190903913.db2.gz FMMLHHWGGPKIRN-CHWSQXEVSA-N 0 1 312.816 3.291 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1oc(CC)nc1C ZINC001570636930 1190907755 /nfs/dbraw/zinc/90/77/55/1190907755.db2.gz PBBGUYINTLPWEF-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2c(c1)CCC=C2 ZINC001570645321 1190909327 /nfs/dbraw/zinc/90/93/27/1190909327.db2.gz VQWDSSNVFJQMOW-UONOGXRCSA-N 0 1 318.848 3.495 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N(C)Cc1csc(C)c1 ZINC001570730837 1190934515 /nfs/dbraw/zinc/93/45/15/1190934515.db2.gz WOBAMFDXJDWFKX-AWEZNQCLSA-N 0 1 306.475 3.187 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccsc1 ZINC001570807377 1190953168 /nfs/dbraw/zinc/95/31/68/1190953168.db2.gz KGTZMAJDCISDRJ-CYBMUJFWSA-N 0 1 300.855 3.379 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001570843709 1190964336 /nfs/dbraw/zinc/96/43/36/1190964336.db2.gz NIMNZYWMEMZAEX-INIZCTEOSA-N 0 1 312.457 3.022 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2cccc(F)c2)CC1(C)C ZINC001570891199 1190971109 /nfs/dbraw/zinc/97/11/09/1190971109.db2.gz NVXQRRBVTGWBGT-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570905358 1190974049 /nfs/dbraw/zinc/97/40/49/1190974049.db2.gz RSEDOWYCEFXQFB-QZTJIDSGSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)C(CC)CC)C2)C1 ZINC001571038749 1190980302 /nfs/dbraw/zinc/98/03/02/1190980302.db2.gz IYOVHVCCJBEHFC-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCCC(=O)N1C[C@@]2(C)CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001571063423 1190981370 /nfs/dbraw/zinc/98/13/70/1190981370.db2.gz AWRAEKATCDERRK-LCIOVWFGSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H](C)C(C)(C)C ZINC001571362392 1191002878 /nfs/dbraw/zinc/00/28/78/1191002878.db2.gz IZKMLCVPRAPELC-JSGCOSHPSA-N 0 1 300.874 3.248 20 30 DGEDMN Cc1cccc(C(=O)N(C)C[C@H](C)NCc2ccccc2C#N)c1 ZINC001571376357 1191006977 /nfs/dbraw/zinc/00/69/77/1191006977.db2.gz PUBRYUGXKBFAKE-INIZCTEOSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(CC)CCC1)C(C)(C)C ZINC001571631507 1191069017 /nfs/dbraw/zinc/06/90/17/1191069017.db2.gz ZUNCBLACHHQDFE-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)OCc1ccc(SCc2c[nH]nn2)cc1 ZINC001575049667 1191464605 /nfs/dbraw/zinc/46/46/05/1191464605.db2.gz QIYFYFGXKBOZQM-UHFFFAOYSA-N 0 1 317.414 3.497 20 30 DGEDMN C=CC[C@H]1N(C(=O)N[C@@H](CC)c2c[nH]nn2)CCCC1(C)C ZINC001575085523 1191465003 /nfs/dbraw/zinc/46/50/03/1191465003.db2.gz NCGPSJINAJRIEE-GXTWGEPZSA-N 0 1 305.426 3.032 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)[C@@H]1C[C@H](C)Sc2sccc21 ZINC001575118932 1191466904 /nfs/dbraw/zinc/46/69/04/1191466904.db2.gz UCIIKGSJYXSRBD-SMDDNHRTSA-N 0 1 318.471 3.317 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)C(=O)[C@@H](CC)CC1CCCCC1 ZINC001575119222 1191467048 /nfs/dbraw/zinc/46/70/48/1191467048.db2.gz XCDGSRGVYNVDJG-INIZCTEOSA-N 0 1 316.449 3.153 20 30 DGEDMN CCCCCCC[C@@H](C)CNC(=O)C(C)(C)Cc1c[nH]nn1 ZINC001576396124 1191590579 /nfs/dbraw/zinc/59/05/79/1191590579.db2.gz VJEIWUGABSJEOM-CQSZACIVSA-N 0 1 308.470 3.486 20 30 DGEDMN CCCCCCC[C@@H](C)CNC(=O)C(C)(C)Cc1cnn[nH]1 ZINC001576396124 1191590585 /nfs/dbraw/zinc/59/05/85/1191590585.db2.gz VJEIWUGABSJEOM-CQSZACIVSA-N 0 1 308.470 3.486 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N2c3ccccc3CC[C@H]2C)C1 ZINC001618916692 1193754578 /nfs/dbraw/zinc/75/45/78/1193754578.db2.gz VJIFMABGUVOLOF-IXDOHACOSA-N 0 1 310.441 3.088 20 30 DGEDMN C[C@@H]1CN(CCOc2ccccc2C#N)CC2(CCCCC2)O1 ZINC001619172913 1193757077 /nfs/dbraw/zinc/75/70/77/1193757077.db2.gz YOJNNIARLKJKQQ-MRXNPFEDSA-N 0 1 314.429 3.361 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@H](C3CCC3)C2)c1C#N ZINC001620433536 1193768077 /nfs/dbraw/zinc/76/80/77/1193768077.db2.gz RKIFGXJSJAQYAL-HNNXBMFYSA-N 0 1 312.413 3.357 20 30 DGEDMN N#Cc1cccc(CN2CCc3nc[nH]c3C23CCCCC3)c1 ZINC001620578745 1193779834 /nfs/dbraw/zinc/77/98/34/1193779834.db2.gz YHDYQBZSBDFVGE-UHFFFAOYSA-N 0 1 306.413 3.499 20 30 DGEDMN N#Cc1ccc([C@@H]2CN(CC3(O)CCCCCC3)CCO2)cc1 ZINC001620624431 1193783741 /nfs/dbraw/zinc/78/37/41/1193783741.db2.gz XLQIPSKJVNSNHA-SFHVURJKSA-N 0 1 314.429 3.017 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001620645717 1193785846 /nfs/dbraw/zinc/78/58/46/1193785846.db2.gz GQFUXIHPELHBBF-GOSISDBHSA-N 0 1 312.413 3.465 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CCO[C@H](c3ccccc3)CC2)c1 ZINC001620670944 1193789504 /nfs/dbraw/zinc/78/95/04/1193789504.db2.gz BGYSNCUFCMWYKE-PMACEKPBSA-N 0 1 322.408 3.055 20 30 DGEDMN C=CCNCc1ccc(N2CCC[C@@H](C(=O)OC(C)(C)C)C2)o1 ZINC001620704133 1193792922 /nfs/dbraw/zinc/79/29/22/1193792922.db2.gz SWLDWKVVFPLUNY-CQSZACIVSA-N 0 1 320.433 3.113 20 30 DGEDMN C#CCN(Cc1ccnn1-c1ccncc1)Cc1ccc(F)cc1 ZINC001621143525 1193808992 /nfs/dbraw/zinc/80/89/92/1193808992.db2.gz HPKYVSSFRQOJFL-UHFFFAOYSA-N 0 1 320.371 3.042 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(C(=O)OC)c(Br)c1 ZINC001621207642 1193817773 /nfs/dbraw/zinc/81/77/73/1193817773.db2.gz DNNYRCUZSGHOPQ-UHFFFAOYSA-N 0 1 324.218 3.410 20 30 DGEDMN C#Cc1ccc(CNCc2cc(C(=O)OC)ccc2Cl)cc1 ZINC001621344950 1193831200 /nfs/dbraw/zinc/83/12/00/1193831200.db2.gz CLKBBBKNVJOMSV-UHFFFAOYSA-N 0 1 313.784 3.398 20 30 DGEDMN C[C@@H]1CCN(Cc2csc(C#N)c2)C[C@H]1C(=O)OC(C)(C)C ZINC001621409528 1193839143 /nfs/dbraw/zinc/83/91/43/1193839143.db2.gz ZEOFBCJNHYAMLD-IUODEOHRSA-N 0 1 320.458 3.419 20 30 DGEDMN C[C@@H]1[C@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)[C@H]1c1ccccc1 ZINC001623604688 1193940606 /nfs/dbraw/zinc/94/06/06/1193940606.db2.gz LUUQSDXCCRFOSY-JECHBYEQSA-N 0 1 316.364 3.423 20 30 DGEDMN N#Cc1ccc(F)c(C(=O)Nc2cccc3c2CN(C2CC2)C3)c1 ZINC001624485406 1193999431 /nfs/dbraw/zinc/99/94/31/1193999431.db2.gz XYIHPIGVHDHHCA-UHFFFAOYSA-N 0 1 321.355 3.428 20 30 DGEDMN CN(CC(=O)N[C@@H](C#N)c1ccc(C(C)(C)C)cc1)C1CCC1 ZINC001627237089 1194118216 /nfs/dbraw/zinc/11/82/16/1194118216.db2.gz SLJYGHMOCNKLMS-KRWDZBQOSA-N 0 1 313.445 3.149 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc(-c3nc(C)no3)cc2)n1 ZINC000041516524 1194140219 /nfs/dbraw/zinc/14/02/19/1194140219.db2.gz AQRPAVXWMOMAJA-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-c3nc(C)no3)cc2)n1 ZINC000041516524 1194140222 /nfs/dbraw/zinc/14/02/22/1194140222.db2.gz AQRPAVXWMOMAJA-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)c(OC)c2)C1 ZINC001671149496 1194373815 /nfs/dbraw/zinc/37/38/15/1194373815.db2.gz VKWYFHIYQMKNPB-OAHLLOKOSA-N 0 1 316.445 3.115 20 30 DGEDMN CC(C)n1c(NC(=O)c2ccc(C#N)c(O)c2)nc2ccccc21 ZINC001633081700 1194420950 /nfs/dbraw/zinc/42/09/50/1194420950.db2.gz IYHTZRAWILCIAB-UHFFFAOYSA-N 0 1 320.352 3.447 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001634193340 1194479380 /nfs/dbraw/zinc/47/93/80/1194479380.db2.gz TUYDRPPKGGFZNV-UHFFFAOYSA-N 0 1 309.413 3.466 20 30 DGEDMN C=C(C)[C@@H](NC(=O)[C@@H]1CCCCN1C)c1ccc(F)c(F)c1 ZINC001634453673 1194495326 /nfs/dbraw/zinc/49/53/26/1194495326.db2.gz NIPZUNVAQQQUAA-JKSUJKDBSA-N 0 1 308.372 3.183 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1ccc(Cl)c(O)c1 ZINC001634789871 1194515781 /nfs/dbraw/zinc/51/57/81/1194515781.db2.gz RASUTSRJYTTZKD-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@@H]2c2cc(F)ccc2F)c1 ZINC001635506802 1194555753 /nfs/dbraw/zinc/55/57/53/1194555753.db2.gz UPDJLYFSKCZUNG-HIFRSBDPSA-N 0 1 314.291 3.050 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC001637161903 1194655010 /nfs/dbraw/zinc/65/50/10/1194655010.db2.gz VFHHWUHVXNJCHA-GOSISDBHSA-N 0 1 315.461 3.259 20 30 DGEDMN CC1(C)Cc2ccc(C(=O)Nc3cc(C#N)ccc3O)cc2O1 ZINC001637620686 1194679203 /nfs/dbraw/zinc/67/92/03/1194679203.db2.gz WWQFZTOBNNAXEM-UHFFFAOYSA-N 0 1 308.337 3.230 20 30 DGEDMN Cc1ccccc1Oc1ccc(C(=O)N=C2C[C@@H](C)ON2)nc1 ZINC001637839916 1194689057 /nfs/dbraw/zinc/68/90/57/1194689057.db2.gz BBAROGGKOCCDDO-GFCCVEGCSA-N 0 1 311.341 3.034 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1[nH]c2ccccc2c1C#N ZINC001638530026 1194723127 /nfs/dbraw/zinc/72/31/27/1194723127.db2.gz AIAIPXDEXYJBTR-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3ccc(Cl)nc3n2)cc1O ZINC001638963074 1194745149 /nfs/dbraw/zinc/74/51/49/1194745149.db2.gz MPTPBUKDFFOPSK-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H](C)c2cccnc2)C1 ZINC001671188277 1194764008 /nfs/dbraw/zinc/76/40/08/1194764008.db2.gz LBXFCVVTWQIECX-INIZCTEOSA-N 0 1 315.461 3.074 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)cc1 ZINC001641797624 1194871547 /nfs/dbraw/zinc/87/15/47/1194871547.db2.gz GUPUUMLUDVXLIA-SJCJKPOMSA-N 0 1 322.412 3.092 20 30 DGEDMN CC(C)(C)N1CC[C@@H]1C(=O)N(O)CCCCc1ccccc1 ZINC001641865243 1194875852 /nfs/dbraw/zinc/87/58/52/1194875852.db2.gz YBNONXAAQHDBBF-MRXNPFEDSA-N 0 1 304.434 3.100 20 30 DGEDMN CC(C)(C)C[C@@H]1C[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641929799 1194879523 /nfs/dbraw/zinc/87/95/23/1194879523.db2.gz HRKCBWYDZHFXSF-UONOGXRCSA-N 0 1 323.400 3.354 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](CC=C)c2ccccc2)C1 ZINC001671202394 1194891570 /nfs/dbraw/zinc/89/15/70/1194891570.db2.gz SUTVZWSWTIETKA-LJQANCHMSA-N 0 1 312.457 3.455 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(Nc3ccncc3)cc2)cc1 ZINC001644301015 1195097337 /nfs/dbraw/zinc/09/73/37/1195097337.db2.gz XYSXMQWDBLHTEU-UHFFFAOYSA-N 0 1 314.348 3.371 20 30 DGEDMN C#CCC1(NCc2cc3c(cc2Br)OCO3)CCC1 ZINC001644619820 1195120874 /nfs/dbraw/zinc/12/08/74/1195120874.db2.gz ITADLVZXIMHZQN-UHFFFAOYSA-N 0 1 322.202 3.213 20 30 DGEDMN N#Cc1ccnc(C[N@@H+]2CCC[C@H]2C[C@@H](O)c2cccs2)c1 ZINC001644743483 1195131078 /nfs/dbraw/zinc/13/10/78/1195131078.db2.gz HMHANPGBLNRBQG-JKSUJKDBSA-N 0 1 313.426 3.103 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)c1 ZINC001644743483 1195131081 /nfs/dbraw/zinc/13/10/81/1195131081.db2.gz HMHANPGBLNRBQG-JKSUJKDBSA-N 0 1 313.426 3.103 20 30 DGEDMN O=C(C#Cc1ccc(C(F)(F)F)cc1)NOc1ccc(F)cc1 ZINC001645096398 1195156140 /nfs/dbraw/zinc/15/61/40/1195156140.db2.gz JSJYVWLMKUUHOH-UHFFFAOYSA-N 0 1 323.245 3.306 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(Cl)nc1)c1ccccc1 ZINC001645100630 1195156840 /nfs/dbraw/zinc/15/68/40/1195156840.db2.gz QDHKNMJBHJVFQJ-OAHLLOKOSA-N 0 1 313.788 3.028 20 30 DGEDMN CCc1ncc(CNC(=O)c2sc3ccc(C#N)cc3c2C)[nH]1 ZINC001645215229 1195166802 /nfs/dbraw/zinc/16/68/02/1195166802.db2.gz OSDOWYICNVDGLO-UHFFFAOYSA-N 0 1 324.409 3.297 20 30 DGEDMN CN(Cc1ccc(F)c(C#N)c1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001646080204 1195213756 /nfs/dbraw/zinc/21/37/56/1195213756.db2.gz DSYQSLJAPOHMBY-QGZVFWFLSA-N 0 1 321.359 3.037 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@H]1CC1(C)C ZINC001647873482 1195261760 /nfs/dbraw/zinc/26/17/60/1195261760.db2.gz SJEKPJCWGQTDRX-GFCCVEGCSA-N 0 1 305.809 3.128 20 30 DGEDMN C[C@]1(CN2CCN(c3ccc(C#N)cc3)CC2)CC1(Cl)Cl ZINC001648064383 1195274849 /nfs/dbraw/zinc/27/48/49/1195274849.db2.gz MVCQWBRPYJEXSK-OAHLLOKOSA-N 0 1 324.255 3.264 20 30 DGEDMN CCCCCCCCCCN1CCC[C@@H]2OCCNC(=O)[C@@H]21 ZINC001648123980 1195277823 /nfs/dbraw/zinc/27/78/23/1195277823.db2.gz MFIPQVAMEOBCTC-DLBZAZTESA-N 0 1 310.482 3.107 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC001648544633 1195308651 /nfs/dbraw/zinc/30/86/51/1195308651.db2.gz VYPLFYDBERGJCR-UHFFFAOYSA-N 0 1 305.378 3.130 20 30 DGEDMN Cc1c(Br)cncc1CNCc1cccc(C#N)c1 ZINC001648772635 1195330382 /nfs/dbraw/zinc/33/03/82/1195330382.db2.gz KNTGVTAEJWMWPV-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN C=CCCC[C@H](NC(=O)Cc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC001651057813 1195408997 /nfs/dbraw/zinc/40/89/97/1195408997.db2.gz OUPXWIDLVXVKLO-INIZCTEOSA-N 0 1 324.428 3.438 20 30 DGEDMN N#Cc1ccc(CNCc2cnc(-c3ccccc3)nc2)s1 ZINC001651234590 1195430436 /nfs/dbraw/zinc/43/04/36/1195430436.db2.gz YDSFDNJYOLTFCY-UHFFFAOYSA-N 0 1 306.394 3.367 20 30 DGEDMN COc1ccc(CN(C)Cc2cc(C#N)ccc2N(C)C)cc1 ZINC001652062087 1195542226 /nfs/dbraw/zinc/54/22/26/1195542226.db2.gz XTBVUKZJYBAPQR-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN COc1cc(C[NH2+]Cc2ccc(Cl)cc2[O-])ccc1C#N ZINC001652396198 1195592194 /nfs/dbraw/zinc/59/21/94/1195592194.db2.gz CWKPGIFKCORFID-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2ccsc2)cc1F ZINC001652667932 1195634234 /nfs/dbraw/zinc/63/42/34/1195634234.db2.gz PVRUWNNZSJYHGA-UHFFFAOYSA-N 0 1 312.373 3.439 20 30 DGEDMN C=CCCSCCNCc1nc(Br)cs1 ZINC001652787848 1195653073 /nfs/dbraw/zinc/65/30/73/1195653073.db2.gz ICRQYWGPWFHRRF-UHFFFAOYSA-N 0 1 307.282 3.305 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1ccc(C(F)(F)F)nc1Cl ZINC001653016739 1195680627 /nfs/dbraw/zinc/68/06/27/1195680627.db2.gz HKULQHUPAYVFED-JTQLQIEISA-N 0 1 308.731 3.171 20 30 DGEDMN C#C[C@H](NCc1cc(Br)ccc1C)[C@@H]1CCCO1 ZINC001653348512 1195713032 /nfs/dbraw/zinc/71/30/32/1195713032.db2.gz DODXAIOIYRZUEJ-GJZGRUSLSA-N 0 1 308.219 3.028 20 30 DGEDMN C=CCN(CCOC)C[C@H](O)c1ccc(Cl)c(Cl)c1 ZINC001653479701 1195728089 /nfs/dbraw/zinc/72/80/89/1195728089.db2.gz DRNOQPZUHCPTBC-AWEZNQCLSA-N 0 1 304.217 3.161 20 30 DGEDMN CN1CCN([C@H](C#N)c2ccccc2)C[C@H]1Cc1ccccc1 ZINC001653537676 1195732147 /nfs/dbraw/zinc/73/21/47/1195732147.db2.gz JJFQEXDOOWLEFA-WOJBJXKFSA-N 0 1 305.425 3.110 20 30 DGEDMN CC1(C#N)CCN(CCc2cccc3c2OC(F)(F)O3)CC1 ZINC001653871645 1195756764 /nfs/dbraw/zinc/75/67/64/1195756764.db2.gz MATVYBFJCNOLRG-UHFFFAOYSA-N 0 1 308.328 3.176 20 30 DGEDMN C#CC1CCN(CC(=O)N[C@@H](c2ccccc2)C2CCCC2)CC1 ZINC001654002360 1195766576 /nfs/dbraw/zinc/76/65/76/1195766576.db2.gz VBSHUEMIJWZROP-NRFANRHFSA-N 0 1 324.468 3.379 20 30 DGEDMN Cc1ccc(C[N@H+](C)Cc2nc(=O)c3sccc3[n-]2)cc1C#N ZINC001654102523 1195774704 /nfs/dbraw/zinc/77/47/04/1195774704.db2.gz IBAXMWGPPZKFCN-UHFFFAOYSA-N 0 1 324.409 3.209 20 30 DGEDMN Cc1ccc(C[N@@H+](C)Cc2nc(=O)c3sccc3[n-]2)cc1C#N ZINC001654102523 1195774706 /nfs/dbraw/zinc/77/47/06/1195774706.db2.gz IBAXMWGPPZKFCN-UHFFFAOYSA-N 0 1 324.409 3.209 20 30 DGEDMN CCCCCCCCCCN1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001654718506 1195832058 /nfs/dbraw/zinc/83/20/58/1195832058.db2.gz GXQWHZZXWKAKHW-IAGOWNOFSA-N 0 1 315.523 3.494 20 30 DGEDMN O[C@H]1C[C@](NCC#Cc2ccc(Cl)cc2)(c2ccccc2)C1 ZINC001654721791 1195832127 /nfs/dbraw/zinc/83/21/27/1195832127.db2.gz KOJUNNJCISAQNM-KDURUIRLSA-N 0 1 311.812 3.331 20 30 DGEDMN C[C@@H]1CN(C[C@H](O)c2cccc(C#N)c2)CC2(CCCCC2)O1 ZINC001654896544 1195852195 /nfs/dbraw/zinc/85/21/95/1195852195.db2.gz JPHQMXOVILSTOA-QAPCUYQASA-N 0 1 314.429 3.015 20 30 DGEDMN N#Cc1nc(NCc2n[nH]c(-c3ccccc3)n2)sc1Cl ZINC001655086653 1195874103 /nfs/dbraw/zinc/87/41/03/1195874103.db2.gz KHDJPFQFVXGGFY-UHFFFAOYSA-N 0 1 316.777 3.065 20 30 DGEDMN N#Cc1nc(NCc2nc(-c3ccccc3)n[nH]2)sc1Cl ZINC001655086653 1195874108 /nfs/dbraw/zinc/87/41/08/1195874108.db2.gz KHDJPFQFVXGGFY-UHFFFAOYSA-N 0 1 316.777 3.065 20 30 DGEDMN N#Cc1cccc(CN[C@@H](Cn2ccnc2)c2ccccc2)c1 ZINC001655430731 1195916118 /nfs/dbraw/zinc/91/61/18/1195916118.db2.gz FQWHGWBXFJCMOP-IBGZPJMESA-N 0 1 302.381 3.286 20 30 DGEDMN C[C@@H](CCC#N)N1CCC[C@H](c2ncc(Br)cn2)C1 ZINC001655580855 1195934911 /nfs/dbraw/zinc/93/49/11/1195934911.db2.gz IOFXWIMHBSURNB-RYUDHWBXSA-N 0 1 323.238 3.111 20 30 DGEDMN C=CCN1CC[C@@H](N2CC=C(c3cccc(C)c3C)CC2)C1=O ZINC001655841279 1195968701 /nfs/dbraw/zinc/96/87/01/1195968701.db2.gz OJJTXGRSZVVXSY-LJQANCHMSA-N 0 1 310.441 3.179 20 30 DGEDMN C#CCN1CCC(Nc2nc(C)nc3sc(CC)cc32)CC1 ZINC001655870958 1195971764 /nfs/dbraw/zinc/97/17/64/1195971764.db2.gz XTVBBSQPICJKEL-UHFFFAOYSA-N 0 1 314.458 3.072 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)c1cccc(F)c1 ZINC001656092942 1195999506 /nfs/dbraw/zinc/99/95/06/1195999506.db2.gz MWOOEUDSVRRSRT-KRWDZBQOSA-N 0 1 318.436 3.212 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC[C@@H]1CCc2ccccc21 ZINC001656109227 1196003785 /nfs/dbraw/zinc/00/37/85/1196003785.db2.gz GZLPSAKXTAVXLP-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C(C)(C)C)C1 ZINC001656252001 1196023851 /nfs/dbraw/zinc/02/38/51/1196023851.db2.gz PUOGPYFSCHRDAT-RYQLBKOJSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1cc(C)ccc1OC ZINC001656377310 1196034344 /nfs/dbraw/zinc/03/43/44/1196034344.db2.gz PMYLMJDCJDJLPP-OAHLLOKOSA-N 0 1 302.418 3.373 20 30 DGEDMN C=C(Br)CNCc1cn(C)nc1-c1ccccc1 ZINC001656390574 1196034756 /nfs/dbraw/zinc/03/47/56/1196034756.db2.gz XWQQYRSMHGGOJO-UHFFFAOYSA-N 0 1 306.207 3.085 20 30 DGEDMN C=CCCCN(CC)CC(=O)c1[nH]c(C)c(C(=O)OCC)c1C ZINC001656401971 1196036276 /nfs/dbraw/zinc/03/62/76/1196036276.db2.gz KSUFGJUVSAPSDS-UHFFFAOYSA-N 0 1 320.433 3.279 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CC(C)C)C1 ZINC001656670077 1196059855 /nfs/dbraw/zinc/05/98/55/1196059855.db2.gz SNKZCMSYZJSMOV-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN CC(C)c1nc(CNCc2nc(C#N)cs2)c2ccccn21 ZINC001657048340 1196096998 /nfs/dbraw/zinc/09/69/98/1196096998.db2.gz AGECXBWTIONIJV-UHFFFAOYSA-N 0 1 311.414 3.076 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](C=C)c1ccccc1 ZINC001657082595 1196102507 /nfs/dbraw/zinc/10/25/07/1196102507.db2.gz DEIRESNDCSQCNR-ICSRJNTNSA-N 0 1 324.468 3.292 20 30 DGEDMN Cc1noc(-c2ccc(CNCc3csc(C#N)c3)cc2)n1 ZINC001657134170 1196108862 /nfs/dbraw/zinc/10/88/62/1196108862.db2.gz UDIDIXJBXMFQTC-UHFFFAOYSA-N 0 1 310.382 3.268 20 30 DGEDMN C#CCN(CCSc1ccncc1)Cc1ccc(F)cc1 ZINC001657142147 1196110714 /nfs/dbraw/zinc/11/07/14/1196110714.db2.gz STJPRNRJUJOANI-UHFFFAOYSA-N 0 1 300.402 3.448 20 30 DGEDMN COc1cc(CNCc2csc(C#N)c2)c2ccccc2n1 ZINC001657313653 1196129586 /nfs/dbraw/zinc/12/95/86/1196129586.db2.gz IHYXCAPATFIAEL-UHFFFAOYSA-N 0 1 309.394 3.466 20 30 DGEDMN N#Cc1ccc(CNCc2c(F)cccc2-n2cccn2)cc1F ZINC001658120535 1196213681 /nfs/dbraw/zinc/21/36/81/1196213681.db2.gz CZALQFRLUPGKKG-UHFFFAOYSA-N 0 1 324.334 3.312 20 30 DGEDMN C#Cc1cccc(CNCc2cnn(Cc3ccc(F)cc3)c2)c1 ZINC001658123304 1196214181 /nfs/dbraw/zinc/21/41/81/1196214181.db2.gz SQSGXIZUJROFLL-UHFFFAOYSA-N 0 1 319.383 3.342 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)s2)cc1OC1CC1 ZINC001658149926 1196218948 /nfs/dbraw/zinc/21/89/48/1196218948.db2.gz GHTOOKJPDXMYAK-UHFFFAOYSA-N 0 1 314.410 3.459 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](NCc1nc3cc(F)ccc3o1)C2 ZINC001658472063 1196261278 /nfs/dbraw/zinc/26/12/78/1196261278.db2.gz FZYLEFFCSDNJBS-OAHLLOKOSA-N 0 1 307.328 3.096 20 30 DGEDMN Cn1cc([C@@H]2C[C@H]2CN2CCC[C@H]2[C@@H](C#N)c2ccccc2)cn1 ZINC001658899404 1196317291 /nfs/dbraw/zinc/31/72/91/1196317291.db2.gz PPFFIKNHOYGECU-RNQOJCNYSA-N 0 1 320.440 3.295 20 30 DGEDMN CCO[C@@H]1C[C@@H](NCC#Cc2ccccc2)[C@H]1Oc1ccccc1 ZINC001658921038 1196319054 /nfs/dbraw/zinc/31/90/54/1196319054.db2.gz AIFZGNXHJROYML-NJDAHSKKSA-N 0 1 321.420 3.253 20 30 DGEDMN C#CC1(NCc2sc(N3CCCC3)nc2Cl)CCCC1 ZINC001659901790 1196427052 /nfs/dbraw/zinc/42/70/52/1196427052.db2.gz DQKXXCKCEAIORS-UHFFFAOYSA-N 0 1 309.866 3.432 20 30 DGEDMN CC#CCN(C)Cc1ccnc2c(Br)cccc12 ZINC001660130967 1196450160 /nfs/dbraw/zinc/45/01/60/1196450160.db2.gz FJCZLFAETFVBJY-UHFFFAOYSA-N 0 1 303.203 3.452 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N(CC)[C@@H](C)c1ccc(F)cc1 ZINC001660526193 1196494301 /nfs/dbraw/zinc/49/43/01/1196494301.db2.gz GYJHVOIBZNUPAK-YOEHRIQHSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C(C)C[C@H](NCc1c(O)ccc(Cl)c1F)C(=O)OCC ZINC001660592794 1196500853 /nfs/dbraw/zinc/50/08/53/1196500853.db2.gz JJQMRJOVYCEBTE-LBPRGKRZSA-N 0 1 315.772 3.172 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001660794819 1196525738 /nfs/dbraw/zinc/52/57/38/1196525738.db2.gz VYJSRXVLJQVXJL-IBGZPJMESA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCc2ccc(C(C)C)cc2)C1 ZINC001661003709 1196552487 /nfs/dbraw/zinc/55/24/87/1196552487.db2.gz CVUWFRLGJJUATH-SFHVURJKSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1 ZINC001661024553 1196554879 /nfs/dbraw/zinc/55/48/79/1196554879.db2.gz QRZNUQUEXCQCQR-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C#CCCCCCC(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001661090071 1196565096 /nfs/dbraw/zinc/56/50/96/1196565096.db2.gz MKEGIJYFYUFFLZ-UHFFFAOYSA-N 0 1 316.489 3.296 20 30 DGEDMN C=CCOc1cccc(CN[C@H](C)C(=O)NCc2ccccc2)c1 ZINC001661137344 1196571911 /nfs/dbraw/zinc/57/19/11/1196571911.db2.gz QSXOAPHBDVMBLL-MRXNPFEDSA-N 0 1 324.424 3.046 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1cccc(C)c1)C1CC1 ZINC001661171597 1196575553 /nfs/dbraw/zinc/57/55/53/1196575553.db2.gz BBQZNWCABFYYEM-YOEHRIQHSA-N 0 1 320.864 3.335 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)CCCC ZINC001661258228 1196589569 /nfs/dbraw/zinc/58/95/69/1196589569.db2.gz ZRQZETPVNHFDPJ-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](C)C(C)(C)C ZINC001661929700 1196663347 /nfs/dbraw/zinc/66/33/47/1196663347.db2.gz KCDBZFHTAOGBDB-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C(C1CC1)C1CC1 ZINC001661958872 1196666417 /nfs/dbraw/zinc/66/64/17/1196666417.db2.gz ADWGEIHOGXGDKH-HNNXBMFYSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1ccc(F)cc1Cl ZINC001662203316 1196694612 /nfs/dbraw/zinc/69/46/12/1196694612.db2.gz YYHRBCOSKXLLBR-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001662387918 1196716372 /nfs/dbraw/zinc/71/63/72/1196716372.db2.gz JVTMKUXFILGAMT-JSGCOSHPSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](N(C)C/C=C/Cl)C2)CCC1 ZINC001662862499 1196763426 /nfs/dbraw/zinc/76/34/26/1196763426.db2.gz NZUPEYWWFAWIKB-KDHUCADRSA-N 0 1 310.869 3.408 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001663288968 1196827419 /nfs/dbraw/zinc/82/74/19/1196827419.db2.gz XRZWUJFVZRRVMT-SFHVURJKSA-N 0 1 306.494 3.300 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(CC(C)C)s1 ZINC001663389798 1196854566 /nfs/dbraw/zinc/85/45/66/1196854566.db2.gz ZQXAFILMPLJSKL-UHFFFAOYSA-N 0 1 306.475 3.022 20 30 DGEDMN CC1(C(=O)NC[C@@H]2CCN(CC#Cc3ccccc3)C2)CCCC1 ZINC001663609004 1196912924 /nfs/dbraw/zinc/91/29/24/1196912924.db2.gz QELWCQZVTGWEBS-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H]1CCCc2ccccc21)C1CC1 ZINC001663769227 1196942889 /nfs/dbraw/zinc/94/28/89/1196942889.db2.gz QTYGFQDUNAJLBP-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN CN(CCNCc1ccc(Cl)cc1F)C(=O)C#CC(C)(C)C ZINC001663924256 1196957193 /nfs/dbraw/zinc/95/71/93/1196957193.db2.gz UGBGCCCNYQJDSN-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN CC(C)CCCC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001664200749 1196979348 /nfs/dbraw/zinc/97/93/48/1196979348.db2.gz ZVDLLQGGPOLLIP-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H](C)C1CCCCC1 ZINC001664243851 1196983158 /nfs/dbraw/zinc/98/31/58/1196983158.db2.gz AAZGGFFPWSJIAX-CYBMUJFWSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1C1CCC1 ZINC001664337571 1196990604 /nfs/dbraw/zinc/99/06/04/1196990604.db2.gz WIAZIEGUCCAKAK-UHFFFAOYSA-N 0 1 306.837 3.368 20 30 DGEDMN C=CCCC(=O)N1CCC([C@@H](C)N[C@H](C)c2ncc(C)o2)CC1 ZINC001664424682 1196996926 /nfs/dbraw/zinc/99/69/26/1196996926.db2.gz CYXXJHOXMSZYCA-HUUCEWRRSA-N 0 1 319.449 3.227 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1c[nH]nc1-c1ccc(Br)cc1 ZINC001664497242 1197007507 /nfs/dbraw/zinc/00/75/07/1197007507.db2.gz JEZCMEMAGVKLKG-LLVKDONJSA-N 0 1 318.218 3.293 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc[nH]c1)c1ccc(C(C)C)cc1 ZINC001664561938 1197013852 /nfs/dbraw/zinc/01/38/52/1197013852.db2.gz SJETWOYVXQAKCP-IBGZPJMESA-N 0 1 323.440 3.222 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC1CCCCCC1)c1ccccc1 ZINC001664593435 1197018871 /nfs/dbraw/zinc/01/88/71/1197018871.db2.gz XWGZPBDEWZXLOT-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2sccc2C)C1 ZINC001664651163 1197026621 /nfs/dbraw/zinc/02/66/21/1197026621.db2.gz NOJDORHZBPPATO-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccc(C)cc2)C1 ZINC001664673510 1197030836 /nfs/dbraw/zinc/03/08/36/1197030836.db2.gz LWLRPXHFBZKOPZ-IBGZPJMESA-N 0 1 314.473 3.427 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001664716629 1197042653 /nfs/dbraw/zinc/04/26/53/1197042653.db2.gz FXXDQIPFBGXQBK-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCCC(=O)NCC[C@H]1CCN(Cc2ncc(C)s2)C1 ZINC001664735780 1197047267 /nfs/dbraw/zinc/04/72/67/1197047267.db2.gz SVMYACFRVUXUKK-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001664760478 1197054096 /nfs/dbraw/zinc/05/40/96/1197054096.db2.gz UBHMLQKDYQZSDO-MNAZLIDISA-N 0 1 324.896 3.393 20 30 DGEDMN C[C@H](CCC#N)CN[C@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001664767138 1197055500 /nfs/dbraw/zinc/05/55/00/1197055500.db2.gz WIARBCIDKRZULC-VXGBXAGGSA-N 0 1 319.396 3.064 20 30 DGEDMN C#Cc1ccc(NC(=O)N2C[C@@H](C)N(CC)C[C@@H]2C)c(Cl)c1 ZINC001664986163 1197084578 /nfs/dbraw/zinc/08/45/78/1197084578.db2.gz ZWCIWNUIQDNNAI-OLZOCXBDSA-N 0 1 319.836 3.268 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)OC(C)(C)C)CN(Cc2csc(C#N)c2)C1 ZINC001665026889 1197089248 /nfs/dbraw/zinc/08/92/48/1197089248.db2.gz FXUBTCMOSOVLGP-OCCSQVGLSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001665080731 1197095597 /nfs/dbraw/zinc/09/55/97/1197095597.db2.gz HGFBEIINQRFEEE-WMZOPIPTSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@@H]2CCc3c2cccc3F)C1 ZINC001665324314 1197123052 /nfs/dbraw/zinc/12/30/52/1197123052.db2.gz MYGJHGLROHFFPY-GOSISDBHSA-N 0 1 316.420 3.217 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1C ZINC001665371465 1197129557 /nfs/dbraw/zinc/12/95/57/1197129557.db2.gz KMLYVZVJJKRCSW-HOTGVXAUSA-N 0 1 302.418 3.233 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)cc(C)c1 ZINC001665409569 1197133172 /nfs/dbraw/zinc/13/31/72/1197133172.db2.gz HUOAWCUHTRLXFV-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN CCN(C(=O)CC(C)C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001665691028 1197171388 /nfs/dbraw/zinc/17/13/88/1197171388.db2.gz BPCBAMVYPSBVFZ-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H](CC)NCC(=C)Cl)c1ccccc1 ZINC001665888468 1197211919 /nfs/dbraw/zinc/21/19/19/1197211919.db2.gz SQCCMLNYVQZZPK-HOTGVXAUSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN(CC2CCCCCC2)CCO1 ZINC001666720065 1197279952 /nfs/dbraw/zinc/27/99/52/1197279952.db2.gz NZFQYNJOSSHDFW-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H](CC)C(C)(C)C)CC2 ZINC001666834495 1197288951 /nfs/dbraw/zinc/28/89/51/1197288951.db2.gz JEHNEFRISYWXEY-KRWDZBQOSA-N 0 1 318.505 3.397 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)[C@@H](C)C(C)(C)C ZINC001666932359 1197298996 /nfs/dbraw/zinc/29/89/96/1197298996.db2.gz DNKMFOPKGCRWHB-LSDHHAIUSA-N 0 1 315.461 3.177 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001666964320 1197301952 /nfs/dbraw/zinc/30/19/52/1197301952.db2.gz WZANAPPTFCCUQB-MRVPVSSYSA-N 0 1 324.639 3.124 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001668191285 1197404226 /nfs/dbraw/zinc/40/42/26/1197404226.db2.gz QWFVCDVXKGDQKS-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCN[C@H](C)c2ncc(C)o2)CCC1 ZINC001668204306 1197404681 /nfs/dbraw/zinc/40/46/81/1197404681.db2.gz WTAAMHIYPHSYLT-UKRRQHHQSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001668512974 1197417355 /nfs/dbraw/zinc/41/73/55/1197417355.db2.gz YYRVVJDZNDXOEN-UHFFFAOYSA-N 0 1 324.639 3.126 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(C)C(C)(C)C1(C)C ZINC001668660071 1197423478 /nfs/dbraw/zinc/42/34/78/1197423478.db2.gz FCIRKJIRJIEWQZ-UHFFFAOYSA-N 0 1 300.874 3.249 20 30 DGEDMN CCC[C@H](CC)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001669106778 1197440020 /nfs/dbraw/zinc/44/00/20/1197440020.db2.gz ILHRNVUHVVVPEJ-SGQUHAKNSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1cccc(F)c1Cl ZINC001669377700 1197451814 /nfs/dbraw/zinc/45/18/14/1197451814.db2.gz ZPURJYRXYKVYKY-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2cccc(F)c2F)CC1 ZINC001669392427 1197452507 /nfs/dbraw/zinc/45/25/07/1197452507.db2.gz NGJASLKFMRCHTL-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN CCCCC1(C(=O)N[C@@H](C)CNCc2ccccc2C#N)CC1 ZINC001669657310 1197468812 /nfs/dbraw/zinc/46/88/12/1197468812.db2.gz BOIZJXNLNWFNOV-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001671854146 1197575793 /nfs/dbraw/zinc/57/57/93/1197575793.db2.gz LHILZJWNMSSPBW-HUUCEWRRSA-N 0 1 312.885 3.393 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCC(F)F)c1ccccc1CC ZINC001672177587 1197594685 /nfs/dbraw/zinc/59/46/85/1197594685.db2.gz YQKLQWDBPVWZSN-INIZCTEOSA-N 0 1 322.399 3.065 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)CCC)c1ccccc1CC ZINC001672176311 1197594790 /nfs/dbraw/zinc/59/47/90/1197594790.db2.gz IARZEKXKKQJMDL-QAPCUYQASA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCCC12CC2)c1ccccc1CC ZINC001672177644 1197594969 /nfs/dbraw/zinc/59/49/69/1197594969.db2.gz ZLTOEGUHUUWIAY-OALUTQOASA-N 0 1 324.468 3.209 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1CCCCC1 ZINC001672920340 1197628446 /nfs/dbraw/zinc/62/84/46/1197628446.db2.gz BFDYADWSPQXBEH-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001673080139 1197637221 /nfs/dbraw/zinc/63/72/21/1197637221.db2.gz ZXEYKYHXRVOPHR-UHFFFAOYSA-N 0 1 324.468 3.287 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001673201921 1197643056 /nfs/dbraw/zinc/64/30/56/1197643056.db2.gz OFQRFNKUJLPEDL-OAHLLOKOSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(C)(C)CC(F)F)C[C@@H]1C ZINC001673893585 1197703398 /nfs/dbraw/zinc/70/33/98/1197703398.db2.gz XZBKHJUXQGMEEV-JQWIXIFHSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCF)CC2(C)C)CCCC1 ZINC001674033673 1197725428 /nfs/dbraw/zinc/72/54/28/1197725428.db2.gz JMHWDQBMHMFBRT-OAHLLOKOSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C(C)C[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)C ZINC001674271581 1197754951 /nfs/dbraw/zinc/75/49/51/1197754951.db2.gz KRHIVPZRIDCVDU-KRWDZBQOSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)C ZINC001674271581 1197754953 /nfs/dbraw/zinc/75/49/53/1197754953.db2.gz KRHIVPZRIDCVDU-KRWDZBQOSA-N 0 1 300.446 3.324 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC1=CCCCC1 ZINC001674419804 1197770387 /nfs/dbraw/zinc/77/03/87/1197770387.db2.gz HJGOFNHHZLQUEJ-TZIWHRDSSA-N 0 1 322.452 3.272 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC1CC(C)(C)C1 ZINC001674425369 1197771908 /nfs/dbraw/zinc/77/19/08/1197771908.db2.gz ZIDMMVIUEGVCJV-UYAOXDASSA-N 0 1 324.468 3.208 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1CCC=CCC1 ZINC001674429815 1197773009 /nfs/dbraw/zinc/77/30/09/1197773009.db2.gz XGNDQQMMJRULLU-WOJBJXKFSA-N 0 1 322.452 3.128 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CN(C)C[C@@H](F)CC)CCC1 ZINC001674481281 1197784807 /nfs/dbraw/zinc/78/48/07/1197784807.db2.gz RYOGFYUGWWTNCH-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC[C@@H](C)c1ccccc1 ZINC001674486676 1197785362 /nfs/dbraw/zinc/78/53/62/1197785362.db2.gz OCWHPYQVWZUWGK-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN CCC(CC)CC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001674748652 1197857498 /nfs/dbraw/zinc/85/74/98/1197857498.db2.gz RLNLYJWUHTUODF-GJZGRUSLSA-N 0 1 315.461 3.367 20 30 DGEDMN CCCC(=O)NC1(CNCc2ccccc2C#N)CCCCC1 ZINC001675160156 1197996854 /nfs/dbraw/zinc/99/68/54/1197996854.db2.gz KYBWPTBDQNSQHK-UHFFFAOYSA-N 0 1 313.445 3.267 20 30 DGEDMN C=C(Br)CNC[C@H](CCCC)NC(=O)/C(C)=C\C ZINC001675219302 1198011824 /nfs/dbraw/zinc/01/18/24/1198011824.db2.gz XHKIWPFLRLMNDA-GXJZLWQJSA-N 0 1 317.271 3.126 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(CCC)CCC1 ZINC001675263140 1198027471 /nfs/dbraw/zinc/02/74/71/1198027471.db2.gz MMRIHHHGAJEODM-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CN(Cc3ccsc3)C2)C1 ZINC001675343450 1198047276 /nfs/dbraw/zinc/04/72/76/1198047276.db2.gz PICSEGRJOLOXFC-CYBMUJFWSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCCC(C)(C)C)C(C)(C)C1 ZINC001675457704 1198070803 /nfs/dbraw/zinc/07/08/03/1198070803.db2.gz CLNUVQQHWILILT-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@H](F)CC)CC1(C)C ZINC001675467173 1198073251 /nfs/dbraw/zinc/07/32/51/1198073251.db2.gz AAOMUSLAXUCUKS-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001675751364 1198134399 /nfs/dbraw/zinc/13/43/99/1198134399.db2.gz FXILQBIGVGCIJM-PAYQAHJCSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)Cc1cccc(C)c1 ZINC001676244656 1198259061 /nfs/dbraw/zinc/25/90/61/1198259061.db2.gz UKVMJQHUXBGHBW-QGZVFWFLSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H](C)c1ccc(C)o1 ZINC001676255930 1198263279 /nfs/dbraw/zinc/26/32/79/1198263279.db2.gz KARKBMAVJPYQRF-DZGCQCFKSA-N 0 1 324.852 3.271 20 30 DGEDMN CC(C)CCC(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001676300339 1198280796 /nfs/dbraw/zinc/28/07/96/1198280796.db2.gz SSRLPNKJVCRFIP-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001676319267 1198286670 /nfs/dbraw/zinc/28/66/70/1198286670.db2.gz ZPGRYSNKVNIJBB-HNNXBMFYSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001676418945 1198317784 /nfs/dbraw/zinc/31/77/84/1198317784.db2.gz XDIUPTPWPKPQQX-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCc2ccns2)[C@@H]1C ZINC001676872276 1198417489 /nfs/dbraw/zinc/41/74/89/1198417489.db2.gz IDPZTKJDYHHZIJ-GDBMZVCRSA-N 0 1 321.490 3.011 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)CCc2ccc(C)s2)[C@@H]1C ZINC001676897840 1198428427 /nfs/dbraw/zinc/42/84/27/1198428427.db2.gz HEFVQWGWVRVKDP-GOEBONIOSA-N 0 1 306.475 3.144 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)C2(CC=C)CCCC2)[C@@H]1C ZINC001676898795 1198428545 /nfs/dbraw/zinc/42/85/45/1198428545.db2.gz RKNRPGDJRZTNQD-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](CNCc2ncc(C(C)C)o2)C1 ZINC001676915065 1198434532 /nfs/dbraw/zinc/43/45/32/1198434532.db2.gz ZDEQWXZDDYWUAY-CABCVRRESA-N 0 1 319.449 3.139 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](C)C1CCCCC1)C(C)C ZINC001677215460 1198492090 /nfs/dbraw/zinc/49/20/90/1198492090.db2.gz OCJMUMGGXAVUMC-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CC1CC(F)(F)C1)C(C)(C)C ZINC001677263211 1198503788 /nfs/dbraw/zinc/50/37/88/1198503788.db2.gz MXFFVHIYXGMMRF-GFCCVEGCSA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CNCc1csc(C)n1)C(C)(C)C ZINC001677276599 1198507674 /nfs/dbraw/zinc/50/76/74/1198507674.db2.gz FBEDSCPUWNONOD-HNNXBMFYSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CCC1(CNC(=O)N2C[C@H](C)N(CC)C[C@@H]2C)CCCC1 ZINC001682312363 1199149733 /nfs/dbraw/zinc/14/97/33/1199149733.db2.gz YJPSJYBYNCEXSM-HOTGVXAUSA-N 0 1 307.482 3.247 20 30 DGEDMN C=C1CN(C(=O)NC[C@@H](c2ccccc2Cl)N(CC)CC)C1 ZINC001682476543 1199164643 /nfs/dbraw/zinc/16/46/43/1199164643.db2.gz GRHFLOOFBKDJCG-INIZCTEOSA-N 0 1 321.852 3.304 20 30 DGEDMN C=C(C)CCNC(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC001682512566 1199167505 /nfs/dbraw/zinc/16/75/05/1199167505.db2.gz BBDLKYRTLCLJRG-GOSISDBHSA-N 0 1 315.461 3.431 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(C)C(C)(C)C2)CCCC1 ZINC001682692234 1199185513 /nfs/dbraw/zinc/18/55/13/1199185513.db2.gz QWTCGGFCIWEJSI-UHFFFAOYSA-N 0 1 307.482 3.249 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC001682709739 1199188713 /nfs/dbraw/zinc/18/87/13/1199188713.db2.gz NSTCRCXGXBLKLT-KRWDZBQOSA-N 0 1 321.440 3.426 20 30 DGEDMN C=CC(C)(C)NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC001685194181 1199452882 /nfs/dbraw/zinc/45/28/82/1199452882.db2.gz UFGJRULPUGOGBB-AWEZNQCLSA-N 0 1 309.841 3.207 20 30 DGEDMN N#Cc1cc(F)c(O[C@H]2CCN(Cc3ccccc3)C2)c(F)c1 ZINC000303377008 1199594024 /nfs/dbraw/zinc/59/40/24/1199594024.db2.gz PNQXCUUFYSDTCN-HNNXBMFYSA-N 0 1 314.335 3.490 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001688878954 1199938931 /nfs/dbraw/zinc/93/89/31/1199938931.db2.gz SRIPIEWZRQMSSI-MOPGFXCFSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H]1CCN1CCc1cccs1 ZINC001688888857 1199944032 /nfs/dbraw/zinc/94/40/32/1199944032.db2.gz PUIVLEVTVGHNMM-OAHLLOKOSA-N 0 1 320.502 3.426 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccccc1F ZINC001688890702 1199945610 /nfs/dbraw/zinc/94/56/10/1199945610.db2.gz BLEALQDRCMIAQS-CABCVRRESA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C(C)(C)C1CCCCC1 ZINC001688891552 1199945674 /nfs/dbraw/zinc/94/56/74/1199945674.db2.gz SACNAKHLOHZWFF-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@@H](C)COC)CCCCC1 ZINC001688896816 1199948940 /nfs/dbraw/zinc/94/89/40/1199948940.db2.gz LBXBNULHSFWCPA-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2[C@@H](C)COC)CCCCC1 ZINC001688896816 1199948943 /nfs/dbraw/zinc/94/89/43/1199948943.db2.gz LBXBNULHSFWCPA-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CC[N@@H+]2[C@H](C)COC)CCCCC1 ZINC001688896817 1199949676 /nfs/dbraw/zinc/94/96/76/1199949676.db2.gz LBXBNULHSFWCPA-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2[C@H](C)COC)CCCCC1 ZINC001688896817 1199949678 /nfs/dbraw/zinc/94/96/78/1199949678.db2.gz LBXBNULHSFWCPA-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](NCc2cscn2)C(C)C)CC1 ZINC001753839405 1199989147 /nfs/dbraw/zinc/98/91/47/1199989147.db2.gz ZYUVZCMMHFBGMM-INIZCTEOSA-N 0 1 321.490 3.120 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001753870116 1200024477 /nfs/dbraw/zinc/02/44/77/1200024477.db2.gz QGSAQWMPTONBJG-INIZCTEOSA-N 0 1 300.446 3.227 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001689183192 1200055123 /nfs/dbraw/zinc/05/51/23/1200055123.db2.gz ZQBDJTRXDMWNCB-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1ccc(Cl)cc1F ZINC001754036763 1200080790 /nfs/dbraw/zinc/08/07/90/1200080790.db2.gz BGZGCQJGJOSJAZ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001689293982 1200083830 /nfs/dbraw/zinc/08/38/30/1200083830.db2.gz OIJTVFRCLFEWLP-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(Cl)ccc1F ZINC001754056226 1200086643 /nfs/dbraw/zinc/08/66/43/1200086643.db2.gz VGXLXNLOIYQDSJ-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001754068897 1200092330 /nfs/dbraw/zinc/09/23/30/1200092330.db2.gz CWDCNJZUFWTHEU-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(C)cc(Cl)c1 ZINC001754150768 1200115423 /nfs/dbraw/zinc/11/54/23/1200115423.db2.gz YBBPGXANEJCDJZ-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C)c(Cl)c1F ZINC001754276733 1200168182 /nfs/dbraw/zinc/16/81/82/1200168182.db2.gz DMRHWFLNEGXRMF-JTQLQIEISA-N 0 1 319.207 3.248 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001754278538 1200168230 /nfs/dbraw/zinc/16/82/30/1200168230.db2.gz CZVHSVPJFBCNTL-LBPRGKRZSA-N 0 1 319.836 3.245 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001754279530 1200169910 /nfs/dbraw/zinc/16/99/10/1200169910.db2.gz NOZZUBAERRZIEX-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2ccc(F)cc2s1 ZINC001754316756 1200202697 /nfs/dbraw/zinc/20/26/97/1200202697.db2.gz YDMTVVDWVKPZRM-LBPRGKRZSA-N 0 1 318.417 3.114 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1sccc1C1CC1)C1CC1 ZINC001754458821 1200273911 /nfs/dbraw/zinc/27/39/11/1200273911.db2.gz KPPHIJFFDDLLAE-AWEZNQCLSA-N 0 1 324.877 3.476 20 30 DGEDMN CCC1(C(=O)NCCCN(C)Cc2ccc(C#N)s2)CCC1 ZINC001689823723 1200299301 /nfs/dbraw/zinc/29/93/01/1200299301.db2.gz YIHTZHQNJMWPTA-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1[C@H](C)c1cccc(OC)c1 ZINC001754492066 1200303081 /nfs/dbraw/zinc/30/30/81/1200303081.db2.gz UZLYNEWZAHEGCP-NVXWUHKLSA-N 0 1 316.445 3.303 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001754496918 1200311456 /nfs/dbraw/zinc/31/14/56/1200311456.db2.gz YBRKTHLXXSKHJW-RTBURBONSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2CC[C@@H](C)F)CCCCC1 ZINC001754505576 1200322905 /nfs/dbraw/zinc/32/29/05/1200322905.db2.gz QCJALNTUZIRGLJ-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN N#Cc1ccccc1CN(CCCNC(=O)C=C1CCC1)C1CC1 ZINC001689983905 1200352045 /nfs/dbraw/zinc/35/20/45/1200352045.db2.gz QYAWMNCRZNNIGI-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001754584127 1200379948 /nfs/dbraw/zinc/37/99/48/1200379948.db2.gz YQIFKQKMKXADRY-ZFWWWQNUSA-N 0 1 304.434 3.189 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001754587919 1200383376 /nfs/dbraw/zinc/38/33/76/1200383376.db2.gz DYQDLSKRRSXPFW-OAHLLOKOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001754587598 1200383582 /nfs/dbraw/zinc/38/35/82/1200383582.db2.gz SMRQAJHIPQUFJB-HNNXBMFYSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001754587918 1200384353 /nfs/dbraw/zinc/38/43/53/1200384353.db2.gz DYQDLSKRRSXPFW-HNNXBMFYSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001754587275 1200384400 /nfs/dbraw/zinc/38/44/00/1200384400.db2.gz ISICRFACCDYXFU-CYBMUJFWSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001690050539 1200385554 /nfs/dbraw/zinc/38/55/54/1200385554.db2.gz NECMHHNORCMLAO-MSOLQXFVSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)c(C)o2)C[C@@H]1C ZINC001754596377 1200387991 /nfs/dbraw/zinc/38/79/91/1200387991.db2.gz WCDOIZQZOSBTRA-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001754609042 1200395266 /nfs/dbraw/zinc/39/52/66/1200395266.db2.gz MFALQZNUJBALQS-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001690135747 1200413716 /nfs/dbraw/zinc/41/37/16/1200413716.db2.gz RCXKDCBKMXTQGI-NNZMDNLPSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CCCC23CC3)CC1 ZINC001690135895 1200414031 /nfs/dbraw/zinc/41/40/31/1200414031.db2.gz XCYUUPGAEDSVNV-GOSISDBHSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1occc1C)C1CCCC1 ZINC001754648805 1200415718 /nfs/dbraw/zinc/41/57/18/1200415718.db2.gz BCYQIVBJPPBNMU-HNNXBMFYSA-N 0 1 324.852 3.148 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1occc1C)C1CCCC1 ZINC001754648806 1200415958 /nfs/dbraw/zinc/41/59/58/1200415958.db2.gz BCYQIVBJPPBNMU-OAHLLOKOSA-N 0 1 324.852 3.148 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC2(CC2)C1)C1CCCC1 ZINC001754649109 1200416478 /nfs/dbraw/zinc/41/64/78/1200416478.db2.gz DFIQXACBOJJCBW-HNNXBMFYSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1(C2CCC2)CCC1)C1CC1 ZINC001690163052 1200427051 /nfs/dbraw/zinc/42/70/51/1200427051.db2.gz UUYMBIJZMNXYMJ-OAHLLOKOSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2oc(C(C)C)nc2C)C1 ZINC001754669225 1200430537 /nfs/dbraw/zinc/43/05/37/1200430537.db2.gz ZDTRCAXGFAYZCY-SFHVURJKSA-N 0 1 319.449 3.153 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2cc(C)ccc2F)C1 ZINC001754671523 1200431732 /nfs/dbraw/zinc/43/17/32/1200431732.db2.gz DGRBRJRPQBQEDL-LJQANCHMSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc2ccccc2[nH]1)C1CC1 ZINC001690179006 1200436348 /nfs/dbraw/zinc/43/63/48/1200436348.db2.gz ZHSGRHOIOSNSCO-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H]2CCCC23CC3)C1 ZINC001690191706 1200446553 /nfs/dbraw/zinc/44/65/53/1200446553.db2.gz YKLBOSHSLUMHDM-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1C ZINC001690202466 1200453558 /nfs/dbraw/zinc/45/35/58/1200453558.db2.gz UMXCBRBQDCEUPN-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CC(C)(F)F)CC1 ZINC001754720121 1200462626 /nfs/dbraw/zinc/46/26/26/1200462626.db2.gz HVOSFTGPUTZYKO-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2cc(C)no2)C1 ZINC001754726493 1200470411 /nfs/dbraw/zinc/47/04/11/1200470411.db2.gz BFJLMFUHELJQQY-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@]2(C)CCCN(C/C=C\Cl)C2)C1 ZINC001754730074 1200475603 /nfs/dbraw/zinc/47/56/03/1200475603.db2.gz CEAPBZCKUIASFH-GMNBZTITSA-N 0 1 310.869 3.314 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001754734985 1200479897 /nfs/dbraw/zinc/47/98/97/1200479897.db2.gz QTGNICHISYOGAM-PMACEKPBSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CC12CCCC2)c1ccccc1CC ZINC001754790867 1200490536 /nfs/dbraw/zinc/49/05/36/1200490536.db2.gz SLIZBWRYMROTGF-MOPGFXCFSA-N 0 1 324.468 3.209 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001690310809 1200492863 /nfs/dbraw/zinc/49/28/63/1200492863.db2.gz USGFDHPMYDNDHK-GOOCMWNKSA-N 0 1 310.869 3.453 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001690388496 1200530836 /nfs/dbraw/zinc/53/08/36/1200530836.db2.gz KRBRRGNZSJHWTF-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C[C@@H](C)C3CC3)cccc2C1 ZINC001754975652 1200562648 /nfs/dbraw/zinc/56/26/48/1200562648.db2.gz HWZHUSHDLGQVKG-MRXNPFEDSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](CC)CC1CCCC1 ZINC001690455100 1200570245 /nfs/dbraw/zinc/57/02/45/1200570245.db2.gz PYCJEKPUKXJZJK-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2F)CC1 ZINC001690458136 1200573776 /nfs/dbraw/zinc/57/37/76/1200573776.db2.gz ZCOYRGXWFTXCAR-OAHLLOKOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C(F)F)o2)CCC1 ZINC001754991757 1200577977 /nfs/dbraw/zinc/57/79/77/1200577977.db2.gz AQXMGEMIGVIAPZ-UHFFFAOYSA-N 0 1 318.751 3.212 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001755042761 1200611163 /nfs/dbraw/zinc/61/11/63/1200611163.db2.gz VBFUZSXMYCXYJW-XMHGGMMESA-N 0 1 324.468 3.341 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001755075182 1200629054 /nfs/dbraw/zinc/62/90/54/1200629054.db2.gz ASQYQVMDAJXOLM-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001690579061 1200633925 /nfs/dbraw/zinc/63/39/25/1200633925.db2.gz JINYZGYPHMPYSP-LBPRGKRZSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](C)n3cccc3)cc2C1 ZINC001755100973 1200634407 /nfs/dbraw/zinc/63/44/07/1200634407.db2.gz MYHKFBYRJKKGOZ-INIZCTEOSA-N 0 1 323.440 3.257 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C3CC3)C3CC3)cc2C1 ZINC001755101521 1200635839 /nfs/dbraw/zinc/63/58/39/1200635839.db2.gz MPWLISOVOMREEO-UHFFFAOYSA-N 0 1 322.452 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)c3ccco3)cc2C1 ZINC001755102487 1200636801 /nfs/dbraw/zinc/63/68/01/1200636801.db2.gz VUHOVMQIMLNUDG-OAHLLOKOSA-N 0 1 322.408 3.038 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H](NCC(=C)Cl)[C@@H](C)C2)CCCCC1 ZINC001755125215 1200646905 /nfs/dbraw/zinc/64/69/05/1200646905.db2.gz QLJXLRDSDYCBJO-HOCLYGCPSA-N 0 1 322.880 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001690636703 1200662753 /nfs/dbraw/zinc/66/27/53/1200662753.db2.gz FSZZKDZYZJMAML-ZJUUUORDSA-N 0 1 321.273 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)COc1ccccc1C(C)C ZINC001690645369 1200667217 /nfs/dbraw/zinc/66/72/17/1200667217.db2.gz JTQDBIYXWAJBHF-AWEZNQCLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2c(C)noc2C)[C@H](C)C1 ZINC001755162996 1200668807 /nfs/dbraw/zinc/66/88/07/1200668807.db2.gz BXNGBYNWPPHCAD-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2c(C)noc2C)[C@H](C)C1 ZINC001755162995 1200669046 /nfs/dbraw/zinc/66/90/46/1200669046.db2.gz BXNGBYNWPPHCAD-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NCc2ncc(C(C)C)o2)C1 ZINC001690766977 1200705361 /nfs/dbraw/zinc/70/53/61/1200705361.db2.gz WXHKNIWPWUXUIE-GJZGRUSLSA-N 0 1 319.449 3.281 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)[C@H](C)NC(=O)CC(C)(C)C ZINC001755225337 1200715148 /nfs/dbraw/zinc/71/51/48/1200715148.db2.gz AHYAWINJYODLNB-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C[C@@H](NC(=O)CC(C)(C)C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001755225338 1200716090 /nfs/dbraw/zinc/71/60/90/1200716090.db2.gz AHYAWINJYODLNB-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001690811490 1200729086 /nfs/dbraw/zinc/72/90/86/1200729086.db2.gz SMRIDELIAJVKCO-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001755248628 1200733431 /nfs/dbraw/zinc/73/34/31/1200733431.db2.gz JCYQHSWYYMKWSX-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCC[C@H](N(C)CCF)C1)c1ccccc1 ZINC001690826823 1200739201 /nfs/dbraw/zinc/73/92/01/1200739201.db2.gz OTXQFROKCVUDCZ-WMZOPIPTSA-N 0 1 318.436 3.239 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cncc2ccccc21 ZINC001755269364 1200750957 /nfs/dbraw/zinc/75/09/57/1200750957.db2.gz JKPOYOBPGPQLNK-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)N[C@H](C)c2ncc(C)o2)CCC1 ZINC001690861301 1200754847 /nfs/dbraw/zinc/75/48/47/1200754847.db2.gz YTXIUCLTBYFKKY-UKRRQHHQSA-N 0 1 319.449 3.275 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)=C1CCCC1)c1ccccc1 ZINC001691777727 1200806153 /nfs/dbraw/zinc/80/61/53/1200806153.db2.gz HHXKYWAWFXXRCW-IBGZPJMESA-N 0 1 310.441 3.347 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)=C1CCCC1)c1ccccc1 ZINC001691777728 1200806534 /nfs/dbraw/zinc/80/65/34/1200806534.db2.gz HHXKYWAWFXXRCW-LJQANCHMSA-N 0 1 310.441 3.347 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](CCC)CC1CCCCC1 ZINC001755320272 1200808899 /nfs/dbraw/zinc/80/88/99/1200808899.db2.gz PLDGWJKHPMHNSN-FUHWJXTLSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccsc2C)C1 ZINC001691864514 1200844677 /nfs/dbraw/zinc/84/46/77/1200844677.db2.gz NYMCHUZPPBQTML-INIZCTEOSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001691902687 1200865635 /nfs/dbraw/zinc/86/56/35/1200865635.db2.gz YXXHIYJDDVWMEU-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001691908574 1200867184 /nfs/dbraw/zinc/86/71/84/1200867184.db2.gz KXWVFEIMMDUJSW-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001755385977 1200868347 /nfs/dbraw/zinc/86/83/47/1200868347.db2.gz HTVYQBFLBKQKIQ-QFBILLFUSA-N 0 1 314.473 3.124 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001755387300 1200870038 /nfs/dbraw/zinc/87/00/38/1200870038.db2.gz GWMXPUCZBOMJPQ-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001755401156 1200875472 /nfs/dbraw/zinc/87/54/72/1200875472.db2.gz FAORNUFOADSCII-OALUTQOASA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCC(=O)N1CC[C@]2(C1)CN(CC/C=C\CC)CCO2 ZINC001755401551 1200875995 /nfs/dbraw/zinc/87/59/95/1200875995.db2.gz IQVDYNNMKPGJMQ-SUOMESGTSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CCC)c3ccccc3)C2)C1 ZINC001755458995 1200905374 /nfs/dbraw/zinc/90/53/74/1200905374.db2.gz YDMLFTHMXJUCBQ-LJQANCHMSA-N 0 1 324.468 3.128 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)[C@H](C)C(C)(C)C)C2)C1 ZINC001755457927 1200905787 /nfs/dbraw/zinc/90/57/87/1200905787.db2.gz XJNITWZBQAVWEU-IRXDYDNUSA-N 0 1 318.505 3.252 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1Cl ZINC001755584629 1200972274 /nfs/dbraw/zinc/97/22/74/1200972274.db2.gz AXAWNUGOLQXXLU-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1ccc(F)cc1F ZINC001755599162 1200978394 /nfs/dbraw/zinc/97/83/94/1200978394.db2.gz FOJRSRKEUANMDU-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1cc(O)ccc1Cl ZINC001755602995 1200981391 /nfs/dbraw/zinc/98/13/91/1200981391.db2.gz UEWBQKSHIAYIDF-ZDUSSCGKSA-N 0 1 324.852 3.338 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)Cc1scnc1C ZINC001692246508 1200985558 /nfs/dbraw/zinc/98/55/58/1200985558.db2.gz JMSATBWQBVVNLP-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(F)c1Cl ZINC001692257492 1200990749 /nfs/dbraw/zinc/99/07/49/1200990749.db2.gz GEMUEKJDPVGGQL-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)Cc2ccccc2)C1 ZINC001692267247 1200996247 /nfs/dbraw/zinc/99/62/47/1200996247.db2.gz VVZHOPCBNXPUQK-CVEARBPZSA-N 0 1 300.446 3.020 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001692335263 1201025516 /nfs/dbraw/zinc/02/55/16/1201025516.db2.gz HWARWWWWOBJZHS-LJQANCHMSA-N 0 1 314.473 3.125 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001755728063 1201049870 /nfs/dbraw/zinc/04/98/70/1201049870.db2.gz KVSLXNBLOSKQCG-ZBFHGGJFSA-N 0 1 304.459 3.021 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)Cc1ccccc1)C(C)C ZINC001755815888 1201081336 /nfs/dbraw/zinc/08/13/36/1201081336.db2.gz YRHZPJNNFONDCB-SFHVURJKSA-N 0 1 314.473 3.057 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)SC)C(C)(C)C ZINC001755824138 1201090293 /nfs/dbraw/zinc/09/02/93/1201090293.db2.gz TYYMVLWPRKVAFM-VXGBXAGGSA-N 0 1 306.903 3.001 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001755834260 1201096065 /nfs/dbraw/zinc/09/60/65/1201096065.db2.gz ZODBNSVQANGADZ-ZDUSSCGKSA-N 0 1 300.874 3.462 20 30 DGEDMN CC(C)=CC(=O)N[C@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001755841560 1201100288 /nfs/dbraw/zinc/10/02/88/1201100288.db2.gz OGVNCJOYKGPHAH-GOSISDBHSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2c1CCCC2 ZINC001698601143 1201272197 /nfs/dbraw/zinc/27/21/97/1201272197.db2.gz OIRKSMXUOVAWOR-HNNXBMFYSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](c1cccnc1)C(C)C ZINC001698616677 1201288433 /nfs/dbraw/zinc/28/84/33/1201288433.db2.gz WVRONGXMJDZTBM-HOTGVXAUSA-N 0 1 323.868 3.058 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C(F)F)o1 ZINC001698619387 1201289574 /nfs/dbraw/zinc/28/95/74/1201289574.db2.gz UXRBZWQMXFICOJ-VIFPVBQESA-N 0 1 306.740 3.068 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C(F)F)o1 ZINC001698619370 1201289644 /nfs/dbraw/zinc/28/96/44/1201289644.db2.gz UXRBZWQMXFICOJ-SECBINFHSA-N 0 1 306.740 3.068 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1CC(C(C)(C)C)C1 ZINC001698864900 1201522178 /nfs/dbraw/zinc/52/21/78/1201522178.db2.gz ZVPGFJKWHJBNNQ-HSBZDZAISA-N 0 1 300.874 3.248 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CCN[C@H](C)c1ncc(C)o1 ZINC001698910363 1201570104 /nfs/dbraw/zinc/57/01/04/1201570104.db2.gz YGFVDCHQXFBVCZ-HZPDHXFCSA-N 0 1 319.449 3.371 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1cc(C)no1 ZINC001698948705 1201596206 /nfs/dbraw/zinc/59/62/06/1201596206.db2.gz DTQURSMALYGCBD-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cccn1CC ZINC001698951356 1201598072 /nfs/dbraw/zinc/59/80/72/1201598072.db2.gz FQDAIRYOBUHFQV-CZUORRHYSA-N 0 1 323.868 3.091 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cccn1CC ZINC001698951354 1201600005 /nfs/dbraw/zinc/60/00/05/1201600005.db2.gz FQDAIRYOBUHFQV-BBRMVZONSA-N 0 1 323.868 3.091 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)CCc1c(F)cccc1F ZINC001698995760 1201662730 /nfs/dbraw/zinc/66/27/30/1201662730.db2.gz UUNJOEADOPRSBV-UHFFFAOYSA-N 0 1 324.415 3.110 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC3(C)CC3)CC[C@H]21 ZINC001699021154 1201691340 /nfs/dbraw/zinc/69/13/40/1201691340.db2.gz OUXDZUKSHPUBJX-HUUCEWRRSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCC[C@H]1CN(C)CC#CC ZINC001750930785 1201726560 /nfs/dbraw/zinc/72/65/60/1201726560.db2.gz DAEONGNYWJFTKT-SFHVURJKSA-N 0 1 302.462 3.091 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(Cl)cs1 ZINC001750933208 1201729328 /nfs/dbraw/zinc/72/93/28/1201729328.db2.gz LLPPDFSMVXGYRL-AWEZNQCLSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(c2ccccc2F)CC1 ZINC001699212232 1201756178 /nfs/dbraw/zinc/75/61/78/1201756178.db2.gz CAZPFEYDJKZRFX-UHFFFAOYSA-N 0 1 324.827 3.048 20 30 DGEDMN C[C@@H]1CCC[C@@H]1CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001699269032 1201775599 /nfs/dbraw/zinc/77/55/99/1201775599.db2.gz RNKYPMUGACGODP-WYTZPYIFSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C/C=C/c1ccc(F)cc1 ZINC001699275963 1201776259 /nfs/dbraw/zinc/77/62/59/1201776259.db2.gz VYQWYWAZTBMDHQ-AWYLAFAOSA-N 0 1 322.811 3.244 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)Cc1ccccc1F ZINC001699306204 1201784554 /nfs/dbraw/zinc/78/45/54/1201784554.db2.gz FCYRLHHDLGJGBI-GFUIURDCSA-N 0 1 324.827 3.019 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1nccs1 ZINC001752145788 1201786464 /nfs/dbraw/zinc/78/64/64/1201786464.db2.gz ICBXMJFBCFXJPH-CABCVRRESA-N 0 1 321.490 3.359 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001699320014 1201789177 /nfs/dbraw/zinc/78/91/77/1201789177.db2.gz DCZHEKARIYATPL-YVACAVLKSA-N 0 1 320.864 3.367 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001699378984 1201825800 /nfs/dbraw/zinc/82/58/00/1201825800.db2.gz VCLJTTHDFJOZEQ-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)c1ccc(CC)cc1 ZINC001699385012 1201830280 /nfs/dbraw/zinc/83/02/80/1201830280.db2.gz YRCPBSMYASTCLS-CQSZACIVSA-N 0 1 322.880 3.373 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)C(CC)CC)CC1 ZINC001752440488 1201838992 /nfs/dbraw/zinc/83/89/92/1201838992.db2.gz YJQFVAXQVYUMOL-MRXNPFEDSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(CC(C)C)c[nH]1)C1CC1 ZINC001752490787 1201852618 /nfs/dbraw/zinc/85/26/18/1201852618.db2.gz LHEMACSWYFSUTO-INIZCTEOSA-N 0 1 323.868 3.064 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2ccccc2)CCCC1 ZINC001699429407 1201860960 /nfs/dbraw/zinc/86/09/60/1201860960.db2.gz IQGVEGDRDDKZCT-HNNXBMFYSA-N 0 1 320.864 3.345 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001699464130 1201900577 /nfs/dbraw/zinc/90/05/77/1201900577.db2.gz PTWZNQQOIYQZJF-PXAZEXFGSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001752758117 1201908587 /nfs/dbraw/zinc/90/85/87/1201908587.db2.gz NPPLBPUASAQEOA-VBQJREDUSA-N 0 1 321.490 3.099 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@H]1CCC[N@@H+]1C/C=C\Cl ZINC001752831268 1201956488 /nfs/dbraw/zinc/95/64/88/1201956488.db2.gz CTCUDBDWDKVMGK-DSKWWVGFSA-N 0 1 322.880 3.388 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1oc(C(C)C)nc1C ZINC001752874194 1201987619 /nfs/dbraw/zinc/98/76/19/1201987619.db2.gz CAGGDORQBIDQJI-ZDUSSCGKSA-N 0 1 321.465 3.303 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)CC(C)(C)C1CCCCC1 ZINC001699638596 1202005788 /nfs/dbraw/zinc/00/57/88/1202005788.db2.gz MFHOHWDHLKTVJG-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CCC1(C(=O)NC[C@H](C)NCC#Cc2ccccc2)CCCC1 ZINC001752909663 1202007439 /nfs/dbraw/zinc/00/74/39/1202007439.db2.gz LXEJYPWCAPWEOO-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001752910194 1202007454 /nfs/dbraw/zinc/00/74/54/1202007454.db2.gz RJQGISYSCUZZTA-RBSFLKMASA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc3c2CCCC3)CC1 ZINC001699652889 1202016430 /nfs/dbraw/zinc/01/64/30/1202016430.db2.gz IJDJOVHSMLQNMA-UHFFFAOYSA-N 0 1 318.848 3.170 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)CCC(C)(C)C)CC1 ZINC001699669734 1202026250 /nfs/dbraw/zinc/02/62/50/1202026250.db2.gz JRXMHDZVDYHUIL-GFCCVEGCSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N(C)C1CN(CCCc2ccc(C)cc2)C1 ZINC001699711805 1202047328 /nfs/dbraw/zinc/04/73/28/1202047328.db2.gz XPOCZKWVYZQODL-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc(Cl)s2)C1 ZINC001699724327 1202053467 /nfs/dbraw/zinc/05/34/67/1202053467.db2.gz IYNSBKAABIURLV-UHFFFAOYSA-N 0 1 312.866 3.053 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CCC)C1CCN(C/C=C\Cl)CC1 ZINC001699785553 1202077517 /nfs/dbraw/zinc/07/75/17/1202077517.db2.gz SUJHLIOAEAGOMJ-UBAGTECSSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccoc1)C1CCCC1 ZINC001699793166 1202080939 /nfs/dbraw/zinc/08/09/39/1202080939.db2.gz SVLCGMWLYNFWFC-MRXNPFEDSA-N 0 1 324.852 3.229 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001753047586 1202081332 /nfs/dbraw/zinc/08/13/32/1202081332.db2.gz MXTRBGIHVJTIMD-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN Cc1cc(CN2CC[C@](C)(NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001699816909 1202094663 /nfs/dbraw/zinc/09/46/63/1202094663.db2.gz ZVYKGWOZHUYMDA-SFHVURJKSA-N 0 1 318.486 3.187 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(CF)CCC2)CC1 ZINC001699863987 1202120132 /nfs/dbraw/zinc/12/01/32/1202120132.db2.gz DWOFVVWBXUYSPO-UHFFFAOYSA-N 0 1 316.848 3.097 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](CCC)c1ccccc1 ZINC001753094066 1202125179 /nfs/dbraw/zinc/12/51/79/1202125179.db2.gz VAHGGDBVFOCNJT-GOSISDBHSA-N 0 1 300.446 3.032 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](CC)CCCCC)C1 ZINC001699879054 1202135287 /nfs/dbraw/zinc/13/52/87/1202135287.db2.gz HHONNKXANOXYHV-PKOBYXMFSA-N 0 1 306.494 3.444 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@H](C)CC)c1ccccc1CC ZINC001699934015 1202140365 /nfs/dbraw/zinc/14/03/65/1202140365.db2.gz NKISEWWONWRCTC-APWZRJJASA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)c1ccco1)c1ccccc1CC ZINC001699932910 1202140638 /nfs/dbraw/zinc/14/06/38/1202140638.db2.gz UIIOXEGBWHZENH-YJBOKZPZSA-N 0 1 324.424 3.026 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(C2CC2)CC1)c1ccccc1CC ZINC001699932654 1202140913 /nfs/dbraw/zinc/14/09/13/1202140913.db2.gz JKMFPQAIXLSEQG-LJQANCHMSA-N 0 1 324.468 3.209 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCC(C)C)c1ccccc1CC ZINC001699935961 1202141776 /nfs/dbraw/zinc/14/17/76/1202141776.db2.gz VILRWTDEXSFVJZ-IBGZPJMESA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCC[C@H]1CC ZINC001700091326 1202177835 /nfs/dbraw/zinc/17/78/35/1202177835.db2.gz MRERQYZEERGTEI-APWZRJJASA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)c1ccccc1 ZINC001700092265 1202178813 /nfs/dbraw/zinc/17/88/13/1202178813.db2.gz WICZASSWGLWNRX-QGZVFWFLSA-N 0 1 320.436 3.172 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@](C)(F)CCCC)c1ccccc1 ZINC001753299560 1202200337 /nfs/dbraw/zinc/20/03/37/1202200337.db2.gz DYVVFUBITYMDPN-IEBWSBKVSA-N 0 1 318.436 3.375 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(C2CCC2)CCC1)c1ccccc1 ZINC001753299779 1202200456 /nfs/dbraw/zinc/20/04/56/1202200456.db2.gz HNFISCLOUHFXDO-IBGZPJMESA-N 0 1 324.468 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](F)c1ccccc1)c1ccccc1 ZINC001753311335 1202202835 /nfs/dbraw/zinc/20/28/35/1202202835.db2.gz LVXUBAMTMKQQGL-MOPGFXCFSA-N 0 1 324.399 3.168 20 30 DGEDMN C#CCCCC(=O)NCc1ccc2c(c1)CN(CC[C@@H](C)F)C2 ZINC001700253598 1202218010 /nfs/dbraw/zinc/21/80/10/1202218010.db2.gz IDULUDFNIBPORU-OAHLLOKOSA-N 0 1 316.420 3.170 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001753366931 1202231991 /nfs/dbraw/zinc/23/19/91/1202231991.db2.gz QASSZHPTDMHHCD-CVEARBPZSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2oc(C)nc2C)[C@@H](C)C1 ZINC001700312881 1202232186 /nfs/dbraw/zinc/23/21/86/1202232186.db2.gz DMRMDFXMBLFCMV-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001753408370 1202243677 /nfs/dbraw/zinc/24/36/77/1202243677.db2.gz MTNVUERYHLHXCU-OAHLLOKOSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001753407636 1202243801 /nfs/dbraw/zinc/24/38/01/1202243801.db2.gz REQZXIPSHGLGTI-UHFFFAOYSA-N 0 1 300.446 3.064 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C)c(Cl)c1 ZINC001700357415 1202247474 /nfs/dbraw/zinc/24/74/74/1202247474.db2.gz NZAFADDNPZHFSE-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C)c(Cl)c1 ZINC001700357416 1202247626 /nfs/dbraw/zinc/24/76/26/1202247626.db2.gz NZAFADDNPZHFSE-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001753434335 1202252828 /nfs/dbraw/zinc/25/28/28/1202252828.db2.gz BUMNKWJHRVIFBR-DLENHJPASA-N 0 1 310.441 3.036 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001753437304 1202253545 /nfs/dbraw/zinc/25/35/45/1202253545.db2.gz JHENIORPUDLYFG-CVEARBPZSA-N 0 1 316.420 3.079 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cscc1Cl ZINC001700404692 1202263429 /nfs/dbraw/zinc/26/34/29/1202263429.db2.gz LSZISNZAIGNWQS-BDAKNGLRSA-N 0 1 307.246 3.250 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001753460404 1202263707 /nfs/dbraw/zinc/26/37/07/1202263707.db2.gz XQPBPDMPAXEHRI-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1ccc(C)cc1C ZINC001753480960 1202270614 /nfs/dbraw/zinc/27/06/14/1202270614.db2.gz GTTVPVQYZRWASF-QGZVFWFLSA-N 0 1 322.880 3.473 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1cccc(Cl)c1 ZINC001753490014 1202273048 /nfs/dbraw/zinc/27/30/48/1202273048.db2.gz OISKUSPXEGPFKI-AWEZNQCLSA-N 0 1 315.244 3.119 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C(C)(C)CC)CC1 ZINC001753597531 1202297050 /nfs/dbraw/zinc/29/70/50/1202297050.db2.gz XEOUFZMAPLZTFW-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)NCc1ccc(CNCc2cncs2)cc1 ZINC001753598675 1202297112 /nfs/dbraw/zinc/29/71/12/1202297112.db2.gz YOOMPOWWFYWMHQ-UHFFFAOYSA-N 0 1 315.442 3.015 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001700537632 1202308666 /nfs/dbraw/zinc/30/86/66/1202308666.db2.gz GBMPXWAIUJIWOR-OAHLLOKOSA-N 0 1 324.424 3.029 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001700546627 1202311085 /nfs/dbraw/zinc/31/10/85/1202311085.db2.gz VSAFJSXKYUURQK-KBPBESRZSA-N 0 1 306.475 3.287 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001753684437 1202312986 /nfs/dbraw/zinc/31/29/86/1202312986.db2.gz DMZHBWSHCBUUNL-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1Cl ZINC001753688566 1202313757 /nfs/dbraw/zinc/31/37/57/1202313757.db2.gz BGKXAYXNSKRKAK-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1cccc(F)c1F ZINC001753692417 1202314870 /nfs/dbraw/zinc/31/48/70/1202314870.db2.gz DQATUHIUJFGVGC-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001700572738 1202315912 /nfs/dbraw/zinc/31/59/12/1202315912.db2.gz IUTWZZQRVKZJAB-GFCCVEGCSA-N 0 1 320.399 3.368 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1ccc(F)cn1)CC(C)C ZINC001753738726 1202325637 /nfs/dbraw/zinc/32/56/37/1202325637.db2.gz OCCYYMDZGKYJDI-INIZCTEOSA-N 0 1 321.440 3.053 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1nc(C)cs1 ZINC001753804879 1202336559 /nfs/dbraw/zinc/33/65/59/1202336559.db2.gz KFWZSXAMVNZQFW-DZGCQCFKSA-N 0 1 321.490 3.134 20 30 DGEDMN CC(C)=CC(=O)N(C)C[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001700737599 1202345569 /nfs/dbraw/zinc/34/55/69/1202345569.db2.gz OXUHOOFGKZJPCW-OAHLLOKOSA-N 0 1 318.848 3.094 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001700748417 1202347859 /nfs/dbraw/zinc/34/78/59/1202347859.db2.gz XPEYFHSYTZYYIA-JVDKPVQESA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCCc1cccs1 ZINC001700779130 1202353476 /nfs/dbraw/zinc/35/34/76/1202353476.db2.gz UYOFDIHNZKVEDO-CYBMUJFWSA-N 0 1 314.882 3.260 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC2(C(F)(F)F)CC2)[C@@H]1C ZINC001700818344 1202355456 /nfs/dbraw/zinc/35/54/56/1202355456.db2.gz WERYZJMBEBJFEY-MNOVXSKESA-N 0 1 324.774 3.051 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001700856719 1202359965 /nfs/dbraw/zinc/35/99/65/1202359965.db2.gz VEPRPVLCNHSBQE-FBBABVLZSA-N 0 1 324.468 3.197 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)c2cnns2)[C@H]1C ZINC001700880501 1202364681 /nfs/dbraw/zinc/36/46/81/1202364681.db2.gz SRJIONRTSQDDTI-KGLIPLIRSA-N 0 1 324.494 3.091 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1cc(C2CC2)no1)C(C)(C)C ZINC001700983901 1202376559 /nfs/dbraw/zinc/37/65/59/1202376559.db2.gz LNBBSMIKSRDUOA-MRXNPFEDSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1oc(C)cc1C)C(C)(C)C ZINC001700988573 1202377168 /nfs/dbraw/zinc/37/71/68/1202377168.db2.gz BTYGBTQSUURKTJ-CYBMUJFWSA-N 0 1 312.841 3.383 20 30 DGEDMN C#CCCCC(=O)NCC1=CCN(Cc2csc(C)c2)CC1 ZINC001701048978 1202385117 /nfs/dbraw/zinc/38/51/17/1202385117.db2.gz QAAHMTVTLCLASD-UHFFFAOYSA-N 0 1 316.470 3.108 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](c2ccccc2)C(C)C)CC1 ZINC001701060863 1202386210 /nfs/dbraw/zinc/38/62/10/1202386210.db2.gz WWQMZQQNHBJPRK-FQEVSTJZSA-N 0 1 324.468 3.198 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H]1CCN1CC1CC(F)(F)C1 ZINC001707051661 1202569595 /nfs/dbraw/zinc/56/95/95/1202569595.db2.gz HGURAUNTPVDYIM-AWEZNQCLSA-N 0 1 314.420 3.167 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccccc1C ZINC001707052233 1202569831 /nfs/dbraw/zinc/56/98/31/1202569831.db2.gz FKUIKIKOXSHEDM-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]cc1C1CC1 ZINC001707057595 1202570560 /nfs/dbraw/zinc/57/05/60/1202570560.db2.gz YXCWILCFCZQJLA-OAHLLOKOSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H]1CCN(CCF)[C@@H]1CC)c1ccccc1 ZINC001707202948 1202576462 /nfs/dbraw/zinc/57/64/62/1202576462.db2.gz HRTSEDLFNBWFRA-OKZBNKHCSA-N 0 1 318.436 3.285 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001707247890 1202578180 /nfs/dbraw/zinc/57/81/80/1202578180.db2.gz YACDFNWXNZSUIZ-DAYGRLMNSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1c[nH]c2ccccc12 ZINC001707271625 1202579861 /nfs/dbraw/zinc/57/98/61/1202579861.db2.gz IZRYXOUZLMICLQ-INIZCTEOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)/C=C/C(C)(C)C)CC1 ZINC001713091483 1202616422 /nfs/dbraw/zinc/61/64/22/1202616422.db2.gz GVFGMJXRTKFASL-VBROQKIQSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(C)CC=CC2)C1 ZINC001713134663 1202620666 /nfs/dbraw/zinc/62/06/66/1202620666.db2.gz RPQUWGYDDCQRQF-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C(C)(C)CC)C1 ZINC001713134572 1202620848 /nfs/dbraw/zinc/62/08/48/1202620848.db2.gz PWMOXBUKJFHGCN-AWEZNQCLSA-N 0 1 300.874 3.346 20 30 DGEDMN CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001713140226 1202621431 /nfs/dbraw/zinc/62/14/31/1202621431.db2.gz SOOJDAUDIGXNHH-CALCHBBNSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CCCCC ZINC001713145093 1202621928 /nfs/dbraw/zinc/62/19/28/1202621928.db2.gz NEGRAGYBHPXLAB-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](C)CC1 ZINC001713144653 1202621997 /nfs/dbraw/zinc/62/19/97/1202621997.db2.gz XBGKXQGXBKRGKD-VHKIVQRHSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001713149201 1202622129 /nfs/dbraw/zinc/62/21/29/1202622129.db2.gz FQKQHAKWEAIOSC-NEPJUHHUSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001713193423 1202625606 /nfs/dbraw/zinc/62/56/06/1202625606.db2.gz VPTYOORYIFNFLV-OKILXGFUSA-N 0 1 319.449 3.137 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1sccc1Cl ZINC001713303728 1202637519 /nfs/dbraw/zinc/63/75/19/1202637519.db2.gz DIJMLLRCNPHZBM-GFCCVEGCSA-N 0 1 312.866 3.189 20 30 DGEDMN C=CC1(CC(=O)NCC[C@@H](C)NCc2ccon2)CCCCC1 ZINC001713418071 1202647503 /nfs/dbraw/zinc/64/75/03/1202647503.db2.gz MNTIDPVNCZBKJA-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1[C@@H](C)c1cccc(F)c1 ZINC001713506314 1202662259 /nfs/dbraw/zinc/66/22/59/1202662259.db2.gz SFEDFFFFSHGWOY-YJBOKZPZSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1oc2c(cccc2C)c1C ZINC001713667410 1202675581 /nfs/dbraw/zinc/67/55/81/1202675581.db2.gz GHNCBSCLISVZOS-UHFFFAOYSA-N 0 1 320.820 3.464 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)Cc1cccc(C)c1)c1ccccc1 ZINC001713701858 1202678822 /nfs/dbraw/zinc/67/88/22/1202678822.db2.gz PKECYNCYNLCTRZ-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC)CCCCC1)c1ccccc1 ZINC001713703556 1202678944 /nfs/dbraw/zinc/67/89/44/1202678944.db2.gz GGNADOJXJLBJKI-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@]1(C)CC=C(C)CC1)c1ccccc1 ZINC001713703441 1202679005 /nfs/dbraw/zinc/67/90/05/1202679005.db2.gz CRIQYPRPPJIDOF-UYAOXDASSA-N 0 1 310.441 3.203 20 30 DGEDMN CCCN(C(=O)[C@H](C)C#N)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001713715119 1202680434 /nfs/dbraw/zinc/68/04/34/1202680434.db2.gz PKBPJPLWCNKFBU-CJNGLKHVSA-N 0 1 319.474 3.029 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCCc2ccsc2)C1 ZINC001713717016 1202680570 /nfs/dbraw/zinc/68/05/70/1202680570.db2.gz BZYWTJSXFDEVST-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(F)ccc2F)C1 ZINC001713718051 1202681018 /nfs/dbraw/zinc/68/10/18/1202681018.db2.gz XLIGBOVCYYJWQX-CQSZACIVSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(OCC)cc2)C1 ZINC001713721840 1202681577 /nfs/dbraw/zinc/68/15/77/1202681577.db2.gz ZRJUYCYXTVPSFA-KRWDZBQOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cc(C)ccc2F)C1 ZINC001713720975 1202681587 /nfs/dbraw/zinc/68/15/87/1202681587.db2.gz HBYOOXIXBFNJRT-QGZVFWFLSA-N 0 1 318.436 3.176 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(Cl)cc2)C1 ZINC001713721001 1202681600 /nfs/dbraw/zinc/68/16/00/1202681600.db2.gz HPPBOQPCHMQTJT-QGZVFWFLSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2=CCCCCC2)C1 ZINC001713729291 1202683076 /nfs/dbraw/zinc/68/30/76/1202683076.db2.gz WUAVKPNMYVFSPE-OAHLLOKOSA-N 0 1 310.869 3.458 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)Cc2ccc(C)cc2)C1 ZINC001713730324 1202683356 /nfs/dbraw/zinc/68/33/56/1202683356.db2.gz NARFDLJBSSLXAH-KRWDZBQOSA-N 0 1 320.864 3.118 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001713731375 1202683392 /nfs/dbraw/zinc/68/33/92/1202683392.db2.gz JSOMMCJIDHPORH-OLZOCXBDSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](CC)CC(F)F)C1 ZINC001713731914 1202683551 /nfs/dbraw/zinc/68/35/51/1202683551.db2.gz VBVCANCRCLTCFJ-QWHCGFSZSA-N 0 1 322.827 3.249 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(C)CCCC2)CC1 ZINC001713765244 1202688100 /nfs/dbraw/zinc/68/81/00/1202688100.db2.gz IARXXHJSJTVCKO-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001713791994 1202691359 /nfs/dbraw/zinc/69/13/59/1202691359.db2.gz BCTAUJNPMQFQFR-ROUUACIJSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001713836063 1202694222 /nfs/dbraw/zinc/69/42/22/1202694222.db2.gz PPLHHYWTSWBKKU-HOTGVXAUSA-N 0 1 322.493 3.269 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001713841461 1202695077 /nfs/dbraw/zinc/69/50/77/1202695077.db2.gz ATQWRFLYJFKFFA-INIZCTEOSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2coc3ccccc23)C1 ZINC001713845971 1202695321 /nfs/dbraw/zinc/69/53/21/1202695321.db2.gz HCTULZHICGCNQG-AWEZNQCLSA-N 0 1 312.413 3.130 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccccc1CCC)C1CC1 ZINC001713869641 1202697322 /nfs/dbraw/zinc/69/73/22/1202697322.db2.gz BNRSJGQCEOBRFC-KRWDZBQOSA-N 0 1 320.864 3.490 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001713874021 1202697731 /nfs/dbraw/zinc/69/77/31/1202697731.db2.gz BRWDBGFTBFCOPC-HUUCEWRRSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001713874017 1202697803 /nfs/dbraw/zinc/69/78/03/1202697803.db2.gz BRWDBGFTBFCOPC-CABCVRRESA-N 0 1 318.436 3.474 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC001713880229 1202698311 /nfs/dbraw/zinc/69/83/11/1202698311.db2.gz ZNLVQSJKAAIIBV-IXDOHACOSA-N 0 1 318.848 3.027 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)s1 ZINC001713903229 1202701129 /nfs/dbraw/zinc/70/11/29/1202701129.db2.gz MUDJHDFTRRVPKN-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C=C[C@H](CC(=O)NCC1CN(CC=C(C)C)C1)c1ccccc1 ZINC001713925990 1202702719 /nfs/dbraw/zinc/70/27/19/1202702719.db2.gz SXXMBGCTDFZQKN-GOSISDBHSA-N 0 1 312.457 3.361 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001714012239 1202726654 /nfs/dbraw/zinc/72/66/54/1202726654.db2.gz DFKZODCPSFRMOJ-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001714080027 1202764615 /nfs/dbraw/zinc/76/46/15/1202764615.db2.gz LMPGRPWZOQBTKV-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1cccs1 ZINC001714104531 1202778813 /nfs/dbraw/zinc/77/88/13/1202778813.db2.gz PUUBTLSGHSQQIA-VXGBXAGGSA-N 0 1 300.855 3.089 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@@H]1CC=CCC1 ZINC001714133048 1202795204 /nfs/dbraw/zinc/79/52/04/1202795204.db2.gz PKPBTJYIQWVCQK-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1[C@@H]1CCCN1CC(=C)Cl ZINC001714143404 1202798744 /nfs/dbraw/zinc/79/87/44/1202798744.db2.gz BPLHXCKLXMZQAQ-IRXDYDNUSA-N 0 1 322.880 3.388 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CC(=C)Cl ZINC001714143402 1202799205 /nfs/dbraw/zinc/79/92/05/1202799205.db2.gz BPLHXCKLXMZQAQ-DLBZAZTESA-N 0 1 322.880 3.388 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001714270729 1202857523 /nfs/dbraw/zinc/85/75/23/1202857523.db2.gz UANXZRZZDRDSDB-NWDGAFQWSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001714270626 1202857690 /nfs/dbraw/zinc/85/76/90/1202857690.db2.gz RLBOJJZJRODBAE-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001714270728 1202857919 /nfs/dbraw/zinc/85/79/19/1202857919.db2.gz UANXZRZZDRDSDB-NEPJUHHUSA-N 0 1 315.244 3.290 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)C2=CCCC2)C1 ZINC001714433068 1202931164 /nfs/dbraw/zinc/93/11/64/1202931164.db2.gz QYOZRSHISNJBIO-FQEVSTJZSA-N 0 1 322.452 3.071 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001714598964 1203038367 /nfs/dbraw/zinc/03/83/67/1203038367.db2.gz KPXUDNAOLKAIQK-GOSISDBHSA-N 0 1 318.436 3.027 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(CCc2ccccc2)CC1 ZINC001714626827 1203061040 /nfs/dbraw/zinc/06/10/40/1203061040.db2.gz QRMPXRIQUUJRAL-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C/C=C/Cc1ccccc1 ZINC001714657892 1203081225 /nfs/dbraw/zinc/08/12/25/1203081225.db2.gz YDPQKEODMHTPAP-WAVCKPEOSA-N 0 1 320.864 3.364 20 30 DGEDMN CC#CCN(CCNC(=O)c1c2ccccc2oc1CC)C1CC1 ZINC001714674135 1203095074 /nfs/dbraw/zinc/09/50/74/1203095074.db2.gz FDZMFCHZONHDEG-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001714744751 1203115757 /nfs/dbraw/zinc/11/57/57/1203115757.db2.gz FEXMOLRRYJAWKW-UHFFFAOYSA-N 0 1 319.836 3.199 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(F)(F)F)cc1 ZINC001714788236 1203126992 /nfs/dbraw/zinc/12/69/92/1203126992.db2.gz WSVYQIPNIWAOFV-UHFFFAOYSA-N 0 1 320.742 3.120 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3ccccc3n2)C1 ZINC001714858598 1203158123 /nfs/dbraw/zinc/15/81/23/1203158123.db2.gz BNODWQHSKAJNPZ-KRWDZBQOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2sccc2C)C1 ZINC001714892961 1203181254 /nfs/dbraw/zinc/18/12/54/1203181254.db2.gz URKJLOIGASPOIE-CYBMUJFWSA-N 0 1 312.866 3.203 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CN(C)Cc2ccc(F)cc2F)C1 ZINC001714893054 1203181491 /nfs/dbraw/zinc/18/14/91/1203181491.db2.gz PCPULFKIFJBXJQ-CQSZACIVSA-N 0 1 322.399 3.211 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1C=CC=CC=C1 ZINC001714919768 1203200057 /nfs/dbraw/zinc/20/00/57/1203200057.db2.gz SQVFDVCQNOVYSJ-INIZCTEOSA-N 0 1 308.853 3.158 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001714953479 1203214640 /nfs/dbraw/zinc/21/46/40/1203214640.db2.gz PMMSHJMAZLZFPW-UONOGXRCSA-N 0 1 304.409 3.084 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001714980502 1203225468 /nfs/dbraw/zinc/22/54/68/1203225468.db2.gz WTSVQIDXPOXLIK-IBGZPJMESA-N 0 1 314.473 3.440 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001715042763 1203251328 /nfs/dbraw/zinc/25/13/28/1203251328.db2.gz GPNNZTUNNWZFLM-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)/C=C\c2ccco2)CC1 ZINC001753361377 1203259893 /nfs/dbraw/zinc/25/98/93/1203259893.db2.gz DXRJREXLBZAGAY-WAYWQWQTSA-N 0 1 322.836 3.216 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C(C)C)cn1 ZINC001715084475 1203267341 /nfs/dbraw/zinc/26/73/41/1203267341.db2.gz UEXSTWUKCTYEBB-AWEZNQCLSA-N 0 1 309.841 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](NCc2csc(C)n2)CC1 ZINC001715181721 1203290446 /nfs/dbraw/zinc/29/04/46/1203290446.db2.gz FNKINBDTVDQJNX-SHTZXODSSA-N 0 1 321.490 3.325 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001715290770 1203307773 /nfs/dbraw/zinc/30/77/73/1203307773.db2.gz HRBBQBCMTGSWMT-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C(CC(C)C)CC(C)C)CC2 ZINC001715316047 1203314157 /nfs/dbraw/zinc/31/41/57/1203314157.db2.gz GZLGUSMTXSQWIL-UHFFFAOYSA-N 0 1 318.505 3.252 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001715330149 1203317534 /nfs/dbraw/zinc/31/75/34/1203317534.db2.gz GYZVNQFYEZYOJC-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCCc1ccsc1 ZINC001715342435 1203319736 /nfs/dbraw/zinc/31/97/36/1203319736.db2.gz WYAVNXPFLQJOLO-CYBMUJFWSA-N 0 1 314.882 3.260 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(C)C)nc1C ZINC001715366000 1203326294 /nfs/dbraw/zinc/32/62/94/1203326294.db2.gz TXNLNPOCMVOAMZ-ZDUSSCGKSA-N 0 1 323.868 3.316 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c[nH]c2ccc(C)cc21 ZINC001715377435 1203328736 /nfs/dbraw/zinc/32/87/36/1203328736.db2.gz NCFGAVLKZYWMIL-ZDUSSCGKSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1CCCC12CC2 ZINC001715385004 1203330432 /nfs/dbraw/zinc/33/04/32/1203330432.db2.gz NJJKGLKUWWCCGI-LSDHHAIUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H]2CC2(C)C)CC1 ZINC001715466132 1203345357 /nfs/dbraw/zinc/34/53/57/1203345357.db2.gz YXBBHARXJMXAOO-OAHLLOKOSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1cnc(C)o1 ZINC001715482052 1203348790 /nfs/dbraw/zinc/34/87/90/1203348790.db2.gz ZLLQCEXONRGZNE-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1cc(C)sc1C ZINC001715515416 1203358256 /nfs/dbraw/zinc/35/82/56/1203358256.db2.gz NBXCPPCGLVXQFH-UHFFFAOYSA-N 0 1 306.475 3.059 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001715526107 1203361460 /nfs/dbraw/zinc/36/14/60/1203361460.db2.gz GQHZNGGFVPMFTG-GOSISDBHSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001715533176 1203364582 /nfs/dbraw/zinc/36/45/82/1203364582.db2.gz ZXLTWSBYSOMXRS-KRWDZBQOSA-N 0 1 317.477 3.191 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1ccc(Cl)c(F)c1 ZINC001715770808 1203390780 /nfs/dbraw/zinc/39/07/80/1203390780.db2.gz PQRMQGDZIBSKNR-UHFFFAOYSA-N 0 1 312.816 3.336 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1ccccc1F ZINC001715865706 1203399291 /nfs/dbraw/zinc/39/92/91/1203399291.db2.gz ROGRVSGZPCFHRZ-BLGFXRMMSA-N 0 1 304.409 3.190 20 30 DGEDMN C=CCCC(=O)N(CC)CCN[C@@H](C)c1ccc(F)cc1F ZINC001716208580 1203449611 /nfs/dbraw/zinc/44/96/11/1203449611.db2.gz BMPBDTWFNDFJIW-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1cc(C)ccc1C ZINC001716237431 1203452360 /nfs/dbraw/zinc/45/23/60/1203452360.db2.gz BPOJCPIBXSSCSH-UHFFFAOYSA-N 0 1 308.853 3.037 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccccc1C(C)C ZINC001716303250 1203457950 /nfs/dbraw/zinc/45/79/50/1203457950.db2.gz WLRJOYGRXWELPN-SREVYHEPSA-N 0 1 306.837 3.438 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H](C)c1cccc(F)c1 ZINC001716342258 1203461102 /nfs/dbraw/zinc/46/11/02/1203461102.db2.gz PWHMEKVZZFMXFC-OOPCZODUSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1CCC(C2CC2)CC1 ZINC001716370936 1203462850 /nfs/dbraw/zinc/46/28/50/1203462850.db2.gz RIRMIABJEVGMAS-IHWYPQMZSA-N 0 1 310.869 3.217 20 30 DGEDMN CCC1(C(=O)N[C@H](C)CNCc2ccccc2C#N)CCCC1 ZINC001716417112 1203469806 /nfs/dbraw/zinc/46/98/06/1203469806.db2.gz KTANYLPQUOHNFU-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1CCCc2ccccc21 ZINC001716420512 1203470115 /nfs/dbraw/zinc/47/01/15/1203470115.db2.gz RZXYXNDKIGWPAV-GDBMZVCRSA-N 0 1 320.864 3.343 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2c(C)noc2C)CCCC1 ZINC001716426972 1203471524 /nfs/dbraw/zinc/47/15/24/1203471524.db2.gz CEOQZSMYXPLPNF-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN CCC(CC)(CC)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001716458273 1203477592 /nfs/dbraw/zinc/47/75/92/1203477592.db2.gz LVINVBPTKCAGCK-HNNXBMFYSA-N 0 1 315.461 3.369 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(CCC)c(C)s1 ZINC001716504876 1203495751 /nfs/dbraw/zinc/49/57/51/1203495751.db2.gz WAQOLHXBIPJFJO-CYBMUJFWSA-N 0 1 306.475 3.082 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2cccnc2)CCCC1 ZINC001716506760 1203496030 /nfs/dbraw/zinc/49/60/30/1203496030.db2.gz HCPXDGXKKKXVPQ-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@]1(C)CC=C(C)CC1)C1CC1 ZINC001716631299 1203509305 /nfs/dbraw/zinc/50/93/05/1203509305.db2.gz JDRKEQHEBKPQBE-RDJZCZTQSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H](C)c1ccc(F)cc1 ZINC001716670215 1203520679 /nfs/dbraw/zinc/52/06/79/1203520679.db2.gz CPJBXMHMOHMMLR-WMLDXEAASA-N 0 1 304.409 3.086 20 30 DGEDMN CCCCC(=O)NCC1(NCC#Cc2ccccc2Cl)CC1 ZINC001716675624 1203522662 /nfs/dbraw/zinc/52/26/62/1203522662.db2.gz PSPZPOXDFRJUJQ-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CCc3ccccc32)CC1 ZINC001716697968 1203527458 /nfs/dbraw/zinc/52/74/58/1203527458.db2.gz LCRCJHQPGRMRQN-OAHLLOKOSA-N 0 1 318.848 3.097 20 30 DGEDMN C[C@@H](C(=O)NCC1(NCC#Cc2ccccc2)CC1)C1CCCC1 ZINC001716699724 1203528010 /nfs/dbraw/zinc/52/80/10/1203528010.db2.gz ZGWWRCFLNYZYCN-QGZVFWFLSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2ccn(C)c2CC)C1 ZINC001716749381 1203536744 /nfs/dbraw/zinc/53/67/44/1203536744.db2.gz NCCCYOKTQPCUOM-UHFFFAOYSA-N 0 1 317.477 3.090 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H](C)c2ccccc2F)C1 ZINC001716749775 1203537009 /nfs/dbraw/zinc/53/70/09/1203537009.db2.gz LYCUMRLHUAUBPV-HNNXBMFYSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCCC(F)(F)F ZINC001716785188 1203542222 /nfs/dbraw/zinc/54/22/22/1203542222.db2.gz WJTGVARYRGIHLL-NSHDSACASA-N 0 1 312.763 3.052 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@H]2CNCC(=C)Cl)cc1 ZINC001716785248 1203542354 /nfs/dbraw/zinc/54/23/54/1203542354.db2.gz YETQOFLPYVXAEP-INIZCTEOSA-N 0 1 304.821 3.276 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C#CC(C)(C)C)CC1 ZINC001716883610 1203561243 /nfs/dbraw/zinc/56/12/43/1203561243.db2.gz AHZBWXARANAORF-UHFFFAOYSA-N 0 1 310.869 3.007 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](C)CCCCCC)C1 ZINC001716899114 1203565590 /nfs/dbraw/zinc/56/55/90/1203565590.db2.gz AWZUKRUETYNJMV-IEBWSBKVSA-N 0 1 306.494 3.444 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC12CCC2)c1ccccc1CC ZINC001716955169 1203569785 /nfs/dbraw/zinc/56/97/85/1203569785.db2.gz HYXGUZBUPMJSLA-OALUTQOASA-N 0 1 324.468 3.209 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C/Cl ZINC001717035012 1203579407 /nfs/dbraw/zinc/57/94/07/1203579407.db2.gz FBKPQJGBIFWQKP-IJQNJLKZSA-N 0 1 310.869 3.407 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1c(C)coc1C ZINC001717113239 1203586111 /nfs/dbraw/zinc/58/61/11/1203586111.db2.gz UOPNOTXKRFOVSO-UHFFFAOYSA-N 0 1 324.424 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)C#CC2CC2)cc1 ZINC001717114344 1203586653 /nfs/dbraw/zinc/58/66/53/1203586653.db2.gz CQFYTTSGPIXLJB-CQSZACIVSA-N 0 1 316.832 3.119 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(F)cc1C ZINC001717115933 1203586669 /nfs/dbraw/zinc/58/66/69/1203586669.db2.gz HBFXMTYTQVXFCE-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2cccc(C)c2)CCC1 ZINC001717158835 1203593475 /nfs/dbraw/zinc/59/34/75/1203593475.db2.gz KPDYLGFPISHBLC-OAHLLOKOSA-N 0 1 320.864 3.480 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC2(CN(CCCCC)C2)O1 ZINC001717224865 1203606429 /nfs/dbraw/zinc/60/64/29/1203606429.db2.gz VGVAYSXSOHEOBH-FUHWJXTLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C[C@@H](C)SC)cc2C1 ZINC001717270462 1203611071 /nfs/dbraw/zinc/61/10/71/1203611071.db2.gz YJQKSCQBZOEZHN-CQSZACIVSA-N 0 1 318.486 3.336 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](C)CCCCCC)C(C)(C)C1 ZINC001717311604 1203617821 /nfs/dbraw/zinc/61/78/21/1203617821.db2.gz DVZKDTBXUFTCKF-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H](F)C(C)C ZINC001717343771 1203622267 /nfs/dbraw/zinc/62/22/67/1203622267.db2.gz AJRHGKRHSPEGTH-QZTJIDSGSA-N 0 1 318.436 3.272 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(C2CCCCC2)CC1 ZINC001717374416 1203629090 /nfs/dbraw/zinc/62/90/90/1203629090.db2.gz OFDGQALUCSQTPW-GOSISDBHSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(OC)ccc1Cl ZINC001717401431 1203636524 /nfs/dbraw/zinc/63/65/24/1203636524.db2.gz RWWINNHJBZTGLS-CHWSQXEVSA-N 0 1 324.852 3.298 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1cc(OC)ccc1Cl ZINC001717401433 1203636602 /nfs/dbraw/zinc/63/66/02/1203636602.db2.gz RWWINNHJBZTGLS-QWHCGFSZSA-N 0 1 324.852 3.298 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CCCCC)C(C)C)C(C)(C)C1 ZINC001717587638 1203686948 /nfs/dbraw/zinc/68/69/48/1203686948.db2.gz JCABLFSUGGVVFX-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)c1cccs1 ZINC001717741642 1203707975 /nfs/dbraw/zinc/70/79/75/1203707975.db2.gz UKRYEMLEIULNCQ-NEPJUHHUSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(F)c1Cl ZINC001717744225 1203708668 /nfs/dbraw/zinc/70/86/68/1203708668.db2.gz XYFHFWORAHLOSN-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(F)cc1Cl ZINC001717752710 1203710344 /nfs/dbraw/zinc/71/03/44/1203710344.db2.gz UCCNAIVIUVPUJE-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2ccns2)CCCC1 ZINC001717755645 1203710981 /nfs/dbraw/zinc/71/09/81/1203710981.db2.gz DLMNZOHZZMHTLN-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN CC(C)=CC(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001717834372 1203718770 /nfs/dbraw/zinc/71/87/70/1203718770.db2.gz SCCPDYNQZRBONF-FPOVZHCZSA-N 0 1 322.452 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1cccs1)C(C)(C)C ZINC001717956717 1203735690 /nfs/dbraw/zinc/73/56/90/1203735690.db2.gz SHEXWKGWLPGBDQ-ZDUSSCGKSA-N 0 1 314.882 3.164 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1occc1C)C(C)(C)C ZINC001717967191 1203737390 /nfs/dbraw/zinc/73/73/90/1203737390.db2.gz ZCGQWJPJXOTXPA-CQSZACIVSA-N 0 1 312.841 3.004 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001723434305 1203971664 /nfs/dbraw/zinc/97/16/64/1203971664.db2.gz WZUCCESDVXACJW-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)c(F)c2)CC1 ZINC001723560918 1203982798 /nfs/dbraw/zinc/98/27/98/1203982798.db2.gz SIGMXWKUTJUNNW-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001723796248 1203993062 /nfs/dbraw/zinc/99/30/62/1203993062.db2.gz WMHVTSXXXRKHDI-OAHLLOKOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccoc2CCC)C1 ZINC001723812550 1203993989 /nfs/dbraw/zinc/99/39/89/1203993989.db2.gz GBDSWAPTJYLDBJ-CQSZACIVSA-N 0 1 304.434 3.343 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccccc2OCC)C1 ZINC001723814553 1203994499 /nfs/dbraw/zinc/99/44/99/1203994499.db2.gz IJKSLHGKUYGFIY-OAHLLOKOSA-N 0 1 316.445 3.196 20 30 DGEDMN C#CCN(C(=O)c1ccsc1)C1CCN(C[C@@H](F)CC)CC1 ZINC001724029443 1204002311 /nfs/dbraw/zinc/00/23/11/1204002311.db2.gz MWQWRAKVYIDVRF-HNNXBMFYSA-N 0 1 322.449 3.036 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2ccsc2)C1 ZINC001724152348 1204009549 /nfs/dbraw/zinc/00/95/49/1204009549.db2.gz CGBLMROMRBYTQZ-QGZVFWFLSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)=C1CCCC1 ZINC001724737909 1204041536 /nfs/dbraw/zinc/04/15/36/1204041536.db2.gz VETJCPWIYCGPFR-UHFFFAOYSA-N 0 1 310.441 3.258 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001724835771 1204052035 /nfs/dbraw/zinc/05/20/35/1204052035.db2.gz DZKFPSKVFMCDSF-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001724896322 1204064735 /nfs/dbraw/zinc/06/47/35/1204064735.db2.gz ZDHFHXLNWSLJQE-LJQANCHMSA-N 0 1 312.457 3.318 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(Cc2ccccc2C#N)[C@H](C)C1 ZINC001724989668 1204074941 /nfs/dbraw/zinc/07/49/41/1204074941.db2.gz NQBSZMARFACSAJ-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(Cc2ccccc2C#N)[C@@H](C)C1 ZINC001724989669 1204075503 /nfs/dbraw/zinc/07/55/03/1204075503.db2.gz NQBSZMARFACSAJ-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CC(C)(C)CC)CC2)C1 ZINC001725013624 1204080235 /nfs/dbraw/zinc/08/02/35/1204080235.db2.gz UQGLKUDIJLBZIL-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)Cc1cncc(C)c1 ZINC001725044856 1204084813 /nfs/dbraw/zinc/08/48/13/1204084813.db2.gz XALMLGJMICGDQD-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC(C1CCC1)C1CCC1 ZINC001725045326 1204084841 /nfs/dbraw/zinc/08/48/41/1204084841.db2.gz FMDQLOFAQLHSNR-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cc(O)ccc1Cl ZINC001725091029 1204092661 /nfs/dbraw/zinc/09/26/61/1204092661.db2.gz LUWQUIZQPWERRS-STQMWFEESA-N 0 1 324.852 3.385 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001725113391 1204097273 /nfs/dbraw/zinc/09/72/73/1204097273.db2.gz MVAODJBKBNRNMK-KBPBESRZSA-N 0 1 317.408 3.036 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](F)c1ccccc1 ZINC001725240970 1204125480 /nfs/dbraw/zinc/12/54/80/1204125480.db2.gz JKQCCDMMGPWBGB-MSOLQXFVSA-N 0 1 316.420 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)Cc2ccc(C)cc2)C(C)(C)C1 ZINC001725301597 1204135432 /nfs/dbraw/zinc/13/54/32/1204135432.db2.gz YBVHSGBFXOLNHL-FUHWJXTLSA-N 0 1 314.473 3.186 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(C)c(F)c1 ZINC001725458496 1204153070 /nfs/dbraw/zinc/15/30/70/1204153070.db2.gz DVBHTOALEVNHIH-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(C)cc1C ZINC001725478369 1204156109 /nfs/dbraw/zinc/15/61/09/1204156109.db2.gz VWSSTIBKKMVOOG-QGZVFWFLSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(C)cc1C ZINC001725478357 1204156274 /nfs/dbraw/zinc/15/62/74/1204156274.db2.gz VWSSTIBKKMVOOG-KRWDZBQOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(c2ccccc2)CCC1 ZINC001725496745 1204159317 /nfs/dbraw/zinc/15/93/17/1204159317.db2.gz AUZFGVKVAKSCHL-OAHLLOKOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cnc(C(C)C)s1 ZINC001725525506 1204166425 /nfs/dbraw/zinc/16/64/25/1204166425.db2.gz SLUQEPCXKXNAOI-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)[C@H]1C ZINC001725566866 1204169157 /nfs/dbraw/zinc/16/91/57/1204169157.db2.gz LJNRKFCVWVJVSG-CXAGYDPISA-N 0 1 322.399 3.400 20 30 DGEDMN C#CCCCCC(=O)N[C@]12CCC[C@@H]1N(C/C=C\Cl)CC2 ZINC001725598753 1204175495 /nfs/dbraw/zinc/17/54/95/1204175495.db2.gz OBSGZBHMTZESET-FQGYXVRMSA-N 0 1 308.853 3.046 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1c(C)occ1C(F)(F)F ZINC001753213149 1204336575 /nfs/dbraw/zinc/33/65/75/1204336575.db2.gz XAPBJZJEFBPYGM-UHFFFAOYSA-N 0 1 324.730 3.021 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(C)Cc1cccc(F)c1F ZINC001731260742 1204355250 /nfs/dbraw/zinc/35/52/50/1204355250.db2.gz WQYPYAQJFABPAA-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)CC#Cc2ccccc2)CCC1 ZINC001731264773 1204356741 /nfs/dbraw/zinc/35/67/41/1204356741.db2.gz WSJPGUGLXNPYDP-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@H]1CC12CCCC2 ZINC001731277226 1204360310 /nfs/dbraw/zinc/36/03/10/1204360310.db2.gz MZPOFSDJYMSFMU-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1conc1CC ZINC001731289015 1204363033 /nfs/dbraw/zinc/36/30/33/1204363033.db2.gz SQDPNTJXOHZTBB-YOEHRIQHSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001731348505 1204380953 /nfs/dbraw/zinc/38/09/53/1204380953.db2.gz HRJMMGKBQFPSQZ-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN CCCCC(=O)N[C@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001731380895 1204389316 /nfs/dbraw/zinc/38/93/16/1204389316.db2.gz VBQMNEKLENBPFM-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC001731388013 1204392778 /nfs/dbraw/zinc/39/27/78/1204392778.db2.gz ZMSLDXVCQQTWTD-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCCC(F)(F)C1)C1CC1 ZINC001731404876 1204399034 /nfs/dbraw/zinc/39/90/34/1204399034.db2.gz KVMZNFQINXVQCB-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oncc1C ZINC001731431546 1204410593 /nfs/dbraw/zinc/41/05/93/1204410593.db2.gz UXKRIOOBANXXBK-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC[C@H](C)c1ccccc1 ZINC001731542681 1204452238 /nfs/dbraw/zinc/45/22/38/1204452238.db2.gz HZIWROHIIVXSAZ-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1Cc2ccccc21 ZINC001731547885 1204455701 /nfs/dbraw/zinc/45/57/01/1204455701.db2.gz UDGYQSSZHASJPE-NVXWUHKLSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CCc2ccoc2)C1 ZINC001731554531 1204459521 /nfs/dbraw/zinc/45/95/21/1204459521.db2.gz MQFNNKUALVSXNB-HOCLYGCPSA-N 0 1 324.852 3.181 20 30 DGEDMN C=CCCCC(=O)NC1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001731573491 1204467780 /nfs/dbraw/zinc/46/77/80/1204467780.db2.gz DXXOUEFLOYYDFA-QQFBHYJXSA-N 0 1 319.449 3.417 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731579079 1204470652 /nfs/dbraw/zinc/47/06/52/1204470652.db2.gz BIIYAOICVIXGMA-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001731581638 1204471707 /nfs/dbraw/zinc/47/17/07/1204471707.db2.gz KVZOHZAOFUBGJC-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C[C@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)NCC#Cc1ccccc1 ZINC001731591705 1204475582 /nfs/dbraw/zinc/47/55/82/1204475582.db2.gz IEAJSTNOWMZQST-IEBWSBKVSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001731612816 1204481931 /nfs/dbraw/zinc/48/19/31/1204481931.db2.gz XSTQTAOSJKWBSI-RYUDHWBXSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)c1ccccc1C ZINC001731623688 1204487642 /nfs/dbraw/zinc/48/76/42/1204487642.db2.gz QLJVIBQMQSZZPC-DZGCQCFKSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@H](C)NCC(=C)Cl)c1ccccc1 ZINC001731638286 1204493192 /nfs/dbraw/zinc/49/31/92/1204493192.db2.gz BALFAWJXEANRRD-LSDHHAIUSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)Cc1cc2ccccc2o1 ZINC001731773368 1204548037 /nfs/dbraw/zinc/54/80/37/1204548037.db2.gz WUMVOGGDUUDGIB-UHFFFAOYSA-N 0 1 300.402 3.337 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cccc(F)c1 ZINC001731830704 1204590688 /nfs/dbraw/zinc/59/06/88/1204590688.db2.gz BUPFHVIVQVAZJT-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCN2Cc2csc(C)c2)C1 ZINC001731833127 1204591787 /nfs/dbraw/zinc/59/17/87/1204591787.db2.gz FXKUQRLGPFLZHX-INIZCTEOSA-N 0 1 318.486 3.493 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1sc(C)nc1C ZINC001731832988 1204591808 /nfs/dbraw/zinc/59/18/08/1204591808.db2.gz NIGCIVKKGNUNKH-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H](C)c1ccccc1C ZINC001731837124 1204594736 /nfs/dbraw/zinc/59/47/36/1204594736.db2.gz ZFHOSDZZOPITPD-MSOLQXFVSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H](C)c1ccccc1C ZINC001731837127 1204595003 /nfs/dbraw/zinc/59/50/03/1204595003.db2.gz ZFHOSDZZOPITPD-ZWKOTPCHSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CC1(CC(=O)NC[C@H]2CCCN2CC#CC)CCCCC1 ZINC001731837169 1204595442 /nfs/dbraw/zinc/59/54/42/1204595442.db2.gz ZHIFLRURVWMPHX-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C(CC(C)C)CC(C)C ZINC001731841648 1204597609 /nfs/dbraw/zinc/59/76/09/1204597609.db2.gz LUUGZGYKLNRVHS-GOSISDBHSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cc(C)ns2)CCCC1 ZINC001731993034 1204642430 /nfs/dbraw/zinc/64/24/30/1204642430.db2.gz YCFFPBQWZYRNAV-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@H](C)CCC)c1ccccc1 ZINC001732018516 1204650696 /nfs/dbraw/zinc/65/06/96/1204650696.db2.gz KGACBDLJRCRMCZ-AEFFLSMTSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C=C)c1ccccc1)c1ccccc1 ZINC001732027835 1204654534 /nfs/dbraw/zinc/65/45/34/1204654534.db2.gz ZMHPDIUZUKCVDQ-PMACEKPBSA-N 0 1 318.420 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001732057664 1204673228 /nfs/dbraw/zinc/67/32/28/1204673228.db2.gz TVYISSGUXJIBJD-CWRNSKLLSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(F)=C2CCCC2)C1 ZINC001732070334 1204678788 /nfs/dbraw/zinc/67/87/88/1204678788.db2.gz VDTRYBCNKVHDGY-CYBMUJFWSA-N 0 1 314.832 3.317 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cc(C)cc(F)c2)C1 ZINC001732073975 1204680817 /nfs/dbraw/zinc/68/08/17/1204680817.db2.gz GUWOPMHSZZCHLF-SFHVURJKSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2oc(C)cc2C)CC1 ZINC001732083132 1204687144 /nfs/dbraw/zinc/68/71/44/1204687144.db2.gz XGEPAISJAJSLRT-UHFFFAOYSA-N 0 1 324.852 3.433 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001732089536 1204690351 /nfs/dbraw/zinc/69/03/51/1204690351.db2.gz CCFCEYQLLNBCEV-DGUGNXMESA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cnc3ccccc3c2)C1 ZINC001732112875 1204698455 /nfs/dbraw/zinc/69/84/55/1204698455.db2.gz UJRUKSDKLZWYAH-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001732147116 1204712606 /nfs/dbraw/zinc/71/26/06/1204712606.db2.gz SMIMCKPXGGXOFZ-IHPCNDPISA-N 0 1 324.468 3.187 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)CC(F)(F)F ZINC001732149774 1204714995 /nfs/dbraw/zinc/71/49/95/1204714995.db2.gz IUAWQJKXWJZJAF-STQMWFEESA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCc2cc(C)sc2C)C1 ZINC001732153402 1204715734 /nfs/dbraw/zinc/71/57/34/1204715734.db2.gz LTNJJRPPNCKZRB-INIZCTEOSA-N 0 1 306.475 3.064 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001732165267 1204722784 /nfs/dbraw/zinc/72/27/84/1204722784.db2.gz LPHIBKXXGNCTBC-INIZCTEOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001732185190 1204737068 /nfs/dbraw/zinc/73/70/68/1204737068.db2.gz FLPLQKHUICZWOX-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2(C)CCC2)cc1 ZINC001732318078 1204789810 /nfs/dbraw/zinc/78/98/10/1204789810.db2.gz CMEIIQJBDBNWQO-UHFFFAOYSA-N 0 1 306.837 3.335 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccc(C)o2)CC1 ZINC001732319759 1204790614 /nfs/dbraw/zinc/79/06/14/1204790614.db2.gz BIDWGZJFURCLDC-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CN(CCC(C)(C)C)CCO1 ZINC001732379319 1204812515 /nfs/dbraw/zinc/81/25/15/1204812515.db2.gz QIDXEHBQEBRWGU-QGZVFWFLSA-N 0 1 322.493 3.008 20 30 DGEDMN CC(C)=C(C)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001732434320 1204838123 /nfs/dbraw/zinc/83/81/23/1204838123.db2.gz GFKXUAHUUXNBSS-INIZCTEOSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001732437647 1204840214 /nfs/dbraw/zinc/84/02/14/1204840214.db2.gz NIGUCWGSBDYEOX-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN CC(C)C[C@H](CNCc1ccccc1C#N)NC(=O)C(C)(C)C ZINC001732468706 1204859941 /nfs/dbraw/zinc/85/99/41/1204859941.db2.gz SZIMLVDPVVHKGK-QGZVFWFLSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c[nH]cc1C)C1CCCCC1 ZINC001732480960 1204866395 /nfs/dbraw/zinc/86/63/95/1204866395.db2.gz XVKADFXRKRSZRU-MRXNPFEDSA-N 0 1 323.868 3.344 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CC(C)(F)F ZINC001732542458 1204886714 /nfs/dbraw/zinc/88/67/14/1204886714.db2.gz GAUNYLZJPYYRGQ-PWSUYJOCSA-N 0 1 308.800 3.001 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732594185 1204915249 /nfs/dbraw/zinc/91/52/49/1204915249.db2.gz FRZJRJVIBPRBLS-HZPDHXFCSA-N 0 1 315.461 3.131 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNCc2csc(CC)n2)C1 ZINC001732727025 1204934297 /nfs/dbraw/zinc/93/42/97/1204934297.db2.gz LIYYKZJMFVLARD-ZDUSSCGKSA-N 0 1 321.490 3.046 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc2scnc21 ZINC001732774873 1204942259 /nfs/dbraw/zinc/94/22/59/1204942259.db2.gz LCTYLOMVPGLFRG-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(F)F)cc1 ZINC001732802121 1204945985 /nfs/dbraw/zinc/94/59/85/1204945985.db2.gz NWGHBOHAOBHDJX-UHFFFAOYSA-N 0 1 316.779 3.428 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1cc(Cl)ccc1F ZINC001732832528 1204950017 /nfs/dbraw/zinc/95/00/17/1204950017.db2.gz ZVVNPAPYYYBVOQ-PLNGDYQASA-N 0 1 310.800 3.207 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001732950981 1204963557 /nfs/dbraw/zinc/96/35/57/1204963557.db2.gz BCYDAQZDVAHLBG-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c(C)occ1C(F)(F)F ZINC001733005105 1204979190 /nfs/dbraw/zinc/97/91/90/1204979190.db2.gz SAAMGKIFHNBMFJ-QMMMGPOBSA-N 0 1 324.730 3.067 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001733015873 1204981935 /nfs/dbraw/zinc/98/19/35/1204981935.db2.gz VYZPMJMWZGXDKO-BHYGNILZSA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C(F)(F)F)c1 ZINC001733021170 1204983615 /nfs/dbraw/zinc/98/36/15/1204983615.db2.gz OGKOGPVESKPCSX-JTQLQIEISA-N 0 1 320.742 3.166 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2ncccc2C)CCC1 ZINC001733054635 1205005146 /nfs/dbraw/zinc/00/51/46/1205005146.db2.gz IOWLQCXUFZWZSY-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)CCC(F)(F)F)CC1 ZINC001733056988 1205007831 /nfs/dbraw/zinc/00/78/31/1205007831.db2.gz WSLYKCAXTBDYDP-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1CNCc1cc(C)on1 ZINC001733076390 1205019163 /nfs/dbraw/zinc/01/91/63/1205019163.db2.gz GXHZTNXWGOVXKF-DOTOQJQBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1ccccc1)C1CC1 ZINC001733188745 1205037468 /nfs/dbraw/zinc/03/74/68/1205037468.db2.gz NGOMNEUXAXFZKU-CZUORRHYSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1Cc1ccc2ccccc2c1 ZINC001733206154 1205042824 /nfs/dbraw/zinc/04/28/24/1205042824.db2.gz CQRBDSCEWOGUOV-IBGZPJMESA-N 0 1 308.425 3.497 20 30 DGEDMN Cc1cccc([C@@H](C)N2CC[C@H]2CNC(=O)C#CC(C)(C)C)c1 ZINC001733212206 1205047212 /nfs/dbraw/zinc/04/72/12/1205047212.db2.gz UXWCIBCQBZGGNT-AEFFLSMTSA-N 0 1 312.457 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1cc(C)ccc1C ZINC001733223342 1205053372 /nfs/dbraw/zinc/05/33/72/1205053372.db2.gz VROQERSZEQYFCK-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001733228274 1205057956 /nfs/dbraw/zinc/05/79/56/1205057956.db2.gz CKIBUQBAHGMWIS-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(OCCC)c2)CC1 ZINC001733245969 1205067809 /nfs/dbraw/zinc/06/78/09/1205067809.db2.gz PEHREJROEKSDRY-UHFFFAOYSA-N 0 1 322.836 3.080 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCc2ccccc2Cl)C1 ZINC001733308240 1205089864 /nfs/dbraw/zinc/08/98/64/1205089864.db2.gz UMLUJVRYGHORAZ-UHFFFAOYSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C(C)C)cn2)C1 ZINC001733312238 1205091570 /nfs/dbraw/zinc/09/15/70/1205091570.db2.gz JTLSSRGUFGGCTH-HNNXBMFYSA-N 0 1 315.461 3.316 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCO[C@H](CC)C2)C1 ZINC001733315504 1205093479 /nfs/dbraw/zinc/09/34/79/1205093479.db2.gz NMJDPVCWPUHDQD-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)c(C)o2)C[C@H]1C ZINC001733328344 1205097245 /nfs/dbraw/zinc/09/72/45/1205097245.db2.gz PUOADOMRSFJXPV-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cc(C)on2)C[C@@H]1C ZINC001733330947 1205097494 /nfs/dbraw/zinc/09/74/94/1205097494.db2.gz UZYIRALWIVRJBJ-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C[C@@H](F)CC ZINC001733391172 1205115461 /nfs/dbraw/zinc/11/54/61/1205115461.db2.gz GDMBIXNBCDHJRA-XHSDSOJGSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cnc(C)s2)C1 ZINC001733411185 1205122854 /nfs/dbraw/zinc/12/28/54/1205122854.db2.gz LEWVPHMMQMKMKQ-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)CCC)c1ccccc1CC ZINC001733519144 1205152850 /nfs/dbraw/zinc/15/28/50/1205152850.db2.gz VLBAMDBILAPNPG-SFHVURJKSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CCC)CC(C)C ZINC001733604654 1205172835 /nfs/dbraw/zinc/17/28/35/1205172835.db2.gz WBYKOUUDGAOJAP-FGTMMUONSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C)c(C)c1 ZINC001733680467 1205182607 /nfs/dbraw/zinc/18/26/07/1205182607.db2.gz KPMFDKPXTCHENK-UHFFFAOYSA-N 0 1 320.436 3.298 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001733753109 1205197704 /nfs/dbraw/zinc/19/77/04/1205197704.db2.gz DQCJKMRCCGGQKT-UONOGXRCSA-N 0 1 319.474 3.137 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C1CCCC1 ZINC001733766192 1205203844 /nfs/dbraw/zinc/20/38/44/1205203844.db2.gz OXUCQSZTJODALA-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C[C@@H](NC(=O)/C=C/C(C)(C)C)[C@H](C)NCc1ccccc1C#N ZINC001733964257 1205272909 /nfs/dbraw/zinc/27/29/09/1205272909.db2.gz MFTDWUBWTRRDKW-KZGTWKPJSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)nc1 ZINC001733974049 1205276302 /nfs/dbraw/zinc/27/63/02/1205276302.db2.gz GXUPAQSYPMFHSL-OLZOCXBDSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2ccsc2[nH]1 ZINC001733984040 1205278712 /nfs/dbraw/zinc/27/87/12/1205278712.db2.gz KESAUOJDQCKSIA-NXEZZACHSA-N 0 1 311.838 3.078 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C(C1CCC1)C1CCC1 ZINC001733990104 1205280639 /nfs/dbraw/zinc/28/06/39/1205280639.db2.gz BYJHFUMYVWINNZ-OLZOCXBDSA-N 0 1 312.885 3.438 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001734102946 1205324346 /nfs/dbraw/zinc/32/43/46/1205324346.db2.gz CSGJBSCIRQWKEA-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001734105691 1205325608 /nfs/dbraw/zinc/32/56/08/1205325608.db2.gz GWLCHRMPSPKCBZ-ZWOKBUDYSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001734122313 1205329804 /nfs/dbraw/zinc/32/98/04/1205329804.db2.gz POKVDXACGXGKKA-LSDHHAIUSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@]2(C1)CN(CCCC(C)C)CCO2 ZINC001734140975 1205333034 /nfs/dbraw/zinc/33/30/34/1205333034.db2.gz UQBGCUFZIFSINE-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CC)CCCCCC)C(C)(C)C1 ZINC001734151249 1205336061 /nfs/dbraw/zinc/33/60/61/1205336061.db2.gz ZIMUELRQESEMJB-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](CC)CC(F)F)CC1 ZINC001734268867 1205353137 /nfs/dbraw/zinc/35/31/37/1205353137.db2.gz CZZJQIUUYAIECQ-GFCCVEGCSA-N 0 1 322.827 3.343 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cc(O)ccc1Cl ZINC001734325994 1205365285 /nfs/dbraw/zinc/36/52/85/1205365285.db2.gz ILBXZBIEVNUBDP-CYBMUJFWSA-N 0 1 324.852 3.338 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001734346010 1205368554 /nfs/dbraw/zinc/36/85/54/1205368554.db2.gz SNNCBJJQUVMIRV-SJKOYZFVSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc2ccncc21 ZINC001734348895 1205369211 /nfs/dbraw/zinc/36/92/11/1205369211.db2.gz IPYKVTDJCUVJGS-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H]1C ZINC001734459118 1205381459 /nfs/dbraw/zinc/38/14/59/1205381459.db2.gz NGHNRPNLERKTPF-SJLPKXTDSA-N 0 1 312.457 3.164 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)c(F)c(C)c1)C(C)C ZINC001734554483 1205392452 /nfs/dbraw/zinc/39/24/52/1205392452.db2.gz LSIGDVHPBUDFMP-UHFFFAOYSA-N 0 1 318.436 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1[nH]c(C)cc1C)C(C)(C)C ZINC001734563176 1205395061 /nfs/dbraw/zinc/39/50/61/1205395061.db2.gz GMDQSVUYWZTOGL-CYBMUJFWSA-N 0 1 311.857 3.118 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CC(NC/C(Cl)=C\Cl)C2)C1 ZINC001734598048 1205403708 /nfs/dbraw/zinc/40/37/08/1205403708.db2.gz KQGFIHBMKHSKAT-DHZHZOJOSA-N 0 1 317.260 3.241 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](C)CC(C)(C)C)CC1 ZINC001734634187 1205407765 /nfs/dbraw/zinc/40/77/65/1205407765.db2.gz PWUJXJXCJZAQQS-INIZCTEOSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](CC)CCCCC)CC1 ZINC001734637512 1205408141 /nfs/dbraw/zinc/40/81/41/1205408141.db2.gz VIIGMGJBFOMDSU-GOSISDBHSA-N 0 1 304.478 3.365 20 30 DGEDMN CCCc1cc(C#N)c(N2C[C@H](C)N(C)C[C@H]2C)nc1Cl ZINC001164641823 719212022 /nfs/dbraw/zinc/21/20/22/719212022.db2.gz VIRNYJCQPMOBTE-NWDGAFQWSA-N 0 1 306.841 3.088 20 30 DGEDMN C[C@@H]1CN(c2nc3ccccc3c(Cl)c2C#N)[C@H](C)CN1C ZINC001164633092 719232766 /nfs/dbraw/zinc/23/27/66/719232766.db2.gz CWWMRMFZXCSWNR-VXGBXAGGSA-N 0 1 314.820 3.289 20 30 DGEDMN C[C@@H]1CN(c2nc(-c3cccs3)ccc2C#N)[C@@H](C)CN1C ZINC001164636400 719239713 /nfs/dbraw/zinc/23/97/13/719239713.db2.gz SOCAPVXJOCZCPM-OLZOCXBDSA-N 0 1 312.442 3.211 20 30 DGEDMN CC#CCCCC(=O)N(CC)CCNCc1cc(F)ccc1F ZINC001668524759 1197418027 /nfs/dbraw/zinc/41/80/27/1197418027.db2.gz PGNIHGNKCBFWAF-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC001589604372 949222274 /nfs/dbraw/zinc/22/22/74/949222274.db2.gz BFFOHHPEOURFDN-QGZVFWFLSA-N 0 1 305.805 3.268 20 30 DGEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC001589606406 949285952 /nfs/dbraw/zinc/28/59/52/949285952.db2.gz OCXPNDKTECPEOU-INIZCTEOSA-N 0 1 306.793 3.288 20 30 DGEDMN C[C@H]1C[C@@H](C(=O)[O-])C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC001589281681 953524954 /nfs/dbraw/zinc/52/49/54/953524954.db2.gz YVEIHADEAGPRIZ-DZGCQCFKSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@@H]1CCC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@@H]1C(=O)[O-] ZINC001589334977 953985535 /nfs/dbraw/zinc/98/55/35/953985535.db2.gz WFQHVHMCUGRSBE-CJNGLKHVSA-N 0 1 300.402 3.173 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001594274137 957703030 /nfs/dbraw/zinc/70/30/30/957703030.db2.gz SRHMGOYJCMGSNY-RBUKOAKNSA-N 0 1 320.392 3.167 20 30 DGEDMN C=C[C@H]1CCCC[N@@H+]1Cc1ccc(N2CCC[C@@H](C(=O)[O-])C2)o1 ZINC001588453190 958433550 /nfs/dbraw/zinc/43/35/50/958433550.db2.gz VHOGAYUWTKPOIN-CABCVRRESA-N 0 1 318.417 3.121 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)Cc1ccc(Br)c(F)c1 ZINC001573350307 962967840 /nfs/dbraw/zinc/96/78/40/962967840.db2.gz GVNCKGMMZLXUMU-GFCCVEGCSA-N 0 1 316.170 3.049 20 30 DGEDMN C#CCCCCCC[N@H+](C)[C@H](C(=O)[O-])c1cccc(OC)c1 ZINC001588441794 964053570 /nfs/dbraw/zinc/05/35/70/964053570.db2.gz KKTKIQIKXFXZMB-KRWDZBQOSA-N 0 1 303.402 3.336 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(C)=C2CCCC2)C1 ZINC001671963023 1197587688 /nfs/dbraw/zinc/58/76/88/1197587688.db2.gz QUZAPZWYKQYDPN-HNNXBMFYSA-N 0 1 312.404 3.136 20 30 DGEDMN CCCCCCCCC[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001595705196 982282919 /nfs/dbraw/zinc/28/29/19/982282919.db2.gz RFEGYRHWZIUDNL-OAHLLOKOSA-N 0 1 322.453 3.364 20 30 DGEDMN C#C[C@@H]([NH2+]Cc1cc(C(=O)[O-])c(CC)[nH]1)c1ccc(Cl)cc1 ZINC001588376966 983354447 /nfs/dbraw/zinc/35/44/47/983354447.db2.gz HNACTPZQSRKOCV-OAHLLOKOSA-N 0 1 316.788 3.393 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])oc1C)[C@@H]1CCc2ccccc21 ZINC001588469318 983501242 /nfs/dbraw/zinc/50/12/42/983501242.db2.gz DFWWNRDFEVEVTR-QGZVFWFLSA-N 0 1 309.365 3.409 20 30 DGEDMN C#CC[N@H+](Cc1ccc(-c2ccc(C(=O)[O-])cc2)o1)CC1CC1 ZINC001588469690 983502741 /nfs/dbraw/zinc/50/27/41/983502741.db2.gz NLWQTFRTTBSAIU-UHFFFAOYSA-N 0 1 309.365 3.490 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])c(C)n1C)[C@H]1CCc2ccccc21 ZINC001588469994 983504286 /nfs/dbraw/zinc/50/42/86/983504286.db2.gz YCGYIWMLIZWVRP-IBGZPJMESA-N 0 1 322.408 3.154 20 30 DGEDMN C=C[C@@H]([NH2+][C@H](COCc1ccccc1)c1ccccc1)C(=O)[O-] ZINC001588695741 983901710 /nfs/dbraw/zinc/90/17/10/983901710.db2.gz KVFHARXXOOKQJF-QZTJIDSGSA-N 0 1 311.381 3.173 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1cc(Br)ccc1F ZINC001588729157 983986413 /nfs/dbraw/zinc/98/64/13/983986413.db2.gz OMIYAAVJTTXSCE-LBPRGKRZSA-N 0 1 316.170 3.049 20 30 DGEDMN CC#CCC[N@@H+](CCC(=O)[O-])Cc1cccc(Br)c1 ZINC001588927310 984597767 /nfs/dbraw/zinc/59/77/67/984597767.db2.gz SIAUYKVEYXHLKH-UHFFFAOYSA-N 0 1 324.218 3.139 20 30 DGEDMN CC(C)(C)C[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001589764849 988109175 /nfs/dbraw/zinc/10/91/75/988109175.db2.gz ZPRVOCNMEIMWJQ-GOEBONIOSA-N 0 1 300.402 3.442 20 30 DGEDMN CC(C)C[N@@H+](CCC(=O)[O-])Cc1ccc(C2(C#N)CC2)cc1 ZINC001591332655 992313496 /nfs/dbraw/zinc/31/34/96/992313496.db2.gz YEZOUPGAVBHWOC-UHFFFAOYSA-N 0 1 300.402 3.174 20 30 DGEDMN C[C@@]1(C#N)CC[N@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001593743412 996367848 /nfs/dbraw/zinc/36/78/48/996367848.db2.gz XOALLGMSTIZQOL-INIZCTEOSA-N 0 1 300.383 3.335 20 30 DGEDMN C[C@@]1(C#N)CC[N@@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001593743412 996367853 /nfs/dbraw/zinc/36/78/53/996367853.db2.gz XOALLGMSTIZQOL-INIZCTEOSA-N 0 1 300.383 3.335 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)c(CC)s1 ZINC001716252681 1203453960 /nfs/dbraw/zinc/45/39/60/1203453960.db2.gz JUCVNBLOMPSGND-UHFFFAOYSA-N 0 1 314.882 3.423 20 30 DGEDMN C=CCN(C)Cc1cc(Br)c(OC)c(OC)c1 ZINC001203776893 1090801504 /nfs/dbraw/zinc/80/15/04/1090801504.db2.gz LHRGRRSLHRGIDG-UHFFFAOYSA-N 0 1 300.196 3.084 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001266262248 1081592678 /nfs/dbraw/zinc/59/26/78/1081592678.db2.gz KVQXGWHXGYLVPZ-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1ccc(C)c(OC)c1 ZINC001266278731 1081612225 /nfs/dbraw/zinc/61/22/25/1081612225.db2.gz QDHGDDMTLXVJKU-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@@H]1CN(CC#CC)C[C@H]1C)c1ccccc1 ZINC001211495154 1081625533 /nfs/dbraw/zinc/62/55/33/1081625533.db2.gz GIUMRMZAWRBWBM-YZGWKJHDSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCNCc1coc(C2CC2)n1 ZINC001169707034 1081635067 /nfs/dbraw/zinc/63/50/67/1081635067.db2.gz XPNFBAVKPCJGKS-CQSZACIVSA-N 0 1 319.449 3.283 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001266308183 1081653899 /nfs/dbraw/zinc/65/38/99/1081653899.db2.gz QYIBJIDLVGNZQU-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001266320750 1081663610 /nfs/dbraw/zinc/66/36/10/1081663610.db2.gz FITOOYVKKCENNJ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001266339093 1081680487 /nfs/dbraw/zinc/68/04/87/1081680487.db2.gz JGFHHPOLTPZBGY-OLZOCXBDSA-N 0 1 319.276 3.117 20 30 DGEDMN CN1CCN(c2ccc(NC3=CC(=O)CC(C)(C)C3)cc2)CC1 ZINC001169951419 1081683958 /nfs/dbraw/zinc/68/39/58/1081683958.db2.gz HWOJFXRQFJLGOA-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001266377028 1081717322 /nfs/dbraw/zinc/71/73/22/1081717322.db2.gz NGTXNHCWSRFBPN-MRXNPFEDSA-N 0 1 322.880 3.293 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCN(CC)Cc1ccc(F)c(C#N)c1 ZINC001266381420 1081722141 /nfs/dbraw/zinc/72/21/41/1081722141.db2.gz ZBBKQDSWHQZHOE-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1sccc1Cl)C1CC1 ZINC001266409063 1081764095 /nfs/dbraw/zinc/76/40/95/1081764095.db2.gz BWLBDMFBDAERJS-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC[C@H](C)CC)C2 ZINC001109332958 1081801413 /nfs/dbraw/zinc/80/14/13/1081801413.db2.gz JAWVCRKYKNOFCF-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1sccc1CC)C1CC1 ZINC001266444861 1081827546 /nfs/dbraw/zinc/82/75/46/1081827546.db2.gz GLKUHLQECOPCAM-ZDUSSCGKSA-N 0 1 312.866 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001109591258 1081876071 /nfs/dbraw/zinc/87/60/71/1081876071.db2.gz YNPJVFNWBWWLGM-IKGGRYGDSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H]1C[C@@H]2CCCC[C@@H]2NC1=O ZINC001167273287 1081877091 /nfs/dbraw/zinc/87/70/91/1081877091.db2.gz AQHDADPIUOYNEH-GBESFXJTSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)OC1CCCCCC1)C2 ZINC001109700474 1081902537 /nfs/dbraw/zinc/90/25/37/1081902537.db2.gz NTKTUSJUUBKZAP-MVJTYMMSSA-N 0 1 320.477 3.022 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001266529615 1081954883 /nfs/dbraw/zinc/95/48/83/1081954883.db2.gz HFDITDZJKTVUJA-ZDUSSCGKSA-N 0 1 317.260 3.264 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)/C=C/c2ccco2)CC1 ZINC001112597514 1081969867 /nfs/dbraw/zinc/96/98/67/1081969867.db2.gz DTFUAAPVORUWMR-MDZDMXLPSA-N 0 1 302.418 3.183 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)OCCCC)CC1 ZINC001112677846 1081986130 /nfs/dbraw/zinc/98/61/30/1081986130.db2.gz MXXJPJCFCIUJAM-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cnccc2C)CC1 ZINC001112740030 1081996092 /nfs/dbraw/zinc/99/60/92/1081996092.db2.gz UDNBLQFHTHUDTI-UHFFFAOYSA-N 0 1 303.450 3.118 20 30 DGEDMN C[C@@H](O)c1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1 ZINC001210641985 1082003135 /nfs/dbraw/zinc/00/31/35/1082003135.db2.gz YMQDZCDBVUNOGL-LLVKDONJSA-N 0 1 313.426 3.405 20 30 DGEDMN C#CCCCC(=O)N1CCN([C@@H](C)c2cc(C)ccc2C)CC1 ZINC001112809574 1082007946 /nfs/dbraw/zinc/00/79/46/1082007946.db2.gz LJBQPUGYLJGQOZ-SFHVURJKSA-N 0 1 312.457 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1oc(C)nc1C ZINC001266568983 1082010715 /nfs/dbraw/zinc/01/07/15/1082010715.db2.gz XSGPSCMYAOIWHD-UHFFFAOYSA-N 0 1 321.465 3.222 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)Cc2scnc2C)C1 ZINC001266571247 1082012236 /nfs/dbraw/zinc/01/22/36/1082012236.db2.gz MNPGQMDIIUEKGO-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CCCC3(CC3)C2)CC1 ZINC001112851904 1082025270 /nfs/dbraw/zinc/02/52/70/1082025270.db2.gz IESQFYHTEVTKRS-SJORKVTESA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(CCOCC)CCC2)CC1 ZINC001112858930 1082026451 /nfs/dbraw/zinc/02/64/51/1082026451.db2.gz NVTADSMDKNENFS-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1cc(F)ccc1C)C1CC1 ZINC001266591108 1082041830 /nfs/dbraw/zinc/04/18/30/1082041830.db2.gz ZPZQMEXBEZBELE-UHFFFAOYSA-N 0 1 316.420 3.018 20 30 DGEDMN C#CCN(CCNC(=O)c1ccc(C2CCCC2)cc1)C1CC1 ZINC001266602685 1082051976 /nfs/dbraw/zinc/05/19/76/1082051976.db2.gz PEBBKKGFGSEMPK-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCCCCCC)CC2)CCC1 ZINC001112951851 1082064126 /nfs/dbraw/zinc/06/41/26/1082064126.db2.gz YMGRUNNNCGENGR-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2nc3ccccc3cc2C)CC1 ZINC001113122889 1082120321 /nfs/dbraw/zinc/12/03/21/1082120321.db2.gz BFPOCCQNEYKOHA-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001113126912 1082121627 /nfs/dbraw/zinc/12/16/27/1082121627.db2.gz ZQGSXOOFWZICLS-ROUUACIJSA-N 0 1 304.478 3.313 20 30 DGEDMN N#Cc1ccc(Nc2ccncc2Oc2ccccc2)c(N)c1 ZINC001213347108 1082127133 /nfs/dbraw/zinc/12/71/33/1082127133.db2.gz UMYFGLAWVVWCHO-UHFFFAOYSA-N 0 1 302.337 3.493 20 30 DGEDMN CCCCCC(=O)N[C@H]1C[C@@H](NCc2cc(F)ccc2C#N)C1 ZINC001266668187 1082127151 /nfs/dbraw/zinc/12/71/51/1082127151.db2.gz PKDQMTOQTGEVBQ-CALCHBBNSA-N 0 1 317.408 3.014 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2cccc(Cl)c2)CC1 ZINC001113167503 1082130329 /nfs/dbraw/zinc/13/03/29/1082130329.db2.gz PZOXSWLRTCYWAW-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)C(F)(F)F)CC1 ZINC001113203810 1082139168 /nfs/dbraw/zinc/13/91/68/1082139168.db2.gz MPVSLAMWSRABGD-CYBMUJFWSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccn(C(C)C)c2C)CC1 ZINC001113241383 1082146503 /nfs/dbraw/zinc/14/65/03/1082146503.db2.gz HYMIOOMEIDJFCD-INIZCTEOSA-N 0 1 317.477 3.490 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc[nH]c2CCC)CC1 ZINC001113241557 1082146854 /nfs/dbraw/zinc/14/68/54/1082146854.db2.gz KSQMKZCYNUAEQG-UHFFFAOYSA-N 0 1 317.477 3.471 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001113246697 1082147490 /nfs/dbraw/zinc/14/74/90/1082147490.db2.gz RSTGTVGHPGRBPT-QFBILLFUSA-N 0 1 312.457 3.420 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001266688164 1082147666 /nfs/dbraw/zinc/14/76/66/1082147666.db2.gz KEDTXOPZVQIDDW-OKILXGFUSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccoc2C2CC2)CC1 ZINC001113260116 1082151733 /nfs/dbraw/zinc/15/17/33/1082151733.db2.gz WSRLDOZFQGQSBI-AWEZNQCLSA-N 0 1 302.418 3.270 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(C(F)F)CCCC2)CC1 ZINC001113255003 1082155616 /nfs/dbraw/zinc/15/56/16/1082155616.db2.gz XQCVGWQJZRDOCY-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCC[C@H](OC)C2)CC1 ZINC001113270156 1082157254 /nfs/dbraw/zinc/15/72/54/1082157254.db2.gz DFTVRPKIJWKVPD-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(F)c2F)CC1 ZINC001113266367 1082160813 /nfs/dbraw/zinc/16/08/13/1082160813.db2.gz XQHFGQYMPYWMKD-CYBMUJFWSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(Cl)cc2C)CC1 ZINC001113287659 1082170313 /nfs/dbraw/zinc/17/03/13/1082170313.db2.gz PDKUZAGUXSSRJY-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2CCC(F)CC2)CC1 ZINC001113301164 1082171770 /nfs/dbraw/zinc/17/17/70/1082171770.db2.gz JTSSADJUOWDKHW-UHFFFAOYSA-N 0 1 310.457 3.405 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccn(C)c2C)CC1 ZINC001113315800 1082176386 /nfs/dbraw/zinc/17/63/86/1082176386.db2.gz TVJBKXBWBAIYEW-UHFFFAOYSA-N 0 1 305.466 3.062 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)c2ccsc2)CC1 ZINC001113337571 1082180680 /nfs/dbraw/zinc/18/06/80/1082180680.db2.gz YSJONHDEVFGXLL-HUUCEWRRSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)Cc2ccccc2F)CC1 ZINC001113340958 1082181001 /nfs/dbraw/zinc/18/10/01/1082181001.db2.gz GLEMKWVYDUJUBE-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccn(C)c2CC)CC1 ZINC001113345912 1082182665 /nfs/dbraw/zinc/18/26/65/1082182665.db2.gz JPPJVKJDTWAGIL-UHFFFAOYSA-N 0 1 317.477 3.092 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001266751561 1082188740 /nfs/dbraw/zinc/18/87/40/1082188740.db2.gz HHLGLXLIRVOKPJ-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2cc(C)oc2C)CC1 ZINC001113432236 1082209982 /nfs/dbraw/zinc/20/99/82/1082209982.db2.gz SPWNQNZRHPZXQZ-OAHLLOKOSA-N 0 1 304.434 3.110 20 30 DGEDMN C=CCN(CCN1CCC[C@@H](C#N)CC1)C(=O)OC(C)(C)C ZINC001207203958 1082219367 /nfs/dbraw/zinc/21/93/67/1082219367.db2.gz WUXSOAOUUBEQDG-OAHLLOKOSA-N 0 1 307.438 3.035 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cccc(F)c1Cl ZINC001266799695 1082223483 /nfs/dbraw/zinc/22/34/83/1082223483.db2.gz BHVCMOHZHITBJE-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C2CCC2)C1 ZINC001086595009 1082229788 /nfs/dbraw/zinc/22/97/88/1082229788.db2.gz GIDJYNVIDRUKRW-LSTHTHJFSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2coc(C3CCC3)n2)CC1 ZINC001113505830 1082236008 /nfs/dbraw/zinc/23/60/08/1082236008.db2.gz MQTUSYBSGWWBDG-CQSZACIVSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(CC(C)C)c[nH]2)CC1 ZINC001113545393 1082244558 /nfs/dbraw/zinc/24/45/58/1082244558.db2.gz MYKAPNXOHLPHKN-INIZCTEOSA-N 0 1 317.477 3.326 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2occ3c2CCCC3)CC1 ZINC001113580528 1082253941 /nfs/dbraw/zinc/25/39/41/1082253941.db2.gz RXIZXBLVGFMESC-HNNXBMFYSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001113573826 1082254610 /nfs/dbraw/zinc/25/46/10/1082254610.db2.gz IPEHSWTWFAZYDQ-AWEZNQCLSA-N 0 1 306.837 3.453 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(C[C@@H](CC)OC)CC1 ZINC001113575804 1082255325 /nfs/dbraw/zinc/25/53/25/1082255325.db2.gz PEAKCRDMXRQURJ-QGZVFWFLSA-N 0 1 312.498 3.306 20 30 DGEDMN C=CCCN1CCN(C(=O)CC(C)(C)CC(F)(F)F)CC1 ZINC001113584973 1082256160 /nfs/dbraw/zinc/25/61/60/1082256160.db2.gz LPWZNWWUNKYIFZ-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(OCC)cc2)CC1 ZINC001113597761 1082258888 /nfs/dbraw/zinc/25/88/88/1082258888.db2.gz KCTBDRNRJJTKIL-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1ccccc1Cl ZINC001266846908 1082261751 /nfs/dbraw/zinc/26/17/51/1082261751.db2.gz LUQBVACABCRDFJ-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(CC)cc2)CC1 ZINC001113598898 1082262403 /nfs/dbraw/zinc/26/24/03/1082262403.db2.gz RLXKUMLILFLINE-INIZCTEOSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)nc3ccccc32)CC1 ZINC001113602422 1082263254 /nfs/dbraw/zinc/26/32/54/1082263254.db2.gz WOYWJJDYWHPTNN-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccn2C(C)C)CC1 ZINC001113635498 1082268424 /nfs/dbraw/zinc/26/84/24/1082268424.db2.gz CDAHYMMGYYZTGQ-MRXNPFEDSA-N 0 1 303.450 3.182 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@@H]2CC[C@@H](C)O2)CC1 ZINC001113660042 1082272838 /nfs/dbraw/zinc/27/28/38/1082272838.db2.gz STRXZEKJLOXLOB-MSOLQXFVSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)N1CCN(CC(C)C)CC1 ZINC001113662810 1082274860 /nfs/dbraw/zinc/27/48/60/1082274860.db2.gz JZYCHQPSWARRMQ-IBGZPJMESA-N 0 1 314.473 3.222 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](CC)c2ccc(F)cc2)CC1 ZINC001113695194 1082281530 /nfs/dbraw/zinc/28/15/30/1082281530.db2.gz REYDWEVSMNNOPX-SFHVURJKSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001266869053 1082287830 /nfs/dbraw/zinc/28/78/30/1082287830.db2.gz ONJQPRIJLXUNFO-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)Cc2ccc(F)cc2)CC1 ZINC001113710619 1082288687 /nfs/dbraw/zinc/28/86/87/1082288687.db2.gz RNVNCRCDTCRPFC-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@H](C)c1ccco1 ZINC001266881448 1082299051 /nfs/dbraw/zinc/29/90/51/1082299051.db2.gz WDYGKWLMDZEVLM-ZFWWWQNUSA-N 0 1 324.852 3.496 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@@H](C)c1ccco1 ZINC001266881447 1082299089 /nfs/dbraw/zinc/29/90/89/1082299089.db2.gz WDYGKWLMDZEVLM-UKRRQHHQSA-N 0 1 324.852 3.496 20 30 DGEDMN C=CCCCC(=O)NC1CCC(NCc2csc(C)n2)CC1 ZINC001266913412 1082333828 /nfs/dbraw/zinc/33/38/28/1082333828.db2.gz HGCQHOXSJYDCTE-UHFFFAOYSA-N 0 1 321.490 3.325 20 30 DGEDMN C=CCC[C@H](C)[NH2+]CCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001170356774 1082350927 /nfs/dbraw/zinc/35/09/27/1082350927.db2.gz OZDGVMXWCWCXET-LSDHHAIUSA-N 0 1 319.449 3.020 20 30 DGEDMN Cn1cc2c(n1)CCN(C1CCC(C#N)(c3ccccc3)CC1)C2 ZINC001170388825 1082359116 /nfs/dbraw/zinc/35/91/16/1082359116.db2.gz GXDMVPNDOCEWCT-UHFFFAOYSA-N 0 1 320.440 3.182 20 30 DGEDMN Cn1cnc2c1CN(C1CCC(C#N)(c3ccccc3)CC1)CC2 ZINC001170398623 1082361668 /nfs/dbraw/zinc/36/16/68/1082361668.db2.gz QVYNLXUTSMKSEV-UHFFFAOYSA-N 0 1 320.440 3.182 20 30 DGEDMN CN(Cc1ncccn1)C1CCC(C#N)(c2ccccc2)CC1 ZINC001170379149 1082362890 /nfs/dbraw/zinc/36/28/90/1082362890.db2.gz RLGOZWHIAMVSGU-UHFFFAOYSA-N 0 1 306.413 3.313 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2cccc(F)c2)CCC1 ZINC001266960159 1082377318 /nfs/dbraw/zinc/37/73/18/1082377318.db2.gz DQYFWXOHDQCTJV-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1ncc(C)o1 ZINC001170424518 1082390057 /nfs/dbraw/zinc/39/00/57/1082390057.db2.gz ACIVAPBAPGBMTQ-GOEBONIOSA-N 0 1 319.449 3.112 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C(C)(C)C1CCCCC1 ZINC001266977122 1082396276 /nfs/dbraw/zinc/39/62/76/1082396276.db2.gz JLMOKIWOJUYWCA-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001266983713 1082404950 /nfs/dbraw/zinc/40/49/50/1082404950.db2.gz QQGDMKLSMAOQHM-HKUYNNGSSA-N 0 1 314.473 3.205 20 30 DGEDMN CCC(CC)CC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001267021375 1082439217 /nfs/dbraw/zinc/43/92/17/1082439217.db2.gz CJRLFVMONDVKEE-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(CC(C)C)s1 ZINC001129636134 1082490555 /nfs/dbraw/zinc/49/05/55/1082490555.db2.gz NLKQHUCIEBXHDI-UHFFFAOYSA-N 0 1 300.855 3.019 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001267108614 1082525508 /nfs/dbraw/zinc/52/55/08/1082525508.db2.gz PERBDJJSGQZZJH-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CCCC)CC1 ZINC001279578559 1082550920 /nfs/dbraw/zinc/55/09/20/1082550920.db2.gz ZBWSHYVRURRSLG-IRXDYDNUSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001130409025 1082577983 /nfs/dbraw/zinc/57/79/83/1082577983.db2.gz SBMUOZWVCHMZDT-UHFFFAOYSA-N 0 1 308.800 3.050 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001267219195 1082652551 /nfs/dbraw/zinc/65/25/51/1082652551.db2.gz OGOFTZSLJDWXTO-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN COc1ccccc1/C(C)=C/C(=O)Nc1cc(C#N)ccc1O ZINC000176400582 1082655392 /nfs/dbraw/zinc/65/53/92/1082655392.db2.gz OHTNKGYOTACDLI-FMIVXFBMSA-N 0 1 308.337 3.314 20 30 DGEDMN C=CCCC(=O)NCCN(CC)Cc1ccc(F)c(Cl)c1 ZINC001267238196 1082682285 /nfs/dbraw/zinc/68/22/85/1082682285.db2.gz JEPSZZGBZMHURG-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)Cc1ccc(C)nc1C ZINC001267247178 1082698370 /nfs/dbraw/zinc/69/83/70/1082698370.db2.gz BUTYQQYKFJYNKF-UHFFFAOYSA-N 0 1 317.477 3.239 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3scnc3C3CC3)[nH]c2c1 ZINC001131906660 1082759946 /nfs/dbraw/zinc/75/99/46/1082759946.db2.gz QFLJYPXXUBERPW-UHFFFAOYSA-N 0 1 309.354 3.021 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001131973234 1082779539 /nfs/dbraw/zinc/77/95/39/1082779539.db2.gz ZWSSDQJXBROUCH-KGLIPLIRSA-N 0 1 318.383 3.102 20 30 DGEDMN CC#CCCCC(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001267316375 1082784638 /nfs/dbraw/zinc/78/46/38/1082784638.db2.gz NDIMVSVDILLTJX-UHFFFAOYSA-N 0 1 324.468 3.060 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccc(F)c2)C1 ZINC001131995495 1082789150 /nfs/dbraw/zinc/78/91/50/1082789150.db2.gz LAHLBRVOJUZRRT-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2c(C)noc2C)C1 ZINC001132064913 1082813145 /nfs/dbraw/zinc/81/31/45/1082813145.db2.gz OHVFFNWYYOFLMU-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cnccc2C)C1 ZINC001132257531 1082861520 /nfs/dbraw/zinc/86/15/20/1082861520.db2.gz XCIGRVWGMNUODO-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001267473266 1082926708 /nfs/dbraw/zinc/92/67/08/1082926708.db2.gz BPVOANRPYJPHGQ-OKYOBFRVSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)CC[C@H]1C ZINC001132509091 1082930446 /nfs/dbraw/zinc/93/04/46/1082930446.db2.gz QYIPBPAJFAJPOG-CVEARBPZSA-N 0 1 306.494 3.441 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccncc2Cl)C1 ZINC001267480969 1082935695 /nfs/dbraw/zinc/93/56/95/1082935695.db2.gz CKZVMOFPVAJANP-AWEZNQCLSA-N 0 1 321.852 3.238 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C(F)(F)F)CCCC2)CC[C@@H]1C ZINC001132541451 1082937304 /nfs/dbraw/zinc/93/73/04/1082937304.db2.gz FRAHAEZOHIFVIP-QWHCGFSZSA-N 0 1 318.383 3.264 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C(CC(C)C)CC(C)C)CC[C@@H]1C ZINC001132537461 1082940849 /nfs/dbraw/zinc/94/08/49/1082940849.db2.gz PAYFHIGODTVHHZ-FUHWJXTLSA-N 0 1 306.494 3.297 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001267496009 1082954077 /nfs/dbraw/zinc/95/40/77/1082954077.db2.gz KBCRDWIKCKHEPR-ZGUYJTEBSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2[C@H]3CCCN(CCF)[C@H]3C2(C)C)CC1 ZINC001087301799 1082971625 /nfs/dbraw/zinc/97/16/25/1082971625.db2.gz IZBXFUKAZLEGKY-BRWVUGGUSA-N 0 1 322.468 3.308 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1nsc2ccccc12 ZINC001132659895 1082973399 /nfs/dbraw/zinc/97/33/99/1082973399.db2.gz FUCMUNOMEWSKJW-ZDUSSCGKSA-N 0 1 317.458 3.104 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](F)C(C)C)c1ccc(C(C)C)cc1 ZINC001267516262 1082974198 /nfs/dbraw/zinc/97/41/98/1082974198.db2.gz AZLIBWSATXOPFV-MSOLQXFVSA-N 0 1 318.436 3.184 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cccc(C(C)C)n1 ZINC001132667718 1082975632 /nfs/dbraw/zinc/97/56/32/1082975632.db2.gz QVTWRFZDUZHECN-HNNXBMFYSA-N 0 1 303.450 3.013 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001132667419 1082975708 /nfs/dbraw/zinc/97/57/08/1082975708.db2.gz KYBUBNYUIKIQQO-STQMWFEESA-N 0 1 310.388 3.334 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001132671342 1082976773 /nfs/dbraw/zinc/97/67/73/1082976773.db2.gz OECRRKCTHLKOBI-STQMWFEESA-N 0 1 310.388 3.334 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001111941557 1082988345 /nfs/dbraw/zinc/98/83/45/1082988345.db2.gz XZYLPORGXYMBRN-GJYPPUQNSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1sccc1CC)c1ccccc1 ZINC001267525742 1082988526 /nfs/dbraw/zinc/98/85/26/1082988526.db2.gz IBPFCSOAFBXGBR-MRXNPFEDSA-N 0 1 312.438 3.004 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001133378737 1083110084 /nfs/dbraw/zinc/11/00/84/1083110084.db2.gz LMBSJHXKCMLLMX-SFHVURJKSA-N 0 1 303.450 3.013 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001133380334 1083110932 /nfs/dbraw/zinc/11/09/32/1083110932.db2.gz DIZGCMKDKYEVRJ-QGZVFWFLSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)/C=C(/C)CC)C1 ZINC001267597232 1083129056 /nfs/dbraw/zinc/12/90/56/1083129056.db2.gz ZOEQMSBHQSQMIO-XLEPWJDSSA-N 0 1 324.468 3.469 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001481057712 1083141020 /nfs/dbraw/zinc/14/10/20/1083141020.db2.gz BLLHMLDMPBCIOJ-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2oc(C(C)C)nc2C)C1 ZINC001481083920 1083155141 /nfs/dbraw/zinc/15/51/41/1083155141.db2.gz QHTAZYKRMMHVDQ-HNNXBMFYSA-N 0 1 319.449 3.011 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](CCNCc2nccs2)C1 ZINC001104607555 1083161963 /nfs/dbraw/zinc/16/19/63/1083161963.db2.gz ZZEBCNJHEIVWKH-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001481104782 1083179063 /nfs/dbraw/zinc/17/90/63/1083179063.db2.gz FBKNFYOSOVYNDB-KZRVJCNKSA-N 0 1 323.440 3.019 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481108743 1083181965 /nfs/dbraw/zinc/18/19/65/1083181965.db2.gz CZVIIADPQHSUQN-PZJWPPBQSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481113124 1083185927 /nfs/dbraw/zinc/18/59/27/1083185927.db2.gz PUMYZJALHUCBBO-LJQANCHMSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(Cl)n1 ZINC001133884022 1083205381 /nfs/dbraw/zinc/20/53/81/1083205381.db2.gz KICOHXURBSXVNZ-WDEREUQCSA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1ncoc1C(C)C ZINC001133883602 1083205450 /nfs/dbraw/zinc/20/54/50/1083205450.db2.gz CYQNXHIPWBPJRF-ZIAGYGMSSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(C2CC2)n1 ZINC001133889456 1083208323 /nfs/dbraw/zinc/20/83/23/1083208323.db2.gz WTVLBNQFBIIXQL-STQMWFEESA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC001267629648 1083232158 /nfs/dbraw/zinc/23/21/58/1083232158.db2.gz DBDRNKQWDMXWJN-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCN(CCNC(=O)c1ccc(-c2ccccc2)cc1)C1CC1 ZINC001481195282 1083237473 /nfs/dbraw/zinc/23/74/73/1083237473.db2.gz NNGAMQNSHIVLFZ-UHFFFAOYSA-N 0 1 318.420 3.181 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001161444944 1083242060 /nfs/dbraw/zinc/24/20/60/1083242060.db2.gz NDYYHRLBEDEWNB-HOTGVXAUSA-N 0 1 304.478 3.076 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)c1ccccc1C ZINC001283723864 1083243860 /nfs/dbraw/zinc/24/38/60/1083243860.db2.gz QJNRBRRBXRQRMB-HUUCEWRRSA-N 0 1 308.853 3.288 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC[C@@H]2CCC[C@@H](C)C2)C1 ZINC001267656770 1083249494 /nfs/dbraw/zinc/24/94/94/1083249494.db2.gz XAXHQLJMDZEFLB-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001267683744 1083262372 /nfs/dbraw/zinc/26/23/72/1083262372.db2.gz OIKFPGBKICQPCM-UHFFFAOYSA-N 0 1 306.475 3.207 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H](C)CCC)CC1 ZINC001267724858 1083284758 /nfs/dbraw/zinc/28/47/58/1083284758.db2.gz PJHDUAANNQBWTP-CYBMUJFWSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001267689509 1083266006 /nfs/dbraw/zinc/26/60/06/1083266006.db2.gz LLGWXWWFNHWYBZ-SDMNGIDPSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001267695714 1083269459 /nfs/dbraw/zinc/26/94/59/1083269459.db2.gz FSBQWKIMQYJSTD-IBGZPJMESA-N 0 1 314.473 3.440 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)nc1 ZINC001267703973 1083273971 /nfs/dbraw/zinc/27/39/71/1083273971.db2.gz ATLOAEFHJGFXJZ-HOTGVXAUSA-N 0 1 319.424 3.090 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)nc1 ZINC001267703973 1083273975 /nfs/dbraw/zinc/27/39/75/1083273975.db2.gz ATLOAEFHJGFXJZ-HOTGVXAUSA-N 0 1 319.424 3.090 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)s1 ZINC001267717052 1083280304 /nfs/dbraw/zinc/28/03/04/1083280304.db2.gz WDGJZDXYZIJSPZ-AGIUHOORSA-N 0 1 308.422 3.141 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(C)c2ccccc12 ZINC001134393032 1083283129 /nfs/dbraw/zinc/28/31/29/1083283129.db2.gz GXOJNBWJHFPJHV-UHFFFAOYSA-N 0 1 302.805 3.220 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@H](C)NCc1nocc1C ZINC001134418007 1083286757 /nfs/dbraw/zinc/28/67/57/1083286757.db2.gz DCIQLJFVGKZCHC-LSDHHAIUSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@H](C)NCc1coc(C)n1 ZINC001134419765 1083287903 /nfs/dbraw/zinc/28/79/03/1083287903.db2.gz ZVBDYPYNSFSMFM-UONOGXRCSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(Cc3ccsc3)C2)CCC1 ZINC001267730005 1083288930 /nfs/dbraw/zinc/28/89/30/1083288930.db2.gz IAQZYOAMCHVAKV-HNNXBMFYSA-N 0 1 304.459 3.185 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001134457593 1083295829 /nfs/dbraw/zinc/29/58/29/1083295829.db2.gz QGKHHQPAUWLZTK-OLZOCXBDSA-N 0 1 321.465 3.267 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001134457592 1083296032 /nfs/dbraw/zinc/29/60/32/1083296032.db2.gz QGKHHQPAUWLZTK-CHWSQXEVSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001134486191 1083296337 /nfs/dbraw/zinc/29/63/37/1083296337.db2.gz PFUHQBNYIBOHSO-KBPBESRZSA-N 0 1 323.506 3.435 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1coc(C2CC2)n1 ZINC001134475614 1083298134 /nfs/dbraw/zinc/29/81/34/1083298134.db2.gz GFCOYCIKHOAEPN-KGLIPLIRSA-N 0 1 319.449 3.281 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001267757064 1083323414 /nfs/dbraw/zinc/32/34/14/1083323414.db2.gz RMHCCBGQJHMIFR-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001267768643 1083331290 /nfs/dbraw/zinc/33/12/90/1083331290.db2.gz PXDWAHQCKYRILU-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc([C@H]2C[C@@H]2C)o1 ZINC001481255686 1083335999 /nfs/dbraw/zinc/33/59/99/1083335999.db2.gz PNHRCFMOLUBLPS-WFASDCNBSA-N 0 1 324.852 3.136 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)[C@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001267779768 1083342564 /nfs/dbraw/zinc/34/25/64/1083342564.db2.gz VIBGFNXYNRLAMB-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN CCN(C(=O)C#CC1CC1)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001267783887 1083345251 /nfs/dbraw/zinc/34/52/51/1083345251.db2.gz MFSSEJNSYMFAIH-AQDCRGGLSA-N 0 1 322.452 3.036 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001267788194 1083347935 /nfs/dbraw/zinc/34/79/35/1083347935.db2.gz RWCULTBKPBEWNV-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1oc(C(F)F)cc1C ZINC001267807323 1083356641 /nfs/dbraw/zinc/35/66/41/1083356641.db2.gz UMSNDDORWPLYLJ-JTQLQIEISA-N 0 1 320.767 3.376 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1cc(O)ccc1Cl ZINC001267814870 1083368019 /nfs/dbraw/zinc/36/80/19/1083368019.db2.gz GFABKVUWHIWBKA-ZDUSSCGKSA-N 0 1 324.852 3.242 20 30 DGEDMN CCCC1(C(=O)NC[C@@H](CC)NCc2ccccc2C#N)CC1 ZINC001267818357 1083375753 /nfs/dbraw/zinc/37/57/53/1083375753.db2.gz DXTYSXFBBKRQEM-QGZVFWFLSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2nc(C)cs2)CCC1 ZINC001267819677 1083379223 /nfs/dbraw/zinc/37/92/23/1083379223.db2.gz YTNZTCZHVMXXQT-CQSZACIVSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2ccncc2Cl)CC1 ZINC001481276515 1083484338 /nfs/dbraw/zinc/48/43/38/1083484338.db2.gz CWBDVXOAUUUZMK-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2CCCC2)cc1 ZINC001267932082 1083594491 /nfs/dbraw/zinc/59/44/91/1083594491.db2.gz LGQPPNQYSIGAPR-UHFFFAOYSA-N 0 1 306.837 3.335 20 30 DGEDMN O=C(C1=CCCCCC1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001182163793 1083636707 /nfs/dbraw/zinc/63/67/07/1083636707.db2.gz JTNGOPCGECUPCM-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN C#CCCCCC(=O)NCCN[C@H](C)c1cccc(Cl)c1F ZINC001125938441 1083653879 /nfs/dbraw/zinc/65/38/79/1083653879.db2.gz ASCLRUHCAQKGOF-CYBMUJFWSA-N 0 1 324.827 3.440 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001182221125 1083654328 /nfs/dbraw/zinc/65/43/28/1083654328.db2.gz XUUTZMSZBVCMHK-DZGCQCFKSA-N 0 1 320.383 3.020 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)CN[C@@H](C)c2ncc(C)o2)CCC1 ZINC001268001411 1083723393 /nfs/dbraw/zinc/72/33/93/1083723393.db2.gz BGTWJVPDIWIHSV-HIFRSBDPSA-N 0 1 319.449 3.132 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cnc(C)o2)CCCCC1 ZINC001481318489 1083759956 /nfs/dbraw/zinc/75/99/56/1083759956.db2.gz ANRDFWLIEUXOFD-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)C)c1ccc(C(C)C)cc1 ZINC001481357758 1083817276 /nfs/dbraw/zinc/81/72/76/1083817276.db2.gz JVSQPYZZOQGFAN-SFHVURJKSA-N 0 1 300.446 3.236 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(CC)CC)c1ccccc1 ZINC001481373818 1083834875 /nfs/dbraw/zinc/83/48/75/1083834875.db2.gz WQZARWVEOIXRPX-GOSISDBHSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC2(C)CCCC2)C1 ZINC001481395257 1083859606 /nfs/dbraw/zinc/85/96/06/1083859606.db2.gz DMSAZWJDIALTNG-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCC=CCCC2)C1 ZINC001481401592 1083869401 /nfs/dbraw/zinc/86/94/01/1083869401.db2.gz OFGUTSPKQNJTID-OALUTQOASA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001481406082 1083875065 /nfs/dbraw/zinc/87/50/65/1083875065.db2.gz GAODTXQBZYVXCQ-CVEARBPZSA-N 0 1 304.434 3.190 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001481406098 1083876008 /nfs/dbraw/zinc/87/60/08/1083876008.db2.gz GAODTXQBZYVXCQ-HOTGVXAUSA-N 0 1 304.434 3.190 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C\c2cccc(F)c2)C1 ZINC001183378633 1083941369 /nfs/dbraw/zinc/94/13/69/1083941369.db2.gz YABUNNAAJWSSHQ-OICCZTGUSA-N 0 1 322.811 3.172 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)C12CCCN1CCC2 ZINC001183555187 1083970139 /nfs/dbraw/zinc/97/01/39/1083970139.db2.gz ACBMHTUWYSPJHN-UHFFFAOYSA-N 0 1 311.410 3.340 20 30 DGEDMN C=C(CNC(=O)c1c[nH]c2ccc(C)cc2c1=O)c1ccccc1 ZINC001183659156 1084001331 /nfs/dbraw/zinc/00/13/31/1084001331.db2.gz RKVNEWSAJPZSKV-UHFFFAOYSA-N 0 1 318.376 3.280 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CCCCC)C(C)C)CC2 ZINC001268046895 1084003113 /nfs/dbraw/zinc/00/31/13/1084003113.db2.gz OAWNUMPSERFADB-SFHVURJKSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CN(C)Cc2cc(C)ns2)C1 ZINC001481488741 1084006084 /nfs/dbraw/zinc/00/60/84/1084006084.db2.gz VULVGAFRVRYULS-HNNXBMFYSA-N 0 1 321.490 3.088 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)Cc2ccc(C)cc2)C1 ZINC001481490468 1084007039 /nfs/dbraw/zinc/00/70/39/1084007039.db2.gz ICMKBZABEXWVAR-KRWDZBQOSA-N 0 1 320.864 3.070 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001481496627 1084011910 /nfs/dbraw/zinc/01/19/10/1084011910.db2.gz CTCIVICNGHYACV-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)C[C@@H](C)CCC ZINC001268052672 1084040123 /nfs/dbraw/zinc/04/01/23/1084040123.db2.gz AEOIJLJJFDFHRO-AAEUAGOBSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001481529947 1084085303 /nfs/dbraw/zinc/08/53/03/1084085303.db2.gz VCOCOLYPCLYPRQ-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cscc1C(F)F ZINC001268065097 1084086525 /nfs/dbraw/zinc/08/65/25/1084086525.db2.gz WIXFAERWQYYSMA-VIFPVBQESA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C)(C)CC(F)(F)F ZINC001268093768 1084153367 /nfs/dbraw/zinc/15/33/67/1084153367.db2.gz ZMPWZLVZLHSYKL-JTQLQIEISA-N 0 1 314.779 3.154 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001481599678 1084240818 /nfs/dbraw/zinc/24/08/18/1084240818.db2.gz AGEZMHHATVEORB-CQSZACIVSA-N 0 1 317.477 3.455 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H](C)N(Cc3ccccc3)C[C@@H]2C)C1 ZINC001184773050 1084263384 /nfs/dbraw/zinc/26/33/84/1084263384.db2.gz GBJHBIJCYRKHRZ-SJORKVTESA-N 0 1 312.457 3.464 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C(C)(C)F)CC1 ZINC001268196815 1084309464 /nfs/dbraw/zinc/30/94/64/1084309464.db2.gz FNMZKYXLPKECRD-UHFFFAOYSA-N 0 1 304.837 3.048 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001185049151 1084321800 /nfs/dbraw/zinc/32/18/00/1084321800.db2.gz IUOYNYVMWQVAJJ-GDBMZVCRSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001185049152 1084322035 /nfs/dbraw/zinc/32/20/35/1084322035.db2.gz IUOYNYVMWQVAJJ-GOEBONIOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC001185064623 1084327789 /nfs/dbraw/zinc/32/77/89/1084327789.db2.gz TZOUYAZHMZAHFJ-UKRRQHHQSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001481655127 1084442526 /nfs/dbraw/zinc/44/25/26/1084442526.db2.gz XWMNPVHZHBPVPN-QGZVFWFLSA-N 0 1 300.446 3.194 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001481693519 1084482975 /nfs/dbraw/zinc/48/29/75/1084482975.db2.gz BKSFNKUEMOWUQE-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001481705437 1084495466 /nfs/dbraw/zinc/49/54/66/1084495466.db2.gz HJCCYHNNZJVVFH-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC/C(Cl)=C\Cl ZINC001268388953 1084551130 /nfs/dbraw/zinc/55/11/30/1084551130.db2.gz HFFUVKXIONYIGS-SERMCNLOSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001185951485 1084553377 /nfs/dbraw/zinc/55/33/77/1084553377.db2.gz OOOWROFVAGFNLH-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2csc(C)n2)CCC1 ZINC001268396065 1084559147 /nfs/dbraw/zinc/55/91/47/1084559147.db2.gz HNOWFDYZQIEZFJ-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN CCN(CCNCC#Cc1cccc(Cl)c1)C(=O)CC(C)C ZINC001268431972 1084594102 /nfs/dbraw/zinc/59/41/02/1084594102.db2.gz QKHAMAVRRSNWME-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C/C=C/Cc2ccccc2)C1 ZINC001481767662 1084618550 /nfs/dbraw/zinc/61/85/50/1084618550.db2.gz HYTASMDAIKBROM-SQUSKLHYSA-N 0 1 324.468 3.122 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001481773732 1084631539 /nfs/dbraw/zinc/63/15/39/1084631539.db2.gz BPWZEEIFCQETNB-RDJZCZTQSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1cc(F)ccc1F ZINC001481839743 1084662191 /nfs/dbraw/zinc/66/21/91/1084662191.db2.gz BFABPVJKGINUHU-CQSZACIVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2nc(C)sc2C)C1 ZINC001481846825 1084664503 /nfs/dbraw/zinc/66/45/03/1084664503.db2.gz ISMJMHWLHFUOBG-CQSZACIVSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(c2cccc(F)c2)CC1 ZINC001481856916 1084669047 /nfs/dbraw/zinc/66/90/47/1084669047.db2.gz RZSPSHXXKHGDAD-HNNXBMFYSA-N 0 1 324.827 3.094 20 30 DGEDMN CCC[C@@H](CC)C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186631688 1084673977 /nfs/dbraw/zinc/67/39/77/1084673977.db2.gz VPYFRGUFUAIYGW-RTBURBONSA-N 0 1 312.457 3.055 20 30 DGEDMN CCC[C@@H](CC)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001186631280 1084678292 /nfs/dbraw/zinc/67/82/92/1084678292.db2.gz OSHIJGJTWIVNND-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001481881072 1084682534 /nfs/dbraw/zinc/68/25/34/1084682534.db2.gz IJNCPCZSUYEEJR-MRXNPFEDSA-N 0 1 320.864 3.264 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001268496187 1084724371 /nfs/dbraw/zinc/72/43/71/1084724371.db2.gz REYSFAPOVGJSGG-GFCCVEGCSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001481969469 1084739714 /nfs/dbraw/zinc/73/97/14/1084739714.db2.gz IPEWODNGGLAQJI-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)Cc2n[nH]c3ccc(O)cc23)cc1 ZINC001187244577 1084773705 /nfs/dbraw/zinc/77/37/05/1084773705.db2.gz MCXQCBHLTOQNFQ-NSHDSACASA-N 0 1 320.352 3.077 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@H](C)c3cccc(F)c3)C[C@H]21 ZINC001187208020 1084775327 /nfs/dbraw/zinc/77/53/27/1084775327.db2.gz CLWQSXVLAVYBAY-UWWQBHOKSA-N 0 1 316.420 3.386 20 30 DGEDMN CC(C)(C)C#CC(=O)NC/C=C/CNCc1cc2ccccc2o1 ZINC001268536449 1084790569 /nfs/dbraw/zinc/79/05/69/1084790569.db2.gz KBEVXVJKMINZOZ-VOTSOKGWSA-N 0 1 324.424 3.244 20 30 DGEDMN CC(C)(C)C#CC(=O)NC/C=C\CNCc1sccc1Cl ZINC001268537810 1084791701 /nfs/dbraw/zinc/79/17/01/1084791701.db2.gz VIAOCMDUPBRQJS-PLNGDYQASA-N 0 1 324.877 3.213 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CNCc1cc(F)ccc1F ZINC001482053991 1084803801 /nfs/dbraw/zinc/80/38/01/1084803801.db2.gz XQDVLCZOGUPGEE-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001482055428 1084807412 /nfs/dbraw/zinc/80/74/12/1084807412.db2.gz GLUAMBGDSBXJQN-JWAFFJSPSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)CNCc1csc(C(C)(C)C)n1 ZINC001482085635 1084831271 /nfs/dbraw/zinc/83/12/71/1084831271.db2.gz QNUKOXNZWOJCNJ-ZDUSSCGKSA-N 0 1 323.506 3.249 20 30 DGEDMN C[C@@H]1CC[C@@H](CC(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001268578161 1084840141 /nfs/dbraw/zinc/84/01/41/1084840141.db2.gz HIJPXWUPVGSJTQ-WYTZPYIFSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c[nH]cc1-c1ccccc1 ZINC001268673899 1084934612 /nfs/dbraw/zinc/93/46/12/1084934612.db2.gz LSRVRUMTJRAOBD-CYBMUJFWSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001268692113 1084950059 /nfs/dbraw/zinc/95/00/59/1084950059.db2.gz YHYRUWYNJCKJPK-USXIJHARSA-N 0 1 320.864 3.417 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001268727520 1084985337 /nfs/dbraw/zinc/98/53/37/1084985337.db2.gz ZKGXABCAVYCVPH-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CC1CC(c2ccccc2)C1 ZINC001268735884 1085006119 /nfs/dbraw/zinc/00/61/19/1085006119.db2.gz PFAVBRIFQMFTTE-MUYFXNHWSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNC/C(Cl)=C/Cl)CCC1 ZINC001268840418 1085066723 /nfs/dbraw/zinc/06/67/23/1085066723.db2.gz VPEFOGPSLTVIOM-QBFSEMIESA-N 0 1 319.276 3.490 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(C/C=C\c3ccccc3)C2)CCC1 ZINC001268874592 1085071834 /nfs/dbraw/zinc/07/18/34/1085071834.db2.gz UTFSRKHSDGCXBG-POHAHGRESA-N 0 1 324.468 3.494 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cscc1Cl ZINC001268952273 1085085966 /nfs/dbraw/zinc/08/59/66/1085085966.db2.gz YGIHJOSKTLWDTB-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001269004819 1085099243 /nfs/dbraw/zinc/09/92/43/1085099243.db2.gz AQGJYNKTUQJNEG-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2cccc(OC(C)C)c2)C1 ZINC001269113427 1085130529 /nfs/dbraw/zinc/13/05/29/1085130529.db2.gz XTSYCINBKFWQAF-QGZVFWFLSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[N@@H+](CCCc2ccsc2)C1 ZINC001269155682 1085170847 /nfs/dbraw/zinc/17/08/47/1085170847.db2.gz BCPXPTTVKAGQEP-OAHLLOKOSA-N 0 1 306.475 3.083 20 30 DGEDMN Cc1ccccc1[C@H](C)N1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001269235387 1085225971 /nfs/dbraw/zinc/22/59/71/1085225971.db2.gz KHUOSCPGEGAFBG-IRXDYDNUSA-N 0 1 312.457 3.296 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCN1CC/C=C/c1ccccc1 ZINC001269235334 1085227110 /nfs/dbraw/zinc/22/71/10/1085227110.db2.gz JVJNPYCWCJJKHA-BQHJZSHBSA-N 0 1 324.468 3.330 20 30 DGEDMN C[C@@H](c1ccccc1)[N@H+]1CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001269241199 1085231262 /nfs/dbraw/zinc/23/12/62/1085231262.db2.gz SCDXKCIUEKYWQF-FUHWJXTLSA-N 0 1 312.457 3.330 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001269345438 1085322313 /nfs/dbraw/zinc/32/23/13/1085322313.db2.gz QYWJFQJVBYFJGV-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001269356603 1085331949 /nfs/dbraw/zinc/33/19/49/1085331949.db2.gz SAVYRAAUAVXQHO-YOEHRIQHSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C/C=C/Cc2ccccc2)CC1 ZINC001269428042 1085380130 /nfs/dbraw/zinc/38/01/30/1085380130.db2.gz FEASZVAHQNRKGJ-AATRIKPKSA-N 0 1 318.848 3.166 20 30 DGEDMN CC[C@H]1CCC[C@@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269484819 1085414095 /nfs/dbraw/zinc/41/40/95/1085414095.db2.gz UFXQKZQTZRNZNC-OALUTQOASA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc(C(C)C)cc2)C1 ZINC001269820762 1085574968 /nfs/dbraw/zinc/57/49/68/1085574968.db2.gz QEDIZBUDZCSJFM-UHFFFAOYSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(F)c(C)c2)C1 ZINC001269837734 1085580232 /nfs/dbraw/zinc/58/02/32/1085580232.db2.gz BHBQVCJOANWPJL-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(F)ccc(C)c2F)C1 ZINC001269843551 1085583190 /nfs/dbraw/zinc/58/31/90/1085583190.db2.gz NJHMILCFPVCMKQ-CYBMUJFWSA-N 0 1 322.399 3.384 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C1CN(C[C@@H](C)CC)C1)c1ccccc1 ZINC001269893401 1085601130 /nfs/dbraw/zinc/60/11/30/1085601130.db2.gz QDUSRFXHDCHEDJ-MAUKXSAKSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCCC(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001270212901 1085735441 /nfs/dbraw/zinc/73/54/41/1085735441.db2.gz MDQAHLPFUROBAN-UHFFFAOYSA-N 0 1 317.458 3.057 20 30 DGEDMN C#CCN(C(=O)CCC)C1CCN(Cc2cccc(F)c2)CC1 ZINC001270279325 1085759201 /nfs/dbraw/zinc/75/92/01/1085759201.db2.gz IIVLPKWQKROAOD-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN C#CCN(C(=O)/C(C)=C/C)C1CCN(Cc2ccsc2)CC1 ZINC001270301516 1085765402 /nfs/dbraw/zinc/76/54/02/1085765402.db2.gz GTJOFOFSPNTBRF-PJQLUOCWSA-N 0 1 316.470 3.141 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)n1cccc1)C1CCCC1 ZINC001270396307 1085797807 /nfs/dbraw/zinc/79/78/07/1085797807.db2.gz ICIHITBSTWQVGG-ZBFHGGJFSA-N 0 1 323.868 3.066 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)[nH]c1C)C1CCCC1 ZINC001270441321 1085815261 /nfs/dbraw/zinc/81/52/61/1085815261.db2.gz GVQZBAHSADKWJM-MRXNPFEDSA-N 0 1 323.868 3.262 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccc1F ZINC001270468940 1085824422 /nfs/dbraw/zinc/82/44/22/1085824422.db2.gz YSKBBMPUAQVUDW-SJORKVTESA-N 0 1 316.420 3.357 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)ccc1OC ZINC001226146492 1085937862 /nfs/dbraw/zinc/93/78/62/1085937862.db2.gz ALJIOVWPTFGHJT-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001482328507 1086016601 /nfs/dbraw/zinc/01/66/01/1086016601.db2.gz ZTGNLSSNXAUAMX-YVEFUNNKSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2ccncc2Cl)C1 ZINC001482331281 1086023107 /nfs/dbraw/zinc/02/31/07/1086023107.db2.gz XUOHERZTHAGYKV-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](c1cccnc1)C(C)C ZINC001482348952 1086060501 /nfs/dbraw/zinc/06/05/01/1086060501.db2.gz SNAZCGWCQVXOGH-GDBMZVCRSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C)(C)c1ccccc1C ZINC001482374323 1086092742 /nfs/dbraw/zinc/09/27/42/1086092742.db2.gz NABLUOUBLOMOMB-OAHLLOKOSA-N 0 1 322.880 3.462 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(Cl)o1 ZINC001482377282 1086099416 /nfs/dbraw/zinc/09/94/16/1086099416.db2.gz CXDFCDDKOIBUNM-NSHDSACASA-N 0 1 319.232 3.420 20 30 DGEDMN C=C(Br)CNC[C@@H](CC(C)C)NC(=O)[C@H](C)CC ZINC001482378447 1086100473 /nfs/dbraw/zinc/10/04/73/1086100473.db2.gz SPYOQJQLWMQQRT-DGCLKSJQSA-N 0 1 319.287 3.062 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1Cl ZINC001270956144 1086215617 /nfs/dbraw/zinc/21/56/17/1086215617.db2.gz GCIBIXBOTNSLNV-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN CC[C@H](F)CN1CCC[C@](C)(CNC(=O)C#CC(C)(C)C)C1 ZINC001270958657 1086217937 /nfs/dbraw/zinc/21/79/37/1086217937.db2.gz NMQSWLPWEQGHAO-MAUKXSAKSA-N 0 1 310.457 3.002 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(CC(C)C)CCCC2)C1 ZINC001271000107 1086240743 /nfs/dbraw/zinc/24/07/43/1086240743.db2.gz UOKFEVJPFGAIIL-LJQANCHMSA-N 0 1 318.505 3.444 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CCNCc1nccs1 ZINC001482454997 1086279171 /nfs/dbraw/zinc/27/91/71/1086279171.db2.gz JZDWPSWLQZISMR-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)CC(C)C)C1 ZINC001271100909 1086293443 /nfs/dbraw/zinc/29/34/43/1086293443.db2.gz OJIMOHIDAUHCLY-CQSZACIVSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001271121002 1086302538 /nfs/dbraw/zinc/30/25/38/1086302538.db2.gz LLRCWUCNCAXCRY-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)[C@H]1CC ZINC001087572986 1086310155 /nfs/dbraw/zinc/31/01/55/1086310155.db2.gz OFYMHWZHOQUPOW-ZWKOTPCHSA-N 0 1 324.424 3.211 20 30 DGEDMN C=CC[C@@H](CCCC)Oc1n[nH]c(=O)cc1Br ZINC001226851259 1086346218 /nfs/dbraw/zinc/34/62/18/1086346218.db2.gz YIWFPOBQXWRIIT-VIFPVBQESA-N 0 1 301.184 3.458 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H]1CC ZINC001087681467 1086356170 /nfs/dbraw/zinc/35/61/70/1086356170.db2.gz ZDJBBNOEMRVHJK-PKOBYXMFSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(C)s2)[C@H]1CC ZINC001087796422 1086412407 /nfs/dbraw/zinc/41/24/07/1086412407.db2.gz ZSHLRQQVOQORLI-HRCADAONSA-N 0 1 318.486 3.152 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1CC ZINC001087795528 1086414341 /nfs/dbraw/zinc/41/43/41/1086414341.db2.gz JIJAJMBXTQUNDL-UONOGXRCSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(s2)CCCC3)[C@H]1CC ZINC001087818962 1086426164 /nfs/dbraw/zinc/42/61/64/1086426164.db2.gz XHAZAWSOTHXMIU-LSDHHAIUSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)[C@H]1CC ZINC001087835570 1086435578 /nfs/dbraw/zinc/43/55/78/1086435578.db2.gz GJKJBJUMXYSTPL-RRQGHBQHSA-N 0 1 318.848 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3cccc(C)c3o2)[C@H]1CC ZINC001087841655 1086438817 /nfs/dbraw/zinc/43/88/17/1086438817.db2.gz HVIMWHJTLSLMMA-DLBZAZTESA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc(CC(C)C)n2)[C@H]1CC ZINC001087847020 1086444134 /nfs/dbraw/zinc/44/41/34/1086444134.db2.gz JMPDUXGJMYPVQH-DZGCQCFKSA-N 0 1 321.490 3.110 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001271172054 1086522803 /nfs/dbraw/zinc/52/28/03/1086522803.db2.gz UYEBEKLUFVVQIS-CQSZACIVSA-N 0 1 318.848 3.449 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@H]3CCN(C/C=C/Cl)C[C@H]3C2)CC1 ZINC001088092964 1086597398 /nfs/dbraw/zinc/59/73/98/1086597398.db2.gz DLARXJPIFZWZSZ-YZYKPXCQSA-N 0 1 322.880 3.266 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1(C)CC1)c1ccccc1CC ZINC001271445622 1086634075 /nfs/dbraw/zinc/63/40/75/1086634075.db2.gz GWILQJPIVXWNTK-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1nc(C)oc1C)C(C)C ZINC001271891008 1086811487 /nfs/dbraw/zinc/81/14/87/1086811487.db2.gz BAEHTZSEBRKVFM-YJBOKZPZSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1cccnc1 ZINC001272033715 1087003495 /nfs/dbraw/zinc/00/34/95/1087003495.db2.gz KFYLJXGVMJPUMY-ZWKOTPCHSA-N 0 1 313.445 3.003 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001482592353 1087016938 /nfs/dbraw/zinc/01/69/38/1087016938.db2.gz WMJISYQUIWKXIS-AWEZNQCLSA-N 0 1 319.424 3.024 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)CC(C)C ZINC001272042347 1087023037 /nfs/dbraw/zinc/02/30/37/1087023037.db2.gz DCMXNPMAQJJLMA-IAGOWNOFSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CC1(CC(=O)NCCCNCc2ncc(C)o2)CCCCC1 ZINC001168182590 1087028468 /nfs/dbraw/zinc/02/84/68/1087028468.db2.gz GHBZYQBMDWHFMS-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CC1(CC(=O)NCCCNCc2nocc2C)CCCCC1 ZINC001168182803 1087028945 /nfs/dbraw/zinc/02/89/45/1087028945.db2.gz NGKBSKUXOAEGDU-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CC(C)(C)C1CCCCC1 ZINC001482642928 1087100561 /nfs/dbraw/zinc/10/05/61/1087100561.db2.gz YQFWPOVZZDDGJT-UHFFFAOYSA-N 0 1 306.494 3.397 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(O)c(F)c3F)sc2C1 ZINC001212000182 1087130567 /nfs/dbraw/zinc/13/05/67/1087130567.db2.gz RGYJIVKDZLSMKE-UHFFFAOYSA-N 0 1 321.352 3.335 20 30 DGEDMN C=CCC1(C(=O)N(C)CCN(C)Cc2cnccc2C)CCC1 ZINC001272105709 1087203890 /nfs/dbraw/zinc/20/38/90/1087203890.db2.gz NXRVEEPRNMAAJM-UHFFFAOYSA-N 0 1 315.461 3.027 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)CC)cc2)[C@H]1C ZINC001088647198 1087243826 /nfs/dbraw/zinc/24/38/26/1087243826.db2.gz DDOWVHBOYGQOSZ-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC2CC(c3ccccc3)C2)[C@H]1C ZINC001088681687 1087252432 /nfs/dbraw/zinc/25/24/32/1087252432.db2.gz NJKDZUULFCCYHL-OQUMVOOASA-N 0 1 324.468 3.173 20 30 DGEDMN CCSCc1cccnc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001648994547 1087278534 /nfs/dbraw/zinc/27/85/34/1087278534.db2.gz UTLSKDHTCXWOGS-UHFFFAOYSA-N 0 1 313.382 3.164 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1ccc(Cl)cc1F ZINC001483131639 1087395347 /nfs/dbraw/zinc/39/53/47/1087395347.db2.gz FIMBOTZUGJGCHH-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)[C@H]1C ZINC001088832080 1087400196 /nfs/dbraw/zinc/40/01/96/1087400196.db2.gz VEWPVGVEHSHGMV-CJNGLKHVSA-N 0 1 320.864 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC1CC(c2ccccc2)C1 ZINC001483143933 1087402956 /nfs/dbraw/zinc/40/29/56/1087402956.db2.gz IMEVQKYTUIKXKX-HPUBIQBYSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@H](CC)CC(C)C ZINC001483182816 1087411811 /nfs/dbraw/zinc/41/18/11/1087411811.db2.gz NORXNRSUMQHFDI-CHWSQXEVSA-N 0 1 319.287 3.062 20 30 DGEDMN CCCCCCCc1ccc(-c2noc(C3(N)CNC3)n2)cc1 ZINC001212126937 1087424145 /nfs/dbraw/zinc/42/41/45/1087424145.db2.gz SSIYPYMEUUDDOZ-UHFFFAOYSA-N 0 1 314.433 3.007 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cccc(OC)c1Cl ZINC001483231975 1087433501 /nfs/dbraw/zinc/43/35/01/1087433501.db2.gz JRYVQBJNWRHRAG-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001483240503 1087448132 /nfs/dbraw/zinc/44/81/32/1087448132.db2.gz QFAOFVHQQGNYSI-NSHDSACASA-N 0 1 321.852 3.230 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@@](C)(CC)CCC ZINC001483278354 1087456356 /nfs/dbraw/zinc/45/63/56/1087456356.db2.gz ZULBWLGVXVVYKJ-JSGCOSHPSA-N 0 1 319.287 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1oc2ccccc2c1CC ZINC001483298683 1087461635 /nfs/dbraw/zinc/46/16/35/1087461635.db2.gz VYDXKUGVAJPSMN-LBPRGKRZSA-N 0 1 320.820 3.456 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCC[C@@H]1CNCc1ccon1 ZINC001483445040 1087505847 /nfs/dbraw/zinc/50/58/47/1087505847.db2.gz VUBQMKKRLDDKIB-ZBFHGGJFSA-N 0 1 319.449 3.042 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1nc(C)cs1)C(C)C ZINC001496605719 1087525005 /nfs/dbraw/zinc/52/50/05/1087525005.db2.gz AHCULSZHWFUUKU-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1csc(C)n1)C1CC1 ZINC001483584907 1087530078 /nfs/dbraw/zinc/53/00/78/1087530078.db2.gz ALBRHWXRRKHTRG-DOTOQJQBSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(CF)cc1)C1CC1 ZINC001483606717 1087534047 /nfs/dbraw/zinc/53/40/47/1087534047.db2.gz FBUABMRBEUMIOS-HNNXBMFYSA-N 0 1 310.800 3.007 20 30 DGEDMN C=CCC[C@@H](C)N1CC(OC2CCN(C(=O)C(C)(C)C)CC2)C1 ZINC001105731418 1087545229 /nfs/dbraw/zinc/54/52/29/1087545229.db2.gz OZHUZWNLOIDETO-OAHLLOKOSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2OCC(C)C)[C@H]1C ZINC001088925364 1087605338 /nfs/dbraw/zinc/60/53/38/1087605338.db2.gz UKMRLPLEQIIDIT-WBVHZDCISA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@H]1C ZINC001088964693 1087610819 /nfs/dbraw/zinc/61/08/19/1087610819.db2.gz LQCIMDLFYSRDJH-BEFAXECRSA-N 0 1 322.408 3.163 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccsc2C(F)(F)F)[C@H]1C ZINC001089005324 1087619387 /nfs/dbraw/zinc/61/93/87/1087619387.db2.gz DRKVYDLHNQBICO-KOLCDFICSA-N 0 1 318.364 3.146 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccsc2C(F)(F)F)[C@H]1C ZINC001089005324 1087619389 /nfs/dbraw/zinc/61/93/89/1087619389.db2.gz DRKVYDLHNQBICO-KOLCDFICSA-N 0 1 318.364 3.146 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2ccc(Cl)cc2C)C1=O ZINC001272422923 1087697763 /nfs/dbraw/zinc/69/77/63/1087697763.db2.gz BGOFFQANVMGOKX-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/c1ccc(C)cc1)C2 ZINC001111526371 1087723784 /nfs/dbraw/zinc/72/37/84/1087723784.db2.gz QEFCOKFNWBPWTM-BNLAEDIXSA-N 0 1 322.452 3.143 20 30 DGEDMN CCn1cc(C(=O)Nc2cc(C#N)ccc2O)c2ccccc21 ZINC000176399558 1087724943 /nfs/dbraw/zinc/72/49/43/1087724943.db2.gz FRDHNASJTCOGEJ-UHFFFAOYSA-N 0 1 305.337 3.491 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccccc2OC(F)F)c1 ZINC000176402604 1087725898 /nfs/dbraw/zinc/72/58/98/1087725898.db2.gz LPMRJPNYIFFPKN-UHFFFAOYSA-N 0 1 318.279 3.046 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)cc(C)c1F ZINC001234223365 1087778000 /nfs/dbraw/zinc/77/80/00/1087778000.db2.gz KZYYJLWCNGDEEI-ZETCQYMHSA-N 0 1 315.138 3.495 20 30 DGEDMN CCCCC[C@H](CC)C(=O)NCCNCc1ccccc1C#N ZINC001151890241 1087810374 /nfs/dbraw/zinc/81/03/74/1087810374.db2.gz LFKXMNUWOOGJSA-INIZCTEOSA-N 0 1 315.461 3.371 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1coc(C(F)F)c1 ZINC001158405740 1087813044 /nfs/dbraw/zinc/81/30/44/1087813044.db2.gz ZICKHDOOVMCTCX-CQSZACIVSA-N 0 1 324.371 3.167 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)c1ccn2cncc2c1 ZINC001151896580 1087814596 /nfs/dbraw/zinc/81/45/96/1087814596.db2.gz HVQPNBDLIYZNOB-UHFFFAOYSA-N 0 1 302.293 3.204 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1ccsc1 ZINC001158479934 1087857626 /nfs/dbraw/zinc/85/76/26/1087857626.db2.gz BNMGKXVRSCIYAY-NVXWUHKLSA-N 0 1 318.486 3.188 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)cc1Cl ZINC001158506948 1087881060 /nfs/dbraw/zinc/88/10/60/1087881060.db2.gz BYZNFJOSALYJFJ-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)CCC1CC1 ZINC001152488189 1087987566 /nfs/dbraw/zinc/98/75/66/1087987566.db2.gz AQARCQHVVZDGQG-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)CC1CCC1 ZINC001152584975 1088012622 /nfs/dbraw/zinc/01/26/22/1088012622.db2.gz IDBPQDOXRVMOME-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1ccc(C)cc1 ZINC001158768039 1088018776 /nfs/dbraw/zinc/01/87/76/1088018776.db2.gz FOBWDHMTOVSFOH-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C1CCC=CCC1 ZINC001158872740 1088079852 /nfs/dbraw/zinc/07/98/52/1088079852.db2.gz ZGNUHIWSEIUNPA-GOSISDBHSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1csc(C)c1 ZINC001153024753 1088145195 /nfs/dbraw/zinc/14/51/95/1088145195.db2.gz BRGCLWXILZAXAY-CQSZACIVSA-N 0 1 306.475 3.187 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1cccc2c1C[N@H+](C1CC1)C2 ZINC001153340032 1088222823 /nfs/dbraw/zinc/22/28/23/1088222823.db2.gz FAUCZWSNHJXJCU-UHFFFAOYSA-N 0 1 308.406 3.216 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1cccc2c1CN(C1CC1)C2 ZINC001153340032 1088222839 /nfs/dbraw/zinc/22/28/39/1088222839.db2.gz FAUCZWSNHJXJCU-UHFFFAOYSA-N 0 1 308.406 3.216 20 30 DGEDMN CC(C)=CC(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159187365 1088226223 /nfs/dbraw/zinc/22/62/23/1088226223.db2.gz LNPKHNUFDVCIPQ-UHFFFAOYSA-N 0 1 322.452 3.143 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)c1cccc(SCC#N)c1 ZINC001159304248 1088279637 /nfs/dbraw/zinc/27/96/37/1088279637.db2.gz BWWBVUWYGJAPFY-UHFFFAOYSA-N 0 1 323.381 3.134 20 30 DGEDMN COc1cccc(NC(=O)c2cccc3nc(C)[nH]c32)c1C#N ZINC001153609161 1088303063 /nfs/dbraw/zinc/30/30/63/1088303063.db2.gz UNRKHCFUEUAAAQ-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](F)c1ccccc1 ZINC001099246008 1088315005 /nfs/dbraw/zinc/31/50/05/1088315005.db2.gz PNCVCLWAVJQYAQ-DLBZAZTESA-N 0 1 318.436 3.490 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001153641597 1088315328 /nfs/dbraw/zinc/31/53/28/1088315328.db2.gz DRARMGVYNIPLGH-MRXNPFEDSA-N 0 1 303.450 3.073 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001153642004 1088318257 /nfs/dbraw/zinc/31/82/57/1088318257.db2.gz NFQMAPSEHZUWEC-GOSISDBHSA-N 0 1 312.457 3.221 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001153642295 1088318537 /nfs/dbraw/zinc/31/85/37/1088318537.db2.gz VYFCXNJDJRGRAE-MRXNPFEDSA-N 0 1 303.450 3.073 20 30 DGEDMN CCOC(=O)c1cc2ccc(NCC#Cc3ccccc3)nc2[nH]1 ZINC001159375806 1088324423 /nfs/dbraw/zinc/32/44/23/1088324423.db2.gz KEWBHHKPJJGZKZ-UHFFFAOYSA-N 0 1 319.364 3.203 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cc(C)ccc2F)CCCC1 ZINC001153813994 1088372055 /nfs/dbraw/zinc/37/20/55/1088372055.db2.gz LXXKKUMNTDWHMJ-UHFFFAOYSA-N 0 1 318.436 3.476 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H](C)C2CC2)CC(C)(C)C1 ZINC001089351225 1088398251 /nfs/dbraw/zinc/39/82/51/1088398251.db2.gz LVPCLYQVSWQIES-HIFRSBDPSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CC)CCCC1 ZINC001099293085 1088489435 /nfs/dbraw/zinc/48/94/35/1088489435.db2.gz ZHHGRARJIZTICF-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN N=C(Nc1cnc(Cl)cc1N)c1ccc2cc(O)ccc2c1 ZINC001160026985 1088608816 /nfs/dbraw/zinc/60/88/16/1088608816.db2.gz VRMZPPBPCDYBAQ-UHFFFAOYSA-N 0 1 312.760 3.213 20 30 DGEDMN Cc1cc(F)cnc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001160100071 1088639236 /nfs/dbraw/zinc/63/92/36/1088639236.db2.gz PIZODHKLVSZBHP-UHFFFAOYSA-N 0 1 324.403 3.499 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001154584746 1088663411 /nfs/dbraw/zinc/66/34/11/1088663411.db2.gz WKNFMNQHMKZRCV-GFCCVEGCSA-N 0 1 308.388 3.368 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001154609551 1088671549 /nfs/dbraw/zinc/67/15/49/1088671549.db2.gz MGJTUOLUWNPIFE-CQSZACIVSA-N 0 1 320.399 3.349 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Nc1ncc2nc(C)[nH]c2n1 ZINC001160183232 1088690702 /nfs/dbraw/zinc/69/07/02/1088690702.db2.gz YMXKSAPAGBMBDV-LLVKDONJSA-N 0 1 309.373 3.351 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1cc(C)co1 ZINC001154724693 1088728711 /nfs/dbraw/zinc/72/87/11/1088728711.db2.gz HHBRDWNFHAYOQT-QGZVFWFLSA-N 0 1 324.424 3.080 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001154742799 1088735988 /nfs/dbraw/zinc/73/59/88/1088735988.db2.gz NGENHPGAUOWFJX-IAGOWNOFSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001154742798 1088738096 /nfs/dbraw/zinc/73/80/96/1088738096.db2.gz NGENHPGAUOWFJX-DLBZAZTESA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001154751171 1088746172 /nfs/dbraw/zinc/74/61/72/1088746172.db2.gz PUHAFNFZTNPCRJ-UKRRQHHQSA-N 0 1 323.506 3.380 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccccc2C(C)C)CC1 ZINC001160306503 1088750801 /nfs/dbraw/zinc/75/08/01/1088750801.db2.gz DIZHUHDFHMPPOZ-UHFFFAOYSA-N 0 1 310.441 3.195 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001483773207 1088771044 /nfs/dbraw/zinc/77/10/44/1088771044.db2.gz GWBRYYPHAAMGTD-LRDDRELGSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(Cl)o1 ZINC001089609915 1088818399 /nfs/dbraw/zinc/81/83/99/1088818399.db2.gz MLVLUUYCQBFDEB-LBPRGKRZSA-N 0 1 310.825 3.339 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CCCCCC1 ZINC001089614265 1088820734 /nfs/dbraw/zinc/82/07/34/1088820734.db2.gz CINSLNMCPPJTEQ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cscc1C ZINC001089622861 1088841396 /nfs/dbraw/zinc/84/13/96/1088841396.db2.gz KHSCAZLIJKJYLW-AWEZNQCLSA-N 0 1 306.475 3.463 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1C(C)(C)C1(C)C ZINC001089626302 1088843842 /nfs/dbraw/zinc/84/38/42/1088843842.db2.gz FNFKOSJDQKMCFP-HNNXBMFYSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1ccc(Cl)nc1 ZINC001154957987 1088855518 /nfs/dbraw/zinc/85/55/18/1088855518.db2.gz UETORKBTQPNAJN-CQSZACIVSA-N 0 1 323.868 3.418 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccoc1Cl ZINC001089631705 1088856045 /nfs/dbraw/zinc/85/60/45/1088856045.db2.gz PFYBRNBDNBPKGG-CYBMUJFWSA-N 0 1 322.836 3.177 20 30 DGEDMN C=C1CCC(F)=CC1=NC(F)(F)COc1ccc(Cl)nn1 ZINC001160720767 1088932874 /nfs/dbraw/zinc/93/28/74/1088932874.db2.gz SFJLJKZGCKMLMV-UHFFFAOYSA-N 0 1 317.698 3.388 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC(=C)C ZINC001483823920 1088939082 /nfs/dbraw/zinc/93/90/82/1088939082.db2.gz XRISSIUAPQSXMX-HDICACEKSA-N 0 1 302.462 3.396 20 30 DGEDMN CCCCC(=O)N1CC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001483842462 1088941740 /nfs/dbraw/zinc/94/17/40/1088941740.db2.gz VLYURIZTVZHBAL-NRFANRHFSA-N 0 1 324.468 3.153 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC001483880597 1088978576 /nfs/dbraw/zinc/97/85/76/1088978576.db2.gz RGGPHTODNNFVMD-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001483891648 1088995036 /nfs/dbraw/zinc/99/50/36/1088995036.db2.gz MDXHEDFEZFWZSM-LSDHHAIUSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001483940852 1089022694 /nfs/dbraw/zinc/02/26/94/1089022694.db2.gz HKTUDTAVBOIHNL-YQCJOKCJSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001483983009 1089059082 /nfs/dbraw/zinc/05/90/82/1089059082.db2.gz MGGQRMFATYJNQL-HZPDHXFCSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCN(C(C)=O)C1CCN(Cc2ccc(Cl)cc2C)CC1 ZINC001483978163 1089063971 /nfs/dbraw/zinc/06/39/71/1089063971.db2.gz TXMYQTFDWAOPSX-UHFFFAOYSA-N 0 1 318.848 3.095 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001161121191 1089124235 /nfs/dbraw/zinc/12/42/35/1089124235.db2.gz BBBNFSYRFUDRQA-DKISHCGFSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@H](C)c2ccccc2F)C1 ZINC001484041348 1089129572 /nfs/dbraw/zinc/12/95/72/1089129572.db2.gz GHDDZJZNISFANK-DNVCBOLYSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC1(C)C ZINC001089683940 1089158974 /nfs/dbraw/zinc/15/89/74/1089158974.db2.gz KPXXISWPFZBIFE-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001484078264 1089171869 /nfs/dbraw/zinc/17/18/69/1089171869.db2.gz ZEUXDMJGXYHYII-APWZRJJASA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)NCCCNCc1csc(CCC(C)C)n1 ZINC001155602434 1089181347 /nfs/dbraw/zinc/18/13/47/1089181347.db2.gz PRAUKQFAMFPCDN-UHFFFAOYSA-N 0 1 323.506 3.294 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C=C)cc1 ZINC001089695294 1089210788 /nfs/dbraw/zinc/21/07/88/1089210788.db2.gz HSCOQVNQFJNBFD-GOSISDBHSA-N 0 1 310.441 3.183 20 30 DGEDMN CCOC(=O)C=C(N)Nc1nc2ccccc2c(Cl)c1C#N ZINC001155701522 1089217892 /nfs/dbraw/zinc/21/78/92/1089217892.db2.gz SZVULQUCMXBJCQ-UHFFFAOYSA-N 0 1 316.748 3.102 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001161390983 1089225720 /nfs/dbraw/zinc/22/57/20/1089225720.db2.gz MWWVONYNELRGLO-JXFKEZNVSA-N 0 1 316.489 3.220 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CC2(C)CCCCC2)C1 ZINC001484163399 1089251034 /nfs/dbraw/zinc/25/10/34/1089251034.db2.gz QMMREZMYDCEFQJ-IBGZPJMESA-N 0 1 304.478 3.198 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccnc(C)c1 ZINC001089714263 1089287231 /nfs/dbraw/zinc/28/72/31/1089287231.db2.gz IGFDKFRGFJWZBP-QGZVFWFLSA-N 0 1 315.461 3.187 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc(C(=O)OCc2ccccc2)cn1 ZINC001155890760 1089288666 /nfs/dbraw/zinc/28/86/66/1089288666.db2.gz BBEIBCFPBGHDFK-RAXLEYEMSA-N 0 1 310.353 3.343 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)c(C)c1 ZINC001089730138 1089307708 /nfs/dbraw/zinc/30/77/08/1089307708.db2.gz WUCXLDOAWXZSJF-SFHVURJKSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(F)s1 ZINC001089735589 1089318314 /nfs/dbraw/zinc/31/83/14/1089318314.db2.gz FOSQJSYMPPRZTQ-ZDUSSCGKSA-N 0 1 322.449 3.131 20 30 DGEDMN CCc1ccccc1[C@@H](CNC(C)=O)NCc1cccc(C#N)c1 ZINC001484322632 1089332028 /nfs/dbraw/zinc/33/20/28/1089332028.db2.gz GKDBWNXGEYMYTH-HXUWFJFHSA-N 0 1 321.424 3.088 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)c1ccccc1CC ZINC001484323446 1089334989 /nfs/dbraw/zinc/33/49/89/1089334989.db2.gz NPLYWEQOYVCKHF-IHPCNDPISA-N 0 1 324.468 3.065 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001161683103 1089343562 /nfs/dbraw/zinc/34/35/62/1089343562.db2.gz MCSSZOMDRBRKQN-IBGZPJMESA-N 0 1 324.468 3.342 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H]2CCCC(C)(C)C2)CC1 ZINC001161705384 1089360616 /nfs/dbraw/zinc/36/06/16/1089360616.db2.gz RNZPJKFZCWTISW-SFHVURJKSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC=C)CCCC1 ZINC001484467547 1089436775 /nfs/dbraw/zinc/43/67/75/1089436775.db2.gz LARXXELZUPUKSL-DLBZAZTESA-N 0 1 302.462 3.374 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C(CC(C)C)CC(C)C)[C@@H]2C1 ZINC001484472324 1089441564 /nfs/dbraw/zinc/44/15/64/1089441564.db2.gz NHUOTLPXPXUFTR-PKOBYXMFSA-N 0 1 318.505 3.251 20 30 DGEDMN Cc1ccc2nc(NC(=NC#N)c3ccncc3)c(Cl)nc2c1 ZINC001156323053 1089477259 /nfs/dbraw/zinc/47/72/59/1089477259.db2.gz MTQAZEAKBXECPR-UHFFFAOYSA-N 0 1 322.759 3.136 20 30 DGEDMN Cc1cccc2nc(NC(=O)c3cc4cc(C#N)ccc4[nH]3)[nH]c21 ZINC001156451837 1089525884 /nfs/dbraw/zinc/52/58/84/1089525884.db2.gz BDNQJPBZJKIPET-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1nc(C)sc1C ZINC001484614146 1089533231 /nfs/dbraw/zinc/53/32/31/1089533231.db2.gz IAMMSOHSUCJWPU-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN CCC(=CC(=O)NCCCNCc1cc(F)ccc1C#N)CC ZINC001156477196 1089541589 /nfs/dbraw/zinc/54/15/89/1089541589.db2.gz IAOPQAPXTKLUJS-UHFFFAOYSA-N 0 1 317.408 3.040 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001484647131 1089561527 /nfs/dbraw/zinc/56/15/27/1089561527.db2.gz GDKCWJJOLGVCQQ-AWEZNQCLSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ccncc2Cl)CCC1 ZINC001484646776 1089561914 /nfs/dbraw/zinc/56/19/14/1089561914.db2.gz UKANWEXQSNUSCJ-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN CC(C)c1ccc2ncnc(NC3(C#N)CCN(C)CC3)c2c1 ZINC001162231783 1089622872 /nfs/dbraw/zinc/62/28/72/1089622872.db2.gz QEJKBMIZWAFFEW-UHFFFAOYSA-N 0 1 309.417 3.153 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)C[C@H](C)CCC)O2 ZINC001484785357 1089677528 /nfs/dbraw/zinc/67/75/28/1089677528.db2.gz SJKAMVXMTLLSPL-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NCc2oc(C(C)C)nc2C)C1 ZINC001484882874 1089726990 /nfs/dbraw/zinc/72/69/90/1089726990.db2.gz SSDNEFAXGHMMMX-HIFRSBDPSA-N 0 1 319.449 3.009 20 30 DGEDMN COc1ccc(-c2n[nH]c(CNc3cc(F)ccc3C#N)n2)cc1 ZINC001162459867 1089743408 /nfs/dbraw/zinc/74/34/08/1089743408.db2.gz GYMBWJQIICMAER-UHFFFAOYSA-N 0 1 323.331 3.103 20 30 DGEDMN COc1ccc(-c2nc(CNc3cc(F)ccc3C#N)n[nH]2)cc1 ZINC001162459867 1089743422 /nfs/dbraw/zinc/74/34/22/1089743422.db2.gz GYMBWJQIICMAER-UHFFFAOYSA-N 0 1 323.331 3.103 20 30 DGEDMN CC1(C)CCCN(C(=O)c2ccc(CN3CCCC3)o2)[C@H]1C#N ZINC001156965294 1089763964 /nfs/dbraw/zinc/76/39/64/1089763964.db2.gz SQXAVRNNMKUKEA-INIZCTEOSA-N 0 1 315.417 3.030 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@@H](C)N(CC)CCF)c1ccccc1 ZINC001157041887 1089796906 /nfs/dbraw/zinc/79/69/06/1089796906.db2.gz XKNRRUBMPWGTMC-WBVHZDCISA-N 0 1 306.425 3.142 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1cc(C(C)(C)C)on1 ZINC001484993340 1089833948 /nfs/dbraw/zinc/83/39/48/1089833948.db2.gz YNZMLZTUMPMBEB-AWEZNQCLSA-N 0 1 321.465 3.313 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)C1CCCC1 ZINC001485061759 1089913854 /nfs/dbraw/zinc/91/38/54/1089913854.db2.gz WYAJDDVRCSQEAA-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001485070680 1089919730 /nfs/dbraw/zinc/91/97/30/1089919730.db2.gz CDRHKLXAZKHUMM-YZGWKJHDSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001485072387 1089923211 /nfs/dbraw/zinc/92/32/11/1089923211.db2.gz FYDHZVVCPXPQBA-DOTOQJQBSA-N 0 1 324.424 3.092 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C)c(CC)s1 ZINC001485075439 1089925473 /nfs/dbraw/zinc/92/54/73/1089925473.db2.gz FIMDAIJOLAZDKM-HNNXBMFYSA-N 0 1 318.486 3.179 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C1(c2ccccc2)CCCC1 ZINC001485077274 1089930829 /nfs/dbraw/zinc/93/08/29/1089930829.db2.gz ZGLZWMBUTDPRJI-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1(c2ccccc2)CCCC1 ZINC001485077274 1089930843 /nfs/dbraw/zinc/93/08/43/1089930843.db2.gz ZGLZWMBUTDPRJI-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1scnc1C(C)C ZINC001485198434 1090014328 /nfs/dbraw/zinc/01/43/28/1090014328.db2.gz IFWJWHBKSIWPBB-GHMZBOCLSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(F)c1Cl ZINC001485201938 1090017226 /nfs/dbraw/zinc/01/72/26/1090017226.db2.gz NNQYRXMQEVLPDY-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001485181308 1090006484 /nfs/dbraw/zinc/00/64/84/1090006484.db2.gz KTAXTMXECMLCDZ-HUUCEWRRSA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(Cl)cs1 ZINC001485197398 1090013382 /nfs/dbraw/zinc/01/33/82/1090013382.db2.gz DEZIKKQWSMYKQT-BDAKNGLRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)C(C)(C)C ZINC001162987054 1090047458 /nfs/dbraw/zinc/04/74/58/1090047458.db2.gz GWULCCBEOOOINE-UHFFFAOYSA-N 0 1 322.880 3.446 20 30 DGEDMN CC(C)[C@@H](C#N)c1ccc(NCc2noc3c2CNCC3)cc1 ZINC001163133664 1090085873 /nfs/dbraw/zinc/08/58/73/1090085873.db2.gz AYKCWAYPXUFPOH-OAHLLOKOSA-N 0 1 310.401 3.196 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@@H]1CN(C)C[C@H](F)CC ZINC001157855964 1090133134 /nfs/dbraw/zinc/13/31/34/1090133134.db2.gz FMKPWRWOOOENGB-IAGOWNOFSA-N 0 1 310.457 3.241 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC)Cc1ccccc1C ZINC001485373752 1090134810 /nfs/dbraw/zinc/13/48/10/1090134810.db2.gz VXVQEGOEUWLGSI-ZWKOTPCHSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001485378572 1090137494 /nfs/dbraw/zinc/13/74/94/1090137494.db2.gz XMNIWOHKNNTZHF-OAHLLOKOSA-N 0 1 312.413 3.123 20 30 DGEDMN C#Cc1ccc(NC(=O)Cc2n[nH]c3ccccc23)c(Cl)c1 ZINC001157893928 1090145023 /nfs/dbraw/zinc/14/50/23/1090145023.db2.gz TYKBYBGYVJJRLJ-UHFFFAOYSA-N 0 1 309.756 3.379 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCCN1Cc1cnc(C)s1 ZINC001485476343 1090182349 /nfs/dbraw/zinc/18/23/49/1090182349.db2.gz RXBBDDFFIORTBA-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1ccccn1 ZINC001485480522 1090185439 /nfs/dbraw/zinc/18/54/39/1090185439.db2.gz PGNBRVSMVHYGCV-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(Cl)ccc1F ZINC001158199487 1090199301 /nfs/dbraw/zinc/19/93/01/1090199301.db2.gz BBXPRCBPLRZINH-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN N=C(Nc1ccc2nc[nH]c2n1)c1ccc(C(F)(F)F)cc1 ZINC001163678995 1090231618 /nfs/dbraw/zinc/23/16/18/1090231618.db2.gz YGWOETZDBUEFGD-UHFFFAOYSA-N 0 1 305.263 3.014 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)CCC(C)(C)C)C2)C1 ZINC001485666374 1090235100 /nfs/dbraw/zinc/23/51/00/1090235100.db2.gz LFXHJXYGJFNISG-KRWDZBQOSA-N 0 1 318.505 3.397 20 30 DGEDMN CC(C)CC(=O)N1CCC([N@@H+](C)CC#Cc2ccccc2)CC1 ZINC001485752080 1090266576 /nfs/dbraw/zinc/26/65/76/1090266576.db2.gz OACKDCWBDQTSFI-UHFFFAOYSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC001485762008 1090269040 /nfs/dbraw/zinc/26/90/40/1090269040.db2.gz IQBZJLLAGUQGCN-HNNXBMFYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCCCCCCN1CC2(C1)COCC(=O)N2CC=C(C)C ZINC001272701461 1090293299 /nfs/dbraw/zinc/29/32/99/1090293299.db2.gz HMNLQUNDWMETOM-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN[C@H](C)c1nc2ccccc2o1 ZINC001163953079 1090296475 /nfs/dbraw/zinc/29/64/75/1090296475.db2.gz HEUZROVCYVJFIV-CYBMUJFWSA-N 0 1 315.417 3.197 20 30 DGEDMN C=CCCCCCCCN1CC2(C1)COCC(=O)N2CC(C)C ZINC001272712665 1090318177 /nfs/dbraw/zinc/31/81/77/1090318177.db2.gz OYNYUMWTVZROAX-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](CNCc2nc(C)c(C)o2)CC1 ZINC001272713898 1090320131 /nfs/dbraw/zinc/32/01/31/1090320131.db2.gz JRDXMKWWNHUDQO-WKILWMFISA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCN1CC[C@]2(C1)C[C@@H](NC(=O)CCC)c1ccccc1O2 ZINC001111529114 1090358612 /nfs/dbraw/zinc/35/86/12/1090358612.db2.gz DXNNVYLKSNOWTD-VQIMIIECSA-N 0 1 314.429 3.057 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ocnc2C)CCCCC1 ZINC001115666932 1090385262 /nfs/dbraw/zinc/38/52/62/1090385262.db2.gz DBZLZJXRRBLAMR-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C(C)=C\CC)CCC[C@@H]12 ZINC001107310819 1090417305 /nfs/dbraw/zinc/41/73/05/1090417305.db2.gz MOSVMEALKSIRGP-JSQNTXSXSA-N 0 1 310.869 3.456 20 30 DGEDMN Cc1cnc(N2C[C@H](C)N(Cc3ccccc3)C[C@H]2C)c(C#N)c1 ZINC001165201095 1090478877 /nfs/dbraw/zinc/47/88/77/1090478877.db2.gz JJOZSFPUKRVGRA-DLBZAZTESA-N 0 1 320.440 3.361 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CC(C)(C)C)C1 ZINC001107743051 1090496081 /nfs/dbraw/zinc/49/60/81/1090496081.db2.gz IEMVAQSNQJAXPT-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@H](CC)CCCC)C1 ZINC001107764411 1090500110 /nfs/dbraw/zinc/50/01/10/1090500110.db2.gz XBEZSILLCWYORP-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)CCC2CC2)C1 ZINC001107830287 1090510873 /nfs/dbraw/zinc/51/08/73/1090510873.db2.gz JQQJCROTAYIRCY-LJQANCHMSA-N 0 1 324.509 3.354 20 30 DGEDMN N#Cc1ccc(Cl)c(NCC2CN(Cc3ccccc3)C2)n1 ZINC001165486712 1090524429 /nfs/dbraw/zinc/52/44/29/1090524429.db2.gz SAROBJNJJBJFIX-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=C1CCC(C(=O)NCCCNC/C(Cl)=C/Cl)CC1 ZINC001165907315 1090560108 /nfs/dbraw/zinc/56/01/08/1090560108.db2.gz ZPTBIFUPGNYPGZ-LCYFTJDESA-N 0 1 305.249 3.148 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCCCC(C)(C)C)C1 ZINC001107964883 1090571555 /nfs/dbraw/zinc/57/15/55/1090571555.db2.gz MWSYHMHWWKZAAV-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCCC[C@H](C)CC)C1 ZINC001107969956 1090591704 /nfs/dbraw/zinc/59/17/04/1090591704.db2.gz YUKKMZJHDQFLMF-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC001107976312 1090606173 /nfs/dbraw/zinc/60/61/73/1090606173.db2.gz JAHJBTBZMKRDMF-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001272810961 1090617597 /nfs/dbraw/zinc/61/75/97/1090617597.db2.gz PZGBKQXYLOXKGD-RZNTYIFUSA-N 0 1 308.853 3.186 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CC[C@H](C)CC1 ZINC001485832736 1090619964 /nfs/dbraw/zinc/61/99/64/1090619964.db2.gz PPPKPLCYULHSEY-RCBQFDQVSA-N 0 1 312.885 3.392 20 30 DGEDMN CC(CC(=O)OC(C)C)=Nc1ncc(C(F)(F)F)cc1C#N ZINC001166728962 1090648368 /nfs/dbraw/zinc/64/83/68/1090648368.db2.gz XSTAALBDOBPWOV-WTKPLQERSA-N 0 1 313.279 3.239 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCC1(CNCc2cscn2)CC1 ZINC001166755363 1090651889 /nfs/dbraw/zinc/65/18/89/1090651889.db2.gz ITYBBOWUFZDQKY-AWEZNQCLSA-N 0 1 321.490 3.122 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@]23CCN(C2CCCC2)C3=O)cc1 ZINC001272908451 1090677477 /nfs/dbraw/zinc/67/74/77/1090677477.db2.gz WPTOITKKFIGFFX-FQEVSTJZSA-N 0 1 323.440 3.068 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1ccccc1F)C2 ZINC001203352568 1090723726 /nfs/dbraw/zinc/72/37/26/1090723726.db2.gz HOACQRMWSKKDRE-WMZOPIPTSA-N 0 1 305.393 3.152 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1oc(CC)nc1C ZINC001485920183 1090727991 /nfs/dbraw/zinc/72/79/91/1090727991.db2.gz RJXJTNMPZWGWFB-SCLBCKFNSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1oc(CC)nc1C ZINC001485927881 1090729823 /nfs/dbraw/zinc/72/98/23/1090729823.db2.gz SNXKAFCCKLKDAI-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2c(F)cccc2F)[C@H]1C ZINC001486074174 1090748500 /nfs/dbraw/zinc/74/85/00/1090748500.db2.gz PDWGNEWHAOENFJ-MLGOLLRUSA-N 0 1 308.372 3.010 20 30 DGEDMN CC(C)CCC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@H]1C ZINC001486103246 1090750916 /nfs/dbraw/zinc/75/09/16/1090750916.db2.gz ZUZORKZEVMNUOX-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN Cc1cnc(C)c(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001203663381 1090776195 /nfs/dbraw/zinc/77/61/95/1090776195.db2.gz OQTMHWRAPZIZMH-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CCC2CCCCCC2)[C@H]1C ZINC001486177962 1090776597 /nfs/dbraw/zinc/77/65/97/1090776597.db2.gz UZWXMUHIOBPDJF-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2ncc(C)s2)C(C)(C)C1 ZINC001486239472 1090797173 /nfs/dbraw/zinc/79/71/73/1090797173.db2.gz BTWBNZULBREUOS-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1cccc(O[C@@H]2CCCN(Cc3cccc(C#N)c3)C2)n1 ZINC001203763832 1090799256 /nfs/dbraw/zinc/79/92/56/1090799256.db2.gz NVBWTMJUMQOZQH-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1cccnc1O[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001203764152 1090799643 /nfs/dbraw/zinc/79/96/43/1090799643.db2.gz ZASIKDLODJLAJF-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C#CCOc1ccc(C[NH2+]CCc2c(F)cc([O-])cc2F)cc1 ZINC001203809593 1090810543 /nfs/dbraw/zinc/81/05/43/1090810543.db2.gz KRQPDQBWDILSCM-UHFFFAOYSA-N 0 1 317.335 3.015 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](CNC/C(Cl)=C/Cl)C(C)(C)C ZINC001486395400 1090816315 /nfs/dbraw/zinc/81/63/15/1090816315.db2.gz ACEQBSTVNITFJU-LLBKUYECSA-N 0 1 319.276 3.085 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](C=C)c1ccccc1)C(C)C ZINC001486380708 1090816355 /nfs/dbraw/zinc/81/63/55/1090816355.db2.gz QRHDXVWMDMATLU-SFHVURJKSA-N 0 1 312.457 3.148 20 30 DGEDMN N#Cc1cccc(O[C@H]2CCCN(Cc3ccc(O)c(O)c3)C2)c1 ZINC001203880374 1090826585 /nfs/dbraw/zinc/82/65/85/1090826585.db2.gz PRHPNLVBHGJXLP-KRWDZBQOSA-N 0 1 324.380 3.013 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(Oc3ccccc3)cc2)CCC1=O ZINC001203944014 1090846981 /nfs/dbraw/zinc/84/69/81/1090846981.db2.gz KUUSOLFEAFZMJE-INIZCTEOSA-N 0 1 306.365 3.393 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001486475195 1090850301 /nfs/dbraw/zinc/85/03/01/1090850301.db2.gz CLYBXBTZAWRAOT-PKNBQFBNSA-N 0 1 305.249 3.241 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@H](C)c2ccc(CC)cc2)CC1 ZINC001486516063 1090864308 /nfs/dbraw/zinc/86/43/08/1090864308.db2.gz YFPLMCDZGJOBKB-KRWDZBQOSA-N 0 1 324.468 3.124 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001494202213 1090917698 /nfs/dbraw/zinc/91/76/98/1090917698.db2.gz SDIZUDPOCOKQQP-NVXWUHKLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C)c2F)CCC1 ZINC001273084123 1091031529 /nfs/dbraw/zinc/03/15/29/1091031529.db2.gz CEHNMTDLIKPQDC-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc(C(F)(F)F)c2Cl)CCC1=O ZINC001205050733 1091090962 /nfs/dbraw/zinc/09/09/62/1091090962.db2.gz YIYIXSOTBKXNSA-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C2(C)CCC2)C1 ZINC001108122358 1091105109 /nfs/dbraw/zinc/10/51/09/1091105109.db2.gz RPMDVWGIJUCZEK-IBGZPJMESA-N 0 1 324.509 3.354 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C2(C)CCC2)C1 ZINC001108122359 1091105258 /nfs/dbraw/zinc/10/52/58/1091105258.db2.gz RPMDVWGIJUCZEK-LJQANCHMSA-N 0 1 324.509 3.354 20 30 DGEDMN C#CCN(C)Cc1ccc(-c2cc(C(F)(F)F)nn2C)s1 ZINC001138275541 1091130367 /nfs/dbraw/zinc/13/03/67/1091130367.db2.gz WYKICZXOMSCSAF-UHFFFAOYSA-N 0 1 313.348 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C2(CCC)CCC2)C1 ZINC001108142274 1091134140 /nfs/dbraw/zinc/13/41/40/1091134140.db2.gz PISYURMBHJIFRU-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1ccc(C)c(F)c1)C2 ZINC001205228471 1091139149 /nfs/dbraw/zinc/13/91/49/1091139149.db2.gz VVJPQCOFRXHHKZ-MJGOQNOKSA-N 0 1 319.420 3.460 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCC[N@H+]2CCc2cccc(F)c2)C1=O ZINC001273136669 1091150717 /nfs/dbraw/zinc/15/07/17/1091150717.db2.gz XWAWCGKCNPPRMA-LJQANCHMSA-N 0 1 316.420 3.011 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2CCc2cccc(F)c2)C1=O ZINC001273136669 1091150723 /nfs/dbraw/zinc/15/07/23/1091150723.db2.gz XWAWCGKCNPPRMA-LJQANCHMSA-N 0 1 316.420 3.011 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149043 1091153800 /nfs/dbraw/zinc/15/38/00/1091153800.db2.gz QDNCFBKZIQGIPQ-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN CCOc1ccc(CN2CCC3SC(=O)C=C3C2)c(C)c1 ZINC001138432423 1091183216 /nfs/dbraw/zinc/18/32/16/1091183216.db2.gz MOKOTKQKKHMSOT-MRXNPFEDSA-N 0 1 303.427 3.168 20 30 DGEDMN C#CCOc1ccc(CN2CCOC[C@H]2C2CCCCC2)cc1 ZINC001138560230 1091227922 /nfs/dbraw/zinc/22/79/22/1091227922.db2.gz MQPFGUCGNMUZNM-FQEVSTJZSA-N 0 1 313.441 3.480 20 30 DGEDMN CCc1noc([C@@H]2CCCN(Cc3ccc(F)c(C#N)c3)C2)n1 ZINC001205493705 1091234376 /nfs/dbraw/zinc/23/43/76/1091234376.db2.gz KZXUSROYYZLZJC-CYBMUJFWSA-N 0 1 314.364 3.022 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCC=C(Cl)Cl)CCC2)C1 ZINC001273153927 1091249989 /nfs/dbraw/zinc/24/99/89/1091249989.db2.gz IEWBOEDLLWUZIB-UHFFFAOYSA-N 0 1 317.260 3.290 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2cccc(Oc3ncccn3)c2)C1 ZINC001138622789 1091258582 /nfs/dbraw/zinc/25/85/82/1091258582.db2.gz VGSUCYOUAMUJOH-HNNXBMFYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCc1cccc(CN2CCO[C@@H](c3ccncc3)C2)c1O ZINC001138630050 1091264554 /nfs/dbraw/zinc/26/45/54/1091264554.db2.gz OZCMXPFQKKVELK-GOSISDBHSA-N 0 1 310.397 3.089 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@@]2(CCC(=O)O2)C1 ZINC001138666483 1091280411 /nfs/dbraw/zinc/28/04/11/1091280411.db2.gz UXDSABQGAZRXBB-LJQANCHMSA-N 0 1 315.413 3.313 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(Cl)s2)C1 ZINC001494464219 1091296735 /nfs/dbraw/zinc/29/67/35/1091296735.db2.gz XYNQCDPMGOWSCN-LLVKDONJSA-N 0 1 312.866 3.099 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2ccccc2F)s1 ZINC001202868823 1091352605 /nfs/dbraw/zinc/35/26/05/1091352605.db2.gz JHRLSQPZSPLNSU-UHFFFAOYSA-N 0 1 312.373 3.439 20 30 DGEDMN C=C[C@H](C(=O)NCc1nc2ccc(C)cc2[nH]1)c1ccccc1 ZINC001294204521 1091360269 /nfs/dbraw/zinc/36/02/69/1091360269.db2.gz KBXDKVAGEFGRHX-HNNXBMFYSA-N 0 1 305.381 3.457 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C2(CCCC)CC2)C1 ZINC001108187116 1091360852 /nfs/dbraw/zinc/36/08/52/1091360852.db2.gz YWBWMMBFNACAOU-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC1CCCC1 ZINC001098874051 1091411832 /nfs/dbraw/zinc/41/18/32/1091411832.db2.gz VGCQCYYRYAHCEK-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CCn1ccc2ccc(C[N@@H+]3CCC4SC(=O)C=C4C3)cc21 ZINC001139121173 1091454791 /nfs/dbraw/zinc/45/47/91/1091454791.db2.gz FCLCAEFDRWGPEE-KRWDZBQOSA-N 0 1 312.438 3.435 20 30 DGEDMN CCn1ccc2ccc(C[N@H+]3CCC4SC(=O)C=C4C3)cc21 ZINC001139121173 1091454798 /nfs/dbraw/zinc/45/47/98/1091454798.db2.gz FCLCAEFDRWGPEE-KRWDZBQOSA-N 0 1 312.438 3.435 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3[C@@H](c4ccccc4)C3(C)C)[C@@H]2C1 ZINC001084348027 1091480270 /nfs/dbraw/zinc/48/02/70/1091480270.db2.gz MIFPZTZWPOMRGN-MKXGPGLRSA-N 0 1 324.468 3.145 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc4c3CCCC4)[C@@H]2C1 ZINC001084367971 1091482402 /nfs/dbraw/zinc/48/24/02/1091482402.db2.gz PCURHJMLBCBGHA-YLJYHZDGSA-N 0 1 324.468 3.288 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3csc4ccccc34)[C@@H]2C1 ZINC001084556572 1091504997 /nfs/dbraw/zinc/50/49/97/1091504997.db2.gz XUWZYCHUDHRTOP-CZUORRHYSA-N 0 1 312.438 3.234 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3c(C)cccc3Cl)[C@@H]2C1 ZINC001084631577 1091518208 /nfs/dbraw/zinc/51/82/08/1091518208.db2.gz ZOGSFFBQRYYGLW-GDBMZVCRSA-N 0 1 318.848 3.371 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cccc(Cl)c3F)[C@@H]2C1 ZINC001084623383 1091520093 /nfs/dbraw/zinc/52/00/93/1091520093.db2.gz DCYKLIYPQNDBNO-IUODEOHRSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(Cl)c(C)c3)[C@@H]2C1 ZINC001084628344 1091521042 /nfs/dbraw/zinc/52/10/42/1091521042.db2.gz CONKJYDKRIADFQ-NVXWUHKLSA-N 0 1 318.848 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(Cl)c(C)c3)[C@@H]2C1 ZINC001084628344 1091521045 /nfs/dbraw/zinc/52/10/45/1091521045.db2.gz CONKJYDKRIADFQ-NVXWUHKLSA-N 0 1 318.848 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccsc3Cl)[C@@H]2C1 ZINC001084703217 1091544638 /nfs/dbraw/zinc/54/46/38/1091544638.db2.gz OENQDXYXYZCLFZ-DGCLKSJQSA-N 0 1 310.850 3.124 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3C[C@@H]4C[C@@H]4[C@@H](F)C3)cc2)c1 ZINC001139401740 1091547841 /nfs/dbraw/zinc/54/78/41/1091547841.db2.gz GIWOJWKNUPYRMF-BZSNNMDCSA-N 0 1 307.372 3.410 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)c3ccccc3F)[C@@H]2C1 ZINC001084724558 1091548384 /nfs/dbraw/zinc/54/83/84/1091548384.db2.gz JRMFZKDZXYDLAR-IIDMSEBBSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)oc3C)[C@@H]2C1 ZINC001084751598 1091554146 /nfs/dbraw/zinc/55/41/46/1091554146.db2.gz SEKVWQGILXZSKV-NVXWUHKLSA-N 0 1 316.445 3.434 20 30 DGEDMN C[C@H]1CN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)CCCO1 ZINC001139424942 1091555592 /nfs/dbraw/zinc/55/55/92/1091555592.db2.gz XBOGSXRJQGDGFI-HNNXBMFYSA-N 0 1 323.396 3.356 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](CC)CCCC ZINC001098914930 1091555933 /nfs/dbraw/zinc/55/59/33/1091555933.db2.gz DSSAOBSHGXBVCG-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c3ccccc3[nH]c2C(=O)OCC)C1 ZINC001139462839 1091563042 /nfs/dbraw/zinc/56/30/42/1091563042.db2.gz DPICKLBRZPQDFP-AWEZNQCLSA-N 0 1 310.397 3.190 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(C(F)F)c3)[C@@H]2C1 ZINC001084786579 1091568193 /nfs/dbraw/zinc/56/81/93/1091568193.db2.gz YJVILIRGGDEEMG-HZPDHXFCSA-N 0 1 320.383 3.347 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)C[C@H]1c1ccccc1 ZINC001139527405 1091586856 /nfs/dbraw/zinc/58/68/56/1091586856.db2.gz GQXLYMYWKZSRSP-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN CCc1noc([C@H]2CCCN(Cc3ccc(C#N)c(F)c3)C2)n1 ZINC001139529267 1091588266 /nfs/dbraw/zinc/58/82/66/1091588266.db2.gz OFCCNRBNTSXCHW-AWEZNQCLSA-N 0 1 314.364 3.022 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(=O)C(F)(F)F)cc1 ZINC001230700886 1091623713 /nfs/dbraw/zinc/62/37/13/1091623713.db2.gz YTFNMWKCFHEUCB-ZETCQYMHSA-N 0 1 300.232 3.030 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCc1ccc(-c2csnn2)cc1 ZINC001206462878 1091639290 /nfs/dbraw/zinc/63/92/90/1091639290.db2.gz XJGCAINRFFKMHT-CQSZACIVSA-N 0 1 316.382 3.331 20 30 DGEDMN Cc1nc2cc(C)ccn2c1CN(C)Cc1ccc(C#N)cc1 ZINC001139681408 1091641714 /nfs/dbraw/zinc/64/17/14/1091641714.db2.gz WQTPDCNXWKUCTN-UHFFFAOYSA-N 0 1 304.397 3.455 20 30 DGEDMN Cc1ccc(CN2CCN3CCc4ccccc4[C@@H]3C2)cc1C#N ZINC001139726194 1091655171 /nfs/dbraw/zinc/65/51/71/1091655171.db2.gz YXPBHYHOXMUYKB-NRFANRHFSA-N 0 1 317.436 3.282 20 30 DGEDMN Cc1ccc(CN2CC[C@H](Oc3ncccc3C)C2)cc1C#N ZINC001139727076 1091656140 /nfs/dbraw/zinc/65/61/40/1091656140.db2.gz HMVYDLUDILNUGI-SFHVURJKSA-N 0 1 307.397 3.223 20 30 DGEDMN Cc1ccc(CN2CC[C@@H](Oc3ncccc3C)C2)cc1C#N ZINC001139727074 1091656612 /nfs/dbraw/zinc/65/66/12/1091656612.db2.gz HMVYDLUDILNUGI-GOSISDBHSA-N 0 1 307.397 3.223 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)CCC ZINC001098961227 1091687979 /nfs/dbraw/zinc/68/79/79/1091687979.db2.gz WAOQLPOLBRIOJD-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)nc1 ZINC001139841397 1091689418 /nfs/dbraw/zinc/68/94/18/1091689418.db2.gz PXPUXFPAFATCND-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCCC[C@H]1NC(=O)C=C1CCC1 ZINC001085166710 1091694256 /nfs/dbraw/zinc/69/42/56/1091694256.db2.gz PBRAVGKUJKTBHJ-RTBURBONSA-N 0 1 323.440 3.186 20 30 DGEDMN N#Cc1cc(F)cc(CN2CCN(CCc3ccccc3)CC2)c1 ZINC001139875031 1091699248 /nfs/dbraw/zinc/69/92/48/1091699248.db2.gz RVKDSSAVDACFGS-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN N#Cc1cc(F)cc(CN2CC[C@H](Oc3ccc(F)cc3)C2)c1 ZINC001139874551 1091699528 /nfs/dbraw/zinc/69/95/28/1091699528.db2.gz CDHNJGRQFDOFFK-SFHVURJKSA-N 0 1 314.335 3.490 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001154742388 1091744835 /nfs/dbraw/zinc/74/48/35/1091744835.db2.gz FNHDEWIRGDXXHA-ZIAGYGMSSA-N 0 1 323.506 3.380 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCC[C@H]3c3cnccn3)c2c1 ZINC001140115103 1091772687 /nfs/dbraw/zinc/77/26/87/1091772687.db2.gz MTWJDOVYLMUSDY-SFHVURJKSA-N 0 1 303.369 3.167 20 30 DGEDMN COc1cccc(-c2ncc(CN3CCC(CC#N)CC3)cn2)c1 ZINC001140195421 1091796852 /nfs/dbraw/zinc/79/68/52/1091796852.db2.gz YHPRNSOVBCEMQF-UHFFFAOYSA-N 0 1 322.412 3.278 20 30 DGEDMN Cc1cc(CN2CCN(c3cccc(C#N)c3)CC2)c(C)cc1O ZINC001140202710 1091799815 /nfs/dbraw/zinc/79/98/15/1091799815.db2.gz VXGMJSGCEPQLMQ-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN Cc1ncsc1CN1CCC[C@H](Oc2cccc(C#N)c2)C1 ZINC001206987324 1091812873 /nfs/dbraw/zinc/81/28/73/1091812873.db2.gz PGDBUFIOGAAGQA-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1ncc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)s1 ZINC001207061699 1091835019 /nfs/dbraw/zinc/83/50/19/1091835019.db2.gz HQTKCZYZWKZUCG-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN Cn1cc(CN2CCC[C@H](OCc3ccccc3)C2)cc1C#N ZINC001207109598 1091847222 /nfs/dbraw/zinc/84/72/22/1091847222.db2.gz OBUIPDCLISVVLM-IBGZPJMESA-N 0 1 309.413 3.078 20 30 DGEDMN COC(=O)c1cccc([C@H]2CCCN2Cc2cc(C#N)n(C)c2)c1 ZINC001207109010 1091848390 /nfs/dbraw/zinc/84/83/90/1091848390.db2.gz SFMRNLFPFFBXMX-GOSISDBHSA-N 0 1 323.396 3.020 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc(OCCC)c1 ZINC001085625492 1091861271 /nfs/dbraw/zinc/86/12/71/1091861271.db2.gz QUFZHAWRAAQRNE-QGZVFWFLSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1coc2c1cccc2C ZINC001085643583 1091866373 /nfs/dbraw/zinc/86/63/73/1091866373.db2.gz SCEKDRXIDJNIJL-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)c2ccccc2n1 ZINC001085679520 1091880686 /nfs/dbraw/zinc/88/06/86/1091880686.db2.gz LDIOJXZOMZOPRS-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(-n2cccc2)cc1 ZINC001085704725 1091889160 /nfs/dbraw/zinc/88/91/60/1091889160.db2.gz YLLUDUPOZWMTFK-LJQANCHMSA-N 0 1 323.440 3.200 20 30 DGEDMN C#CCN(Cc1cc(OC)cc(C(C)(C)C)c1O)C1CSC1 ZINC001207218428 1091890980 /nfs/dbraw/zinc/89/09/80/1091890980.db2.gz LEANEWUWDKHISW-UHFFFAOYSA-N 0 1 319.470 3.249 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1ccc(-c2ccco2)o1 ZINC001085715755 1091898412 /nfs/dbraw/zinc/89/84/12/1091898412.db2.gz TYRVCFIGTSAEJL-AWEZNQCLSA-N 0 1 314.385 3.262 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001085753909 1091912990 /nfs/dbraw/zinc/91/29/90/1091912990.db2.gz UJURIERQDMQHJJ-OAHLLOKOSA-N 0 1 315.392 3.029 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2CCCC2CCC2)cc1 ZINC001085757638 1091914406 /nfs/dbraw/zinc/91/44/06/1091914406.db2.gz NDQDMJNKVZAKFK-FQEVSTJZSA-N 0 1 324.468 3.395 20 30 DGEDMN CCN(CCNCc1ccc(C)cc1Cl)C(=O)C#CC(C)C ZINC001273362216 1091932530 /nfs/dbraw/zinc/93/25/30/1091932530.db2.gz AZXIMQBBYRRBQV-UHFFFAOYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)cc1OC ZINC001085785943 1091935591 /nfs/dbraw/zinc/93/55/91/1091935591.db2.gz CDSWAHCQANVOGP-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@@H]2CCN2Cc2ccccc2)CC1 ZINC001085798098 1091940167 /nfs/dbraw/zinc/94/01/67/1091940167.db2.gz IOEIJJDGFPIZEK-IBGZPJMESA-N 0 1 312.457 3.466 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(-c2ccccc2)o1 ZINC001085833846 1091962987 /nfs/dbraw/zinc/96/29/87/1091962987.db2.gz VMAXPFYPNRTRQW-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c2ccccc12 ZINC001085843151 1091970605 /nfs/dbraw/zinc/97/06/05/1091970605.db2.gz FCFVRLZQBRGWQT-QGZVFWFLSA-N 0 1 320.436 3.318 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(Cl)cc(OC)c1 ZINC001085888184 1091998930 /nfs/dbraw/zinc/99/89/30/1091998930.db2.gz RXNCSUBBAKWVLW-HNNXBMFYSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2coc3ccccc23)C1 ZINC001140786533 1091999904 /nfs/dbraw/zinc/99/99/04/1091999904.db2.gz RFGIWBMZNCIKGR-HNNXBMFYSA-N 0 1 314.385 3.309 20 30 DGEDMN Cn1ccc(C2CCN(Cc3cccc(C#N)c3Cl)CC2)n1 ZINC001140812555 1092013288 /nfs/dbraw/zinc/01/32/88/1092013288.db2.gz APQMYCLSSCPYKT-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2cccc(C#N)c2Cl)C1 ZINC001140812768 1092013649 /nfs/dbraw/zinc/01/36/49/1092013649.db2.gz KDJBQEMBLFMTEQ-QGZVFWFLSA-N 0 1 320.820 3.377 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(C)c1Cl ZINC001085926500 1092017612 /nfs/dbraw/zinc/01/76/12/1092017612.db2.gz YMPFGCMRVOFQPC-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1c(F)cccc1Cl ZINC001085939620 1092027979 /nfs/dbraw/zinc/02/79/79/1092027979.db2.gz MKQHTTWZCWQNFH-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](NCc2oc(C(C)C)nc2C)C1 ZINC001207635765 1092053851 /nfs/dbraw/zinc/05/38/51/1092053851.db2.gz NEZOJTUXZKJYMM-HNNXBMFYSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)c(F)c(C)c1 ZINC001085979394 1092067032 /nfs/dbraw/zinc/06/70/32/1092067032.db2.gz QTMGJOZYFYXHDJ-INIZCTEOSA-N 0 1 304.409 3.165 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001085990573 1092077044 /nfs/dbraw/zinc/07/70/44/1092077044.db2.gz SSNTXRNDSAHONV-PELRDEGISA-N 0 1 324.468 3.217 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001108229472 1092084803 /nfs/dbraw/zinc/08/48/03/1092084803.db2.gz HHQJBEAVFLAIJV-LMMKCTJWSA-N 0 1 324.509 3.210 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c2ccc(F)cc21 ZINC001086002312 1092093632 /nfs/dbraw/zinc/09/36/32/1092093632.db2.gz GFOQRTLZHYWGHV-AWEZNQCLSA-N 0 1 315.392 3.029 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C2=CCCC2)cc1 ZINC001086006651 1092094257 /nfs/dbraw/zinc/09/42/57/1092094257.db2.gz KRTPDMOWCKHMBQ-HXUWFJFHSA-N 0 1 322.452 3.424 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000829785332 1092115988 /nfs/dbraw/zinc/11/59/88/1092115988.db2.gz UBSKSZCICGMAKE-CYBMUJFWSA-N 0 1 304.340 3.395 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000829785332 1092115994 /nfs/dbraw/zinc/11/59/94/1092115994.db2.gz UBSKSZCICGMAKE-CYBMUJFWSA-N 0 1 304.340 3.395 20 30 DGEDMN N#Cc1c(N)sc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c1Cl ZINC001141106678 1092139788 /nfs/dbraw/zinc/13/97/88/1092139788.db2.gz DUHSDRCXJICDDC-OCAPTIKFSA-N 0 1 317.792 3.332 20 30 DGEDMN CC[C@@H](C(=O)Nc1cccc(C#Cc2ccccc2)c1)N(C)C ZINC001141303793 1092202825 /nfs/dbraw/zinc/20/28/25/1092202825.db2.gz BNTSICZRBITHTI-IBGZPJMESA-N 0 1 306.409 3.365 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001491805296 1092221379 /nfs/dbraw/zinc/22/13/79/1092221379.db2.gz CRJUTJXYDDLDFQ-CABCVRRESA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2Cc3cn(C)nc3[C@H](COC)C2)CC1 ZINC001208170012 1092239526 /nfs/dbraw/zinc/23/95/26/1092239526.db2.gz BTAFLZXQLMTWIX-AEFFLSMTSA-N 0 1 315.461 3.268 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CNCc2ncc(C(C)C)o2)C1 ZINC001491830998 1092245573 /nfs/dbraw/zinc/24/55/73/1092245573.db2.gz DKSLQKJCYDRSNX-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN N#Cc1cccc(N2CCN(CCc3cccc(F)c3)CC2)c1 ZINC001208201168 1092260631 /nfs/dbraw/zinc/26/06/31/1092260631.db2.gz BTPZVTATGFMZNT-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2nc(C)sc2C)C1 ZINC001208255883 1092294258 /nfs/dbraw/zinc/29/42/58/1092294258.db2.gz SRSFUGJJPYVDNB-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN CON(C(=O)c1ccc(C#N)c(O)c1)[C@H](C)c1ccccc1C ZINC001141681280 1092315456 /nfs/dbraw/zinc/31/54/56/1092315456.db2.gz CYZKZLHQMPIZJO-CYBMUJFWSA-N 0 1 310.353 3.337 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C2(CC)CCCC2)C1 ZINC001108316731 1092333272 /nfs/dbraw/zinc/33/32/72/1092333272.db2.gz BFQBEAHIMQQUFA-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C2(CC)CCCC2)C1 ZINC001108316729 1092333415 /nfs/dbraw/zinc/33/34/15/1092333415.db2.gz BFQBEAHIMQQUFA-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001108336368 1092339935 /nfs/dbraw/zinc/33/99/35/1092339935.db2.gz BPOHXHXYXSVVKW-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C[C@H](C)CC(C)C)C1 ZINC001108337153 1092340432 /nfs/dbraw/zinc/34/04/32/1092340432.db2.gz UMLVOISJJCHATJ-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C[C@H](C)C(C)(C)C)C1 ZINC001108366636 1092357046 /nfs/dbraw/zinc/35/70/46/1092357046.db2.gz YCARRCBVGWSTJD-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN COC(C)(C)[C@H]1CCCN1Cc1ccc(C#N)c(SC)n1 ZINC001141941412 1092365284 /nfs/dbraw/zinc/36/52/84/1092365284.db2.gz IRMXVQFBOKPORQ-CQSZACIVSA-N 0 1 305.447 3.065 20 30 DGEDMN C[C@@H]1CC[C@@H](O)C[N@H+]1Cc1ccc(C#Cc2ccccc2)s1 ZINC001141972301 1092371559 /nfs/dbraw/zinc/37/15/59/1092371559.db2.gz GAXNABIJSRSTJQ-NVXWUHKLSA-N 0 1 311.450 3.493 20 30 DGEDMN O[C@@H]1CCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC1 ZINC001141972080 1092371775 /nfs/dbraw/zinc/37/17/75/1092371775.db2.gz AZEKCNOQEOYSIY-QGZVFWFLSA-N 0 1 311.450 3.495 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@@H](C)NCc2nc(C)c(C)o2)CC1 ZINC001492078455 1092395237 /nfs/dbraw/zinc/39/52/37/1092395237.db2.gz TWSYVPIYNUGLTR-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@H]1CCN1C(C)(C)C ZINC001142076247 1092404623 /nfs/dbraw/zinc/40/46/23/1092404623.db2.gz ZFYOUNFTCLZMJI-IAGOWNOFSA-N 0 1 300.446 3.163 20 30 DGEDMN C[C@@H]1C[C@H](N2CCC(C)(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001168870313 1092406349 /nfs/dbraw/zinc/40/63/49/1092406349.db2.gz AIMKHVSGHOLACN-KGLIPLIRSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1nccs1 ZINC001492164094 1092415613 /nfs/dbraw/zinc/41/56/13/1092415613.db2.gz QMAALVHVCCLMMF-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN N#Cc1cccc(CCCN2CC3(C2)CC(F)(F)CS3)c1 ZINC001208851413 1092545327 /nfs/dbraw/zinc/54/53/27/1092545327.db2.gz YOZZZVUCURFDRI-UHFFFAOYSA-N 0 1 308.397 3.317 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN(C[C@H](C)C(F)(F)F)CCO2 ZINC001208891624 1092569149 /nfs/dbraw/zinc/56/91/49/1092569149.db2.gz LVQKXETZGBJVDZ-SOUVJXGZSA-N 0 1 321.383 3.259 20 30 DGEDMN C[C@@]1(c2ccc(F)cc2)CN(CCc2ccc(C#N)cc2)CCO1 ZINC001208926783 1092588987 /nfs/dbraw/zinc/58/89/87/1092588987.db2.gz BLVHLGKNVNEAJZ-FQEVSTJZSA-N 0 1 324.399 3.487 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001209115754 1092658871 /nfs/dbraw/zinc/65/88/71/1092658871.db2.gz IHIGDGGEHNXIIZ-NZSAHSFTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001209115754 1092658876 /nfs/dbraw/zinc/65/88/76/1092658876.db2.gz IHIGDGGEHNXIIZ-NZSAHSFTSA-N 0 1 318.505 3.443 20 30 DGEDMN Cc1nc(N[C@@](C)(C#N)c2ccccc2Cl)nc2nc[nH]c21 ZINC001169379308 1092661706 /nfs/dbraw/zinc/66/17/06/1092661706.db2.gz LCCFWBDSYKLADA-HNNXBMFYSA-N 0 1 312.764 3.117 20 30 DGEDMN C=CCCCN1Cc2c(cnn2CC)[C@H](COCC2CC2)C1 ZINC001209157793 1092675009 /nfs/dbraw/zinc/67/50/09/1092675009.db2.gz HUKHRICMWDMAMA-INIZCTEOSA-N 0 1 303.450 3.195 20 30 DGEDMN C=CCCCCN1Cc2nn(C)cc2[C@@H](COCC2CC2)C1 ZINC001209200575 1092691803 /nfs/dbraw/zinc/69/18/03/1092691803.db2.gz LIXSBLIALSCIGC-MRXNPFEDSA-N 0 1 303.450 3.102 20 30 DGEDMN CCNc1ccc(C)cc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001149883034 1092739159 /nfs/dbraw/zinc/73/91/59/1092739159.db2.gz CSCFFXNACXTZNT-UHFFFAOYSA-N 0 1 319.368 3.427 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)CCC2CCCCCC2)C1 ZINC001149982294 1092747060 /nfs/dbraw/zinc/74/70/60/1092747060.db2.gz HLEUIEGILGYKHE-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](CC)CCCCCC)C1 ZINC001150099618 1092754761 /nfs/dbraw/zinc/75/47/61/1092754761.db2.gz HRIPVLKORCIHJC-QZTJIDSGSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCCN1CCc2onc(Cn3cccn3)c2C1 ZINC001209437606 1092775551 /nfs/dbraw/zinc/77/55/51/1092775551.db2.gz OVMWCTJLQDSLBW-UHFFFAOYSA-N 0 1 314.433 3.414 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H](C)CCCCCC)C1 ZINC001150572529 1092794934 /nfs/dbraw/zinc/79/49/34/1092794934.db2.gz PXHJIRQFWVTCFY-MSOLQXFVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(CC)c(CCC)s1 ZINC001150643337 1092802978 /nfs/dbraw/zinc/80/29/78/1092802978.db2.gz XTZDGTLVFZICBA-UHFFFAOYSA-N 0 1 314.882 3.335 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc3cc[nH]c3c2)C1 ZINC001209517907 1092805232 /nfs/dbraw/zinc/80/52/32/1092805232.db2.gz HZPAJIINXPOXBJ-MRXNPFEDSA-N 0 1 313.401 3.045 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(C#N)cc2)cc(F)c1O ZINC001209533768 1092810901 /nfs/dbraw/zinc/81/09/01/1092810901.db2.gz MMDGUMUXKKDFQD-UHFFFAOYSA-N 0 1 300.333 3.044 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2(CC(C)C)CCCC2)C1 ZINC001209562619 1092824127 /nfs/dbraw/zinc/82/41/27/1092824127.db2.gz RZVNEBOCVVIRTJ-IAGOWNOFSA-N 0 1 304.478 3.053 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1c[nH]c2ncc(Cl)cc12 ZINC001209601225 1092845114 /nfs/dbraw/zinc/84/51/14/1092845114.db2.gz YEGXVXGFXTWGET-OJNYZHBWSA-N 0 1 300.793 3.483 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1cc2cc(Cl)c[nH]c-2n1 ZINC001151297720 1092884887 /nfs/dbraw/zinc/88/48/87/1092884887.db2.gz ZZDXNVWUWFWXMR-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN N#Cc1cnn(-c2ccccc2)c1Nc1ccc2nc[nH]c2c1 ZINC001209843858 1092943916 /nfs/dbraw/zinc/94/39/16/1092943916.db2.gz IPGBXHUZDNSKHK-UHFFFAOYSA-N 0 1 300.325 3.364 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(C#N)c(F)cc1Br ZINC001209969439 1092999511 /nfs/dbraw/zinc/99/95/11/1092999511.db2.gz OEGPDQFNEMKCEM-UHFFFAOYSA-N 0 1 321.153 3.489 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C)c(C)c1)C1CC1 ZINC001276694584 1093393732 /nfs/dbraw/zinc/39/37/32/1093393732.db2.gz GKLPKYOLGPAKDE-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN COC(=O)c1cc(Nc2ccc3[nH]c(C)nc3c2)ccc1C#N ZINC001213076270 1093510395 /nfs/dbraw/zinc/51/03/95/1093510395.db2.gz ODSLXZNPFYBVJH-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)CCCC2CCCCC2)[C@H](OC)C1 ZINC001213818087 1093665642 /nfs/dbraw/zinc/66/56/42/1093665642.db2.gz QTTYRPJJABZKNI-QZTJIDSGSA-N 0 1 322.493 3.129 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001213895556 1093676242 /nfs/dbraw/zinc/67/62/42/1093676242.db2.gz HIDGRUXJBLFIGG-GVDBMIGSSA-N 0 1 312.498 3.208 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NCc2c(F)cccc2Cl)C1 ZINC001214301878 1093752652 /nfs/dbraw/zinc/75/26/52/1093752652.db2.gz NQFKOARUTZUACC-MLGOLLRUSA-N 0 1 324.827 3.382 20 30 DGEDMN CC(=O)Nc1ccc(Nc2cc3[nH]ncc3c(F)c2)cc1C#N ZINC001215555768 1094000947 /nfs/dbraw/zinc/00/09/47/1094000947.db2.gz OYBRYSFFMDLKGY-UHFFFAOYSA-N 0 1 309.304 3.276 20 30 DGEDMN CCc1cc(Nc2cccnc2N2CCN(C)CC2)ccc1C#N ZINC001216316069 1094172225 /nfs/dbraw/zinc/17/22/25/1094172225.db2.gz XQZAZOQZDWAMTM-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN Cc1c(O)cccc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001216320921 1094174023 /nfs/dbraw/zinc/17/40/23/1094174023.db2.gz KFZWYWXTPWDEGM-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)Nc1sccc1C#N ZINC001119912256 1094306412 /nfs/dbraw/zinc/30/64/12/1094306412.db2.gz WFYIHWOMVALOLM-UHFFFAOYSA-N 0 1 317.418 3.304 20 30 DGEDMN Cc1nc2cc(-c3nc(-c4cccc(C#N)c4)no3)ccc2[nH]1 ZINC001217244597 1094347746 /nfs/dbraw/zinc/34/77/46/1094347746.db2.gz HFKINWKENIFSDJ-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H](C)C[C@H](C)NCc1cscn1 ZINC001135193336 1094427851 /nfs/dbraw/zinc/42/78/51/1094427851.db2.gz HPXTXTDTFCQGCD-GJZGRUSLSA-N 0 1 321.490 3.100 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1cscn1 ZINC001135193335 1094427871 /nfs/dbraw/zinc/42/78/71/1094427871.db2.gz HPXTXTDTFCQGCD-CABCVRRESA-N 0 1 321.490 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1cscn1 ZINC001135180700 1094430853 /nfs/dbraw/zinc/43/08/53/1094430853.db2.gz JHFAGMCIWPZJNC-OLZOCXBDSA-N 0 1 309.479 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C(C)C)o1 ZINC001135234569 1094453232 /nfs/dbraw/zinc/45/32/32/1094453232.db2.gz BLRSOONQTVIGRM-ZIAGYGMSSA-N 0 1 321.465 3.383 20 30 DGEDMN Cc1ccc2cc(-c3nc(-c4cccc(C#N)c4)no3)[nH]c2n1 ZINC001217618729 1094455385 /nfs/dbraw/zinc/45/53/85/1094455385.db2.gz PSMRKZFXZWKTDD-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001135358795 1094502865 /nfs/dbraw/zinc/50/28/65/1094502865.db2.gz YOCPVMVEAYPZNN-OLZOCXBDSA-N 0 1 307.438 3.021 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@H](C)NCc2nocc2C)CC1 ZINC001135414609 1094527335 /nfs/dbraw/zinc/52/73/35/1094527335.db2.gz BNMJDECYLIKVEK-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC001120813405 1094530688 /nfs/dbraw/zinc/53/06/88/1094530688.db2.gz CIWSYFOQLOGRSV-NSHDSACASA-N 0 1 314.345 3.042 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001135565209 1094579128 /nfs/dbraw/zinc/57/91/28/1094579128.db2.gz QWCYKVVBKFCDTP-STQMWFEESA-N 0 1 321.465 3.267 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCNCC#Cc1ccccc1Cl ZINC001135612114 1094599068 /nfs/dbraw/zinc/59/90/68/1094599068.db2.gz PQBFKGKMCHEPDL-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN Cc1ccc2c(Cl)cc(Cl)c(O[C@@H]3CNC[C@@H]3C#N)c2n1 ZINC001218200755 1094654973 /nfs/dbraw/zinc/65/49/73/1094654973.db2.gz NHDJVIUNEVPXFF-TVQRCGJNSA-N 0 1 322.195 3.340 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc2ccc(Br)cc2c1 ZINC001218201648 1094657165 /nfs/dbraw/zinc/65/71/65/1094657165.db2.gz SNVVNKOPYBOKOC-DOMZBBRYSA-N 0 1 317.186 3.093 20 30 DGEDMN CC(C)(C)c1cc(Br)ccc1O[C@H]1CNC[C@@H]1C#N ZINC001218203925 1094658717 /nfs/dbraw/zinc/65/87/17/1094658717.db2.gz TWSCOINKXGNCGP-HZMBPMFUSA-N 0 1 323.234 3.237 20 30 DGEDMN CCC(CC)(CC)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001135826063 1094697082 /nfs/dbraw/zinc/69/70/82/1094697082.db2.gz LMIXRUPPULVCFZ-UHFFFAOYSA-N 0 1 318.436 3.099 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001135843518 1094707417 /nfs/dbraw/zinc/70/74/17/1094707417.db2.gz UBGSTXXWBJILGR-IBGZPJMESA-N 0 1 318.436 3.099 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135858928 1094720535 /nfs/dbraw/zinc/72/05/35/1094720535.db2.gz GSANCNQPAMKKBR-HUUCEWRRSA-N 0 1 320.864 3.080 20 30 DGEDMN C[C@@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1ccsc1 ZINC001649146364 1094766851 /nfs/dbraw/zinc/76/68/51/1094766851.db2.gz LHJCYCYPEGELJJ-SNVBAGLBSA-N 0 1 323.381 3.147 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc2n1CCN[C@H]2C ZINC001122136953 1094910018 /nfs/dbraw/zinc/91/00/18/1094910018.db2.gz RWLBRCDMODNGLP-RRFJBIMHSA-N 0 1 301.434 3.017 20 30 DGEDMN CCCCCCCCCC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219008392 1094927269 /nfs/dbraw/zinc/92/72/69/1094927269.db2.gz HDZKVKFFAJFJON-DLBZAZTESA-N 0 1 310.482 3.059 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219045561 1094932929 /nfs/dbraw/zinc/93/29/29/1094932929.db2.gz IFXZKEIXHXPYLN-FGTMMUONSA-N 0 1 324.509 3.305 20 30 DGEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCCC[C@@H](C)CC)C1 ZINC001111761970 1094959518 /nfs/dbraw/zinc/95/95/18/1094959518.db2.gz UUMJUBOJXOLXLK-LNLFQRSKSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(CC)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001136378222 1095010197 /nfs/dbraw/zinc/01/01/97/1095010197.db2.gz CLURQLYBLJTQAN-UHFFFAOYSA-N 0 1 303.365 3.325 20 30 DGEDMN N#C[C@@H](C(=O)CC1CCCCC1)c1nc(N)c2ccccc2n1 ZINC001122708477 1095040022 /nfs/dbraw/zinc/04/00/22/1095040022.db2.gz XYGJLNHRBYJYRA-AWEZNQCLSA-N 0 1 308.385 3.359 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C[C@@H]1C[C@H]1C1CC1)c1ccccc1 ZINC001122882242 1095089889 /nfs/dbraw/zinc/08/98/89/1095089889.db2.gz BZSOCORICGAGNK-WNRNVDISSA-N 0 1 324.424 3.399 20 30 DGEDMN N#CC(C(=O)c1cnn(C2CCC2)c1)c1cnc2ccccc2n1 ZINC001122897900 1095093757 /nfs/dbraw/zinc/09/37/57/1095093757.db2.gz OHPAZOBOVOIFOL-AWEZNQCLSA-N 0 1 317.352 3.041 20 30 DGEDMN N#C[C@H](C(=O)c1cnn(C2CCC2)c1)c1cnc2ccccc2n1 ZINC001122897900 1095093772 /nfs/dbraw/zinc/09/37/72/1095093772.db2.gz OHPAZOBOVOIFOL-AWEZNQCLSA-N 0 1 317.352 3.041 20 30 DGEDMN N#CC(=C(O)c1ccc(N2CCCCCC2)cc1)c1ccncn1 ZINC001122924745 1095100399 /nfs/dbraw/zinc/10/03/99/1095100399.db2.gz UQYQMFOJQYYIPA-QGZVFWFLSA-N 0 1 320.396 3.347 20 30 DGEDMN N#C[C@H](C(=O)C1C[C@H]2CC[C@@H](C1)S2)c1cnc2ccccc2n1 ZINC001122980733 1095117623 /nfs/dbraw/zinc/11/76/23/1095117623.db2.gz QCTPOXHFPZIENC-GSPSYOTPSA-N 0 1 323.421 3.480 20 30 DGEDMN C#CC[N@@H+]1CC=C(CCNC(=O)CCC[C@@H](C)CCC)CC1 ZINC001160227526 1095131753 /nfs/dbraw/zinc/13/17/53/1095131753.db2.gz FYEPEOCKXQQGCP-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCC[C@@H](C)CCC)CC1 ZINC001160227526 1095131756 /nfs/dbraw/zinc/13/17/56/1095131756.db2.gz FYEPEOCKXQQGCP-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N1CC(N2CCCC2)C1 ZINC001337915422 1095137763 /nfs/dbraw/zinc/13/77/63/1095137763.db2.gz FSYQQYYVKFVJTP-UHFFFAOYSA-N 0 1 313.298 3.001 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001171034643 1095165255 /nfs/dbraw/zinc/16/52/55/1095165255.db2.gz ARXCWMVIINLYCZ-AWEZNQCLSA-N 0 1 321.465 3.412 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@H](C)c1nnc(C)o1 ZINC001171043305 1095180434 /nfs/dbraw/zinc/18/04/34/1095180434.db2.gz KHKBHUWJILZMSK-UONOGXRCSA-N 0 1 322.453 3.060 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001171043122 1095181138 /nfs/dbraw/zinc/18/11/38/1095181138.db2.gz IPWLVCBRWGPCGE-OAHLLOKOSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1ncccn1 ZINC001171044012 1095182265 /nfs/dbraw/zinc/18/22/65/1095182265.db2.gz UBLTWXUSTFMJFG-HOTGVXAUSA-N 0 1 318.465 3.159 20 30 DGEDMN C=C[C@@H](COC)[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC001338113267 1095193353 /nfs/dbraw/zinc/19/33/53/1095193353.db2.gz SSVOCVYAFBQOOT-KOLCDFICSA-N 0 1 300.196 3.006 20 30 DGEDMN C=C[C@@H](COC)[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC001338113270 1095193488 /nfs/dbraw/zinc/19/34/88/1095193488.db2.gz SSVOCVYAFBQOOT-ONGXEEELSA-N 0 1 300.196 3.006 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@H]1NCCc2cc(F)ccc21)c1ccccc1 ZINC001221361111 1095250360 /nfs/dbraw/zinc/25/03/60/1095250360.db2.gz QJNWHXLJYXCGIZ-MOPGFXCFSA-N 0 1 324.399 3.446 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3ccc(CF)cc3)C[C@H]21 ZINC001221424490 1095261106 /nfs/dbraw/zinc/26/11/06/1095261106.db2.gz GRLNSSABRBLGNZ-QZTJIDSGSA-N 0 1 316.420 3.155 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(Cc3ccc(CF)cc3)C[C@H]21 ZINC001221424490 1095261118 /nfs/dbraw/zinc/26/11/18/1095261118.db2.gz GRLNSSABRBLGNZ-QZTJIDSGSA-N 0 1 316.420 3.155 20 30 DGEDMN CC[C@H](C#N)N(CC)C(=O)c1ccc(CN2CCCCC2)o1 ZINC001338329053 1095267693 /nfs/dbraw/zinc/26/76/93/1095267693.db2.gz PCWGGMSOTXEOGT-CQSZACIVSA-N 0 1 303.406 3.030 20 30 DGEDMN C[C@@H]1CCC[C@H](OCC(=O)[C@H](C#N)c2ncc(F)cc2F)C1 ZINC001123382920 1095272730 /nfs/dbraw/zinc/27/27/30/1095272730.db2.gz XWALBMKZJNKZRW-WXHSDQCUSA-N 0 1 308.328 3.131 20 30 DGEDMN FC[C@@H]1CN(Cc2ncc(C(F)(F)F)[nH]2)C[C@H]1C(F)(F)F ZINC001137010518 1095284143 /nfs/dbraw/zinc/28/41/43/1095284143.db2.gz UWXIJHIAQLNMFZ-RNFRBKRXSA-N 0 1 319.224 3.008 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCc3ccc(C)cc3)[C@@H]2C1 ZINC001221789038 1095355456 /nfs/dbraw/zinc/35/54/56/1095355456.db2.gz CKIXXWMGNLKBHD-RTBURBONSA-N 0 1 312.457 3.036 20 30 DGEDMN C=CC[C@@H](C)C(=O)Nc1ccc(N2CCN(CC)CC2)c(F)c1 ZINC001144019219 1095475465 /nfs/dbraw/zinc/47/54/65/1095475465.db2.gz BZBGQWOAICDCNK-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN CCN(C(=O)c1cnc(C2CC2)[nH]1)[C@H](C)c1ccc(C#N)cc1 ZINC001116251615 1095494460 /nfs/dbraw/zinc/49/44/60/1095494460.db2.gz UWOMOPHVXWJXOA-GFCCVEGCSA-N 0 1 308.385 3.382 20 30 DGEDMN CN(CCc1cccc(C(F)(F)F)n1)Cc1cncc(C#N)c1 ZINC001144073708 1095496958 /nfs/dbraw/zinc/49/69/58/1095496958.db2.gz BXZAUVNPMCDCDW-UHFFFAOYSA-N 0 1 320.318 3.042 20 30 DGEDMN CN(CCc1cccc(C(F)(F)F)n1)Cc1ccc(C#N)cn1 ZINC001144153691 1095539334 /nfs/dbraw/zinc/53/93/34/1095539334.db2.gz LGJJFOOYSNAWEZ-UHFFFAOYSA-N 0 1 320.318 3.042 20 30 DGEDMN CC(C)CN(C(=O)[C@H]1CCCN1C(C)C)c1ccc(C#N)cc1 ZINC001124832737 1095636206 /nfs/dbraw/zinc/63/62/06/1095636206.db2.gz TXPSUZBRSYEZDE-GOSISDBHSA-N 0 1 313.445 3.420 20 30 DGEDMN COc1ccc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)nc1 ZINC001144430370 1095655466 /nfs/dbraw/zinc/65/54/66/1095655466.db2.gz FMVSBCHYDXLSOU-IBGZPJMESA-N 0 1 323.396 3.005 20 30 DGEDMN N#CCOc1cccc(CN[C@@H]2CCc3ccc(Cl)nc32)c1 ZINC001117060817 1095709588 /nfs/dbraw/zinc/70/95/88/1095709588.db2.gz INJOQAHDLRSRKN-OAHLLOKOSA-N 0 1 313.788 3.414 20 30 DGEDMN COc1ccc(CN(C)CCc2ccccc2OC)c(C#N)c1 ZINC001137704445 1095717228 /nfs/dbraw/zinc/71/72/28/1095717228.db2.gz FUINGDWDGLNOEG-UHFFFAOYSA-N 0 1 310.397 3.250 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1ccc(-n3cccn3)cc1)C2 ZINC001137754298 1095732478 /nfs/dbraw/zinc/73/24/78/1095732478.db2.gz ZZMWHVVMVYLLTP-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN Cc1cccnc1N1CCN([C@H](C)Cc2cccc(C#N)c2)CC1 ZINC001171202809 1095742803 /nfs/dbraw/zinc/74/28/03/1095742803.db2.gz HANSMVNFKYEIRB-QGZVFWFLSA-N 0 1 320.440 3.015 20 30 DGEDMN O=C(CC1CCC1)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001222756922 1095744602 /nfs/dbraw/zinc/74/46/02/1095744602.db2.gz USPDWILOZSNFHO-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN CC[N@H+]1CC[C@H](OC(F)(F)c2ccccc2/C(Cl)=N/[O-])C1 ZINC001222940260 1095797401 /nfs/dbraw/zinc/79/74/01/1095797401.db2.gz PCULPUPCSQVSCL-ZPEMJIOOSA-N 0 1 318.751 3.221 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc2cncn2c1C)c1ccc(Cl)cc1 ZINC001117719188 1095813301 /nfs/dbraw/zinc/81/33/01/1095813301.db2.gz NSLKHENQZWESPA-KRWDZBQOSA-N 0 1 323.783 3.400 20 30 DGEDMN COc1ccc2nc(NN=Cc3cc(C)ncn3)c(C)cc2c1 ZINC001117735096 1095819151 /nfs/dbraw/zinc/81/91/51/1095819151.db2.gz AZTIGDAMUCJKCS-UHFFFAOYSA-N 0 1 307.357 3.096 20 30 DGEDMN C=CC[C@H]1N(c2nnc(-c3[nH]cnc3C)n2C)CCCC1(C)C ZINC001340036926 1095831149 /nfs/dbraw/zinc/83/11/49/1095831149.db2.gz BKBQIEAVFSLNPD-CYBMUJFWSA-N 0 1 314.437 3.085 20 30 DGEDMN CC[C@@H]1OC(C)=C(O[C@@H](C(=O)OC)c2ccccc2Cl)C1=O ZINC001223024594 1095836973 /nfs/dbraw/zinc/83/69/73/1095836973.db2.gz YFZYNMMOYIGJEU-SWLSCSKDSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1cccc(OC)c1C ZINC001276860964 1095852436 /nfs/dbraw/zinc/85/24/36/1095852436.db2.gz LSFYLBLSCCUSOI-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2cccc(C#N)n2)C1 ZINC001223061747 1095853417 /nfs/dbraw/zinc/85/34/17/1095853417.db2.gz PDOMOULVXOYLHR-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C=CCC(CC=C)C(=O)NCC1CCN(C/C=C\Cl)CC1 ZINC001223305565 1095931062 /nfs/dbraw/zinc/93/10/62/1095931062.db2.gz ZWLNAOIEOSDEDY-YHYXMXQVSA-N 0 1 310.869 3.336 20 30 DGEDMN CCSc1ccc(CC(=O)NOc2cccc(C#N)c2)cc1 ZINC001171256247 1095940448 /nfs/dbraw/zinc/94/04/48/1095940448.db2.gz SSZZVPBQGUXSSF-UHFFFAOYSA-N 0 1 312.394 3.323 20 30 DGEDMN Cc1cnc(NC2(C#N)CCN(Cc3ccccc3)CC2)nc1C ZINC001171274567 1095999483 /nfs/dbraw/zinc/99/94/83/1095999483.db2.gz DAUNXYKUPJTCMG-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN O/N=C(\OC[C@H]1COc2ccccc2O1)c1ccc(Cl)cc1 ZINC001223597882 1096009904 /nfs/dbraw/zinc/00/99/04/1096009904.db2.gz ZQWKNBCBFKVOGH-LTRYHMRKSA-N 0 1 319.744 3.332 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1C ZINC001276909928 1096031448 /nfs/dbraw/zinc/03/14/48/1096031448.db2.gz VOXRPRXSDPCKFG-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001276909927 1096031580 /nfs/dbraw/zinc/03/15/80/1096031580.db2.gz VOXRPRXSDPCKFG-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN C=CC1(CC(=O)N2CCc3sc(CN)nc3C2)CCCCC1 ZINC001340852048 1096051703 /nfs/dbraw/zinc/05/17/03/1096051703.db2.gz CTEXXVGBUKEXOS-UHFFFAOYSA-N 0 1 319.474 3.013 20 30 DGEDMN CCCCOC[C@H](C)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223892495 1096087221 /nfs/dbraw/zinc/08/72/21/1096087221.db2.gz JCGHZLFODTYYOM-ICDILTBESA-N 0 1 311.378 3.061 20 30 DGEDMN CC[C@@H]1OC(C)=C(O[C@@H](C(=O)OC)c2cccc(Cl)c2)C1=O ZINC001223926876 1096096666 /nfs/dbraw/zinc/09/66/66/1096096666.db2.gz XDSPXZQGFFNTDU-SWLSCSKDSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCc1ccnc(-c2cc(C)ccn2)c1 ZINC001223980264 1096109329 /nfs/dbraw/zinc/10/93/29/1096109329.db2.gz BYHAMDQLGFGGMI-GFCCVEGCSA-N 0 1 310.353 3.188 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@H](C)NCc2ccon2)CCCC1 ZINC001146822107 1096111095 /nfs/dbraw/zinc/11/10/95/1096111095.db2.gz PWYKNDFDNVKOKT-LSDHHAIUSA-N 0 1 319.449 3.184 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2cncc(C#N)c2)C1 ZINC001224139310 1096144677 /nfs/dbraw/zinc/14/46/77/1096144677.db2.gz UBSAUJVSSIRTIS-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224187666 1096156439 /nfs/dbraw/zinc/15/64/39/1096156439.db2.gz XAPFKZSWCIZONG-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224198003 1096160301 /nfs/dbraw/zinc/16/03/01/1096160301.db2.gz JJZNGDHRDLJMDE-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CCN(CCF)[C@H]3C2)CCCCC1 ZINC001224215183 1096164423 /nfs/dbraw/zinc/16/44/23/1096164423.db2.gz DFLINZJGXSNAKM-SJORKVTESA-N 0 1 322.468 3.405 20 30 DGEDMN C#CCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1ccc2ccccc2n1 ZINC001276973380 1096216852 /nfs/dbraw/zinc/21/68/52/1096216852.db2.gz ODPAPOJYMKCANN-UZLBHIALSA-N 0 1 319.408 3.051 20 30 DGEDMN CCCCCC[C@H](F)C(=O)NCCNCc1ccccc1C#N ZINC001147797482 1096275589 /nfs/dbraw/zinc/27/55/89/1096275589.db2.gz XGIMLULTOUEAMM-KRWDZBQOSA-N 0 1 319.424 3.073 20 30 DGEDMN C=CCCCC(=O)N1CC2(C1)CCN(Cc1cccc(F)c1)C2 ZINC001148194360 1096320220 /nfs/dbraw/zinc/32/02/20/1096320220.db2.gz WSXMLUVYEGEIIA-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN CN(C)c1cccc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)c1 ZINC001225235900 1096370580 /nfs/dbraw/zinc/37/05/80/1096370580.db2.gz WJMHXDVPIZZWCK-HXUWFJFHSA-N 0 1 321.424 3.278 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)sc1C ZINC001225284533 1096384568 /nfs/dbraw/zinc/38/45/68/1096384568.db2.gz YLRXXQUZAFLRQE-HUUCEWRRSA-N 0 1 321.490 3.243 20 30 DGEDMN COc1ccnc(O[C@@H]2CCCN(Cc3ccccc3)C2)c1C#N ZINC001225565790 1096468757 /nfs/dbraw/zinc/46/87/57/1096468757.db2.gz GFDJPPHTYFQHFI-MRXNPFEDSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001273447807 1096480028 /nfs/dbraw/zinc/48/00/28/1096480028.db2.gz ZQJGEPNQOZWDRG-RHSMWYFYSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)CC(C)C)C2)CC1 ZINC001086899808 1096498899 /nfs/dbraw/zinc/49/88/99/1096498899.db2.gz SXGXETHWAPFEHL-OAHLLOKOSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)c3ccc[nH]3)C2)CC1 ZINC001086902834 1096501587 /nfs/dbraw/zinc/50/15/87/1096501587.db2.gz PZGYXCZLDOLEBA-AWEZNQCLSA-N 0 1 321.852 3.084 20 30 DGEDMN COc1ccc(C#N)cc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001225689195 1096504726 /nfs/dbraw/zinc/50/47/26/1096504726.db2.gz OPVGNYKCLQXSLK-GOEBONIOSA-N 0 1 323.396 3.176 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001086913201 1096506219 /nfs/dbraw/zinc/50/62/19/1096506219.db2.gz JZYABVUDRHVAAH-HUUCEWRRSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C(C)(C)F)C2)CC1 ZINC001086897910 1096507179 /nfs/dbraw/zinc/50/71/79/1096507179.db2.gz VWVHIXHNNUGBPZ-ZDUSSCGKSA-N 0 1 316.848 3.190 20 30 DGEDMN Cc1ccc(C#N)c(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)n1 ZINC001225865619 1096548233 /nfs/dbraw/zinc/54/82/33/1096548233.db2.gz FYLRHRIQONWNBV-DOTOQJQBSA-N 0 1 322.412 3.261 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4c(F)cc(F)cc4C3)CCC2S1 ZINC001171371998 1096552308 /nfs/dbraw/zinc/55/23/08/1096552308.db2.gz SBDSYQMWKZBYKU-CJNGLKHVSA-N 0 1 321.392 3.096 20 30 DGEDMN C[C@@H](Oc1nc(C(F)(F)F)cc(=O)[nH]1)c1ccccc1C#N ZINC001225978153 1096578606 /nfs/dbraw/zinc/57/86/06/1096578606.db2.gz OOAUMSWDHNUDRQ-MRVPVSSYSA-N 0 1 309.247 3.213 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110131192 1096603878 /nfs/dbraw/zinc/60/38/78/1096603878.db2.gz WKMLMCLIFPRFAB-MHORFTMASA-N 0 1 324.509 3.087 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(C)s2)[C@H](C)C1 ZINC001092434145 1096608343 /nfs/dbraw/zinc/60/83/43/1096608343.db2.gz FSNJSWXNJIMABL-ZWNOBZJWSA-N 0 1 312.866 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2CCCCCC2)[C@H](C)C1 ZINC001092494894 1096613907 /nfs/dbraw/zinc/61/39/07/1096613907.db2.gz VBROWBYNYVJVTC-CZUORRHYSA-N 0 1 312.885 3.393 20 30 DGEDMN CCOC(=O)[C@H](C)[C@H](Oc1cccc(/C=N/O)c1)C(F)(F)F ZINC001226110745 1096614645 /nfs/dbraw/zinc/61/46/45/1096614645.db2.gz VDICJRWDNDNYKF-XXEYYZDMSA-N 0 1 319.279 3.004 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2c(C)oc(C)c2C)[C@H](C)C1 ZINC001092803384 1096632091 /nfs/dbraw/zinc/63/20/91/1096632091.db2.gz VYLDLWFBXUVMNK-MEBBXXQBSA-N 0 1 324.852 3.255 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2csc(C)c2)[C@H](C)C1 ZINC001093055458 1096653399 /nfs/dbraw/zinc/65/33/99/1096653399.db2.gz PDHINKCBXARFJV-QMTHXVAHSA-N 0 1 312.866 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(C)cc(C)c2)[C@H](C)C1 ZINC001093157753 1096663994 /nfs/dbraw/zinc/66/39/94/1096663994.db2.gz CCWIFWSOSJNDTJ-RHSMWYFYSA-N 0 1 320.864 3.354 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2c(C)cccc2F)[C@H](C)C1 ZINC001093253967 1096675273 /nfs/dbraw/zinc/67/52/73/1096675273.db2.gz XKFQVSRUWYMEAF-TZMCWYRMSA-N 0 1 324.827 3.184 20 30 DGEDMN C[C@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2ccc(F)cc21 ZINC001226406262 1096682133 /nfs/dbraw/zinc/68/21/33/1096682133.db2.gz DAXFOTIVRVICCF-SNVBAGLBSA-N 0 1 304.397 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001277133104 1096706113 /nfs/dbraw/zinc/70/61/13/1096706113.db2.gz RJVMAMSXUZXLTE-UHFFFAOYSA-N 0 1 318.436 3.475 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2c(O)cccc2C#N)C1 ZINC001226521571 1096712743 /nfs/dbraw/zinc/71/27/43/1096712743.db2.gz ICKNUDWOMQEIOJ-GOEBONIOSA-N 0 1 323.396 3.263 20 30 DGEDMN C=CCCC(=O)NC1CCN(CCc2ccc(F)c(F)c2)CC1 ZINC001226527815 1096713308 /nfs/dbraw/zinc/71/33/08/1096713308.db2.gz PBWUCPIRZVJNFA-UHFFFAOYSA-N 0 1 322.399 3.054 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ncc(Br)c2occc21 ZINC001226578366 1096726777 /nfs/dbraw/zinc/72/67/77/1096726777.db2.gz UJALHVDTWVYHTM-ZCFIWIBFSA-N 0 1 324.130 3.188 20 30 DGEDMN CC(C)=C(C)CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001277141666 1096733973 /nfs/dbraw/zinc/73/39/73/1096733973.db2.gz RMJQFARCFXXTIW-UHFFFAOYSA-N 0 1 310.441 3.023 20 30 DGEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC001226624684 1096736980 /nfs/dbraw/zinc/73/69/80/1096736980.db2.gz CGULGSWPTODLML-OLZOCXBDSA-N 0 1 319.836 3.141 20 30 DGEDMN C[C@H](C#N)C(=O)NC1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC001226649941 1096741288 /nfs/dbraw/zinc/74/12/88/1096741288.db2.gz ZGVZGPRYTSJKSZ-CHWSQXEVSA-N 0 1 319.836 3.141 20 30 DGEDMN C[C@H]1CCC[C@]1(C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001277164944 1096811179 /nfs/dbraw/zinc/81/11/79/1096811179.db2.gz QRAFVIQQURTOGT-PXNSSMCTSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3c[nH]cc3C)CCC[C@@H]12 ZINC001094579712 1096858780 /nfs/dbraw/zinc/85/87/80/1096858780.db2.gz ZIDBKURYWWEFAF-NVXWUHKLSA-N 0 1 321.852 3.050 20 30 DGEDMN CC[C@@H](C)CC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001227069712 1096860424 /nfs/dbraw/zinc/86/04/24/1096860424.db2.gz XURLFQGYSCPZBM-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2cccc(C)c2)CC1 ZINC001277182984 1096876318 /nfs/dbraw/zinc/87/63/18/1096876318.db2.gz IPGIUKLCXBJKRH-AWEZNQCLSA-N 0 1 306.837 3.089 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4cc(F)c(F)cc4C3)CC[C@@H]2S1 ZINC001171481520 1096908094 /nfs/dbraw/zinc/90/80/94/1096908094.db2.gz DDNQXNWIBYUYQP-CJNGLKHVSA-N 0 1 321.392 3.096 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4cc(F)c(F)cc4C3)CCC2S1 ZINC001171481520 1096908100 /nfs/dbraw/zinc/90/81/00/1096908100.db2.gz DDNQXNWIBYUYQP-CJNGLKHVSA-N 0 1 321.392 3.096 20 30 DGEDMN Cc1ccc2nc(=O)[nH]c(O[C@@H](C#N)c3cccc(F)c3)c2c1 ZINC001227347625 1096918816 /nfs/dbraw/zinc/91/88/16/1096918816.db2.gz RDKAENAXFZGJEJ-HNNXBMFYSA-N 0 1 309.300 3.427 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1c(F)ccc(OC)c1Cl ZINC001227358256 1096920465 /nfs/dbraw/zinc/92/04/65/1096920465.db2.gz XTHLTAZTJMGPLH-VIFPVBQESA-N 0 1 300.713 3.476 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001227535710 1096946574 /nfs/dbraw/zinc/94/65/74/1096946574.db2.gz CUQJAMWNHPCMPV-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@H](N[C@@H]1CC(=O)N(C(=O)OC(C)(C)C)C1)c1ccccc1 ZINC001171703102 1096951901 /nfs/dbraw/zinc/95/19/01/1096951901.db2.gz YHILJRZTLLELHL-HUUCEWRRSA-N 0 1 316.401 3.039 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Oc1[nH]c(=S)nnc1C ZINC001227601273 1096957560 /nfs/dbraw/zinc/95/75/60/1096957560.db2.gz JHNKUXUNFCBJMF-NSHDSACASA-N 0 1 303.387 3.174 20 30 DGEDMN C[NH+](C)CC[C@H](Oc1ccc([O-])c(C#N)c1C#N)c1ccccc1 ZINC001227617187 1096962602 /nfs/dbraw/zinc/96/26/02/1096962602.db2.gz AORPYQOZSQSEDW-SFHVURJKSA-N 0 1 321.380 3.207 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](CC)C2CCC(C)CC2)CC1 ZINC001227774942 1096986927 /nfs/dbraw/zinc/98/69/27/1096986927.db2.gz PIQKYUVZLWZGKX-FAFZWHIHSA-N 0 1 318.505 3.443 20 30 DGEDMN CCCCCCCCCC(=O)NCCCNCc1ncccn1 ZINC001171865106 1096994628 /nfs/dbraw/zinc/99/46/28/1096994628.db2.gz RXTUYDCANMUKGF-UHFFFAOYSA-N 0 1 320.481 3.213 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(F)c(OC(F)(F)F)c1 ZINC001227869769 1097003822 /nfs/dbraw/zinc/00/38/22/1097003822.db2.gz BFBJJLXCMLZVQB-ZCFIWIBFSA-N 0 1 306.211 3.322 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(C(F)(F)F)ccc1OC ZINC001227888039 1097007393 /nfs/dbraw/zinc/00/73/93/1097007393.db2.gz JWEDAGUNPRHHTO-SSDOTTSWSA-N 0 1 302.248 3.312 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](CC)c2ccc(F)cc2)CC1 ZINC001277259929 1097028553 /nfs/dbraw/zinc/02/85/53/1097028553.db2.gz UIQRMUIEHLZVGA-HNNXBMFYSA-N 0 1 324.827 3.310 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228122974 1097055550 /nfs/dbraw/zinc/05/55/50/1097055550.db2.gz LBJFYLURUACRJH-KBRIMQKVSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@H]([C@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283827 1097086489 /nfs/dbraw/zinc/08/64/89/1097086489.db2.gz HHPNUGKELKJJRL-DLBZAZTESA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283827 1097086491 /nfs/dbraw/zinc/08/64/91/1097086491.db2.gz HHPNUGKELKJJRL-DLBZAZTESA-N 0 1 322.880 3.101 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)NCC(=O)c1cc(F)cc(F)c1 ZINC001172308193 1097096225 /nfs/dbraw/zinc/09/62/25/1097096225.db2.gz JBDVMUWXEYPFNT-GFCCVEGCSA-N 0 1 314.335 3.240 20 30 DGEDMN COC(=O)c1ccc2c(c1)CN([C@H](C)Cc1ccc(C#N)cc1)C2 ZINC001172311905 1097097588 /nfs/dbraw/zinc/09/75/88/1097097588.db2.gz CFYZYAPSGJFZSE-CQSZACIVSA-N 0 1 320.392 3.292 20 30 DGEDMN Cc1cccc2c1[C@@H](N[C@@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172292008 1097106672 /nfs/dbraw/zinc/10/66/72/1097106672.db2.gz BXNJYJMERWLGEO-SCLBCKFNSA-N 0 1 305.381 3.081 20 30 DGEDMN CC(C)(C)N1CCC(Oc2cccc3c2C(=O)C=CC3=O)CC1 ZINC001228473106 1097126190 /nfs/dbraw/zinc/12/61/90/1097126190.db2.gz ONJVAXSDIKGCFK-UHFFFAOYSA-N 0 1 313.397 3.264 20 30 DGEDMN C=C[C@H](Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001228609636 1097152230 /nfs/dbraw/zinc/15/22/30/1097152230.db2.gz FZXQMPLLCLKMIM-LBPRGKRZSA-N 0 1 313.313 3.274 20 30 DGEDMN CC#CCCCC(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228717037 1097175579 /nfs/dbraw/zinc/17/55/79/1097175579.db2.gz CMZIOMWSVDPKRN-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC001228747496 1097181418 /nfs/dbraw/zinc/18/14/18/1097181418.db2.gz BUHCALMEAHOGJQ-HUUCEWRRSA-N 0 1 318.436 3.365 20 30 DGEDMN Cc1n[nH]cc1-c1nc(-c2ccc(C#N)c3ccccc23)no1 ZINC001212677740 1097208195 /nfs/dbraw/zinc/20/81/95/1097208195.db2.gz OHOOJUMDSYSEHP-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN O=C1C=C2CN([C@H]3Cc4ccc(Cl)cc4C3)CCC2S1 ZINC001172715054 1097229914 /nfs/dbraw/zinc/22/99/14/1097229914.db2.gz SWYVJSISUBJIGL-GJZGRUSLSA-N 0 1 305.830 3.081 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001229049187 1097245555 /nfs/dbraw/zinc/24/55/55/1097245555.db2.gz VHPJWJLWMLYTRJ-QGZVFWFLSA-N 0 1 321.490 3.134 20 30 DGEDMN C#C[C@H](Oc1ccc2c(c1)C(=O)c1ccc(O)cc1C2=O)C(C)C ZINC001229190745 1097274807 /nfs/dbraw/zinc/27/48/07/1097274807.db2.gz NZRFTPYTXNOQBS-SFHVURJKSA-N 0 1 320.344 3.204 20 30 DGEDMN C#CCN1CCN([C@@H](C)CSc2ccc(Cl)cc2)CC1 ZINC001172881954 1097275654 /nfs/dbraw/zinc/27/56/54/1097275654.db2.gz MHLYFJFZDIVHMN-AWEZNQCLSA-N 0 1 308.878 3.071 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(Br)cc(C#N)c1 ZINC001229282804 1097288844 /nfs/dbraw/zinc/28/88/44/1097288844.db2.gz IVWKMESPOJSQQS-GFCCVEGCSA-N 0 1 322.158 3.309 20 30 DGEDMN C/C(=N\O)c1ccccc1OC[C@H]1COc2cscc2O1 ZINC001229738272 1097374477 /nfs/dbraw/zinc/37/44/77/1097374477.db2.gz IGBGFGFOWKKZSN-YAFRSCOQSA-N 0 1 305.355 3.165 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CC[C@H](CC)C1)CC2 ZINC001173274786 1097392023 /nfs/dbraw/zinc/39/20/23/1097392023.db2.gz FVGFSOFOJASHGC-LSDHHAIUSA-N 0 1 303.450 3.060 20 30 DGEDMN C=CCOC1CCC([N@H+]2CCc3nc(C4CC4)ncc3C2)CC1 ZINC001173326783 1097418507 /nfs/dbraw/zinc/41/85/07/1097418507.db2.gz QOHFBTPLDGIYJN-UHFFFAOYSA-N 0 1 313.445 3.226 20 30 DGEDMN C=CCOC1CCC(N2CCc3nc(C4CC4)ncc3C2)CC1 ZINC001173326783 1097418510 /nfs/dbraw/zinc/41/85/10/1097418510.db2.gz QOHFBTPLDGIYJN-UHFFFAOYSA-N 0 1 313.445 3.226 20 30 DGEDMN C=CCOC1CCC(NC2(c3cccc(OC)c3)COC2)CC1 ZINC001173316308 1097427694 /nfs/dbraw/zinc/42/76/94/1097427694.db2.gz FOIKDIVBEOOQRN-UHFFFAOYSA-N 0 1 317.429 3.024 20 30 DGEDMN CCOCc1ncn2c1CN(C1CCC(C)(C#N)CC1)CCC2 ZINC001173595210 1097466903 /nfs/dbraw/zinc/46/69/03/1097466903.db2.gz UYVWZHYOLADBMC-UHFFFAOYSA-N 0 1 316.449 3.098 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(F)c(C(C)=O)cc1OC ZINC001230274263 1097468132 /nfs/dbraw/zinc/46/81/32/1097468132.db2.gz QXHZWTJKRQOMRG-LBPRGKRZSA-N 0 1 308.305 3.025 20 30 DGEDMN CC1(C#N)CCC(N2CCO[C@H](COc3ccccc3)C2)CC1 ZINC001173599044 1097469392 /nfs/dbraw/zinc/46/93/92/1097469392.db2.gz YLCFOUOXSGXCQN-AVAKVYKDSA-N 0 1 314.429 3.239 20 30 DGEDMN CC#CCN1CCC(NC(=O)C(CC(C)C)CC(C)C)CC1 ZINC001230384706 1097484561 /nfs/dbraw/zinc/48/45/61/1097484561.db2.gz PYIILVDQSLWQAR-UHFFFAOYSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCC1(C(=O)NC2CCN(C[C@H](F)CC)CC2)CCCC1 ZINC001230475969 1097495086 /nfs/dbraw/zinc/49/50/86/1097495086.db2.gz XOZHURRMYMBNDB-OAHLLOKOSA-N 0 1 310.457 3.452 20 30 DGEDMN CN1CCN(c2ccc(Nc3cccc(F)c3)cc2C#N)CC1 ZINC001173836756 1097529354 /nfs/dbraw/zinc/52/93/54/1097529354.db2.gz YXFHXZUIJPVWTP-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=CCN(CCCNC(=O)/C=C/C(C)(C)C)Cc1cccnc1 ZINC001230716180 1097562817 /nfs/dbraw/zinc/56/28/17/1097562817.db2.gz DKMULNKUJADQJG-MDZDMXLPSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCN(CCCNC(=O)CCCC1CC1)Cc1cccnc1 ZINC001230820220 1097597605 /nfs/dbraw/zinc/59/76/05/1097597605.db2.gz XVTRFFOQJYEFIP-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN COc1ccc2nc(=O)[nH]c(O[C@H](C)c3ccccc3C#N)c2c1 ZINC001230862212 1097608503 /nfs/dbraw/zinc/60/85/03/1097608503.db2.gz MUGJRWNJJJKAJV-LLVKDONJSA-N 0 1 321.336 3.356 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](Oc2nc(Cl)nc3[nH]ncc32)C(C)(C)O1 ZINC001231047391 1097655117 /nfs/dbraw/zinc/65/51/17/1097655117.db2.gz RKSJGYFBOCWUBK-BONVTDFDSA-N 0 1 322.796 3.287 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CCC(C)C)C2)CC1 ZINC001277482729 1097657898 /nfs/dbraw/zinc/65/78/98/1097657898.db2.gz MJKWDBGDZPJFND-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC1=CCCCC1 ZINC001231094583 1097666774 /nfs/dbraw/zinc/66/67/74/1097666774.db2.gz HOAPPMDADVAGET-SJLPKXTDSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CC1=CCCCC1 ZINC001231094583 1097666777 /nfs/dbraw/zinc/66/67/77/1097666777.db2.gz HOAPPMDADVAGET-SJLPKXTDSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001231170823 1097686866 /nfs/dbraw/zinc/68/68/66/1097686866.db2.gz UVRCLDGSHCLFEN-SFHVURJKSA-N 0 1 300.446 3.302 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001231170822 1097687017 /nfs/dbraw/zinc/68/70/17/1097687017.db2.gz UVRCLDGSHCLFEN-GOSISDBHSA-N 0 1 300.446 3.302 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)C(=O)C(C)(C)C ZINC001231332934 1097724124 /nfs/dbraw/zinc/72/41/24/1097724124.db2.gz BACXIGQKRBYCFF-OAHLLOKOSA-N 0 1 310.482 3.105 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398890 1097739669 /nfs/dbraw/zinc/73/96/69/1097739669.db2.gz RYZUSZCEWFPIOW-INIZCTEOSA-N 0 1 321.465 3.408 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc(CC)s2)C1 ZINC001231503721 1097766658 /nfs/dbraw/zinc/76/66/58/1097766658.db2.gz MDIFHNYMGJMKIN-ZDUSSCGKSA-N 0 1 308.447 3.187 20 30 DGEDMN COC(CN(C)Cc1ccccc1C#Cc1ccccc1)OC ZINC001231578446 1097783097 /nfs/dbraw/zinc/78/30/97/1097783097.db2.gz ODGPLFQRULHYDD-UHFFFAOYSA-N 0 1 309.409 3.137 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1n[nH]c2cc(Br)ccc21 ZINC001231618042 1097793289 /nfs/dbraw/zinc/79/32/89/1097793289.db2.gz XDCYGPCIBQQHDT-LLVKDONJSA-N 0 1 319.206 3.203 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCN[C@@H](C)c1csnn1 ZINC001174682011 1097799538 /nfs/dbraw/zinc/79/95/38/1097799538.db2.gz IKWUGZZXSVCASL-STQMWFEESA-N 0 1 324.494 3.076 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCNCc1cscn1 ZINC001174684039 1097800246 /nfs/dbraw/zinc/80/02/46/1097800246.db2.gz XBVBTKWSIHNYKH-CYBMUJFWSA-N 0 1 309.479 3.120 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3cccc(OCC)c3)CC2)c1 ZINC001231661761 1097809011 /nfs/dbraw/zinc/80/90/11/1097809011.db2.gz ZAYSOUXCZUKRLY-UHFFFAOYSA-N 0 1 320.436 3.389 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CCCCNCc1cscn1 ZINC001174798095 1097856372 /nfs/dbraw/zinc/85/63/72/1097856372.db2.gz HIUKLEVKJIGMOO-OAHLLOKOSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001174848482 1097866738 /nfs/dbraw/zinc/86/67/38/1097866738.db2.gz CHFNMQQRHIRYKL-HUUCEWRRSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@H](C)c1ncccn1 ZINC001174978358 1097900528 /nfs/dbraw/zinc/90/05/28/1097900528.db2.gz LATHTVOARCJACX-HZPDHXFCSA-N 0 1 318.465 3.159 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1ncccn1 ZINC001174978357 1097900671 /nfs/dbraw/zinc/90/06/71/1097900671.db2.gz LATHTVOARCJACX-HOTGVXAUSA-N 0 1 318.465 3.159 20 30 DGEDMN COc1ccnc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)c1 ZINC001231968606 1097903684 /nfs/dbraw/zinc/90/36/84/1097903684.db2.gz OIMJFKHBTJTTTJ-LJQANCHMSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001175083186 1097942125 /nfs/dbraw/zinc/94/21/25/1097942125.db2.gz XBPDAIQQSXOBOA-KSSFIOAISA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001277670559 1098052940 /nfs/dbraw/zinc/05/29/40/1098052940.db2.gz FBAUOWHAMJYJCL-MRXNPFEDSA-N 0 1 300.446 3.289 20 30 DGEDMN CN1CCC=C(Nc2cc(Br)cc(F)c2C#N)C1 ZINC001175582626 1098088623 /nfs/dbraw/zinc/08/86/23/1098088623.db2.gz IPVVQRMNIBELJI-UHFFFAOYSA-N 0 1 310.170 3.091 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccccc2F)C1 ZINC001277691487 1098110560 /nfs/dbraw/zinc/11/05/60/1098110560.db2.gz HDUYFHZNSRDUSV-OAHLLOKOSA-N 0 1 318.436 3.256 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(F)c(Cl)cc2Cl)CCC1=O ZINC001232701636 1098117951 /nfs/dbraw/zinc/11/79/51/1098117951.db2.gz ZVZBQQOPTQYHTF-SECBINFHSA-N 0 1 301.148 3.047 20 30 DGEDMN Cn1cc2cc(Nc3sc4c(c3C#N)CCN(C)C4)ccc2n1 ZINC001175616740 1098122486 /nfs/dbraw/zinc/12/24/86/1098122486.db2.gz PUNAICDOVGLDQS-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN COC(=O)c1cc(Nc2ccc3c(C)[nH]nc3c2)ccc1C#N ZINC001175666494 1098131434 /nfs/dbraw/zinc/13/14/34/1098131434.db2.gz XEAAGJDCYMDTHS-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CC12CCCC2 ZINC001277716613 1098140463 /nfs/dbraw/zinc/14/04/63/1098140463.db2.gz ADLBHTRELYGVGF-HUUCEWRRSA-N 0 1 310.869 3.146 20 30 DGEDMN CCCc1noc([C@@H]2CCCN(Cc3ccc(C#N)s3)C2)n1 ZINC001232844890 1098151437 /nfs/dbraw/zinc/15/14/37/1098151437.db2.gz AUPVUXLQYJQBED-GFCCVEGCSA-N 0 1 316.430 3.335 20 30 DGEDMN Cc1cccc(O[C@H]2CCCN(Cc3ccc(C#N)s3)C2)n1 ZINC001232844981 1098152159 /nfs/dbraw/zinc/15/21/59/1098152159.db2.gz HGNOLAMSRHWKEX-AWEZNQCLSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1ccc(CN2CCN(CCc3ccccc3)CC2)c(C#N)c1 ZINC001232966877 1098185096 /nfs/dbraw/zinc/18/50/96/1098185096.db2.gz YHVUWDLUVDDKCP-UHFFFAOYSA-N 0 1 319.452 3.227 20 30 DGEDMN CCc1noc([C@@H]2CCCN(Cc3ccc(C)cc3C#N)C2)n1 ZINC001232967076 1098185200 /nfs/dbraw/zinc/18/52/00/1098185200.db2.gz AIGYSLGCFQLYGJ-OAHLLOKOSA-N 0 1 310.401 3.192 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2ccc(C)cc2C#N)C1 ZINC001232967517 1098186409 /nfs/dbraw/zinc/18/64/09/1098186409.db2.gz OYNRVCOFWGPUBC-SFHVURJKSA-N 0 1 300.402 3.032 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3cc(C#N)ccn3)C2)[nH]n1 ZINC001175935604 1098210675 /nfs/dbraw/zinc/21/06/75/1098210675.db2.gz OSWZHWAUCLUMBA-OAHLLOKOSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3cc(C#N)ccn3)C2)n[nH]1 ZINC001175935604 1098210680 /nfs/dbraw/zinc/21/06/80/1098210680.db2.gz OSWZHWAUCLUMBA-OAHLLOKOSA-N 0 1 309.417 3.179 20 30 DGEDMN C#Cc1cccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001175975744 1098216273 /nfs/dbraw/zinc/21/62/73/1098216273.db2.gz UAKBYRAVDABZDV-UHFFFAOYSA-N 0 1 316.408 3.035 20 30 DGEDMN N#Cc1cc(OC(F)(F)F)ccc1Nc1ccc2nn[nH]c2c1 ZINC001176005040 1098229484 /nfs/dbraw/zinc/22/94/84/1098229484.db2.gz PJEZMKNDRXKQRD-UHFFFAOYSA-N 0 1 319.246 3.472 20 30 DGEDMN N#Cc1cc(OC(F)(F)F)ccc1Nc1ccc2n[nH]nc2c1 ZINC001176005040 1098229491 /nfs/dbraw/zinc/22/94/91/1098229491.db2.gz PJEZMKNDRXKQRD-UHFFFAOYSA-N 0 1 319.246 3.472 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1cnc(C)c(C)c1)C2 ZINC001233163995 1098240879 /nfs/dbraw/zinc/24/08/79/1098240879.db2.gz OVVFWGAAGHJNAD-OALUTQOASA-N 0 1 316.445 3.025 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)c1 ZINC001233387838 1098310370 /nfs/dbraw/zinc/31/03/70/1098310370.db2.gz ASDNTVICIXSPGT-QGZVFWFLSA-N 0 1 323.396 3.011 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@H]2COCc2ccccc2)c([O-])c1 ZINC001233386486 1098310891 /nfs/dbraw/zinc/31/08/91/1098310891.db2.gz VWBYJOROSNMKIJ-SFHVURJKSA-N 0 1 308.381 3.055 20 30 DGEDMN N#Cc1cc(Nc2ccc(CCN3CCOCC3)cc2)cs1 ZINC001176382591 1098325272 /nfs/dbraw/zinc/32/52/72/1098325272.db2.gz QYPZOZFIWZMJHV-UHFFFAOYSA-N 0 1 313.426 3.238 20 30 DGEDMN C#Cc1ccc(Nc2ccc(CCN3CCOCC3)cc2)cc1F ZINC001176384600 1098326449 /nfs/dbraw/zinc/32/64/49/1098326449.db2.gz QUTIQIJVWBSSRB-UHFFFAOYSA-N 0 1 324.399 3.425 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(NC(=O)OC(C)(C)C)nc1 ZINC001233494056 1098350873 /nfs/dbraw/zinc/35/08/73/1098350873.db2.gz KEMWKDMOQYKJNF-VIFPVBQESA-N 0 1 320.345 3.027 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)NCCCNCc1cnon1 ZINC001176707086 1098422387 /nfs/dbraw/zinc/42/23/87/1098422387.db2.gz QEZNTRKTPIABTP-OAHLLOKOSA-N 0 1 324.469 3.052 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233992557 1098472305 /nfs/dbraw/zinc/47/23/05/1098472305.db2.gz XZGROIQSVXGPFC-INIZCTEOSA-N 0 1 305.466 3.440 20 30 DGEDMN CCCCC(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001234041564 1098484045 /nfs/dbraw/zinc/48/40/45/1098484045.db2.gz FVVGKDNVPZQWKR-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C#C[C@@H](C)Oc1cc2c(cc1O)occ(-c1ccc(O)cc1)c2=O ZINC001234133561 1098501826 /nfs/dbraw/zinc/50/18/26/1098501826.db2.gz ANPDCWLSTLXVKI-LLVKDONJSA-N 0 1 322.316 3.272 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](CC)OC1CCCCC1 ZINC001234295822 1098530772 /nfs/dbraw/zinc/53/07/72/1098530772.db2.gz HTCNORNYDPQDSI-FUHWJXTLSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001234510329 1098573690 /nfs/dbraw/zinc/57/36/90/1098573690.db2.gz XEMGHHOPCSVVBB-LJQANCHMSA-N 0 1 312.457 3.488 20 30 DGEDMN CC(C)[C@@H](Oc1[nH]c(=O)nc2cccc(C#N)c21)C(F)(F)F ZINC001234510824 1098575154 /nfs/dbraw/zinc/57/51/54/1098575154.db2.gz GLYANTQRBNHLQW-LLVKDONJSA-N 0 1 311.263 3.173 20 30 DGEDMN Cc1nc(O[C@@H](C)CC(C)(C)S)c(Br)c(=O)[nH]1 ZINC001234567296 1098604229 /nfs/dbraw/zinc/60/42/29/1098604229.db2.gz GCHXANSDKXNIDQ-LURJTMIESA-N 0 1 321.240 3.119 20 30 DGEDMN O=C(C#Cc1ccccn1)Nc1c(Cl)ccc(O)c1Cl ZINC001177802602 1098619741 /nfs/dbraw/zinc/61/97/41/1098619741.db2.gz MULYCHUHPDMQSF-UHFFFAOYSA-N 0 1 307.136 3.084 20 30 DGEDMN Cc1ccc(CCNC(=O)C(C#N)Cc2cccs2)c(C)c1 ZINC001177916836 1098647981 /nfs/dbraw/zinc/64/79/81/1098647981.db2.gz LBABISUUXCILQT-INIZCTEOSA-N 0 1 312.438 3.406 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cncc2ccccc21 ZINC001177917986 1098648025 /nfs/dbraw/zinc/64/80/25/1098648025.db2.gz OHGQVYPCPHXJIL-CQSZACIVSA-N 0 1 321.405 3.295 20 30 DGEDMN C[C@H](NC(=O)C(C#N)Cc1cccs1)c1cccc(C#N)c1 ZINC001177916425 1098648064 /nfs/dbraw/zinc/64/80/64/1098648064.db2.gz WCNOKLIXGVNGOM-WFASDCNBSA-N 0 1 309.394 3.179 20 30 DGEDMN Cn1c(CNC(=O)C(C#N)Cc2cccs2)cc2ccccc21 ZINC001177917572 1098648698 /nfs/dbraw/zinc/64/86/98/1098648698.db2.gz VBWGXUYKTQLNRR-AWEZNQCLSA-N 0 1 323.421 3.238 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@H](C)c1csnn1 ZINC001178596728 1098880950 /nfs/dbraw/zinc/88/09/50/1098880950.db2.gz XLXMQDFPAUYGPK-CQSZACIVSA-N 0 1 324.494 3.222 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1cscn1 ZINC001178599584 1098883545 /nfs/dbraw/zinc/88/35/45/1098883545.db2.gz YRHXNKXLNCIADN-UHFFFAOYSA-N 0 1 309.479 3.266 20 30 DGEDMN CCCCN(CC#N)Cc1cc(F)cc(Br)c1O ZINC001235304544 1098950622 /nfs/dbraw/zinc/95/06/22/1098950622.db2.gz YVIRVWCVAGNCNH-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1cccs1 ZINC001277940886 1098985397 /nfs/dbraw/zinc/98/53/97/1098985397.db2.gz KMGGNAHOXMGEPJ-STQMWFEESA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)COCCCCCCCC ZINC001235378256 1098988164 /nfs/dbraw/zinc/98/81/64/1098988164.db2.gz YOZNKZFOIUCIBJ-SFHVURJKSA-N 0 1 324.509 3.472 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC[N@@H+]1Cc1ccccc1CC#N ZINC001235522367 1099071255 /nfs/dbraw/zinc/07/12/55/1099071255.db2.gz DWCOGFCCFAZBTI-GOSISDBHSA-N 0 1 319.452 3.459 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001179360535 1099083818 /nfs/dbraw/zinc/08/38/18/1099083818.db2.gz ZGCOXZRZFKUCHD-HPCSDQSLSA-N 0 1 317.260 3.078 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C/Cc1ccccc1 ZINC001235551567 1099087348 /nfs/dbraw/zinc/08/73/48/1099087348.db2.gz VGWCWFJNFANTTP-UCFODXPJSA-N 0 1 312.457 3.284 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1ccsc1 ZINC001235632126 1099102875 /nfs/dbraw/zinc/10/28/75/1099102875.db2.gz FJOZGUSOZVNPKE-INIZCTEOSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235651285 1099108764 /nfs/dbraw/zinc/10/87/64/1099108764.db2.gz HBKXPBXYLNYEOF-INIZCTEOSA-N 0 1 319.449 3.184 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCCCCNCc2cscn2)C1 ZINC001179533034 1099120823 /nfs/dbraw/zinc/12/08/23/1099120823.db2.gz GKCNWJGXPPPMAY-UHFFFAOYSA-N 0 1 321.490 3.266 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)CC(C)C)[C@H]1C ZINC001179907809 1099254868 /nfs/dbraw/zinc/25/48/68/1099254868.db2.gz FSSMKFJRGGLJLB-QEJZJMRPSA-N 0 1 300.874 3.390 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)OCc2ccccc2)[nH]1)CC1CC1 ZINC001180115671 1099318211 /nfs/dbraw/zinc/31/82/11/1099318211.db2.gz BOGFOWGIIJTGAG-UHFFFAOYSA-N 0 1 322.408 3.217 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@H](CC(N)=O)c1ccccc1Cl ZINC001180706923 1099495843 /nfs/dbraw/zinc/49/58/43/1099495843.db2.gz ARKQDTSRRZWSFB-SMDDNHRTSA-N 0 1 307.825 3.174 20 30 DGEDMN CC(C)C(=O)Nc1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1 ZINC001236257865 1099504321 /nfs/dbraw/zinc/50/43/21/1099504321.db2.gz OOSAQUMDJYLXQR-UHFFFAOYSA-N 0 1 305.341 3.091 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccccc2)[C@H]2CCC[NH2+]C2)c([O-])c1 ZINC001236272380 1099512913 /nfs/dbraw/zinc/51/29/13/1099512913.db2.gz XLSOYTFDRLPJSE-IBGZPJMESA-N 0 1 321.424 3.018 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1c(F)ccc(C)c1O ZINC001236393489 1099570785 /nfs/dbraw/zinc/57/07/85/1099570785.db2.gz VMCCNHVLMBLFSV-UHFFFAOYSA-N 0 1 302.305 3.065 20 30 DGEDMN N#Cc1nccnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236468888 1099628005 /nfs/dbraw/zinc/62/80/05/1099628005.db2.gz BIEUOHDMWSALKT-SJORKVTESA-N 0 1 302.381 3.169 20 30 DGEDMN Cc1[nH]c(NC(=O)c2cc(-c3ccccc3C)n[nH]2)c(C#N)c1C ZINC001187963678 1099634601 /nfs/dbraw/zinc/63/46/01/1099634601.db2.gz KZUYGRMWNOJADA-UHFFFAOYSA-N 0 1 319.368 3.454 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(C#N)cc3F)[nH]c-2n1 ZINC001236527676 1099661515 /nfs/dbraw/zinc/66/15/15/1099661515.db2.gz QQUKGVRRZPVILM-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN N#Cc1sccc1NC(=O)c1cc(-c2ccccc2F)n[nH]1 ZINC001188016551 1099666906 /nfs/dbraw/zinc/66/69/06/1099666906.db2.gz KFSRHWXPNFFCMN-UHFFFAOYSA-N 0 1 312.329 3.401 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3cc(C#N)ccc3OC)ccc-2n1 ZINC001236535866 1099669687 /nfs/dbraw/zinc/66/96/87/1099669687.db2.gz JNXMJBSUHNZIEH-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN N#Cc1cc(NC(=O)c2ccc(O)c(C(F)(F)F)c2)ccc1O ZINC001188587909 1099800804 /nfs/dbraw/zinc/80/08/04/1099800804.db2.gz XKKPRXSJCZOJII-UHFFFAOYSA-N 0 1 322.242 3.241 20 30 DGEDMN CC(C)(O)[C@@H]1CCCN1Cc1ccc(C#N)c(Br)c1 ZINC001236859458 1099839480 /nfs/dbraw/zinc/83/94/80/1099839480.db2.gz CGNAHQRWWOAINN-AWEZNQCLSA-N 0 1 323.234 3.056 20 30 DGEDMN C#C[C@H]1CCC[N@@H+](Cc2c([O-])cc(OC)cc2Br)C1 ZINC001237073829 1099956685 /nfs/dbraw/zinc/95/66/85/1099956685.db2.gz VJCKKRYFKBFVBU-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2c([O-])cc(OC)cc2Br)C1 ZINC001237073829 1099956693 /nfs/dbraw/zinc/95/66/93/1099956693.db2.gz VJCKKRYFKBFVBU-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN COc1ccc(C#N)c(C(=O)Nc2ccc3c(C)[nH]nc3c2)c1 ZINC001189095792 1099957205 /nfs/dbraw/zinc/95/72/05/1099957205.db2.gz HQYQEXVSMNMTMW-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2ccncc2)[nH]n1)C1CCCCC1 ZINC001189325132 1100025440 /nfs/dbraw/zinc/02/54/40/1100025440.db2.gz RQTSNADNDRMQKM-UHFFFAOYSA-N 0 1 310.401 3.433 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3ccc4cncnc4c3)sc2C1 ZINC001203703095 1100057673 /nfs/dbraw/zinc/05/76/73/1100057673.db2.gz VFOOYCJTJCQRTM-UHFFFAOYSA-N 0 1 321.409 3.294 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4cncnc4c3)sc2C1 ZINC001203703095 1100057681 /nfs/dbraw/zinc/05/76/81/1100057681.db2.gz VFOOYCJTJCQRTM-UHFFFAOYSA-N 0 1 321.409 3.294 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189459679 1100062289 /nfs/dbraw/zinc/06/22/89/1100062289.db2.gz FMWHKNRXFLHFGW-KGLIPLIRSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2c(C)cc(F)cc2C)C1 ZINC001237332648 1100081751 /nfs/dbraw/zinc/08/17/51/1100081751.db2.gz HYMLSDBFSNJPAH-MRXNPFEDSA-N 0 1 320.408 3.319 20 30 DGEDMN CCCCCCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(=O)C(C)(C)C)C2 ZINC001110413690 1100113433 /nfs/dbraw/zinc/11/34/33/1100113433.db2.gz COBNOYOPGFYODA-OAGGEKHMSA-N 0 1 322.493 3.294 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)CCC(C)(C)C)[C@@H]2C1 ZINC001189793685 1100133000 /nfs/dbraw/zinc/13/30/00/1100133000.db2.gz HAFHGEPURLWESW-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCC[N@H+](Cc1c(C)cccc1O)C2 ZINC001237488511 1100141299 /nfs/dbraw/zinc/14/12/99/1100141299.db2.gz MPEPTAPJIXFPMS-LPHOPBHVSA-N 0 1 317.429 3.027 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@@]23C[C@@H]2CCN3CC(C)(C)C)c1 ZINC001278106743 1100149881 /nfs/dbraw/zinc/14/98/81/1100149881.db2.gz NISYXKURPFDKTE-HNAYVOBHSA-N 0 1 311.429 3.316 20 30 DGEDMN CC(C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1)=C1CCC1 ZINC001190025205 1100185067 /nfs/dbraw/zinc/18/50/67/1100185067.db2.gz PCMOTJRJMQPUSJ-HXUWFJFHSA-N 0 1 322.452 3.071 20 30 DGEDMN CN(CCc1ccccc1F)Cc1cn2cc(C#N)ccc2n1 ZINC001237618542 1100189636 /nfs/dbraw/zinc/18/96/36/1100189636.db2.gz SNZUQFDLBRWOOO-UHFFFAOYSA-N 0 1 308.360 3.020 20 30 DGEDMN C#CCOc1ccc(CN2CCc3ccccc3C2)cc1OC ZINC000077252911 1100200743 /nfs/dbraw/zinc/20/07/43/1100200743.db2.gz PXGJLKGBAGJFMM-UHFFFAOYSA-N 0 1 307.393 3.266 20 30 DGEDMN CCc1[nH]ncc1C(=O)Nc1ccccc1-c1ccc(C#N)cn1 ZINC001190142488 1100205798 /nfs/dbraw/zinc/20/57/98/1100205798.db2.gz KAILZSCKRUEUGD-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001278136767 1100227368 /nfs/dbraw/zinc/22/73/68/1100227368.db2.gz BQSOZOJTWGNMSB-HZPDHXFCSA-N 0 1 310.457 3.097 20 30 DGEDMN N#Cc1sccc1NC(=O)c1cnc(-c2ccccc2)nc1O ZINC001190259038 1100229210 /nfs/dbraw/zinc/22/92/10/1100229210.db2.gz WUIGWYMHUZJHBG-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC001237753557 1100239578 /nfs/dbraw/zinc/23/95/78/1100239578.db2.gz LSQJMJGJAAOFMN-HNNXBMFYSA-N 0 1 320.820 3.377 20 30 DGEDMN C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)CCCCC(C)(C)C)C1 ZINC001190335724 1100247204 /nfs/dbraw/zinc/24/72/04/1100247204.db2.gz AJXJMEICSSJPJD-KRWDZBQOSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](N(C)C[C@@H](F)CC)C1 ZINC001190354499 1100253491 /nfs/dbraw/zinc/25/34/91/1100253491.db2.gz KNNRBGKHFVNELC-QWHCGFSZSA-N 0 1 304.837 3.046 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cncc(C)c2)C1 ZINC001190398559 1100260145 /nfs/dbraw/zinc/26/01/45/1100260145.db2.gz XMMVFODUYJPQNP-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001190398559 1100260152 /nfs/dbraw/zinc/26/01/52/1100260152.db2.gz XMMVFODUYJPQNP-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC001190421720 1100270715 /nfs/dbraw/zinc/27/07/15/1100270715.db2.gz BKCMTUYACIZSMZ-HNNXBMFYSA-N 0 1 322.399 3.354 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2c(O)cccc2C(F)(F)F)CC1 ZINC001190814896 1100352324 /nfs/dbraw/zinc/35/23/24/1100352324.db2.gz RUIXETYUYSTROV-UHFFFAOYSA-N 0 1 321.320 3.261 20 30 DGEDMN N#Cc1cc(CN(CCO)Cc2ccccc2)ccc1Cl ZINC001238196167 1100388446 /nfs/dbraw/zinc/38/84/46/1100388446.db2.gz MWUIOWYUPKVNBL-UHFFFAOYSA-N 0 1 300.789 3.206 20 30 DGEDMN Cc1nnc([C@H]2CCCN(Cc3ccc(Cl)c(C#N)c3)C2)o1 ZINC001238199134 1100390541 /nfs/dbraw/zinc/39/05/41/1100390541.db2.gz QRGSGJRYGROWFX-ZDUSSCGKSA-N 0 1 316.792 3.283 20 30 DGEDMN C=CCN(C(=O)c1n[nH]cc1-c1ccccn1)C1CCCCC1 ZINC001191493794 1100473596 /nfs/dbraw/zinc/47/35/96/1100473596.db2.gz IFXUKGUFDHIJGO-UHFFFAOYSA-N 0 1 310.401 3.433 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001191577613 1100483476 /nfs/dbraw/zinc/48/34/76/1100483476.db2.gz VDEMCAAGJWHNKP-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN Cc1cc(OC(C)C)cc(C)c1CN1CCN(CCC#N)CC1 ZINC001238665055 1100496102 /nfs/dbraw/zinc/49/61/02/1100496102.db2.gz VXWGHAZHDIVLRM-UHFFFAOYSA-N 0 1 315.461 3.122 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001191911679 1100536704 /nfs/dbraw/zinc/53/67/04/1100536704.db2.gz ILPFZGVAWCVBMJ-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001191868613 1100536983 /nfs/dbraw/zinc/53/69/83/1100536983.db2.gz WUMYKOGCLIWUIK-IAGOWNOFSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC001191912007 1100539692 /nfs/dbraw/zinc/53/96/92/1100539692.db2.gz LWXMDUVYGKOUBI-HUUCEWRRSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2cccc(Cl)n2)C1 ZINC001192072105 1100572060 /nfs/dbraw/zinc/57/20/60/1100572060.db2.gz ANLLYPVDHZEKMV-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001192162746 1100580247 /nfs/dbraw/zinc/58/02/47/1100580247.db2.gz RGSLVHLYTLGXEG-TZMCWYRMSA-N 0 1 306.372 3.074 20 30 DGEDMN Cc1coc(NC(=O)c2nc(C(F)(F)F)cs2)c1C#N ZINC001192449960 1100624146 /nfs/dbraw/zinc/62/41/46/1100624146.db2.gz MPCBFDWGSJCYNG-UHFFFAOYSA-N 0 1 301.249 3.187 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2scnc2C)C1 ZINC001192586218 1100639114 /nfs/dbraw/zinc/63/91/14/1100639114.db2.gz JTCVBTBCWPSSHS-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC001192586218 1100639117 /nfs/dbraw/zinc/63/91/17/1100639117.db2.gz JTCVBTBCWPSSHS-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN N#Cc1c[nH]c2cc(NC(=O)c3ccc(O)c(F)c3F)ccc12 ZINC001192841531 1100670254 /nfs/dbraw/zinc/67/02/54/1100670254.db2.gz PRDZRHQEYPITRO-UHFFFAOYSA-N 0 1 313.263 3.276 20 30 DGEDMN N#CCc1cc(NC(=O)c2ccc(O)c(F)c2F)ccc1F ZINC001192841583 1100670323 /nfs/dbraw/zinc/67/03/23/1100670323.db2.gz RXJRFFYTWLHLPJ-UHFFFAOYSA-N 0 1 306.243 3.128 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc3ccsc32)C1 ZINC001193244897 1100720610 /nfs/dbraw/zinc/72/06/10/1100720610.db2.gz VBTYYXGZBLIXJC-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CCCCC)C(C)C)C1 ZINC001193340157 1100729454 /nfs/dbraw/zinc/72/94/54/1100729454.db2.gz ZNNXHTNNVOJBOI-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN COc1ccc([C@@H](C)N(C)C(=O)c2ccc(O)c(C#N)c2)cc1 ZINC001193505498 1100757140 /nfs/dbraw/zinc/75/71/40/1100757140.db2.gz ZGHIRAHVRSTFED-GFCCVEGCSA-N 0 1 310.353 3.106 20 30 DGEDMN COc1ccc2ccc(NC(=O)c3ccc(O)c(C#N)c3)cc2n1 ZINC001193512884 1100758551 /nfs/dbraw/zinc/75/85/51/1100758551.db2.gz UXYQNCZILVAMES-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)Nc2sc(C)c(C)c2C#N)[C@H]1C ZINC001193604794 1100781657 /nfs/dbraw/zinc/78/16/57/1100781657.db2.gz HFXPBDAHQBVFDX-WCQYABFASA-N 0 1 305.447 3.296 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccccc2C2CCC2)C1 ZINC001193744675 1100796811 /nfs/dbraw/zinc/79/68/11/1100796811.db2.gz CXAYGYITGFTSEE-QGZVFWFLSA-N 0 1 310.441 3.124 20 30 DGEDMN COC(=O)c1nc2ccc(-c3cc(F)c(C#N)c(F)c3)cc2[nH]1 ZINC001239920729 1100798142 /nfs/dbraw/zinc/79/81/42/1100798142.db2.gz MKTRWEYDGNQNLT-UHFFFAOYSA-N 0 1 313.263 3.166 20 30 DGEDMN COC(=O)c1cc2cc(-c3cc(F)c(C#N)c(F)c3)cnc2[nH]1 ZINC001239921115 1100798156 /nfs/dbraw/zinc/79/81/56/1100798156.db2.gz UAODHIZZEFTPDS-UHFFFAOYSA-N 0 1 313.263 3.166 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cncc(C#N)c2)c2ccccc12 ZINC001193833619 1100820511 /nfs/dbraw/zinc/82/05/11/1100820511.db2.gz NPCPSRXCFWKOSS-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1nc(-c2ccc(C)cc2)c(C(=O)Nc2nc[nH]c2C#N)s1 ZINC001193885493 1100823365 /nfs/dbraw/zinc/82/33/65/1100823365.db2.gz QGCRTNPREVBFGB-UHFFFAOYSA-N 0 1 323.381 3.274 20 30 DGEDMN C[C@H](C#N)c1ccc(-c2ccc(C(=O)N3CCNCC3)cc2)cc1 ZINC001240132155 1100874457 /nfs/dbraw/zinc/87/44/57/1100874457.db2.gz MPLIZBFYPNJISX-OAHLLOKOSA-N 0 1 319.408 3.026 20 30 DGEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(C(C)C)s2)C1 ZINC001194326916 1100914646 /nfs/dbraw/zinc/91/46/46/1100914646.db2.gz TYJGIJDZHCHNED-AWEZNQCLSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(C)C)s2)C1 ZINC001194326916 1100914653 /nfs/dbraw/zinc/91/46/53/1100914653.db2.gz TYJGIJDZHCHNED-AWEZNQCLSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001194337154 1100926607 /nfs/dbraw/zinc/92/66/07/1100926607.db2.gz PJNFTKPOTBFRLM-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN Cc1ccc(O)c(C(=O)Nc2sc3ccccc3c2C#N)n1 ZINC001195320323 1101121485 /nfs/dbraw/zinc/12/14/85/1101121485.db2.gz ZUOLMMGPVBXINN-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2c(C)cccc2Cl)cc1 ZINC001195317061 1101121707 /nfs/dbraw/zinc/12/17/07/1101121707.db2.gz MNNQDVXXUCVUCH-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC001195464696 1101135723 /nfs/dbraw/zinc/13/57/23/1101135723.db2.gz YXQPULRYDCELBV-LSDHHAIUSA-N 0 1 302.393 3.196 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(F)c(CC#N)c3)cc2)CC1 ZINC001240854729 1101158860 /nfs/dbraw/zinc/15/88/60/1101158860.db2.gz HZZBKPRWQLBZOO-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN CN1CCN(c2ccc(-c3ccccc3OCC#N)cc2)CC1 ZINC001240855034 1101160557 /nfs/dbraw/zinc/16/05/57/1101160557.db2.gz LSSDWINRALDUKS-UHFFFAOYSA-N 0 1 307.397 3.008 20 30 DGEDMN C#CCCCC(=O)N1CCCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC001195645600 1101169401 /nfs/dbraw/zinc/16/94/01/1101169401.db2.gz WZMLZHPKQYZJJX-INIZCTEOSA-N 0 1 316.420 3.225 20 30 DGEDMN N#Cc1ccc(C(=O)NCCc2c(F)cccc2Cl)c(O)c1 ZINC001195733381 1101182615 /nfs/dbraw/zinc/18/26/15/1101182615.db2.gz MXCDCGRAUSKKGA-UHFFFAOYSA-N 0 1 318.735 3.029 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(F)c3cnccc23)c(O)c1 ZINC001195759029 1101190671 /nfs/dbraw/zinc/19/06/71/1101190671.db2.gz VYXOUIZNMBBEOU-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN COc1ccc([C@@H](C)N(C)C(=O)c2ccc(C#N)cc2O)cc1 ZINC001195742022 1101196611 /nfs/dbraw/zinc/19/66/11/1101196611.db2.gz UQSOYLRRJJXIDR-GFCCVEGCSA-N 0 1 310.353 3.106 20 30 DGEDMN Cc1ccc(CN2CCCN(C(=O)C#CC(C)(C)C)CC2)cc1 ZINC001195785178 1101200901 /nfs/dbraw/zinc/20/09/01/1101200901.db2.gz FVISMRXVQPQJSL-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCN(Cc2cccnc2C)CC1 ZINC001195989943 1101238799 /nfs/dbraw/zinc/23/87/99/1101238799.db2.gz HVIMGOCJFMOCAF-UHFFFAOYSA-N 0 1 315.461 3.027 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2ccccc2Cl)CC1 ZINC001196085430 1101247765 /nfs/dbraw/zinc/24/77/65/1101247765.db2.gz LQOSWTPSKBDMIY-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C#CCC1(C(=O)N2CCCN(Cc3ccc(C)cc3)CC2)CCC1 ZINC001196138492 1101255391 /nfs/dbraw/zinc/25/53/91/1101255391.db2.gz OOESVWUBAIHLPS-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(C#N)c(C)cc2C)c(F)c1 ZINC001196386482 1101296300 /nfs/dbraw/zinc/29/63/00/1101296300.db2.gz BXPLVDUPGAMVGO-UHFFFAOYSA-N 0 1 318.373 3.423 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2cc(Cl)c(F)cc2O)cc1F ZINC001196477845 1101315390 /nfs/dbraw/zinc/31/53/90/1101315390.db2.gz IOAUPNAAHKRJFC-UHFFFAOYSA-N 0 1 322.698 3.125 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cc(Cl)ccc2C#N)ccc1O ZINC001196680100 1101355308 /nfs/dbraw/zinc/35/53/08/1101355308.db2.gz XULGOCWFSJXJGN-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196855040 1101418444 /nfs/dbraw/zinc/41/84/44/1101418444.db2.gz XLIJHCWPKXZJCV-ZWKOTPCHSA-N 0 1 314.473 3.488 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CO)c2cccc(C(F)(F)F)c2)cc1 ZINC001196927929 1101427002 /nfs/dbraw/zinc/42/70/02/1101427002.db2.gz XKLCFECLLSFUJV-INIZCTEOSA-N 0 1 320.314 3.400 20 30 DGEDMN C=CCCCC(=O)N1CCCN(Cc2cccc(OC)c2)CC1 ZINC001196984877 1101450691 /nfs/dbraw/zinc/45/06/91/1101450691.db2.gz UUJXTMFOINNOSK-UHFFFAOYSA-N 0 1 316.445 3.086 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccc(CF)cc3)CC2)cc1 ZINC001197032801 1101461650 /nfs/dbraw/zinc/46/16/50/1101461650.db2.gz SNYAEDAIXJTUKT-UHFFFAOYSA-N 0 1 309.388 3.350 20 30 DGEDMN CCCCCCC[N@H+]1CCCN(C(=O)c2ocnc2CC)CC1 ZINC001197101371 1101477002 /nfs/dbraw/zinc/47/70/02/1101477002.db2.gz IREUARYECGJDHB-UHFFFAOYSA-N 0 1 321.465 3.355 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@](C)(CC)CCC)C1 ZINC001197221157 1101501048 /nfs/dbraw/zinc/50/10/48/1101501048.db2.gz MTWVJLVMSLSGOZ-ZHALLVOQSA-N 0 1 324.509 3.111 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001491566010 1101565497 /nfs/dbraw/zinc/56/54/97/1101565497.db2.gz HHGYOXLGZPKFBS-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)CCCCCC)CC1 ZINC001197520071 1101579856 /nfs/dbraw/zinc/57/98/56/1101579856.db2.gz YCVDNNUNKIBHFY-SFHVURJKSA-N 0 1 324.509 3.330 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2cc(F)cc(F)c2O)c1 ZINC001197718313 1101638555 /nfs/dbraw/zinc/63/85/55/1101638555.db2.gz DUQOGIOVVMREMA-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C#CCC1(NCc2ccc([N+](=O)[O-])cc2Br)CCC1 ZINC001198033917 1101743293 /nfs/dbraw/zinc/74/32/93/1101743293.db2.gz PJULBNCTTBCDFN-UHFFFAOYSA-N 0 1 323.190 3.393 20 30 DGEDMN C=CCC1(C(=O)N2CCCN(CCOCC)CC2)CCCCC1 ZINC001198221466 1101781186 /nfs/dbraw/zinc/78/11/86/1101781186.db2.gz JYCFWUZQXXRNTI-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C#CCCN1CCCN(C(=O)C2(CC=C)CCCCC2)CC1 ZINC001198222156 1101781858 /nfs/dbraw/zinc/78/18/58/1101781858.db2.gz SXQYZCGRWZIEFD-UHFFFAOYSA-N 0 1 302.462 3.071 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC[N@H+](CCCF)CC1)c1ccccc1 ZINC001198190513 1101783849 /nfs/dbraw/zinc/78/38/49/1101783849.db2.gz JBRMJAFNHYEZMN-GOSISDBHSA-N 0 1 318.436 3.240 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)c1ccccc1)c1ccccc1OC ZINC001198499077 1101879480 /nfs/dbraw/zinc/87/94/80/1101879480.db2.gz GUDUJHMPCVTACR-GOSISDBHSA-N 0 1 324.424 3.332 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@@H](C)CCC)c1ccccc1OC ZINC001198594820 1101920442 /nfs/dbraw/zinc/92/04/42/1101920442.db2.gz CNUJYYLRDDJLNA-DOTOQJQBSA-N 0 1 318.461 3.454 20 30 DGEDMN COC(=O)c1cc(C#N)cnc1-c1cc(C(F)(F)F)ccc1O ZINC001242133077 1101930898 /nfs/dbraw/zinc/93/08/98/1101930898.db2.gz DYSGWPOLNFOUME-UHFFFAOYSA-N 0 1 322.242 3.131 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](C)C1CCC1)c1ccccc1OC ZINC001198766402 1101981464 /nfs/dbraw/zinc/98/14/64/1101981464.db2.gz BLCATRLREGTXOQ-WMLDXEAASA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H]1C[C@H]1CCC)c1ccccc1OC ZINC001198895209 1102014059 /nfs/dbraw/zinc/01/40/59/1102014059.db2.gz PGPQUTONMIVPSP-DJIMGWMZSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1Cc2ccccc2CN1CCCF ZINC001273814488 1102317558 /nfs/dbraw/zinc/31/75/58/1102317558.db2.gz OHWODZUAGATAMM-GOSISDBHSA-N 0 1 318.436 3.245 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C[C@@H](C)CC)C2 ZINC001273833168 1102361868 /nfs/dbraw/zinc/36/18/68/1102361868.db2.gz MANSSGRZFUDCEI-WMLDXEAASA-N 0 1 312.885 3.490 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc(C3CC3)s2)cc1C#N ZINC001201295004 1102547731 /nfs/dbraw/zinc/54/77/31/1102547731.db2.gz IFAJJLKXWVNYDP-UHFFFAOYSA-N 0 1 319.411 3.001 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)NC[C@@H]1CCCN1C ZINC001201839839 1102745518 /nfs/dbraw/zinc/74/55/18/1102745518.db2.gz YGPRUNDKEMSNIM-INIZCTEOSA-N 0 1 318.527 3.141 20 30 DGEDMN N#CC1(c2ccc(-c3cc(F)cc(F)c3O)nc2)CCOCC1 ZINC001243505404 1102761309 /nfs/dbraw/zinc/76/13/09/1102761309.db2.gz YIIFIUGBJVFMHJ-UHFFFAOYSA-N 0 1 316.307 3.304 20 30 DGEDMN COc1c(Cl)ccc(-c2cc3[nH]cnc3c(C#N)n2)c1F ZINC001243571892 1102815738 /nfs/dbraw/zinc/81/57/38/1102815738.db2.gz LTZTYMXJSVWRFA-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC1CCCCC1)C2 ZINC001111091872 1103043013 /nfs/dbraw/zinc/04/30/13/1103043013.db2.gz CAJKIEUACYQCJH-OWYHZJEWSA-N 0 1 316.489 3.338 20 30 DGEDMN N#C[C@@H]1CC=C(Nc2c(Cl)nc(Cl)cc2C(N)=O)CC1 ZINC001212857307 1103073526 /nfs/dbraw/zinc/07/35/26/1103073526.db2.gz FWZBTOJIZGPFHC-SSDOTTSWSA-N 0 1 311.172 3.107 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C[C@H]1C=CCC1)C2 ZINC001325612538 1103078391 /nfs/dbraw/zinc/07/83/91/1103078391.db2.gz LTQOJYHLNLMXQK-NXOAAHMSSA-N 0 1 322.880 3.454 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001488646999 1103081434 /nfs/dbraw/zinc/08/14/34/1103081434.db2.gz HRXIIEXJINFTHC-KRWDZBQOSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](C)c1cc(Br)ccc1F ZINC001325620173 1103085615 /nfs/dbraw/zinc/08/56/15/1103085615.db2.gz QUDXQFHAWIQPEN-ONGXEEELSA-N 0 1 302.187 3.440 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001488669318 1103098992 /nfs/dbraw/zinc/09/89/92/1103098992.db2.gz DAYAYTGFWYYGDV-FCEWJHQRSA-N 0 1 304.478 3.005 20 30 DGEDMN Cc1cc(OCc2nnc(-c3ccc(C#N)cc3)o2)cc(C)n1 ZINC001325774313 1103122362 /nfs/dbraw/zinc/12/23/62/1103122362.db2.gz SRLOLWCVLLCSMJ-UHFFFAOYSA-N 0 1 306.325 3.199 20 30 DGEDMN C=C[C@H](COC)[NH2+][C@H](CC)c1cc(Br)ccc1[O-] ZINC001325786919 1103124311 /nfs/dbraw/zinc/12/43/11/1103124311.db2.gz XYSHKZBVCRDCMI-DGCLKSJQSA-N 0 1 314.223 3.396 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001490843119 1103128424 /nfs/dbraw/zinc/12/84/24/1103128424.db2.gz CWPDMDSMCICCES-AWEZNQCLSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2CC=C(C)C)CCCCC1 ZINC001488700641 1103132537 /nfs/dbraw/zinc/13/25/37/1103132537.db2.gz YPPVYJFQVADSLJ-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc3ccccc3c1C)C2 ZINC001095779208 1103143099 /nfs/dbraw/zinc/14/30/99/1103143099.db2.gz QFYIMPHPXHLDQX-CCKFTAQKSA-N 0 1 321.424 3.064 20 30 DGEDMN CN1CCN(c2cccc(F)c2NC2=CC[C@@H](C#N)CC2)CC1 ZINC001212867415 1103143120 /nfs/dbraw/zinc/14/31/20/1103143120.db2.gz WOSRWIBBCYXVEN-CQSZACIVSA-N 0 1 314.408 3.197 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001488770805 1103148332 /nfs/dbraw/zinc/14/83/32/1103148332.db2.gz LMOKVEGNWSCBIH-VBQJREDUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](CC)c1ccccc1)C2 ZINC001111270307 1103151162 /nfs/dbraw/zinc/15/11/62/1103151162.db2.gz NIQATEYTRXRQGO-OWYHZJEWSA-N 0 1 312.457 3.478 20 30 DGEDMN COC(OC)c1c(/C=N/O)ccnc1-c1c(O)cccc1Cl ZINC001244034430 1103156144 /nfs/dbraw/zinc/15/61/44/1103156144.db2.gz INQOQXCNOIAJKU-QGMBQPNBSA-N 0 1 322.748 3.207 20 30 DGEDMN CN(C)/C=N/c1ccc(-c2cc(F)c(O)c(F)c2)cc1C#N ZINC001244096384 1103208351 /nfs/dbraw/zinc/20/83/51/1103208351.db2.gz IGXMICIEKPKHAO-AWQFTUOYSA-N 0 1 301.296 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C[C@@H]1C)CCN(CCF)CC2 ZINC001488973140 1103225576 /nfs/dbraw/zinc/22/55/76/1103225576.db2.gz AMTGVXAAAVIMJI-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN Cn1ccc(NN=C2CCc3c2c(Br)ccc3F)n1 ZINC001326242157 1103244376 /nfs/dbraw/zinc/24/43/76/1103244376.db2.gz PCOUJKICMHEFQT-UHFFFAOYSA-N 0 1 323.169 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1CCC1(C)C ZINC001489045845 1103246073 /nfs/dbraw/zinc/24/60/73/1103246073.db2.gz WQVQHKUVTHBDTO-VHDGCEQUSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CCC)c1ccccc1)C2 ZINC001111362001 1103246754 /nfs/dbraw/zinc/24/67/54/1103246754.db2.gz JDCHMGVJMLWYMD-WTGUMLROSA-N 0 1 324.468 3.315 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CN(CC=C)CC[C@@H]1c1ccccc1 ZINC001489090603 1103291541 /nfs/dbraw/zinc/29/15/41/1103291541.db2.gz LHSTXPBJIGXKIH-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C#N)cc3Cl)nc2)CC1 ZINC001244331134 1103367605 /nfs/dbraw/zinc/36/76/05/1103367605.db2.gz JLODMUINCWEZHQ-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(Cc3ccc(Cl)cc3)C[C@H]21 ZINC001114683570 1103386471 /nfs/dbraw/zinc/38/64/71/1103386471.db2.gz RCNRHMYEZFHZTG-ZSHCYNCHSA-N 0 1 318.848 3.099 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1COCCN1CC1CCCCCC1 ZINC001326653393 1103397565 /nfs/dbraw/zinc/39/75/65/1103397565.db2.gz AZDDTMVDNHPGSO-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1cc(-c2ccc(OC(F)(F)F)cc2O)nc(C2CC2)n1 ZINC001244465233 1103460482 /nfs/dbraw/zinc/46/04/82/1103460482.db2.gz SCGZCNLLKLASKF-UHFFFAOYSA-N 0 1 321.258 3.497 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110609740 1103479835 /nfs/dbraw/zinc/47/98/35/1103479835.db2.gz UILRFKMXEJDLBR-UPJWGTAASA-N 0 1 304.356 3.017 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1cc(C)no1 ZINC001276313182 1103484144 /nfs/dbraw/zinc/48/41/44/1103484144.db2.gz ZBQVKZWBKQVDGN-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CCOC(=O)c1cnc(-c2cc(C)c(O)c(Cl)c2)c(C#N)c1 ZINC001244537925 1103506489 /nfs/dbraw/zinc/50/64/89/1103506489.db2.gz PNCBHKURONZTKF-UHFFFAOYSA-N 0 1 316.744 3.464 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001110610826 1103510721 /nfs/dbraw/zinc/51/07/21/1103510721.db2.gz UAKAKWRTTNASHO-OAGGEKHMSA-N 0 1 304.459 3.326 20 30 DGEDMN CCc1nc([C@@H](C)ON=C(c2ccccc2)C(F)(F)F)n[nH]1 ZINC001327105736 1103525077 /nfs/dbraw/zinc/52/50/77/1103525077.db2.gz YFXFARMPPYWVDP-SECBINFHSA-N 0 1 312.295 3.411 20 30 DGEDMN CCc1n[nH]c([C@@H](C)ON=C(c2ccccc2)C(F)(F)F)n1 ZINC001327105736 1103525080 /nfs/dbraw/zinc/52/50/80/1103525080.db2.gz YFXFARMPPYWVDP-SECBINFHSA-N 0 1 312.295 3.411 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)c(F)c2)CC1 ZINC001327111069 1103529110 /nfs/dbraw/zinc/52/91/10/1103529110.db2.gz NUILOFXAILHRLT-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1CCC(F)(F)CC1 ZINC001490350214 1103557798 /nfs/dbraw/zinc/55/77/98/1103557798.db2.gz PTBQIXPRWMHIRF-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN CC[N@@H+](CCCNC(=O)c1ccccc1)Cc1ccccc1C#N ZINC001490310114 1103561852 /nfs/dbraw/zinc/56/18/52/1103561852.db2.gz GLBNGTMGCUPXEX-UHFFFAOYSA-N 0 1 321.424 3.200 20 30 DGEDMN CCN(CCCNC(=O)c1ccccc1)Cc1ccccc1C#N ZINC001490310114 1103561864 /nfs/dbraw/zinc/56/18/64/1103561864.db2.gz GLBNGTMGCUPXEX-UHFFFAOYSA-N 0 1 321.424 3.200 20 30 DGEDMN CCN(CCCNC(=O)[C@@H](C)C#N)[C@H](C)c1cc(F)ccc1F ZINC001490317199 1103567391 /nfs/dbraw/zinc/56/73/91/1103567391.db2.gz CVAXJHNOFFXTCS-QWHCGFSZSA-N 0 1 323.387 3.014 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001327200217 1103582225 /nfs/dbraw/zinc/58/22/25/1103582225.db2.gz JQGNOEUSHMEOOM-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H](C)C1CCCC1 ZINC001490355866 1103595834 /nfs/dbraw/zinc/59/58/34/1103595834.db2.gz YPTOMQJRSRFWKD-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001490445984 1103606271 /nfs/dbraw/zinc/60/62/71/1103606271.db2.gz UPNIPEFGUYHIQE-KRWDZBQOSA-N 0 1 318.486 3.412 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C2(CC=C)CCCCC2)CC1 ZINC001490421677 1103619476 /nfs/dbraw/zinc/61/94/76/1103619476.db2.gz VVCDDVVPKVBVFX-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CCN(CC#CC)CC2)CCCC1 ZINC001490425409 1103620683 /nfs/dbraw/zinc/62/06/83/1103620683.db2.gz TUZVGLNDHXVJSO-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[N@H+]([C@@H](C)c2cccc(F)c2)C1 ZINC001490547538 1103642339 /nfs/dbraw/zinc/64/23/39/1103642339.db2.gz BBHAIAQMFUBCNY-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001490547538 1103642352 /nfs/dbraw/zinc/64/23/52/1103642352.db2.gz BBHAIAQMFUBCNY-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)C(C)C ZINC001327512958 1103667428 /nfs/dbraw/zinc/66/74/28/1103667428.db2.gz OPNGWMYOAGMRBF-LPHOPBHVSA-N 0 1 314.473 3.398 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccc(Cl)o2)CC1 ZINC001490607381 1103678800 /nfs/dbraw/zinc/67/88/00/1103678800.db2.gz APUARHASUFYJGZ-UHFFFAOYSA-N 0 1 322.836 3.273 20 30 DGEDMN C=CCn1ccc2c1ncnc2-c1cccc(CN(C)C)c1F ZINC001244864608 1103730492 /nfs/dbraw/zinc/73/04/92/1103730492.db2.gz SYEPMBDDXNIMGH-UHFFFAOYSA-N 0 1 310.376 3.485 20 30 DGEDMN COC(=O)c1cc(C#N)cc(-c2cccc(CN(C)C)c2F)c1 ZINC001244865011 1103731189 /nfs/dbraw/zinc/73/11/89/1103731189.db2.gz RRWANIQIIPATAI-UHFFFAOYSA-N 0 1 312.344 3.213 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cccn2CC)C1 ZINC001490777869 1103741245 /nfs/dbraw/zinc/74/12/45/1103741245.db2.gz TZJVKHSRQLVIMR-OAHLLOKOSA-N 0 1 323.868 3.045 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCc3ccccc31)C2 ZINC001096204433 1103784679 /nfs/dbraw/zinc/78/46/79/1103784679.db2.gz HDCXNAWTKSOSQE-NBMJBFSESA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(Cc3ccccc3)CCC1)C2 ZINC001110678086 1103785956 /nfs/dbraw/zinc/78/59/56/1103785956.db2.gz IPOFTQBNCYDANV-QRVBRYPASA-N 0 1 324.468 3.307 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(CC)c(CC)o1)C2 ZINC001096356127 1103809633 /nfs/dbraw/zinc/80/96/33/1103809633.db2.gz MEAGJCFGJZZROU-PMPSAXMXSA-N 0 1 316.445 3.316 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(c1)CCC=C3)C2 ZINC001096357491 1103809722 /nfs/dbraw/zinc/80/97/22/1103809722.db2.gz HULYAWKZRIQNEN-AABGKKOBSA-N 0 1 320.436 3.004 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C(F)F)c1)C2 ZINC001096395835 1103819516 /nfs/dbraw/zinc/81/95/16/1103819516.db2.gz ZYMGCPYJLCABOF-ILXRZTDVSA-N 0 1 306.356 3.145 20 30 DGEDMN C=C[C@@](C)(O)CN1Cc2c(Br)cccc2C[C@H]1C ZINC001252561502 1103841942 /nfs/dbraw/zinc/84/19/42/1103841942.db2.gz GWRSDRXWJMIIKU-IAQYHMDHSA-N 0 1 310.235 3.133 20 30 DGEDMN C=C[C@](C)(O)CN1Cc2ccc(Br)cc2C[C@H]1C ZINC001252562820 1103841428 /nfs/dbraw/zinc/84/14/28/1103841428.db2.gz YPLFTQAOVNFTGJ-ABAIWWIYSA-N 0 1 310.235 3.133 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3ccccc31)C2 ZINC001096473344 1103846145 /nfs/dbraw/zinc/84/61/45/1103846145.db2.gz MBXXBSCRJURBSI-UXPWSPDFSA-N 0 1 318.420 3.198 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)n(C(C)C)c1C)C2 ZINC001096512464 1103854763 /nfs/dbraw/zinc/85/47/63/1103854763.db2.gz NACULUXWHIRHBT-NJAFHUGGSA-N 0 1 315.461 3.207 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(c3cc(C)cc(C)c3)CC1)C2 ZINC001096555207 1103862929 /nfs/dbraw/zinc/86/29/29/1103862929.db2.gz FOIIQUBNPGUGKT-QYZOEREBSA-N 0 1 324.468 3.243 20 30 DGEDMN CCCCS(=O)(=O)Nc1c(Cl)cc(Cl)cc1C#N ZINC001253849191 1103864041 /nfs/dbraw/zinc/86/40/41/1103864041.db2.gz OIJNTVOWGNNBON-UHFFFAOYSA-N 0 1 307.202 3.407 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2conc2CC)[C@@H](C)C1 ZINC001328266291 1103896436 /nfs/dbraw/zinc/89/64/36/1103896436.db2.gz IENSUGWLZUMNPN-HOCLYGCPSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc3ccccc13)C2 ZINC001096660420 1103903925 /nfs/dbraw/zinc/90/39/25/1103903925.db2.gz BHHQMTQSYURBHT-COXVUDFISA-N 0 1 310.397 3.344 20 30 DGEDMN C#CC[C@H](CO)NCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001202537249 1103952772 /nfs/dbraw/zinc/95/27/72/1103952772.db2.gz QNKTUHSWHLQPQT-GFCCVEGCSA-N 0 1 316.228 3.254 20 30 DGEDMN COc1ccc(Nc2ccccc2N2CCN(C)CC2)c(C#N)c1 ZINC001212782757 1104003587 /nfs/dbraw/zinc/00/35/87/1104003587.db2.gz REHLUMMJVTYQIZ-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CCCCC(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001328706169 1104030014 /nfs/dbraw/zinc/03/00/14/1104030014.db2.gz XENRNUYEANDHKL-IBGZPJMESA-N 0 1 312.457 3.151 20 30 DGEDMN N#Cc1c[nH]c2ccc(C3=CC[C@@H](N4CCOCC4)CC3)cc12 ZINC001245421980 1104040464 /nfs/dbraw/zinc/04/04/64/1104040464.db2.gz ATEVJJGKMAPTJC-QGZVFWFLSA-N 0 1 307.397 3.308 20 30 DGEDMN N#Cc1c[nH]c2ccc(C3=CC[C@H](N4CCOCC4)CC3)cc12 ZINC001245421973 1104041009 /nfs/dbraw/zinc/04/10/09/1104041009.db2.gz ATEVJJGKMAPTJC-KRWDZBQOSA-N 0 1 307.397 3.308 20 30 DGEDMN N#Cc1c(F)cc(C2=CC[C@@H](N3CCOCC3)CC2)cc1F ZINC001245425585 1104044859 /nfs/dbraw/zinc/04/48/59/1104044859.db2.gz PHQBSMFDYIZQMJ-CQSZACIVSA-N 0 1 304.340 3.105 20 30 DGEDMN N#CCc1cc(F)ccc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245428861 1104049377 /nfs/dbraw/zinc/04/93/77/1104049377.db2.gz WNPYTPOWYQWZGJ-QGZVFWFLSA-N 0 1 300.377 3.160 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)CC1CCCCC1 ZINC001328764476 1104058845 /nfs/dbraw/zinc/05/88/45/1104058845.db2.gz ARTNTBXQGRJORM-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC[C@@H]1CN(C)CC#CC)c1ccccc1 ZINC001328795947 1104074027 /nfs/dbraw/zinc/07/40/27/1104074027.db2.gz FMYPPNJZSCWNPC-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CN[C@H](C)c1ccccc1Cl ZINC001273981353 1104081926 /nfs/dbraw/zinc/08/19/26/1104081926.db2.gz CZBVHMUYBOOKTG-WHLNPGIBSA-N 0 1 318.848 3.467 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC001329028697 1104130388 /nfs/dbraw/zinc/13/03/88/1104130388.db2.gz UMQCWVCNNBRYJM-CHWSQXEVSA-N 0 1 324.827 3.265 20 30 DGEDMN COC(=O)c1cc(-c2cc(O)c(F)c(F)c2F)ccc1C#N ZINC001245639426 1104171155 /nfs/dbraw/zinc/17/11/55/1104171155.db2.gz QLJFSFYVKLJGFA-UHFFFAOYSA-N 0 1 307.227 3.135 20 30 DGEDMN COc1c(Cl)ccc(F)c1-c1cc2nc[nH]c2c(C#N)n1 ZINC001245729253 1104211865 /nfs/dbraw/zinc/21/18/65/1104211865.db2.gz OLEZSSHVRRWWRC-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cc(Cl)ccc2C)C1=O ZINC001274103862 1104247773 /nfs/dbraw/zinc/24/77/73/1104247773.db2.gz LEFBRLIOGRAENK-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN Cc1cc(C)cc(Cc2cc(=O)n(-c3ccc(C#N)nc3)[nH]2)c1 ZINC001245934994 1104321579 /nfs/dbraw/zinc/32/15/79/1104321579.db2.gz GUCLNVARPZMUSW-UHFFFAOYSA-N 0 1 304.353 3.052 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001329575524 1104326114 /nfs/dbraw/zinc/32/61/14/1104326114.db2.gz UYJXTLRFGHBXTM-PKOBYXMFSA-N 0 1 314.473 3.276 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001329601907 1104340561 /nfs/dbraw/zinc/34/05/61/1104340561.db2.gz WSFCTYHMJCLLDR-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C=C[C@H](C(=O)N[C@H]1CCN(CCF)CC1(C)C)c1ccccc1 ZINC001274460370 1104392637 /nfs/dbraw/zinc/39/26/37/1104392637.db2.gz RUKMEXLNPHALSZ-IRXDYDNUSA-N 0 1 318.436 3.142 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cncs2)[C@@H](C)C1 ZINC001274624457 1104426093 /nfs/dbraw/zinc/42/60/93/1104426093.db2.gz RCVXUKMWQNCKQE-KBPBESRZSA-N 0 1 321.490 3.215 20 30 DGEDMN C=CCCC(=O)NCC[C@H](C)NCc1csc(C(C)(C)C)n1 ZINC001274682985 1104453333 /nfs/dbraw/zinc/45/33/33/1104453333.db2.gz XMDYLSROUMCPII-ZDUSSCGKSA-N 0 1 323.506 3.391 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001330070447 1104469979 /nfs/dbraw/zinc/46/99/79/1104469979.db2.gz JYPSKIBHHVQADR-CYBMUJFWSA-N 0 1 320.864 3.240 20 30 DGEDMN Cc1nc(N[C@@H]2CCN(C)[C@H]2c2ccc(F)cc2)ccc1C#N ZINC001330155351 1104490326 /nfs/dbraw/zinc/49/03/26/1104490326.db2.gz XZOZHCDUHHVDAB-AEFFLSMTSA-N 0 1 310.376 3.258 20 30 DGEDMN C#CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1ccc(C2CC2)cc1 ZINC001274816698 1104500656 /nfs/dbraw/zinc/50/06/56/1104500656.db2.gz VEXOUOFLXRHZEN-NRFANRHFSA-N 0 1 322.452 3.154 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(C)cc1C#N ZINC001274808289 1104503711 /nfs/dbraw/zinc/50/37/11/1104503711.db2.gz WIHKZUCFAVUKBJ-RTBURBONSA-N 0 1 323.440 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnc2ccccc2c1C ZINC001274849743 1104518870 /nfs/dbraw/zinc/51/88/70/1104518870.db2.gz SXSDDZUGVPWDRW-GFCCVEGCSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)C(C)(C)C1 ZINC001330245603 1104521144 /nfs/dbraw/zinc/52/11/44/1104521144.db2.gz ZZUBCLDEASBADP-QGZVFWFLSA-N 0 1 314.473 3.268 20 30 DGEDMN C=Cc1ccc(C(=O)N[C@H](C)CNCc2ccccc2C#N)cc1 ZINC001274931503 1104546317 /nfs/dbraw/zinc/54/63/17/1104546317.db2.gz QIBZEXWVQHRESM-OAHLLOKOSA-N 0 1 319.408 3.109 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001275134764 1104642204 /nfs/dbraw/zinc/64/22/04/1104642204.db2.gz AIEXTGPVUSJIKF-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2csc(-c3ccco3)n2)c1 ZINC001330811387 1104652561 /nfs/dbraw/zinc/65/25/61/1104652561.db2.gz ZEUBFVAKXGRUDA-UHFFFAOYSA-N 0 1 311.322 3.233 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001275160139 1104657669 /nfs/dbraw/zinc/65/76/69/1104657669.db2.gz KCDZHLBRTGMUEA-AZRLCKCBSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001275167499 1104663140 /nfs/dbraw/zinc/66/31/40/1104663140.db2.gz CHRLUORGBLBXQJ-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCN[C@@H](C)c1ncccn1 ZINC001330884648 1104669751 /nfs/dbraw/zinc/66/97/51/1104669751.db2.gz ZCZIZYYIGBQWGP-GJZGRUSLSA-N 0 1 318.465 3.014 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001275291518 1104710078 /nfs/dbraw/zinc/71/00/78/1104710078.db2.gz KVBQERLKGILZMV-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1cc(F)ccc1OC ZINC001275297002 1104711185 /nfs/dbraw/zinc/71/11/85/1104711185.db2.gz CKWDWNMTXXNIRR-SCLBCKFNSA-N 0 1 322.424 3.031 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001275399081 1104746515 /nfs/dbraw/zinc/74/65/15/1104746515.db2.gz ACAALJLIOPAOHW-JKSUJKDBSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1sc(C)cc1C ZINC001275404203 1104748317 /nfs/dbraw/zinc/74/83/17/1104748317.db2.gz OPXJRVOQBLPEAE-NEPJUHHUSA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2ocnc2C)CCCCC1 ZINC001275450993 1104762688 /nfs/dbraw/zinc/76/26/88/1104762688.db2.gz FPXRTPYPUJFAGB-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)CC(CC)CC ZINC001275529684 1104795024 /nfs/dbraw/zinc/79/50/24/1104795024.db2.gz RCEMPMDFTVTXJY-VXGBXAGGSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2ccncc2c1 ZINC001275530024 1104795317 /nfs/dbraw/zinc/79/53/17/1104795317.db2.gz SPXKSHCSTSAUJE-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C[C@@H](CN(C)[C@@H](C)c1ccccc1F)NC(=O)C#CC(C)(C)C ZINC001275552151 1104804642 /nfs/dbraw/zinc/80/46/42/1104804642.db2.gz PASLIEKSDFGBHH-GJZGRUSLSA-N 0 1 318.436 3.373 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(-c2ccccc2)cc1 ZINC001275706378 1104873434 /nfs/dbraw/zinc/87/34/34/1104873434.db2.gz GCQQMHWKYXOFLJ-QGZVFWFLSA-N 0 1 320.436 3.427 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001275818601 1104921724 /nfs/dbraw/zinc/92/17/24/1104921724.db2.gz KHWDHNQVENKODT-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001275843888 1104929797 /nfs/dbraw/zinc/92/97/97/1104929797.db2.gz JPGFYXWKEVERHM-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(C3(N)CCCCCC3)n2)c1 ZINC001247635935 1104946391 /nfs/dbraw/zinc/94/63/91/1104946391.db2.gz VXNXPLVQWPQEOG-UHFFFAOYSA-N 0 1 300.337 3.256 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001275970970 1104973249 /nfs/dbraw/zinc/97/32/49/1104973249.db2.gz UYFNLHQGMOYPLR-MJGOQNOKSA-N 0 1 314.473 3.276 20 30 DGEDMN Cc1cc(-c2noc([C@H]3C[C@@H](C(F)(F)F)CN3)n2)ccc1C#N ZINC001247752786 1104974258 /nfs/dbraw/zinc/97/42/58/1104974258.db2.gz UOXGYNRWVJOFHT-VXGBXAGGSA-N 0 1 322.290 3.130 20 30 DGEDMN C=CCCCCCN1CC2(CCN2C(=O)Cc2ccsc2)C1 ZINC001276036045 1104993360 /nfs/dbraw/zinc/99/33/60/1104993360.db2.gz MXZNJZRTZZGXKS-UHFFFAOYSA-N 0 1 318.486 3.324 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCc2cc(CO)ccc2C1 ZINC001248748452 1105154548 /nfs/dbraw/zinc/15/45/48/1105154548.db2.gz KDIXJRANGKFNCH-UHFFFAOYSA-N 0 1 306.409 3.171 20 30 DGEDMN COc1ccc([C@H](C)N(C)Cc2cnn3ccc(C#N)cc23)cc1 ZINC001249113090 1105227979 /nfs/dbraw/zinc/22/79/79/1105227979.db2.gz DUUNOLAOXKWIBZ-AWEZNQCLSA-N 0 1 320.396 3.408 20 30 DGEDMN N#C[C@H]1CN(Cc2cccc(Oc3ccc(O)cc3)c2)CCC1=O ZINC001249503697 1105299993 /nfs/dbraw/zinc/29/99/93/1105299993.db2.gz CNFXDXMNMWWCRK-HNNXBMFYSA-N 0 1 322.364 3.099 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCCN(Cc2cccc(C#N)c2F)C1 ZINC001249681287 1105352959 /nfs/dbraw/zinc/35/29/59/1105352959.db2.gz RUCUFVQFLALGIC-GOSISDBHSA-N 0 1 318.392 3.253 20 30 DGEDMN CSc1ccccc1NC(=S)NCc1cncc(C#N)c1 ZINC001249714161 1105363370 /nfs/dbraw/zinc/36/33/70/1105363370.db2.gz IMTJLGVMNUHPPZ-UHFFFAOYSA-N 0 1 314.439 3.162 20 30 DGEDMN C=CCCCC(=O)N1CC[C@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001278542152 1105397786 /nfs/dbraw/zinc/39/77/86/1105397786.db2.gz IBRXPDPRTJHHHR-CRAIPNDOSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3cc(C)ccc3n1)C2 ZINC001097498738 1105405306 /nfs/dbraw/zinc/40/53/06/1105405306.db2.gz LEMLCGYUQRORJV-LZQZEXGQSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)cc(Cl)c1)C2 ZINC001097548896 1105407948 /nfs/dbraw/zinc/40/79/48/1105407948.db2.gz BRYMLNFSWLCNJV-OAGGEKHMSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(C3CCC3)cc1)C2 ZINC001097589029 1105410927 /nfs/dbraw/zinc/41/09/27/1105410927.db2.gz KRWAKFWVOOGUAC-AQNXPRMDSA-N 0 1 324.468 3.404 20 30 DGEDMN CC(=O)Nc1ccc(Nc2cc(O)c(F)c(F)c2F)cc1C#N ZINC001249962918 1105417064 /nfs/dbraw/zinc/41/70/64/1105417064.db2.gz IDTIUFYOSBPVSY-UHFFFAOYSA-N 0 1 321.258 3.383 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2cc(O)c(F)c(F)c2F)c1 ZINC001249966037 1105417982 /nfs/dbraw/zinc/41/79/82/1105417982.db2.gz YOMRCZNGHZINRJ-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C1CCC1)C1CCC1)C2 ZINC001097727680 1105435332 /nfs/dbraw/zinc/43/53/32/1105435332.db2.gz XXHXUQWXGBYHBD-ZACQAIPSSA-N 0 1 302.462 3.110 20 30 DGEDMN N#Cc1nc(Cl)c(Nc2c(F)ccc(O)c2Cl)nc1C#N ZINC001250230523 1105475666 /nfs/dbraw/zinc/47/56/66/1105475666.db2.gz ZYIDQYZOGUKSMW-UHFFFAOYSA-N 0 1 324.102 3.115 20 30 DGEDMN COC(=O)c1cc(C#N)cc(C)c1Nc1ccc2cncn2c1 ZINC001250333958 1105501758 /nfs/dbraw/zinc/50/17/58/1105501758.db2.gz AQQDCFSVVQTYRI-UHFFFAOYSA-N 0 1 306.325 3.045 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cc(O)c(Cl)c(F)c2F)CC1 ZINC001250408447 1105510118 /nfs/dbraw/zinc/51/01/18/1105510118.db2.gz HHYDCOSBELMMCT-VIFPVBQESA-N 0 1 300.736 3.449 20 30 DGEDMN C=CCN(Cc1nc2cc(Cl)c(OC)cc2[nH]1)C(=O)OCC ZINC001250591677 1105543713 /nfs/dbraw/zinc/54/37/13/1105543713.db2.gz VZLBBHVLFDGEFR-UHFFFAOYSA-N 0 1 323.780 3.369 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(C)C)oc1C)C2 ZINC001098129527 1105551780 /nfs/dbraw/zinc/55/17/80/1105551780.db2.gz LXHRKJYGZORANG-BMFZPTHFSA-N 0 1 302.418 3.233 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C3CCC3)c1)C2 ZINC001098214646 1105561904 /nfs/dbraw/zinc/56/19/04/1105561904.db2.gz QTUPSKFQCWVOEP-QRVBRYPASA-N 0 1 310.441 3.475 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(cccc3C)o1)C2 ZINC001098475958 1105579296 /nfs/dbraw/zinc/57/92/96/1105579296.db2.gz WUKKWPBKHVAHAD-OIISXLGYSA-N 0 1 322.408 3.100 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)/C=C(/C)C2CC2)CC1 ZINC001278597977 1105580817 /nfs/dbraw/zinc/58/08/17/1105580817.db2.gz FPLDCVDOAADORZ-RAXLEYEMSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(C)CCNC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC001251233795 1105643232 /nfs/dbraw/zinc/64/32/32/1105643232.db2.gz AZFQYFURWJOYNN-SFHVURJKSA-N 0 1 315.461 3.259 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001099139870 1105648484 /nfs/dbraw/zinc/64/84/84/1105648484.db2.gz JJARQHPJHCWRDZ-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C1CCC1 ZINC001099154341 1105650940 /nfs/dbraw/zinc/65/09/40/1105650940.db2.gz XHFRQISQBCAORI-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001099176363 1105653673 /nfs/dbraw/zinc/65/36/73/1105653673.db2.gz RIYQINCQCWVXMY-LRQRDZAKSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](CC)CC(C)C ZINC001099305655 1105666798 /nfs/dbraw/zinc/66/67/98/1105666798.db2.gz ZFAKSEPNJZGCMR-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(C#N)cn2C(C)(C)C)cc1 ZINC001252091678 1105737385 /nfs/dbraw/zinc/73/73/85/1105737385.db2.gz ASXBPBBZPXWXBI-UHFFFAOYSA-N 0 1 317.414 3.224 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001252129650 1105746155 /nfs/dbraw/zinc/74/61/55/1105746155.db2.gz ADXMJTSNDMMOOF-JKSUJKDBSA-N 0 1 318.452 3.123 20 30 DGEDMN CCCCCCCC[C@H](O)CN[C@@H]1c2ccccc2CNC1=O ZINC001252133621 1105748303 /nfs/dbraw/zinc/74/83/03/1105748303.db2.gz KDHABLAJPVYKJS-FUHWJXTLSA-N 0 1 318.461 3.059 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1cc(OCC)ncn1 ZINC001252140060 1105749564 /nfs/dbraw/zinc/74/95/64/1105749564.db2.gz XSRWROPNMIAONI-MRXNPFEDSA-N 0 1 309.454 3.076 20 30 DGEDMN CCCCCCCC[C@H](O)C[NH2+]CC(=O)c1ccc([O-])cc1 ZINC001252139263 1105749751 /nfs/dbraw/zinc/74/97/51/1105749751.db2.gz LLKMLYHUKIEDLM-KRWDZBQOSA-N 0 1 307.434 3.276 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001491173333 1105766480 /nfs/dbraw/zinc/76/64/80/1105766480.db2.gz IHSRCMXQLAXNHV-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCOC[C@@H](O)CNC1(c2ccc(Cl)cc2Cl)CC1 ZINC001252488087 1105784333 /nfs/dbraw/zinc/78/43/33/1105784333.db2.gz FOUGHUKLYMRODN-LBPRGKRZSA-N 0 1 316.228 3.136 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1cccc(C)c1 ZINC001491261218 1105790285 /nfs/dbraw/zinc/79/02/85/1105790285.db2.gz NXZNDGDZAZEXAS-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001491260493 1105790643 /nfs/dbraw/zinc/79/06/43/1105790643.db2.gz PFAOBPNXEMUIFL-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(O)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001252586330 1105798478 /nfs/dbraw/zinc/79/84/78/1105798478.db2.gz ZBSCZRMJQGALES-HNNXBMFYSA-N 0 1 308.834 3.490 20 30 DGEDMN C=CCC[C@@H](O)CNCc1ncc(C(F)(F)F)cc1Cl ZINC001252614245 1105804986 /nfs/dbraw/zinc/80/49/86/1105804986.db2.gz GXCXDDNMSDJTHX-SNVBAGLBSA-N 0 1 308.731 3.171 20 30 DGEDMN C=CCC[C@H](O)CNCc1c(F)cc(Br)cc1F ZINC001252618688 1105805620 /nfs/dbraw/zinc/80/56/20/1105805620.db2.gz CSGCLBFVYPVGGZ-JTQLQIEISA-N 0 1 320.177 3.144 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cc(Cl)ccc1OC ZINC001491466477 1105830608 /nfs/dbraw/zinc/83/06/08/1105830608.db2.gz POAIXTIGVNSVIW-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN N#CCCN(C[C@@H](O)CCOCc1ccccc1)C1CCCC1 ZINC001253269861 1105917095 /nfs/dbraw/zinc/91/70/95/1105917095.db2.gz CXLSDWXOGRXDBK-IBGZPJMESA-N 0 1 316.445 3.112 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CN(CCF)C[C@@]3(C)C2)CCCCC1 ZINC001101471319 1105920127 /nfs/dbraw/zinc/92/01/27/1105920127.db2.gz LAXPQOIGDPVXLV-AEFFLSMTSA-N 0 1 322.468 3.263 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC001253395550 1105934689 /nfs/dbraw/zinc/93/46/89/1105934689.db2.gz PDTPSKKYINHHQV-QGZVFWFLSA-N 0 1 315.461 3.162 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC2CCCCC2)[C@H](C)C1 ZINC001101697396 1105959374 /nfs/dbraw/zinc/95/93/74/1105959374.db2.gz JXSFEGLHVMRQGM-CZUORRHYSA-N 0 1 312.885 3.393 20 30 DGEDMN CCCCCCCCCCCC[C@H](O)CNC1(CO)COC1 ZINC001253565711 1105960227 /nfs/dbraw/zinc/96/02/27/1105960227.db2.gz QZLMOMSWWYLZKF-KRWDZBQOSA-N 0 1 315.498 3.009 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)C(CC)CC)[C@H](C)C1 ZINC001102107476 1106023015 /nfs/dbraw/zinc/02/30/15/1106023015.db2.gz PTTYFCHODGOTGD-XNRPHZJLSA-N 0 1 314.901 3.495 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(Nc2cccnc2CN)CC1 ZINC001254349086 1106058003 /nfs/dbraw/zinc/05/80/03/1106058003.db2.gz IJLIUVJPQZMJNG-UHFFFAOYSA-N 0 1 324.403 3.495 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H](CC)C(C)C)[C@H](C)C1 ZINC001102520123 1106067786 /nfs/dbraw/zinc/06/77/86/1106067786.db2.gz XJRBLLBASUABLM-FVQBIDKESA-N 0 1 314.901 3.495 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001491547280 1106085244 /nfs/dbraw/zinc/08/52/44/1106085244.db2.gz IXIFLGZVVSJJEI-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCO[C@H]3[C@H](OCC4CCCC4)CC[C@@H]32)C1 ZINC001254657272 1106124478 /nfs/dbraw/zinc/12/44/78/1106124478.db2.gz CIGDFUFKTDJUGE-LTFXXXRZSA-N 0 1 318.461 3.117 20 30 DGEDMN C[C@@H]1CN([C@H]2CC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001254671882 1106129972 /nfs/dbraw/zinc/12/99/72/1106129972.db2.gz VZGRSHWHWFHXCF-XGUBFFRZSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001254680752 1106132524 /nfs/dbraw/zinc/13/25/24/1106132524.db2.gz ZSEPYNVDGVNYAW-IIDMSEBBSA-N 0 1 301.409 3.197 20 30 DGEDMN C[C@](C#N)(N[C@H]1CC2CCN(CC2)C1)c1ccccc1Cl ZINC001254841214 1106147339 /nfs/dbraw/zinc/14/73/39/1106147339.db2.gz VAXVCLMXVUPBTI-WMLDXEAASA-N 0 1 303.837 3.153 20 30 DGEDMN N#CC1(NC2CCSCC2)CCN(Cc2ccccc2)CC1 ZINC001255082132 1106175159 /nfs/dbraw/zinc/17/51/59/1106175159.db2.gz RYZSSCVSOBRDDC-UHFFFAOYSA-N 0 1 315.486 3.030 20 30 DGEDMN N#C[C@H](N[C@@H]1CCN(Cc2ccccc2)C1)c1ccc(F)cc1 ZINC001255101273 1106180289 /nfs/dbraw/zinc/18/02/89/1106180289.db2.gz QJANCVZXMGAFJW-MOPGFXCFSA-N 0 1 309.388 3.254 20 30 DGEDMN CC[C@H](CC#N)NC1CC2(C1)CCN(C(=O)OC(C)(C)C)C2 ZINC001255152030 1106191845 /nfs/dbraw/zinc/19/18/45/1106191845.db2.gz LTHQLCWMAGQKCR-TUBUQKNSSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@@H](CC#N)NCC1(NC(=O)OC(C)(C)C)CCCCC1 ZINC001255156622 1106193091 /nfs/dbraw/zinc/19/30/91/1106193091.db2.gz MEGIYMLLJPABHW-AWEZNQCLSA-N 0 1 309.454 3.496 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@H](C)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC001255165550 1106196622 /nfs/dbraw/zinc/19/66/22/1106196622.db2.gz YFDLAHKWBYVNPE-RRFJBIMHSA-N 0 1 309.454 3.161 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](N1CCC[C@@H](C#N)C1)C2 ZINC001255244482 1106209389 /nfs/dbraw/zinc/20/93/89/1106209389.db2.gz MCKMMIMIXVVVIW-JONQDZQNSA-N 0 1 319.449 3.152 20 30 DGEDMN CCN(CCC#N)[C@@H]1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001255243333 1106209534 /nfs/dbraw/zinc/20/95/34/1106209534.db2.gz WPJHTZNQECJWBN-QDMKHBRRSA-N 0 1 307.438 3.152 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](N1CC[C@](C)(C#N)C1)C2 ZINC001255247952 1106209796 /nfs/dbraw/zinc/20/97/96/1106209796.db2.gz HSRFDWQTRCUDCP-BASYENTBSA-N 0 1 319.449 3.152 20 30 DGEDMN C[C@H](CC(F)(F)F)N1CC[C@@H](F)[C@@](O)(C(F)(F)F)CC1 ZINC001255645576 1106283932 /nfs/dbraw/zinc/28/39/32/1106283932.db2.gz GZRVLEJRMKAYQC-IWSPIJDZSA-N 0 1 311.241 3.055 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN([C@@H](C)CC(F)(F)F)C2)CC1 ZINC001255645122 1106283956 /nfs/dbraw/zinc/28/39/56/1106283956.db2.gz AZQWLEDZXXMXJB-LBPRGKRZSA-N 0 1 320.355 3.048 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cnc(C(C)C)s1)C(C)C ZINC001278929561 1106405873 /nfs/dbraw/zinc/40/58/73/1106405873.db2.gz HLSRYEOGRMHYFI-UHFFFAOYSA-N 0 1 321.490 3.072 20 30 DGEDMN C[C@H](Cc1ccccn1)[N@H+]1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001256421168 1106419036 /nfs/dbraw/zinc/41/90/36/1106419036.db2.gz IHITZXRCBUAPDT-APWZRJJASA-N 0 1 321.424 3.428 20 30 DGEDMN N#Cc1cccc(NS(=O)(=O)c2ccc(Cl)s2)c1F ZINC001256485117 1106429543 /nfs/dbraw/zinc/42/95/43/1106429543.db2.gz KXQVUZYHXFURGK-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN C[C@@H]1C[C@@H](N2CC[C@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001256490831 1106430207 /nfs/dbraw/zinc/43/02/07/1106430207.db2.gz URHLLAODFUHCDI-JKIFEVAISA-N 0 1 307.438 3.010 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](CC)SC)C(C)(C)C ZINC001278951894 1106456620 /nfs/dbraw/zinc/45/66/20/1106456620.db2.gz YYSKBECBJFAYDB-NEPJUHHUSA-N 0 1 306.903 3.001 20 30 DGEDMN C[C@@]1(c2ccc(F)cc2)CN(C2CCC(C#N)CC2)CCO1 ZINC001256972756 1106519207 /nfs/dbraw/zinc/51/92/07/1106519207.db2.gz FLVWCXJADKOMFA-UYJHFMRCSA-N 0 1 302.393 3.455 20 30 DGEDMN C[C@@H]1C[C@@H](N2CCC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257591526 1106610119 /nfs/dbraw/zinc/61/01/19/1106610119.db2.gz OZSWQLUDXYISSO-QKPAOTATSA-N 0 1 321.465 3.398 20 30 DGEDMN C[C@@H]1C[C@@H](N2CC[C@](C)(C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257593507 1106610838 /nfs/dbraw/zinc/61/08/38/1106610838.db2.gz UUPCCOFWGJFELR-BASYENTBSA-N 0 1 321.465 3.398 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)C1CC1)C(C)(C)C ZINC001279033744 1106620541 /nfs/dbraw/zinc/62/05/41/1106620541.db2.gz DAJLCHQRMGJEJJ-RISCZKNCSA-N 0 1 300.874 3.296 20 30 DGEDMN CCCCCCC[C@H](C)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001258043099 1106664102 /nfs/dbraw/zinc/66/41/02/1106664102.db2.gz IVQMFWPNRJKOIY-IRXDYDNUSA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CC[N@@H+]1C1CCCCCCC1 ZINC001258237405 1106703783 /nfs/dbraw/zinc/70/37/83/1106703783.db2.gz JRBLZZLMHLCLHW-KRWDZBQOSA-N 0 1 318.465 3.030 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1C1CCCCCCC1 ZINC001258237405 1106703788 /nfs/dbraw/zinc/70/37/88/1106703788.db2.gz JRBLZZLMHLCLHW-KRWDZBQOSA-N 0 1 318.465 3.030 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccccc1-n1cccc1 ZINC001258273906 1106706043 /nfs/dbraw/zinc/70/60/43/1106706043.db2.gz SFVZMDMHKPYRRT-UHFFFAOYSA-N 0 1 323.377 3.150 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cccc2cc(O)ccc21 ZINC001258283339 1106708585 /nfs/dbraw/zinc/70/85/85/1106708585.db2.gz RIKFWCNSAPGKIC-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1CCCC(C)(C)C1)CC2 ZINC001258285317 1106710002 /nfs/dbraw/zinc/71/00/02/1106710002.db2.gz BHQVCUNQMJHFLW-HNNXBMFYSA-N 0 1 317.477 3.450 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)c(F)c1 ZINC001316896230 1106743197 /nfs/dbraw/zinc/74/31/97/1106743197.db2.gz UDBOXRUOESRBPZ-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1[C@@H](CC)CCCCC ZINC001258440782 1106748161 /nfs/dbraw/zinc/74/81/61/1106748161.db2.gz WQYBBISJNGVAHO-RDJZCZTQSA-N 0 1 320.481 3.276 20 30 DGEDMN N#CCC1CCN(C[C@@H](O)c2ccccc2Br)CC1 ZINC001319989662 1106766131 /nfs/dbraw/zinc/76/61/31/1106766131.db2.gz ULFNBRMBHZXCOQ-OAHLLOKOSA-N 0 1 323.234 3.108 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001316947385 1106805499 /nfs/dbraw/zinc/80/54/99/1106805499.db2.gz JOEWNNNIPGWGCD-KRWDZBQOSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2ccc(F)cc2)C1 ZINC001320041105 1106813339 /nfs/dbraw/zinc/81/33/39/1106813339.db2.gz BLQLWHBSADYBPC-UHFFFAOYSA-N 0 1 304.409 3.072 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001316954313 1106824047 /nfs/dbraw/zinc/82/40/47/1106824047.db2.gz RJXOULFIMZFAAK-MJGOQNOKSA-N 0 1 314.473 3.412 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001317003745 1106886738 /nfs/dbraw/zinc/88/67/38/1106886738.db2.gz YMIJWWZTXVPGRU-GJZGRUSLSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001317003744 1106887050 /nfs/dbraw/zinc/88/70/50/1106887050.db2.gz YMIJWWZTXVPGRU-CABCVRRESA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2CCCCCC2)CC1 ZINC001317004485 1106887177 /nfs/dbraw/zinc/88/71/77/1106887177.db2.gz ZDDSPNOCQBJNGN-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2CCC=CCC2)CC1 ZINC001317005102 1106889198 /nfs/dbraw/zinc/88/91/98/1106889198.db2.gz GSJWXLYAVQQKSO-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccccc2F)C1 ZINC001317031909 1106924597 /nfs/dbraw/zinc/92/45/97/1106924597.db2.gz NNDVAYKNNVUUDQ-AWEZNQCLSA-N 0 1 324.827 3.362 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@H](F)C1 ZINC001258725540 1106932729 /nfs/dbraw/zinc/93/27/29/1106932729.db2.gz MBQYGRQAPUYJOS-BFHYXJOUSA-N 0 1 300.418 3.278 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@@H](CN(C)CC(=C)Cl)C1 ZINC001317038195 1106933918 /nfs/dbraw/zinc/93/39/18/1106933918.db2.gz GOICDSSEVLIHCD-SBNBMVPLSA-N 0 1 310.869 3.432 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cocc2C)C1 ZINC001317038795 1106937482 /nfs/dbraw/zinc/93/74/82/1106937482.db2.gz MDQLUFLVJIULHL-CQSZACIVSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001258735001 1106941338 /nfs/dbraw/zinc/94/13/38/1106941338.db2.gz MBQYGRQAPUYJOS-MELADBBJSA-N 0 1 300.418 3.278 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC/C=C/c1ccccc1 ZINC001317049002 1106949383 /nfs/dbraw/zinc/94/93/83/1106949383.db2.gz OMCDCXDZIHJAIK-ZDMAARRPSA-N 0 1 322.452 3.179 20 30 DGEDMN CCC(C)(C)CC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001317056893 1106955467 /nfs/dbraw/zinc/95/54/67/1106955467.db2.gz CRZDNOOSOHPLFE-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCO[C@@H]1CC[N@H+](Cc2cc(Cl)cc(Cl)c2[O-])C1 ZINC001320377897 1106985937 /nfs/dbraw/zinc/98/59/37/1106985937.db2.gz QEMBJRFMAMLXTK-GFCCVEGCSA-N 0 1 302.201 3.476 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H]1CCC[C@@H](C)C1)CCC2 ZINC001258819630 1107002017 /nfs/dbraw/zinc/00/20/17/1107002017.db2.gz GFQWDYYRGRTXGY-CVEARBPZSA-N 0 1 303.450 3.370 20 30 DGEDMN CCOc1ccc(C)cc1NS(=O)(=O)c1cccc(C#N)c1 ZINC001259124787 1107081733 /nfs/dbraw/zinc/08/17/33/1107081733.db2.gz MYDUSTWLMNWTMM-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(CF)cc1 ZINC001317199936 1107117918 /nfs/dbraw/zinc/11/79/18/1107117918.db2.gz WKEIFCMCPBEQFM-MRXNPFEDSA-N 0 1 324.827 3.493 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CCc2ccco2)C1 ZINC001317208318 1107126558 /nfs/dbraw/zinc/12/65/58/1107126558.db2.gz LDHJGQWXDTVDSR-HUUCEWRRSA-N 0 1 324.852 3.181 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317229547 1107153465 /nfs/dbraw/zinc/15/34/65/1107153465.db2.gz BYQZCORTPGGXEX-XXUROBRHSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(Cl)ccc1C ZINC001320871180 1107170206 /nfs/dbraw/zinc/17/02/06/1107170206.db2.gz CKFPNWRHBUYYNP-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H]1CCc2ccccc2C1 ZINC001317285593 1107209473 /nfs/dbraw/zinc/20/94/73/1107209473.db2.gz VSWDWKABFOSFIM-CABCVRRESA-N 0 1 320.864 3.028 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cnc3ccccc3c2)cc1 ZINC001260010980 1107234993 /nfs/dbraw/zinc/23/49/93/1107234993.db2.gz RGORWIMDSQAQFH-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001321154942 1107267780 /nfs/dbraw/zinc/26/77/80/1107267780.db2.gz CFBHZEYMBVGWKK-KEGWNNHHSA-N 0 1 318.848 3.195 20 30 DGEDMN C=CCCC(=O)NCCC[C@@H]1CCCN1Cc1nc(C)oc1C ZINC001317419034 1107344620 /nfs/dbraw/zinc/34/46/20/1107344620.db2.gz MZNQOLKCUIQONH-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN CN(C)c1cccc(Cl)c1C=NN[C@@H]1CCOC(C)(C)C1 ZINC001321536454 1107377190 /nfs/dbraw/zinc/37/71/90/1107377190.db2.gz GGMYMRRYWWUWRY-GFCCVEGCSA-N 0 1 309.841 3.287 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(CC)CCC(F)(F)CC1 ZINC001321560508 1107381492 /nfs/dbraw/zinc/38/14/92/1107381492.db2.gz QNYYWSUYMBRISB-GFCCVEGCSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001321618678 1107394741 /nfs/dbraw/zinc/39/47/41/1107394741.db2.gz WWDIOHCLQPGFFK-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN Cn1ccc(NN=Cc2c(Cl)cccc2OC(F)F)n1 ZINC001321826361 1107442593 /nfs/dbraw/zinc/44/25/93/1107442593.db2.gz ZBQDKZVBKRTERU-UHFFFAOYSA-N 0 1 300.696 3.121 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001317507766 1107467682 /nfs/dbraw/zinc/46/76/82/1107467682.db2.gz LLBXUTLDEMLJHN-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN CCc1nc([C@H](C)OC(=O)c2ccc(C(C)(C)C#N)cc2)n[nH]1 ZINC001261588543 1107477893 /nfs/dbraw/zinc/47/78/93/1107477893.db2.gz GIVKGDYJHHKXMV-NSHDSACASA-N 0 1 312.373 3.086 20 30 DGEDMN C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2c1 ZINC001261703373 1107505976 /nfs/dbraw/zinc/50/59/76/1107505976.db2.gz AARGIMXNIZSHJS-MOPGFXCFSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2c1 ZINC001261703373 1107505984 /nfs/dbraw/zinc/50/59/84/1107505984.db2.gz AARGIMXNIZSHJS-MOPGFXCFSA-N 0 1 321.424 3.100 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H]1C[C@H](C)CC(C)(C)C1)C1CC1 ZINC001317558528 1107537528 /nfs/dbraw/zinc/53/75/28/1107537528.db2.gz VWLOQZKGZGPTKE-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001322169568 1107551910 /nfs/dbraw/zinc/55/19/10/1107551910.db2.gz RBAUYZKMVOCXDJ-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1CCC(F)CC1)C(C)C ZINC001317700454 1107610545 /nfs/dbraw/zinc/61/05/45/1107610545.db2.gz QTSJOFJJTTYWOA-UHFFFAOYSA-N 0 1 318.864 3.484 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccco1)c1ccc(C(C)C)cc1 ZINC001317711534 1107614667 /nfs/dbraw/zinc/61/46/67/1107614667.db2.gz QYGXRTYMAIAPJQ-KRWDZBQOSA-N 0 1 310.397 3.097 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3cc(C#N)cs3)C2)cc1 ZINC001262112836 1107624006 /nfs/dbraw/zinc/62/40/06/1107624006.db2.gz UQTYRFTVOAXNLJ-HNNXBMFYSA-N 0 1 311.410 3.211 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2c(C)coc2C)C1 ZINC001317755402 1107644824 /nfs/dbraw/zinc/64/48/24/1107644824.db2.gz UJEHMCSTGOLVAH-MRXNPFEDSA-N 0 1 304.434 3.399 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cc(C)oc2C)CC1 ZINC001317865490 1107721155 /nfs/dbraw/zinc/72/11/55/1107721155.db2.gz MULNQRMKODGMAT-UHFFFAOYSA-N 0 1 324.852 3.433 20 30 DGEDMN C[S@](=O)c1ccc(CNCc2ccc(C#N)c(Cl)c2)cc1 ZINC001322686624 1107736098 /nfs/dbraw/zinc/73/60/98/1107736098.db2.gz OCOUXVUTGNGYFJ-NRFANRHFSA-N 0 1 318.829 3.239 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](F)CC2CCCCC2)C1 ZINC001317949069 1107760518 /nfs/dbraw/zinc/76/05/18/1107760518.db2.gz DNNAAPXWWFMDEL-IAGOWNOFSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2nccs2)CCCC1 ZINC001322863375 1107771641 /nfs/dbraw/zinc/77/16/41/1107771641.db2.gz UPIXDPNYPSUCHW-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cccc(Cl)c2F)C1 ZINC001318063108 1107818486 /nfs/dbraw/zinc/81/84/86/1107818486.db2.gz NQEIVDORPCFNRD-GFCCVEGCSA-N 0 1 324.827 3.177 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H]1CCCC1(F)F)C1CC1 ZINC001323065346 1107821951 /nfs/dbraw/zinc/82/19/51/1107821951.db2.gz KUYZYYROEYFDHX-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(CCC2CCCC2)C1)c1ccccc1 ZINC001318089071 1107834803 /nfs/dbraw/zinc/83/48/03/1107834803.db2.gz ISTCWDAUQGVXPG-LJQANCHMSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cc(C)on2)[C@@H](CC)C1 ZINC001323152366 1107854217 /nfs/dbraw/zinc/85/42/17/1107854217.db2.gz VEJHCIUPLSQDOL-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1scnc1C ZINC001323182832 1107873232 /nfs/dbraw/zinc/87/32/32/1107873232.db2.gz RIFPXKWSOKOWBO-AWEZNQCLSA-N 0 1 307.463 3.002 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2ccc3cncn3c2C)cc1 ZINC001323193789 1107877119 /nfs/dbraw/zinc/87/71/19/1107877119.db2.gz QCYZSADLXOLSHV-UHFFFAOYSA-N 0 1 321.380 3.138 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(C)c1 ZINC001318193521 1107889681 /nfs/dbraw/zinc/88/96/81/1107889681.db2.gz TTZOCSUHPFAFJQ-ROUUACIJSA-N 0 1 315.461 3.259 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001323244789 1107899927 /nfs/dbraw/zinc/89/99/27/1107899927.db2.gz XQEQHOVEMUOXCM-INIZCTEOSA-N 0 1 306.475 3.228 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC001323339162 1107942902 /nfs/dbraw/zinc/94/29/02/1107942902.db2.gz DUENXVFPKMPBJN-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001318346760 1107953272 /nfs/dbraw/zinc/95/32/72/1107953272.db2.gz DUANBPXMQMVSEJ-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cnn(C3CCCCC3)c2)c1 ZINC001323514849 1107994934 /nfs/dbraw/zinc/99/49/34/1107994934.db2.gz QCSJPSLPAFBMKZ-UHFFFAOYSA-N 0 1 310.357 3.218 20 30 DGEDMN CC[C@H](F)C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001263307688 1108010683 /nfs/dbraw/zinc/01/06/83/1108010683.db2.gz HRTCFOIZUCMKCD-MNOVXSKESA-N 0 1 317.147 3.389 20 30 DGEDMN N#C[C@@H](C(=O)C=C(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001263375298 1108029930 /nfs/dbraw/zinc/02/99/30/1108029930.db2.gz LNYJBDMNMMBJCY-HNNXBMFYSA-N 0 1 318.380 3.135 20 30 DGEDMN N#CC(C(=O)C=C(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001263375298 1108029941 /nfs/dbraw/zinc/02/99/41/1108029941.db2.gz LNYJBDMNMMBJCY-HNNXBMFYSA-N 0 1 318.380 3.135 20 30 DGEDMN N#CC(=C(O)C=C(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001263375298 1108029959 /nfs/dbraw/zinc/02/99/59/1108029959.db2.gz LNYJBDMNMMBJCY-HNNXBMFYSA-N 0 1 318.380 3.135 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2scnc2C)C1 ZINC001318474813 1108034290 /nfs/dbraw/zinc/03/42/90/1108034290.db2.gz XBQJNCQHNXYBHZ-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](CCCC)C(C)C)C1 ZINC001318491690 1108054212 /nfs/dbraw/zinc/05/42/12/1108054212.db2.gz KPEAERUIYAGQCB-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)NCc1c(F)cccc1Cl ZINC001318517100 1108075147 /nfs/dbraw/zinc/07/51/47/1108075147.db2.gz AGDFGBOMBCYIPG-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)N[C@@H](C)c1c(F)cccc1F ZINC001318520442 1108077658 /nfs/dbraw/zinc/07/76/58/1108077658.db2.gz YFPJPIPDUVQELM-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1ccc(F)cc1F ZINC001263616766 1108111467 /nfs/dbraw/zinc/11/14/67/1108111467.db2.gz HTOKCGUWXRXUNK-MFKMUULPSA-N 0 1 303.312 3.161 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2cccc(F)c2)CCC1 ZINC001263616678 1108112653 /nfs/dbraw/zinc/11/26/53/1108112653.db2.gz DDYVCBKRGQWRPH-HNNXBMFYSA-N 0 1 311.360 3.340 20 30 DGEDMN C=C[C@@H](Cc1nc([C@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC001323914176 1108120810 /nfs/dbraw/zinc/12/08/10/1108120810.db2.gz DRQJSTFBMDENIC-YOEHRIQHSA-N 0 1 309.413 3.391 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)c2cc[nH]c2CC)C1 ZINC001323920801 1108120979 /nfs/dbraw/zinc/12/09/79/1108120979.db2.gz GNZQKQAVPAKAHX-UHFFFAOYSA-N 0 1 305.466 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(C)CCC(C)CC1 ZINC001318607054 1108122094 /nfs/dbraw/zinc/12/20/94/1108122094.db2.gz NAUGTPRQLWPAHJ-UGWHAMFMSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2nccs2)CCCC1 ZINC001318608899 1108124019 /nfs/dbraw/zinc/12/40/19/1108124019.db2.gz ZLVGTQVQGIAJBZ-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@](CO)(C(C)C)C1 ZINC001323936118 1108124570 /nfs/dbraw/zinc/12/45/70/1108124570.db2.gz FJWOPQHXFJVNJV-SFHVURJKSA-N 0 1 321.848 3.192 20 30 DGEDMN N#Cc1ccccc1CNCC1(NC(=O)C=C2CCC2)CCCC1 ZINC001318624127 1108129726 /nfs/dbraw/zinc/12/97/26/1108129726.db2.gz NVNXVSRFPPOIRA-UHFFFAOYSA-N 0 1 323.440 3.187 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCCc2ccccc2)C1 ZINC001323978991 1108136731 /nfs/dbraw/zinc/13/67/31/1108136731.db2.gz LLNTUKIZELMYDG-UHFFFAOYSA-N 0 1 300.446 3.118 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001324029580 1108153308 /nfs/dbraw/zinc/15/33/08/1108153308.db2.gz COAAEQYPHDFQKJ-SFHVURJKSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CC[C@@H](C)NC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001318892599 1108230319 /nfs/dbraw/zinc/23/03/19/1108230319.db2.gz IBVCNTPHXADYTE-QGZVFWFLSA-N 0 1 315.461 3.177 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(Cl)s1 ZINC001324276799 1108233221 /nfs/dbraw/zinc/23/32/21/1108233221.db2.gz KMZBNOQKOSGMRW-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1cc(F)ccc1F ZINC001319074687 1108303290 /nfs/dbraw/zinc/30/32/90/1108303290.db2.gz IUBKPJKPEDOHSP-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN COC(=O)c1cccc(/C=C/C(=O)c2ccc(O)c(F)c2)c1 ZINC001319123647 1108321464 /nfs/dbraw/zinc/32/14/64/1108321464.db2.gz MGPMEPZEGREHEI-FNORWQNLSA-N 0 1 300.285 3.214 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001264060744 1108357986 /nfs/dbraw/zinc/35/79/86/1108357986.db2.gz MFQAEKMTVKSJKZ-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001264094982 1108372620 /nfs/dbraw/zinc/37/26/20/1108372620.db2.gz YKSNPJFGJRJUCL-WWDFRNIQSA-N 0 1 310.869 3.122 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001324656158 1108404746 /nfs/dbraw/zinc/40/47/46/1108404746.db2.gz KXCPUWWMGZHPQT-LPHOPBHVSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001324675730 1108419322 /nfs/dbraw/zinc/41/93/22/1108419322.db2.gz ZIYBPDIKQUDUCE-GHTZIAJQSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc2cc[nH]c2c1 ZINC001264185863 1108422959 /nfs/dbraw/zinc/42/29/59/1108422959.db2.gz MIDXIZYAXAEMQD-SFHVURJKSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001264189801 1108423791 /nfs/dbraw/zinc/42/37/91/1108423791.db2.gz KSNXOFDFILGHKF-UHFFFAOYSA-N 0 1 321.490 3.128 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCCc2ccccc21 ZINC001319477809 1108486295 /nfs/dbraw/zinc/48/62/95/1108486295.db2.gz PZTODCHBYGBICF-YOEHRIQHSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl ZINC001319516286 1108503836 /nfs/dbraw/zinc/50/38/36/1108503836.db2.gz WJDQXFLZAFHINW-ZSEQQEGFSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl)CC1 ZINC001319525346 1108506874 /nfs/dbraw/zinc/50/68/74/1108506874.db2.gz NMSBEFSDLFLMQZ-UGMNDJHYSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2cnc(C)o2)C1 ZINC001324936954 1108523442 /nfs/dbraw/zinc/52/34/42/1108523442.db2.gz QSQDBLGKQJJKPC-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](F)CC2CCCCC2)C1 ZINC001324972516 1108543426 /nfs/dbraw/zinc/54/34/26/1108543426.db2.gz QCBFDYMRJILLEU-IEBWSBKVSA-N 0 1 322.468 3.146 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](CC=C)c2ccccc2)C1 ZINC001324974557 1108544451 /nfs/dbraw/zinc/54/44/51/1108544451.db2.gz BJNHAQNBUCRXLE-FPOVZHCZSA-N 0 1 324.468 3.198 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CC[C@@H](NCc2csc(C)n2)C1 ZINC001264476169 1108546725 /nfs/dbraw/zinc/54/67/25/1108546725.db2.gz IUIJXEXMLJEXCC-LSDHHAIUSA-N 0 1 321.490 3.182 20 30 DGEDMN COC(=O)c1cccc(CN(C)[C@@H]2CC[C@@H](SC)C2)c1C#N ZINC001319600015 1108548156 /nfs/dbraw/zinc/54/81/56/1108548156.db2.gz PZFHTKIRBVQOHE-ZIAGYGMSSA-N 0 1 318.442 3.061 20 30 DGEDMN COC(=O)c1cccc(CN(C)[C@@H]2CC[C@H](SC)C2)c1C#N ZINC001319600012 1108548296 /nfs/dbraw/zinc/54/82/96/1108548296.db2.gz PZFHTKIRBVQOHE-KGLIPLIRSA-N 0 1 318.442 3.061 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1nccs1 ZINC001264509279 1108559868 /nfs/dbraw/zinc/55/98/68/1108559868.db2.gz RLSXCCBOWSEHLI-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)CCCC)C1 ZINC001325051291 1108570562 /nfs/dbraw/zinc/57/05/62/1108570562.db2.gz OKCFVRKXTQTZIM-KBPBESRZSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@@H](NCc2conc2C)C1 ZINC001264682403 1108617841 /nfs/dbraw/zinc/61/78/41/1108617841.db2.gz WNCGKCQNDWDQDE-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001264717732 1108629368 /nfs/dbraw/zinc/62/93/68/1108629368.db2.gz IWODGPWMTANMLF-DYEKYZERSA-N 0 1 306.372 3.120 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C=C1CCC1)c1ccccc1CC ZINC001325363891 1108633798 /nfs/dbraw/zinc/63/37/98/1108633798.db2.gz VIHOSSMEHXWTIR-IBGZPJMESA-N 0 1 310.441 3.130 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC/C(Cl)=C/Cl)C(C)C ZINC001319937173 1108703657 /nfs/dbraw/zinc/70/36/57/1108703657.db2.gz UCVIKBXWRUHSHT-RDQDRAATSA-N 0 1 307.265 3.248 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](CC)C2CCC(C)CC2)CC1 ZINC001265193416 1108766881 /nfs/dbraw/zinc/76/68/81/1108766881.db2.gz HJUWJFUEROOTNP-TVPLGVNVSA-N 0 1 318.505 3.395 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)cc1 ZINC001265207779 1108776593 /nfs/dbraw/zinc/77/65/93/1108776593.db2.gz KZADAKWDQKZQCC-CRAIPNDOSA-N 0 1 313.445 3.050 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCCN(CCOCCCC)C2)CC1 ZINC001265225071 1108787349 /nfs/dbraw/zinc/78/73/49/1108787349.db2.gz QFOKRRSNCHBIOJ-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001265226425 1108789926 /nfs/dbraw/zinc/78/99/26/1108789926.db2.gz BNKWHXSIPOURMP-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CCCN(CC(=C)C)C2)CCCCC1 ZINC001265234812 1108793588 /nfs/dbraw/zinc/79/35/88/1108793588.db2.gz BQGWXNJBOCBIAQ-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN CC(C)(C)c1ccc(CN2CC[C@@H](NC(=O)C#CC3CC3)C2)cc1 ZINC001265284616 1108806123 /nfs/dbraw/zinc/80/61/23/1108806123.db2.gz TXQBSXFZRGEZMO-LJQANCHMSA-N 0 1 324.468 3.088 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265284442 1108806782 /nfs/dbraw/zinc/80/67/82/1108806782.db2.gz PSZQYUAMGHQYNE-ZWKOTPCHSA-N 0 1 316.420 3.127 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2(F)CCCCC2)CC1 ZINC001265341409 1108834536 /nfs/dbraw/zinc/83/45/36/1108834536.db2.gz PXTSWDLOUCGTEW-UHFFFAOYSA-N 0 1 322.468 3.385 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccn1C ZINC001265401109 1108863219 /nfs/dbraw/zinc/86/32/19/1108863219.db2.gz KKSVDYINUOSLAK-CALCHBBNSA-N 0 1 317.477 3.284 20 30 DGEDMN CC(C)CCCC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001265446924 1108874853 /nfs/dbraw/zinc/87/48/53/1108874853.db2.gz SPGSQSISVMYVJF-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001265475873 1108884424 /nfs/dbraw/zinc/88/44/24/1108884424.db2.gz SNZVNIVJTHRTLM-ZTFGCOKTSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)/C=C(/C)CC)C1 ZINC001265523916 1108899411 /nfs/dbraw/zinc/89/94/11/1108899411.db2.gz QWPVWXPUXXZVFF-JYGGGOIPSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C=C(/C)CC)C1 ZINC001265523916 1108899416 /nfs/dbraw/zinc/89/94/16/1108899416.db2.gz QWPVWXPUXXZVFF-JYGGGOIPSA-N 0 1 310.869 3.408 20 30 DGEDMN CCCCC(=O)NC[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC001265575196 1108916354 /nfs/dbraw/zinc/91/63/54/1108916354.db2.gz DBBDRSWDMFRCII-INIZCTEOSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](CC)CC(F)F ZINC001265673490 1108960125 /nfs/dbraw/zinc/96/01/25/1108960125.db2.gz GWJOJBINMZKHJV-CHWSQXEVSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc[nH]c1CCC ZINC001265679867 1108963059 /nfs/dbraw/zinc/96/30/59/1108963059.db2.gz ISPLVJVLAMNDKP-AWEZNQCLSA-N 0 1 323.868 3.304 20 30 DGEDMN CCC[C@H](C)CC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001265757153 1108995050 /nfs/dbraw/zinc/99/50/50/1108995050.db2.gz RMPPAJDSUXMHQQ-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1c(C)cccc1Cl ZINC001265793846 1109006575 /nfs/dbraw/zinc/00/65/75/1109006575.db2.gz BHWUHVKIXHONMX-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001265821653 1109016152 /nfs/dbraw/zinc/01/61/52/1109016152.db2.gz XQYAICOSZSJVKN-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC/C=C\c2ccccc2)C1 ZINC001265930987 1109044736 /nfs/dbraw/zinc/04/47/36/1109044736.db2.gz RQSYVBNTWGKEEQ-OOYWPUHTSA-N 0 1 318.848 3.423 20 30 DGEDMN CCN(CCCNC(=O)C#CC(C)C)Cc1ccccc1Cl ZINC001266040671 1109070601 /nfs/dbraw/zinc/07/06/01/1109070601.db2.gz NBMHTNFUSKAIKP-UHFFFAOYSA-N 0 1 320.864 3.328 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@H](NCc2nc(C)c(C)o2)C1 ZINC001266059809 1109075571 /nfs/dbraw/zinc/07/55/71/1109075571.db2.gz NAODTHABUPBSNZ-HOTGVXAUSA-N 0 1 319.449 3.165 20 30 DGEDMN C[C@@H](C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001266096552 1109088678 /nfs/dbraw/zinc/08/86/78/1109088678.db2.gz HXXPSYUBPOSAOM-YLJYHZDGSA-N 0 1 324.468 3.007 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)c2cncs2)C1 ZINC001266204707 1109126013 /nfs/dbraw/zinc/12/60/13/1109126013.db2.gz FTTQPJUNUPQUBM-AWEZNQCLSA-N 0 1 309.479 3.308 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001266240460 1109137450 /nfs/dbraw/zinc/13/74/50/1109137450.db2.gz VVWNTBQCTJFYRG-MSOLQXFVSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(CC(C)C)CCC3)C[C@@H]2C1 ZINC001279380182 1109149679 /nfs/dbraw/zinc/14/96/79/1109149679.db2.gz MVLFSGRJOZFGKT-IYBDPMFKSA-N 0 1 324.896 3.346 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC(C)(C)c2ccccc2)CC1 ZINC001279695250 1109160906 /nfs/dbraw/zinc/16/09/06/1109160906.db2.gz KORKDXFRHORTKP-UHFFFAOYSA-N 0 1 324.468 3.126 20 30 DGEDMN CC[C@@H](F)CN(C)Cc1ccccc1CNC(=O)C#CC(C)C ZINC001280324643 1109185549 /nfs/dbraw/zinc/18/55/49/1109185549.db2.gz JXSCYKNQVAAMIO-GOSISDBHSA-N 0 1 318.436 3.142 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H](C)CCC)cccc2C1 ZINC001280424629 1109201159 /nfs/dbraw/zinc/20/11/59/1109201159.db2.gz PZHDTOMUGQGOMG-INIZCTEOSA-N 0 1 312.457 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@]3(C)CCC[C@@H]3C)cccc2C1 ZINC001280427272 1109201996 /nfs/dbraw/zinc/20/19/96/1109201996.db2.gz YSSKDPFXRCJGRH-HRAATJIYSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cc(F)ccc2F)CCC1 ZINC001280471582 1109209183 /nfs/dbraw/zinc/20/91/83/1109209183.db2.gz XDFYCSCIRJTDFL-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)[C@@H](C)C1 ZINC001281409068 1109346477 /nfs/dbraw/zinc/34/64/77/1109346477.db2.gz STOKMFKOZJKCEL-WFASDCNBSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2c(F)cccc2F)C1 ZINC001281406384 1109346752 /nfs/dbraw/zinc/34/67/52/1109346752.db2.gz KAQCFLFTCOIKMU-SUMWQHHRSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H](C)C1 ZINC001281668159 1109391708 /nfs/dbraw/zinc/39/17/08/1109391708.db2.gz BAHUOHATSCKRNH-BBRMVZONSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NCc1coc(C2CC2)n1 ZINC001281740323 1109402085 /nfs/dbraw/zinc/40/20/85/1109402085.db2.gz SYYJAQTUBPBICA-ZDUSSCGKSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2csc(C)n2)CCC1 ZINC001281744790 1109403452 /nfs/dbraw/zinc/40/34/52/1109403452.db2.gz MMFNXCKMWIRXMK-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C/C=C(\C)C=C ZINC001281776394 1109407106 /nfs/dbraw/zinc/40/71/06/1109407106.db2.gz NCRWMDRARWOURR-FVECTHPASA-N 0 1 322.452 3.248 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001281878029 1109413177 /nfs/dbraw/zinc/41/31/77/1109413177.db2.gz QBAYOYYYVTUYAI-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001281956740 1109421859 /nfs/dbraw/zinc/42/18/59/1109421859.db2.gz KKXQBBDSHNMBLL-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001281956741 1109422161 /nfs/dbraw/zinc/42/21/61/1109422161.db2.gz KKXQBBDSHNMBLL-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H]1CCc2ccccc21 ZINC001281965206 1109423618 /nfs/dbraw/zinc/42/36/18/1109423618.db2.gz PCYYUSYNEQRXKN-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccnc2ccccc21 ZINC001282156670 1109458797 /nfs/dbraw/zinc/45/87/97/1109458797.db2.gz PJOHLUVIUAJHGY-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C)nc1C(C)C ZINC001282173053 1109462798 /nfs/dbraw/zinc/46/27/98/1109462798.db2.gz HJGZSTMAKTZOEV-UONOGXRCSA-N 0 1 323.868 3.362 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cc(C2CC2)no1 ZINC001282191566 1109466713 /nfs/dbraw/zinc/46/67/13/1109466713.db2.gz ITUASTAMZHPPEP-QWHCGFSZSA-N 0 1 319.449 3.137 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001282353064 1109490080 /nfs/dbraw/zinc/49/00/80/1109490080.db2.gz APBHOYDPMUPLNS-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001282455674 1109514909 /nfs/dbraw/zinc/51/49/09/1109514909.db2.gz QNRZHXXCQFASHV-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(C2CCCC2)c1 ZINC001282477399 1109520697 /nfs/dbraw/zinc/52/06/97/1109520697.db2.gz IZLZBZRITCXCSJ-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1cnc(C)o1 ZINC001282665704 1109547238 /nfs/dbraw/zinc/54/72/38/1109547238.db2.gz NSLKKGKAUQZCEF-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H]1CCCCCN1CCF)c1ccccc1 ZINC001282678194 1109550325 /nfs/dbraw/zinc/55/03/25/1109550325.db2.gz OZMBTNRFEMXZJG-ROUUACIJSA-N 0 1 318.436 3.287 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](CCC)C(C)C)[C@@H]2C1 ZINC001282877693 1109578319 /nfs/dbraw/zinc/57/83/19/1109578319.db2.gz PECHVZOBZCBYMF-FPCVCCKLSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CC1CC(F)(F)C1 ZINC001283510376 1109646274 /nfs/dbraw/zinc/64/62/74/1109646274.db2.gz YPFLUCSPDXNJKP-CYBMUJFWSA-N 0 1 320.811 3.001 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)[C@@H]1C ZINC001283864254 1109690970 /nfs/dbraw/zinc/69/09/70/1109690970.db2.gz DIVHNMBJNYJNNF-BLLLJJGKSA-N 0 1 308.372 3.010 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2)[C@H]1C ZINC001284121310 1109739769 /nfs/dbraw/zinc/73/97/69/1109739769.db2.gz KXHPKWVPYKJFLA-YJBOKZPZSA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2(c3ccccc3)CC2)[C@H]1C ZINC001284127898 1109742541 /nfs/dbraw/zinc/74/25/41/1109742541.db2.gz GSAXIBCVZWXHJV-IEBWSBKVSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2ncccc2C)[C@H]1C ZINC001284129315 1109743390 /nfs/dbraw/zinc/74/33/90/1109743390.db2.gz BSNQXHFCVWYYOD-IRXDYDNUSA-N 0 1 315.461 3.215 20 30 DGEDMN Cc1ccc(SCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001287394490 1110111652 /nfs/dbraw/zinc/11/16/52/1110111652.db2.gz FKFYJMGRGRJRNN-UHFFFAOYSA-N 0 1 322.393 3.474 20 30 DGEDMN CCN(C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)[C@@H](C)C#N ZINC001301403291 1111090874 /nfs/dbraw/zinc/09/08/74/1111090874.db2.gz ASIDBOVPOUUTPX-JTQLQIEISA-N 0 1 302.765 3.104 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001301428446 1111091841 /nfs/dbraw/zinc/09/18/41/1111091841.db2.gz JCQQJTJELWSOTN-LBPRGKRZSA-N 0 1 313.788 3.216 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2sc(C)nc2C)C1 ZINC001317371200 1111186562 /nfs/dbraw/zinc/18/65/62/1111186562.db2.gz RQMWJBNYZLVITJ-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN CCc1nc(CNc2c(C#N)cnc3c(Cl)cccc32)n[nH]1 ZINC001307127181 1111269010 /nfs/dbraw/zinc/26/90/10/1111269010.db2.gz OVOHYPHJFDYZQG-UHFFFAOYSA-N 0 1 312.764 3.052 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001307781972 1111338227 /nfs/dbraw/zinc/33/82/27/1111338227.db2.gz SJHMTEVEKJHOIR-ZBFHGGJFSA-N 0 1 324.346 3.202 20 30 DGEDMN COC(=O)C[C@H]1CCCCN1Cc1cc(Cl)cc(C#N)c1 ZINC001307987110 1111362845 /nfs/dbraw/zinc/36/28/45/1111362845.db2.gz CSPTWFWDLYFBTK-OAHLLOKOSA-N 0 1 306.793 3.129 20 30 DGEDMN C#CCN([C@@H]1CCCc2ccccc21)[C@H]1CCCN(C(C)C)C1=O ZINC001308104195 1111377953 /nfs/dbraw/zinc/37/79/53/1111377953.db2.gz OPJMTQSYCXDNBX-UXHICEINSA-N 0 1 324.468 3.399 20 30 DGEDMN Cn1cncc1C1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC001308167453 1111388687 /nfs/dbraw/zinc/38/86/87/1111388687.db2.gz RFHFBYOIYLVNJG-UHFFFAOYSA-N 0 1 313.832 3.305 20 30 DGEDMN C=CC[C@H](CO)NCc1c(F)c(Cl)ccc1Br ZINC001308388299 1111424377 /nfs/dbraw/zinc/42/43/77/1111424377.db2.gz IZXXAUREJZOZPB-MRVPVSSYSA-N 0 1 322.605 3.268 20 30 DGEDMN C#Cc1ccc(CNCc2cc3c(C)nn(C)c3nc2Cl)cc1 ZINC001308411006 1111426645 /nfs/dbraw/zinc/42/66/45/1111426645.db2.gz YFUREJJHSAHVSU-UHFFFAOYSA-N 0 1 324.815 3.201 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@@H]3CCc4ccccc4C3)C2)cc1 ZINC001308809513 1111478161 /nfs/dbraw/zinc/47/81/61/1111478161.db2.gz LQOOPMMRLKCRDT-QGZVFWFLSA-N 0 1 318.420 3.426 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)CC(O)(CC)CC ZINC001308892445 1111489820 /nfs/dbraw/zinc/48/98/20/1111489820.db2.gz ZTWJOBXJBMUQSK-UHFFFAOYSA-N 0 1 309.837 3.335 20 30 DGEDMN C=CC(C)(C)CCCNCc1snc(OC)c1C(=O)OC ZINC001308928429 1111495175 /nfs/dbraw/zinc/49/51/75/1111495175.db2.gz ORRLDKGOEXTXFW-UHFFFAOYSA-N 0 1 312.435 3.020 20 30 DGEDMN C=CCCCCCCNS(=O)(=O)c1c(F)cccc1F ZINC001309481503 1111527395 /nfs/dbraw/zinc/52/73/95/1111527395.db2.gz PPVFJTCMINXKGQ-UHFFFAOYSA-N 0 1 303.374 3.380 20 30 DGEDMN C=C(C)CCC(=O)NCCN(CC)Cc1sc(C)nc1C ZINC001317480067 1111549013 /nfs/dbraw/zinc/54/90/13/1111549013.db2.gz RQGPDWYYZISFOS-UHFFFAOYSA-N 0 1 309.479 3.054 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1ccc2cc[nH]c2c1 ZINC001317494252 1111568488 /nfs/dbraw/zinc/56/84/88/1111568488.db2.gz CEHSPWQFMXVRKX-KRWDZBQOSA-N 0 1 311.429 3.215 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)Nc1cc(CN(C)C)ccn1 ZINC001311076763 1111582578 /nfs/dbraw/zinc/58/25/78/1111582578.db2.gz CUCPKLMLYRYCQH-HZPDHXFCSA-N 0 1 316.449 3.400 20 30 DGEDMN C=CCCCCCCCNC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC001312827143 1111675228 /nfs/dbraw/zinc/67/52/28/1111675228.db2.gz XFKOBCOXCHLAPA-QGZVFWFLSA-N 0 1 309.498 3.495 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)[C@@H](C)CC)C1 ZINC001316728241 1111757431 /nfs/dbraw/zinc/75/74/31/1111757431.db2.gz VKNHZRNXPNJXSV-BMGDILEWSA-N 0 1 318.436 3.332 20 30 DGEDMN Cc1cnccc1OC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000278577716 214249965 /nfs/dbraw/zinc/24/99/65/214249965.db2.gz CUCGZABSUUMASW-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCCCC[C@@H]1c1ccco1 ZINC000078831447 185117720 /nfs/dbraw/zinc/11/77/20/185117720.db2.gz UJGQJUZELZQXJK-MRXNPFEDSA-N 0 1 302.418 3.397 20 30 DGEDMN N#Cc1ccc(N2CCN(C[C@H]3CCSC3)CC2)c(Cl)c1 ZINC000278642210 214296336 /nfs/dbraw/zinc/29/63/36/214296336.db2.gz LMAUFPAZKOSOGD-CQSZACIVSA-N 0 1 321.877 3.087 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](CC)c1ccc(Cl)s1 ZINC000272853660 210248902 /nfs/dbraw/zinc/24/89/02/210248902.db2.gz LMYICZPHBPQUJG-JTQLQIEISA-N 0 1 307.868 3.043 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCN(Cc2ccccc2)CC1 ZINC000103138525 432004099 /nfs/dbraw/zinc/00/40/99/432004099.db2.gz NYUDAOIMFBVYLA-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN CC[C@@H](CC#N)N[C@H](C)CC(=O)N(C)Cc1ccccc1Cl ZINC000184094312 529448456 /nfs/dbraw/zinc/44/84/56/529448456.db2.gz ZAOXODVJRCIBBO-HIFRSBDPSA-N 0 1 321.852 3.359 20 30 DGEDMN CC[C@H](C(=O)Nc1ccccc1S[C@@H](C)CC#N)N(C)C ZINC000298883122 529623074 /nfs/dbraw/zinc/62/30/74/529623074.db2.gz LBHIAUZYKRVQSS-GXTWGEPZSA-N 0 1 305.447 3.360 20 30 DGEDMN CCc1ccc(CN(C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000340754862 529636010 /nfs/dbraw/zinc/63/60/10/529636010.db2.gz NHXCRFJZYRGPDB-HNAYVOBHSA-N 0 1 315.461 3.124 20 30 DGEDMN CC[C@H](C)C[C@H](C)NC([O-])=[NH+][C@H]1CCc2nnc(C(C)C)n2C1 ZINC000330770076 529679556 /nfs/dbraw/zinc/67/95/56/529679556.db2.gz ATGKOYNSMWNWOW-IHRRRGAJSA-N 0 1 321.469 3.045 20 30 DGEDMN CC[C@H](C)C[C@H](C)[NH+]=C([O-])N[C@H]1CCc2nnc(C(C)C)n2C1 ZINC000330770076 529679559 /nfs/dbraw/zinc/67/95/59/529679559.db2.gz ATGKOYNSMWNWOW-IHRRRGAJSA-N 0 1 321.469 3.045 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)c(C#N)c1C ZINC000181374433 199287496 /nfs/dbraw/zinc/28/74/96/199287496.db2.gz YJJOVTKFLHIITG-CHWSQXEVSA-N 0 1 311.385 3.446 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1CN1CCC(C)(C#N)CC1 ZINC000338936840 282247974 /nfs/dbraw/zinc/24/79/74/282247974.db2.gz PZDNQMNQRGQFOW-UHFFFAOYSA-N 0 1 309.417 3.010 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc(Cl)c(Cl)c1 ZINC000272853629 210249297 /nfs/dbraw/zinc/24/92/97/210249297.db2.gz LMBVGAXCNYCCLG-SNVBAGLBSA-N 0 1 322.257 3.245 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000272793717 210196228 /nfs/dbraw/zinc/19/62/28/210196228.db2.gz AAROMJVZJTZCCX-CQSZACIVSA-N 0 1 309.475 3.236 20 30 DGEDMN CN(CCCc1cc(-c2ccc(F)cc2)n[nH]1)CC1(C#N)CC1 ZINC000343316253 529896467 /nfs/dbraw/zinc/89/64/67/529896467.db2.gz RWPYDSZRBISHBJ-UHFFFAOYSA-N 0 1 312.392 3.384 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1ccc(C)s1)C(C)C ZINC000065162579 432010994 /nfs/dbraw/zinc/01/09/94/432010994.db2.gz IDKBKDOVLBJQAU-UHFFFAOYSA-N 0 1 307.463 3.029 20 30 DGEDMN N#CCC[C@H](C#N)CSc1nc(-c2ccccc2F)n[nH]1 ZINC000066399123 432015256 /nfs/dbraw/zinc/01/52/56/432015256.db2.gz ZPVIHLDZZIASRT-SNVBAGLBSA-N 0 1 301.350 3.146 20 30 DGEDMN N#Cc1ccc(CNCc2ccnc(OCC(F)(F)F)c2)cc1 ZINC000069680641 190805272 /nfs/dbraw/zinc/80/52/72/190805272.db2.gz XSCVBSZUVHSDRX-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CCc2ccc(F)cc2C1 ZINC000133830759 186012932 /nfs/dbraw/zinc/01/29/32/186012932.db2.gz VUFRXVSQUGWOAD-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN COc1cc(CNC2(c3cccc(C#N)c3)CC2)cc2c1OCO2 ZINC000269590134 186392515 /nfs/dbraw/zinc/39/25/15/186392515.db2.gz CXIFLKDQYLSOOX-UHFFFAOYSA-N 0 1 322.364 3.074 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)C=Cc2cccc(C#N)c2)n[nH]1 ZINC000277079691 213280821 /nfs/dbraw/zinc/28/08/21/213280821.db2.gz OHFCFBNHZPZAFG-RYYBZQDPSA-N 0 1 323.400 3.080 20 30 DGEDMN N#CC1(CCN2CCC[C@](O)(C(F)(F)F)C2)CCCCC1 ZINC000411287786 283045684 /nfs/dbraw/zinc/04/56/84/283045684.db2.gz ZJFIWBRBMRBPIU-CQSZACIVSA-N 0 1 304.356 3.240 20 30 DGEDMN N#CCc1ccc(CN2CCO[C@]3(CCc4ccccc43)C2)cc1 ZINC000342753835 283054985 /nfs/dbraw/zinc/05/49/85/283054985.db2.gz QQEPSVNLUPGMHQ-OAQYLSRUSA-N 0 1 318.420 3.427 20 30 DGEDMN N#CCCOCCN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000293910295 283052515 /nfs/dbraw/zinc/05/25/15/283052515.db2.gz WWQLKLONGCCCTL-CYBMUJFWSA-N 0 1 304.287 3.339 20 30 DGEDMN C[C@H](CC#N)N(C)CCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000179452166 432059635 /nfs/dbraw/zinc/05/96/35/432059635.db2.gz VVUOYIFXSYXERM-CQSZACIVSA-N 0 1 309.454 3.258 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(F)c(COC)c1 ZINC000237202643 202187903 /nfs/dbraw/zinc/18/79/03/202187903.db2.gz ULZONALYYSQRMA-UHFFFAOYSA-N 0 1 313.372 3.274 20 30 DGEDMN CCCCC[C@H](C)NC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271692158 209128933 /nfs/dbraw/zinc/12/89/33/209128933.db2.gz RTAJBSCYJCKDAZ-GJZGRUSLSA-N 0 1 301.434 3.294 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000179954009 199098548 /nfs/dbraw/zinc/09/85/48/199098548.db2.gz RORSULFRRKXMAJ-MRXNPFEDSA-N 0 1 302.418 3.035 20 30 DGEDMN CCn1cc([C@H](C)NCc2cccc(OCCCC#N)c2)cn1 ZINC000449671472 240357025 /nfs/dbraw/zinc/35/70/25/240357025.db2.gz RUOYPBADUCZSRJ-HNNXBMFYSA-N 0 1 312.417 3.436 20 30 DGEDMN CCOc1ccccc1CN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292377538 223033453 /nfs/dbraw/zinc/03/34/53/223033453.db2.gz YWVOEZMDKJAXTG-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCN(Cc3ccc(Cl)cc3)CC2)C1 ZINC000429724084 238058941 /nfs/dbraw/zinc/05/89/41/238058941.db2.gz LPMJMZCZTXWIHZ-WBVHZDCISA-N 0 1 303.837 3.150 20 30 DGEDMN CN(C(=O)CCN(CCC#N)CC(C)(C)C)c1ccccc1 ZINC000135093991 432098148 /nfs/dbraw/zinc/09/81/48/432098148.db2.gz NYJCOPHTKWIOBI-UHFFFAOYSA-N 0 1 301.434 3.301 20 30 DGEDMN CN(CCC1CC1)CC(=O)c1cn(CCC#N)c2ccccc12 ZINC000135250362 432107648 /nfs/dbraw/zinc/10/76/48/432107648.db2.gz ROSZOAWBNYAMPN-UHFFFAOYSA-N 0 1 309.413 3.470 20 30 DGEDMN CS[C@@H]1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000451321956 241080863 /nfs/dbraw/zinc/08/08/63/241080863.db2.gz DVRDPNWSFJEWHC-CQSZACIVSA-N 0 1 315.360 3.374 20 30 DGEDMN C=CCOCCN1CC[C@H](c2cccc(Br)c2)C1 ZINC000451565818 241177973 /nfs/dbraw/zinc/17/79/73/241177973.db2.gz IKQBREXUVRNESY-AWEZNQCLSA-N 0 1 310.235 3.441 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(C#N)ccc2Br)C[C@@H]1C ZINC000451569901 241178638 /nfs/dbraw/zinc/17/86/38/241178638.db2.gz OXTUCTWBLTUIGF-NHYWBVRUSA-N 0 1 323.234 3.178 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1nc2cc(Br)ccc2o1 ZINC000451610525 241187695 /nfs/dbraw/zinc/18/76/95/241187695.db2.gz GMCCLOUNPXIPBC-PWSUYJOCSA-N 0 1 323.190 3.023 20 30 DGEDMN C=CCN1CC[C@H](N2CCC[C@H]2c2ccc(F)cc2C)C1=O ZINC000451988919 241292739 /nfs/dbraw/zinc/29/27/39/241292739.db2.gz KRUMUKGDDHPPCO-IRXDYDNUSA-N 0 1 302.393 3.058 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1)c1ccccc1 ZINC000454691579 242011681 /nfs/dbraw/zinc/01/16/81/242011681.db2.gz JYNVBIMKEGZYAH-SOLBZPMBSA-N 0 1 315.461 3.428 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)c1ccccc1 ZINC000454691579 242011686 /nfs/dbraw/zinc/01/16/86/242011686.db2.gz JYNVBIMKEGZYAH-SOLBZPMBSA-N 0 1 315.461 3.428 20 30 DGEDMN C[C@@H]1C[C@@H](c2ccccc2)CN1CC(=O)Nc1ccc(C#N)cc1 ZINC000115552574 284114034 /nfs/dbraw/zinc/11/40/34/284114034.db2.gz DGVAUFWLOUCHBM-CRAIPNDOSA-N 0 1 319.408 3.375 20 30 DGEDMN CC(C)C[C@@H]1CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000469162593 244086678 /nfs/dbraw/zinc/08/66/78/244086678.db2.gz YRYZOBHGUUUWSL-INIZCTEOSA-N 0 1 305.466 3.087 20 30 DGEDMN CC(C)C[C@H](C)N(C)C(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271749805 209179793 /nfs/dbraw/zinc/17/97/93/209179793.db2.gz FKWWGEBZOXAVQF-HNNXBMFYSA-N 0 1 313.445 3.030 20 30 DGEDMN C=CCSc1ccccc1C(=O)N1CCN(C)[C@H](C(C)C)C1 ZINC000489336681 245294779 /nfs/dbraw/zinc/29/47/79/245294779.db2.gz QHXCPEUTLZSQMM-INIZCTEOSA-N 0 1 318.486 3.377 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2cc(F)cc3nc[nH]c32)cc1 ZINC000489952000 245364321 /nfs/dbraw/zinc/36/43/21/245364321.db2.gz ZAFHVSXRLCXJCD-MRXNPFEDSA-N 0 1 322.343 3.259 20 30 DGEDMN COc1ccc(C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)C2CC2)cc1 ZINC000268704836 432123948 /nfs/dbraw/zinc/12/39/48/432123948.db2.gz SCEOSMJPDLZQJV-HXUWFJFHSA-N 0 1 322.408 3.265 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2cccc3n[nH]cc32)cc1 ZINC000489949974 245364890 /nfs/dbraw/zinc/36/48/90/245364890.db2.gz UZBAIFGERQDQOI-QGZVFWFLSA-N 0 1 304.353 3.120 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2cccc3nc[nH]c32)cc1 ZINC000489966462 245367032 /nfs/dbraw/zinc/36/70/32/245367032.db2.gz QKOPWZFPWSALTM-MRXNPFEDSA-N 0 1 304.353 3.120 20 30 DGEDMN C#CCCN[C@@H](C(=O)Nc1cc(C)ccc1OC)c1ccccc1 ZINC000264931931 204281037 /nfs/dbraw/zinc/28/10/37/204281037.db2.gz AYWNIYMGBRMCJP-LJQANCHMSA-N 0 1 322.408 3.296 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2csc3ccccc23)CC1 ZINC000490900019 245441731 /nfs/dbraw/zinc/44/17/31/245441731.db2.gz SQMIDGYTCXSVAO-UHFFFAOYSA-N 0 1 313.422 3.290 20 30 DGEDMN C[C@@H]1C[C@H](C)CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000127581343 284344701 /nfs/dbraw/zinc/34/47/01/284344701.db2.gz AYBTXNHFJAZRKV-UMVBOHGHSA-N 0 1 317.458 3.093 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@@H+](C)C[C@H](O)COCc1ccccc1 ZINC000128196619 284366785 /nfs/dbraw/zinc/36/67/85/284366785.db2.gz VMYUQMNSGYSYDI-JXFKEZNVSA-N 0 1 324.424 3.129 20 30 DGEDMN Cc1cccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c1C ZINC000266496651 205389570 /nfs/dbraw/zinc/38/95/70/205389570.db2.gz UNFOQRHOTNJRGD-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN CN(CCC(=O)Nc1sccc1C#N)Cc1ccccc1F ZINC000021472247 182274630 /nfs/dbraw/zinc/27/46/30/182274630.db2.gz FOFPBRXXDSAFAL-UHFFFAOYSA-N 0 1 317.389 3.219 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000494243076 246124957 /nfs/dbraw/zinc/12/49/57/246124957.db2.gz XOWSJVBJWYCXFB-DLBZAZTESA-N 0 1 319.424 3.179 20 30 DGEDMN CCN(C[C@H](C)C#N)C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000494102395 246112315 /nfs/dbraw/zinc/11/23/15/246112315.db2.gz QLVRUKCEEVAFFI-CABCVRRESA-N 0 1 309.454 3.115 20 30 DGEDMN CN(CCC1CCN(CC2(C#N)CC2)CC1)C(=O)OC(C)(C)C ZINC000494607931 246171602 /nfs/dbraw/zinc/17/16/02/246171602.db2.gz OGGXBTYFGPEREZ-UHFFFAOYSA-N 0 1 321.465 3.259 20 30 DGEDMN Cc1cc(Cl)cc(NS(=O)(=O)c2ccc(C#N)cc2)c1O ZINC000135092030 284461115 /nfs/dbraw/zinc/46/11/15/284461115.db2.gz LGEUIYNELLRFFL-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN CSc1cccc(NS(=O)(=O)c2cccc(C)c2C#N)c1 ZINC000137188299 284515934 /nfs/dbraw/zinc/51/59/34/284515934.db2.gz MOQOXAYKPHAKKL-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN CCN(Cc1cnc2ccc(C#N)cn12)Cc1cccc(F)c1 ZINC000120012368 195080500 /nfs/dbraw/zinc/08/05/00/195080500.db2.gz UXRCDIMRVJHQKU-UHFFFAOYSA-N 0 1 308.360 3.367 20 30 DGEDMN CO[C@@H]1C[C@H](NCc2ccc(Br)cc2C#N)C1(C)C ZINC000336813601 253003315 /nfs/dbraw/zinc/00/33/15/253003315.db2.gz VBCCUBXLVVHGMM-UONOGXRCSA-N 0 1 323.234 3.224 20 30 DGEDMN CCC1(C)CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000337679584 253157737 /nfs/dbraw/zinc/15/77/37/253157737.db2.gz HHHKKNVAXSISAH-KRWDZBQOSA-N 0 1 311.429 3.176 20 30 DGEDMN CN(C)[C@H](CNc1nc(Cl)c(C#N)s1)c1ccsc1 ZINC000286303294 218904135 /nfs/dbraw/zinc/90/41/35/218904135.db2.gz YNKHOFNJQJNGPY-SECBINFHSA-N 0 1 312.851 3.444 20 30 DGEDMN COc1ccc(CN(Cc2ccc(C#N)cc2)CC(C)C)nn1 ZINC000338232307 253247427 /nfs/dbraw/zinc/24/74/27/253247427.db2.gz AMQFRUBVBHDUCO-UHFFFAOYSA-N 0 1 310.401 3.015 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc(F)c(F)c1)c1ccc(C#N)cc1 ZINC000338365325 253273584 /nfs/dbraw/zinc/27/35/84/253273584.db2.gz MUGQFVFBJQDAGH-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)Nc1ccc2nc(N(C)C)[nH]c2c1 ZINC000338927337 253371855 /nfs/dbraw/zinc/37/18/55/253371855.db2.gz ROTYUUNAIVLUJK-UHFFFAOYSA-N 0 1 319.368 3.061 20 30 DGEDMN COCC1(C#N)CCN(CCSc2ccccc2F)CC1 ZINC000341970783 533112113 /nfs/dbraw/zinc/11/21/13/533112113.db2.gz CYSZFLSGYGVAPH-UHFFFAOYSA-N 0 1 308.422 3.170 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccccc2)CC2(CO)CC2)s1 ZINC000342842961 254063425 /nfs/dbraw/zinc/06/34/25/254063425.db2.gz VVPBGVLWJJROJE-UHFFFAOYSA-N 0 1 312.438 3.394 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1 ZINC000357796955 533121957 /nfs/dbraw/zinc/12/19/57/533121957.db2.gz FQVDWOTVROZVRR-LBPRGKRZSA-N 0 1 317.352 3.237 20 30 DGEDMN N#Cc1ccc(CNCc2ccccc2Cn2cccn2)c(F)c1 ZINC000343086034 254097961 /nfs/dbraw/zinc/09/79/61/254097961.db2.gz RVMVRYGZLVQSEM-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1nccc(C#N)c1Cl ZINC000343090344 254098265 /nfs/dbraw/zinc/09/82/65/254098265.db2.gz FEXBZBNRCDMPGM-UHFFFAOYSA-N 0 1 303.797 3.264 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(C#N)cc2)cc1NC(C)=O ZINC000344161064 254233476 /nfs/dbraw/zinc/23/34/76/254233476.db2.gz FSVRJUZDZJVPIO-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN N#Cc1cccc(NCC(=O)Nc2cc(Cl)c(O)cc2F)c1 ZINC000345681837 254386919 /nfs/dbraw/zinc/38/69/19/254386919.db2.gz RLDMDOFZHJWVMX-UHFFFAOYSA-N 0 1 319.723 3.107 20 30 DGEDMN C#CC[C@H](NC[C@H]1CN(c2ccccc2)C(=O)O1)c1ccccc1 ZINC000271649683 209094663 /nfs/dbraw/zinc/09/46/63/209094663.db2.gz AXQDQPSRXFHWJZ-OALUTQOASA-N 0 1 320.392 3.366 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC[C@@H](C4CC4)C3)o2)cc1 ZINC000289562979 221069626 /nfs/dbraw/zinc/06/96/26/221069626.db2.gz XXQVBZQOPUZMRF-MRXNPFEDSA-N 0 1 308.385 3.230 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc2c(c1)NC(=O)CO2)c1ccc(C#N)cc1 ZINC000121838478 195359642 /nfs/dbraw/zinc/35/96/42/195359642.db2.gz FIUCRHFRLWXNMP-STQMWFEESA-N 0 1 321.380 3.301 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H](CCCO)c1ccccc1 ZINC000305784411 533269139 /nfs/dbraw/zinc/26/91/39/533269139.db2.gz WYYBXCDLHYWVIX-GOSISDBHSA-N 0 1 310.397 3.170 20 30 DGEDMN C[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccc(C#N)c(F)c1 ZINC000442811232 533454874 /nfs/dbraw/zinc/45/48/74/533454874.db2.gz OEKKQKPBQBSNFD-CYBMUJFWSA-N 0 1 323.371 3.285 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccnc1)C(=O)N(CCC#N)c1ccccc1 ZINC000173366949 533505821 /nfs/dbraw/zinc/50/58/21/533505821.db2.gz NGQVYGBHFCBSBU-JKSUJKDBSA-N 0 1 322.412 3.068 20 30 DGEDMN C=C[C@H](CO)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289857691 335066506 /nfs/dbraw/zinc/06/65/06/335066506.db2.gz QUHOLQGLASUGES-SWLSCSKDSA-N 0 1 302.443 3.240 20 30 DGEDMN C=C[C@@H](CO)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289857684 335066683 /nfs/dbraw/zinc/06/66/83/335066683.db2.gz QUHOLQGLASUGES-DOMZBBRYSA-N 0 1 302.443 3.240 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)Cn1c2ccccc2c2ccccc21 ZINC000581734447 400082168 /nfs/dbraw/zinc/08/21/68/400082168.db2.gz LNGPBIUNTCDQJN-HOTGVXAUSA-N 0 1 321.424 3.437 20 30 DGEDMN N#CCc1cccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)c1 ZINC000609532253 400174355 /nfs/dbraw/zinc/17/43/55/400174355.db2.gz BQHDMFMUJBDMLR-UHFFFAOYSA-N 0 1 324.771 3.212 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cnc(N(C)C)s1 ZINC000092505674 400177419 /nfs/dbraw/zinc/17/74/19/400177419.db2.gz PFTAUIGXDNIRDP-UHFFFAOYSA-N 0 1 301.459 3.440 20 30 DGEDMN C=C(C)CN(CCC)CC(=O)Nc1ccc(F)c(F)c1F ZINC000066865289 400125998 /nfs/dbraw/zinc/12/59/98/400125998.db2.gz LXMXECMQAUHIIL-UHFFFAOYSA-N 0 1 300.324 3.331 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC2(CCCCC2)CC1 ZINC000608833627 400127770 /nfs/dbraw/zinc/12/77/70/400127770.db2.gz YXLIIOQSHIKWAA-QGZVFWFLSA-N 0 1 305.466 3.087 20 30 DGEDMN N#Cc1nc(C2CC2)oc1N1CCN(CCCC2CCC2)CC1 ZINC000595359106 400135193 /nfs/dbraw/zinc/13/51/93/400135193.db2.gz ZZBSJBZUZWVQEG-UHFFFAOYSA-N 0 1 314.433 3.126 20 30 DGEDMN N#Cc1ccc(CCN2CCO[C@H](Cc3ccccc3)C2)cc1 ZINC000595424442 400145942 /nfs/dbraw/zinc/14/59/42/400145942.db2.gz MMFRIDRVDGGHDM-HXUWFJFHSA-N 0 1 306.409 3.044 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(Cc2ccccc2C#N)[C@@H]1C ZINC000595557899 400188078 /nfs/dbraw/zinc/18/80/78/400188078.db2.gz DBXUYYJZKVMQAY-JJRVBVJISA-N 0 1 300.402 3.110 20 30 DGEDMN N#Cc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)cc1F ZINC000616766278 400222297 /nfs/dbraw/zinc/22/22/97/400222297.db2.gz ZWIGMMGWSKPTBQ-LJQANCHMSA-N 0 1 320.371 3.425 20 30 DGEDMN C[C@@H](CCO)N(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000093303768 400237572 /nfs/dbraw/zinc/23/75/72/400237572.db2.gz YEIRDHOSRBHTHH-HNNXBMFYSA-N 0 1 312.388 3.470 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)Nc1cccc(F)c1 ZINC000610346211 400245795 /nfs/dbraw/zinc/24/57/95/400245795.db2.gz OKGSTZNTLQPOAA-QWHCGFSZSA-N 0 1 311.360 3.375 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)N1CCc2ccccc21 ZINC000610345867 400246299 /nfs/dbraw/zinc/24/62/99/400246299.db2.gz ATSOQWVIXGQZMG-HUUCEWRRSA-N 0 1 319.408 3.187 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN(Cc1ccsc1)C(C)(C)C ZINC000595601700 400199863 /nfs/dbraw/zinc/19/98/63/400199863.db2.gz QOKGJMSOCOQACW-KRWDZBQOSA-N 0 1 321.490 3.403 20 30 DGEDMN CCN(C(=O)[C@@H](C)N1CCC[C@@H](CC#N)C1)C1CCCCC1 ZINC000595609471 400203250 /nfs/dbraw/zinc/20/32/50/400203250.db2.gz KKWGDZKVSSPCPS-CVEARBPZSA-N 0 1 305.466 3.182 20 30 DGEDMN C=CCOCCN1Cc2ccccc2[C@@H](C(=O)OC(C)(C)C)C1 ZINC000595612865 400207265 /nfs/dbraw/zinc/20/72/65/400207265.db2.gz VWISKIZLYSRVCB-KRWDZBQOSA-N 0 1 317.429 3.130 20 30 DGEDMN Cn1cc(CN2CCC[C@H](CC#N)C2)c(-c2ccc(C#N)cc2)n1 ZINC000595619754 400209941 /nfs/dbraw/zinc/20/99/41/400209941.db2.gz GTWVYSIXTNRSDJ-MRXNPFEDSA-N 0 1 319.412 3.084 20 30 DGEDMN C=CCN(Cc1ccc(C(=O)OC)c(Cl)c1)[C@@H](C)COC ZINC000595638085 400213576 /nfs/dbraw/zinc/21/35/76/400213576.db2.gz BRODPYPLZVFISV-LBPRGKRZSA-N 0 1 311.809 3.150 20 30 DGEDMN Cc1ccccc1NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595634418 400213675 /nfs/dbraw/zinc/21/36/75/400213675.db2.gz BZTIQLSCMBQOPJ-UHFFFAOYSA-N 0 1 307.397 3.330 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@H](C)OCC3CC3)n2)cc1 ZINC000068352011 400255093 /nfs/dbraw/zinc/25/50/93/400255093.db2.gz GLRNFWRRSGILSY-NSHDSACASA-N 0 1 300.362 3.183 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CC[C@@H]2c2cccc(F)c2)cc1 ZINC000610505067 400266495 /nfs/dbraw/zinc/26/64/95/400266495.db2.gz YSTYXBAVHJCVFB-GOSISDBHSA-N 0 1 323.371 3.473 20 30 DGEDMN Cn1nc(CN[C@H]2CCc3ccc(C#N)cc32)c2ccccc21 ZINC000589343982 400291455 /nfs/dbraw/zinc/29/14/55/400291455.db2.gz MXOVOANJJYCSCN-KRWDZBQOSA-N 0 1 302.381 3.222 20 30 DGEDMN CN(CC(=O)Nc1ccccc1SCC#N)C[C@H]1CC1(C)C ZINC000595909075 400292477 /nfs/dbraw/zinc/29/24/77/400292477.db2.gz LSXPDKXEYFOAIH-CYBMUJFWSA-N 0 1 317.458 3.219 20 30 DGEDMN Cc1nc(C2(NCCCSCC#N)CCCCCC2)no1 ZINC000072515482 400385322 /nfs/dbraw/zinc/38/53/22/400385322.db2.gz PTXFSMIQGYKYAU-UHFFFAOYSA-N 0 1 308.451 3.164 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H](C[C@@H]3CCOC3)C2)c1F ZINC000611374766 400386588 /nfs/dbraw/zinc/38/65/88/400386588.db2.gz GQPCWWNUKFXFML-CABCVRRESA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@@H](NCC(=O)NCCC1=CCCCC1)c1cccc(C#N)c1 ZINC000611388807 400389726 /nfs/dbraw/zinc/38/97/26/400389726.db2.gz NAVVZBVKGADCIM-OAHLLOKOSA-N 0 1 311.429 3.216 20 30 DGEDMN N#CCCCCCC(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000589837176 400332282 /nfs/dbraw/zinc/33/22/82/400332282.db2.gz HSYPMECROUJKLM-UHFFFAOYSA-N 0 1 304.781 3.307 20 30 DGEDMN CC(C)[C@@H]1CCCN1CC(=O)Nc1ccccc1SCC#N ZINC000072581976 400391146 /nfs/dbraw/zinc/39/11/46/400391146.db2.gz FLHZNSDFTYJDJL-HNNXBMFYSA-N 0 1 317.458 3.361 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C)c1cccc(C#N)c1 ZINC000611389231 400391545 /nfs/dbraw/zinc/39/15/45/400391545.db2.gz QTSOMJMZUPYREU-YRBFXIGRSA-N 0 1 313.445 3.150 20 30 DGEDMN COc1ccc(NC(=O)[C@@H](C)N2CCC(CCC#N)CC2)cc1 ZINC000581129391 400350119 /nfs/dbraw/zinc/35/01/19/400350119.db2.gz UERVWTHSBJOKCQ-CQSZACIVSA-N 0 1 315.417 3.038 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2N[C@H](CO)c1ccc(F)cc1 ZINC000611394910 400392757 /nfs/dbraw/zinc/39/27/57/400392757.db2.gz SGZFFZPFJGDCOZ-RBUKOAKNSA-N 0 1 310.372 3.398 20 30 DGEDMN Cc1cnc([C@@H](C)N2CCCN(Cc3ccc(C#N)cc3)CC2)o1 ZINC000611301923 400376457 /nfs/dbraw/zinc/37/64/57/400376457.db2.gz FWCHAVFNCDWVIS-MRXNPFEDSA-N 0 1 324.428 3.124 20 30 DGEDMN C[C@@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1cccc(C#N)c1 ZINC000611438727 400401198 /nfs/dbraw/zinc/40/11/98/400401198.db2.gz XUANUXMROQXJCX-KDOFPFPSSA-N 0 1 311.429 3.142 20 30 DGEDMN C[NH+](C)[C@@H]1CCc2cc(NC(=O)c3cc([O-])cc(C#N)c3)ccc21 ZINC000590874273 400452252 /nfs/dbraw/zinc/45/22/52/400452252.db2.gz YWBUPSYKNZDRQZ-GOSISDBHSA-N 0 1 321.380 3.065 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1nc(C2CC2)cs1 ZINC000602571453 400500341 /nfs/dbraw/zinc/50/03/41/400500341.db2.gz MTURIVZOVHWSBU-GFCCVEGCSA-N 0 1 305.447 3.209 20 30 DGEDMN COc1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000127476995 400502390 /nfs/dbraw/zinc/50/23/90/400502390.db2.gz AWXQKDGEEDGYJQ-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1CCc2ccccc21 ZINC000127466406 400502736 /nfs/dbraw/zinc/50/27/36/400502736.db2.gz WWSHXZNVVQNVMC-GJZGRUSLSA-N 0 1 319.408 3.187 20 30 DGEDMN C[C@@H](CCC#N)CN[C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000602593138 400507381 /nfs/dbraw/zinc/50/73/81/400507381.db2.gz LFWZIDFACHVUKU-XJKSGUPXSA-N 0 1 300.381 3.178 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](N1CCC(C)(C#N)CC1)CC2 ZINC000602604755 400509417 /nfs/dbraw/zinc/50/94/17/400509417.db2.gz HFNHIQXKGHTKRJ-OAHLLOKOSA-N 0 1 300.402 3.317 20 30 DGEDMN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1cccc(C#N)n1 ZINC000602607551 400510734 /nfs/dbraw/zinc/51/07/34/400510734.db2.gz YLIWCGOBZLAVJG-PXAZEXFGSA-N 0 1 315.804 3.472 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(C(=O)CCC2CCCCC2)CC1 ZINC000602450361 400467369 /nfs/dbraw/zinc/46/73/69/400467369.db2.gz UXZDJWODKKBAJC-KRWDZBQOSA-N 0 1 319.493 3.431 20 30 DGEDMN N#C[C@@H]1CCC[C@@H]1NCCc1cc(Cl)c2c(c1)OCCCO2 ZINC000590961766 400470019 /nfs/dbraw/zinc/47/00/19/400470019.db2.gz SUKFLCIGIVOONF-ZFWWWQNUSA-N 0 1 320.820 3.326 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(OC)cc1 ZINC000125729985 400484063 /nfs/dbraw/zinc/48/40/63/400484063.db2.gz RMNXGEONPXCPII-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN Cc1ccnc(NCc2cnc3ccc(C#N)cn23)c1C1CC1 ZINC000597190294 400581301 /nfs/dbraw/zinc/58/13/01/400581301.db2.gz BGWORUAIRWEJRM-UHFFFAOYSA-N 0 1 303.369 3.399 20 30 DGEDMN C=CCc1cc(CN2CCC[C@@H](CC#N)C2)cc(OC)c1O ZINC000597173914 400576081 /nfs/dbraw/zinc/57/60/81/400576081.db2.gz NKBYYLGBTMOFPW-AWEZNQCLSA-N 0 1 300.402 3.255 20 30 DGEDMN COc1ccccc1-c1ncc(CN2CCC[C@H](CC#N)C2)cn1 ZINC000597177820 400578174 /nfs/dbraw/zinc/57/81/74/400578174.db2.gz RAJSDOIKBWWKBC-OAHLLOKOSA-N 0 1 322.412 3.278 20 30 DGEDMN COCCN(Cc1ccco1)Cc1ccc(C2(C#N)CC2)cc1 ZINC000596983854 400534185 /nfs/dbraw/zinc/53/41/85/400534185.db2.gz HOJYXDLOVWHIAW-UHFFFAOYSA-N 0 1 310.397 3.483 20 30 DGEDMN CC(C)CN(Cc1cnc2n1CCC2)Cc1ccc(C#N)cc1 ZINC000596984178 400534409 /nfs/dbraw/zinc/53/44/09/400534409.db2.gz QLOPRXBGBHGAGE-UHFFFAOYSA-N 0 1 308.429 3.359 20 30 DGEDMN CC1(NCc2cccc(OCc3cccc(C#N)c3)c2)COC1 ZINC000602738281 400559845 /nfs/dbraw/zinc/55/98/45/400559845.db2.gz DPIXIVLZFPAFLH-UHFFFAOYSA-N 0 1 308.381 3.016 20 30 DGEDMN N#CC1(c2ccc(CN3CCCN(CC(F)F)CC3)cc2)CC1 ZINC000597134022 400567591 /nfs/dbraw/zinc/56/75/91/400567591.db2.gz ZGZXIMZLIUYISQ-UHFFFAOYSA-N 0 1 319.399 3.015 20 30 DGEDMN C[C@H](CC#N)C(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000597366962 400620045 /nfs/dbraw/zinc/62/00/45/400620045.db2.gz FXOFLHWJFUQZNG-YGRLFVJLSA-N 0 1 316.792 3.430 20 30 DGEDMN N#CCCCNCc1cc(OC(F)F)ccc1Br ZINC000592367248 400638543 /nfs/dbraw/zinc/63/85/43/400638543.db2.gz MUJOVQGBJCSLAG-UHFFFAOYSA-N 0 1 319.149 3.444 20 30 DGEDMN COc1ccc(O)c(/C=N/C[C@H](c2ccsc2)N(C)C)c1 ZINC000075580886 400596783 /nfs/dbraw/zinc/59/67/83/400596783.db2.gz UWQSAONSQVZGEV-GFHWABCWSA-N 0 1 304.415 3.184 20 30 DGEDMN CC1(C)C[C@@]1(NCc1cnc2c(C#N)cnn2c1)c1ccccc1 ZINC000597255205 400600145 /nfs/dbraw/zinc/60/01/45/400600145.db2.gz TUAZSZSEOTURSI-LJQANCHMSA-N 0 1 317.396 3.016 20 30 DGEDMN COc1cc(-c2ccccc2)ccc1CN1CC[C@](O)(CC#N)C1 ZINC000592522680 400663307 /nfs/dbraw/zinc/66/33/07/400663307.db2.gz PPVKEUDWPOJYKW-HXUWFJFHSA-N 0 1 322.408 3.213 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(-c3ccccc3F)s2)C1 ZINC000592524459 400664250 /nfs/dbraw/zinc/66/42/50/400664250.db2.gz XPYMLVNSENQKAE-QGZVFWFLSA-N 0 1 316.401 3.405 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)[C@@H](C)CC#N ZINC000597725978 400665718 /nfs/dbraw/zinc/66/57/18/400665718.db2.gz RZIXNJZGHOIUFS-VHSXEESVSA-N 0 1 304.781 3.285 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1c1ccc(C)cc1 ZINC000076876785 400678088 /nfs/dbraw/zinc/67/80/88/400678088.db2.gz SYBKIDSDHIEPRC-SJLPKXTDSA-N 0 1 313.445 3.140 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3cc(C#N)ccc32)cc1[N+](=O)[O-] ZINC000618741481 400679282 /nfs/dbraw/zinc/67/92/82/400679282.db2.gz GPYFTNQCRXHFNA-INIZCTEOSA-N 0 1 323.352 3.252 20 30 DGEDMN Cc1nccc([C@@H]2CCCN(Cc3ccc(C#N)cc3F)C2)n1 ZINC000603834100 400720795 /nfs/dbraw/zinc/72/07/95/400720795.db2.gz HKJGZGSXVFHWQW-MRXNPFEDSA-N 0 1 310.376 3.175 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cc(C(C)C)[nH]n1 ZINC000052514397 400735614 /nfs/dbraw/zinc/73/56/14/400735614.db2.gz SWWDIJVEDSFSJO-UHFFFAOYSA-N 0 1 310.401 3.457 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)N[C@](C)(C#N)C(C)C)c(C)c1 ZINC000604081306 400747926 /nfs/dbraw/zinc/74/79/26/400747926.db2.gz LPEZPCHQUKSXHJ-QFBILLFUSA-N 0 1 315.461 3.351 20 30 DGEDMN C=CCCSCCNC(=O)[C@H](c1ccccc1)N(C)CC ZINC000597971771 400707114 /nfs/dbraw/zinc/70/71/14/400707114.db2.gz GKBAMQUOKMWHLR-INIZCTEOSA-N 0 1 306.475 3.105 20 30 DGEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)Cc1cccc2ccccc21 ZINC000051992979 400712523 /nfs/dbraw/zinc/71/25/23/400712523.db2.gz ZANJKEZUGACFIA-HXUWFJFHSA-N 0 1 321.424 3.080 20 30 DGEDMN CC(C)[C@@H]1CN(C)CCN1c1nc(C(C)(C)C)ccc1C#N ZINC000613426723 400716567 /nfs/dbraw/zinc/71/65/67/400716567.db2.gz LRQMIKVUOSPFOR-HNNXBMFYSA-N 0 1 300.450 3.027 20 30 DGEDMN C[C@@]1(C#N)CCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000598408503 400806434 /nfs/dbraw/zinc/80/64/34/400806434.db2.gz CDFTZSHOBSIEBY-INIZCTEOSA-N 0 1 314.776 3.106 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N(C)CC(=O)N[C@](C)(C#N)C(C)C)c1 ZINC000604492278 400780158 /nfs/dbraw/zinc/78/01/58/400780158.db2.gz NVVZVRBEJLCSTB-VQIMIIECSA-N 0 1 315.461 3.351 20 30 DGEDMN Cc1ccc(C)c([C@H](C)N(C)CC(=O)N[C@@](C)(C#N)C(C)C)c1 ZINC000604492276 400780509 /nfs/dbraw/zinc/78/05/09/400780509.db2.gz NVVZVRBEJLCSTB-LPHOPBHVSA-N 0 1 315.461 3.351 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)C[C@H](O)CC(C)(C)C#N ZINC000598617684 400843901 /nfs/dbraw/zinc/84/39/01/400843901.db2.gz VAMLJKMCNGDHBF-QGZVFWFLSA-N 0 1 318.465 3.143 20 30 DGEDMN Cc1ccc([C@@H](NC[C@@H](O)CC(C)(C)C#N)c2ccccn2)cc1 ZINC000598612445 400844591 /nfs/dbraw/zinc/84/45/91/400844591.db2.gz VEDRAELFMJLJFG-PKOBYXMFSA-N 0 1 323.440 3.370 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@@H]1c1ccc(F)cc1F ZINC000598621190 400846071 /nfs/dbraw/zinc/84/60/71/400846071.db2.gz RNQJSDBOOZMHJB-XJKSGUPXSA-N 0 1 308.372 3.402 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CNCc1c(Cl)cccc1Cl ZINC000598713843 400863681 /nfs/dbraw/zinc/86/36/81/400863681.db2.gz DOCDWRAVMQHTFQ-JTQLQIEISA-N 0 1 301.217 3.384 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CNCc1c(Cl)cccc1Cl ZINC000598713845 400863713 /nfs/dbraw/zinc/86/37/13/400863713.db2.gz DOCDWRAVMQHTFQ-SNVBAGLBSA-N 0 1 301.217 3.384 20 30 DGEDMN Cc1cnc(OC2CCN(Cc3ccc(C#N)cc3C)CC2)nc1 ZINC000594020005 400950992 /nfs/dbraw/zinc/95/09/92/400950992.db2.gz APRNNGMSNPXIHI-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN Cc1cnc(OC2CCN([C@@H](C)c3cccc(C#N)c3)CC2)nc1 ZINC000594020434 400951043 /nfs/dbraw/zinc/95/10/43/400951043.db2.gz IKICBETYNNRIDT-HNNXBMFYSA-N 0 1 322.412 3.261 20 30 DGEDMN CCN(CCC#N)C(=O)CN[C@H](c1ccc(F)cc1F)C(C)C ZINC000181633870 400889480 /nfs/dbraw/zinc/88/94/80/400889480.db2.gz ZPYYBNHZDNPBQB-KRWDZBQOSA-N 0 1 323.387 3.014 20 30 DGEDMN CC(C)(C#N)c1ccc(CN[C@@H]2Cc3ccccc3NC2=O)cc1 ZINC000598999962 400925554 /nfs/dbraw/zinc/92/55/54/400925554.db2.gz PHSLFVQWILSAFG-GOSISDBHSA-N 0 1 319.408 3.141 20 30 DGEDMN CN(C)[C@H](CNc1ccc(C#N)cn1)c1ccccc1Cl ZINC000198356881 400931270 /nfs/dbraw/zinc/93/12/70/400931270.db2.gz AEPCRMWUODFJPS-OAHLLOKOSA-N 0 1 300.793 3.321 20 30 DGEDMN C[C@H]1CN(Cc2cccc(C#N)c2)CC[C@H]1C(=O)OC(C)(C)C ZINC000599585167 401084980 /nfs/dbraw/zinc/08/49/80/401084980.db2.gz YKXLYSQTPJFTJX-WMLDXEAASA-N 0 1 314.429 3.358 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2)CC[C@H]1C(=O)OC(C)(C)C ZINC000599588588 401085695 /nfs/dbraw/zinc/08/56/95/401085695.db2.gz IZPPTJGPTGQUMB-RHSMWYFYSA-N 0 1 314.429 3.358 20 30 DGEDMN Cc1ccc(CN[C@@H](C[S@](C)=O)c2ccccc2)cc1C#N ZINC000599355907 401033389 /nfs/dbraw/zinc/03/33/89/401033389.db2.gz JZFAWHUXMAMXDM-AVRDEDQJSA-N 0 1 312.438 3.076 20 30 DGEDMN Cc1ccc(CN2CCc3c(CC#N)cccc3C2)cc1C#N ZINC000599676709 401110336 /nfs/dbraw/zinc/11/03/36/401110336.db2.gz BTRRPMSQPPKJGJ-UHFFFAOYSA-N 0 1 301.393 3.491 20 30 DGEDMN COC[C@@H](C)N(Cc1ccc(C#N)cn1)[C@H]1CCc2ccccc21 ZINC000599682441 401114322 /nfs/dbraw/zinc/11/43/22/401114322.db2.gz JKZSFJUBDKUJRS-QRWLVFNGSA-N 0 1 321.424 3.478 20 30 DGEDMN COc1ccc([C@@H](NCc2cc(C#N)ccc2F)C2CC2)cn1 ZINC000599702762 401121388 /nfs/dbraw/zinc/12/13/88/401121388.db2.gz ZAAWPTBMQICNPW-SFHVURJKSA-N 0 1 311.360 3.342 20 30 DGEDMN C=C(C)[C@H](NC(=O)CN(C)C1CCC1)c1ccc(F)c(F)c1 ZINC000629984997 401146584 /nfs/dbraw/zinc/14/65/84/401146584.db2.gz LYZBMVIMYMFNRD-KRWDZBQOSA-N 0 1 308.372 3.183 20 30 DGEDMN N#C[C@@H](C(=O)c1ncc2n1CCCC2)c1ccc2ccccc2n1 ZINC000633893990 401141396 /nfs/dbraw/zinc/14/13/96/401141396.db2.gz AVFFTDURWGUSLH-OAHLLOKOSA-N 0 1 316.364 3.258 20 30 DGEDMN CCOCCN(Cc1ccnc(C#N)c1)[C@@H]1CCc2ccccc21 ZINC000599626667 401093902 /nfs/dbraw/zinc/09/39/02/401093902.db2.gz YIQDYGQUDOGURX-HXUWFJFHSA-N 0 1 321.424 3.479 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000625646047 401169654 /nfs/dbraw/zinc/16/96/54/401169654.db2.gz JDLMNOQPBXPTDQ-HZSPNIEDSA-N 0 1 302.409 3.023 20 30 DGEDMN N#CC(C(=O)c1cnn(C(F)F)c1)c1nc(C2CC2)cs1 ZINC000634011604 401178374 /nfs/dbraw/zinc/17/83/74/401178374.db2.gz HCXSNONIAHTCLP-VIFPVBQESA-N 0 1 308.313 3.102 20 30 DGEDMN C=CCCn1cc(CNCCSCc2ccccc2F)nn1 ZINC000594917248 401187437 /nfs/dbraw/zinc/18/74/37/401187437.db2.gz IFSBQFGCWCAEHK-UHFFFAOYSA-N 0 1 320.437 3.016 20 30 DGEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)c1ccc(F)cc1 ZINC000065433275 401265462 /nfs/dbraw/zinc/26/54/62/401265462.db2.gz IIPQPLNTPCVUPY-RDTXWAMCSA-N 0 1 319.424 3.263 20 30 DGEDMN C[C@@H](O)[C@@H]1CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000089933019 401244517 /nfs/dbraw/zinc/24/45/17/401244517.db2.gz GVJKQEULXNBHEG-UKRRQHHQSA-N 0 1 311.347 3.150 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc([S@](C)=O)cc1 ZINC000090117860 401259135 /nfs/dbraw/zinc/25/91/35/401259135.db2.gz GNUUHTKLALRZRP-AMXDTQDGSA-N 0 1 316.401 3.286 20 30 DGEDMN C[C@@H]1CC[C@](C)(/C=C/C(=O)NC2(C#N)CCN(C)CC2)C1(C)C ZINC000493270695 296748413 /nfs/dbraw/zinc/74/84/13/296748413.db2.gz LMJXQJHIBMENJO-DYBMROTFSA-N 0 1 317.477 3.109 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N(C)c1ccccc1 ZINC000411444508 287050654 /nfs/dbraw/zinc/05/06/54/287050654.db2.gz DUEDTQFXJBOHHZ-LSDHHAIUSA-N 0 1 307.397 3.260 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)NC1CCC(C)CC1 ZINC000127508605 287050741 /nfs/dbraw/zinc/05/07/41/287050741.db2.gz NNXIEAMUBPRSOB-QRHJNKNDSA-N 0 1 313.445 3.292 20 30 DGEDMN C[C@@H](O)CN(CC1(C#N)CC1)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000441235621 287083439 /nfs/dbraw/zinc/08/34/39/287083439.db2.gz SSBWCXAESZKBCB-UONOGXRCSA-N 0 1 306.837 3.258 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccc(Cl)cc2F)s1 ZINC000230337098 165396717 /nfs/dbraw/zinc/39/67/17/165396717.db2.gz HFPSIGFMILZTEI-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)c2ccc(C#N)c(O)c2)c2ccccc2N1 ZINC000191111459 432222270 /nfs/dbraw/zinc/22/22/70/432222270.db2.gz RTGBVCKSLVFLJC-INIZCTEOSA-N 0 1 321.380 3.361 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2nc(C(F)(F)F)ccc2C#N)[nH]n1 ZINC000133509894 196331458 /nfs/dbraw/zinc/33/14/58/196331458.db2.gz XHXRSLFSYOPKKT-MRVPVSSYSA-N 0 1 309.295 3.047 20 30 DGEDMN COC[C@@]1(C)CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000296734079 226174843 /nfs/dbraw/zinc/17/48/43/226174843.db2.gz ODWZLHKJQFYTES-HNNXBMFYSA-N 0 1 323.234 3.179 20 30 DGEDMN CCOc1ccccc1NC(=O)CCN(CCC#N)CC1CC1 ZINC000137582095 227050675 /nfs/dbraw/zinc/05/06/75/227050675.db2.gz ICURWMLBCJMTQU-UHFFFAOYSA-N 0 1 315.417 3.040 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cc(Cl)ccc1O ZINC000172591535 228276611 /nfs/dbraw/zinc/27/66/11/228276611.db2.gz CCZGVGSGJMZZJO-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C[C@@H]1[C@H](c2ccccc2)CCN1CC(=O)Nc1ccc(C#N)cc1 ZINC000177535962 228331154 /nfs/dbraw/zinc/33/11/54/228331154.db2.gz MJJGUHITCPGSFP-DNVCBOLYSA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CCc3ccc(F)cc3C2)c1 ZINC000178788009 228347170 /nfs/dbraw/zinc/34/71/70/228347170.db2.gz GUOFSAGGQIVULB-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1Cc1ccc(CO)cc1 ZINC000305795778 228902169 /nfs/dbraw/zinc/90/21/69/228902169.db2.gz CGMYBMCLIYWRBO-WOJBJXKFSA-N 0 1 306.409 3.451 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(OCC(F)F)cc2)CC1 ZINC000342844153 229118471 /nfs/dbraw/zinc/11/84/71/229118471.db2.gz SGKHGCOCHWCPNM-UHFFFAOYSA-N 0 1 324.371 3.083 20 30 DGEDMN COc1cc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cc(C)n1 ZINC000337218934 229046658 /nfs/dbraw/zinc/04/66/58/229046658.db2.gz JKNUKGWZGQITFT-CYBMUJFWSA-N 0 1 320.352 3.073 20 30 DGEDMN C[C@@H]1CN(CC(=O)N(CCC#N)c2ccccc2)CC(C)(C)C1 ZINC000344062191 229133343 /nfs/dbraw/zinc/13/33/43/229133343.db2.gz MVDGZHFCQZLWTN-INIZCTEOSA-N 0 1 313.445 3.301 20 30 DGEDMN N#CC(C(=O)c1cncc(-c2ccccc2)c1)c1ccncn1 ZINC000354466251 229295143 /nfs/dbraw/zinc/29/51/43/229295143.db2.gz MPFDBLDSLUTFLA-MRXNPFEDSA-N 0 1 300.321 3.029 20 30 DGEDMN COc1cc(Cl)c(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000354459194 229295339 /nfs/dbraw/zinc/29/53/39/229295339.db2.gz CUXDRKDDVGHIPK-SECBINFHSA-N 0 1 322.151 3.282 20 30 DGEDMN C[C@@H]1C[C@H](C)N(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000350085219 229219091 /nfs/dbraw/zinc/21/90/91/229219091.db2.gz RYFCBGXXMFGKQS-CABCVRRESA-N 0 1 309.413 3.468 20 30 DGEDMN N#CC(C(=O)c1cc(Cl)ccc1OC(F)F)c1ccncn1 ZINC000354467818 229296004 /nfs/dbraw/zinc/29/60/04/229296004.db2.gz ODXYBJPSQFCLPI-JTQLQIEISA-N 0 1 323.686 3.221 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2ccncn2)cccc1-c1ccccn1 ZINC000354482483 229297444 /nfs/dbraw/zinc/29/74/44/229297444.db2.gz ZZLATUBBUWWYOW-INIZCTEOSA-N 0 1 314.348 3.337 20 30 DGEDMN COCCOc1ccccc1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000353429271 229277816 /nfs/dbraw/zinc/27/78/16/229277816.db2.gz TXJSAKYAFUBQOI-IBGZPJMESA-N 0 1 322.408 3.361 20 30 DGEDMN N#CC[C@H](CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC000355610090 229313320 /nfs/dbraw/zinc/31/33/20/229313320.db2.gz SEMFNGLACRHOIZ-CYBMUJFWSA-N 0 1 321.340 3.091 20 30 DGEDMN Cc1cc(NC[C@@H](c2ccco2)N(C)C)c(C#N)cc1[N+](=O)[O-] ZINC000413022602 230114054 /nfs/dbraw/zinc/11/40/54/230114054.db2.gz FIHLGHCSTLPYTE-HNNXBMFYSA-N 0 1 314.345 3.083 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1Cc2ccccc2C1 ZINC000359052069 270003981 /nfs/dbraw/zinc/00/39/81/270003981.db2.gz WPMDOXAAPFEUBS-GJZGRUSLSA-N 0 1 319.408 3.140 20 30 DGEDMN CC[C@H]1CCCN(Cc2cccc(C(=O)OC)c2C#N)CC1 ZINC000533762460 270005020 /nfs/dbraw/zinc/00/50/20/270005020.db2.gz VAXKTRSOWYOCLP-AWEZNQCLSA-N 0 1 300.402 3.357 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1scnc1C1CC1 ZINC000534236315 270005112 /nfs/dbraw/zinc/00/51/12/270005112.db2.gz SOHXMEYYPMDNOG-UHFFFAOYSA-N 0 1 319.411 3.001 20 30 DGEDMN Cc1ncsc1CCn1[nH]c(C(C)(C)C)c(CCC#N)c1=O ZINC000270256915 432231612 /nfs/dbraw/zinc/23/16/12/432231612.db2.gz OMODMQXIBBNMLL-UHFFFAOYSA-N 0 1 318.446 3.350 20 30 DGEDMN COc1ccc2c(c1)OCC[C@H]2NCc1ccc(C#N)s1 ZINC000377988962 266876646 /nfs/dbraw/zinc/87/66/46/266876646.db2.gz CGBVUVFKMDWPBF-OAHLLOKOSA-N 0 1 300.383 3.242 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000366427322 267208303 /nfs/dbraw/zinc/20/83/03/267208303.db2.gz CILWNVVKHSDEGM-DYVFJYSZSA-N 0 1 311.360 3.307 20 30 DGEDMN COc1ccc(Cl)c(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000527482956 267629603 /nfs/dbraw/zinc/62/96/03/267629603.db2.gz PASCWEDHLQAWIE-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN N#Cc1ccccc1OCC(=O)Nc1cc(F)cc(Cl)c1O ZINC000353893558 268281914 /nfs/dbraw/zinc/28/19/14/268281914.db2.gz LFFWQCYRBUVUTD-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN N#Cc1ccccc1OCc1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000356971125 268284186 /nfs/dbraw/zinc/28/41/86/268284186.db2.gz GMSLIVWGDTTZHC-UHFFFAOYSA-N 0 1 317.308 3.064 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](c2cccc(OC)c2)N(C)C)CCC1 ZINC000191300350 432247214 /nfs/dbraw/zinc/24/72/14/432247214.db2.gz PEEPDOZMTJQQDB-KRWDZBQOSA-N 0 1 316.445 3.161 20 30 DGEDMN C[C@H]1COc2ccccc2CN1CCOc1ccccc1C#N ZINC000359899157 271013812 /nfs/dbraw/zinc/01/38/12/271013812.db2.gz VKVFKDBSUWINQA-HNNXBMFYSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1nc(NC2CCN(Cc3ccc(F)cc3)CC2)ccc1C#N ZINC000488105448 429176836 /nfs/dbraw/zinc/17/68/36/429176836.db2.gz VOXLDXXXANUDCK-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN C=C[C@H](CO)N[C@@H](C)c1cc2cc(Br)ccc2o1 ZINC000289815477 275438327 /nfs/dbraw/zinc/43/83/27/275438327.db2.gz ORPAWUUDYSEZIY-JOYOIKCWSA-N 0 1 310.191 3.393 20 30 DGEDMN CCN(CC(=O)N1CCCC[C@H]1C)[C@H](C)c1ccc(C#N)cc1 ZINC000249750703 276080216 /nfs/dbraw/zinc/08/02/16/276080216.db2.gz QLFYJJWPHYLIMZ-HZPDHXFCSA-N 0 1 313.445 3.342 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2/C=C\c2ccccc2)CC1 ZINC000490936681 276783117 /nfs/dbraw/zinc/78/31/17/276783117.db2.gz RKAOOSHXGBKTIQ-PISNEZEASA-N 0 1 322.452 3.036 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000329955270 277229444 /nfs/dbraw/zinc/22/94/44/277229444.db2.gz KQBWUAUXIHUMJI-KGLIPLIRSA-N 0 1 304.438 3.459 20 30 DGEDMN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000329019159 277361998 /nfs/dbraw/zinc/36/19/98/277361998.db2.gz LFSCPUJCKDOIJM-MJBXVCDLSA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000329019159 277361999 /nfs/dbraw/zinc/36/19/99/277361999.db2.gz LFSCPUJCKDOIJM-MJBXVCDLSA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCCC[C@@H](C)C1)CC2 ZINC000330117535 278144266 /nfs/dbraw/zinc/14/42/66/278144266.db2.gz XDJTYNSPMFUZCC-GDBMZVCRSA-N 0 1 318.465 3.357 20 30 DGEDMN CC(C)C[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330243646 279017500 /nfs/dbraw/zinc/01/75/00/279017500.db2.gz CTLSONAKXMCYOW-GJZGRUSLSA-N 0 1 304.438 3.013 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)NCC1CCCCC1 ZINC000109813488 431177408 /nfs/dbraw/zinc/17/74/08/431177408.db2.gz XSAGZWYOIOQQMK-UHFFFAOYSA-N 0 1 307.482 3.335 20 30 DGEDMN Cc1ccccc1CN(C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000069057090 431157167 /nfs/dbraw/zinc/15/71/67/431157167.db2.gz FTCJYCDUQFUVKL-INIZCTEOSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1ccsc1CN(C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000069598177 431203425 /nfs/dbraw/zinc/20/34/25/431203425.db2.gz ZQFOTZGQPSGHLK-CQSZACIVSA-N 0 1 319.474 3.220 20 30 DGEDMN CN(CC(=O)c1cn(CCC#N)c2ccccc12)CC1CCC1 ZINC000111296135 431209340 /nfs/dbraw/zinc/20/93/40/431209340.db2.gz NMNBYYJXJYQOBA-UHFFFAOYSA-N 0 1 309.413 3.470 20 30 DGEDMN CC(C)C[C@@H]1CC[N@H+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000072492006 431333729 /nfs/dbraw/zinc/33/37/29/431333729.db2.gz NVWOQZNBRBIGSH-FUHWJXTLSA-N 0 1 311.429 3.032 20 30 DGEDMN COc1nc(C(=O)[C@H](C#N)c2ccccn2)cc2ccccc21 ZINC000114617836 431401717 /nfs/dbraw/zinc/40/17/17/431401717.db2.gz VPAYBXBNMXMSSD-CQSZACIVSA-N 0 1 303.321 3.128 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)n1C ZINC000076365882 431545570 /nfs/dbraw/zinc/54/55/70/431545570.db2.gz SWTYIYDKFHEEIE-UHFFFAOYSA-N 0 1 315.764 3.408 20 30 DGEDMN C=CCCOCCNCc1ccc(F)cc1Br ZINC000120857092 431738432 /nfs/dbraw/zinc/73/84/32/431738432.db2.gz MFHFOXIWBFEVDQ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN COc1cc(C#N)ccc1C[N@@H+](C)[C@H](C)Cc1ccc(O)cc1 ZINC000121254672 431761859 /nfs/dbraw/zinc/76/18/59/431761859.db2.gz XILNUEILZPWEKG-CQSZACIVSA-N 0 1 310.397 3.335 20 30 DGEDMN N#C[C@H](C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1ccccn1 ZINC000041480712 431859550 /nfs/dbraw/zinc/85/95/50/431859550.db2.gz RJMDMDLHUHJHDG-ZDUSSCGKSA-N 0 1 306.300 3.101 20 30 DGEDMN N#CC(C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1ccccn1 ZINC000041480712 431859552 /nfs/dbraw/zinc/85/95/52/431859552.db2.gz RJMDMDLHUHJHDG-ZDUSSCGKSA-N 0 1 306.300 3.101 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2ccc(F)cc2Cl)cc1 ZINC000044253470 431872195 /nfs/dbraw/zinc/87/21/95/431872195.db2.gz RCRFPHBGOZULDU-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN C=CCc1cc(CN2CCS[C@H](C)[C@H]2C)cc(OC)c1O ZINC000093500327 431916237 /nfs/dbraw/zinc/91/62/37/431916237.db2.gz ZNNPWUCKNLNPFA-CHWSQXEVSA-N 0 1 307.459 3.455 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000125458778 431925700 /nfs/dbraw/zinc/92/57/00/431925700.db2.gz FNWLMEHJNDOOPQ-UONOGXRCSA-N 0 1 319.474 3.137 20 30 DGEDMN CC[C@@H](CSC)N(C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000091099531 431902247 /nfs/dbraw/zinc/90/22/47/431902247.db2.gz QDBXLCLOSYJIBX-AWEZNQCLSA-N 0 1 316.430 3.182 20 30 DGEDMN C=C(C)COCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091397674 431903877 /nfs/dbraw/zinc/90/38/77/431903877.db2.gz IQAYNSPEBKITRV-LBPRGKRZSA-N 0 1 307.825 3.470 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CN2CCCC2=O)c2ccccc2)cc1 ZINC000048715059 431931726 /nfs/dbraw/zinc/93/17/26/431931726.db2.gz WBSIXOMXRORATI-IBGZPJMESA-N 0 1 319.408 3.012 20 30 DGEDMN CCCc1c(C(=O)[C@H](C#N)c2ccccn2)[nH]c(C)c1C(C)=O ZINC000049458826 431940124 /nfs/dbraw/zinc/94/01/24/431940124.db2.gz IHRVJEFHLPOAJL-CQSZACIVSA-N 0 1 309.369 3.363 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCC[C@@H](C)C2)c1C ZINC000051150451 431949622 /nfs/dbraw/zinc/94/96/22/431949622.db2.gz WDIDZWLYFWWUDQ-OAHLLOKOSA-N 0 1 313.445 3.282 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)c1sccc1C#N)c1ccco1 ZINC000052050509 431954584 /nfs/dbraw/zinc/95/45/84/431954584.db2.gz XQDIVWJUGVBLMX-ZDUSSCGKSA-N 0 1 317.414 3.026 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CC)[C@H](C)c1ccc(C#N)cc1 ZINC000054340572 431969409 /nfs/dbraw/zinc/96/94/09/431969409.db2.gz SOZKAYQTEJTETC-MRXNPFEDSA-N 0 1 313.445 3.366 20 30 DGEDMN COc1ccc(Cl)cc1CN1CCN(CCCCC#N)CC1 ZINC000102397043 431993426 /nfs/dbraw/zinc/99/34/26/431993426.db2.gz ZVLSYCVFNNIMNY-UHFFFAOYSA-N 0 1 321.852 3.160 20 30 DGEDMN COc1ccc(NC(=O)CC[N@@H+](CCC#N)CCC(C)C)cc1 ZINC000173544803 432286135 /nfs/dbraw/zinc/28/61/35/432286135.db2.gz DQBOUOBKKFTDMR-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN COc1ccc(NC(=O)CCN(CCC#N)CCC(C)C)cc1 ZINC000173544803 432286138 /nfs/dbraw/zinc/28/61/38/432286138.db2.gz DQBOUOBKKFTDMR-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(Cc1ccc(C#N)cc1)C(C)C ZINC000058397165 431996702 /nfs/dbraw/zinc/99/67/02/431996702.db2.gz ZTIHLLPKQKXSQX-UHFFFAOYSA-N 0 1 313.445 3.193 20 30 DGEDMN CCc1cccc(OCC(=O)Nc2nc(-c3ccco3)n[nH]2)c1 ZINC000060615272 431999614 /nfs/dbraw/zinc/99/96/14/431999614.db2.gz KAHDUPTYVREGGN-UHFFFAOYSA-N 0 1 312.329 3.294 20 30 DGEDMN CCCC1CCC([N@@H+](C)CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000191418381 432262929 /nfs/dbraw/zinc/26/29/29/432262929.db2.gz LCFFDZRKFYEKOW-JFUPDXTOSA-N 0 1 305.466 3.086 20 30 DGEDMN CCc1nc2c(s1)[C@@H]([N@@H+](C)Cc1cc(C#N)n(C)c1)CCC2 ZINC000191418410 432263257 /nfs/dbraw/zinc/26/32/57/432263257.db2.gz BDVYEUKMELUVRY-HNNXBMFYSA-N 0 1 314.458 3.425 20 30 DGEDMN CCc1nc2c(s1)[C@@H](N(C)Cc1cc(C#N)n(C)c1)CCC2 ZINC000191418410 432263261 /nfs/dbraw/zinc/26/32/61/432263261.db2.gz BDVYEUKMELUVRY-HNNXBMFYSA-N 0 1 314.458 3.425 20 30 DGEDMN C[C@H](CNC(=O)OC(C)(C)C)N(C)Cc1ccc(C#N)c(F)c1 ZINC000192104391 432334062 /nfs/dbraw/zinc/33/40/62/432334062.db2.gz LOPUNVNOHKEUNV-GFCCVEGCSA-N 0 1 321.396 3.042 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC[C@@H](C)C1 ZINC000249349662 432346256 /nfs/dbraw/zinc/34/62/56/432346256.db2.gz WBXJNTRKZITYSZ-HUUCEWRRSA-N 0 1 317.408 3.193 20 30 DGEDMN CC(C)(CCC#N)CN1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000271398775 432387414 /nfs/dbraw/zinc/38/74/14/432387414.db2.gz VJTYNNWYVWHUGT-ZWKOTPCHSA-N 0 1 316.445 3.141 20 30 DGEDMN COc1ccc(C#N)cc1CSc1nc(-c2ccccn2)n[nH]1 ZINC000152851790 432361618 /nfs/dbraw/zinc/36/16/18/432361618.db2.gz HXTDGJXCYSDEKL-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000174671229 432363112 /nfs/dbraw/zinc/36/31/12/432363112.db2.gz HTKXMWWZFBGCQA-WMZOPIPTSA-N 0 1 313.445 3.049 20 30 DGEDMN COc1cc(C#N)ccc1OCCN[C@@H](C)c1ncc(C)s1 ZINC000184993018 432454061 /nfs/dbraw/zinc/45/40/61/432454061.db2.gz CEGAAEZFXIIHEF-LBPRGKRZSA-N 0 1 317.414 3.061 20 30 DGEDMN CCc1nc([C@H](C)NCCOc2cccc(C#N)c2)cs1 ZINC000184675693 432418689 /nfs/dbraw/zinc/41/86/89/432418689.db2.gz ZHOWYKDNRFUBSM-LBPRGKRZSA-N 0 1 301.415 3.307 20 30 DGEDMN CC(C)N(Cc1cc(C(N)=O)cs1)Cc1ccc(C#N)cc1 ZINC000154384467 432494915 /nfs/dbraw/zinc/49/49/15/432494915.db2.gz XIBRKNWXMUULRA-UHFFFAOYSA-N 0 1 313.426 3.129 20 30 DGEDMN Cc1nn(-c2ccc(F)cc2)c(Cl)c1CNCCCC#N ZINC000273046348 432542868 /nfs/dbraw/zinc/54/28/68/432542868.db2.gz JOCXQYCUSFVWSO-UHFFFAOYSA-N 0 1 306.772 3.367 20 30 DGEDMN C=CCN(CC=C)C(=O)c1ccc(NCc2cn[nH]c2C)cc1 ZINC000195120776 432606053 /nfs/dbraw/zinc/60/60/53/432606053.db2.gz VDVZDPSSBWYBBA-UHFFFAOYSA-N 0 1 310.401 3.144 20 30 DGEDMN CCN(C)[C@H](C(=O)N[C@@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000264042827 432608160 /nfs/dbraw/zinc/60/81/60/432608160.db2.gz QMOPJCUEEIFBGY-KXBFYZLASA-N 0 1 321.424 3.428 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000157016147 432612233 /nfs/dbraw/zinc/61/22/33/432612233.db2.gz XHAMCDAJYKVAPO-XJKSGUPXSA-N 0 1 315.417 3.218 20 30 DGEDMN COCC[C@@H](NCc1ccc(OCC#N)cc1)c1ccco1 ZINC000273204701 432566097 /nfs/dbraw/zinc/56/60/97/432566097.db2.gz AMCRDQFNJRGQOS-MRXNPFEDSA-N 0 1 300.358 3.049 20 30 DGEDMN N#Cc1ccccc1CN(CCc1ccccc1)[C@H]1CCOC1 ZINC000177143582 432590402 /nfs/dbraw/zinc/59/04/02/432590402.db2.gz ITPKZCFCZGLQOC-FQEVSTJZSA-N 0 1 306.409 3.392 20 30 DGEDMN C=CC[C@H](C)[C@H](C)[NH2+]Cc1nnc(COc2ccccc2)[n-]1 ZINC000186431125 432593908 /nfs/dbraw/zinc/59/39/08/432593908.db2.gz KBACMCXXGALHTI-KBPBESRZSA-N 0 1 300.406 3.074 20 30 DGEDMN N#Cc1csc(CNCc2ccc(-n3ccnc3)c(F)c2)c1 ZINC000177690377 432651164 /nfs/dbraw/zinc/65/11/64/432651164.db2.gz FYKALKVNIWNUTF-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN N#Cc1csc(CNCc2ccc3c(c2)OCCCO3)c1 ZINC000177695435 432651662 /nfs/dbraw/zinc/65/16/62/432651662.db2.gz WXSMTKIWMRWACY-UHFFFAOYSA-N 0 1 300.383 3.071 20 30 DGEDMN C#CCCOc1ccc(CN[C@H](CO)c2ccc(F)cc2)cc1 ZINC000265277593 432683934 /nfs/dbraw/zinc/68/39/34/432683934.db2.gz WEMXUYFBXZXJHC-LJQANCHMSA-N 0 1 313.372 3.051 20 30 DGEDMN Cc1c(NC(=O)c2ccc(C#N)c(O)c2)cnn1-c1ccccc1 ZINC000188701579 432739865 /nfs/dbraw/zinc/73/98/65/432739865.db2.gz RNLUIJGCXXZDFA-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2OCC(F)F)cc1O ZINC000188788185 432746202 /nfs/dbraw/zinc/74/62/02/432746202.db2.gz SSOCVZQYZLLWHQ-UHFFFAOYSA-N 0 1 318.279 3.160 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN(C)C2CCC(OC)CC2)c1C ZINC000267234523 432824297 /nfs/dbraw/zinc/82/42/97/432824297.db2.gz DDWLEDSBFCDCFA-UHFFFAOYSA-N 0 1 318.461 3.363 20 30 DGEDMN C#CCC1(C(=O)N2CCC(c3cc(C)[nH]n3)CC2)CCCCC1 ZINC000276408665 432805471 /nfs/dbraw/zinc/80/54/71/432805471.db2.gz AOYATEONLZMODE-UHFFFAOYSA-N 0 1 313.445 3.398 20 30 DGEDMN Fc1ccc(C#CCN(C[C@H]2CCCO2)[C@H]2CCSC2)cc1 ZINC000245989308 432874225 /nfs/dbraw/zinc/87/42/25/432874225.db2.gz WRQMTCIZPBYPAU-ZWKOTPCHSA-N 0 1 319.445 3.164 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)c2n[nH]c([C@H](C)CC)n2)CCCCC1 ZINC000277852570 432878656 /nfs/dbraw/zinc/87/86/56/432878656.db2.gz NPYCTQMNSYTHGP-KGLIPLIRSA-N 0 1 316.449 3.469 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)CCc2nc(C3CCCC3)no2)CC[N@H+]1C ZINC000330032083 432890090 /nfs/dbraw/zinc/89/00/90/432890090.db2.gz UMBIJYUHNUJCHV-TZMCWYRMSA-N 0 1 320.437 3.099 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CCCC(C)(C)C1)CC2 ZINC000330058312 432890635 /nfs/dbraw/zinc/89/06/35/432890635.db2.gz YTJAXFGCCSUSLL-HNNXBMFYSA-N 0 1 318.465 3.357 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)CC[C@H]2c2ccccc2)CC1 ZINC000288284400 432890807 /nfs/dbraw/zinc/89/08/07/432890807.db2.gz HKOCLLDMSRLIFC-PXNSSMCTSA-N 0 1 324.468 3.331 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CCC[C@@H](C)CC1)CC2 ZINC000330050428 432891487 /nfs/dbraw/zinc/89/14/87/432891487.db2.gz LROHJHAHWBDBPA-ZBFHGGJFSA-N 0 1 318.465 3.357 20 30 DGEDMN O=C(N[C@H]1CCCc2cn[nH]c21)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000330130017 432894618 /nfs/dbraw/zinc/89/46/18/432894618.db2.gz KGNRRYGHHAJOES-OGABVIOJSA-N 0 1 314.433 3.212 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2CC(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000330663752 432923589 /nfs/dbraw/zinc/92/35/89/432923589.db2.gz TYJNYJNNXGSKFG-PKOBYXMFSA-N 0 1 313.445 3.186 20 30 DGEDMN C#Cc1ccc(CNCc2cccc(C(=O)NCCC)c2)cc1 ZINC000289235756 432936651 /nfs/dbraw/zinc/93/66/51/432936651.db2.gz KPUBDLQULXYKCL-UHFFFAOYSA-N 0 1 306.409 3.098 20 30 DGEDMN C=CCOc1ccc(CNCc2cnc([C@@H](C)O)s2)cc1 ZINC000289347107 432947033 /nfs/dbraw/zinc/94/70/33/432947033.db2.gz IOUUYONAWFVOQP-GFCCVEGCSA-N 0 1 304.415 3.051 20 30 DGEDMN Cc1ccc2c(c1)[C@H]([NH+]=C([O-])N[C@H]1CCn3ccnc3C1)CCC2 ZINC000330233935 432898311 /nfs/dbraw/zinc/89/83/11/432898311.db2.gz YCHJLYTWNHLYFZ-DOTOQJQBSA-N 0 1 324.428 3.088 20 30 DGEDMN Cc1ccc2c(c1)[C@H](NC([O-])=[NH+][C@H]1CCn3ccnc3C1)CCC2 ZINC000330233935 432898314 /nfs/dbraw/zinc/89/83/14/432898314.db2.gz YCHJLYTWNHLYFZ-DOTOQJQBSA-N 0 1 324.428 3.088 20 30 DGEDMN CC1(C)C[C@H]([NH+]=C([O-])N[C@H]2CCc3c[nH]nc3C2)c2ccccc21 ZINC000330253096 432901030 /nfs/dbraw/zinc/90/10/30/432901030.db2.gz ALLYIFYXUJJGAM-GUYCJALGSA-N 0 1 324.428 3.193 20 30 DGEDMN CC1(C)C[C@H](NC([O-])=[NH+][C@H]2CCc3c[nH]nc3C2)c2ccccc21 ZINC000330253096 432901032 /nfs/dbraw/zinc/90/10/32/432901032.db2.gz ALLYIFYXUJJGAM-GUYCJALGSA-N 0 1 324.428 3.193 20 30 DGEDMN CCc1nc(C)c(NC(=O)N[C@H]2CCCc3cn[nH]c32)s1 ZINC000330339758 432904172 /nfs/dbraw/zinc/90/41/72/432904172.db2.gz XBUKTUQGCQQOOL-JTQLQIEISA-N 0 1 305.407 3.141 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000330427084 432909170 /nfs/dbraw/zinc/90/91/70/432909170.db2.gz FXTKPHHKCITSKR-RVKKMQEKSA-N 0 1 313.445 3.388 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+]2CCC3(CC(OCC)C3)C2)cc1 ZINC000289944865 432981298 /nfs/dbraw/zinc/98/12/98/432981298.db2.gz YJPDFYOHOVUQTE-UHFFFAOYSA-N 0 1 313.441 3.480 20 30 DGEDMN CCC1(C)CN(Cc2cn(CCC#N)nc2-c2ccncc2)C1 ZINC000297332877 432993477 /nfs/dbraw/zinc/99/34/77/432993477.db2.gz FBLYOELKBPNAPL-UHFFFAOYSA-N 0 1 309.417 3.091 20 30 DGEDMN C=CCN(C)Cc1cc(Br)c(O)c(OCC)c1 ZINC000297617718 432999946 /nfs/dbraw/zinc/99/99/46/432999946.db2.gz LAQUUWDHSSAQIE-UHFFFAOYSA-N 0 1 300.196 3.171 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)NC1CCCC1 ZINC000298007374 433040764 /nfs/dbraw/zinc/04/07/64/433040764.db2.gz YNLVWHVDTZGYNG-OAHLLOKOSA-N 0 1 313.445 3.218 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000425107229 433099454 /nfs/dbraw/zinc/09/94/54/433099454.db2.gz IZJLYMBJMNNBLB-SECBINFHSA-N 0 1 322.290 3.133 20 30 DGEDMN COCC(C)(C)CN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000446919696 433127758 /nfs/dbraw/zinc/12/77/58/433127758.db2.gz HYLBBHWVYZXGSI-UHFFFAOYSA-N 0 1 321.852 3.006 20 30 DGEDMN N#Cc1c2c(cnc1NC[C@H](c1ccco1)N1CCCC1)CCC2 ZINC000425205275 433120903 /nfs/dbraw/zinc/12/09/03/433120903.db2.gz VMAOLGUWEIWDQD-QGZVFWFLSA-N 0 1 322.412 3.284 20 30 DGEDMN Cc1[nH]nc2ncc(CN(C)[C@H](C)c3cccc(C#N)c3)cc12 ZINC000425367356 433208599 /nfs/dbraw/zinc/20/85/99/433208599.db2.gz SPKWSHSWMBVISY-CYBMUJFWSA-N 0 1 305.385 3.331 20 30 DGEDMN CC(C)(C)CCN1CCN(c2nccc(C#N)c2Cl)CC1 ZINC000341596729 433157296 /nfs/dbraw/zinc/15/72/96/433157296.db2.gz QSCUYJWWYVEMML-UHFFFAOYSA-N 0 1 306.841 3.165 20 30 DGEDMN CCc1c(-c2ccncc2)n[nH]c1NC(=O)c1cc(C#N)oc1C ZINC000373864498 433163689 /nfs/dbraw/zinc/16/36/89/433163689.db2.gz WCBROAJYWPFMJV-UHFFFAOYSA-N 0 1 321.340 3.060 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000298526895 433168588 /nfs/dbraw/zinc/16/85/88/433168588.db2.gz IVUAQLOFVMPAFD-BARDWOONSA-N 0 1 315.417 3.177 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1CN[C@@H](c1ncc[nH]1)c1ccc(F)cc1 ZINC000280775409 433168691 /nfs/dbraw/zinc/16/86/91/433168691.db2.gz HRZCEGKFGZJMCA-OIISXLGYSA-N 0 1 315.392 3.209 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@H]1CN[C@@H](c1ncc[nH]1)c1ccc(F)cc1 ZINC000280775426 433169033 /nfs/dbraw/zinc/16/90/33/433169033.db2.gz HRZCEGKFGZJMCA-UAGQMJEPSA-N 0 1 315.392 3.209 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(OCCC(C)C)CC1 ZINC000298575906 433184300 /nfs/dbraw/zinc/18/43/00/433184300.db2.gz KGFLRMAJRGCMDI-QGZVFWFLSA-N 0 1 322.493 3.103 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccccc1SC[C@H](C)C#N)N(C)C ZINC000298866961 433268416 /nfs/dbraw/zinc/26/84/16/433268416.db2.gz JWKDWYKXSMCEMA-OCCSQVGLSA-N 0 1 305.447 3.217 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H]2CCCc3cc(C#N)ccc32)o1 ZINC000341704609 433221504 /nfs/dbraw/zinc/22/15/04/433221504.db2.gz HMNRDQICUVTSQC-MRXNPFEDSA-N 0 1 310.353 3.105 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000280909045 433223127 /nfs/dbraw/zinc/22/31/27/433223127.db2.gz DEVTZRDJYMRCCP-LSDHHAIUSA-N 0 1 305.422 3.070 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)N[C@](C)(C#N)C2CC2)c(C)c1 ZINC000298851456 433259092 /nfs/dbraw/zinc/25/90/92/433259092.db2.gz MKHOWTFMODFZTC-HNAYVOBHSA-N 0 1 313.445 3.105 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@H](C)c2ccc(OC(F)F)cc2)C1=O ZINC000281193135 433271221 /nfs/dbraw/zinc/27/12/21/433271221.db2.gz BWCKFENGYTVTTJ-IUODEOHRSA-N 0 1 324.371 3.068 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2csc3ccccc23)C1=O ZINC000281304350 433277571 /nfs/dbraw/zinc/27/75/71/433277571.db2.gz PXXCXPHWWVLWGD-HNNXBMFYSA-N 0 1 300.427 3.120 20 30 DGEDMN Cn1nccc1[C@@H]1CCCCN1Cc1ccc(OCC#N)cc1 ZINC000281553564 433309793 /nfs/dbraw/zinc/30/97/93/433309793.db2.gz XPVOLWGHNVYZJB-SFHVURJKSA-N 0 1 310.401 3.050 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2ccc(C#N)cc2)CC1 ZINC000375082690 433356182 /nfs/dbraw/zinc/35/61/82/433356182.db2.gz UZWMIJMACKGVDF-UHFFFAOYSA-N 0 1 309.373 3.001 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)[C@H](CC)c2ccccc2)CC1 ZINC000299347560 433362132 /nfs/dbraw/zinc/36/21/32/433362132.db2.gz GATIMLHEJDFBKM-LJQANCHMSA-N 0 1 312.457 3.331 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN([C@H](C)c1ccccc1)C1CC1 ZINC000299347648 433363520 /nfs/dbraw/zinc/36/35/20/433363520.db2.gz GFUZNYVQPPBCHR-BEFAXECRSA-N 0 1 313.445 3.266 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000420989234 433367974 /nfs/dbraw/zinc/36/79/74/433367974.db2.gz WTPWAKQPLVPWKE-HNNXBMFYSA-N 0 1 308.372 3.173 20 30 DGEDMN CCCCCC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000299600284 433375887 /nfs/dbraw/zinc/37/58/87/433375887.db2.gz VNHQUEJSYWJKNJ-UHFFFAOYSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420941273 433334939 /nfs/dbraw/zinc/33/49/39/433334939.db2.gz FIEFTXYQFDVVFR-KBPBESRZSA-N 0 1 320.399 3.464 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C(C)C)[C@H](C)c1ccsc1 ZINC000421003351 433379867 /nfs/dbraw/zinc/37/98/67/433379867.db2.gz HEVGVTUKCJAOMZ-CQSZACIVSA-N 0 1 307.463 3.282 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000426000012 433400239 /nfs/dbraw/zinc/40/02/39/433400239.db2.gz GFNLUZCCVHSVQC-MRXNPFEDSA-N 0 1 319.424 3.137 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1nc2c(s1)CN(C(C)C)CC2 ZINC000426564051 433531245 /nfs/dbraw/zinc/53/12/45/433531245.db2.gz AMZKHOOBJFFKCA-CQSZACIVSA-N 0 1 305.491 3.450 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)OC(C)(C)C)CN(Cc2cc(C#N)cs2)C1 ZINC000421390912 433537554 /nfs/dbraw/zinc/53/75/54/433537554.db2.gz SYWFWGRODPJEOJ-OCCSQVGLSA-N 0 1 320.458 3.419 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1CNCc1ccc(C#N)s1 ZINC000421346329 433487435 /nfs/dbraw/zinc/48/74/35/433487435.db2.gz CNAGSNHLVRXMSS-UHFFFAOYSA-N 0 1 302.447 3.478 20 30 DGEDMN CC(=O)N1CC[C@H](NCc2ccc(C#N)c(F)c2)c2ccccc21 ZINC000421344944 433488209 /nfs/dbraw/zinc/48/82/09/433488209.db2.gz VKRMEKCFEVVFDE-SFHVURJKSA-N 0 1 323.371 3.285 20 30 DGEDMN C[C@H](c1ccccc1F)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292321404 433550788 /nfs/dbraw/zinc/55/07/88/433550788.db2.gz VVFSOCWJOQCWID-UKRRQHHQSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)Nc2ccc(CC#N)cc2Cl)CCC[N@H+]1C ZINC000455734358 433555039 /nfs/dbraw/zinc/55/50/39/433555039.db2.gz PHRIIYIYSKASBL-BXUZGUMPSA-N 0 1 320.824 3.010 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000292360348 433561528 /nfs/dbraw/zinc/56/15/28/433561528.db2.gz YQXWEEFJRFZUFX-GOSISDBHSA-N 0 1 324.428 3.228 20 30 DGEDMN N#Cc1ccc(NCC2(CN3CCOCC3)CCCCC2)cc1 ZINC000301598340 433629638 /nfs/dbraw/zinc/62/96/38/433629638.db2.gz XOHFFPAIQVSVGA-UHFFFAOYSA-N 0 1 313.445 3.253 20 30 DGEDMN N#Cc1cc(CN2CCC(CO)(c3ccccc3)CC2)ccc1F ZINC000293248226 433672826 /nfs/dbraw/zinc/67/28/26/433672826.db2.gz IBSKTJSUPGQNJE-UHFFFAOYSA-N 0 1 324.399 3.223 20 30 DGEDMN CC[C@@]1(C)CCCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000362384235 433678865 /nfs/dbraw/zinc/67/88/65/433678865.db2.gz FCEHLKHZBDZRAO-MJGOQNOKSA-N 0 1 311.429 3.176 20 30 DGEDMN C=CC1CCN(C(=O)[C@@H]2CCCCN2Cc2ccccc2)CC1 ZINC000342471401 433711963 /nfs/dbraw/zinc/71/19/63/433711963.db2.gz JCDHOGPILQTRMJ-IBGZPJMESA-N 0 1 312.457 3.466 20 30 DGEDMN CC(C)[C@@]1(CO)CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000339703357 433739641 /nfs/dbraw/zinc/73/96/41/433739641.db2.gz JEZLDZLWXLAWDE-KRWDZBQOSA-N 0 1 306.837 3.442 20 30 DGEDMN C=CCOc1ccc(Cc2nc([C@@H](N)CC(C)C)no2)cc1 ZINC000414611341 433742035 /nfs/dbraw/zinc/74/20/35/433742035.db2.gz BYJVOZCWFMXIHX-HNNXBMFYSA-N 0 1 301.390 3.271 20 30 DGEDMN CC(C)C[C@@H](N)c1noc([C@H](C)Oc2ccc(C#N)cc2)n1 ZINC000414611785 433743587 /nfs/dbraw/zinc/74/35/87/433743587.db2.gz CTNGOVJSWAKJQA-SMDDNHRTSA-N 0 1 300.362 3.127 20 30 DGEDMN CC(C)C[C@H](N)c1noc(-c2cccc(SCC#N)c2)n1 ZINC000414614637 433746627 /nfs/dbraw/zinc/74/66/27/433746627.db2.gz HIGQIYIWFGVKNN-ZDUSSCGKSA-N 0 1 302.403 3.398 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CC[N@H+]4CCCC[C@H]4C3)nc21 ZINC000428091949 433753651 /nfs/dbraw/zinc/75/36/51/433753651.db2.gz NSTMHZHOSHIYSE-KRWDZBQOSA-N 0 1 324.472 3.242 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CCN4CCCC[C@H]4C3)nc21 ZINC000428091949 433753662 /nfs/dbraw/zinc/75/36/62/433753662.db2.gz NSTMHZHOSHIYSE-KRWDZBQOSA-N 0 1 324.472 3.242 20 30 DGEDMN C=CCCN(C)CC(=O)N1CC[C@H](C)Sc2ccccc21 ZINC000342765690 433759892 /nfs/dbraw/zinc/75/98/92/433759892.db2.gz QADXZLIXWYAEOL-AWEZNQCLSA-N 0 1 304.459 3.412 20 30 DGEDMN Clc1cccc(C#CCN2CCOC[C@@H](C3CCC3)C2)c1 ZINC000429251474 433775722 /nfs/dbraw/zinc/77/57/22/433775722.db2.gz CYHKOQLAVQOVFC-KRWDZBQOSA-N 0 1 303.833 3.440 20 30 DGEDMN N#Cc1ccc(NCc2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000356995123 433806998 /nfs/dbraw/zinc/80/69/98/433806998.db2.gz QMAVJWRURZUIKJ-UHFFFAOYSA-N 0 1 316.324 3.097 20 30 DGEDMN Cc1ccc(-c2nsc(NC(=O)c3ccc(C#N)o3)n2)s1 ZINC000339921644 433858481 /nfs/dbraw/zinc/85/84/81/433858481.db2.gz ZVVYSVYEKGMFNI-UHFFFAOYSA-N 0 1 316.367 3.292 20 30 DGEDMN COc1ccc(C(=O)CCN(C)Cc2ccc(C#N)cc2)cc1 ZINC000285037388 433915432 /nfs/dbraw/zinc/91/54/32/433915432.db2.gz BVRKUEDGVWUZSP-UHFFFAOYSA-N 0 1 308.381 3.272 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CCC1(O)CCOCC1 ZINC000285275285 433924803 /nfs/dbraw/zinc/92/48/03/433924803.db2.gz IDGRKLLLPGOXRH-RQZCQDPDSA-N 0 1 318.200 3.050 20 30 DGEDMN CCC[C@@]1(NCc2cc(C#N)ccc2Br)CCOC1 ZINC000285453217 433954305 /nfs/dbraw/zinc/95/43/05/433954305.db2.gz GKSQUYRAFJWJFG-OAHLLOKOSA-N 0 1 323.234 3.370 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@]3(C#N)CC34CCCC4)c2C)o1 ZINC000450174219 434010634 /nfs/dbraw/zinc/01/06/34/434010634.db2.gz CBYYPGVCDQPMKM-GOSISDBHSA-N 0 1 324.384 3.699 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)CCCN(C)Cc1ccco1 ZINC000285780860 434068167 /nfs/dbraw/zinc/06/81/67/434068167.db2.gz LKNLIOWSBVBZIL-UHFFFAOYSA-N 0 1 304.434 3.328 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353167274 434068517 /nfs/dbraw/zinc/06/85/17/434068517.db2.gz AOPMZECOYDPFEH-GFCCVEGCSA-N 0 1 306.369 3.294 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1Cc1ccc(CO)cc1 ZINC000305795777 434078398 /nfs/dbraw/zinc/07/83/98/434078398.db2.gz CGMYBMCLIYWRBO-VQTJNVASSA-N 0 1 306.409 3.451 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000346133991 434135959 /nfs/dbraw/zinc/13/59/59/434135959.db2.gz CXNNAEIFHRYJKD-UHFFFAOYSA-N 0 1 316.364 3.221 20 30 DGEDMN Cc1c(C#N)c(NCc2n[nH]c(C3CC3)n2)nc2ccccc12 ZINC000295047076 434165041 /nfs/dbraw/zinc/16/50/41/434165041.db2.gz XFLPUPVCSRXBHC-UHFFFAOYSA-N 0 1 304.357 3.023 20 30 DGEDMN C=CC[C@H](CC)NC(=O)N(C)CCCN(C)Cc1ccco1 ZINC000286921684 434298421 /nfs/dbraw/zinc/29/84/21/434298421.db2.gz ILIXPKKIVWUYME-HNNXBMFYSA-N 0 1 307.438 3.098 20 30 DGEDMN C#CCOc1ccc(CN[C@@H]2CCOc3cc(OC)ccc32)cc1 ZINC000365638394 434302658 /nfs/dbraw/zinc/30/26/58/434302658.db2.gz RGQWVPDBNYYDLG-LJQANCHMSA-N 0 1 323.392 3.321 20 30 DGEDMN COc1cc(CN2CC3(CCC3)[C@H]2[C@H]2CCCO2)ccc1C#N ZINC000365621215 434305072 /nfs/dbraw/zinc/30/50/72/434305072.db2.gz MJLSWBUPOVJTCD-SJLPKXTDSA-N 0 1 312.413 3.100 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)c1cccc([C@H]2CCOC2)c1 ZINC000328862972 434319866 /nfs/dbraw/zinc/31/98/66/434319866.db2.gz BBDRSFADDBAUOM-JKSUJKDBSA-N 0 1 311.385 3.296 20 30 DGEDMN O=C(N[C@H]1CCCc2cn[nH]c21)N1CCCC12CCCCC2 ZINC000328890788 434324842 /nfs/dbraw/zinc/32/48/42/434324842.db2.gz SAGRAFLPQUXYNM-AWEZNQCLSA-N 0 1 302.422 3.500 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)NC2[C@H](C)CCC[C@H]2C)n[nH]1 ZINC000328922835 434330680 /nfs/dbraw/zinc/33/06/80/434330680.db2.gz MSHRPNOWOBZRON-UTUOFQBUSA-N 0 1 307.442 3.317 20 30 DGEDMN Cc1nnc(NC(=O)[C@@H](C(C)C)N2CCCCC2)n1C1CC1 ZINC000329145437 434365806 /nfs/dbraw/zinc/36/58/06/434365806.db2.gz NTBUUYBTEIRSNQ-CQSZACIVSA-N 0 1 305.426 3.020 20 30 DGEDMN O=C(N[C@@H](CCO)C1CCCCC1)c1cc2[nH]cnc2cc1F ZINC000329183309 434368922 /nfs/dbraw/zinc/36/89/22/434368922.db2.gz FOJSJXAGLKMEJR-AWEZNQCLSA-N 0 1 319.380 3.338 20 30 DGEDMN COc1cc(C#N)ccc1OCC[N@H+]1CC[C@H]1c1ccccc1 ZINC000348540883 434382465 /nfs/dbraw/zinc/38/24/65/434382465.db2.gz MYVNJARJOIXSIO-KRWDZBQOSA-N 0 1 308.381 3.393 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CC[C@H]1c1ccccc1 ZINC000348540883 434382472 /nfs/dbraw/zinc/38/24/72/434382472.db2.gz MYVNJARJOIXSIO-KRWDZBQOSA-N 0 1 308.381 3.393 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3C[C@H]3C3CCCCC3)C2)c1 ZINC000329623001 434444308 /nfs/dbraw/zinc/44/43/08/434444308.db2.gz SBHJVZCWSRICEA-IXDOHACOSA-N 0 1 316.449 3.321 20 30 DGEDMN [O-]C(=[NH+][C@@H]1C[C@H]1C1CCCCC1)N1CC[C@@H](n2cccn2)C1 ZINC000329621580 434444648 /nfs/dbraw/zinc/44/46/48/434444648.db2.gz NAJCQTAMYBHJCE-OWCLPIDISA-N 0 1 302.422 3.013 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cncc3ccccc32)ccc1C#N ZINC000396464563 434421388 /nfs/dbraw/zinc/42/13/88/434421388.db2.gz KQGUVQCJRKWWHG-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN [O-]C(=[NH+]C[C@@H]1CCc2ccccc21)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000329492411 434421910 /nfs/dbraw/zinc/42/19/10/434421910.db2.gz WCOBOVVVAWHCOU-JKSUJKDBSA-N 0 1 324.428 3.233 20 30 DGEDMN O=C(Nc1nnc(C2CCC2)[n-]1)[C@@H]1CCC[N@H+]1C1CCCC1 ZINC000329508811 434426357 /nfs/dbraw/zinc/42/63/57/434426357.db2.gz JMDBXRIMFNESLO-ZDUSSCGKSA-N 0 1 303.410 3.067 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)N[C@H]2CCCCC23CCCC3)n[nH]1 ZINC000329686891 434461337 /nfs/dbraw/zinc/46/13/37/434461337.db2.gz BINZZHOVHVQJRT-STQMWFEESA-N 0 1 319.453 3.435 20 30 DGEDMN O=C(N[C@@H]1COc2ccc(F)cc21)[C@@H]1CCCc2[nH]ncc21 ZINC000329778896 434480173 /nfs/dbraw/zinc/48/01/73/434480173.db2.gz QMVLDMFTOZKWJB-QMTHXVAHSA-N 0 1 301.321 3.059 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC000366672874 434481193 /nfs/dbraw/zinc/48/11/93/434481193.db2.gz LDFYKDNCJDXJCU-UHFFFAOYSA-N 0 1 307.353 3.190 20 30 DGEDMN [O-]C(N[C@@H]1CCCCC12CCCC2)=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329840149 434491423 /nfs/dbraw/zinc/49/14/23/434491423.db2.gz WHECEWZHRSJGGW-GDBMZVCRSA-N 0 1 316.449 3.274 20 30 DGEDMN [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+][C@@H]1CCCCC12CCCC2 ZINC000329840149 434491433 /nfs/dbraw/zinc/49/14/33/434491433.db2.gz WHECEWZHRSJGGW-GDBMZVCRSA-N 0 1 316.449 3.274 20 30 DGEDMN C[C@@H]1CN(CCC(=O)Nc2cccc(C#N)c2)C[C@H](C2CC2)O1 ZINC000329892244 434498611 /nfs/dbraw/zinc/49/86/11/434498611.db2.gz ADKZBMJHVVHIFG-CXAGYDPISA-N 0 1 313.401 3.036 20 30 DGEDMN CCOC(=O)CC(C)(C)N(C)Cc1ccc(Cl)cc1C#N ZINC000360108162 434520815 /nfs/dbraw/zinc/52/08/15/434520815.db2.gz OLFAGSZMUSPGOQ-UHFFFAOYSA-N 0 1 308.809 3.375 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](c3ccccc3)C[C@H]2C)CC1 ZINC000366951965 434533487 /nfs/dbraw/zinc/53/34/87/434533487.db2.gz KYTKSIXFNATMRF-XLIONFOSSA-N 0 1 324.468 3.126 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2ccc(CC#N)cc2)CC1 ZINC000367033081 434534684 /nfs/dbraw/zinc/53/46/84/434534684.db2.gz HKCOONVVDCHARV-UHFFFAOYSA-N 0 1 323.400 3.196 20 30 DGEDMN N#CCCOCCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000451023876 434537700 /nfs/dbraw/zinc/53/77/00/434537700.db2.gz VQKZNWCCLFCPIW-HNNXBMFYSA-N 0 1 318.808 3.283 20 30 DGEDMN Cc1ccccc1-n1nccc1CNCc1cccc(C#N)c1 ZINC000353940145 434539546 /nfs/dbraw/zinc/53/95/46/434539546.db2.gz BAJBDXJGDYRLMY-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@@H](C)CC2(C)C)c(C#N)c1C ZINC000408367958 434567823 /nfs/dbraw/zinc/56/78/23/434567823.db2.gz ZXJXMPRDVUGXIE-JTQLQIEISA-N 0 1 305.447 3.296 20 30 DGEDMN C#Cc1ccc(CN2CCc3c(cccc3NC(C)=O)C2)cc1 ZINC000368565553 434577989 /nfs/dbraw/zinc/57/79/89/434577989.db2.gz DTXLWSKWRSRQRC-UHFFFAOYSA-N 0 1 304.393 3.185 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC(C)(C#N)CC3)n[nH]2)cc1C ZINC000408423262 434574496 /nfs/dbraw/zinc/57/44/96/434574496.db2.gz OMTKSJSZVMUGTD-UHFFFAOYSA-N 0 1 322.412 3.459 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CC[C@@H]2CCC[C@@H]2C1)N1CCC(n2ccnc2)CC1 ZINC000329784702 295383272 /nfs/dbraw/zinc/38/32/72/295383272.db2.gz WGYLXTBSGKJADZ-ARFHVFGLSA-N 0 1 316.449 3.403 20 30 DGEDMN C=C(CC)CN[C@@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000439458641 434604978 /nfs/dbraw/zinc/60/49/78/434604978.db2.gz TZSTVALFOSXUFB-CYBMUJFWSA-N 0 1 310.323 3.469 20 30 DGEDMN COCCCOC1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC000439452469 434605963 /nfs/dbraw/zinc/60/59/63/434605963.db2.gz NGOWWQPSBWPOMG-UHFFFAOYSA-N 0 1 322.836 3.229 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2ccc(C#N)c(F)c2)C1 ZINC000459556358 434606199 /nfs/dbraw/zinc/60/61/99/434606199.db2.gz GARIFNKMTUGCJJ-GOSISDBHSA-N 0 1 318.392 3.251 20 30 DGEDMN CC(C)(CC#N)CNCc1cc2c(cc1OC(F)F)OCO2 ZINC000459727217 434678563 /nfs/dbraw/zinc/67/85/63/434678563.db2.gz FYFIQDDEVISPSB-UHFFFAOYSA-N 0 1 312.316 3.046 20 30 DGEDMN COC[C@H](NCc1ccc(C#N)c(OC)c1)c1ccc(F)cc1 ZINC000440824474 434749585 /nfs/dbraw/zinc/74/95/85/434749585.db2.gz YGPPRMSRQHRJDW-KRWDZBQOSA-N 0 1 314.360 3.183 20 30 DGEDMN C[C@@H](CN(C)Cc1ccc(C#N)cc1F)C(=O)OC(C)(C)C ZINC000459777871 434715177 /nfs/dbraw/zinc/71/51/77/434715177.db2.gz SQDMDBVJSSXIDU-LBPRGKRZSA-N 0 1 306.381 3.107 20 30 DGEDMN Cc1csc([C@@H](C)NCCC(=O)Nc2ccccc2C#N)n1 ZINC000411517842 434793952 /nfs/dbraw/zinc/79/39/52/434793952.db2.gz HBYBYYJFFKKAOW-GFCCVEGCSA-N 0 1 314.414 3.003 20 30 DGEDMN CC1(C)CN(CC#Cc2ccc(C(F)(F)F)cc2)CC[C@@H]1O ZINC000446066056 434845631 /nfs/dbraw/zinc/84/56/31/434845631.db2.gz HGWURAHPKJOYMT-HNNXBMFYSA-N 0 1 311.347 3.150 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCc2ccccc2C1(C)C ZINC000553025544 434876648 /nfs/dbraw/zinc/87/66/48/434876648.db2.gz DHGONMPOTHQQGG-UHFFFAOYSA-N 0 1 300.446 3.204 20 30 DGEDMN CCN(CCNc1ccc(C#N)cc1F)CCC(F)(F)F ZINC000491820700 434866467 /nfs/dbraw/zinc/86/64/67/434866467.db2.gz QYKUUHMZJFCWPE-UHFFFAOYSA-N 0 1 303.303 3.384 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)CCc1ccc(Cl)cc1 ZINC000492348514 434965772 /nfs/dbraw/zinc/96/57/72/434965772.db2.gz CHAIJMWJJCEVNX-HNNXBMFYSA-N 0 1 320.864 3.403 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3ccc([N+](=O)[O-])cc3)C2)nc1 ZINC000574528666 434985565 /nfs/dbraw/zinc/98/55/65/434985565.db2.gz LWLPRJBRJYLLTL-INIZCTEOSA-N 0 1 322.368 3.241 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000574701243 434997916 /nfs/dbraw/zinc/99/79/16/434997916.db2.gz VWVCUSYBLTWOFQ-MOPGFXCFSA-N 0 1 324.424 3.375 20 30 DGEDMN C[C@H]1CCCN(c2cc(C(=O)C(C#N)c3ccccn3)ccn2)C1 ZINC000577796712 435061951 /nfs/dbraw/zinc/06/19/51/435061951.db2.gz WSHDUOVRAHDGJP-GOEBONIOSA-N 0 1 320.396 3.203 20 30 DGEDMN C[C@@H]1CCCN(c2cc(C(=O)C(C#N)c3ccccn3)ccn2)C1 ZINC000577796711 435062347 /nfs/dbraw/zinc/06/23/47/435062347.db2.gz WSHDUOVRAHDGJP-GDBMZVCRSA-N 0 1 320.396 3.203 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1CC(=O)NC1(C#N)CCCCC1 ZINC000558177548 435080141 /nfs/dbraw/zinc/08/01/41/435080141.db2.gz MBRZJAQCXOSSEJ-HZPDHXFCSA-N 0 1 319.493 3.476 20 30 DGEDMN CCN(CCC#N)CCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000492695491 435035986 /nfs/dbraw/zinc/03/59/86/435035986.db2.gz IIFVGMKWTPFUAS-UHFFFAOYSA-N 0 1 309.454 3.259 20 30 DGEDMN CN(Cc1ccc(C#N)c(F)c1)Cc1ccc2c(c1)OCCO2 ZINC000558832357 435112911 /nfs/dbraw/zinc/11/29/11/435112911.db2.gz LQAABRNNFNFTHV-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC000470586798 435134010 /nfs/dbraw/zinc/13/40/10/435134010.db2.gz BDNFNGZNRSZYJU-RXDZZFBJSA-N 0 1 319.493 3.474 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]([C@H]2CCCCN2CCC#N)C1 ZINC000495797239 435206674 /nfs/dbraw/zinc/20/66/74/435206674.db2.gz CFOYHPXSHDQCSF-HUUCEWRRSA-N 0 1 307.438 3.012 20 30 DGEDMN C[C@H](CN(C)CC(=O)Nc1ccc(C#N)cc1)c1ccccc1 ZINC000532517825 435174337 /nfs/dbraw/zinc/17/43/37/435174337.db2.gz IUYVCALDXPCZQS-OAHLLOKOSA-N 0 1 307.397 3.232 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000642632393 435240163 /nfs/dbraw/zinc/24/01/63/435240163.db2.gz JAGKFHGMBFIANK-UHFFFAOYSA-N 0 1 307.353 3.498 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)[C@H](C)NC(=O)OC(C)(C)C ZINC000496951244 435276325 /nfs/dbraw/zinc/27/63/25/435276325.db2.gz UKWZBVDGOZPWHQ-NEPJUHHUSA-N 0 1 321.396 3.089 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](CCNC(=O)OC(C)(C)C)C1 ZINC000496973846 435278593 /nfs/dbraw/zinc/27/85/93/435278593.db2.gz KJKQPDJCVJNMBL-ZDUSSCGKSA-N 0 1 302.846 3.366 20 30 DGEDMN N#Cc1cccc(OCCN2CCOC3(C2)CCCCCC3)c1 ZINC000477863834 435284338 /nfs/dbraw/zinc/28/43/38/435284338.db2.gz YKQSWOGGYNQCNU-UHFFFAOYSA-N 0 1 314.429 3.362 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Nc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000567593239 435332334 /nfs/dbraw/zinc/33/23/34/435332334.db2.gz VCQBCWYSBMIZJL-KRWDZBQOSA-N 0 1 322.368 3.153 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)c2ccnn2C(F)F)n1 ZINC000568283247 435417941 /nfs/dbraw/zinc/41/79/41/435417941.db2.gz IPEDFPLJXSJWNK-MRVPVSSYSA-N 0 1 310.329 3.348 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccnn2C(F)F)n1 ZINC000568283247 435417945 /nfs/dbraw/zinc/41/79/45/435417945.db2.gz IPEDFPLJXSJWNK-MRVPVSSYSA-N 0 1 310.329 3.348 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(Nc2ccccn2)CC1 ZINC000536369684 435378028 /nfs/dbraw/zinc/37/80/28/435378028.db2.gz UPKRPKLIRSAFGP-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN C=CCCC[N@H+](CC(=O)[O-])Cc1cccc(Br)c1 ZINC000568073086 435389420 /nfs/dbraw/zinc/38/94/20/435389420.db2.gz UDPXWHXHFFDXIJ-UHFFFAOYSA-N 0 1 312.207 3.302 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@H](Oc2ccccc2)C1 ZINC000568389772 435430939 /nfs/dbraw/zinc/43/09/39/435430939.db2.gz ZBOTZCYUSDQDMP-SFHVURJKSA-N 0 1 308.381 3.220 20 30 DGEDMN C=C(C)[C@H](NC(=O)[C@H](C)Cc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000568409688 435434187 /nfs/dbraw/zinc/43/41/87/435434187.db2.gz ONCQXAKCLOWUJI-BZNIZROVSA-N 0 1 319.355 3.300 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccccc1Oc1ccccc1 ZINC000538154690 435485703 /nfs/dbraw/zinc/48/57/03/435485703.db2.gz GIRDSVYANIBOEZ-HNNXBMFYSA-N 0 1 310.397 3.432 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](C)[C@@H]1c1ccccc1 ZINC000483063847 435493088 /nfs/dbraw/zinc/49/30/88/435493088.db2.gz QNRXOICLBVTTMA-XYJFISCASA-N 0 1 300.446 3.493 20 30 DGEDMN CCCN(Cc1ccc(CC#N)cc1)[C@H](CC)C(=O)OCC ZINC000484562991 435548084 /nfs/dbraw/zinc/54/80/84/435548084.db2.gz HFZJKPXSBXVBFD-QGZVFWFLSA-N 0 1 302.418 3.306 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@H](N)c1ccccc1OC)c1ccccc1 ZINC000649268951 435552954 /nfs/dbraw/zinc/55/29/54/435552954.db2.gz MLYZHDIJYYGIHJ-QAPCUYQASA-N 0 1 324.424 3.171 20 30 DGEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C#N)c1ccc(C(C)(C)C)cc1 ZINC000516935749 435562736 /nfs/dbraw/zinc/56/27/36/435562736.db2.gz ILHUDDBYMLQRQA-CXAGYDPISA-N 0 1 324.428 3.267 20 30 DGEDMN N#C[C@H]1CC[C@@H](NC(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000569962103 435575193 /nfs/dbraw/zinc/57/51/93/435575193.db2.gz HDSUXLMPEDUASF-DTWKUNHWSA-N 0 1 319.315 3.314 20 30 DGEDMN CCc1cccc(NS(=O)(=O)c2ccccc2C#N)c1CC ZINC000486122102 435589088 /nfs/dbraw/zinc/58/90/88/435589088.db2.gz OKWBFXMPODKWOB-UHFFFAOYSA-N 0 1 314.410 3.484 20 30 DGEDMN CCn1nc(C)c(CN[C@@H](C)c2ccc(C#N)c(F)c2)c1C ZINC000540707396 435614658 /nfs/dbraw/zinc/61/46/58/435614658.db2.gz YSLDMKLHMWCVPK-NSHDSACASA-N 0 1 300.381 3.381 20 30 DGEDMN Cc1cc(CN2CCN(c3ccc(F)cc3)CC2)ccc1C#N ZINC000486810581 435614951 /nfs/dbraw/zinc/61/49/51/435614951.db2.gz RVLWHYYBCQKXND-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3c3ncccc3C#N)[nH]c2c1 ZINC000570257554 435602871 /nfs/dbraw/zinc/60/28/71/435602871.db2.gz JMMJCUDCEAHILO-INIZCTEOSA-N 0 1 303.369 3.480 20 30 DGEDMN Cc1csc(NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)c1C#N ZINC000518558326 435645553 /nfs/dbraw/zinc/64/55/53/435645553.db2.gz NTCNFDJIELMWDB-VIFPVBQESA-N 0 1 318.406 3.052 20 30 DGEDMN C#CCN[C@H](C)c1cccc(NC(=O)Cc2ccccc2F)c1 ZINC000490915953 435688740 /nfs/dbraw/zinc/68/87/40/435688740.db2.gz QUAIYQFAOUXDJR-CQSZACIVSA-N 0 1 310.372 3.291 20 30 DGEDMN C#CCN1CCC(C(=O)Nc2nc(CC(C)(C)C)cs2)CC1 ZINC000490917420 435688785 /nfs/dbraw/zinc/68/87/85/435688785.db2.gz XQNMQBVIZSYOOE-UHFFFAOYSA-N 0 1 319.474 3.015 20 30 DGEDMN C#C[C@H](C)N(C)Cc1ccc(OCC(F)(F)F)c(OC)c1 ZINC000491285929 435724787 /nfs/dbraw/zinc/72/47/87/435724787.db2.gz GJTFVPKBCVLKCV-NSHDSACASA-N 0 1 301.308 3.090 20 30 DGEDMN C#CCN(C)Cc1ccc(OCc2cccc(C#N)c2)c(OC)c1 ZINC000491395135 435741191 /nfs/dbraw/zinc/74/11/91/435741191.db2.gz QWTYMRHMQPKZOA-UHFFFAOYSA-N 0 1 320.392 3.211 20 30 DGEDMN C#CCNC(=O)C1CCN([C@@H](C)c2ccc(F)c(Cl)c2)CC1 ZINC000491101280 435705718 /nfs/dbraw/zinc/70/57/18/435705718.db2.gz JVDHNIHEBBPNHN-LBPRGKRZSA-N 0 1 322.811 3.002 20 30 DGEDMN N#C[C@H]1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000571517079 435712181 /nfs/dbraw/zinc/71/21/81/435712181.db2.gz DECNYBZPPKCDEW-DTWKUNHWSA-N 0 1 320.805 3.214 20 30 DGEDMN C=CCC[C@H](NCc1n[nH]c([C@@H]2CCCO2)n1)c1ccco1 ZINC000655794918 435805036 /nfs/dbraw/zinc/80/50/36/435805036.db2.gz VEUKPNVOMKABSZ-JSGCOSHPSA-N 0 1 302.378 3.046 20 30 DGEDMN C#C[C@@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccc(Cl)cc1 ZINC000491622091 435779478 /nfs/dbraw/zinc/77/94/78/435779478.db2.gz GQQVEDHFGKMSQO-OAHLLOKOSA-N 0 1 323.783 3.250 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC(C)(CC(C)C)CC2)CC1 ZINC000491622048 435780085 /nfs/dbraw/zinc/78/00/85/435780085.db2.gz GHPNELYPWBAEHB-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCN1CC[C@H]([N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)C1=O ZINC000646119131 435785974 /nfs/dbraw/zinc/78/59/74/435785974.db2.gz QJUWSEUJTWPIHN-ZWKOTPCHSA-N 0 1 308.425 3.167 20 30 DGEDMN C=CCN1CC[C@H](N2CC3(CC=CC3)[C@H]2c2ccccc2)C1=O ZINC000646119131 435785980 /nfs/dbraw/zinc/78/59/80/435785980.db2.gz QJUWSEUJTWPIHN-ZWKOTPCHSA-N 0 1 308.425 3.167 20 30 DGEDMN CN(CC#Cc1ccc(Cl)cc1)[C@H](CO)Cc1ccccc1 ZINC000661092878 435963739 /nfs/dbraw/zinc/96/37/39/435963739.db2.gz GGIMONCUACEZHY-IBGZPJMESA-N 0 1 313.828 3.227 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@@H](c2cccs2)N(C)C)C1 ZINC000656543164 435968206 /nfs/dbraw/zinc/96/82/06/435968206.db2.gz BFLLNXFQZQLPFK-CABCVRRESA-N 0 1 321.490 3.349 20 30 DGEDMN C=CCCn1cc(CN2CCc3ccccc3C(C)(C)C2)nn1 ZINC000661220584 435998947 /nfs/dbraw/zinc/99/89/47/435998947.db2.gz ZICNXWFHZDMFPI-UHFFFAOYSA-N 0 1 310.445 3.190 20 30 DGEDMN CC(C)n1nccc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000647378195 436036717 /nfs/dbraw/zinc/03/67/17/436036717.db2.gz DGPUWXRYCJDPGD-LLVKDONJSA-N 0 1 300.387 3.288 20 30 DGEDMN C=CC[C@H]1CCCN(CCCS(=O)(=O)c2ccc(C)cc2)C1 ZINC000661379774 436050690 /nfs/dbraw/zinc/05/06/90/436050690.db2.gz AHIJSODMYPIZOW-KRWDZBQOSA-N 0 1 321.486 3.447 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccnn2C(C)C)C1 ZINC000661378337 436050724 /nfs/dbraw/zinc/05/07/24/436050724.db2.gz CWGDTHYPSGFBDF-LSDHHAIUSA-N 0 1 304.438 3.079 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000666213776 436175729 /nfs/dbraw/zinc/17/57/29/436175729.db2.gz LLWKDRJZGVBJLL-AWEZNQCLSA-N 0 1 311.389 3.087 20 30 DGEDMN N#CCCn1cc(C(=O)CN2CC[C@H]3C[C@H]3C2)c2ccccc21 ZINC000661971972 436239434 /nfs/dbraw/zinc/23/94/34/436239434.db2.gz KDXCFKCNLDJMDL-GJZGRUSLSA-N 0 1 307.397 3.079 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@H](Cc3ccccc3)C2)nn1 ZINC000653553625 436201893 /nfs/dbraw/zinc/20/18/93/436201893.db2.gz YQJSURRIOLCNAJ-GOSISDBHSA-N 0 1 310.445 3.309 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1csc(-c2cnn(C)c2)n1 ZINC000662078737 436265791 /nfs/dbraw/zinc/26/57/91/436265791.db2.gz FXBBLUXDSZASDA-GASCZTMLSA-N 0 1 300.431 3.227 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1csc(-c2cnn(C)c2)n1 ZINC000662078737 436265795 /nfs/dbraw/zinc/26/57/95/436265795.db2.gz FXBBLUXDSZASDA-GASCZTMLSA-N 0 1 300.431 3.227 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CC2CC1(C)C2 ZINC000662384544 436343752 /nfs/dbraw/zinc/34/37/52/436343752.db2.gz QPMRJCXHYSBERY-TUBUQKNSSA-N 0 1 304.459 3.136 20 30 DGEDMN C=CCOCCCN[C@@H](c1nccn1C)c1ccc(Cl)cc1 ZINC000658205367 436399915 /nfs/dbraw/zinc/39/99/15/436399915.db2.gz HNBVJLCKDPFWAO-MRXNPFEDSA-N 0 1 319.836 3.345 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)c2c(Cl)cnn2C)n1 ZINC000659115234 436462437 /nfs/dbraw/zinc/46/24/37/436462437.db2.gz SYJTXJCBDDTDSR-MRVPVSSYSA-N 0 1 308.794 3.143 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2c(Cl)cnn2C)n1 ZINC000659115234 436462440 /nfs/dbraw/zinc/46/24/40/436462440.db2.gz SYJTXJCBDDTDSR-MRVPVSSYSA-N 0 1 308.794 3.143 20 30 DGEDMN CC(C)(C)n1ccc(C(=O)C(C#N)c2nc3ccccc3[nH]2)n1 ZINC000654968918 436479003 /nfs/dbraw/zinc/47/90/03/436479003.db2.gz SSZGISJMBXIHFF-NSHDSACASA-N 0 1 307.357 3.004 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccn(C(C)(C)C)n3)[nH]c21 ZINC000654981611 436480561 /nfs/dbraw/zinc/48/05/61/436480561.db2.gz UZFVBMOYDCCQCQ-LBPRGKRZSA-N 0 1 321.384 3.313 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H](OC)[C@@H]1CCOC1 ZINC000659818126 436532729 /nfs/dbraw/zinc/53/27/29/436532729.db2.gz SPAVQMLJSRZZPB-TZMCWYRMSA-N 0 1 315.866 3.441 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000660311323 436621892 /nfs/dbraw/zinc/62/18/92/436621892.db2.gz FZUOSTUKMISIIU-XHSDSOJGSA-N 0 1 319.474 3.243 20 30 DGEDMN Cc1ccccc1[C@@H]1CCCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330199325 302146824 /nfs/dbraw/zinc/14/68/24/302146824.db2.gz VGSWIPKCIRVZII-WBVHZDCISA-N 0 1 324.428 3.257 20 30 DGEDMN COC(=O)c1cccc(CN2C[C@H](C)CC(C)(C)C2)c1C#N ZINC000541324701 314007661 /nfs/dbraw/zinc/00/76/61/314007661.db2.gz NVZOYGCQNITTTK-CYBMUJFWSA-N 0 1 300.402 3.213 20 30 DGEDMN C[C@@](O)(C1CCN(Cc2ccc(C#N)cc2)CC1)C(F)(F)F ZINC000547343588 314358269 /nfs/dbraw/zinc/35/82/69/314358269.db2.gz UZAMOMVUDPBARH-OAHLLOKOSA-N 0 1 312.335 3.084 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cccc2cc[nH]c21 ZINC000547822605 314398952 /nfs/dbraw/zinc/39/89/52/314398952.db2.gz XLCHSDALHRQMBQ-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN Cc1ccnc2c1cccc2S(=O)(=O)Nc1ccc(C#N)cc1 ZINC000548611716 314459785 /nfs/dbraw/zinc/45/97/85/314459785.db2.gz PRPVFPWLFFJWEN-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CCc1ccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000551714600 314572193 /nfs/dbraw/zinc/57/21/93/314572193.db2.gz ZHTZURWPYZLJIU-UHFFFAOYSA-N 0 1 319.408 3.338 20 30 DGEDMN N#Cc1ccc2c(c1)CCCC[C@H]2NCc1n[nH]c(C2CC2)n1 ZINC000562785127 315053235 /nfs/dbraw/zinc/05/32/35/315053235.db2.gz AJAVUZPXEPWJEO-MRXNPFEDSA-N 0 1 307.401 3.111 20 30 DGEDMN Cc1ccccc1CCCN1CCN(c2cnccc2C#N)CC1 ZINC000565811024 315288771 /nfs/dbraw/zinc/28/87/71/315288771.db2.gz ZEHSKNJBWMJOJC-UHFFFAOYSA-N 0 1 320.440 3.017 20 30 DGEDMN COc1ccc(C2CCN(Cc3ccc(C#N)cn3)CC2)cc1 ZINC000575999843 316084852 /nfs/dbraw/zinc/08/48/52/316084852.db2.gz MWEHBQIIBVUCMY-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1ccc(OCCN2CCC=C(c3ccccn3)C2)cc1 ZINC000577460942 316237642 /nfs/dbraw/zinc/23/76/42/316237642.db2.gz IHTMGLAWNIAKJK-UHFFFAOYSA-N 0 1 305.381 3.121 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C#N)c2)cc1OC ZINC000181986864 323278083 /nfs/dbraw/zinc/27/80/83/323278083.db2.gz AIFBQUCHYZTWEI-ZDUSSCGKSA-N 0 1 324.380 3.204 20 30 DGEDMN CCN(C(=O)c1cccc2nn[nH]c21)[C@H](C)c1ccc(C#N)cc1 ZINC000183472198 323338940 /nfs/dbraw/zinc/33/89/40/323338940.db2.gz OMSGVNFFLQUEDX-GFCCVEGCSA-N 0 1 319.368 3.053 20 30 DGEDMN Cc1csc([C@H](C)NCCC(=O)Nc2ccc(C#N)cc2)n1 ZINC000184148636 323356829 /nfs/dbraw/zinc/35/68/29/323356829.db2.gz DPMQXNYJLPYOEF-LBPRGKRZSA-N 0 1 314.414 3.003 20 30 DGEDMN Cc1cnc([C@H](NCCOc2cccc(C#N)c2)C2CC2)s1 ZINC000185274702 323386115 /nfs/dbraw/zinc/38/61/15/323386115.db2.gz SIJBVOWKYLSIRP-MRXNPFEDSA-N 0 1 313.426 3.443 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3c(s2)CCCCC3)cc1O ZINC000190865132 323443916 /nfs/dbraw/zinc/44/39/16/323443916.db2.gz DDXIIQQHFOAVMC-UHFFFAOYSA-N 0 1 313.382 3.242 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@H](CC3CC3)C2)cc1Cl ZINC000582799462 324277086 /nfs/dbraw/zinc/27/70/86/324277086.db2.gz QGBLZJHQPGLUGP-CYBMUJFWSA-N 0 1 317.820 3.272 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@H]2c2ccc(Cl)cc2)nc1 ZINC000583531964 324365661 /nfs/dbraw/zinc/36/56/61/324365661.db2.gz FIFTZEIYHXQPAC-IRXDYDNUSA-N 0 1 313.788 3.226 20 30 DGEDMN C=CC[C@H](C)NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000530841528 331630029 /nfs/dbraw/zinc/63/00/29/331630029.db2.gz UHDCZLBDEWSQEK-SWLSCSKDSA-N 0 1 309.841 3.207 20 30 DGEDMN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NC[C@H](C#N)CCC#N ZINC000556884046 331748419 /nfs/dbraw/zinc/74/84/19/331748419.db2.gz CEPVEMQDJRGMEV-JCHJZTRSSA-N 0 1 311.429 3.371 20 30 DGEDMN C[C@@H](NC(c1ccccc1)c1ccccc1)C(=O)N(C)CCC#N ZINC000072879247 331933130 /nfs/dbraw/zinc/93/31/30/331933130.db2.gz BHYCEYPOBPIXNP-MRXNPFEDSA-N 0 1 321.424 3.126 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000150903327 332197741 /nfs/dbraw/zinc/19/77/41/332197741.db2.gz QERBMJYNEYOAKB-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN C[C@H](Cc1ccccc1Br)NC[C@@H](C#N)CCC#N ZINC000516761993 333131403 /nfs/dbraw/zinc/13/14/03/333131403.db2.gz QTNRFBQIUUMLJT-CHWSQXEVSA-N 0 1 320.234 3.413 20 30 DGEDMN FC(F)C(F)(F)COCCN1CCC(C(F)(F)F)CC1 ZINC000189475722 333258223 /nfs/dbraw/zinc/25/82/23/333258223.db2.gz GZDDBOMXFSGNBT-UHFFFAOYSA-N 0 1 311.241 3.178 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCN(c3ccc4ccccc4n3)CC2)C1 ZINC000293763020 334202210 /nfs/dbraw/zinc/20/22/10/334202210.db2.gz KCGMBNFDQMEZHP-NVXWUHKLSA-N 0 1 306.413 3.049 20 30 DGEDMN CC(C)c1ccc(S(=O)(=O)Nc2cc(CC#N)ccn2)cc1 ZINC000531906968 334282675 /nfs/dbraw/zinc/28/26/75/334282675.db2.gz UIHOBJKPJMKAIL-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN COC(=O)[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1ccc(CC#N)cc1 ZINC000331932847 336153473 /nfs/dbraw/zinc/15/34/73/336153473.db2.gz DSJHOBSHSVAYHW-KURKYZTESA-N 0 1 312.413 3.059 20 30 DGEDMN CC[C@@H](CC#N)NCCC(=O)Nc1ccccc1C(F)(F)F ZINC000582309720 336911196 /nfs/dbraw/zinc/91/11/96/336911196.db2.gz NEEPQEYPPAKDAR-NSHDSACASA-N 0 1 313.323 3.316 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2ccccc2)CC1 ZINC000117876031 337849288 /nfs/dbraw/zinc/84/92/88/337849288.db2.gz LCIXMKQLGONZHG-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCC(C)CC1 ZINC000097056441 337942800 /nfs/dbraw/zinc/94/28/00/337942800.db2.gz OQCICOWOEZKBTF-HQVVEAJESA-N 0 1 305.466 3.038 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1C[C@H]1c1ccc(Br)s1 ZINC000514901225 337973523 /nfs/dbraw/zinc/97/35/23/337973523.db2.gz PJMJSIPHCBGABU-HBNTYKKESA-N 0 1 324.247 3.400 20 30 DGEDMN C=CCNC(=O)CN[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000498688169 340021883 /nfs/dbraw/zinc/02/18/83/340021883.db2.gz MSLKYYFLVRQFKD-KRWDZBQOSA-N 0 1 300.402 3.412 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CCOc1ccccc1C ZINC000501397240 340080059 /nfs/dbraw/zinc/08/00/59/340080059.db2.gz HCAVWIQWKXRQLD-KRWDZBQOSA-N 0 1 318.461 3.119 20 30 DGEDMN C=C(C)CCN(CC(=O)NC)Cc1cccc(C(F)(F)F)c1 ZINC000509326722 340266269 /nfs/dbraw/zinc/26/62/69/340266269.db2.gz IJELXULWGWAEGN-UHFFFAOYSA-N 0 1 314.351 3.220 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@](C)(c2ccccc2)C1 ZINC000303557436 340333393 /nfs/dbraw/zinc/33/33/93/340333393.db2.gz HTMHUGPUWRWWAJ-HXUWFJFHSA-N 0 1 312.457 3.241 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000174717068 340395043 /nfs/dbraw/zinc/39/50/43/340395043.db2.gz JRSJCBHGPODTPI-ZIAGYGMSSA-N 0 1 319.836 3.459 20 30 DGEDMN CC[N@@H+](CCCC(C)(C)C#N)CC(=O)NCc1ccc(F)cc1 ZINC000174808460 340396559 /nfs/dbraw/zinc/39/65/59/340396559.db2.gz OJMBRAXYGRPOHZ-UHFFFAOYSA-N 0 1 319.424 3.094 20 30 DGEDMN CCN(CC)[C@H](C(=O)NCC#Cc1ccccc1)c1ccccc1 ZINC000517097861 340463852 /nfs/dbraw/zinc/46/38/52/340463852.db2.gz VJRULOSSOOBFMG-FQEVSTJZSA-N 0 1 320.436 3.237 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCNC[C@@H]1C(C)C ZINC000528687661 340729586 /nfs/dbraw/zinc/72/95/86/340729586.db2.gz HEIBTWCEEQEHKY-QGZVFWFLSA-N 0 1 301.434 3.267 20 30 DGEDMN C[C@@H](CC#N)Sc1ccccc1NC(=O)c1ncccc1O ZINC000126965312 340840137 /nfs/dbraw/zinc/84/01/37/340840137.db2.gz ARGYZOCAKAQERG-NSHDSACASA-N 0 1 313.382 3.434 20 30 DGEDMN C=C(C)[C@H](NCCOC[C@@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000548491936 341263932 /nfs/dbraw/zinc/26/39/32/341263932.db2.gz GHPKOPBXSAPMNH-YOEHRIQHSA-N 0 1 311.372 3.367 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@H]1CCN(c2ccc(Cl)c(F)c2)C1 ZINC000551679039 341348693 /nfs/dbraw/zinc/34/86/93/341348693.db2.gz BJBAZRNXGUSHKY-STQMWFEESA-N 0 1 320.799 3.091 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CC)[C@H](C)Cc1ccsc1 ZINC000249589548 341399665 /nfs/dbraw/zinc/39/96/65/341399665.db2.gz VABCFYQXSISNDM-LSDHHAIUSA-N 0 1 321.490 3.009 20 30 DGEDMN C=CCN[C@@H](c1ccc(F)cc1)c1cccc(S(C)(=O)=O)c1 ZINC000089116893 341402376 /nfs/dbraw/zinc/40/23/76/341402376.db2.gz GYJAHGCIXOUXEC-KRWDZBQOSA-N 0 1 319.401 3.094 20 30 DGEDMN C=C(C)COCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091397675 341425647 /nfs/dbraw/zinc/42/56/47/341425647.db2.gz IQAYNSPEBKITRV-GFCCVEGCSA-N 0 1 307.825 3.470 20 30 DGEDMN Cc1ccc(NC(=O)C[C@@H](C)NCC2(C#N)CC2)c(Cl)c1 ZINC000555708275 341488895 /nfs/dbraw/zinc/48/88/95/341488895.db2.gz BEFAILCVYNCMSJ-GFCCVEGCSA-N 0 1 305.809 3.259 20 30 DGEDMN CC(C)(C)[C@H](C#N)NC(=O)c1cccc(C(F)(F)F)c1O ZINC000567247402 341591162 /nfs/dbraw/zinc/59/11/62/341591162.db2.gz GGDTUPVNIGGPIV-JTQLQIEISA-N 0 1 300.280 3.079 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000574701245 341766396 /nfs/dbraw/zinc/76/63/96/341766396.db2.gz VWVCUSYBLTWOFQ-RBUKOAKNSA-N 0 1 324.424 3.375 20 30 DGEDMN COC(=O)c1cc(CN[C@H]2CCCc3cc(C#N)ccc32)oc1C ZINC000418154071 533830103 /nfs/dbraw/zinc/83/01/03/533830103.db2.gz ZRAPKWYEWWFFIH-SFHVURJKSA-N 0 1 324.380 3.414 20 30 DGEDMN Cc1ccc(-c2csc(C(C#N)C(=O)c3ccnn3C)n2)cc1 ZINC000356679090 534200861 /nfs/dbraw/zinc/20/08/61/534200861.db2.gz IGDIDFKKTMVQBN-ZDUSSCGKSA-N 0 1 322.393 3.342 20 30 DGEDMN Cc1ccc2nc(C)c(C(=O)C(C#N)c3ccncn3)cc2c1 ZINC000354459130 534493537 /nfs/dbraw/zinc/49/35/37/534493537.db2.gz COWBNVKHXRAHKW-OAHLLOKOSA-N 0 1 302.337 3.132 20 30 DGEDMN Cc1ccc2nc(C)c(C(=O)[C@H](C#N)c3ccncn3)cc2c1 ZINC000354459130 534493542 /nfs/dbraw/zinc/49/35/42/534493542.db2.gz COWBNVKHXRAHKW-OAHLLOKOSA-N 0 1 302.337 3.132 20 30 DGEDMN C=CCN(CCc1ccco1)C(=O)c1cc(C)cc2c[nH]nc21 ZINC000353113888 526322592 /nfs/dbraw/zinc/32/25/92/526322592.db2.gz RDJCUFJKLGASIQ-UHFFFAOYSA-N 0 1 309.369 3.335 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2c(C)cc(Cl)cc2C)C1=O ZINC000343609061 526468386 /nfs/dbraw/zinc/46/83/86/526468386.db2.gz WDWYEFBKJQEOJJ-MRXNPFEDSA-N 0 1 306.837 3.176 20 30 DGEDMN C=C(C)CN(C)[C@@H](C)C(=O)N(C)Cc1nc2ccccc2s1 ZINC000181314032 526506261 /nfs/dbraw/zinc/50/62/61/526506261.db2.gz TZLWZVZBNUCOHN-ZDUSSCGKSA-N 0 1 317.458 3.151 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CC)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340570 526518179 /nfs/dbraw/zinc/51/81/79/526518179.db2.gz SOZKAYQTEJTETC-INIZCTEOSA-N 0 1 313.445 3.366 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)CC1(CC(=O)OC)CC1 ZINC000352905429 526572103 /nfs/dbraw/zinc/57/21/03/526572103.db2.gz XUNYHKCDFAZUCC-UHFFFAOYSA-N 0 1 319.449 3.185 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000353402899 526668920 /nfs/dbraw/zinc/66/89/20/526668920.db2.gz AFTNVUDQLZVFHP-CABCVRRESA-N 0 1 317.433 3.198 20 30 DGEDMN C=C(C)[C@H](NC(=O)c1[nH]nc2c1CCC2)c1ccc(F)c(F)c1 ZINC000355768401 526820154 /nfs/dbraw/zinc/82/01/54/526820154.db2.gz HDZXAKNZPIPWRX-HNNXBMFYSA-N 0 1 317.339 3.224 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cncc(F)c2)s1 ZINC000430622386 526827759 /nfs/dbraw/zinc/82/77/59/526827759.db2.gz LKBIDWRAFNZYBG-NSHDSACASA-N 0 1 303.362 3.465 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)c2cncc(F)c2)s1 ZINC000430622386 526827764 /nfs/dbraw/zinc/82/77/64/526827764.db2.gz LKBIDWRAFNZYBG-NSHDSACASA-N 0 1 303.362 3.465 20 30 DGEDMN C=C(C)[C@H]1OCC[C@H]1CN=c1nc(-c2ccccc2)[nH]s1 ZINC000435600933 526842439 /nfs/dbraw/zinc/84/24/39/526842439.db2.gz HKVBDJTWPCQSAU-UONOGXRCSA-N 0 1 301.415 3.020 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2ccc3nc[nH]c3c2)cc1OC ZINC000352629748 526852633 /nfs/dbraw/zinc/85/26/33/526852633.db2.gz JYPFIRJAVVJGFO-UHFFFAOYSA-N 0 1 323.352 3.389 20 30 DGEDMN C=C(CC)CN1CCCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000358502609 526852843 /nfs/dbraw/zinc/85/28/43/526852843.db2.gz WSOQDFLRIXSUIY-UHFFFAOYSA-N 0 1 306.837 3.454 20 30 DGEDMN C=CCOc1cccc(CN2CCC(c3nc(C)no3)CC2)c1 ZINC000131684422 526897860 /nfs/dbraw/zinc/89/78/60/526897860.db2.gz JQBURDDGVWUWIT-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN C=C1CCN(C(=O)c2ccc(CN3CCSCC3)cc2)CC1 ZINC000342088632 526899837 /nfs/dbraw/zinc/89/98/37/526899837.db2.gz DTNDFCZXEVCSGO-UHFFFAOYSA-N 0 1 316.470 3.028 20 30 DGEDMN C=CCOc1cccc(CN[C@H](CO)c2ccc(F)cc2)c1 ZINC000344381905 526905642 /nfs/dbraw/zinc/90/56/42/526905642.db2.gz NGZHKVGYMKXEMS-GOSISDBHSA-N 0 1 301.361 3.214 20 30 DGEDMN C=CCOc1ccccc1C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000337641265 526929296 /nfs/dbraw/zinc/92/92/96/526929296.db2.gz YAPMLULSEVDWPF-UHFFFAOYSA-N 0 1 311.263 3.246 20 30 DGEDMN C=CCOc1ccccc1CNCc1cccc(N2CCC2=O)c1 ZINC000441211215 526949933 /nfs/dbraw/zinc/94/99/33/526949933.db2.gz RABURRZMJIXXEX-UHFFFAOYSA-N 0 1 322.408 3.278 20 30 DGEDMN CC(C)(CCC#N)CN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000155265285 526985791 /nfs/dbraw/zinc/98/57/91/526985791.db2.gz XCYQAPLSAZZTCW-SFHVURJKSA-N 0 1 310.445 3.199 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2nc3ccccc3o2)CC1 ZINC000179502676 526986070 /nfs/dbraw/zinc/98/60/70/526986070.db2.gz LUGWOSJFQZPHTQ-UHFFFAOYSA-N 0 1 312.417 3.280 20 30 DGEDMN C=CC[C@@H](CO)CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000346622467 527082936 /nfs/dbraw/zinc/08/29/36/527082936.db2.gz LYOZJICZTNQONW-ACJLOTCBSA-N 0 1 318.367 3.223 20 30 DGEDMN C=CCCC[C@H](C)N1CCc2ccc(S(C)(=O)=O)cc2CC1 ZINC000338586527 527275058 /nfs/dbraw/zinc/27/50/58/527275058.db2.gz HEGYFEMRCCZREJ-HNNXBMFYSA-N 0 1 321.486 3.236 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@H](c1ccsc1)N(C)C ZINC000342794374 527290366 /nfs/dbraw/zinc/29/03/66/527290366.db2.gz YHTFBMMJCAZPJH-DZGCQCFKSA-N 0 1 309.479 3.395 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(OC(F)(F)F)cc1 ZINC000338546685 527321358 /nfs/dbraw/zinc/32/13/58/527321358.db2.gz PLZZKEGTYBGEDK-UHFFFAOYSA-N 0 1 302.296 3.032 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1ccc(Br)cc1 ZINC000342754657 527324599 /nfs/dbraw/zinc/32/45/99/527324599.db2.gz AXCLEHQEBSYMAB-LLVKDONJSA-N 0 1 311.223 3.284 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCCOc1cc(C)cc(C)c1 ZINC000348133565 527332754 /nfs/dbraw/zinc/33/27/54/527332754.db2.gz VWYOSIWELDDTOB-UHFFFAOYSA-N 0 1 319.430 3.348 20 30 DGEDMN CC(=O)c1cccc(C(=O)C(C#N)c2nc3ccccc3[nH]2)c1 ZINC000340969807 527352535 /nfs/dbraw/zinc/35/25/35/527352535.db2.gz NQKDIIDTOHEUFR-CQSZACIVSA-N 0 1 303.321 3.256 20 30 DGEDMN C=CCCS(=O)(=O)Nc1ccc(Cl)cc1OC(F)F ZINC000185660733 527420332 /nfs/dbraw/zinc/42/03/32/527420332.db2.gz JWZJZRHTNNJOCA-UHFFFAOYSA-N 0 1 311.737 3.259 20 30 DGEDMN C=C[C@@H](CO)NCc1ccc(-c2cccc(Br)c2)o1 ZINC000352473019 527441351 /nfs/dbraw/zinc/44/13/51/527441351.db2.gz NPKULXZLZSMJQD-ZDUSSCGKSA-N 0 1 322.202 3.346 20 30 DGEDMN C=C[C@@H](CO)N[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000353566600 527442154 /nfs/dbraw/zinc/44/21/54/527442154.db2.gz MPQFNEXJKFXAMA-FZMZJTMJSA-N 0 1 305.809 3.032 20 30 DGEDMN C=CCC[C@@H](NC(=O)c1cnn[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000441574928 527445587 /nfs/dbraw/zinc/44/55/87/527445587.db2.gz USCBMTZYYHWBJG-GFCCVEGCSA-N 0 1 324.306 3.261 20 30 DGEDMN C=CCC[C@@H](O)CN1CCN(Cc2ccc(Cl)cc2C)CC1 ZINC000352570682 527457546 /nfs/dbraw/zinc/45/75/46/527457546.db2.gz KWGCGVFKOCTOFH-GOSISDBHSA-N 0 1 322.880 3.093 20 30 DGEDMN CC(C)(C#N)CCN(Cc1cccs1)[C@H]1C=C[C@H](CO)C1 ZINC000347349552 527465514 /nfs/dbraw/zinc/46/55/14/527465514.db2.gz WDTRRAGXLRJHBY-GJZGRUSLSA-N 0 1 304.459 3.427 20 30 DGEDMN C=CCC[C@H](O)CN(C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000351490415 527488916 /nfs/dbraw/zinc/48/89/16/527488916.db2.gz DWLIPVJWCUWIAQ-PKOBYXMFSA-N 0 1 301.430 3.284 20 30 DGEDMN C=CCN(C)C(=O)CSc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000154184950 527562993 /nfs/dbraw/zinc/56/29/93/527562993.db2.gz JPYMYNKJXDCTKU-UHFFFAOYSA-N 0 1 315.442 3.045 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1cccc(OC(C)C)c1 ZINC000341379950 527615475 /nfs/dbraw/zinc/61/54/75/527615475.db2.gz RSHUWBKLASFKDU-MRXNPFEDSA-N 0 1 316.445 3.325 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(CC)Cc1ccccc1C ZINC000343263128 527624871 /nfs/dbraw/zinc/62/48/71/527624871.db2.gz IHNZBXURPDMGKB-QGZVFWFLSA-N 0 1 300.446 3.406 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(CC)Cc1cccc(F)c1 ZINC000343595079 527632353 /nfs/dbraw/zinc/63/23/53/527632353.db2.gz JMHUXEMMEWYLFV-HNNXBMFYSA-N 0 1 304.409 3.237 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)N1CCc2ccccc21 ZINC000173546882 527715984 /nfs/dbraw/zinc/71/59/84/527715984.db2.gz IJIAQCDJQYXHID-UHFFFAOYSA-N 0 1 313.445 3.228 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1cccc(C)c1C)C1CCC1 ZINC000349747194 527893808 /nfs/dbraw/zinc/89/38/08/527893808.db2.gz QVNUTVNJETXQLL-UHFFFAOYSA-N 0 1 313.445 3.425 20 30 DGEDMN CCN(CC(=O)NC1(C#N)CCC1)Cc1ccc2ccccc2c1 ZINC000346831800 527903474 /nfs/dbraw/zinc/90/34/74/527903474.db2.gz UPDPCXHCYHWXQR-UHFFFAOYSA-N 0 1 321.424 3.224 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)C[C@H](CC#N)c2ccccc2)[C@@H](C)C1 ZINC000344415895 527925510 /nfs/dbraw/zinc/92/55/10/527925510.db2.gz FDSKZLPSUXZMJK-JZXOWHBKSA-N 0 1 313.445 3.015 20 30 DGEDMN CC(C)Oc1ccc(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000354462533 528166513 /nfs/dbraw/zinc/16/65/13/528166513.db2.gz HWPMJKRICRDJTI-ZDUSSCGKSA-N 0 1 315.760 3.407 20 30 DGEDMN CC(C)Oc1ccc(Cl)cc1C(=O)[C@@H](C#N)c1ccncn1 ZINC000354462533 528166517 /nfs/dbraw/zinc/16/65/17/528166517.db2.gz HWPMJKRICRDJTI-ZDUSSCGKSA-N 0 1 315.760 3.407 20 30 DGEDMN CC(C)SCCN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000336847825 528313961 /nfs/dbraw/zinc/31/39/61/528313961.db2.gz YLMVKIRYRDSBIL-KRWDZBQOSA-N 0 1 303.475 3.010 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2cc(C)oc2C)n1 ZINC000354650678 528363352 /nfs/dbraw/zinc/36/33/52/528363352.db2.gz HGXVNPBDWGOHLG-NSHDSACASA-N 0 1 318.354 3.020 20 30 DGEDMN CC(C)c1nc(CNc2ccc(C(F)(F)F)c(C#N)c2)n[nH]1 ZINC000295018165 528447320 /nfs/dbraw/zinc/44/73/20/528447320.db2.gz UFUWNDQUAJMTJA-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H](c1ccccc1)N(CC)CC ZINC000343229124 528700872 /nfs/dbraw/zinc/70/08/72/528700872.db2.gz WKQYCOAXNIVXPF-NVXWUHKLSA-N 0 1 301.434 3.078 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H](O)Cc1ccc(F)cc1 ZINC000339010333 528750600 /nfs/dbraw/zinc/75/06/00/528750600.db2.gz KWKSDOXWMJZVOF-GOSISDBHSA-N 0 1 306.425 3.381 20 30 DGEDMN CCN(Cc1cccs1)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343261812 528855226 /nfs/dbraw/zinc/85/52/26/528855226.db2.gz UOQXIQUWCRKKBK-XJKSGUPXSA-N 0 1 307.463 3.013 20 30 DGEDMN CC[C@](C)(NCCOc1ccccc1C#N)c1nccs1 ZINC000173505046 529370636 /nfs/dbraw/zinc/37/06/36/529370636.db2.gz WUHFPINBXKOXHO-INIZCTEOSA-N 0 1 301.415 3.309 20 30 DGEDMN Cc1scc(C(=O)C(C#N)c2nnc3n2CCCCC3)c1C ZINC000180742780 546149125 /nfs/dbraw/zinc/14/91/25/546149125.db2.gz IPSJDFJAYQDSNW-GFCCVEGCSA-N 0 1 314.414 3.173 20 30 DGEDMN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCC[C@@H](C2CC2)C1 ZINC000329673018 546489725 /nfs/dbraw/zinc/48/97/25/546489725.db2.gz UVBLHTVNIKSECC-UKRRQHHQSA-N 0 1 304.438 3.135 20 30 DGEDMN CC1(CNC(=O)N2CCC(c3cnc[nH]3)CC2)CCCCC1 ZINC000329725754 546490747 /nfs/dbraw/zinc/49/07/47/546490747.db2.gz GIHVSEFTGIOQCA-UHFFFAOYSA-N 0 1 304.438 3.474 20 30 DGEDMN Cc1nc(C2(NC(=O)CCc3cnc[nH]3)CCCCCC2)no1 ZINC000330003946 546493945 /nfs/dbraw/zinc/49/39/45/546493945.db2.gz ARERHYCOIYYRQI-UHFFFAOYSA-N 0 1 317.393 3.240 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@H](C)C2CCOCC2)n[nH]1 ZINC000330197613 546495677 /nfs/dbraw/zinc/49/56/77/546495677.db2.gz SANGFDPURHMVPL-TUAOUCFPSA-N 0 1 308.426 3.398 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@H]2CCc3[nH]cnc3C2)c1 ZINC000668031661 547365796 /nfs/dbraw/zinc/36/57/96/547365796.db2.gz BPAJRSZSFGAAQZ-ZDUSSCGKSA-N 0 1 311.385 3.108 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CC[N@H+](CC)[C@H](C)C1 ZINC000669727768 547679858 /nfs/dbraw/zinc/67/98/58/547679858.db2.gz XDGKUAGHTDZTTD-OAHLLOKOSA-N 0 1 301.434 3.363 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(CC)[C@H](C)C1 ZINC000669727768 547679860 /nfs/dbraw/zinc/67/98/60/547679860.db2.gz XDGKUAGHTDZTTD-OAHLLOKOSA-N 0 1 301.434 3.363 20 30 DGEDMN COCC1(N(C)CC#Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC000677728993 548542042 /nfs/dbraw/zinc/54/20/42/548542042.db2.gz RKOCDLTUKQPUJE-UHFFFAOYSA-N 0 1 313.319 3.048 20 30 DGEDMN C=C(C)COc1cc(CN2CCCOC[C@@H]2C)ccc1OC ZINC000678273704 548664527 /nfs/dbraw/zinc/66/45/27/548664527.db2.gz LKUNSFFFRVDSBB-HNNXBMFYSA-N 0 1 305.418 3.261 20 30 DGEDMN Clc1ccc(C#CCN2CCC([C@H]3CCOC3)CC2)cc1 ZINC000680094882 548996215 /nfs/dbraw/zinc/99/62/15/548996215.db2.gz QKCRJNYJUXSCBN-KRWDZBQOSA-N 0 1 303.833 3.440 20 30 DGEDMN C=C(C)[C@H](NC(=O)NCc1cc(C)[nH]n1)c1ccc(F)c(F)c1 ZINC000681311807 549191607 /nfs/dbraw/zinc/19/16/07/549191607.db2.gz SDJKVYZARFOFRR-HNNXBMFYSA-N 0 1 320.343 3.113 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CC)[C@@H](C)C1)c1ccccc1 ZINC000681738174 549247688 /nfs/dbraw/zinc/24/76/88/549247688.db2.gz HKNGLOVQXLLQTL-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN CCn1nccc1C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000684674073 549676624 /nfs/dbraw/zinc/67/66/24/549676624.db2.gz FADKJBCHNSJFEB-JTQLQIEISA-N 0 1 302.403 3.147 20 30 DGEDMN CCn1nc(C)cc1C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000684675262 549678050 /nfs/dbraw/zinc/67/80/50/549678050.db2.gz KQDLZKXKPROGKL-LLVKDONJSA-N 0 1 316.430 3.456 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2ccc(Cl)cc2O)c1 ZINC000730979882 574540989 /nfs/dbraw/zinc/54/09/89/574540989.db2.gz OATGDTQDFJYCHB-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN CCOCCCNC(=S)Nc1ccccc1SCCC#N ZINC000730953151 574539282 /nfs/dbraw/zinc/53/92/82/574539282.db2.gz QFEXMFKKTCAGCG-UHFFFAOYSA-N 0 1 323.487 3.405 20 30 DGEDMN COC[C@H](C)NC(=S)Nc1ccccc1SC[C@H](C)C#N ZINC000730954513 574539764 /nfs/dbraw/zinc/53/97/64/574539764.db2.gz AXTJLLDOOQMZPW-NEPJUHHUSA-N 0 1 323.487 3.260 20 30 DGEDMN COCCCOc1cccc(C=NNc2ccc(F)cn2)c1 ZINC000731320269 574547067 /nfs/dbraw/zinc/54/70/67/574547067.db2.gz XTPXKUWUEFCZEP-UHFFFAOYSA-N 0 1 303.337 3.082 20 30 DGEDMN CS(=O)(=O)c1ccccc1N=NCc1cccc(Cl)c1 ZINC000731933634 574562989 /nfs/dbraw/zinc/56/29/89/574562989.db2.gz NDNUTNWVPDFUJE-UHFFFAOYSA-N 0 1 308.790 3.190 20 30 DGEDMN Cc1cc(NN=Cc2cc(Cl)ccc2OC(F)F)ncn1 ZINC000731936025 574563175 /nfs/dbraw/zinc/56/31/75/574563175.db2.gz XQVPGHOYMYZAJF-UHFFFAOYSA-N 0 1 312.707 3.486 20 30 DGEDMN Cc1cccc(OCC[N@H+](C)[C@H](C(=O)[O-])c2ccc(C#N)cc2)c1 ZINC000738738207 574574906 /nfs/dbraw/zinc/57/49/06/574574906.db2.gz XPRIXUKGQOBBDY-SFHVURJKSA-N 0 1 324.380 3.003 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)o1 ZINC000732764637 574581799 /nfs/dbraw/zinc/58/17/99/574581799.db2.gz UHBXXMQMLPSXFC-HTRCEHHLSA-N 0 1 316.308 3.184 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1C=NNCCN1CCCCC1 ZINC000733203472 574607840 /nfs/dbraw/zinc/60/78/40/574607840.db2.gz LDFJJLRXKACNBJ-UHFFFAOYSA-N 0 1 317.330 3.254 20 30 DGEDMN C(=NNc1cnnc2ccccc21)c1ccc(-n2ccnc2)cc1 ZINC000733748010 574636669 /nfs/dbraw/zinc/63/66/69/574636669.db2.gz BFMTYUUHXAJJDS-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN Clc1ccc2nc(C=NNc3cnnc4ccccc43)cn2c1 ZINC000733750226 574636950 /nfs/dbraw/zinc/63/69/50/574636950.db2.gz QISFDBGHFKGZRD-UHFFFAOYSA-N 0 1 322.759 3.377 20 30 DGEDMN CCC(CC)NN=C(C)c1ccc(N2CCOCC2)c(F)c1 ZINC000734365000 574657839 /nfs/dbraw/zinc/65/78/39/574657839.db2.gz OXQNJBODIIESNE-UHFFFAOYSA-N 0 1 307.413 3.165 20 30 DGEDMN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(O[C@H](C)C#N)cc1 ZINC000734852093 574679111 /nfs/dbraw/zinc/67/91/11/574679111.db2.gz OTBGLNGVLZBRFI-FZKQIMNGSA-N 0 1 322.412 3.243 20 30 DGEDMN C=CCN(Cc1cccc(-c2nn[nH]n2)c1)[C@@H](C)c1ccncc1 ZINC000735420307 574701532 /nfs/dbraw/zinc/70/15/32/574701532.db2.gz OOLWNDCOPACWQG-AWEZNQCLSA-N 0 1 320.400 3.011 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=CC3CCOCC3)[nH]c21 ZINC000735790843 574714959 /nfs/dbraw/zinc/71/49/59/574714959.db2.gz QLDXPEQYLCYGBI-VBROQKIQSA-N 0 1 309.369 3.030 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Cc3ccccc3F)[nH]c21 ZINC000735788617 574714999 /nfs/dbraw/zinc/71/49/99/574714999.db2.gz GECYOVBMVZTFEX-ZDUSSCGKSA-N 0 1 307.328 3.429 20 30 DGEDMN C[N@@H+](CCCc1ccccc1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737441136 574843816 /nfs/dbraw/zinc/84/38/16/574843816.db2.gz SBQYVEYTYRKREV-GOSISDBHSA-N 0 1 308.381 3.249 20 30 DGEDMN N#CCOc1ccc(Cl)cc1C[N@@H+]1CCCC[C@H]1CC(=O)[O-] ZINC000739087969 574891194 /nfs/dbraw/zinc/89/11/94/574891194.db2.gz ONVFRPAEGMZLNW-AWEZNQCLSA-N 0 1 322.792 3.072 20 30 DGEDMN N#Cc1ccc(OCCC[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000739129004 574892988 /nfs/dbraw/zinc/89/29/88/574892988.db2.gz NYLOZPZDDPXWSG-UHFFFAOYSA-N 0 1 322.364 3.041 20 30 DGEDMN N#Cc1ccc(OCCC[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000739129004 574892990 /nfs/dbraw/zinc/89/29/90/574892990.db2.gz NYLOZPZDDPXWSG-UHFFFAOYSA-N 0 1 322.364 3.041 20 30 DGEDMN CC(=NN=c1cc[nH]c(N(C)C)n1)c1ccc(C(F)(F)F)cc1 ZINC000739418498 574902541 /nfs/dbraw/zinc/90/25/41/574902541.db2.gz UVLWMEHHOQFGMQ-UHFFFAOYSA-N 0 1 323.322 3.398 20 30 DGEDMN CCCCOc1ccc(CN=Nc2ccnc(N(C)C)n2)cc1 ZINC000739413144 574902595 /nfs/dbraw/zinc/90/25/95/574902595.db2.gz IBBCYOOYDKUYFE-UHFFFAOYSA-N 0 1 313.405 3.168 20 30 DGEDMN N#CCCCCOC(=O)[C@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000741328113 574955961 /nfs/dbraw/zinc/95/59/61/574955961.db2.gz FZBPDUGVRJLVAA-INIZCTEOSA-N 0 1 318.392 3.275 20 30 DGEDMN CN(C)c1ccc(CNCc2cc(C#N)ccc2F)cc1F ZINC000742381780 574994615 /nfs/dbraw/zinc/99/46/15/574994615.db2.gz JFDGZCYHGPATPF-UHFFFAOYSA-N 0 1 301.340 3.192 20 30 DGEDMN C(=NNc1cccc2ncccc21)c1cc(-c2cccnc2)[nH]n1 ZINC000743436639 575051408 /nfs/dbraw/zinc/05/14/08/575051408.db2.gz AIOZKTHEOOQQQL-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1ccc(S(C)(=O)=O)cc1 ZINC000743443242 575051894 /nfs/dbraw/zinc/05/18/94/575051894.db2.gz DHPJBIYGJDZYNI-UHFFFAOYSA-N 0 1 324.352 3.204 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc(-c3cnn(C)c3)c2)n1 ZINC000744010781 575068372 /nfs/dbraw/zinc/06/83/72/575068372.db2.gz OXYBBMKCJBVOQV-OAHLLOKOSA-N 0 1 322.393 3.342 20 30 DGEDMN Cc1ncsc1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000744028679 575069207 /nfs/dbraw/zinc/06/92/07/575069207.db2.gz NJLGOLWREJXZSW-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCC3CCOCC3)[nH]c21 ZINC000744160672 575075291 /nfs/dbraw/zinc/07/52/91/575075291.db2.gz OMEUGEDXGNYZDC-AWEZNQCLSA-N 0 1 311.385 3.254 20 30 DGEDMN C=CCOCC(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000729892263 575265916 /nfs/dbraw/zinc/26/59/16/575265916.db2.gz WFNNTVALWGUGND-SNVBAGLBSA-N 0 1 306.774 3.329 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C1CCc2c1cccc2O ZINC000746681081 575469145 /nfs/dbraw/zinc/46/91/45/575469145.db2.gz FJQJMGIFRXXOIF-UHFFFAOYSA-N 0 1 313.313 3.071 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)CC(C)(C)C ZINC000747020943 575490855 /nfs/dbraw/zinc/49/08/55/575490855.db2.gz KCWAQUHTVSBLQF-QWHCGFSZSA-N 0 1 301.390 3.191 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC23CCCC3)cc1 ZINC000747478222 575520349 /nfs/dbraw/zinc/52/03/49/575520349.db2.gz DXIIJCXXSPHMPO-HZPDHXFCSA-N 0 1 310.397 3.477 20 30 DGEDMN COc1ccc([C@H](C)C(C)=NNCCN2CCCCC2)cc1 ZINC000747633923 575528062 /nfs/dbraw/zinc/52/80/62/575528062.db2.gz ZRCMROPUNAJDGN-OAHLLOKOSA-N 0 1 303.450 3.250 20 30 DGEDMN O=[N+]([O-])c1ccc2[nH]cc(C=NNc3nc4ccccc4[nH]3)c2c1 ZINC000747739830 575537552 /nfs/dbraw/zinc/53/75/52/575537552.db2.gz CHQDKNMBFAWSJM-UHFFFAOYSA-N 0 1 320.312 3.398 20 30 DGEDMN COc1ccc2cc(C(=O)Nc3cc(C#N)ccc3O)[nH]c2c1 ZINC000748002665 575556006 /nfs/dbraw/zinc/55/60/06/575556006.db2.gz KXTOWSFENOHMEN-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN CCN(C)c1ccc(C(C)=[NH+][N-]c2ccc([N+](=O)[O-])cn2)cc1 ZINC000748075084 575559951 /nfs/dbraw/zinc/55/99/51/575559951.db2.gz FYIVTBQNBFAZER-UHFFFAOYSA-N 0 1 313.361 3.282 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@@]23CCCc2ccccc23)c1 ZINC000748411916 575585054 /nfs/dbraw/zinc/58/50/54/575585054.db2.gz CQFHCPTZUZDMGI-OXQOHEQNSA-N 0 1 318.376 3.497 20 30 DGEDMN Cc1ccc(NN=C2CC(C)(C)Oc3cc(F)ccc32)nn1 ZINC000748788480 575606538 /nfs/dbraw/zinc/60/65/38/575606538.db2.gz RCHWZWUTPYPPQT-UHFFFAOYSA-N 0 1 300.337 3.301 20 30 DGEDMN C[N@@H+](CC(=O)NCc1cccc(C#N)c1)C1CCCCCCC1 ZINC000748804772 575608006 /nfs/dbraw/zinc/60/80/06/575608006.db2.gz ADRZBQGFXOVFFE-UHFFFAOYSA-N 0 1 313.445 3.219 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000748934180 575616311 /nfs/dbraw/zinc/61/63/11/575616311.db2.gz IVUZMNAXWSLYSG-UHFFFAOYSA-N 0 1 307.309 3.207 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(OC)c(C#N)c2)cc1F ZINC000749514138 575662177 /nfs/dbraw/zinc/66/21/77/575662177.db2.gz IQBCFYDKNSSEJO-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN O=C1c2cc(F)ccc2OC/C1=C\c1cc(F)c(O)c(F)c1 ZINC000749894162 575685698 /nfs/dbraw/zinc/68/56/98/575685698.db2.gz OZCDGBLOWYHHKT-YCRREMRBSA-N 0 1 306.239 3.468 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C1CCCC1 ZINC000750686432 575745844 /nfs/dbraw/zinc/74/58/44/575745844.db2.gz FECQKGHHAYSISV-GXTWGEPZSA-N 0 1 314.385 3.169 20 30 DGEDMN COCCOc1ccccc1C=NNc1cccc(F)c1F ZINC000751528645 575788808 /nfs/dbraw/zinc/78/88/08/575788808.db2.gz GINMMIRJDAFSNH-UHFFFAOYSA-N 0 1 306.312 3.436 20 30 DGEDMN COCCOc1ccccc1C=NN=c1[nH]c2ccccc2n1C ZINC000751527866 575788933 /nfs/dbraw/zinc/78/89/33/575788933.db2.gz ZMZUREBZLHXXFC-UHFFFAOYSA-N 0 1 324.384 3.045 20 30 DGEDMN COCCOc1ccccc1CN=Nc1nc2ccccc2n1C ZINC000751527866 575788937 /nfs/dbraw/zinc/78/89/37/575788937.db2.gz ZMZUREBZLHXXFC-UHFFFAOYSA-N 0 1 324.384 3.045 20 30 DGEDMN CN(Cc1ccccc1O)Cc1ccc(C#N)cc1OC(F)F ZINC000752286305 575831037 /nfs/dbraw/zinc/83/10/37/575831037.db2.gz BHAMOULLDQMKFT-UHFFFAOYSA-N 0 1 318.323 3.497 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1cnn(-c2ccccc2)c1 ZINC000725720539 575841947 /nfs/dbraw/zinc/84/19/47/575841947.db2.gz PXUKANCQQMDTGY-UHFFFAOYSA-N 0 1 302.341 3.195 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2ccc(O)cc2O)c(Cl)c1 ZINC000727651758 576136587 /nfs/dbraw/zinc/13/65/87/576136587.db2.gz PDJQPAOZYQACSR-UHFFFAOYSA-N 0 1 307.693 3.105 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccc3nc(C(C)(C)C)[nH]c3c2)nc1 ZINC000728857488 576217662 /nfs/dbraw/zinc/21/76/62/576217662.db2.gz INZWYHISQOCKBF-UHFFFAOYSA-N 0 1 318.380 3.489 20 30 DGEDMN C#CCOc1ccccc1CNCc1cccc(NC(=O)CC)c1 ZINC000916835057 620646809 /nfs/dbraw/zinc/64/68/09/620646809.db2.gz FUOWNRUAZPAAFM-UHFFFAOYSA-N 0 1 322.408 3.337 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)o1 ZINC000916907395 620649960 /nfs/dbraw/zinc/64/99/60/620649960.db2.gz AFUZFVQZTYCBRL-SNVBAGLBSA-N 0 1 302.717 3.203 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1cc2c(c(Cl)c1)OCO2 ZINC000917023320 620652470 /nfs/dbraw/zinc/65/24/70/620652470.db2.gz QIGSXDNOGGYUGZ-UHFFFAOYSA-N 0 1 319.704 3.423 20 30 DGEDMN C=CCN(CC(=O)N1CCc2sccc2[C@H]1CC)C(C)C ZINC000917071027 620655272 /nfs/dbraw/zinc/65/52/72/620655272.db2.gz MKWGJLVJAGLPAR-OAHLLOKOSA-N 0 1 306.475 3.480 20 30 DGEDMN N#Cc1ccc(N2CCN(CCSc3ccccc3)CC2)cc1 ZINC000917081060 620655618 /nfs/dbraw/zinc/65/56/18/620655618.db2.gz QVGGJDIMSBMCQC-UHFFFAOYSA-N 0 1 323.465 3.473 20 30 DGEDMN C=CCN(CC(=O)N(C)[C@H](C)c1ccccc1OC)C(C)C ZINC000917167791 620659082 /nfs/dbraw/zinc/65/90/82/620659082.db2.gz AFXWGVLXNRFZCP-OAHLLOKOSA-N 0 1 304.434 3.111 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1ccc(Cl)c(Cl)c1 ZINC000917555289 620675349 /nfs/dbraw/zinc/67/53/49/620675349.db2.gz IXXCABZXTDHIDQ-UHFFFAOYSA-N 0 1 321.171 3.496 20 30 DGEDMN FC(F)(F)Oc1ccccc1N=NC1CCCn2nccc21 ZINC000853334840 620687422 /nfs/dbraw/zinc/68/74/22/620687422.db2.gz CYFUNSJTEZAQHN-UHFFFAOYSA-N 0 1 310.279 3.392 20 30 DGEDMN CCCOc1ccc2c(c1)C(N=Nc1ccncc1F)CCO2 ZINC000853401946 620693011 /nfs/dbraw/zinc/69/30/11/620693011.db2.gz JAJFKSJZRRGXJS-UHFFFAOYSA-N 0 1 315.348 3.030 20 30 DGEDMN CO[C@H](Cn1[nH]c(C(C)(C)C)c(CCC#N)c1=O)C1CCC1 ZINC000853676538 620723864 /nfs/dbraw/zinc/72/38/64/620723864.db2.gz SXKLQHPAVVEEGB-CQSZACIVSA-N 0 1 305.422 3.157 20 30 DGEDMN C[C@@H](C#N)OCCOc1ccc(CN2CCCC2)c(Cl)c1 ZINC000853728154 620729915 /nfs/dbraw/zinc/72/99/15/620729915.db2.gz SSSDETROCMCVFO-ZDUSSCGKSA-N 0 1 308.809 3.243 20 30 DGEDMN CCCC[C@H](CC)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000919223146 620740685 /nfs/dbraw/zinc/74/06/85/620740685.db2.gz PLWCEHHHZDEEFV-QWHCGFSZSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC[C@H](CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000919223146 620740688 /nfs/dbraw/zinc/74/06/88/620740688.db2.gz PLWCEHHHZDEEFV-QWHCGFSZSA-N 0 1 322.430 3.387 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1ccc(CN(CC)CC)o1 ZINC000804832077 617680845 /nfs/dbraw/zinc/68/08/45/617680845.db2.gz FYYBMQRPNCFDHO-UHFFFAOYSA-N 0 1 324.424 3.397 20 30 DGEDMN CCCCCNC(=O)C1CCN(Cc2csc(C#N)c2)CC1 ZINC000891614898 617852017 /nfs/dbraw/zinc/85/20/17/617852017.db2.gz QNXHVPUOUFHEEM-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=CCN(Cc1ccccc1)[C@H]1CCC2(C[C@H]1F)OCCO2 ZINC000891674269 617865368 /nfs/dbraw/zinc/86/53/68/617865368.db2.gz GTJXFHRMEFFBBH-SJORKVTESA-N 0 1 305.393 3.308 20 30 DGEDMN C=CCCC(=O)COC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000924080554 618101231 /nfs/dbraw/zinc/10/12/31/618101231.db2.gz ZITWMYZODDMITM-UHFFFAOYSA-N 0 1 308.284 3.193 20 30 DGEDMN N#CC(C(=O)CCCCc1ccccc1)C(=O)Nc1ccccn1 ZINC000892550367 618106520 /nfs/dbraw/zinc/10/65/20/618106520.db2.gz HMIVHYYALPBCAV-MRXNPFEDSA-N 0 1 321.380 3.142 20 30 DGEDMN C#CCSCC(=O)O[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000892683339 618138029 /nfs/dbraw/zinc/13/80/29/618138029.db2.gz SMIXBXZZJNFGMW-SECBINFHSA-N 0 1 308.790 3.187 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)Cc2cc3ccccc3o2)nc(C)n1 ZINC000920108615 620784026 /nfs/dbraw/zinc/78/40/26/620784026.db2.gz UOWHNZCIOBQVMZ-HNNXBMFYSA-N 0 1 305.337 3.259 20 30 DGEDMN C[N@@H+](CCc1ccccc1[N+](=O)[O-])Cc1csc(C#N)c1 ZINC000894392471 618304921 /nfs/dbraw/zinc/30/49/21/618304921.db2.gz ZDRNNMIJXOSYNN-UHFFFAOYSA-N 0 1 301.371 3.202 20 30 DGEDMN CN(CCc1ccccc1[N+](=O)[O-])Cc1csc(C#N)c1 ZINC000894392471 618304927 /nfs/dbraw/zinc/30/49/27/618304927.db2.gz ZDRNNMIJXOSYNN-UHFFFAOYSA-N 0 1 301.371 3.202 20 30 DGEDMN N#Cc1cccc(SCCN2C[C@H]3CC[C@@H](C2)O3)c1Cl ZINC000920282105 620794049 /nfs/dbraw/zinc/79/40/49/620794049.db2.gz JVFVEXJDVKDZKW-BETUJISGSA-N 0 1 308.834 3.167 20 30 DGEDMN COc1cccc2c1OCCC2=NNc1nc2ccccc2[nH]1 ZINC000920362079 620797291 /nfs/dbraw/zinc/79/72/91/620797291.db2.gz KNQUZOVDDUAPOD-UHFFFAOYSA-N 0 1 308.341 3.170 20 30 DGEDMN C(=NNCCN1CCCCC1)c1ccccc1OC1CCCC1 ZINC000920375038 620797798 /nfs/dbraw/zinc/79/77/98/620797798.db2.gz OQNVNSKKRWHBOF-UHFFFAOYSA-N 0 1 315.461 3.417 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnc(F)cc2C)c1 ZINC000892973202 618375367 /nfs/dbraw/zinc/37/53/67/618375367.db2.gz OEWRLBQGQLRWJT-INIZCTEOSA-N 0 1 313.376 3.500 20 30 DGEDMN COc1cc(CNCc2ccc(CC(C)C)nc2)ccc1C#N ZINC000894540612 618376964 /nfs/dbraw/zinc/37/69/64/618376964.db2.gz CAGNJVQQXLOMFH-UHFFFAOYSA-N 0 1 309.413 3.450 20 30 DGEDMN N#CCC[C@H](N[C@@H]1CCC2(C[C@H]1F)OCCO2)c1ccccc1 ZINC000893194746 618469457 /nfs/dbraw/zinc/46/94/57/618469457.db2.gz KSNNEDFAGPCKBD-IXDOHACOSA-N 0 1 318.392 3.255 20 30 DGEDMN CCn1cc(CNCC#Cc2ccc(C(F)(F)F)cc2)c(C)n1 ZINC000893360119 618529865 /nfs/dbraw/zinc/52/98/65/618529865.db2.gz HMSSYDNYDOFKJM-UHFFFAOYSA-N 0 1 321.346 3.372 20 30 DGEDMN CN(Cc1csc(C#N)c1)CC(C)(C)NC(=O)OC(C)(C)C ZINC000895306235 618591780 /nfs/dbraw/zinc/59/17/80/618591780.db2.gz IBYUOAOAKXXSOO-UHFFFAOYSA-N 0 1 323.462 3.355 20 30 DGEDMN COC(=O)c1cc(CNCc2cccc(C#N)c2)[nH]c1C(C)C ZINC000894172904 618724089 /nfs/dbraw/zinc/72/40/89/618724089.db2.gz ULKGSPBBIWETHO-UHFFFAOYSA-N 0 1 311.385 3.086 20 30 DGEDMN C#CCN1CCC(Nc2ccc([N+](=O)[O-])cc2C(F)F)CC1 ZINC000895810368 618832358 /nfs/dbraw/zinc/83/23/58/618832358.db2.gz AGOCREZVEXOCOU-UHFFFAOYSA-N 0 1 309.316 3.042 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@H]2CCC3(CCC3)CO2)c1 ZINC000895806864 618834077 /nfs/dbraw/zinc/83/40/77/618834077.db2.gz LJPBGIQGKNJYRV-CQSZACIVSA-N 0 1 305.809 3.046 20 30 DGEDMN C=CCC1(O)CCN(Cc2c(F)cccc2N2CCCC2)CC1 ZINC000895835703 618840303 /nfs/dbraw/zinc/84/03/03/618840303.db2.gz MBLWCWZSRCROBA-UHFFFAOYSA-N 0 1 318.436 3.329 20 30 DGEDMN CCc1nnc(CNC(C)(C)Cc2ccc(C#N)cc2)s1 ZINC000896632649 618937249 /nfs/dbraw/zinc/93/72/49/618937249.db2.gz LFRSMVHVSRIWPC-UHFFFAOYSA-N 0 1 300.431 3.083 20 30 DGEDMN C#CCC1(NCc2cc(O)c(OC)cc2Br)CCC1 ZINC000897351169 619070544 /nfs/dbraw/zinc/07/05/44/619070544.db2.gz UZPADAAQJAXLBB-UHFFFAOYSA-N 0 1 324.218 3.199 20 30 DGEDMN CC[C@@](O)(CCC(F)(F)F)CNCc1cccc(F)c1C#N ZINC000897524234 619145551 /nfs/dbraw/zinc/14/55/51/619145551.db2.gz UAQJMIZTPLGPMU-CQSZACIVSA-N 0 1 318.314 3.271 20 30 DGEDMN CC(C)(C)N1CC[C@H]1C(=O)N[C@H](CCCC#N)c1ccccc1 ZINC000897840173 619187510 /nfs/dbraw/zinc/18/75/10/619187510.db2.gz AJPFWPGTAVZIEH-SJORKVTESA-N 0 1 313.445 3.411 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)OCc1ccccc1C(C)(C)C#N ZINC000923889171 619251653 /nfs/dbraw/zinc/25/16/53/619251653.db2.gz IUNWIBLUGYAJTB-QGZVFWFLSA-N 0 1 314.429 3.404 20 30 DGEDMN C#Cc1ccc(NCc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC000898504712 619280912 /nfs/dbraw/zinc/28/09/12/619280912.db2.gz IFKWPTHHXRTGIQ-UHFFFAOYSA-N 0 1 305.425 3.032 20 30 DGEDMN O=C(/C=C\c1ccc(O)c(F)c1F)c1ccnn1C1CCC1 ZINC000899400068 619336062 /nfs/dbraw/zinc/33/60/62/619336062.db2.gz FUFFCEWLNWUJQE-XQRVVYSFSA-N 0 1 304.296 3.488 20 30 DGEDMN C=CCC1(O)CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)CC1 ZINC000899590556 619357398 /nfs/dbraw/zinc/35/73/98/619357398.db2.gz GZEKQFFJHLEOQQ-UHFFFAOYSA-N 0 1 317.433 3.001 20 30 DGEDMN CC(C)N(Cc1cn([C@@H](C)c2cccc(C#N)c2)nn1)C(C)C ZINC000900558688 619438533 /nfs/dbraw/zinc/43/85/33/619438533.db2.gz QASSMQIEYVDLEH-HNNXBMFYSA-N 0 1 311.433 3.378 20 30 DGEDMN C#CCCCCCCn1cc([C@H](N)c2ccc(F)cc2)nn1 ZINC000900644074 619444213 /nfs/dbraw/zinc/44/42/13/619444213.db2.gz SLWPLGFAUWKSJJ-QGZVFWFLSA-N 0 1 300.381 3.049 20 30 DGEDMN Cc1nc(Br)c(C=NN[C@H]2CCCCC2(C)C)[nH]1 ZINC000901232046 619479004 /nfs/dbraw/zinc/47/90/04/619479004.db2.gz SVXOXIJBUNVLHM-NSHDSACASA-N 0 1 313.243 3.373 20 30 DGEDMN CC(=NNC1C[C@H](C)O[C@@H](C)C1)c1ccc(F)cc1N(C)C ZINC000901310734 619484034 /nfs/dbraw/zinc/48/40/34/619484034.db2.gz OEWZSGIKUKHMDS-RYUDHWBXSA-N 0 1 307.413 3.161 20 30 DGEDMN CC(C)(C)n1cc(/C=C\C(=O)c2ccc(O)cc2Cl)nn1 ZINC000901577585 619521442 /nfs/dbraw/zinc/52/14/42/619521442.db2.gz CGWJRCDNHFPWCB-DAXSKMNVSA-N 0 1 305.765 3.288 20 30 DGEDMN C#CCOc1ccc(C[N@H+](CC(C)C)CC(C)(C)C(=O)[O-])cc1 ZINC000901837980 619551097 /nfs/dbraw/zinc/55/10/97/619551097.db2.gz FHMJDDGKPFAQBH-UHFFFAOYSA-N 0 1 317.429 3.267 20 30 DGEDMN C=CCOc1ccc(C[N@@H+](C)[C@@H](CC(C)C)C(=O)[O-])cc1OC ZINC000902018368 619578016 /nfs/dbraw/zinc/57/80/16/619578016.db2.gz GNNKQMYCVXVFNR-HNNXBMFYSA-N 0 1 321.417 3.191 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2ccnc3c(C(=O)[O-])cccc23)C1 ZINC000902443449 619637157 /nfs/dbraw/zinc/63/71/57/619637157.db2.gz LOARUZRIXMRADR-CQSZACIVSA-N 0 1 308.381 3.168 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2cc(C)c(OCC(=O)[O-])c(C)c2)C1 ZINC000902442267 619637163 /nfs/dbraw/zinc/63/71/63/619637163.db2.gz HBCCRBIBCJPRGA-MRXNPFEDSA-N 0 1 315.413 3.002 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2cc(C)c(OCC(=O)[O-])c(C)c2)C1 ZINC000902442265 619637178 /nfs/dbraw/zinc/63/71/78/619637178.db2.gz HBCCRBIBCJPRGA-INIZCTEOSA-N 0 1 315.413 3.002 20 30 DGEDMN C[C@@H](NC[C@H](O)c1c(F)cccc1Cl)c1cc(C#N)ccn1 ZINC000902873095 619685015 /nfs/dbraw/zinc/68/50/15/619685015.db2.gz VPNGCERKEJOTMX-BMIGLBTASA-N 0 1 319.767 3.130 20 30 DGEDMN CC(C)[C@@H](O)[C@@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccccc1 ZINC000903055098 619707075 /nfs/dbraw/zinc/70/70/75/619707075.db2.gz XJCRJJDPCRZUPV-ZOCIIQOWSA-N 0 1 309.413 3.362 20 30 DGEDMN C[C@@H](NCC(C)(C)C#N)c1ccc(OCc2nccn2C)cc1 ZINC000903100036 619716419 /nfs/dbraw/zinc/71/64/19/619716419.db2.gz GYAROXBJVPJGMW-CQSZACIVSA-N 0 1 312.417 3.199 20 30 DGEDMN C[C@@H](NCC1(C#N)CCCC1)c1cccc(N2CCOC2=O)c1 ZINC000903108823 619718312 /nfs/dbraw/zinc/71/83/12/619718312.db2.gz WCDLLMDLXLDSHC-CQSZACIVSA-N 0 1 313.401 3.378 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CCCC[C@H]2CCC(=O)[O-])cc1 ZINC000903695252 619799771 /nfs/dbraw/zinc/79/97/71/619799771.db2.gz SSWONRSQMSXBJQ-ZBFHGGJFSA-N 0 1 316.401 3.197 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[NH2+][C@@H](C)c1nc(C(=O)[O-])cs1 ZINC000904023646 619857299 /nfs/dbraw/zinc/85/72/99/619857299.db2.gz JXOQEWMBJBFROX-NSHDSACASA-N 0 1 315.398 3.181 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@@](CC)(C(=O)[O-])C2)cc1 ZINC000904402738 619920182 /nfs/dbraw/zinc/92/01/82/619920182.db2.gz ZLJZGLQFTFXINA-GOSISDBHSA-N 0 1 303.402 3.328 20 30 DGEDMN COC(=O)[C@@H]1CC[C@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1 ZINC000905001332 619964045 /nfs/dbraw/zinc/96/40/45/619964045.db2.gz GQLDVAVDMAJFGZ-NNFJCDMRSA-N 0 1 316.184 3.460 20 30 DGEDMN C=CCOc1ccccc1CNC[C@](O)(CC)C(F)(F)F ZINC000905104688 619971730 /nfs/dbraw/zinc/97/17/30/619971730.db2.gz QSANTOBQKPVWJN-CQSZACIVSA-N 0 1 303.324 3.044 20 30 DGEDMN Cn1cc(C=NNc2nc3ccccc3n2C)c(C2CCCC2)n1 ZINC000905438992 619999256 /nfs/dbraw/zinc/99/92/56/619999256.db2.gz KLIDJQMNBIDNIR-UHFFFAOYSA-N 0 1 322.416 3.410 20 30 DGEDMN CCOCc1nc(C)cc(NN=C2CCCc3occc32)n1 ZINC000905503207 620002627 /nfs/dbraw/zinc/00/26/27/620002627.db2.gz QXJWHMUGMURAKU-UHFFFAOYSA-N 0 1 300.362 3.067 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cc(C)ccc2F)n1 ZINC000905505119 620002796 /nfs/dbraw/zinc/00/27/96/620002796.db2.gz OZIWCZCQPVESDY-UHFFFAOYSA-N 0 1 302.353 3.215 20 30 DGEDMN N#CCC[C@@H](C#N)CNCCc1sccc1Br ZINC000905790054 620029110 /nfs/dbraw/zinc/02/91/10/620029110.db2.gz VCSFSJNQDDAKSI-JTQLQIEISA-N 0 1 312.236 3.086 20 30 DGEDMN COc1ccc(OC)c(/C=C/C(=O)c2ccc(O)cc2O)c1 ZINC000149021141 620032169 /nfs/dbraw/zinc/03/21/69/620032169.db2.gz NDJFHDRXEUFSQY-XVNBXDOJSA-N 0 1 300.310 3.011 20 30 DGEDMN N#CC1(C[C@@H](O)CSc2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000905887128 620038731 /nfs/dbraw/zinc/03/87/31/620038731.db2.gz OKKHJLJYJKFZDR-CYBMUJFWSA-N 0 1 314.414 3.009 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN([C@H]3C[C@@H]3c3cccc(F)c3)C2)nc1 ZINC000906589307 620106460 /nfs/dbraw/zinc/10/64/60/620106460.db2.gz JWWIVAAJHNXQHW-KURKYZTESA-N 0 1 323.371 3.102 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1ccc(O)c(Cl)c1 ZINC000908641899 620214755 /nfs/dbraw/zinc/21/47/55/620214755.db2.gz NRSSLCYLWOJDOJ-CYBMUJFWSA-N 0 1 307.777 3.186 20 30 DGEDMN CCc1nc[nH]c1C(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1 ZINC000908688145 620218142 /nfs/dbraw/zinc/21/81/42/620218142.db2.gz SKWPEQWEQKWHRK-UHFFFAOYSA-N 0 1 312.373 3.273 20 30 DGEDMN N#CC1(CCCCOC(=O)c2ccc(-c3nnc[nH]3)cc2)CCC1 ZINC000909184284 620244338 /nfs/dbraw/zinc/24/43/38/620244338.db2.gz XHXYKRFNHAAREY-UHFFFAOYSA-N 0 1 324.384 3.493 20 30 DGEDMN C#CCCCCCC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000913510401 620446488 /nfs/dbraw/zinc/44/64/88/620446488.db2.gz CSEQVOUIFIHRCX-FQEVSTJZSA-N 0 1 321.424 3.468 20 30 DGEDMN COc1cccc(O)c1/C=N\C[C@@H](c1ccsc1)N(C)C ZINC000914557486 620541261 /nfs/dbraw/zinc/54/12/61/620541261.db2.gz GRGOGONCQGWYHR-DUPVFGOHSA-N 0 1 304.415 3.184 20 30 DGEDMN CCOc1cccc(C=NNc2nc3ccccc3n2C)c1O ZINC000915967429 620609175 /nfs/dbraw/zinc/60/91/75/620609175.db2.gz IDPAHTUEASRHCV-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN Cc1cc(NN=Cc2cccc(Br)c2O)nc(C)n1 ZINC000915970765 620609865 /nfs/dbraw/zinc/60/98/65/620609865.db2.gz MRCOCZTTZFUWTP-UHFFFAOYSA-N 0 1 321.178 3.008 20 30 DGEDMN COc1cc(C=NNc2cc(C)nc(C)n2)cc(Cl)c1OC ZINC000915970626 620609881 /nfs/dbraw/zinc/60/98/81/620609881.db2.gz JYOFLRFCIMNWPZ-UHFFFAOYSA-N 0 1 320.780 3.210 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc(OCC#N)cc1 ZINC000915971087 620609892 /nfs/dbraw/zinc/60/98/92/620609892.db2.gz COEMKTFRJUTNQF-UHFFFAOYSA-N 0 1 319.368 3.312 20 30 DGEDMN Clc1cccc(C=NNCCN2CCCCC2)c1Cl ZINC000915973654 620610332 /nfs/dbraw/zinc/61/03/32/620610332.db2.gz LGAOQPJQBQLFRM-UHFFFAOYSA-N 0 1 300.233 3.403 20 30 DGEDMN c1cc2c(ccnc2N=NCc2cnn(-c3ccccc3)n2)s1 ZINC000915978153 620610674 /nfs/dbraw/zinc/61/06/74/620610674.db2.gz BDYORGMUQSXNRN-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN COC(=O)c1ccc(C=NNc2nccc3sccc32)o1 ZINC000915979840 620610885 /nfs/dbraw/zinc/61/08/85/620610885.db2.gz KWUQQGSIFVMREK-UHFFFAOYSA-N 0 1 301.327 3.122 20 30 DGEDMN CCOc1ccc(O)c(C=NNc2ccccc2[N+](=O)[O-])c1 ZINC000916249466 620622731 /nfs/dbraw/zinc/62/27/31/620622731.db2.gz QECQFMNWDQJFQH-UHFFFAOYSA-N 0 1 301.302 3.145 20 30 DGEDMN CSc1ccc(C(=O)C(C#N)C(=O)Nc2cccc(C)c2)o1 ZINC000112483893 620828194 /nfs/dbraw/zinc/82/81/94/620828194.db2.gz HNFIALNJYOTMOX-GFCCVEGCSA-N 0 1 314.366 3.271 20 30 DGEDMN CCN(CC)[C@H](C/N=C/c1c(O)cccc1OC)c1ccco1 ZINC000921260553 620845948 /nfs/dbraw/zinc/84/59/48/620845948.db2.gz AZHUBONVOHIOII-IYSPOMMRSA-N 0 1 316.401 3.496 20 30 DGEDMN CCN(CC)[C@@H](C/N=C\c1c(O)cccc1OC)c1ccco1 ZINC000921260552 620846139 /nfs/dbraw/zinc/84/61/39/620846139.db2.gz AZHUBONVOHIOII-CCRNYGKSSA-N 0 1 316.401 3.496 20 30 DGEDMN C=CCCC1(CNC(=O)Cc2n[nH]c3c2CCCC3)CCCC1 ZINC000855300743 620889145 /nfs/dbraw/zinc/88/91/45/620889145.db2.gz WXPWGZYJKXMRBI-UHFFFAOYSA-N 0 1 315.461 3.474 20 30 DGEDMN CC[C@H](C#N)Oc1cc(CNCc2cccnc2)ccc1OC ZINC000113347948 620905166 /nfs/dbraw/zinc/90/51/66/620905166.db2.gz PAVRWSDNWCUUHA-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN CC(C)(C)OCCNCc1cc(C#N)ccc1Br ZINC000921624027 620917761 /nfs/dbraw/zinc/91/77/61/620917761.db2.gz QNYBTUFSZCXKJX-UHFFFAOYSA-N 0 1 311.223 3.225 20 30 DGEDMN C[C@H](NCc1cccc2c1OCC2)c1ccc(OCC#N)cc1 ZINC000921692806 620936007 /nfs/dbraw/zinc/93/60/07/620936007.db2.gz FWJASIOCUMJRMH-AWEZNQCLSA-N 0 1 308.381 3.375 20 30 DGEDMN C[C@H](NCc1csc(C#N)c1)c1ccc([S@@](C)=O)cc1 ZINC000921931292 620969775 /nfs/dbraw/zinc/96/97/75/620969775.db2.gz FDZLTSAZXVKAEI-PRWKNARSSA-N 0 1 304.440 3.208 20 30 DGEDMN CN(C)C[C@@H](NCc1csc(C#N)c1)c1ccc(F)cc1 ZINC000922129157 620992328 /nfs/dbraw/zinc/99/23/28/620992328.db2.gz KZMDVPPGHUUBRQ-MRXNPFEDSA-N 0 1 303.406 3.151 20 30 DGEDMN Cc1csc(C(C#N)C(=O)COCc2ccc(C)cc2)n1 ZINC000857009372 621043392 /nfs/dbraw/zinc/04/33/92/621043392.db2.gz AHMVSFBNNWWGHA-CQSZACIVSA-N 0 1 300.383 3.153 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1ncc(Cl)cn1 ZINC000857220176 621069866 /nfs/dbraw/zinc/06/98/66/621069866.db2.gz ADBAOOOOZQXWHD-GFCCVEGCSA-N 0 1 315.808 3.050 20 30 DGEDMN N#CC[C@@H]1CC[C@H]([NH2+]Cc2c([O-])cccc2Br)C1 ZINC000858172783 621161071 /nfs/dbraw/zinc/16/10/71/621161071.db2.gz GGQPONPFPJFDFQ-QWRGUYRKSA-N 0 1 309.207 3.327 20 30 DGEDMN C#CCCOC(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000859095836 621248290 /nfs/dbraw/zinc/24/82/90/621248290.db2.gz ZJWFMZHQGNRIMH-CQSZACIVSA-N 0 1 311.385 3.211 20 30 DGEDMN C=CCN(CCC(=O)N1CCCC1)Cc1ccc(Cl)s1 ZINC000838782839 621280058 /nfs/dbraw/zinc/28/00/58/621280058.db2.gz BBABZHHOFFDHSZ-UHFFFAOYSA-N 0 1 312.866 3.402 20 30 DGEDMN C[C@@]1(C(=O)[C@H](C#N)c2nc3ccccc3s2)CCCCO1 ZINC000838782825 621280199 /nfs/dbraw/zinc/28/01/99/621280199.db2.gz NOENYEZXPRJFEM-ZBEGNZNMSA-N 0 1 300.383 3.432 20 30 DGEDMN Cc1ccc(COC(=O)[C@H](C(C)C)N2CCCC2)cc1C#N ZINC000859566143 621291940 /nfs/dbraw/zinc/29/19/40/621291940.db2.gz FGLNEZOTEMVZLO-KRWDZBQOSA-N 0 1 300.402 3.030 20 30 DGEDMN Cc1ccc(COC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1C#N ZINC000859566247 621292208 /nfs/dbraw/zinc/29/22/08/621292208.db2.gz GFQVHMPYJBPVAN-ZNMIVQPWSA-N 0 1 300.402 3.171 20 30 DGEDMN Cc1ccc(COC(=O)[C@@H]2CCCN2C2CCCC2)cc1C#N ZINC000859670770 621306915 /nfs/dbraw/zinc/30/69/15/621306915.db2.gz ZAYVITWBXZWFMG-SFHVURJKSA-N 0 1 312.413 3.317 20 30 DGEDMN N#CCc1cccc2c1CCN(Cc1ccc3c(c1)COC3)C2 ZINC000860108271 621367517 /nfs/dbraw/zinc/36/75/17/621367517.db2.gz YKQAZAYGHLUSGE-UHFFFAOYSA-N 0 1 304.393 3.341 20 30 DGEDMN C[C@H]1CSCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000753837280 621467643 /nfs/dbraw/zinc/46/76/43/621467643.db2.gz KHMFDUDXIPPUQZ-GFCCVEGCSA-N 0 1 310.850 3.427 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cc2c(s1)CCCC2 ZINC000753932913 621472220 /nfs/dbraw/zinc/47/22/20/621472220.db2.gz BIGDADCLTQAUFW-KBPBESRZSA-N 0 1 316.474 3.211 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(F)cc1Cl ZINC000753937508 621472854 /nfs/dbraw/zinc/47/28/54/621472854.db2.gz DQBMDPBVWNRJRI-KBPBESRZSA-N 0 1 308.788 3.063 20 30 DGEDMN C[C@H]1CC(=NNC2=N[C@@H]3CCCC[C@@H]3N2)c2ccsc2S1 ZINC000753941407 621473226 /nfs/dbraw/zinc/47/32/26/621473226.db2.gz YXXGZERFGZPTGR-ZMLRMANQSA-N 0 1 320.487 3.197 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1oc2ccccc2c1C ZINC000753940350 621473249 /nfs/dbraw/zinc/47/32/49/621473249.db2.gz UHMVPJNNNMHFNX-GJZGRUSLSA-N 0 1 310.401 3.325 20 30 DGEDMN CC[C@H](C)NN=C(C)c1cc(Br)cc(F)c1O ZINC000790183482 621508848 /nfs/dbraw/zinc/50/88/48/621508848.db2.gz SHRJCSSNLRALTG-ZETCQYMHSA-N 0 1 303.175 3.406 20 30 DGEDMN CCCCCCCC[C@H](O)CCC(=O)N1CCc2nc[nH]c2C1 ZINC000861762786 621536338 /nfs/dbraw/zinc/53/63/38/621536338.db2.gz FUQFCZDWOCIFFS-HNNXBMFYSA-N 0 1 321.465 3.186 20 30 DGEDMN Cn1c2ccc(CN=Nc3ccc4ccccc4n3)cc2oc1=O ZINC000754895304 621540570 /nfs/dbraw/zinc/54/05/70/621540570.db2.gz LYWRCTKAUJIAJO-UHFFFAOYSA-N 0 1 318.336 3.126 20 30 DGEDMN Cc1nc[nH]c1C=NNC(=S)Nc1ccc(C(C)C)cc1 ZINC000755506104 621576727 /nfs/dbraw/zinc/57/67/27/621576727.db2.gz ZMHWLJNPMDJGLN-UHFFFAOYSA-N 0 1 301.419 3.162 20 30 DGEDMN Cc1cc(C)n2c(C=NNc3nccc4sccc43)cnc2n1 ZINC000755651091 621583270 /nfs/dbraw/zinc/58/32/70/621583270.db2.gz QXKIXRFOJRWHOA-UHFFFAOYSA-N 0 1 322.397 3.402 20 30 DGEDMN CCCCc1nc(Cl)c(C=NNc2cc(C)nc(C)n2)[nH]1 ZINC000755649187 621583467 /nfs/dbraw/zinc/58/34/67/621583467.db2.gz UPZYMJUQVZRXCF-UHFFFAOYSA-N 0 1 306.801 3.259 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2cnc3ccccc3n2)s1 ZINC000755689078 621585985 /nfs/dbraw/zinc/58/59/85/621585985.db2.gz LWGABZWNUCBRGB-UHFFFAOYSA-N 0 1 319.777 3.194 20 30 DGEDMN Cc1[nH]cnc1C=NNc1c(F)cc(F)cc1Br ZINC000755744911 621590429 /nfs/dbraw/zinc/59/04/29/621590429.db2.gz KSWWJVKZJZGOTB-UHFFFAOYSA-N 0 1 315.121 3.205 20 30 DGEDMN Cc1nc[nH]c1C=NNc1c(F)cc(F)cc1Br ZINC000755744911 621590433 /nfs/dbraw/zinc/59/04/33/621590433.db2.gz KSWWJVKZJZGOTB-UHFFFAOYSA-N 0 1 315.121 3.205 20 30 DGEDMN CC(N=Nc1ccccc1S(C)(=O)=O)c1cc(F)cc(F)c1 ZINC000755892664 621600554 /nfs/dbraw/zinc/60/05/54/621600554.db2.gz ANJPBVPTJGWKIB-UHFFFAOYSA-N 0 1 324.352 3.204 20 30 DGEDMN C=C(C)[C@H](CC(=O)Nc1ccc(CN(C)C)c(F)c1)OCC ZINC000755950350 621605994 /nfs/dbraw/zinc/60/59/94/621605994.db2.gz IKTQKHCCLOFFCC-INIZCTEOSA-N 0 1 308.397 3.197 20 30 DGEDMN C[C@@H](CNC(=O)OC(C)(C)C)N[C@@H](C)c1ccc(C#N)cc1F ZINC000756073108 621613463 /nfs/dbraw/zinc/61/34/63/621613463.db2.gz VPGYJKMJQLUDRR-RYUDHWBXSA-N 0 1 321.396 3.261 20 30 DGEDMN Cc1cc(NN=Cc2ccc(C(=O)OC(C)(C)C)s2)ncn1 ZINC000863093469 621638522 /nfs/dbraw/zinc/63/85/22/621638522.db2.gz NIEXSBKTNDWHRY-UHFFFAOYSA-N 0 1 318.402 3.248 20 30 DGEDMN COCCc1nc(C)c(C(C)N=Nc2cccc(C)n2)s1 ZINC000863159847 621643748 /nfs/dbraw/zinc/64/37/48/621643748.db2.gz GMNNDJSWFGGVOA-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN COCCc1nc(C)c(C(C)=NNc2cccc(C)n2)s1 ZINC000863159847 621643750 /nfs/dbraw/zinc/64/37/50/621643750.db2.gz GMNNDJSWFGGVOA-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN Cc1cc(Cl)cc(C)c1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000756895323 621670943 /nfs/dbraw/zinc/67/09/43/621670943.db2.gz MTYWRYNACSRFMM-GJZGRUSLSA-N 0 1 304.825 3.151 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2cccs2)c(OC)c1 ZINC000756897860 621670950 /nfs/dbraw/zinc/67/09/50/621670950.db2.gz SEDFMHJGNWUILH-UHFFFAOYSA-N 0 1 304.371 3.379 20 30 DGEDMN COC(=O)c1ccc(N=NCc2cccc3[nH]ccc32)c(OC)c1 ZINC000756902585 621671298 /nfs/dbraw/zinc/67/12/98/621671298.db2.gz SGFUOQDLPZSOIM-UHFFFAOYSA-N 0 1 323.352 3.409 20 30 DGEDMN C#CCN(CC(=O)N(Cc1ccccc1)C1CC1)C1CCCC1 ZINC000756912471 621672344 /nfs/dbraw/zinc/67/23/44/621672344.db2.gz VOBVWPHTEYFWHB-UHFFFAOYSA-N 0 1 310.441 3.055 20 30 DGEDMN C#CC[C@@H](NCc1cnc2nc(C)cc(C)n12)c1ccccc1 ZINC000756995578 621677695 /nfs/dbraw/zinc/67/76/95/621677695.db2.gz JJZWVJMWDWVICO-GOSISDBHSA-N 0 1 304.397 3.200 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000839691336 621708607 /nfs/dbraw/zinc/70/86/07/621708607.db2.gz LZSBZUQWMPFURB-MJBXVCDLSA-N 0 1 318.392 3.038 20 30 DGEDMN O=C(/C=C\c1cccc2c1OCCO2)c1cc(F)ccc1O ZINC000757466917 621713801 /nfs/dbraw/zinc/71/38/01/621713801.db2.gz APTOLTWWUVEPIG-XQRVVYSFSA-N 0 1 300.285 3.199 20 30 DGEDMN COCCOc1cccc(/C=C\C(=O)c2cc(F)ccc2O)c1 ZINC000757466891 621713937 /nfs/dbraw/zinc/71/39/37/621713937.db2.gz AHVDTGNBTWCGIM-ALCCZGGFSA-N 0 1 316.328 3.453 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccc(S(C)(=O)=O)cc2)c(O)c1 ZINC000757475808 621715947 /nfs/dbraw/zinc/71/59/47/621715947.db2.gz MCMZLJAILIRDJC-UXBLZVDNSA-N 0 1 316.378 3.000 20 30 DGEDMN COC(=O)c1ccc(N=NCc2cc(Cl)ccc2F)nc1 ZINC000758117357 621758578 /nfs/dbraw/zinc/75/85/78/621758578.db2.gz GFYOXPAVOTXKLJ-UHFFFAOYSA-N 0 1 307.712 3.107 20 30 DGEDMN COC(=O)c1ccc(NN=C2CC(C)(C)Cc3occc32)nc1 ZINC000758138731 621760612 /nfs/dbraw/zinc/76/06/12/621760612.db2.gz ANBPJIPHMWGLJH-UHFFFAOYSA-N 0 1 313.357 3.250 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2nn(C)c3ccccc23)cc1 ZINC000759190575 621834300 /nfs/dbraw/zinc/83/43/00/621834300.db2.gz MRJCXZBCTYYTAT-AWEZNQCLSA-N 0 1 320.396 3.154 20 30 DGEDMN Cc1cc(Br)ccc1C=NNCCN1CCCCC1 ZINC000759202485 621836033 /nfs/dbraw/zinc/83/60/33/621836033.db2.gz QKHHOFXVLBFUFS-UHFFFAOYSA-N 0 1 324.266 3.167 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCCc3ccccn3)[nH]c21 ZINC000759367861 621850641 /nfs/dbraw/zinc/85/06/41/621850641.db2.gz SVDYROKROYFUAR-HNNXBMFYSA-N 0 1 318.380 3.466 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1CC12CCC2 ZINC000759832784 621894079 /nfs/dbraw/zinc/89/40/79/621894079.db2.gz IIJAJFRTJBHFPO-GHMZBOCLSA-N 0 1 302.761 3.178 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@@H]1CC12CCC2 ZINC000759834464 621894457 /nfs/dbraw/zinc/89/44/57/621894457.db2.gz PUQXWKWNFZYPBY-QWRGUYRKSA-N 0 1 320.751 3.317 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3ncccc3C)[nH]c21 ZINC000760218941 621922149 /nfs/dbraw/zinc/92/21/49/621922149.db2.gz NXYRHSKZHPDGNB-QIAWRQBBSA-N 0 1 316.364 3.464 20 30 DGEDMN CC(C)(Oc1cccc(Cl)c1)C(=O)C(C#N)c1ccncn1 ZINC000760342176 621933115 /nfs/dbraw/zinc/93/31/15/621933115.db2.gz WNCSDOQGKVYGNM-CYBMUJFWSA-N 0 1 315.760 3.164 20 30 DGEDMN N#C[C@H](C(=O)C[C@@H](c1ccccc1)C(F)(F)F)c1ccncn1 ZINC000760346142 621933962 /nfs/dbraw/zinc/93/39/62/621933962.db2.gz OQNNZPGYDTXZGU-STQMWFEESA-N 0 1 319.286 3.389 20 30 DGEDMN CSc1cc(CNCc2cc(C#N)ccc2N(C)C)ccn1 ZINC000865517412 621940731 /nfs/dbraw/zinc/94/07/31/621940731.db2.gz DBMKGXJVQCUABY-UHFFFAOYSA-N 0 1 312.442 3.031 20 30 DGEDMN CC(C)CC1CCN([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000760926618 621974405 /nfs/dbraw/zinc/97/44/05/621974405.db2.gz OXFCBRLMKFRQGM-QAPCUYQASA-N 0 1 307.482 3.187 20 30 DGEDMN Cc1cc2cn[nH]c2c(C(=O)Nc2ccc(F)cc2OCC#N)c1 ZINC000760977638 621977721 /nfs/dbraw/zinc/97/77/21/621977721.db2.gz MXKSPXYMTYMKKX-UHFFFAOYSA-N 0 1 324.315 3.165 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000761493500 622012251 /nfs/dbraw/zinc/01/22/51/622012251.db2.gz MXJLAYSYPNEROR-AAEUAGOBSA-N 0 1 322.430 3.243 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000761493500 622012254 /nfs/dbraw/zinc/01/22/54/622012254.db2.gz MXJLAYSYPNEROR-AAEUAGOBSA-N 0 1 322.430 3.243 20 30 DGEDMN CC(N=Nc1cnn(C)c1)c1ccc(F)c(Br)c1 ZINC000761885203 622036820 /nfs/dbraw/zinc/03/68/20/622036820.db2.gz OMDMPTOVWBEJDE-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN Cc1cc(C=NNc2cnn(C)c2)c(C)n1-c1ccccc1F ZINC000761881973 622037009 /nfs/dbraw/zinc/03/70/09/622037009.db2.gz STDVVAWKGFFQCU-UHFFFAOYSA-N 0 1 311.364 3.413 20 30 DGEDMN C#CCOc1ccc(-c2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000762116349 622053968 /nfs/dbraw/zinc/05/39/68/622053968.db2.gz LDGMYEZQRXNAJB-UHFFFAOYSA-N 0 1 316.320 3.292 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCC(=O)C[C@@H]3C)[nH]c21 ZINC000763013967 622116520 /nfs/dbraw/zinc/11/65/20/622116520.db2.gz PTXJCXCQHJRJJS-IACUBPJLSA-N 0 1 309.369 3.053 20 30 DGEDMN COc1ccc(CN=Nc2ccc(Br)cn2)s1 ZINC000763172725 622128109 /nfs/dbraw/zinc/12/81/09/622128109.db2.gz WVTIRJWEFSQTMV-UHFFFAOYSA-N 0 1 312.192 3.360 20 30 DGEDMN CC(C)(C)OC1CC(N2CCN(c3ccc(C#N)cc3)CC2)C1 ZINC000866587634 622142641 /nfs/dbraw/zinc/14/26/41/622142641.db2.gz NVVYIDOCNHHUMF-UHFFFAOYSA-N 0 1 313.445 3.026 20 30 DGEDMN CCC[C@H](C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)C(C)C ZINC000763858608 622165668 /nfs/dbraw/zinc/16/56/68/622165668.db2.gz UVCIKCQEFSBMGW-STQMWFEESA-N 0 1 322.430 3.243 20 30 DGEDMN CCC[C@H](C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000763858608 622165670 /nfs/dbraw/zinc/16/56/70/622165670.db2.gz UVCIKCQEFSBMGW-STQMWFEESA-N 0 1 322.430 3.243 20 30 DGEDMN NC(=O)[C@@H]1CCC[C@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1 ZINC000764414191 622192624 /nfs/dbraw/zinc/19/26/24/622192624.db2.gz PTCACXDUKANGHX-BPKLMPEESA-N 0 1 315.200 3.162 20 30 DGEDMN C[C@@H](CCC(C)(C)C)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867945384 622196071 /nfs/dbraw/zinc/19/60/71/622196071.db2.gz WFLVPRPZMUHCEA-SUMWQHHRSA-N 0 1 313.445 3.076 20 30 DGEDMN Cc1cc(C)cc(N(C)C(=O)[C@@H]2NCCc3cc(C#N)ccc32)c1 ZINC000867947999 622196478 /nfs/dbraw/zinc/19/64/78/622196478.db2.gz OIZRZPHRLVMSOM-LJQANCHMSA-N 0 1 319.408 3.025 20 30 DGEDMN COc1cc(C(C)=NNc2ccccc2)cc([N+](=O)[O-])c1O ZINC000764642593 622209595 /nfs/dbraw/zinc/20/95/95/622209595.db2.gz ZHVRODLGDXIQRR-UHFFFAOYSA-N 0 1 301.302 3.145 20 30 DGEDMN CC(=NNc1ccccn1)c1ccc(-n2cncn2)cc1Cl ZINC000764666465 622211773 /nfs/dbraw/zinc/21/17/73/622211773.db2.gz REGUHDZJNSJRHA-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN O=[N+]([O-])c1cc(CN=Nc2ncnc3ccsc32)cs1 ZINC000764839877 622225150 /nfs/dbraw/zinc/22/51/50/622225150.db2.gz BEMBTZNSJZJSSG-UHFFFAOYSA-N 0 1 305.344 3.107 20 30 DGEDMN CCc1cnccc1C(C)=NNc1ccc([N+](=O)[O-])cc1OC ZINC000765572895 622274366 /nfs/dbraw/zinc/27/43/66/622274366.db2.gz UVAKTLINJOZUKJ-UHFFFAOYSA-N 0 1 314.345 3.397 20 30 DGEDMN CCC1CCC(N(C)Cn2cc3c(c(C#N)c2=O)CCC3)CC1 ZINC000766611418 622342533 /nfs/dbraw/zinc/34/25/33/622342533.db2.gz FKGQQNQUKXIDSI-UHFFFAOYSA-N 0 1 313.445 3.067 20 30 DGEDMN CC[C@H](C)[C@H]1CCCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766635839 622343772 /nfs/dbraw/zinc/34/37/72/622343772.db2.gz CMAMGZVAJVKQTA-KBXCAEBGSA-N 0 1 313.445 3.067 20 30 DGEDMN Cc1nn2c(C=N[N-]c3cc(C)cc[nH+]3)c(C(C)C)nc2s1 ZINC000766711260 622348017 /nfs/dbraw/zinc/34/80/17/622348017.db2.gz KNFNYXCMJKXOOP-UHFFFAOYSA-N 0 1 314.418 3.372 20 30 DGEDMN CCC(=NNc1ncnc2nc[nH]c21)c1c(F)cccc1Cl ZINC000767777120 622421298 /nfs/dbraw/zinc/42/12/98/622421298.db2.gz SRDJCGZRWSKPET-UHFFFAOYSA-N 0 1 318.743 3.372 20 30 DGEDMN COc1ccc(NN=C(C)c2csc(C)n2)c([N+](=O)[O-])c1 ZINC000767795503 622422233 /nfs/dbraw/zinc/42/22/33/622422233.db2.gz CLMYVYJQJHSNMU-UHFFFAOYSA-N 0 1 306.347 3.204 20 30 DGEDMN COc1ccc(NN=Cc2ccc(F)cc2C)c([N+](=O)[O-])c1 ZINC000767795434 622422383 /nfs/dbraw/zinc/42/23/83/622422383.db2.gz BFDHWAAAZDOFBV-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN COc1ccc(NN=C(C)c2cc(F)ccc2O)c([N+](=O)[O-])c1 ZINC000767795930 622422411 /nfs/dbraw/zinc/42/24/11/622422411.db2.gz FTYOGHRXQVZOGH-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN COc1ccc(N=NCc2cnc(Cl)c(C)c2)c([N+](=O)[O-])c1 ZINC000767802973 622422700 /nfs/dbraw/zinc/42/27/00/622422700.db2.gz FFQMCKCHZKIWTP-UHFFFAOYSA-N 0 1 320.736 3.406 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCCC[C@H]1C1OCCO1 ZINC000767892590 622426465 /nfs/dbraw/zinc/42/64/65/622426465.db2.gz JPCAULDNPPEGDA-KRWDZBQOSA-N 0 1 314.429 3.215 20 30 DGEDMN Oc1c(F)cc(Cl)cc1C=Nn1cnnc1-c1ccccc1 ZINC000768356662 622452100 /nfs/dbraw/zinc/45/21/00/622452100.db2.gz YYYHLWIEIHYWSE-UHFFFAOYSA-N 0 1 316.723 3.325 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=C2CCOc3c(F)cccc32)c1 ZINC000768376155 622453193 /nfs/dbraw/zinc/45/31/93/622453193.db2.gz QMTKOWXFPGQQLB-UHFFFAOYSA-N 0 1 316.723 3.344 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@H]2C[C@H]2c2ccccc2)c1 ZINC000768916331 622485193 /nfs/dbraw/zinc/48/51/93/622485193.db2.gz ZMXHIYXVOFEZJQ-QUCCMNQESA-N 0 1 318.420 3.342 20 30 DGEDMN C[C@]1(C(=O)NOc2cccc(C#N)c2)CCc2ccccc2C1 ZINC000870692391 622497275 /nfs/dbraw/zinc/49/72/75/622497275.db2.gz CIIVMQZAOYVKKX-IBGZPJMESA-N 0 1 306.365 3.163 20 30 DGEDMN N#CC(C(=O)[C@H]1CSCCS1)c1ccc2ccccc2n1 ZINC000769251343 622511237 /nfs/dbraw/zinc/51/12/37/622511237.db2.gz RLJUXGNRIVRCPQ-IUODEOHRSA-N 0 1 314.435 3.260 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3([C@H]4CCCCO4)CCC3)[nH]c2c1 ZINC000871070999 622543425 /nfs/dbraw/zinc/54/34/25/622543425.db2.gz DWPPXZIGNHGBIN-OAHLLOKOSA-N 0 1 324.384 3.112 20 30 DGEDMN CCC(CC)n1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)n1 ZINC000871073244 622544058 /nfs/dbraw/zinc/54/40/58/622544058.db2.gz UXPLGBKDTLHNRO-UHFFFAOYSA-N 0 1 322.372 3.244 20 30 DGEDMN Cc1cccc(F)c1N=NC(C)c1ccc2c(c1)NC(=O)CO2 ZINC000769827776 622565647 /nfs/dbraw/zinc/56/56/47/622565647.db2.gz JZGABLVBCWYRDA-UHFFFAOYSA-N 0 1 313.332 3.301 20 30 DGEDMN O=[N+]([O-])c1cc(NN=Cc2cc(F)c(O)c(F)c2)ccc1F ZINC000769829671 622566743 /nfs/dbraw/zinc/56/67/43/622566743.db2.gz HSADRHSKKFOMBC-UHFFFAOYSA-N 0 1 311.219 3.164 20 30 DGEDMN COc1ccsc1[C@@H](C)NCc1ccc(OC)c(C#N)c1 ZINC000769975076 622584324 /nfs/dbraw/zinc/58/43/24/622584324.db2.gz ZEFRXFIFKINZHI-LLVKDONJSA-N 0 1 302.399 3.488 20 30 DGEDMN C#CCOc1ccccc1CN(C)CCOCc1ccccc1 ZINC000770304208 622619851 /nfs/dbraw/zinc/61/98/51/622619851.db2.gz ASTRTWOMHPOJSY-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN N#Cc1cccc([C@@H]2CN(Cc3[nH]nc4ccccc43)CCO2)c1 ZINC000770392347 622628795 /nfs/dbraw/zinc/62/87/95/622628795.db2.gz TYNZWDLQNPCJEB-IBGZPJMESA-N 0 1 318.380 3.008 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@@H]2COc3cc(C)c(C)cc32)nc(C)n1 ZINC000770838764 622674114 /nfs/dbraw/zinc/67/41/14/622674114.db2.gz KWACJIMSVCWLOT-HZPDHXFCSA-N 0 1 321.380 3.063 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NNC[C@H](C)C#N ZINC000771113946 622715906 /nfs/dbraw/zinc/71/59/06/622715906.db2.gz YORRSRBPWZSOCM-UONOGXRCSA-N 0 1 304.413 3.391 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NNC[C@@H](C)C#N ZINC000771113943 622716147 /nfs/dbraw/zinc/71/61/47/622716147.db2.gz YORRSRBPWZSOCM-KBPBESRZSA-N 0 1 304.413 3.391 20 30 DGEDMN Cc1[nH]n(-c2cccc(F)c2Br)c(=O)c1CCC#N ZINC000871963219 622745846 /nfs/dbraw/zinc/74/58/46/622745846.db2.gz FKOUEVXALWGGFQ-VIFPVBQESA-N 0 1 324.153 3.231 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cccc(C(F)F)c3)no2)CC1 ZINC000771514958 622770386 /nfs/dbraw/zinc/77/03/86/622770386.db2.gz OEDILKRJCVBIOF-UHFFFAOYSA-N 0 1 317.339 3.487 20 30 DGEDMN Cc1cccc([N-][NH+]=Cc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000771552375 622775538 /nfs/dbraw/zinc/77/55/38/622775538.db2.gz FUDGEVVJFWIHJG-UHFFFAOYSA-N 0 1 308.429 3.193 20 30 DGEDMN Fc1ccc(C=NNCCN2CCCCC2)c(C(F)(F)F)c1 ZINC000771877600 622807682 /nfs/dbraw/zinc/80/76/82/622807682.db2.gz UZUISELBZJTPEX-UHFFFAOYSA-N 0 1 317.330 3.254 20 30 DGEDMN C=C(Cl)C[NH+]1CCC(c2nc(C)c(C(=O)[O-])s2)CC1 ZINC000872164360 622844314 /nfs/dbraw/zinc/84/43/14/622844314.db2.gz GSCNDUWGBCSWSS-UHFFFAOYSA-N 0 1 300.811 3.082 20 30 DGEDMN CN1CCN(Cc2ccc(C(C)(C)C#N)cc2)Cc2cccnc21 ZINC000872266743 622873710 /nfs/dbraw/zinc/87/37/10/622873710.db2.gz DMJRUNJPHHBEFB-UHFFFAOYSA-N 0 1 320.440 3.335 20 30 DGEDMN CCc1noc(C)c1C(C)=NNc1nc2ccncc2s1 ZINC000872412951 622908229 /nfs/dbraw/zinc/90/82/29/622908229.db2.gz POTCPJIKZFQUOG-UHFFFAOYSA-N 0 1 301.375 3.386 20 30 DGEDMN C=CCc1cc(OC)ccc1OC(=O)c1ccc2cncn2c1 ZINC000790144962 625607869 /nfs/dbraw/zinc/60/78/69/625607869.db2.gz MNSQHJBGLYPDMY-UHFFFAOYSA-N 0 1 308.337 3.291 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2cn(C)nc2C)c1 ZINC000772983957 622961318 /nfs/dbraw/zinc/96/13/18/622961318.db2.gz LWRPCTHEEGVAKC-BBRMVZONSA-N 0 1 312.417 3.260 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccsc1Cl ZINC000790155112 625610234 /nfs/dbraw/zinc/61/02/34/625610234.db2.gz DDCUNVNPTRLXMB-UHFFFAOYSA-N 0 1 321.814 3.282 20 30 DGEDMN CCN(C)c1ccc(CN=Nc2ncccc2C(F)(F)F)cn1 ZINC000790158692 625611035 /nfs/dbraw/zinc/61/10/35/625611035.db2.gz TWGMAAGSZHKBRK-UHFFFAOYSA-N 0 1 323.322 3.398 20 30 DGEDMN C=C(C)CCNC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000873030452 623021079 /nfs/dbraw/zinc/02/10/79/623021079.db2.gz GXSKJDRLGPNVEN-CQSZACIVSA-N 0 1 304.438 3.388 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC2(C)C)c1 ZINC000773977304 623094910 /nfs/dbraw/zinc/09/49/10/623094910.db2.gz XDTTYBYHEGIWCG-CABCVRRESA-N 0 1 314.385 3.169 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC2(C)C)c1 ZINC000773977308 623095824 /nfs/dbraw/zinc/09/58/24/623095824.db2.gz XDTTYBYHEGIWCG-LSDHHAIUSA-N 0 1 314.385 3.169 20 30 DGEDMN O=C1C/C(=C\c2cc(F)c(O)c(F)c2)C(=O)c2ccccc2N1 ZINC000774144079 623111764 /nfs/dbraw/zinc/11/17/64/623111764.db2.gz ZIHNCSVCJPRAEW-BJMVGYQFSA-N 0 1 315.275 3.279 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000873496956 623159568 /nfs/dbraw/zinc/15/95/68/623159568.db2.gz FSRFDRKINLJTRV-SWLSCSKDSA-N 0 1 302.374 3.169 20 30 DGEDMN COC(=O)[C@H](C)n1cccc1CN=Nc1cc(C)ccc1F ZINC000774587889 623163330 /nfs/dbraw/zinc/16/33/30/623163330.db2.gz WXVDSXGMRHIPGJ-LBPRGKRZSA-N 0 1 303.337 3.116 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000873523356 623166694 /nfs/dbraw/zinc/16/66/94/623166694.db2.gz QHLMBDURGUDZPJ-RISCZKNCSA-N 0 1 322.355 3.116 20 30 DGEDMN CCCCCCCC[N@H+]1C[C@@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC000873643258 623203413 /nfs/dbraw/zinc/20/34/13/623203413.db2.gz GLGYSXQKJSPQCT-CVEARBPZSA-N 0 1 321.465 3.271 20 30 DGEDMN C[C@H](C(=O)OCc1cccc(C#N)c1F)N1[C@H](C)CC[C@@H]1C ZINC000774959287 623211285 /nfs/dbraw/zinc/21/12/85/623211285.db2.gz DXDAYOFRRBOJLE-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1cccc(C#N)c1F)N1CCCCC1 ZINC000774963259 623211871 /nfs/dbraw/zinc/21/18/71/623211871.db2.gz VZOGHSVNSGDMLJ-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN CC(=NNc1nccn1C)c1cc(Br)ccc1F ZINC000790300567 625631434 /nfs/dbraw/zinc/63/14/34/625631434.db2.gz VQKMEEXKIFDRRO-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN CC(=NNc1nccn1C)c1cc(Br)cc(C)c1O ZINC000790305137 625632484 /nfs/dbraw/zinc/63/24/84/625632484.db2.gz AHDQSEJZNQWSBB-UHFFFAOYSA-N 0 1 323.194 3.033 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1ccc(C)cc1C ZINC000775295456 623250817 /nfs/dbraw/zinc/25/08/17/623250817.db2.gz KWVIRUVGTRBUEC-UHFFFAOYSA-N 0 1 315.394 3.116 20 30 DGEDMN C#C[C@@H](NCc1ccc(Br)c(C)c1)[C@H]1CCCO1 ZINC000775341394 623256517 /nfs/dbraw/zinc/25/65/17/623256517.db2.gz MFXSJTWJSJAZQJ-HUUCEWRRSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1cc(C)c(OC(F)F)c(C)c1)[C@@H]1CCCO1 ZINC000775343043 623257254 /nfs/dbraw/zinc/25/72/54/623257254.db2.gz UTQSBLOODQSBCL-GJZGRUSLSA-N 0 1 309.356 3.175 20 30 DGEDMN C#C[C@H](NCc1ccc(C)c(Br)c1)[C@H]1CCCO1 ZINC000775343946 623257377 /nfs/dbraw/zinc/25/73/77/623257377.db2.gz AQLRDFWJYQLQFS-LSDHHAIUSA-N 0 1 308.219 3.028 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)c1F)c1ccc2c(c1)CC(=O)N2C ZINC000775350196 623257893 /nfs/dbraw/zinc/25/78/93/623257893.db2.gz JAENTVRHQVTRER-GFCCVEGCSA-N 0 1 323.371 3.067 20 30 DGEDMN C#CC[C@@H](NCC(=O)N1c2ccccc2C[C@@H]1C)c1ccccc1 ZINC000775399453 623268615 /nfs/dbraw/zinc/26/86/15/623268615.db2.gz RQPVVOLUQSVWFT-QFBILLFUSA-N 0 1 318.420 3.318 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000775400627 623268863 /nfs/dbraw/zinc/26/88/63/623268863.db2.gz QMZOCWRZUKIBOK-MRXNPFEDSA-N 0 1 314.335 3.258 20 30 DGEDMN CN(Cc1ccc(C(C)(C)C#N)cc1)Cc1cccc(C(N)=O)c1 ZINC000775578413 623293009 /nfs/dbraw/zinc/29/30/09/623293009.db2.gz WTVGXHSEXPVVHK-UHFFFAOYSA-N 0 1 321.424 3.219 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)Nc2ccccc2Cl)C1 ZINC000776519104 623437391 /nfs/dbraw/zinc/43/73/91/623437391.db2.gz YFHUJNJRJJQIDB-WOPDTQHZSA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCCN1CCN([C@H](C)c2ccccc2Br)CC1 ZINC000776593766 623445151 /nfs/dbraw/zinc/44/51/51/623445151.db2.gz ZLNAQWHTMHTLFQ-CQSZACIVSA-N 0 1 321.262 3.151 20 30 DGEDMN CC(CN1CCCCCC1)=NNC(=S)Nc1ccc(F)cc1 ZINC000777421101 623522640 /nfs/dbraw/zinc/52/26/40/623522640.db2.gz QJQIFGLSROBFLG-UHFFFAOYSA-N 0 1 322.453 3.364 20 30 DGEDMN CCn1ccnc1C=NNc1nc2ccc(OC)cc2cc1C ZINC000777912811 623584467 /nfs/dbraw/zinc/58/44/67/623584467.db2.gz FAHUAMKAHNLPKK-UHFFFAOYSA-N 0 1 309.373 3.214 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@@H](C(C)C)C2)c1O ZINC000777968360 623593205 /nfs/dbraw/zinc/59/32/05/623593205.db2.gz JKUUCNZNRHNBIC-GOSISDBHSA-N 0 1 319.445 3.376 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCC(=O)OC(C)(C)C ZINC000778172465 623619194 /nfs/dbraw/zinc/61/91/94/623619194.db2.gz KZLNDDOBAVZVIH-UHFFFAOYSA-N 0 1 323.820 3.173 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCOCC1CCC1 ZINC000778177362 623621489 /nfs/dbraw/zinc/62/14/89/623621489.db2.gz QHJIFONNSIFOAQ-UHFFFAOYSA-N 0 1 307.821 3.258 20 30 DGEDMN CC[C@H](C(=O)OC[C@@H](C#N)Cc1ccc(F)cc1)N(CC)CC ZINC000778618880 623677328 /nfs/dbraw/zinc/67/73/28/623677328.db2.gz BWVFCQPHSGXZKS-NVXWUHKLSA-N 0 1 320.408 3.172 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCS[C@@H]3CCCC[C@H]32)c1 ZINC000876770752 623702119 /nfs/dbraw/zinc/70/21/19/623702119.db2.gz JQQWYBGLQWVVSQ-ZIAGYGMSSA-N 0 1 307.850 3.467 20 30 DGEDMN Cc1cccc(O)c1CN1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000876815747 623714745 /nfs/dbraw/zinc/71/47/45/623714745.db2.gz COOQFDSHRBSYSI-IBGZPJMESA-N 0 1 308.381 3.146 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)C[C@H]1CCCOC1 ZINC000779157545 623736161 /nfs/dbraw/zinc/73/61/61/623736161.db2.gz HGQDDXIXVPVRLK-CQSZACIVSA-N 0 1 307.821 3.210 20 30 DGEDMN C=CC[C@@H](NCc1cc(C(=O)OC)cs1)c1ccncc1 ZINC000877046756 623775674 /nfs/dbraw/zinc/77/56/74/623775674.db2.gz SCNUVZKKJGVPQT-OAHLLOKOSA-N 0 1 302.399 3.337 20 30 DGEDMN COc1cccc(NN=Cc2cc(O)ccc2Br)n1 ZINC000779819026 623813557 /nfs/dbraw/zinc/81/35/57/623813557.db2.gz GJJAAOPDEKHYDZ-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN COc1cccc(N=NC2CN(Cc3ccccc3)C[C@@H]2C)n1 ZINC000779817493 623813669 /nfs/dbraw/zinc/81/36/69/623813669.db2.gz PXLDSJCHSWQYRW-AWEZNQCLSA-N 0 1 310.401 3.010 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@@H]2CCc3ccccc32)c1 ZINC000780286421 623904547 /nfs/dbraw/zinc/90/45/47/623904547.db2.gz NNWLNFSGMGVZEL-SFHVURJKSA-N 0 1 318.420 3.268 20 30 DGEDMN CN1CC[C@H](NCCC#N)C[C@H]1c1ccc(Br)cc1 ZINC000877484120 623905797 /nfs/dbraw/zinc/90/57/97/623905797.db2.gz VXWQASCEZAQHMQ-GJZGRUSLSA-N 0 1 322.250 3.088 20 30 DGEDMN N#Cc1cc(Cl)ccc1COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000780495024 623939577 /nfs/dbraw/zinc/93/95/77/623939577.db2.gz IELCEBAHKJUXKA-ZDUSSCGKSA-N 0 1 315.760 3.098 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)cn2)c2ccccc12 ZINC000780556254 623951719 /nfs/dbraw/zinc/95/17/19/623951719.db2.gz NMYRNVAPYWOLGX-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cc(-c2ccoc2)n[nH]1 ZINC000780748955 623978692 /nfs/dbraw/zinc/97/86/92/623978692.db2.gz KVSYPGPIKFEXLR-LLVKDONJSA-N 0 1 322.324 3.213 20 30 DGEDMN CCOC(=O)c1ccc(CN(C)CCc2cccc(C#N)c2)o1 ZINC000877703880 623998784 /nfs/dbraw/zinc/99/87/84/623998784.db2.gz GDXLKONACFUIOK-UHFFFAOYSA-N 0 1 312.369 3.002 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1ccccc1O[C@@H](C)C#N ZINC000781035208 624012055 /nfs/dbraw/zinc/01/20/55/624012055.db2.gz WJMVIMKRBOEKPR-HNNXBMFYSA-N 0 1 317.433 3.428 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1noc2cc(F)ccc12 ZINC000877761113 624025787 /nfs/dbraw/zinc/02/57/87/624025787.db2.gz AQNQIPXMJJBUQS-LBPRGKRZSA-N 0 1 317.364 3.155 20 30 DGEDMN CCC(N=Nc1ccnc(F)c1)c1ccc2c(c1)OCCO2 ZINC000781128476 624026745 /nfs/dbraw/zinc/02/67/45/624026745.db2.gz XCJSTMBPMYOUDS-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCc2ccccc2C1)c1ccccc1 ZINC000877901644 624084069 /nfs/dbraw/zinc/08/40/69/624084069.db2.gz QHAKYSPFLMEZOG-OAQYLSRUSA-N 0 1 318.420 3.485 20 30 DGEDMN COc1cc(Br)ccc1C=NNc1ccccn1 ZINC000781579154 624096459 /nfs/dbraw/zinc/09/64/59/624096459.db2.gz PYUKIPAWHUTZCA-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN O=C1c2ccccc2CN1N=Cc1cc(-c2ccccc2)[nH]n1 ZINC000781597023 624101210 /nfs/dbraw/zinc/10/12/10/624101210.db2.gz LUKOTMUAHMTZIY-UHFFFAOYSA-N 0 1 302.337 3.067 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1F)C[C@H](O)CC1(CO)CCCC1 ZINC000877987754 624126546 /nfs/dbraw/zinc/12/65/46/624126546.db2.gz RDMFQKVEXXRFNI-QGZVFWFLSA-N 0 1 321.436 3.117 20 30 DGEDMN C=CCN(Cc1ccccc1F)C[C@H](O)CC1(CO)CCCC1 ZINC000877987754 624126547 /nfs/dbraw/zinc/12/65/47/624126547.db2.gz RDMFQKVEXXRFNI-QGZVFWFLSA-N 0 1 321.436 3.117 20 30 DGEDMN CC(=O)[C@](C#N)(CC[N@@H+]1CCS[C@H](C)[C@H]1C)c1ccccc1 ZINC000878114294 624173716 /nfs/dbraw/zinc/17/37/16/624173716.db2.gz HYKXVWVHIKYKEL-IIDMSEBBSA-N 0 1 316.470 3.253 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCS[C@H](C)[C@H]1C)c1ccccc1 ZINC000878114294 624173718 /nfs/dbraw/zinc/17/37/18/624173718.db2.gz HYKXVWVHIKYKEL-IIDMSEBBSA-N 0 1 316.470 3.253 20 30 DGEDMN CC(=O)[C@](C#N)(CC[N@@H+]1CCS[C@H](C)[C@@H]1C)c1ccccc1 ZINC000878114293 624173756 /nfs/dbraw/zinc/17/37/56/624173756.db2.gz HYKXVWVHIKYKEL-HDMKZQKVSA-N 0 1 316.470 3.253 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCS[C@H](C)[C@@H]1C)c1ccccc1 ZINC000878114293 624173758 /nfs/dbraw/zinc/17/37/58/624173758.db2.gz HYKXVWVHIKYKEL-HDMKZQKVSA-N 0 1 316.470 3.253 20 30 DGEDMN COC(=O)c1cc(CNC2(c3cccc(C#N)c3)CC2)ccc1C ZINC000878365023 624258414 /nfs/dbraw/zinc/25/84/14/624258414.db2.gz QDOLROGNVNVQTK-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)nc2ccccc12)[C@H]1CCCO1 ZINC000782543685 624262724 /nfs/dbraw/zinc/26/27/24/624262724.db2.gz NCZAZXCMOWEFAQ-GOEBONIOSA-N 0 1 300.789 3.159 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)c1 ZINC000878401468 624268007 /nfs/dbraw/zinc/26/80/07/624268007.db2.gz WBNKZSBPTRHVRB-SJKOYZFVSA-N 0 1 311.385 3.279 20 30 DGEDMN Cc1cc(COC(=O)c2cc(-c3ccco3)n[nH]2)ccc1C#N ZINC000782671973 624272973 /nfs/dbraw/zinc/27/29/73/624272973.db2.gz GITNAASELFYCQJ-UHFFFAOYSA-N 0 1 307.309 3.207 20 30 DGEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1O)c1c(F)cccc1F ZINC000127140290 624286034 /nfs/dbraw/zinc/28/60/34/624286034.db2.gz FAINZVINVMCJOK-SECBINFHSA-N 0 1 302.280 3.284 20 30 DGEDMN N#Cc1c(Cl)cccc1SCCCNC(=O)C(F)(F)F ZINC000782919254 624290713 /nfs/dbraw/zinc/29/07/13/624290713.db2.gz QTONJXBNXOBIHH-UHFFFAOYSA-N 0 1 322.739 3.372 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H]1CCC(C)(C)CO1 ZINC000878607815 624321017 /nfs/dbraw/zinc/32/10/17/624321017.db2.gz ZFUBORWSXIPPCW-OAHLLOKOSA-N 0 1 307.434 3.355 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CCC(C)(C)CO1 ZINC000878607815 624321022 /nfs/dbraw/zinc/32/10/22/624321022.db2.gz ZFUBORWSXIPPCW-OAHLLOKOSA-N 0 1 307.434 3.355 20 30 DGEDMN CCc1ccccc1NC(=O)C[C@@H](C)NCc1ccc(C#N)cn1 ZINC000783300134 624336064 /nfs/dbraw/zinc/33/60/64/624336064.db2.gz IMCMBESHBMUJSL-CQSZACIVSA-N 0 1 322.412 3.023 20 30 DGEDMN CCC[C@H](NCc1ccc(C#N)cn1)c1cccc([N+](=O)[O-])c1 ZINC000783300674 624336664 /nfs/dbraw/zinc/33/66/64/624336664.db2.gz PHXYKVZHZOAQCK-KRWDZBQOSA-N 0 1 310.357 3.492 20 30 DGEDMN CC[C@H]1CCC[C@@H](C=Nn2cnnc2-n2nc(C)cc2C)C1 ZINC000783812051 624397850 /nfs/dbraw/zinc/39/78/50/624397850.db2.gz YGVKYOYCKRWVCH-LSDHHAIUSA-N 0 1 300.410 3.131 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@H]2[C@@H](O)c2ccccc2)c(F)c1 ZINC000879240245 624457462 /nfs/dbraw/zinc/45/74/62/624457462.db2.gz LFARHZIWKZWLQQ-OALUTQOASA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000879239984 624457642 /nfs/dbraw/zinc/45/76/42/624457642.db2.gz CMJNHPYYAFJEPG-MOPGFXCFSA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000879239984 624457643 /nfs/dbraw/zinc/45/76/43/624457643.db2.gz CMJNHPYYAFJEPG-MOPGFXCFSA-N 0 1 310.372 3.395 20 30 DGEDMN Cc1ccc(N2CCN(CCOc3ccccc3C#N)CC2)cc1 ZINC000784389730 624517864 /nfs/dbraw/zinc/51/78/64/624517864.db2.gz GOMRZBLCOWNVEE-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN Cc1cc(COC(=O)c2cccc(-c3nnc[nH]3)c2)ccc1C#N ZINC000784471665 624529698 /nfs/dbraw/zinc/52/96/98/624529698.db2.gz IRKBVFGHJHSJBK-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879498862 624568548 /nfs/dbraw/zinc/56/85/48/624568548.db2.gz BVZHIKWKNAOKRT-HNAYVOBHSA-N 0 1 318.424 3.499 20 30 DGEDMN C[C@H](NCc1ccc2c(c1)ncn2C)c1ccc(C#N)cc1F ZINC000784680086 624571788 /nfs/dbraw/zinc/57/17/88/624571788.db2.gz JDNLNDHLDARZMT-LBPRGKRZSA-N 0 1 308.360 3.435 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3cnccc3C)[nH]c21 ZINC000784874333 624591307 /nfs/dbraw/zinc/59/13/07/624591307.db2.gz DQWIJMKCHYSGLC-LQYUOIDQSA-N 0 1 316.364 3.464 20 30 DGEDMN COC[C@@H](NCC1(CC#N)CC1)c1cccc(Br)c1 ZINC000879664476 624631804 /nfs/dbraw/zinc/63/18/04/624631804.db2.gz YHLGGCNXIOZWPB-CQSZACIVSA-N 0 1 323.234 3.420 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@@](C)(C(F)(F)F)C1 ZINC000880001241 624747538 /nfs/dbraw/zinc/74/75/38/624747538.db2.gz QUHUOHYKWKNIJZ-TZMCWYRMSA-N 0 1 306.372 3.074 20 30 DGEDMN COc1ccc(C#N)cc1C[N@H+]1CC[C@@H](c2nc(C)cs2)C1 ZINC000880008212 624751433 /nfs/dbraw/zinc/75/14/33/624751433.db2.gz APXRCHBZUFUSOE-CQSZACIVSA-N 0 1 313.426 3.321 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(-c3ccccc3F)no2)cc1O ZINC000785974751 624773645 /nfs/dbraw/zinc/77/36/45/624773645.db2.gz QCNYDTVXEXUECE-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN Cc1nc(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)s1 ZINC000786422530 624875339 /nfs/dbraw/zinc/87/53/39/624875339.db2.gz MQXVDUSOQIQDET-LBPRGKRZSA-N 0 1 324.409 3.364 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786543964 624916700 /nfs/dbraw/zinc/91/67/00/624916700.db2.gz UGKLPGWKGGKVHS-IRXDYDNUSA-N 0 1 314.429 3.392 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@]1(C)c1ccccc1 ZINC000880370921 624939072 /nfs/dbraw/zinc/93/90/72/624939072.db2.gz WSHBQICFEKUNFB-RTBURBONSA-N 0 1 313.445 3.052 20 30 DGEDMN CCOC1CC(N(C)Cc2cc(Cl)ccc2OCC#N)C1 ZINC000805818061 624952697 /nfs/dbraw/zinc/95/26/97/624952697.db2.gz DSJMKUHCGBXZHD-UHFFFAOYSA-N 0 1 308.809 3.242 20 30 DGEDMN N#Cc1c(CN2CCC3(CCCCO3)CC2)cn2ccccc12 ZINC000880454100 624959234 /nfs/dbraw/zinc/95/92/34/624959234.db2.gz BFMIBSOYPPKNHI-UHFFFAOYSA-N 0 1 309.413 3.346 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1OC)[C@H](CO)c1ccccc1 ZINC000880461567 624961394 /nfs/dbraw/zinc/96/13/94/624961394.db2.gz IRUKIPSVNWQCNP-GOSISDBHSA-N 0 1 310.397 3.122 20 30 DGEDMN C#CCC1(O)CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC000880482738 624965279 /nfs/dbraw/zinc/96/52/79/624965279.db2.gz PPAARBGLALDZAP-UHFFFAOYSA-N 0 1 303.789 3.436 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(C)c(Br)c2)CC1 ZINC000880483616 624965503 /nfs/dbraw/zinc/96/55/03/624965503.db2.gz GOPVNBAMHZLSQJ-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN N#CCOc1ccc(CN[C@@H]2CCc3ccc(Cl)nc32)cc1 ZINC000788649952 625235274 /nfs/dbraw/zinc/23/52/74/625235274.db2.gz VCODSWNGYOOWRZ-OAHLLOKOSA-N 0 1 313.788 3.414 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1cn2ccccc2n1 ZINC000788645946 625235722 /nfs/dbraw/zinc/23/57/22/625235722.db2.gz ICFJWTVKJTZSDL-CQSZACIVSA-N 0 1 306.369 3.087 20 30 DGEDMN C#C[C@H](CCC)NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000791074742 625750319 /nfs/dbraw/zinc/75/03/19/625750319.db2.gz UJJYOKWLMPWONF-HZPDHXFCSA-N 0 1 321.852 3.044 20 30 DGEDMN C[C@@H]1CCCC[C@H]1OCC(=O)C(C#N)c1cnc2ccccc2n1 ZINC000792111284 625910874 /nfs/dbraw/zinc/91/08/74/625910874.db2.gz ZOXXZTXTAIFWHP-BIENJYKASA-N 0 1 323.396 3.401 20 30 DGEDMN C#CC[C@@H](NCc1c2c(nn1C)CCSC2)c1ccccc1 ZINC000883076654 625918196 /nfs/dbraw/zinc/91/81/96/625918196.db2.gz JCKHVOYSOCMASQ-MRXNPFEDSA-N 0 1 311.454 3.064 20 30 DGEDMN O[C@@H](CN(CC#Cc1ccccc1)C1CC1)c1ccc(F)cc1 ZINC000792238227 625929970 /nfs/dbraw/zinc/92/99/70/625929970.db2.gz YUIAMGCKUOHVIX-FQEVSTJZSA-N 0 1 309.384 3.375 20 30 DGEDMN C#CCN(C)CCOc1ccc(Cl)cc1Br ZINC000792305068 625934777 /nfs/dbraw/zinc/93/47/77/625934777.db2.gz CVAQZFHXQPYTGA-UHFFFAOYSA-N 0 1 302.599 3.046 20 30 DGEDMN C=CCC[C@H](NCc1nccn1CC(F)(F)F)[C@H]1CCCO1 ZINC000883185109 625959103 /nfs/dbraw/zinc/95/91/03/625959103.db2.gz OBLQAEAKSQSGAL-QWHCGFSZSA-N 0 1 317.355 3.049 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@H]1Cc2ccc(F)cc21 ZINC000796618535 626066524 /nfs/dbraw/zinc/06/65/24/626066524.db2.gz JIZATFYERFWYSR-LSDHHAIUSA-N 0 1 319.339 3.229 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@H]2CCc3cc(C)ccc3O2)n1 ZINC000796620083 626066949 /nfs/dbraw/zinc/06/69/49/626066949.db2.gz STOQTAIQEXVNRH-DZGCQCFKSA-N 0 1 312.394 3.330 20 30 DGEDMN N#C[C@@H](C(=O)NC1CCCC1)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796652316 626070555 /nfs/dbraw/zinc/07/05/55/626070555.db2.gz UYLXGLMVXAHDPX-CABCVRRESA-N 0 1 308.397 3.063 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC2CC(C)(C)C2)c1 ZINC000796746916 626078431 /nfs/dbraw/zinc/07/84/31/626078431.db2.gz LHAQARJKEQLLAP-HNNXBMFYSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CC[C@H](C(F)(F)F)C2)nc(C)n1 ZINC000796778076 626081459 /nfs/dbraw/zinc/08/14/59/626081459.db2.gz VXVCXLINAJFJGI-SRVKXCTJSA-N 0 1 311.307 3.248 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC2CC(C)(C)C2)c1 ZINC000797142857 626118317 /nfs/dbraw/zinc/11/83/17/626118317.db2.gz RJHURNFOFHDUCM-MRXNPFEDSA-N 0 1 312.413 3.146 20 30 DGEDMN C[C@H]([NH2+]CC1(CC#N)CC1)c1ccc(Br)cc1[O-] ZINC000797586916 626154977 /nfs/dbraw/zinc/15/49/77/626154977.db2.gz NKEJXTMPJZVVEU-JTQLQIEISA-N 0 1 309.207 3.499 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000798274662 626207238 /nfs/dbraw/zinc/20/72/38/626207238.db2.gz IFRMMSWSSDUQKN-WCVJEAGWSA-N 0 1 321.465 3.161 20 30 DGEDMN CC(=NNCC(C)(C)CO)c1ccc(N2CCCCC2)c(F)c1 ZINC000799114890 626273236 /nfs/dbraw/zinc/27/32/36/626273236.db2.gz NELLTSFMJWQFHB-UHFFFAOYSA-N 0 1 321.440 3.148 20 30 DGEDMN COc1cc(C=NNc2ccc(C(F)(F)F)cn2)ccc1C#N ZINC000799467850 626303579 /nfs/dbraw/zinc/30/35/79/626303579.db2.gz XXQVOILQWJFYAN-UHFFFAOYSA-N 0 1 320.274 3.427 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1cccc(OC)c1 ZINC000800817273 626376574 /nfs/dbraw/zinc/37/65/74/626376574.db2.gz OGOIHMZHDLLLQA-UHFFFAOYSA-N 0 1 302.305 3.067 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)c1ccc(O)cc1F ZINC000800818786 626377030 /nfs/dbraw/zinc/37/70/30/626377030.db2.gz PDDHAHCTMOWNBP-UHFFFAOYSA-N 0 1 320.295 3.293 20 30 DGEDMN C=CC[C@@H](NC(=O)c1cccc2nc(C)[nH]c21)c1ccncc1 ZINC000801902545 626424668 /nfs/dbraw/zinc/42/46/68/626424668.db2.gz LEPQUYHPGKAXQE-OAHLLOKOSA-N 0 1 306.369 3.314 20 30 DGEDMN C[C@H](C#N)OCCN1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000801914441 626425320 /nfs/dbraw/zinc/42/53/20/626425320.db2.gz CVWICGZADAZWCF-CYBMUJFWSA-N 0 1 320.820 3.163 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000801912128 626425531 /nfs/dbraw/zinc/42/55/31/626425531.db2.gz IDNCENNWJOFDKG-UHFFFAOYSA-N 0 1 323.327 3.275 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccccc3O[C@H](C)C#N)c2[nH]1 ZINC000801948309 626427833 /nfs/dbraw/zinc/42/78/33/626427833.db2.gz VLMMZDKEWAOMEC-LLVKDONJSA-N 0 1 320.352 3.415 20 30 DGEDMN C=CCC[C@@H](COc1ccccc1)NCc1nnc(C2CC2)[nH]1 ZINC000883226498 626615590 /nfs/dbraw/zinc/61/55/90/626615590.db2.gz ZMLDHTVYAASHJM-HNNXBMFYSA-N 0 1 312.417 3.186 20 30 DGEDMN Cc1ccc2nc(CNc3nc(Cl)c(C#N)s3)[nH]c2c1 ZINC000804528463 626689882 /nfs/dbraw/zinc/68/98/82/626689882.db2.gz FVPXQLYMWQBSCR-UHFFFAOYSA-N 0 1 303.778 3.465 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(Nc2ccncc2)cc1)C(C)(C)C ZINC000807879431 626774181 /nfs/dbraw/zinc/77/41/81/626774181.db2.gz CJFCMIVCHAPAHL-QGZVFWFLSA-N 0 1 307.397 3.025 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1ccc(C(C)(C)O)cc1 ZINC000807964188 626780112 /nfs/dbraw/zinc/78/01/12/626780112.db2.gz ZSWLKNFNRCWSPW-UHFFFAOYSA-N 0 1 308.385 3.247 20 30 DGEDMN CC(=CN=NC1=NC[C@H](C)N1)Cc1cccc(C(F)(F)F)c1 ZINC000807981064 626782062 /nfs/dbraw/zinc/78/20/62/626782062.db2.gz GUNRDNPFDZAEJJ-FGUWSYBVSA-N 0 1 310.323 3.032 20 30 DGEDMN Fc1ccc2c(c1)C(=NNc1nccnc1C1CCC1)CCO2 ZINC000807991457 626783620 /nfs/dbraw/zinc/78/36/20/626783620.db2.gz MFOLPKSPZCTFDP-UHFFFAOYSA-N 0 1 312.348 3.482 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1N=NC(C)c1cn2ccccc2n1 ZINC000807990435 626783650 /nfs/dbraw/zinc/78/36/50/626783650.db2.gz BQFXUKKPUZGJSP-UHFFFAOYSA-N 0 1 309.329 3.387 20 30 DGEDMN C(=NNCC1CCCC1)C1(CN2CCOCC2)CCCCC1 ZINC000808005516 626786123 /nfs/dbraw/zinc/78/61/23/626786123.db2.gz FORMUCWGXUSKDY-UHFFFAOYSA-N 0 1 307.482 3.035 20 30 DGEDMN CC(C)C(N)=NOCc1cccc(C(=O)Nc2ccccc2)c1 ZINC000133632622 626829131 /nfs/dbraw/zinc/82/91/31/626829131.db2.gz HCJYHDKEEJKHFE-UHFFFAOYSA-N 0 1 311.385 3.384 20 30 DGEDMN C#CC[C@H](NCc1cnnn1-c1ccccc1)c1ccccc1 ZINC000808743346 626857698 /nfs/dbraw/zinc/85/76/98/626857698.db2.gz AAGOSXDCYORODI-IBGZPJMESA-N 0 1 302.381 3.122 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2(C)CCCC2)cc1 ZINC000808980109 626873380 /nfs/dbraw/zinc/87/33/80/626873380.db2.gz UCJBDEKEFDDDNW-OAHLLOKOSA-N 0 1 314.385 3.313 20 30 DGEDMN Cc1ccc(-c2nc(-c3ccc4[nH]cnc4c3)no2)cc1C#N ZINC000809409224 626910956 /nfs/dbraw/zinc/91/09/56/626910956.db2.gz NPLQTOXZYLUHSP-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN Cc1nc(C2CCN(c3snc(Cl)c3C#N)CC2)[nH]c1C ZINC000884708378 626932179 /nfs/dbraw/zinc/93/21/79/626932179.db2.gz XDFJXHIALVVELA-UHFFFAOYSA-N 0 1 321.837 3.392 20 30 DGEDMN C#C[C@H](CC)NCc1cnn(-c2ccc(Br)cc2)c1 ZINC000809685817 626936121 /nfs/dbraw/zinc/93/61/21/626936121.db2.gz SZOJDDIBRFIXDO-CQSZACIVSA-N 0 1 318.218 3.136 20 30 DGEDMN C#C[C@H](CC)NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC000809686069 626936266 /nfs/dbraw/zinc/93/62/66/626936266.db2.gz KWIVVJOUMABQHU-MRXNPFEDSA-N 0 1 321.346 3.452 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1cccc(OCCOC)c1 ZINC000809717569 626940746 /nfs/dbraw/zinc/94/07/46/626940746.db2.gz KBUSAMBNRMHCEY-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN Cc1ncccc1CON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000809997445 626966959 /nfs/dbraw/zinc/96/69/59/626966959.db2.gz YYAVPIUOUUFZSW-UHFFFAOYSA-N 0 1 324.428 3.217 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)c1cc2cc(Cl)sc2[nH]1 ZINC000810573851 627018927 /nfs/dbraw/zinc/01/89/27/627018927.db2.gz WOVDFSASWQDBFG-NSHDSACASA-N 0 1 322.817 3.137 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)c1cc2cc(Cl)sc2[nH]1 ZINC000810573850 627019038 /nfs/dbraw/zinc/01/90/38/627019038.db2.gz WOVDFSASWQDBFG-LLVKDONJSA-N 0 1 322.817 3.137 20 30 DGEDMN C[C@H](c1cc(F)ccc1F)N(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885958409 627069618 /nfs/dbraw/zinc/06/96/18/627069618.db2.gz GVTBEHUKRFNVRK-OCCSQVGLSA-N 0 1 308.372 3.402 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)C1CCC(F)(F)CC1 ZINC000136595325 627103023 /nfs/dbraw/zinc/10/30/23/627103023.db2.gz RFMPIWAJTANEKM-ZDUSSCGKSA-N 0 1 306.312 3.159 20 30 DGEDMN C=CCN(CC=C)C(=O)c1ccc(NCc2n[nH]c(C)c2C)cc1 ZINC000886140374 627111593 /nfs/dbraw/zinc/11/15/93/627111593.db2.gz GKSCYBZZQCTTEE-UHFFFAOYSA-N 0 1 324.428 3.453 20 30 DGEDMN COc1cc(C)cc(CNCc2ccc(CC#N)cc2)c1OC ZINC000886151614 627113314 /nfs/dbraw/zinc/11/33/14/627113314.db2.gz NZQOWKUEIXDQLZ-UHFFFAOYSA-N 0 1 310.397 3.368 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC[C@@](F)(c3ccccc3)C2)CCC1 ZINC000886192411 627119516 /nfs/dbraw/zinc/11/95/16/627119516.db2.gz VFHJUNTYGGHWMM-WMZOPIPTSA-N 0 1 302.393 3.002 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)c2nc3sc(C)c(C)c3c(N)n2)C1 ZINC000886363023 627143009 /nfs/dbraw/zinc/14/30/09/627143009.db2.gz XPUDKKNGKHCIHJ-YPMHNXCESA-N 0 1 314.458 3.297 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)[C@@H](CO)c1ccccc1C ZINC000886435563 627148556 /nfs/dbraw/zinc/14/85/56/627148556.db2.gz BXVHVSGJEDFCFT-SFHVURJKSA-N 0 1 310.397 3.041 20 30 DGEDMN C#CC[C@H](N[C@@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886557182 627163160 /nfs/dbraw/zinc/16/31/60/627163160.db2.gz VTEYHXDXAYOEEZ-KSSFIOAISA-N 0 1 308.381 3.283 20 30 DGEDMN C[C@H](N[C@H]1CCc2c1cccc2F)C(=O)Nc1cccc(C#N)c1 ZINC000886921962 627214660 /nfs/dbraw/zinc/21/46/60/627214660.db2.gz LZPHIRSRGUQJGO-SGTLLEGYSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCC1(NCc2ncc(-c3ccc(C)cc3)o2)CCOCC1 ZINC000887124718 627227019 /nfs/dbraw/zinc/22/70/19/627227019.db2.gz QBCRWWPBEVSREK-UHFFFAOYSA-N 0 1 310.397 3.312 20 30 DGEDMN C#CCC1(NCc2coc(-c3cccc(F)c3)n2)CCOCC1 ZINC000887126053 627227232 /nfs/dbraw/zinc/22/72/32/627227232.db2.gz UKHOWIAHQOMBFO-UHFFFAOYSA-N 0 1 314.360 3.143 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)Cc1cnc[nH]1)[C@@H]1CCCc2ccccc21 ZINC000887232001 627235059 /nfs/dbraw/zinc/23/50/59/627235059.db2.gz HFUZZNFNGZXCCP-HNAYVOBHSA-N 0 1 321.424 3.128 20 30 DGEDMN C#CCN(C(=O)[C@H](C)Cc1cnc[nH]1)[C@@H]1CCCc2ccccc21 ZINC000887232000 627235292 /nfs/dbraw/zinc/23/52/92/627235292.db2.gz HFUZZNFNGZXCCP-DNVCBOLYSA-N 0 1 321.424 3.128 20 30 DGEDMN C[C@H]1C(N=Nc2ccc(C(=O)[O-])cc2)CC[N@H+]1Cc1ccccc1 ZINC000811629365 627296496 /nfs/dbraw/zinc/29/64/96/627296496.db2.gz YAEAUGGBACQSEH-AWEZNQCLSA-N 0 1 323.396 3.447 20 30 DGEDMN CN(C)c1cc(Cl)cc(Cl)c1CN=Nc1cnccn1 ZINC000811637853 627297353 /nfs/dbraw/zinc/29/73/53/627297353.db2.gz AFTGELIFSOZQEZ-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NNC[C@@H](O)CC ZINC000811655916 627300457 /nfs/dbraw/zinc/30/04/57/627300457.db2.gz YBKAKOFPOBNBJQ-CJNGLKHVSA-N 0 1 309.429 3.002 20 30 DGEDMN C[C@@H]1CC(N=NC[C@@H]2CCSC2)CN(Cc2ccccc2)C1 ZINC000811660698 627301766 /nfs/dbraw/zinc/30/17/66/627301766.db2.gz SQFGYEKYHGZNGO-WBVHZDCISA-N 0 1 317.502 3.227 20 30 DGEDMN C=CCOCC[NH2+][C@@H](CC)c1cc(Br)ccc1[O-] ZINC000301888253 627305193 /nfs/dbraw/zinc/30/51/93/627305193.db2.gz WMINGGMKVWAGTM-ZDUSSCGKSA-N 0 1 314.223 3.398 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C(C)(C)[C@H]1[C@H]1CCCO1 ZINC000811749913 627316356 /nfs/dbraw/zinc/31/63/56/627316356.db2.gz ZFYMWXQZBKRTPS-HZPDHXFCSA-N 0 1 308.466 3.038 20 30 DGEDMN C#C[C@@H](NC(=O)c1n[nH]c2ccccc21)c1ccc(Cl)cc1 ZINC000811951058 627340255 /nfs/dbraw/zinc/34/02/55/627340255.db2.gz VKRYXUMDJJCMOD-CQSZACIVSA-N 0 1 309.756 3.321 20 30 DGEDMN CC(C)OCCON=C1C[C@H](C)CN(Cc2ccccc2)C1 ZINC000812238906 627363302 /nfs/dbraw/zinc/36/33/02/627363302.db2.gz HICXHNRMCTWKDN-INIZCTEOSA-N 0 1 304.434 3.326 20 30 DGEDMN Cc1cc(N[C@H]2CN(C)Cc3ccccc32)c(C#N)cc1[N+](=O)[O-] ZINC000840279229 627445381 /nfs/dbraw/zinc/44/53/81/627445381.db2.gz YLWWXVINRRQUNI-KRWDZBQOSA-N 0 1 322.368 3.374 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1cn(-c2ccccc2)nn1 ZINC000814686564 627583841 /nfs/dbraw/zinc/58/38/41/627583841.db2.gz OIKZOUIHNCLGKJ-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN CSc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)s1 ZINC000814723910 627586529 /nfs/dbraw/zinc/58/65/29/627586529.db2.gz NVLRCFCLCLKSSF-UHFFFAOYSA-N 0 1 324.378 3.251 20 30 DGEDMN CC(C)c1cnc(C=NNc2ccccc2S(C)(=O)=O)s1 ZINC000814846252 627597695 /nfs/dbraw/zinc/59/76/95/627597695.db2.gz KSKSQURNKUAFTE-UHFFFAOYSA-N 0 1 323.443 3.116 20 30 DGEDMN CC(C)(C)OC(=O)CCNN=C1CC[C@@H]2CNc3cccc1c32 ZINC000814901760 627605225 /nfs/dbraw/zinc/60/52/25/627605225.db2.gz WRCNZFWDOBNHBW-GFCCVEGCSA-N 0 1 315.417 3.015 20 30 DGEDMN C[C@H]1C(=NOC[C@@H]2CCOC2)CCCN1Cc1ccccc1 ZINC000814912406 627606711 /nfs/dbraw/zinc/60/67/11/627606711.db2.gz RXANLOCUALWWAV-DOTOQJQBSA-N 0 1 302.418 3.080 20 30 DGEDMN Brc1ccc2c(c1)CCC2=NNc1cncnc1 ZINC000814927019 627609234 /nfs/dbraw/zinc/60/92/34/627609234.db2.gz TZIPWHAQGSEVAH-UHFFFAOYSA-N 0 1 303.163 3.002 20 30 DGEDMN CCn1c2ccccc2c2cc(C=[NH+][N-]c3cnnn3C)ccc21 ZINC000814981005 627615318 /nfs/dbraw/zinc/61/53/18/627615318.db2.gz SIFURGHYPSDFGQ-UHFFFAOYSA-N 0 1 318.384 3.389 20 30 DGEDMN CC(=NNc1cnnn1C)c1ccc2c(c1)Cc1ccccc1-2 ZINC000814981423 627615337 /nfs/dbraw/zinc/61/53/37/627615337.db2.gz HQCIXWHESGCMBT-UHFFFAOYSA-N 0 1 303.369 3.222 20 30 DGEDMN COc1ccc(F)c(CNCc2ccc(OCC#N)cc2)c1 ZINC000815123422 627631475 /nfs/dbraw/zinc/63/14/75/627631475.db2.gz GTJCGEQMXDBOJO-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2cscc2C#N)cc1F ZINC000815753077 627702385 /nfs/dbraw/zinc/70/23/85/627702385.db2.gz QQVOJQDEQUVKJX-UHFFFAOYSA-N 0 1 303.362 3.073 20 30 DGEDMN N#CC(C(=O)Cc1cscc1Br)c1ccccn1 ZINC000815906831 627711314 /nfs/dbraw/zinc/71/13/14/627711314.db2.gz KJRKCFOLCOBIEJ-JTQLQIEISA-N 0 1 321.199 3.325 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C(C)(F)F ZINC000815907607 627711329 /nfs/dbraw/zinc/71/13/29/627711329.db2.gz FVUFVELPGDGDIH-BDAKNGLRSA-N 0 1 314.719 3.279 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F)cc1 ZINC000815907926 627711529 /nfs/dbraw/zinc/71/15/29/627711529.db2.gz OHZWBQXMZFAEPD-ZWNOBZJWSA-N 0 1 308.328 3.188 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCc3cncs3)[nH]c21 ZINC000815955221 627717227 /nfs/dbraw/zinc/71/72/27/627717227.db2.gz UTVGEPFIKULMHY-LBPRGKRZSA-N 0 1 310.382 3.137 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2cc(C(C)=O)ccc2O)cc1 ZINC000815970646 627719230 /nfs/dbraw/zinc/71/92/30/627719230.db2.gz GGHOSUFUTYEFPA-UHFFFAOYSA-N 0 1 307.349 3.147 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cccc3c2OCO3)cc1C#N ZINC000925593791 627759953 /nfs/dbraw/zinc/75/99/53/627759953.db2.gz KGYQEVDINRFCAS-GFCCVEGCSA-N 0 1 310.353 3.146 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](N1CCOc3ccc(N)cc3C1)CC2 ZINC000925753245 627788475 /nfs/dbraw/zinc/78/84/75/627788475.db2.gz FMKYFDAZVIFHRL-SFHVURJKSA-N 0 1 305.381 3.022 20 30 DGEDMN CCc1ccc(C#CC(=O)N[C@H](C)c2n[nH]c([C@H](C)CC)n2)cc1 ZINC000816480466 627795425 /nfs/dbraw/zinc/79/54/25/627795425.db2.gz NSOAFQFKGMAWSC-ZIAGYGMSSA-N 0 1 324.428 3.110 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCOC[C@@H]2CC2CCC2)cc1 ZINC000816667849 627823546 /nfs/dbraw/zinc/82/35/46/627823546.db2.gz YGENVVNGMMFUDH-QAPCUYQASA-N 0 1 314.429 3.368 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3oc(CN4CCCC4)nc3c2)CCC1 ZINC000816766046 627828818 /nfs/dbraw/zinc/82/88/18/627828818.db2.gz GSRRUCGKZAHTFB-UHFFFAOYSA-N 0 1 324.384 3.056 20 30 DGEDMN CN1CCN(c2ccccc2NCc2ccc(C#N)c(F)c2)CC1 ZINC000817406235 627893692 /nfs/dbraw/zinc/89/36/92/627893692.db2.gz KPTHKZIYVKMDFG-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@H](C)C#N)cc2)C[C@H](CC)O1 ZINC000817412227 627895377 /nfs/dbraw/zinc/89/53/77/627895377.db2.gz GRCSLHDXRUGMFB-OIISXLGYSA-N 0 1 302.418 3.367 20 30 DGEDMN N#CC(C(=O)[C@H]1CC12CC2)c1nc2ccccc2n1C(F)F ZINC000817712403 627937351 /nfs/dbraw/zinc/93/73/51/627937351.db2.gz LHTKZDOJCUWYPP-NXEZZACHSA-N 0 1 301.296 3.408 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H]1CC12CC2 ZINC000817713367 627937954 /nfs/dbraw/zinc/93/79/54/627937954.db2.gz BXIXDMREVFGOQC-CABCVRRESA-N 0 1 310.397 3.259 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cncn2C(C)C)c1 ZINC000818188091 628002012 /nfs/dbraw/zinc/00/20/12/628002012.db2.gz UHBSOHATQGNGJH-KRWDZBQOSA-N 0 1 312.417 3.435 20 30 DGEDMN C=CCn1cc(CN[C@H](CC)c2cc(Cl)ccc2O)nn1 ZINC000818347283 628017210 /nfs/dbraw/zinc/01/72/10/628017210.db2.gz PIAILGZFHLCSQT-CQSZACIVSA-N 0 1 306.797 3.064 20 30 DGEDMN C[C@H]([NH2+]CCNc1ccc(C#N)cn1)c1cc(Cl)ccc1[O-] ZINC000927164663 628030398 /nfs/dbraw/zinc/03/03/98/628030398.db2.gz OYTGHVRKYFSYLF-NSHDSACASA-N 0 1 316.792 3.075 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(SC(C)C)cc2)CC1 ZINC000928657804 628173071 /nfs/dbraw/zinc/17/30/71/628173071.db2.gz ZIMZUERICATGJE-UHFFFAOYSA-N 0 1 316.470 3.015 20 30 DGEDMN C#CCCCC(=O)Nc1nc2c(s1)CN(C(C)(C)C)CC2 ZINC000819678223 628178443 /nfs/dbraw/zinc/17/84/43/628178443.db2.gz KHPMXFLLVDUNQQ-UHFFFAOYSA-N 0 1 305.447 3.042 20 30 DGEDMN Cc1cc(N=NC2CC(C)(C)Oc3ccc(F)cc32)ncn1 ZINC000819807920 628192360 /nfs/dbraw/zinc/19/23/60/628192360.db2.gz NEDCOFKIPUKUGO-UHFFFAOYSA-N 0 1 300.337 3.301 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCCC[C@H]1C ZINC000819942244 628201857 /nfs/dbraw/zinc/20/18/57/628201857.db2.gz FVPXFMWCLZVVDT-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN C[N@@H+](CCOc1cccc(Cl)c1)Cc1cncc(C#N)c1 ZINC000929095732 628208288 /nfs/dbraw/zinc/20/82/88/628208288.db2.gz DFBOWOOORQGOGV-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN CN(CCOc1cccc(Cl)c1)Cc1cncc(C#N)c1 ZINC000929095732 628208291 /nfs/dbraw/zinc/20/82/91/628208291.db2.gz DFBOWOOORQGOGV-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN CCCCCCC[C@H](C)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000823064361 628522156 /nfs/dbraw/zinc/52/21/56/628522156.db2.gz YUQQDIFVOUEEGX-KBPBESRZSA-N 0 1 306.454 3.337 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN(C[C@H](C)C#N)C1CC1 ZINC000823476902 628566879 /nfs/dbraw/zinc/56/68/79/628566879.db2.gz CMEBMVNPFOMPJE-HUUCEWRRSA-N 0 1 323.481 3.407 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000823736884 628591738 /nfs/dbraw/zinc/59/17/38/628591738.db2.gz CJTDPFNPTOBAAM-GJZGRUSLSA-N 0 1 307.438 3.313 20 30 DGEDMN CC(N=Nc1cccc(F)c1F)c1ccc(-c2nn[nH]n2)s1 ZINC000823963240 628614054 /nfs/dbraw/zinc/61/40/54/628614054.db2.gz ZBRRGRGSAKURTF-UHFFFAOYSA-N 0 1 320.328 3.043 20 30 DGEDMN C=CCCCC(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000824161952 628633511 /nfs/dbraw/zinc/63/35/11/628633511.db2.gz XBPHMYQJOAKMMD-UHFFFAOYSA-N 0 1 304.438 3.066 20 30 DGEDMN C=CCCCC(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000824161952 628633513 /nfs/dbraw/zinc/63/35/13/628633513.db2.gz XBPHMYQJOAKMMD-UHFFFAOYSA-N 0 1 304.438 3.066 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccc(Br)cc2O)C1 ZINC000826861248 628896121 /nfs/dbraw/zinc/89/61/21/628896121.db2.gz CPZUQAGZCILWJB-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccc(Br)cc2O)C1 ZINC000826861245 628896441 /nfs/dbraw/zinc/89/64/41/628896441.db2.gz CPZUQAGZCILWJB-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN C#C[C@H](NCc1c(C)nn(CCCC)c1Cl)C1CCOCC1 ZINC000827570879 628971979 /nfs/dbraw/zinc/97/19/79/628971979.db2.gz TVPJSNLHPPXKKO-INIZCTEOSA-N 0 1 323.868 3.163 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(Sc2ccccn2)o1 ZINC000827826437 628994834 /nfs/dbraw/zinc/99/48/34/628994834.db2.gz GJXJQEVHRLNLMV-UHFFFAOYSA-N 0 1 316.426 3.298 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)[C@H]1CCC[C@@H]1C#N ZINC000828141062 629027013 /nfs/dbraw/zinc/02/70/13/629027013.db2.gz JOWTXXYKDXUTAO-ZBFHGGJFSA-N 0 1 315.417 3.030 20 30 DGEDMN N#CC1(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)CCSCC1 ZINC000828928909 629109837 /nfs/dbraw/zinc/10/98/37/629109837.db2.gz RDADNMUMNIWLLQ-UHFFFAOYSA-N 0 1 320.805 3.192 20 30 DGEDMN Cc1nc(-c2ccc(C(=O)NC3=NO[C@H](C)C3)s2)cs1 ZINC000867062532 629164440 /nfs/dbraw/zinc/16/44/40/629164440.db2.gz DPAHIFVZIUXIIW-SSDOTTSWSA-N 0 1 307.400 3.032 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2cccc(SC)c2)C1 ZINC000829768471 629190239 /nfs/dbraw/zinc/19/02/39/629190239.db2.gz DNKBYWUDVXNHMF-AWEZNQCLSA-N 0 1 302.443 3.082 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2sc(C)c(C)c2C)C1 ZINC000829784756 629192250 /nfs/dbraw/zinc/19/22/50/629192250.db2.gz MZTHUGMYPXJYCF-HNNXBMFYSA-N 0 1 304.459 3.347 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cccc(C(=O)OC)c2Cl)C1 ZINC000829816131 629197816 /nfs/dbraw/zinc/19/78/16/629197816.db2.gz ZYAAZXGPOMURMW-ZDUSSCGKSA-N 0 1 305.805 3.362 20 30 DGEDMN COC[C@@]1(C)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000830520214 629280471 /nfs/dbraw/zinc/28/04/71/629280471.db2.gz RNPSBRDVEHMHGK-INIZCTEOSA-N 0 1 308.809 3.101 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)c3ccc(C#N)o3)C2)n[nH]1 ZINC000831886007 629423140 /nfs/dbraw/zinc/42/31/40/629423140.db2.gz XQOMQWRCTDNECL-LBPRGKRZSA-N 0 1 312.373 3.018 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CC3(CCC3)[C@@H]2c2ccco2)cc1 ZINC000832233471 629453589 /nfs/dbraw/zinc/45/35/89/629453589.db2.gz ZIASRUQHTLTBGQ-WMZOPIPTSA-N 0 1 308.381 3.412 20 30 DGEDMN Cc1ccccc1OCC[N@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000832982007 629544733 /nfs/dbraw/zinc/54/47/33/629544733.db2.gz UICPLGCABPEAJU-SFHVURJKSA-N 0 1 324.380 3.003 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C\c2ccoc2C(=O)[O-])cc1 ZINC000833008949 629548536 /nfs/dbraw/zinc/54/85/36/629548536.db2.gz FIBZIRKWAYUUQR-DAXSKMNVSA-N 0 1 322.320 3.368 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccc(F)cc3)C2)c1 ZINC000833073675 629555450 /nfs/dbraw/zinc/55/54/50/629555450.db2.gz GUIBXKNNSQHGNT-AEFFLSMTSA-N 0 1 324.355 3.313 20 30 DGEDMN CC[N@@H+](C[C@H]1CC(C(=O)[O-])=C(C)O1)[C@H](C)c1ccc(C#N)cc1 ZINC000833654113 629636252 /nfs/dbraw/zinc/63/62/52/629636252.db2.gz BNUQNCQNEFKWKP-MLGOLLRUSA-N 0 1 314.385 3.089 20 30 DGEDMN COc1ccc(C2([NH2+]Cc3cccc(C(=O)[O-])c3C#N)CC2)cc1 ZINC000833731822 629644221 /nfs/dbraw/zinc/64/42/21/629644221.db2.gz DDZUJCHIRSFJED-UHFFFAOYSA-N 0 1 322.364 3.044 20 30 DGEDMN C=CC[N@H+](Cc1cccs1)Cc1ccc(NC(=O)[O-])nc1 ZINC000833787761 629650370 /nfs/dbraw/zinc/65/03/70/629650370.db2.gz AKDLESZQWXKTTI-UHFFFAOYSA-N 0 1 303.387 3.421 20 30 DGEDMN C=CC[N@@H+](Cc1cccs1)Cc1ccc(NC(=O)[O-])nc1 ZINC000833787761 629650373 /nfs/dbraw/zinc/65/03/73/629650373.db2.gz AKDLESZQWXKTTI-UHFFFAOYSA-N 0 1 303.387 3.421 20 30 DGEDMN CCC[N@@H+](CC#Cc1ccc(F)cc1)C1CCN(C(=O)[O-])CC1 ZINC000833853281 629655894 /nfs/dbraw/zinc/65/58/94/629655894.db2.gz JKUNIYKIEPJONF-UHFFFAOYSA-N 0 1 318.392 3.032 20 30 DGEDMN C=CCC[C@H](CO)[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000834904288 629803796 /nfs/dbraw/zinc/80/37/96/629803796.db2.gz OFXHNBNTFPJXLB-CMPLNLGQSA-N 0 1 314.223 3.132 20 30 DGEDMN COCCCNN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834975649 629815218 /nfs/dbraw/zinc/81/52/18/629815218.db2.gz BEXBCZOBQLUCGU-UHFFFAOYSA-N 0 1 317.458 3.345 20 30 DGEDMN CCn1ccnc1C(C)N=Nc1ccc(C(=O)OC)c(Cl)c1 ZINC000834973383 629815338 /nfs/dbraw/zinc/81/53/38/629815338.db2.gz LBUWILZKHWTGKL-UHFFFAOYSA-N 0 1 320.780 3.179 20 30 DGEDMN CC(=NNc1cc(C(F)(F)F)ccn1)c1cnc(C)nc1C ZINC000834983509 629816696 /nfs/dbraw/zinc/81/66/96/629816696.db2.gz AMYOQRMVQVYNOD-UHFFFAOYSA-N 0 1 309.295 3.343 20 30 DGEDMN CC1(C)OCC[C@@H]1NN=Cc1ccc(N2CCCCC2)s1 ZINC000835013913 629823333 /nfs/dbraw/zinc/82/33/33/629823333.db2.gz JMERYLLFHZUPRC-AWEZNQCLSA-N 0 1 307.463 3.229 20 30 DGEDMN CC(=NN[C@@H]1CCOC1(C)C)c1[nH]c(-c2ccccc2)nc1C ZINC000835013757 629823533 /nfs/dbraw/zinc/82/35/33/629823533.db2.gz HSFXECIOFLWBAQ-OAHLLOKOSA-N 0 1 312.417 3.266 20 30 DGEDMN CC1(C)OCC[C@@H]1NN=Cc1c(Cl)cccc1N1CCCC1 ZINC000835016996 629824415 /nfs/dbraw/zinc/82/44/15/629824415.db2.gz PXHOXLGTZWBOSI-INIZCTEOSA-N 0 1 321.852 3.431 20 30 DGEDMN CC(C)N(C)c1ccc(C=[NH+][N-]c2ncc(F)cc2F)cn1 ZINC000835022446 629826440 /nfs/dbraw/zinc/82/64/40/629826440.db2.gz KGOBKJLPXBNYPD-UHFFFAOYSA-N 0 1 305.332 3.045 20 30 DGEDMN C[C@H]1CC(N=Nc2ncc(F)cc2F)CN1Cc1ccccc1 ZINC000835022572 629826903 /nfs/dbraw/zinc/82/69/03/629826903.db2.gz NOUYCEACKWYJOD-LBPRGKRZSA-N 0 1 316.355 3.422 20 30 DGEDMN N#CC(C(=O)C1(Cc2ccccc2)CCOCC1)c1ccccn1 ZINC000835062215 629841757 /nfs/dbraw/zinc/84/17/57/629841757.db2.gz ZCWWKYYSNJZZAA-QGZVFWFLSA-N 0 1 320.392 3.297 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000835063814 629841839 /nfs/dbraw/zinc/84/18/39/629841839.db2.gz AOQQBWYPCTWBLN-WQVCFCJDSA-N 0 1 314.385 3.169 20 30 DGEDMN C#CCO[C@@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000835068010 629842937 /nfs/dbraw/zinc/84/29/37/629842937.db2.gz LWFXJSIFXNBDIE-ZFWWWQNUSA-N 0 1 324.405 3.333 20 30 DGEDMN C#CCO[C@H](C)C(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000835071936 629843325 /nfs/dbraw/zinc/84/33/25/629843325.db2.gz ABRCCMABHXEEDP-KOLCDFICSA-N 0 1 318.785 3.164 20 30 DGEDMN CC(C)CC(CC(C)C)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000835071870 629843405 /nfs/dbraw/zinc/84/34/05/629843405.db2.gz XZNFUMUCNHEYNF-MRXNPFEDSA-N 0 1 306.450 3.462 20 30 DGEDMN C[C@H](CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)C1CCCCC1 ZINC000102122254 629951291 /nfs/dbraw/zinc/95/12/91/629951291.db2.gz RGFSCLWVPLPYOQ-CZUORRHYSA-N 0 1 304.434 3.361 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC(C)C)c2ccc(C)cc2)CC1 ZINC000837070485 630208340 /nfs/dbraw/zinc/20/83/40/630208340.db2.gz ICRILXJRLWUABY-UHFFFAOYSA-N 0 1 312.457 3.329 20 30 DGEDMN N#CCc1ccc(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)cc1 ZINC000837602468 630284016 /nfs/dbraw/zinc/28/40/16/630284016.db2.gz XNZJGLCVVWZTEW-IBGZPJMESA-N 0 1 320.392 3.184 20 30 DGEDMN N#C[C@@]1(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)CC12CCCC2 ZINC000837903384 630325065 /nfs/dbraw/zinc/32/50/65/630325065.db2.gz UQPLXTFQPVPORU-FXAWDEMLSA-N 0 1 324.424 3.278 20 30 DGEDMN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841003332 630394421 /nfs/dbraw/zinc/39/44/21/630394421.db2.gz SDVJIWYLFKQERX-XJKSGUPXSA-N 0 1 314.433 3.166 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cc2n(n1)CCC2 ZINC000841627547 630449478 /nfs/dbraw/zinc/44/94/78/630449478.db2.gz UFWLNBBPQPZDSD-UHFFFAOYSA-N 0 1 319.752 3.227 20 30 DGEDMN CO[C@@H](CC(C)C)Cn1[nH]c(C(C)(C)C)c(CCC#N)c1=O ZINC000842034622 630480703 /nfs/dbraw/zinc/48/07/03/630480703.db2.gz OZVDRZMUKJTQDW-ZDUSSCGKSA-N 0 1 307.438 3.403 20 30 DGEDMN CCCCCCO[C@H](C)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000842290263 630511848 /nfs/dbraw/zinc/51/18/48/630511848.db2.gz WDQOANCEUHIJGN-ZBFHGGJFSA-N 0 1 322.449 3.130 20 30 DGEDMN C#C[C@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccc(F)cc1 ZINC000842406439 630528615 /nfs/dbraw/zinc/52/86/15/630528615.db2.gz DLDRYZBFFRYKOJ-MAUKXSAKSA-N 0 1 321.367 3.256 20 30 DGEDMN CC(C)(C)C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000842683885 630562482 /nfs/dbraw/zinc/56/24/82/630562482.db2.gz FARBUTDNSUBDNU-XHSDSOJGSA-N 0 1 312.413 3.084 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=CC2CCCC2)n1 ZINC000842699838 630565161 /nfs/dbraw/zinc/56/51/61/630565161.db2.gz FHCOUISFJBXRQD-KRZKBDHCSA-N 0 1 318.398 3.242 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](CCO)c2ccccc2F)cc1 ZINC000842762182 630572754 /nfs/dbraw/zinc/57/27/54/630572754.db2.gz BPZOMFFSMQXLIY-IBGZPJMESA-N 0 1 313.372 3.051 20 30 DGEDMN N#C[C@H](C(=O)CCCC(=O)C1CC1)c1nc(C2CC2)cs1 ZINC000842942793 630597141 /nfs/dbraw/zinc/59/71/41/630597141.db2.gz NDEUNGVJACFWFC-GFCCVEGCSA-N 0 1 302.399 3.346 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cccc(O)c2Br)C1 ZINC000843424257 630656121 /nfs/dbraw/zinc/65/61/21/630656121.db2.gz WXMRAWVBGZSZQA-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000843998341 630706191 /nfs/dbraw/zinc/70/61/91/630706191.db2.gz AHGPHDBNAFKLAG-CYBMUJFWSA-N 0 1 311.360 3.091 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)[C@H]2CCCCN2Cc2ccccc2)C1 ZINC000844000052 630706269 /nfs/dbraw/zinc/70/62/69/630706269.db2.gz UIYHMCDJPLKPDC-AZUAARDMSA-N 0 1 324.468 3.303 20 30 DGEDMN COC(=O)[C@H](CCF)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000844270547 630714755 /nfs/dbraw/zinc/71/47/55/630714755.db2.gz IRJXZNGSTUSTJG-KCUAXXGYSA-N 0 1 308.136 3.019 20 30 DGEDMN C[C@H](C#N)OCCNC1(c2ccccc2Br)CCC1 ZINC000844350707 630720547 /nfs/dbraw/zinc/72/05/47/630720547.db2.gz GAGVNLVHCCWGHG-GFCCVEGCSA-N 0 1 323.234 3.347 20 30 DGEDMN CCn1cc(CN(Cc2ccc(OCC#N)cc2)C(C)C)cn1 ZINC000844418093 630727011 /nfs/dbraw/zinc/72/70/11/630727011.db2.gz QLSDHNANGYKXJN-UHFFFAOYSA-N 0 1 312.417 3.216 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000105758607 631057320 /nfs/dbraw/zinc/05/73/20/631057320.db2.gz LBNLKVIVELQBQO-XJKSGUPXSA-N 0 1 316.401 3.415 20 30 DGEDMN CSCC1(CC(=O)C(C#N)C(=O)Nc2cccc(C)c2)CC1 ZINC000845440935 631175021 /nfs/dbraw/zinc/17/50/21/631175021.db2.gz MQDAQFKYGFJZDF-AWEZNQCLSA-N 0 1 316.426 3.176 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C)CC1 ZINC000845441030 631175137 /nfs/dbraw/zinc/17/51/37/631175137.db2.gz BHUCYJLFSQMHQY-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC[C@H](C)CC2)cc1 ZINC000845443196 631175283 /nfs/dbraw/zinc/17/52/83/631175283.db2.gz OKWYMOYHWBYBHP-XEZPLFJOSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2C[C@H]2C2CCCC2)c1 ZINC000845526056 631180550 /nfs/dbraw/zinc/18/05/50/631180550.db2.gz BHGNGDDTZFKUIT-OKZBNKHCSA-N 0 1 324.424 3.146 20 30 DGEDMN CCCCCCCCCS(=O)(=O)NC1CCN(CC)CC1 ZINC000845816288 631197356 /nfs/dbraw/zinc/19/73/56/631197356.db2.gz SHIIGFAMIBIPFF-UHFFFAOYSA-N 0 1 318.527 3.141 20 30 DGEDMN N#CC(C(=O)Cc1ccccc1F)=C(O)C1(c2ccccc2)CC1 ZINC000845839048 631198420 /nfs/dbraw/zinc/19/84/20/631198420.db2.gz ZTOOFHBGFXZSOK-INIZCTEOSA-N 0 1 321.351 3.378 20 30 DGEDMN N#CC(C(=O)CCC(F)(F)F)=C(O)C1(c2ccccc2)CC1 ZINC000845840793 631198623 /nfs/dbraw/zinc/19/86/23/631198623.db2.gz FRTNSSCYSREMRZ-LBPRGKRZSA-N 0 1 309.287 3.339 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCSC1)=C(O)C1(c2ccccc2)CC1 ZINC000845845128 631198901 /nfs/dbraw/zinc/19/89/01/631198901.db2.gz VKRBUSBEXAXYKS-ZFWWWQNUSA-N 0 1 313.422 3.139 20 30 DGEDMN N#CC(C(=O)[C@H]1CCC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845845222 631198959 /nfs/dbraw/zinc/19/89/59/631198959.db2.gz MWPMLMBEWXTEKQ-GXTWGEPZSA-N 0 1 317.335 3.432 20 30 DGEDMN C=C(C)CONC(=O)C(C)(C)c1ccc(OCC)c(OCC)c1 ZINC000846536168 631256267 /nfs/dbraw/zinc/25/62/67/631256267.db2.gz WDCBSDHTLZEFFH-UHFFFAOYSA-N 0 1 321.417 3.386 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1csc(-c2cccc(C)c2)n1 ZINC000846542904 631257917 /nfs/dbraw/zinc/25/79/17/631257917.db2.gz IZIKWVJMNBXQQE-GFCCVEGCSA-N 0 1 302.399 3.283 20 30 DGEDMN CC[C@H](CC#N)NCc1ccc(N2CCC(OC)CC2)cc1 ZINC000846980211 631372935 /nfs/dbraw/zinc/37/29/35/631372935.db2.gz FKYDPCRONWDUTC-MRXNPFEDSA-N 0 1 301.434 3.084 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)N(C)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC000847025573 631384104 /nfs/dbraw/zinc/38/41/04/631384104.db2.gz NVDUSJIUKKBQIA-LSDHHAIUSA-N 0 1 318.848 3.205 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N[C@@H](C)c2cc3ccccc3o2)C1 ZINC000847027579 631384803 /nfs/dbraw/zinc/38/48/03/631384803.db2.gz VDCGPBWIOOGJCB-ARFHVFGLSA-N 0 1 324.424 3.344 20 30 DGEDMN CC(C)OC(=O)C[C@@H](NCc1ccc(F)cc1C#N)C(C)C ZINC000847082808 631400275 /nfs/dbraw/zinc/40/02/75/631400275.db2.gz KRAJRGUWXAPEIM-MRXNPFEDSA-N 0 1 306.381 3.153 20 30 DGEDMN N#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000847623839 631497886 /nfs/dbraw/zinc/49/78/86/631497886.db2.gz OEZYLBJMEACPHZ-ZDUSSCGKSA-N 0 1 303.749 3.071 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000848289732 631641525 /nfs/dbraw/zinc/64/15/25/631641525.db2.gz BTWVYSGNFJJTTK-LBPRGKRZSA-N 0 1 313.788 3.216 20 30 DGEDMN c1cnc2c(c1)cccc2CNN=C1CCCN2CCCC[C@@H]12 ZINC000848404138 631654681 /nfs/dbraw/zinc/65/46/81/631654681.db2.gz FIZVKHIISVOJMA-SFHVURJKSA-N 0 1 308.429 3.329 20 30 DGEDMN N#Cc1ccc(NN=C2CCCn3ccnc32)c(C(F)(F)F)c1 ZINC000848410203 631656247 /nfs/dbraw/zinc/65/62/47/631656247.db2.gz IXZFDSUAVYAZKH-UHFFFAOYSA-N 0 1 319.290 3.384 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3c2cccc3[N+](=O)[O-])cc1C#N ZINC000848535619 631682735 /nfs/dbraw/zinc/68/27/35/631682735.db2.gz HXQJEONPQKCNSA-INIZCTEOSA-N 0 1 323.352 3.252 20 30 DGEDMN COC(=O)/C=C/c1ccc(CN[C@@H]2CCc3cc(C#N)ccc32)o1 ZINC000848907377 631781652 /nfs/dbraw/zinc/78/16/52/631781652.db2.gz MUDJAUYQQINYCH-AHKGRUIUSA-N 0 1 322.364 3.115 20 30 DGEDMN C#C[C@H](C)NCc1cn(-c2ccccc2Br)nc1C ZINC000848909949 631782995 /nfs/dbraw/zinc/78/29/95/631782995.db2.gz DVYWTEBEJHWMFB-NSHDSACASA-N 0 1 318.218 3.055 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2ccc(O)c(Cl)c2)c1 ZINC000108452755 631886844 /nfs/dbraw/zinc/88/68/44/631886844.db2.gz JRVYYBPTYUMWRW-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2coc(-c3ccc(C(=O)[O-])cc3)n2)C1 ZINC000849344664 631896902 /nfs/dbraw/zinc/89/69/02/631896902.db2.gz QRACTYFFTIFPHX-AWEZNQCLSA-N 0 1 324.380 3.275 20 30 DGEDMN C#CC[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC000849344518 631897512 /nfs/dbraw/zinc/89/75/12/631897512.db2.gz NXMZAQSBADJBQO-NHYWBVRUSA-N 0 1 309.768 3.340 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2c(C3CCC3)cnn2C)cc1 ZINC000850391573 632136692 /nfs/dbraw/zinc/13/66/92/632136692.db2.gz VGMDEMBPVVJIFZ-CQSZACIVSA-N 0 1 324.428 3.268 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCC[C@H]1NCC1(C#N)CCCCC1 ZINC000850551274 632190090 /nfs/dbraw/zinc/19/00/90/632190090.db2.gz UONSSZSZHOBCGQ-HUUCEWRRSA-N 0 1 321.465 3.496 20 30 DGEDMN CCOC1(C(=O)[C@@H](C#N)c2nc3ccccc3s2)CCC1 ZINC000850740668 632210796 /nfs/dbraw/zinc/21/07/96/632210796.db2.gz ZOZXRRWSKSJKNW-LLVKDONJSA-N 0 1 300.383 3.432 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000850760527 632217846 /nfs/dbraw/zinc/21/78/46/632217846.db2.gz UFDQVTMGHUTJGP-MNOVXSKESA-N 0 1 304.777 3.424 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC000850978071 632275187 /nfs/dbraw/zinc/27/51/87/632275187.db2.gz KYCZKUATIPTUQP-HMDCTGQHSA-N 0 1 310.397 3.009 20 30 DGEDMN N#CC(C(=O)CC1(C#N)CCOCC1)c1nccc2ccccc21 ZINC000850985029 632277848 /nfs/dbraw/zinc/27/78/48/632277848.db2.gz CMUGRRRFAKQKIE-MRXNPFEDSA-N 0 1 319.364 3.122 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc(C2CC2)cs1)C1CCCC1 ZINC000851101598 632310478 /nfs/dbraw/zinc/31/04/78/632310478.db2.gz WSZDEWUDWJDSEO-IUODEOHRSA-N 0 1 304.415 3.402 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccccc1Br ZINC000852098862 632554012 /nfs/dbraw/zinc/55/40/12/632554012.db2.gz YBLNTTGEZOTMMG-ABAIWWIYSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccccc1Br ZINC000852098865 632554398 /nfs/dbraw/zinc/55/43/98/632554398.db2.gz YBLNTTGEZOTMMG-XHDPSFHLSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)N(Cc1ccco1)c1ccccc1 ZINC000852098797 632554738 /nfs/dbraw/zinc/55/47/38/632554738.db2.gz WLTMODVHMKFAAA-LJQANCHMSA-N 0 1 310.397 3.204 20 30 DGEDMN C#C[C@@](C)(CC)NCc1nc2ccc(Br)cc2n1C ZINC000852103470 632555484 /nfs/dbraw/zinc/55/54/84/632555484.db2.gz IWWVOCQCKPWGAO-HNNXBMFYSA-N 0 1 320.234 3.227 20 30 DGEDMN CCOC(=O)[C@]1(F)CN(CCCC(C)(C)C#N)CC12CCC2 ZINC000852316363 632585392 /nfs/dbraw/zinc/58/53/92/632585392.db2.gz UEBRGVHTKIPQOJ-QGZVFWFLSA-N 0 1 310.413 3.074 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2cc(C)ccc12)[C@H](C)COC ZINC000852357050 632594765 /nfs/dbraw/zinc/59/47/65/632594765.db2.gz WHOZZZUOMYSFQF-CQSZACIVSA-N 0 1 301.386 3.124 20 30 DGEDMN C[C@@]12COC[C@]1(C)CN(Cc1cc(Cl)ccc1OCC#N)C2 ZINC000852462646 632605977 /nfs/dbraw/zinc/60/59/77/632605977.db2.gz IUFRKHZZNAKJEV-CALCHBBNSA-N 0 1 320.820 3.101 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(F)cc2)CC1(C)C ZINC000995349749 660187258 /nfs/dbraw/zinc/18/72/58/660187258.db2.gz UCNXJVFZJXJAKC-AWEZNQCLSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001032152779 660208226 /nfs/dbraw/zinc/20/82/26/660208226.db2.gz KJLDURBMHJENJY-UHFFFAOYSA-N 0 1 310.800 3.025 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccsc2C)CC1(C)C ZINC000995780944 660270862 /nfs/dbraw/zinc/27/08/62/660270862.db2.gz ADNBCJHXAHXYAM-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2F)CC1(C)C ZINC000995764333 660270874 /nfs/dbraw/zinc/27/08/74/660270874.db2.gz CETQFFCZMARLHZ-LLVKDONJSA-N 0 1 316.829 3.080 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@@H]2C=CCCC2)CC1(C)C ZINC000995952101 660272978 /nfs/dbraw/zinc/27/29/78/660272978.db2.gz RJQIYXMKPDTCRT-CABCVRRESA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC2CC(F)(F)C2)CC1(C)C ZINC000995980156 660273455 /nfs/dbraw/zinc/27/34/55/660273455.db2.gz YZUXRCPWLGUQII-GFCCVEGCSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC000996139372 660276140 /nfs/dbraw/zinc/27/61/40/660276140.db2.gz YEKAWJDQHRMMGE-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)Cc2ccco2)CC1(C)C ZINC000996400817 660282032 /nfs/dbraw/zinc/28/20/32/660282032.db2.gz WYVLVYDTWYZXTR-SWLSCSKDSA-N 0 1 324.852 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)Cc2ccco2)CC1(C)C ZINC000996400816 660282092 /nfs/dbraw/zinc/28/20/92/660282092.db2.gz WYVLVYDTWYZXTR-IUODEOHRSA-N 0 1 324.852 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)c(CC)o2)CC1(C)C ZINC000996503578 660283843 /nfs/dbraw/zinc/28/38/43/660283843.db2.gz AAVBJWHWVZCTKG-HNNXBMFYSA-N 0 1 324.852 3.343 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C)cc2)CC1(C)C ZINC000996551801 660285200 /nfs/dbraw/zinc/28/52/00/660285200.db2.gz KKKMNVVUQMMMJR-HNNXBMFYSA-N 0 1 306.837 3.188 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2ccccc2)CC1(C)C ZINC000996537604 660285203 /nfs/dbraw/zinc/28/52/03/660285203.db2.gz XSQALAQYXLLGGZ-GDBMZVCRSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(CC)CC)CC1(C)C ZINC000996639577 660288573 /nfs/dbraw/zinc/28/85/73/660288573.db2.gz ZZDWOKDQEODRKS-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2ccc(C)o2)CC1(C)C ZINC000996671870 660289842 /nfs/dbraw/zinc/28/98/42/660289842.db2.gz OVDLNUZRNOZKKI-OAHLLOKOSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC000996867956 660296032 /nfs/dbraw/zinc/29/60/32/660296032.db2.gz LPTTWERFSQNQHW-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)[nH]c2ccccc21 ZINC001032507842 660333447 /nfs/dbraw/zinc/33/34/47/660333447.db2.gz MYEQCHMYQNCKRZ-HOTGVXAUSA-N 0 1 323.440 3.341 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(C(C)C)cc1 ZINC001032516137 660335978 /nfs/dbraw/zinc/33/59/78/660335978.db2.gz HXMVLMZKXUYJLR-PMACEKPBSA-N 0 1 324.468 3.051 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCCC1CCCC1 ZINC001032562884 660349440 /nfs/dbraw/zinc/34/94/40/660349440.db2.gz HRSKKQZIVQNDSG-IRXDYDNUSA-N 0 1 304.478 3.454 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2sccc2C)CC1 ZINC000997909015 660353086 /nfs/dbraw/zinc/35/30/86/660353086.db2.gz NATXJIHYYMYPDF-INIZCTEOSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)cc(C)cc1C ZINC001032601600 660371652 /nfs/dbraw/zinc/37/16/52/660371652.db2.gz CQCHZUKKNNXVJC-ROUUACIJSA-N 0 1 312.457 3.016 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H](N(CC)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000998088342 660368853 /nfs/dbraw/zinc/36/88/53/660368853.db2.gz KTTRILBIEGFBGG-HZMVEIRTSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000998088342 660368856 /nfs/dbraw/zinc/36/88/56/660368856.db2.gz KTTRILBIEGFBGG-HZMVEIRTSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c2ccccc12 ZINC001032643432 660404797 /nfs/dbraw/zinc/40/47/97/660404797.db2.gz CNYDNPAIXFIMSW-GJZGRUSLSA-N 0 1 324.399 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2csc(C)c2C)C1 ZINC000999244267 660412224 /nfs/dbraw/zinc/41/22/24/660412224.db2.gz XJWJGAZNWQNJJX-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2c(C)cccc2C)C1 ZINC000999281712 660414874 /nfs/dbraw/zinc/41/48/74/660414874.db2.gz QTLUODMBOLMROT-INIZCTEOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2ccc(F)cc2)C1 ZINC000999620484 660423320 /nfs/dbraw/zinc/42/33/20/660423320.db2.gz BBNUDWHDLSKMIK-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC000999801176 660427578 /nfs/dbraw/zinc/42/75/78/660427578.db2.gz RBIIGEHVQMATSO-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc[nH]c2C(C)C)C1 ZINC000999977140 660433005 /nfs/dbraw/zinc/43/30/05/660433005.db2.gz SDONCYPGCVHNGO-CYBMUJFWSA-N 0 1 309.841 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2occc2C(C)C)C1 ZINC000999995488 660433955 /nfs/dbraw/zinc/43/39/55/660433955.db2.gz YYDKPRDBVVJSSV-CYBMUJFWSA-N 0 1 310.825 3.350 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc2ccc(F)cc21 ZINC001032756926 660439661 /nfs/dbraw/zinc/43/96/61/660439661.db2.gz XRFHUTWXVPQDIE-KBPBESRZSA-N 0 1 314.360 3.047 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(s1)CCCC2 ZINC001032765970 660441483 /nfs/dbraw/zinc/44/14/83/660441483.db2.gz ADHZBZWRYZIJNH-GJZGRUSLSA-N 0 1 316.470 3.102 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cc(C)ccc2o1 ZINC001032802947 660451204 /nfs/dbraw/zinc/45/12/04/660451204.db2.gz UKMLWGQDPGKARG-HOTGVXAUSA-N 0 1 310.397 3.216 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](C)c2ccc(Cl)cc2)CC1 ZINC001003550649 660496617 /nfs/dbraw/zinc/49/66/17/660496617.db2.gz RADIXRBRRYVKBR-CQSZACIVSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cscc2C(F)F)C1 ZINC001033092222 660537976 /nfs/dbraw/zinc/53/79/76/660537976.db2.gz OMXKGZGIMIVPJE-SNVBAGLBSA-N 0 1 300.374 3.018 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ccoc2C(F)(F)F)C1 ZINC001033111854 660547282 /nfs/dbraw/zinc/54/72/82/660547282.db2.gz WPQTWBWBBBJSHW-LLVKDONJSA-N 0 1 316.323 3.021 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ccoc2C(F)(F)F)C1 ZINC001033111855 660547337 /nfs/dbraw/zinc/54/73/37/660547337.db2.gz WPQTWBWBBBJSHW-NSHDSACASA-N 0 1 316.323 3.021 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001033200410 660585486 /nfs/dbraw/zinc/58/54/86/660585486.db2.gz PDCUOEQUJNNXGA-OAHLLOKOSA-N 0 1 311.429 3.117 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2csc(C(F)(F)F)c2)C1 ZINC001033229584 660593520 /nfs/dbraw/zinc/59/35/20/660593520.db2.gz UDYFOEVEHGLEHJ-NSHDSACASA-N 0 1 318.364 3.099 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2csc(C(F)(F)F)c2)C1 ZINC001033229584 660593521 /nfs/dbraw/zinc/59/35/21/660593521.db2.gz UDYFOEVEHGLEHJ-NSHDSACASA-N 0 1 318.364 3.099 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2cc3ccccc3s2)C1 ZINC001033262049 660601133 /nfs/dbraw/zinc/60/11/33/660601133.db2.gz MQFPIBWAVVOUES-HNNXBMFYSA-N 0 1 314.454 3.163 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc3cscc3s2)C1 ZINC001033271501 660601642 /nfs/dbraw/zinc/60/16/42/660601642.db2.gz HTLVJCMBKSHJKF-ZDUSSCGKSA-N 0 1 318.467 3.132 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2coc3cc(C)c(C)cc32)C1 ZINC001033299913 660605155 /nfs/dbraw/zinc/60/51/55/660605155.db2.gz WISCPXRXXYCLNZ-OAHLLOKOSA-N 0 1 312.413 3.382 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@H]2CCN(CCC(F)(F)F)C2)CC1 ZINC001033388614 660618269 /nfs/dbraw/zinc/61/82/69/660618269.db2.gz DRPCHCSGXMYJGK-AWEZNQCLSA-N 0 1 318.383 3.218 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001033453058 660624049 /nfs/dbraw/zinc/62/40/49/660624049.db2.gz MSGUFMYRFDRYRK-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001033469955 660625822 /nfs/dbraw/zinc/62/58/22/660625822.db2.gz KHAXFFQJLLPLDJ-HNNXBMFYSA-N 0 1 316.376 3.294 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001033600503 660637022 /nfs/dbraw/zinc/63/70/22/660637022.db2.gz DZIDNQJNZNNFDS-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001033603388 660637602 /nfs/dbraw/zinc/63/76/02/660637602.db2.gz KLUBFRPMEIWCPH-AWEZNQCLSA-N 0 1 320.864 3.336 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001033609459 660638285 /nfs/dbraw/zinc/63/82/85/660638285.db2.gz MTAXOBCGYLXIDW-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2coc3cc(C)ccc23)C1 ZINC001033639044 660644243 /nfs/dbraw/zinc/64/42/43/660644243.db2.gz ZKLUJONSTXCNSN-INIZCTEOSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001033662886 660648268 /nfs/dbraw/zinc/64/82/68/660648268.db2.gz OHKJZKTTXYTCFF-INIZCTEOSA-N 0 1 311.429 3.117 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001033662886 660648270 /nfs/dbraw/zinc/64/82/70/660648270.db2.gz OHKJZKTTXYTCFF-INIZCTEOSA-N 0 1 311.429 3.117 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2oc3c(cccc3C)c2C)C1 ZINC001033688592 660648559 /nfs/dbraw/zinc/64/85/59/660648559.db2.gz RTXBIFSMRPTXCA-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001033693256 660650419 /nfs/dbraw/zinc/65/04/19/660650419.db2.gz QZCCLLJKFRDMFK-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2oc3ccccc3c2CC)C1 ZINC001033696858 660651133 /nfs/dbraw/zinc/65/11/33/660651133.db2.gz YYQPQYPPVJOSML-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2CC(c3cccc(F)c3)C2)C1 ZINC001033708107 660652977 /nfs/dbraw/zinc/65/29/77/660652977.db2.gz URFLFLAFFODFGO-LEOMRAHMSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001033838928 660668433 /nfs/dbraw/zinc/66/84/33/660668433.db2.gz VBZRKIXGHIIREJ-INIZCTEOSA-N 0 1 315.461 3.102 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(CCC)s2)C1 ZINC001033850936 660670530 /nfs/dbraw/zinc/67/05/30/660670530.db2.gz WQFGIXDUBFEUHR-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001033892516 660676265 /nfs/dbraw/zinc/67/62/65/660676265.db2.gz NKGSVARHSDSHPZ-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001033932472 660681144 /nfs/dbraw/zinc/68/11/44/660681144.db2.gz OJDYZMYYJWSHAX-INIZCTEOSA-N 0 1 303.450 3.100 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)cc2C)C1 ZINC001033944117 660685501 /nfs/dbraw/zinc/68/55/01/660685501.db2.gz QKJNKRVONAVGFH-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001033973201 660687075 /nfs/dbraw/zinc/68/70/75/660687075.db2.gz SNNALYMWTJXZPD-BDXSIMOUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(F)c2Cl)C1 ZINC001033975176 660687861 /nfs/dbraw/zinc/68/78/61/660687861.db2.gz KCWGPYKMGHIRSQ-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc(C3CCC3)c2)C1 ZINC001034012204 660692905 /nfs/dbraw/zinc/69/29/05/660692905.db2.gz BUQHCEBXXXNPGW-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2oc(CCC)nc2C)C1 ZINC001034014232 660693476 /nfs/dbraw/zinc/69/34/76/660693476.db2.gz VCJMKNFLQARECE-HNNXBMFYSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C(C)C)oc2C)C1 ZINC001034004183 660694957 /nfs/dbraw/zinc/69/49/57/660694957.db2.gz KDTHSIZEKLKTAJ-OAHLLOKOSA-N 0 1 304.434 3.434 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2csc3c2CCCC3)C1 ZINC001034036649 660698360 /nfs/dbraw/zinc/69/83/60/660698360.db2.gz VAQIQFXYZPOEON-CQSZACIVSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034025491 660699236 /nfs/dbraw/zinc/69/92/36/660699236.db2.gz JJGOQTQXLSGCJI-OAHLLOKOSA-N 0 1 308.372 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2csc(C3CC3)n2)C1 ZINC001034051476 660699636 /nfs/dbraw/zinc/69/96/36/660699636.db2.gz VILXDNOFDMYGKY-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001034057830 660702994 /nfs/dbraw/zinc/70/29/94/660702994.db2.gz ZQMKRPBRIRNRPC-FQEVSTJZSA-N 0 1 322.452 3.424 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(F)c3occc32)C1 ZINC001034047851 660701263 /nfs/dbraw/zinc/70/12/63/660701263.db2.gz LIIJMUVILJKYMS-ZDUSSCGKSA-N 0 1 316.376 3.294 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001034049930 660701933 /nfs/dbraw/zinc/70/19/33/660701933.db2.gz XZASZIYGKQDHQV-APWZRJJASA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(OC(C)C)cc2)C1 ZINC001034031949 660696859 /nfs/dbraw/zinc/69/68/59/660696859.db2.gz KDKLBVSEMOENJX-KRWDZBQOSA-N 0 1 316.445 3.196 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(F)cc2Cl)C1 ZINC001034036064 660697534 /nfs/dbraw/zinc/69/75/34/660697534.db2.gz RLSPXLWVVKIVNX-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001034036062 660697649 /nfs/dbraw/zinc/69/76/49/660697649.db2.gz NTQJXINQUMNECX-IBGZPJMESA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)c(CC)s2)C1 ZINC001034034956 660697780 /nfs/dbraw/zinc/69/77/80/660697780.db2.gz HZXBKBGRCRRNFW-AWEZNQCLSA-N 0 1 306.475 3.341 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccoc2C(F)(F)F)C1 ZINC001034226175 660725141 /nfs/dbraw/zinc/72/51/41/660725141.db2.gz ASGOWYBSUHZWTJ-NSHDSACASA-N 0 1 316.323 3.069 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001034258009 660729047 /nfs/dbraw/zinc/72/90/47/660729047.db2.gz PJYDXZZTQKGFPH-INIZCTEOSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001034267274 660730186 /nfs/dbraw/zinc/73/01/86/660730186.db2.gz VAFUONUWYMYHDU-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001034368433 660741741 /nfs/dbraw/zinc/74/17/41/660741741.db2.gz KDXMOJVYRHBGSN-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC001034330571 660738134 /nfs/dbraw/zinc/73/81/34/660738134.db2.gz OIBYQAYADMIYPL-KRWDZBQOSA-N 0 1 310.441 3.090 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCCCN(C[C@H](F)CC)C2)CC1 ZINC001034381518 660745543 /nfs/dbraw/zinc/74/55/43/660745543.db2.gz NOVJTNGTVVGMNI-IAGOWNOFSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001034382477 660745970 /nfs/dbraw/zinc/74/59/70/660745970.db2.gz QAKHZLXEZIEYRY-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001034414497 660750275 /nfs/dbraw/zinc/75/02/75/660750275.db2.gz BQSFCISNPFCYRO-BZSNNMDCSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001034415321 660750779 /nfs/dbraw/zinc/75/07/79/660750779.db2.gz GMVIZUVMEFBOED-HNNXBMFYSA-N 0 1 316.445 3.103 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001034436814 660753648 /nfs/dbraw/zinc/75/36/48/660753648.db2.gz TWINLEHBJILOSS-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001034506850 660763554 /nfs/dbraw/zinc/76/35/54/660763554.db2.gz QMMNVOHMUYSPCR-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001034506850 660763555 /nfs/dbraw/zinc/76/35/55/660763555.db2.gz QMMNVOHMUYSPCR-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001034467919 660755496 /nfs/dbraw/zinc/75/54/96/660755496.db2.gz ODJVYCKBYLUURT-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC001034509017 660763761 /nfs/dbraw/zinc/76/37/61/660763761.db2.gz LYJZEVUPYFNRAZ-KRWDZBQOSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC001034493879 660759773 /nfs/dbraw/zinc/75/97/73/660759773.db2.gz WARVAPUWWAETCE-HOTGVXAUSA-N 0 1 318.486 3.154 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001034497656 660760741 /nfs/dbraw/zinc/76/07/41/660760741.db2.gz ZNEJJZHNOJGFBW-KZNAEPCWSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc3ccccc3o2)C1 ZINC001034513665 660765037 /nfs/dbraw/zinc/76/50/37/660765037.db2.gz BUTBYQHNQGFEIK-MRXNPFEDSA-N 0 1 310.397 3.040 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001034522706 660766535 /nfs/dbraw/zinc/76/65/35/660766535.db2.gz WCPLQVXAKFIJGF-QGZVFWFLSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001034522465 660766870 /nfs/dbraw/zinc/76/68/70/660766870.db2.gz VCWCPBBKFCGCFE-SFHVURJKSA-N 0 1 312.457 3.219 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001034543855 660770999 /nfs/dbraw/zinc/77/09/99/660770999.db2.gz BHYXDNDIJGSYGW-IEBWSBKVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2c(Cl)cccc2OC)C1 ZINC001034542840 660771196 /nfs/dbraw/zinc/77/11/96/660771196.db2.gz WZOOKMSYZAJOAD-CYBMUJFWSA-N 0 1 322.836 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001034544667 660771498 /nfs/dbraw/zinc/77/14/98/660771498.db2.gz SJJYLQWFSAHVQC-QGZVFWFLSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001034546139 660772357 /nfs/dbraw/zinc/77/23/57/660772357.db2.gz HBUXKPRUBBSVQQ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC001005686789 660789384 /nfs/dbraw/zinc/78/93/84/660789384.db2.gz YJXSTVXQWNVAFS-ZWKOTPCHSA-N 0 1 316.489 3.171 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc3cscc3s2)CC1 ZINC001005731910 660795467 /nfs/dbraw/zinc/79/54/67/660795467.db2.gz OYAPFQMIIQABPK-UHFFFAOYSA-N 0 1 318.467 3.132 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2coc3cc(C)c(C)cc32)CC1 ZINC001005775142 660814151 /nfs/dbraw/zinc/81/41/51/660814151.db2.gz XCYWIQDIQIOYKB-UHFFFAOYSA-N 0 1 324.424 3.219 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1ccc(C)o1)CC2 ZINC001035151592 660818600 /nfs/dbraw/zinc/81/86/00/660818600.db2.gz DGMPRRAJUINUNW-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@@H]1C=CCC1)CC2 ZINC001035157987 660820369 /nfs/dbraw/zinc/82/03/69/660820369.db2.gz ZOZXDCCQPJXJRB-MRXNPFEDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@@H](C)C1CC1)CC2 ZINC001035259397 660835896 /nfs/dbraw/zinc/83/58/96/660835896.db2.gz WDTCEXOGKUXRPI-CQSZACIVSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@H]1CCC)CC2 ZINC001035227611 660829213 /nfs/dbraw/zinc/82/92/13/660829213.db2.gz SHDYLGGNBGIJGT-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1C[C@H]3C[C@H]3C1)CC2 ZINC001035216012 660830422 /nfs/dbraw/zinc/83/04/22/660830422.db2.gz PWDKCBUNPCYYHQ-ZSHCYNCHSA-N 0 1 322.880 3.100 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1CC(C)(C)C)CC2 ZINC001035710639 660846269 /nfs/dbraw/zinc/84/62/69/660846269.db2.gz OCYRNCSAZCLRST-JKSUJKDBSA-N 0 1 304.478 3.169 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc3c1CCCC3)CC2 ZINC001035714968 660846850 /nfs/dbraw/zinc/84/68/50/660846850.db2.gz VCRNYLBFBSJBCK-UHFFFAOYSA-N 0 1 324.468 3.289 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC[C@H]1C1CC1)CC2 ZINC001035757193 660849245 /nfs/dbraw/zinc/84/92/45/660849245.db2.gz HDQNUFDKNMARBP-ROUUACIJSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C13CCC(CC1)C3(C)C)CC2 ZINC001035811814 660855515 /nfs/dbraw/zinc/85/55/15/660855515.db2.gz BJVXADZYFBIFBK-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(C)c(CC)s1)CC2 ZINC001035848759 660856301 /nfs/dbraw/zinc/85/63/01/660856301.db2.gz ZPGJJCCLWJMARJ-UHFFFAOYSA-N 0 1 318.486 3.343 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc3c(c1)CCC=C3)CC2 ZINC001035835964 660857396 /nfs/dbraw/zinc/85/73/96/660857396.db2.gz YABHCWDESDHBND-UHFFFAOYSA-N 0 1 322.452 3.370 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1ccccc1C)CC2 ZINC001035856637 660860362 /nfs/dbraw/zinc/86/03/62/660860362.db2.gz REDRSOJRUXHKAD-QGZVFWFLSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCCCC1(C)C)CC2 ZINC001035864660 660861597 /nfs/dbraw/zinc/86/15/97/660861597.db2.gz WPWVXZDHDGGMIQ-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC3CCCC3)CC[C@H]21 ZINC001036631905 660933826 /nfs/dbraw/zinc/93/38/26/660933826.db2.gz DGIDEVRPLPQOSK-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC3CCCC3)CC[C@@H]21 ZINC001036631903 660933849 /nfs/dbraw/zinc/93/38/49/660933849.db2.gz DGIDEVRPLPQOSK-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CCCCC3)CC[C@H]21 ZINC001036628626 660937823 /nfs/dbraw/zinc/93/78/23/660937823.db2.gz DHSAAPAINSCRCN-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C23CCC(CC2)C3(C)C)CC1 ZINC001006104517 660963859 /nfs/dbraw/zinc/96/38/59/660963859.db2.gz QLEHOZLKRWXQGJ-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(Cl)ccc2C)CC1 ZINC001006145782 660966097 /nfs/dbraw/zinc/96/60/97/660966097.db2.gz CAMIRAFCYREPII-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc3occc3s2)CC1 ZINC001005986186 660954942 /nfs/dbraw/zinc/95/49/42/660954942.db2.gz JVXPJKUWLSPEGC-UHFFFAOYSA-N 0 1 316.426 3.054 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@H]2CCc3ccccc32)CC1 ZINC001006170781 660966848 /nfs/dbraw/zinc/96/68/48/660966848.db2.gz VJHMHPRAWISHAM-GOSISDBHSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2C[C@@]2(CC)C(C)C)CC1 ZINC001006226191 660968607 /nfs/dbraw/zinc/96/86/07/660968607.db2.gz PQVCAGDNHJYSGV-MJGOQNOKSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H](C)C2CCC2)CC1 ZINC001016691796 660972716 /nfs/dbraw/zinc/97/27/16/660972716.db2.gz XDMSLZQWTUAOLM-UKRRQHHQSA-N 0 1 310.869 3.146 20 30 DGEDMN Clc1cccc(NN=Cc2cnn(Cc3ccccc3)c2)n1 ZINC000793174978 661010299 /nfs/dbraw/zinc/01/02/99/661010299.db2.gz PLLXFGAHHLXOSM-UHFFFAOYSA-N 0 1 311.776 3.426 20 30 DGEDMN Clc1cccc(N=NCc2ccc(Br)nc2)n1 ZINC000793181009 661010800 /nfs/dbraw/zinc/01/08/00/661010800.db2.gz FTJODSRWMAHXOM-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@](C)(O)CC1 ZINC000793249160 661017701 /nfs/dbraw/zinc/01/77/01/661017701.db2.gz DVCQGBWAGGESJH-KRWDZBQOSA-N 0 1 307.821 3.089 20 30 DGEDMN C=CC1CCN(CN2CC[C@H](CCc3ccccc3)C2=O)CC1 ZINC000793497538 661039339 /nfs/dbraw/zinc/03/93/39/661039339.db2.gz IGXZDTXFFVRIFK-IBGZPJMESA-N 0 1 312.457 3.323 20 30 DGEDMN Cc1cnn(C)c1C=CC(=O)c1[nH]c(-c2ccccc2)nc1C ZINC000794698362 661099894 /nfs/dbraw/zinc/09/98/94/661099894.db2.gz JMBMAELUWYCSKV-MDZDMXLPSA-N 0 1 306.369 3.323 20 30 DGEDMN CS(=O)(=O)c1ccccc1N=NCc1ccc2occc2c1 ZINC000794913042 661113422 /nfs/dbraw/zinc/11/34/22/661113422.db2.gz PXGOIBRNAQCTAU-UHFFFAOYSA-N 0 1 314.366 3.282 20 30 DGEDMN C[C@@H](CC#N)NN=Cc1ccc2c(c1)CCN2Cc1ccccc1 ZINC000794920556 661113543 /nfs/dbraw/zinc/11/35/43/661113543.db2.gz NYYQIFDVLGALKL-INIZCTEOSA-N 0 1 318.424 3.475 20 30 DGEDMN CCOc1c(OC)cc(C=NNc2cccc(F)n2)cc1OC ZINC000795009700 661121505 /nfs/dbraw/zinc/12/15/05/661121505.db2.gz IXTBJKOQILDAMI-UHFFFAOYSA-N 0 1 319.336 3.083 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc2c(cccc2C)o1 ZINC000969158931 655529174 /nfs/dbraw/zinc/52/91/74/655529174.db2.gz XCIRQPLQYXCMJF-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC000969569909 655551074 /nfs/dbraw/zinc/55/10/74/655551074.db2.gz FFEHXELGBAKWPA-CQSZACIVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2coc3ccc(CC)cc23)C1 ZINC000969680452 655554902 /nfs/dbraw/zinc/55/49/02/655554902.db2.gz MEJJRKJAFOMPDU-ZDUSSCGKSA-N 0 1 312.413 3.231 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC000969830579 655559113 /nfs/dbraw/zinc/55/91/13/655559113.db2.gz NKPAFYZHMGGBAZ-RHSMWYFYSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000969830553 655559211 /nfs/dbraw/zinc/55/92/11/655559211.db2.gz MQKQKRMJXKXGQU-QAPCUYQASA-N 0 1 322.399 3.258 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NCc3cccc(F)c3F)C2)C1 ZINC000969877216 655561902 /nfs/dbraw/zinc/56/19/02/655561902.db2.gz BKFYHDWXJGCCED-CQSZACIVSA-N 0 1 320.383 3.012 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc3c2CCCCC3)C1 ZINC000969912891 655563319 /nfs/dbraw/zinc/56/33/19/655563319.db2.gz QBXSEOSQPDARIB-OAHLLOKOSA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccccc2C(C)(C)C)C1 ZINC000969922213 655563722 /nfs/dbraw/zinc/56/37/22/655563722.db2.gz IOGIHKRHBRXFHZ-CQSZACIVSA-N 0 1 300.446 3.220 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC000969958730 655565490 /nfs/dbraw/zinc/56/54/90/655565490.db2.gz NZFCMJNTQXSRGY-GFCCVEGCSA-N 0 1 313.445 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC000970106290 655576101 /nfs/dbraw/zinc/57/61/01/655576101.db2.gz DUXUTKDXUIFTPD-SJORKVTESA-N 0 1 320.864 3.369 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cscc3s2)C1 ZINC000970175546 655586980 /nfs/dbraw/zinc/58/69/80/655586980.db2.gz SGBPXIGTOUPKQQ-SNVBAGLBSA-N 0 1 306.456 3.199 20 30 DGEDMN CCOC(=O)c1ccc(/C=C/C(=O)c2ccc(O)c(F)c2)o1 ZINC000177615027 655602938 /nfs/dbraw/zinc/60/29/38/655602938.db2.gz QCZZYVXHIFXZCB-QPJJXVBHSA-N 0 1 304.273 3.197 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC000970500391 655603172 /nfs/dbraw/zinc/60/31/72/655603172.db2.gz DIYNQCAPFFQCBO-CABCVRRESA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC000970518632 655604054 /nfs/dbraw/zinc/60/40/54/655604054.db2.gz VJKUXCSXIPUVKW-MRXNPFEDSA-N 0 1 320.864 3.216 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC000970587366 655607846 /nfs/dbraw/zinc/60/78/46/655607846.db2.gz ULMLJGCXGYENMX-LBPRGKRZSA-N 0 1 321.490 3.067 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3c(s2)CCCC3)C1 ZINC000970642561 655610739 /nfs/dbraw/zinc/61/07/39/655610739.db2.gz YXVHTNFAEHUSAZ-CYBMUJFWSA-N 0 1 324.877 3.184 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC000970676547 655612388 /nfs/dbraw/zinc/61/23/88/655612388.db2.gz BTOGLBIKYNCPON-OAHLLOKOSA-N 0 1 323.868 3.242 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(C3CCC3)c2)C1 ZINC000970741760 655615589 /nfs/dbraw/zinc/61/55/89/655615589.db2.gz SSWWZTUUEXMKSR-OAHLLOKOSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccsc2C(F)(F)F)C1 ZINC000970803536 655622709 /nfs/dbraw/zinc/62/27/09/655622709.db2.gz LEGZQCYBYFPEMW-SECBINFHSA-N 0 1 318.364 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@@H](CCCN2C(=O)c2[nH]ccc2C)C1 ZINC001021574752 655635494 /nfs/dbraw/zinc/63/54/94/655635494.db2.gz FHUKZBHIAJDSQE-GJZGRUSLSA-N 0 1 321.852 3.002 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC000970939146 655639715 /nfs/dbraw/zinc/63/97/15/655639715.db2.gz UTVMRQWEBRDSAF-KXBFYZLASA-N 0 1 312.457 3.119 20 30 DGEDMN C[C@@H]1CC[C@H](c2ccccc2)N(Cn2cccc(C#N)c2=O)C1 ZINC000795696360 661168095 /nfs/dbraw/zinc/16/80/95/661168095.db2.gz BIXGBZYBAPNAPO-CRAIPNDOSA-N 0 1 307.397 3.151 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2oc3ccccc3c2CC)C1 ZINC000970955308 655642438 /nfs/dbraw/zinc/64/24/38/655642438.db2.gz URXOCRBFGCASIN-CYBMUJFWSA-N 0 1 312.413 3.231 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC000970988300 655647534 /nfs/dbraw/zinc/64/75/34/655647534.db2.gz JYTSOSZHPASZBO-LBPRGKRZSA-N 0 1 308.372 3.034 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@@H](C2CCOCC2)C1 ZINC000933085717 655674808 /nfs/dbraw/zinc/67/48/08/655674808.db2.gz QVDSQUFVHMEPGK-OAHLLOKOSA-N 0 1 302.393 3.336 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C=C2CCCCC2)C1 ZINC001021880605 655692982 /nfs/dbraw/zinc/69/29/82/655692982.db2.gz QZMCZFVHHNHGTB-KDURUIRLSA-N 0 1 323.440 3.186 20 30 DGEDMN C[C@H](C/N=C\c1ccccc1O)N1CCc2sccc2C1 ZINC000255343159 655703966 /nfs/dbraw/zinc/70/39/66/655703966.db2.gz WEQBFAMPZJLTNJ-NCUBORBFSA-N 0 1 300.427 3.319 20 30 DGEDMN C[C@@]1(C(=O)N[C@H]2C[C@@H](NCc3ccccc3C#N)C2)CC=CCC1 ZINC001022132667 655714889 /nfs/dbraw/zinc/71/48/89/655714889.db2.gz LTSYEXAKXLPDHD-WSTZPKSXSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccsc1 ZINC001039434752 655721917 /nfs/dbraw/zinc/72/19/17/655721917.db2.gz LYUGPJTUVPBFMM-IMJJTQAJSA-N 0 1 304.459 3.103 20 30 DGEDMN C=C(C)CN1CCOC2(CCC(NC(=O)OC(C)(C)C)CC2)C1 ZINC000933827484 655734919 /nfs/dbraw/zinc/73/49/19/655734919.db2.gz VFDUAOJVOLSEBI-UHFFFAOYSA-N 0 1 324.465 3.101 20 30 DGEDMN N#Cc1ccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)c(C2CC2)c1 ZINC000933846778 655735783 /nfs/dbraw/zinc/73/57/83/655735783.db2.gz ZDBMUGGTVYSUAY-INIZCTEOSA-N 0 1 321.384 3.358 20 30 DGEDMN COc1ccc([C@H]2CCCN2CCOc2cccc(C#N)c2)nc1 ZINC000934350355 655770271 /nfs/dbraw/zinc/77/02/71/655770271.db2.gz XUDPSIRRRWPEKV-LJQANCHMSA-N 0 1 323.396 3.178 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000934469347 655777394 /nfs/dbraw/zinc/77/73/94/655777394.db2.gz SMFCWIIGDYJXDA-DLBZAZTESA-N 0 1 311.429 3.281 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1sc(C2CC2)nc1C ZINC001038241279 655791421 /nfs/dbraw/zinc/79/14/21/655791421.db2.gz NCIJTXPPKNAATH-AWEZNQCLSA-N 0 1 319.474 3.099 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3sccc3Cl)C[C@H]21 ZINC001042066752 655818287 /nfs/dbraw/zinc/81/82/87/655818287.db2.gz JHTCEROOBWVLFC-WCQYABFASA-N 0 1 310.850 3.124 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(Br)c2)c1 ZINC000176817563 655847489 /nfs/dbraw/zinc/84/74/89/655847489.db2.gz YQGFQKXTPVPMJP-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OC(F)(F)F)cc2)c1 ZINC000176832797 655851488 /nfs/dbraw/zinc/85/14/88/655851488.db2.gz WKZCPTXAESWVNQ-UHFFFAOYSA-N 0 1 322.242 3.415 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(CC)o3)CC[C@@H]21 ZINC001036752146 661195954 /nfs/dbraw/zinc/19/59/54/661195954.db2.gz SOIIVVGIKQRXFK-ZFWWWQNUSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C3CCC3)CC[C@@H]21 ZINC001036780798 661200374 /nfs/dbraw/zinc/20/03/74/661200374.db2.gz HXVSIKQOJJGZKN-ZBFHGGJFSA-N 0 1 324.896 3.488 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cscc1C(F)F ZINC001024441227 655905977 /nfs/dbraw/zinc/90/59/77/655905977.db2.gz BDHXQBBRQSMPHN-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1cccc(F)c1C ZINC001024449315 655906392 /nfs/dbraw/zinc/90/63/92/655906392.db2.gz CLNJUHQQMWOAQB-HNNXBMFYSA-N 0 1 304.409 3.295 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(OCCC)c1 ZINC001024467094 655908310 /nfs/dbraw/zinc/90/83/10/655908310.db2.gz UWGNZJOYGBULLS-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc2c(o1)CCCC2 ZINC001024478023 655909124 /nfs/dbraw/zinc/90/91/24/655909124.db2.gz QBTMWNNJWGXYOG-INIZCTEOSA-N 0 1 316.445 3.319 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc(F)c(C)cc1F ZINC001024489740 655910003 /nfs/dbraw/zinc/91/00/03/655910003.db2.gz CUOPWTXAEDHCHV-CQSZACIVSA-N 0 1 322.399 3.434 20 30 DGEDMN Cc1onc(-c2ccccc2)c1C(=O)Nc1cc(C#N)ccc1O ZINC000179742343 655913263 /nfs/dbraw/zinc/91/32/63/655913263.db2.gz HWSPDWRMCYCIAA-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545968 655915516 /nfs/dbraw/zinc/91/55/16/655915516.db2.gz RPQDNMDMYXKYOJ-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545968 655915518 /nfs/dbraw/zinc/91/55/18/655915518.db2.gz RPQDNMDMYXKYOJ-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(-c2ccco2)o1 ZINC001024547532 655915549 /nfs/dbraw/zinc/91/55/49/655915549.db2.gz MLQZJZKNBANULX-AWEZNQCLSA-N 0 1 314.385 3.310 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(C)noc1C1CC1 ZINC001024557761 655916431 /nfs/dbraw/zinc/91/64/31/655916431.db2.gz BKJXLZUFSDNNCN-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnc2ccccc2c1C ZINC001024558539 655916715 /nfs/dbraw/zinc/91/67/15/655916715.db2.gz KEJNXQSYPJMGFR-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1csc2ccccc12 ZINC001024578442 655919796 /nfs/dbraw/zinc/91/97/96/655919796.db2.gz TVJVARGOSLVKJF-CQSZACIVSA-N 0 1 312.438 3.119 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnc2ccsc2c1 ZINC001024593987 655921747 /nfs/dbraw/zinc/92/17/47/655921747.db2.gz WNSLWNCNJJFSII-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000065958153 655921773 /nfs/dbraw/zinc/92/17/73/655921773.db2.gz TWRKPJYIQZNCBQ-GOSISDBHSA-N 0 1 319.326 3.273 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001024634049 655926168 /nfs/dbraw/zinc/92/61/68/655926168.db2.gz QTMGBPKMBHLKBX-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)c(C)c1 ZINC001024633262 655926294 /nfs/dbraw/zinc/92/62/94/655926294.db2.gz GMOAJBPJQVSXFZ-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C(CC)CC)no1 ZINC001024692642 655930900 /nfs/dbraw/zinc/93/09/00/655930900.db2.gz QHANEEDJMADXLC-OAHLLOKOSA-N 0 1 319.449 3.349 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc(Cl)cs1 ZINC001024692542 655931095 /nfs/dbraw/zinc/93/10/95/655931095.db2.gz OBXRLMASSQEXBN-ZDUSSCGKSA-N 0 1 310.850 3.009 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1oc(C2CC2)nc1C ZINC001024698478 655931764 /nfs/dbraw/zinc/93/17/64/655931764.db2.gz IZPFCYFBSIRSTC-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001024750526 655935486 /nfs/dbraw/zinc/93/54/86/655935486.db2.gz SRDYGHVFPHFAJN-LJQANCHMSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(CC(C)C)cc1 ZINC001024794675 655939862 /nfs/dbraw/zinc/93/98/62/655939862.db2.gz PWOKFNFXZFVHPQ-IBGZPJMESA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cncc2ccccc21 ZINC001024811286 655941670 /nfs/dbraw/zinc/94/16/70/655941670.db2.gz OZIXLTOHSYJAFQ-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1coc2ccccc12 ZINC001024826712 655943384 /nfs/dbraw/zinc/94/33/84/655943384.db2.gz XUVSYAURZSKFCJ-OAHLLOKOSA-N 0 1 310.397 3.040 20 30 DGEDMN CC[C@H](C)C(=O)N1CCCC[C@@H]1CNCc1ccccc1C#N ZINC001024876491 655947083 /nfs/dbraw/zinc/94/70/83/655947083.db2.gz OXSNXJAPIFWKKO-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3occc3CC)CC[C@@H]21 ZINC001036812255 661206360 /nfs/dbraw/zinc/20/63/60/661206360.db2.gz DWSXTZWRKZRPBN-CABCVRRESA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001025039371 655958230 /nfs/dbraw/zinc/95/82/30/655958230.db2.gz MNGOLQYOOQCYMQ-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@H]1CNCc1csc(C)n1 ZINC001025040768 655958589 /nfs/dbraw/zinc/95/85/89/655958589.db2.gz LJWOUUDWZUREFX-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C(C)C)CC3)CC[C@H]21 ZINC001036816170 661208128 /nfs/dbraw/zinc/20/81/28/661208128.db2.gz QSVJFLSSYNQSCL-LSDHHAIUSA-N 0 1 310.869 3.098 20 30 DGEDMN Oc1ccccc1/C=N\CC1(CN2CCOCC2)CCCCC1 ZINC000255925808 655968309 /nfs/dbraw/zinc/96/83/09/655968309.db2.gz CGUKVHDARUOJFR-ZHZULCJRSA-N 0 1 316.445 3.094 20 30 DGEDMN COc1cc(/C=C\C(=O)C2CCCCC2)cc([N+](=O)[O-])c1O ZINC000255925802 655968427 /nfs/dbraw/zinc/96/84/27/655968427.db2.gz BVCZSWUQNNQOGF-FPLPWBNLSA-N 0 1 305.330 3.472 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@H]1CCCCN1C(=O)CC1CC1 ZINC001025188923 655974471 /nfs/dbraw/zinc/97/44/71/655974471.db2.gz LZOBVYPQJDADOE-HZPDHXFCSA-N 0 1 310.869 3.385 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)C1CC(C)(C)C1 ZINC001025227722 655982122 /nfs/dbraw/zinc/98/21/22/655982122.db2.gz BBUHEEFNYWZGNS-ZWKOTPCHSA-N 0 1 316.489 3.291 20 30 DGEDMN C[C@@H](NC(=O)C1CCC1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001025317422 655992764 /nfs/dbraw/zinc/99/27/64/655992764.db2.gz QIYIYDMMXBIQRO-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2CC(F)(F)C2)C1 ZINC001025376082 656001661 /nfs/dbraw/zinc/00/16/61/656001661.db2.gz VBHOOFLTXYLPBQ-RYUDHWBXSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3csc(C)c3)CC[C@H]21 ZINC001036842025 661212864 /nfs/dbraw/zinc/21/28/64/661212864.db2.gz JCRLJMCOKFMRFJ-UKRRQHHQSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C3CC3)C3CC3)CC[C@H]21 ZINC001036864214 661215878 /nfs/dbraw/zinc/21/58/78/661215878.db2.gz TXJKLEKMDZPYRZ-JKSUJKDBSA-N 0 1 322.880 3.098 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001008145574 656123761 /nfs/dbraw/zinc/12/37/61/656123761.db2.gz AABORDVNLKAMBS-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001008145574 656123763 /nfs/dbraw/zinc/12/37/63/656123763.db2.gz AABORDVNLKAMBS-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(C)CC[N@H+]1CCC[C@H](NC(=O)c2coc(C(F)F)c2)C1 ZINC001008317335 656141926 /nfs/dbraw/zinc/14/19/26/656141926.db2.gz PXPOVXUWFYXSLW-ZDUSSCGKSA-N 0 1 312.360 3.378 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H]2C[C@H]2c2ccccc2)C(C)(C)C1 ZINC000974590901 656215027 /nfs/dbraw/zinc/21/50/27/656215027.db2.gz YGWOJVGWMBTXAS-FHWLQOOXSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc3c2CCCC3)C(C)(C)C1 ZINC000974618878 656217281 /nfs/dbraw/zinc/21/72/81/656217281.db2.gz GFQHAKCTENNKKV-LJQANCHMSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)oc(C)c2C)C(C)(C)C1 ZINC000974788221 656230082 /nfs/dbraw/zinc/23/00/82/656230082.db2.gz FUDGFUQYFGPFAI-HNNXBMFYSA-N 0 1 304.434 3.221 20 30 DGEDMN CC#CC[N@@H+]1C[C@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808424 656231162 /nfs/dbraw/zinc/23/11/62/656231162.db2.gz GBACTFAAYUXWEJ-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808424 656231163 /nfs/dbraw/zinc/23/11/63/656231163.db2.gz GBACTFAAYUXWEJ-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2c(F)cccc2F)C(C)(C)C1 ZINC000974832830 656233368 /nfs/dbraw/zinc/23/33/68/656233368.db2.gz YYOBZHWTLGYBOM-IUODEOHRSA-N 0 1 322.399 3.081 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001026989007 656234912 /nfs/dbraw/zinc/23/49/12/656234912.db2.gz VKTLOJWDNCUUKE-CABCVRRESA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C(C)(C)C1 ZINC000974855651 656235238 /nfs/dbraw/zinc/23/52/38/656235238.db2.gz OUEHSLXZYVEGSK-YYIAUSFCSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C(C)(C)C1 ZINC000974884852 656238560 /nfs/dbraw/zinc/23/85/60/656238560.db2.gz OMJNVHMHJAAMEV-QGZVFWFLSA-N 0 1 311.429 3.103 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2C)C(C)(C)C1 ZINC000975005047 656249130 /nfs/dbraw/zinc/24/91/30/656249130.db2.gz IPYMOEWDGLTPOJ-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CN(C[C@H](F)CC)CC2(C)C)CC1 ZINC000975008923 656249674 /nfs/dbraw/zinc/24/96/74/656249674.db2.gz FSUJCXZUKXIGTM-CVEARBPZSA-N 0 1 310.457 3.308 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C(C)(C)C1 ZINC000975067215 656253040 /nfs/dbraw/zinc/25/30/40/656253040.db2.gz SLDNXZZJEKRWNG-OAGGEKHMSA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)cc2Cl)C(C)(C)C1 ZINC000975081329 656254330 /nfs/dbraw/zinc/25/43/30/656254330.db2.gz SUWRVDWDNFCUOB-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C)c3ccccc23)C(C)(C)C1 ZINC000975086332 656255275 /nfs/dbraw/zinc/25/52/75/656255275.db2.gz UEEYJKOYOQYMKK-IBGZPJMESA-N 0 1 320.436 3.222 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)ccc2Cl)C(C)(C)C1 ZINC000975104616 656256529 /nfs/dbraw/zinc/25/65/29/656256529.db2.gz JGSXQMXLWQOSPF-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001008909270 656261065 /nfs/dbraw/zinc/26/10/65/656261065.db2.gz QQGFODBZEXAIEP-GJZGRUSLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001008909270 656261066 /nfs/dbraw/zinc/26/10/66/656261066.db2.gz QQGFODBZEXAIEP-GJZGRUSLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001008909273 656261198 /nfs/dbraw/zinc/26/11/98/656261198.db2.gz QQGFODBZEXAIEP-HUUCEWRRSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001008909273 656261199 /nfs/dbraw/zinc/26/11/99/656261199.db2.gz QQGFODBZEXAIEP-HUUCEWRRSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c3occc32)C1 ZINC001009166247 656268497 /nfs/dbraw/zinc/26/84/97/656268497.db2.gz GBYAOVYVCKKTEW-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001009358990 656283441 /nfs/dbraw/zinc/28/34/41/656283441.db2.gz GOYGOLKFMHVUHO-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001009584246 656295791 /nfs/dbraw/zinc/29/57/91/656295791.db2.gz CMADVNUZZCJHRH-RHSMWYFYSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2scnc2C2CC2)C(C)(C)C1 ZINC000977272417 656319629 /nfs/dbraw/zinc/31/96/29/656319629.db2.gz JIZGCZKBGPCAKZ-ZDUSSCGKSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(C(C)C)n2)C(C)(C)C1 ZINC000977319706 656322303 /nfs/dbraw/zinc/32/23/03/656322303.db2.gz MYFPZOPIWAZUJL-CQSZACIVSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C(C)C)c2)C(C)(C)C1 ZINC000977421886 656328706 /nfs/dbraw/zinc/32/87/06/656328706.db2.gz QCYVNJQUAGLJOY-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977461550 656332599 /nfs/dbraw/zinc/33/25/99/656332599.db2.gz KFXLMWPWVZOOQV-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ccccc3c2)C(C)(C)C1 ZINC000977529119 656339560 /nfs/dbraw/zinc/33/95/60/656339560.db2.gz GPTDOXOUHZEUPT-SFHVURJKSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccccc2C)C(C)(C)C1 ZINC000977631044 656348923 /nfs/dbraw/zinc/34/89/23/656348923.db2.gz RVVCEZMWNYVHLO-DOTOQJQBSA-N 0 1 300.446 3.111 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000977642891 656351306 /nfs/dbraw/zinc/35/13/06/656351306.db2.gz UWASNXFWQAQQKX-CTWPCTMYSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C(C)(C)C1 ZINC000977644791 656351570 /nfs/dbraw/zinc/35/15/70/656351570.db2.gz HEDRMJYLHXAVFX-RPCJCACASA-N 0 1 324.468 3.121 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000977642888 656351895 /nfs/dbraw/zinc/35/18/95/656351895.db2.gz UWASNXFWQAQQKX-ACBHZAAOSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000977647395 656352137 /nfs/dbraw/zinc/35/21/37/656352137.db2.gz XSWSEKQPMWAJPY-PXNSSMCTSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2c(C)cccc2F)C(C)(C)C1 ZINC000977646498 656352200 /nfs/dbraw/zinc/35/22/00/656352200.db2.gz LRBPIXHHJVAPFV-HNNXBMFYSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)cccc2F)C(C)(C)C1 ZINC000977646498 656352203 /nfs/dbraw/zinc/35/22/03/656352203.db2.gz LRBPIXHHJVAPFV-HNNXBMFYSA-N 0 1 304.409 3.150 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657264 656353741 /nfs/dbraw/zinc/35/37/41/656353741.db2.gz YIFFARGMGWTRCE-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657264 656353742 /nfs/dbraw/zinc/35/37/42/656353742.db2.gz YIFFARGMGWTRCE-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(CC)c(CC)c2)C(C)(C)C1 ZINC000977715416 656356396 /nfs/dbraw/zinc/35/63/96/656356396.db2.gz RWTPFIKWOZJZBY-SFHVURJKSA-N 0 1 314.473 3.438 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001027560098 656359412 /nfs/dbraw/zinc/35/94/12/656359412.db2.gz PSEDWVPXUIZTLM-HKUYNNGSSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2oc3ccccc3c2C)CC1 ZINC001006371386 661258254 /nfs/dbraw/zinc/25/82/54/661258254.db2.gz AOGOTMHKCCUJMJ-UHFFFAOYSA-N 0 1 324.424 3.301 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C2CCCC2)CCN1CC#Cc1ccccc1 ZINC000946971020 661258638 /nfs/dbraw/zinc/25/86/38/661258638.db2.gz GJMNKFQAHZVBCB-FXAWDEMLSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cccc(C)c2)CC1 ZINC001052177923 656420496 /nfs/dbraw/zinc/42/04/96/656420496.db2.gz XXVUELPQSJQHTM-INIZCTEOSA-N 0 1 306.837 3.332 20 30 DGEDMN CC1CC(C(=O)N[C@@H]2CCCN(CC#Cc3ccccc3)CC2)C1 ZINC001052295378 656425785 /nfs/dbraw/zinc/42/57/85/656425785.db2.gz JBWWUBYJDSBKCE-LYBXBRPPSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2C=CC=CC=C2)CC1 ZINC001052423168 656435389 /nfs/dbraw/zinc/43/53/89/656435389.db2.gz PDFJOKZQEIUELB-MRXNPFEDSA-N 0 1 306.837 3.008 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CCC(F)(F)C2)CC1 ZINC001052433512 656436219 /nfs/dbraw/zinc/43/62/19/656436219.db2.gz TZKIQAVNDZEAFY-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN CC1(C(=O)N[C@@H]2CCCN(CC#Cc3ccccc3)CC2)CCC1 ZINC001052626389 656450341 /nfs/dbraw/zinc/45/03/41/656450341.db2.gz CNMTWRUKENQVGW-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc[nH]c2CCC)CC1 ZINC001052645095 656452499 /nfs/dbraw/zinc/45/24/99/656452499.db2.gz FWFMUMDURQQWAC-AWEZNQCLSA-N 0 1 323.868 3.304 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(C)o1 ZINC001039357384 656488427 /nfs/dbraw/zinc/48/84/27/656488427.db2.gz DIMAFTBFTILHPW-CVEARBPZSA-N 0 1 302.418 3.151 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CC ZINC001039368947 656488923 /nfs/dbraw/zinc/48/89/23/656488923.db2.gz PIEARLNXQRXXNH-CABCVRRESA-N 0 1 302.418 3.097 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)c(C)cc1F ZINC001039389524 656492069 /nfs/dbraw/zinc/49/20/69/656492069.db2.gz XLEMSQWWFXZRKL-KGLIPLIRSA-N 0 1 320.383 3.138 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C(C)(C)C ZINC001039390671 656492351 /nfs/dbraw/zinc/49/23/51/656492351.db2.gz AZQHBAQXQMZXDW-SJORKVTESA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(C(C)C)c1 ZINC001039399128 656492962 /nfs/dbraw/zinc/49/29/62/656492962.db2.gz IQPLKTLKAMWCIJ-UXHICEINSA-N 0 1 324.468 3.051 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CCC2)CCC1 ZINC001039411754 656496162 /nfs/dbraw/zinc/49/61/62/656496162.db2.gz JBMGHUVPXNPERX-SJORKVTESA-N 0 1 302.462 3.208 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)ccc1C1CC1 ZINC001039411500 656496212 /nfs/dbraw/zinc/49/62/12/656496212.db2.gz WXQAWYRXWIMVQA-MSOLQXFVSA-N 0 1 322.452 3.185 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)c(Cl)c1 ZINC001039426031 656499415 /nfs/dbraw/zinc/49/94/15/656499415.db2.gz LQKCVGSABOVWQC-KGLIPLIRSA-N 0 1 322.811 3.344 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C1(C)CC1 ZINC001039434598 656501472 /nfs/dbraw/zinc/50/14/72/656501472.db2.gz JGNIUZNOKBMNSR-SJORKVTESA-N 0 1 322.452 3.050 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CC1CCCC1 ZINC001039446821 656504173 /nfs/dbraw/zinc/50/41/73/656504173.db2.gz UAZBQBDFAMIJOX-JQHSSLGASA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1OC ZINC001039460833 656506385 /nfs/dbraw/zinc/50/63/85/656506385.db2.gz IOMWXYFMDINJCJ-KGLIPLIRSA-N 0 1 320.458 3.012 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1Cl ZINC001039462520 656507323 /nfs/dbraw/zinc/50/73/23/656507323.db2.gz QGDOYUQATBXVOR-CABCVRRESA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2o1 ZINC001039466019 656508757 /nfs/dbraw/zinc/50/87/57/656508757.db2.gz MXPAVWPEDZMFJC-SJORKVTESA-N 0 1 322.408 3.135 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CC(C(C)(C)C)C1 ZINC001039476240 656511829 /nfs/dbraw/zinc/51/18/29/656511829.db2.gz ISLHHGPUKOEOQM-HIEASXQVSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001027953720 656529989 /nfs/dbraw/zinc/52/99/89/656529989.db2.gz SDDDOAZUWPNTIR-QGZVFWFLSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)C[C@@H]2C1 ZINC001048828544 656541196 /nfs/dbraw/zinc/54/11/96/656541196.db2.gz YNRNUAOWNSICOM-CAOSSQGBSA-N 0 1 324.896 3.201 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](C)CC3CCCC3)C[C@@H]2C1 ZINC001049098486 656561139 /nfs/dbraw/zinc/56/11/39/656561139.db2.gz KWLVMBKJTLYPJJ-XYPHTWIQSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](C)CC3CCCC3)C[C@@H]2C1 ZINC001049098485 656561619 /nfs/dbraw/zinc/56/16/19/656561619.db2.gz KWLVMBKJTLYPJJ-RRQGHBQHSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3C[C@@]3(CC)C(C)C)C[C@@H]2C1 ZINC001049141342 656564181 /nfs/dbraw/zinc/56/41/81/656564181.db2.gz OELLETBLUOHMDW-HPFXQQBRSA-N 0 1 324.896 3.201 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC000950055191 656581446 /nfs/dbraw/zinc/58/14/46/656581446.db2.gz IDPGQKRBJOTWLE-HXUWFJFHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3ccccc3)C2)CC1 ZINC001040584453 656597506 /nfs/dbraw/zinc/59/75/06/656597506.db2.gz KEFFAYXEBMNNIZ-UHFFFAOYSA-N 0 1 318.848 3.367 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)CC1 ZINC001040657897 656601399 /nfs/dbraw/zinc/60/13/99/656601399.db2.gz FYMGPPYQZIKMEV-ZSHCYNCHSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H](F)C(C)C)C2)CC1 ZINC001040812150 656608200 /nfs/dbraw/zinc/60/82/00/656608200.db2.gz KXQKGQWZTPDHNQ-CQSZACIVSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C(C)(C)C3CC3)C2)CC1 ZINC001040816380 656609013 /nfs/dbraw/zinc/60/90/13/656609013.db2.gz YUSXYRVYVZOINR-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049408929 656615128 /nfs/dbraw/zinc/61/51/28/656615128.db2.gz XQXLHBIHJCHYNQ-VNJVVDOCSA-N 0 1 322.452 3.070 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1csc(C)c1C ZINC001049497673 656630860 /nfs/dbraw/zinc/63/08/60/656630860.db2.gz IATHSVXKBXQHPL-IAGOWNOFSA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(CCC)s1 ZINC001049498154 656631320 /nfs/dbraw/zinc/63/13/20/656631320.db2.gz MHOOZPLIINHREJ-HOTGVXAUSA-N 0 1 316.470 3.013 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc([C@H]3C[C@H]3C)o2)CC1 ZINC000981147677 656633331 /nfs/dbraw/zinc/63/33/31/656633331.db2.gz YAVLGNBPDXIJCU-CABCVRRESA-N 0 1 302.418 3.127 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1C(C)(C)C ZINC001049544931 656637632 /nfs/dbraw/zinc/63/76/32/656637632.db2.gz QJKIEEVQFIDGSL-MOPGFXCFSA-N 0 1 324.468 3.296 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043472 656641606 /nfs/dbraw/zinc/64/16/06/656641606.db2.gz PGNADKNECUWCLY-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043472 656641609 /nfs/dbraw/zinc/64/16/09/656641609.db2.gz PGNADKNECUWCLY-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043362 656641694 /nfs/dbraw/zinc/64/16/94/656641694.db2.gz MEEIBSIJBDFCPG-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)c(OC)c(C)c2)CC1 ZINC000981214493 656646952 /nfs/dbraw/zinc/64/69/52/656646952.db2.gz DCEFNXDEVKWYSE-UHFFFAOYSA-N 0 1 316.445 3.036 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1coc2cc(C)c(C)cc12 ZINC001028049696 656648017 /nfs/dbraw/zinc/64/80/17/656648017.db2.gz VFNKEHNOZKWGIO-INIZCTEOSA-N 0 1 324.424 3.267 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc(F)c3ccccc3c2)CC1 ZINC000981291257 656671532 /nfs/dbraw/zinc/67/15/32/656671532.db2.gz RDIGROYFLKQZOH-UHFFFAOYSA-N 0 1 324.399 3.150 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)ccc1F ZINC001049751245 656678859 /nfs/dbraw/zinc/67/88/59/656678859.db2.gz RTYXACBNFOXQJA-QZTJIDSGSA-N 0 1 316.420 3.389 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001049763166 656682994 /nfs/dbraw/zinc/68/29/94/656682994.db2.gz UQUCDWHNODQGQT-LJCCNALRSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc([C@@H](C)CC)no1 ZINC001049770334 656683893 /nfs/dbraw/zinc/68/38/93/656683893.db2.gz PVMMMJAKQKZMQT-NUEKZKHPSA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1scnc1C(C)C ZINC001049773276 656685007 /nfs/dbraw/zinc/68/50/07/656685007.db2.gz OFYDBPBHFZXZQG-UONOGXRCSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc3ccc(C)nc32)CC1 ZINC000981414116 656692094 /nfs/dbraw/zinc/69/20/94/656692094.db2.gz FXZNZKCGXDAOPG-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc(C2CCC2)c1 ZINC001049846138 656697503 /nfs/dbraw/zinc/69/75/03/656697503.db2.gz DBJUIQBXRMAHAV-VQTJNVASSA-N 0 1 322.452 3.266 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc(C2CCC2)c1 ZINC001049846137 656697607 /nfs/dbraw/zinc/69/76/07/656697607.db2.gz DBJUIQBXRMAHAV-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(Cl)cc1 ZINC001049854575 656699842 /nfs/dbraw/zinc/69/98/42/656699842.db2.gz NVQQATICWHCEOY-SJORKVTESA-N 0 1 316.832 3.042 20 30 DGEDMN CC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049860107 656702458 /nfs/dbraw/zinc/70/24/58/656702458.db2.gz ZIPQVPWDNUZKEF-MOPGFXCFSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(C)CCC(F)(F)CC2)CC1 ZINC000981473877 656704445 /nfs/dbraw/zinc/70/44/45/656704445.db2.gz MGDNPSBWZVCPGH-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1c(C)csc1Cl ZINC001049866020 656705665 /nfs/dbraw/zinc/70/56/65/656705665.db2.gz AYFTZYBLHMFHHK-STQMWFEESA-N 0 1 322.861 3.022 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2[nH]ccc2s1 ZINC001049868783 656705900 /nfs/dbraw/zinc/70/59/00/656705900.db2.gz XPILNXWOCZVQIX-ZIAGYGMSSA-N 0 1 315.442 3.094 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2ccccc21 ZINC001049872143 656707379 /nfs/dbraw/zinc/70/73/79/656707379.db2.gz QFAUPQGJDKFCSZ-PMACEKPBSA-N 0 1 318.420 3.152 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1C1CCC1 ZINC001049871160 656707436 /nfs/dbraw/zinc/70/74/36/656707436.db2.gz POACIJDVOKIASF-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2c(s1)CCC2 ZINC001049875353 656709419 /nfs/dbraw/zinc/70/94/19/656709419.db2.gz DPLDEWORGVOGIM-HUUCEWRRSA-N 0 1 316.470 3.102 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049877908 656709730 /nfs/dbraw/zinc/70/97/30/656709730.db2.gz SMRWRZZNEYXLHQ-SJORKVTESA-N 0 1 322.408 3.135 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(CC)s1 ZINC001049882289 656712467 /nfs/dbraw/zinc/71/24/67/656712467.db2.gz WHKMSHIMVDOMEP-LSDHHAIUSA-N 0 1 318.486 3.484 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(CC(C)C)cc1 ZINC001049888944 656715528 /nfs/dbraw/zinc/71/55/28/656715528.db2.gz LSEPIIBAQSWSTE-VQTJNVASSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912926 656720248 /nfs/dbraw/zinc/72/02/48/656720248.db2.gz QWXMWBWWFIRKSZ-IAGOWNOFSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(CCC)s3)C[C@@H]21 ZINC001042079502 656720275 /nfs/dbraw/zinc/72/02/75/656720275.db2.gz DQRLIPBFYJVEOM-HOCLYGCPSA-N 0 1 318.486 3.423 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2cc(C)ccc2o1 ZINC001049913858 656720858 /nfs/dbraw/zinc/72/08/58/656720858.db2.gz IUCYFZJXQFYVCF-DLBZAZTESA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2cccc(C)c2o1 ZINC001049916447 656721877 /nfs/dbraw/zinc/72/18/77/656721877.db2.gz CEDMVZIZMNAVBQ-DLBZAZTESA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(C(C)C)s1 ZINC001049917249 656722371 /nfs/dbraw/zinc/72/23/71/656722371.db2.gz KXMLRNHTLXOJPO-LSDHHAIUSA-N 0 1 316.470 3.184 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C)C(C)(C)C1(C)C ZINC001049936277 656727612 /nfs/dbraw/zinc/72/76/12/656727612.db2.gz ILUPTOAZLZBIRH-CVEARBPZSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2cc3ccccc3s2)CC1 ZINC000981605807 656734302 /nfs/dbraw/zinc/73/43/02/656734302.db2.gz QHJDTWFMSPRWDJ-UHFFFAOYSA-N 0 1 314.454 3.164 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)cc(Cl)c2)CC1 ZINC000981622355 656738905 /nfs/dbraw/zinc/73/89/05/656738905.db2.gz CSUGKZLMVFFUDL-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc4occc4s3)C[C@@H]21 ZINC001042230052 656741244 /nfs/dbraw/zinc/74/12/44/656741244.db2.gz LQDYORADFJBSQA-STQMWFEESA-N 0 1 316.426 3.217 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC000981743427 656756447 /nfs/dbraw/zinc/75/64/47/656756447.db2.gz ZJJDZUCOWXKBPM-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC000981743427 656756452 /nfs/dbraw/zinc/75/64/52/656756452.db2.gz ZJJDZUCOWXKBPM-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2csc(C)c2)CC1 ZINC000981754945 656759350 /nfs/dbraw/zinc/75/93/50/656759350.db2.gz VZOGZARUZJYRET-UHFFFAOYSA-N 0 1 306.475 3.303 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(C)c(CC)s3)C[C@@H]21 ZINC001042340962 656760263 /nfs/dbraw/zinc/76/02/63/656760263.db2.gz INSDHRVEAYPXOL-GJZGRUSLSA-N 0 1 318.486 3.341 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](F)c2ccccc2)CC1 ZINC001052751928 656761436 /nfs/dbraw/zinc/76/14/36/656761436.db2.gz JMUREVASSSUMIW-CVEARBPZSA-N 0 1 324.827 3.420 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(CC(C)C)cc3)C[C@H]21 ZINC001042347795 656762923 /nfs/dbraw/zinc/76/29/23/656762923.db2.gz BXQQDNCGQDCXMA-UYAOXDASSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cccc(C(C)C)c2)C1 ZINC001043223323 656819023 /nfs/dbraw/zinc/81/90/23/656819023.db2.gz SOLPUGUNLAGTKY-UHFFFAOYSA-N 0 1 314.473 3.461 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(C)cc(OC)c2C)C1 ZINC001043230218 656819491 /nfs/dbraw/zinc/81/94/91/656819491.db2.gz WVMHAEUBFUDVFH-UHFFFAOYSA-N 0 1 316.445 3.034 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC001053027080 656823052 /nfs/dbraw/zinc/82/30/52/656823052.db2.gz LTOOIBMKBBMYDD-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(C(F)F)cc2)C1 ZINC001043328325 656825020 /nfs/dbraw/zinc/82/50/20/656825020.db2.gz QIUMJOYLLFIWKW-UHFFFAOYSA-N 0 1 322.399 3.276 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCCN2C(=O)C2CC2)C1 ZINC001053059713 656834761 /nfs/dbraw/zinc/83/47/61/656834761.db2.gz XFRFRXYQTYXLDV-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001028179127 656836702 /nfs/dbraw/zinc/83/67/02/656836702.db2.gz XERBHAOMVLZTJC-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCCN2C(=O)C(C)C)C1 ZINC001053072347 656844318 /nfs/dbraw/zinc/84/43/18/656844318.db2.gz QHUSHRWKGIBIOE-JKSUJKDBSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC000981987930 656847039 /nfs/dbraw/zinc/84/70/39/656847039.db2.gz NVXOENRXQDYLOK-GQSKFBFOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc(C(CC)CC)no1 ZINC001028187244 656848924 /nfs/dbraw/zinc/84/89/24/656848924.db2.gz GHTHREROZURNJH-HNNXBMFYSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C)cc2Cl)CC1 ZINC000982012326 656859703 /nfs/dbraw/zinc/85/97/03/656859703.db2.gz VOXAKBYLGXEJOX-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001043980704 656868119 /nfs/dbraw/zinc/86/81/19/656868119.db2.gz BPYZLBBPENDDNT-MRXNPFEDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)Cc2cccc(Cl)c2F)CC1 ZINC000982031852 656869043 /nfs/dbraw/zinc/86/90/43/656869043.db2.gz QVHQKSNSKSSLNY-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(Cl)s2)C1 ZINC001044020664 656872086 /nfs/dbraw/zinc/87/20/86/656872086.db2.gz CGLOWNFDJLCGRF-UHFFFAOYSA-N 0 1 312.866 3.053 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ccoc1C ZINC001054029299 656881135 /nfs/dbraw/zinc/88/11/35/656881135.db2.gz KFDUGAHXKSUFKF-GOSISDBHSA-N 0 1 324.424 3.321 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C1CCCCC1 ZINC001054030493 656886051 /nfs/dbraw/zinc/88/60/51/656886051.db2.gz MWAYYENZLHZMSE-FQEVSTJZSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC=CCC1 ZINC001054032875 656886958 /nfs/dbraw/zinc/88/69/58/656886958.db2.gz KJMPZBVDLQYCFW-PXNSSMCTSA-N 0 1 324.468 3.462 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1occc1C ZINC001054033032 656887375 /nfs/dbraw/zinc/88/73/75/656887375.db2.gz MGORNHGQRDZXQW-SFHVURJKSA-N 0 1 324.424 3.321 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C1CC2(CC2)C1 ZINC001054052259 656891936 /nfs/dbraw/zinc/89/19/36/656891936.db2.gz RWSIYBMFOZMWEA-IBGZPJMESA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C1CC2(CC2)C1 ZINC001054052260 656893344 /nfs/dbraw/zinc/89/33/44/656893344.db2.gz RWSIYBMFOZMWEA-LJQANCHMSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc([C@@H](C)CC)cc3)C2)C1 ZINC000982162577 656905557 /nfs/dbraw/zinc/90/55/57/656905557.db2.gz VBQRCJCRZHHNMQ-KRWDZBQOSA-N 0 1 324.468 3.371 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1CC=CCC1)C2 ZINC001054083635 656907621 /nfs/dbraw/zinc/90/76/21/656907621.db2.gz JCTXXMPNFCSKKC-AEFFLSMTSA-N 0 1 322.880 3.410 20 30 DGEDMN CC(C)C(=O)N1CCC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001054089764 656909518 /nfs/dbraw/zinc/90/95/18/656909518.db2.gz OKCKDPSICXPXTP-NRFANRHFSA-N 0 1 324.468 3.009 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3(C)CCC(C)CC3)C2)C1 ZINC000982167574 656909569 /nfs/dbraw/zinc/90/95/69/656909569.db2.gz NPUIOGCBPKEVQJ-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CCC1CC1)C2 ZINC001054104230 656911132 /nfs/dbraw/zinc/91/11/32/656911132.db2.gz WYRQUOMUXWBIDF-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1=CCCC1)C2 ZINC001054115311 656913235 /nfs/dbraw/zinc/91/32/35/656913235.db2.gz URHFNTZSYHOOAV-QGZVFWFLSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1CC(C)C1)C2 ZINC001054115194 656913786 /nfs/dbraw/zinc/91/37/86/656913786.db2.gz TWKVHLPULQVHQL-PGEKIEPBSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1CC(C)(C)C1)C2 ZINC001054126809 656916036 /nfs/dbraw/zinc/91/60/36/656916036.db2.gz OJPHMPAJZKQOJJ-GOSISDBHSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC000982226917 656921085 /nfs/dbraw/zinc/92/10/85/656921085.db2.gz DJMPYCXSIHFWSA-ZWKOTPCHSA-N 0 1 318.505 3.397 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccsc3)cc2C1 ZINC001054254597 656952167 /nfs/dbraw/zinc/95/21/67/656952167.db2.gz CEODYTRCQBKUOL-UHFFFAOYSA-N 0 1 310.422 3.017 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc(C2CCCC2)c1 ZINC001028264783 656953128 /nfs/dbraw/zinc/95/31/28/656953128.db2.gz NPYCILOEWKANRQ-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC[C@H]4C[C@H]43)cc2C1 ZINC001054271699 656957065 /nfs/dbraw/zinc/95/70/65/656957065.db2.gz VYONMZXRSZTWMN-PWIZWCRZSA-N 0 1 322.452 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCC[C@@H](C)C3)cc2C1 ZINC001054278893 656958953 /nfs/dbraw/zinc/95/89/53/656958953.db2.gz QMGCHHNFJYANOM-AEFFLSMTSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3nc(C)ccc3c2)CC1 ZINC000982370869 656959941 /nfs/dbraw/zinc/95/99/41/656959941.db2.gz YVSFTXJCEYTTBU-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3C3CCC3)cc2C1 ZINC001054285286 656961920 /nfs/dbraw/zinc/96/19/20/656961920.db2.gz BKXOSHNTXXLVRH-VQTJNVASSA-N 0 1 322.452 3.078 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccsc1C(F)(F)F ZINC001028284550 656970990 /nfs/dbraw/zinc/97/09/90/656970990.db2.gz HCJSAKOUIPEEGG-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001028299967 656985157 /nfs/dbraw/zinc/98/51/57/656985157.db2.gz NWIMFNVSDXNWLF-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001028317122 656991631 /nfs/dbraw/zinc/99/16/31/656991631.db2.gz XSUDJERHWSOQON-CQSZACIVSA-N 0 1 321.490 3.345 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cnc(CC(C)C)s1 ZINC001028344876 657000576 /nfs/dbraw/zinc/00/05/76/657000576.db2.gz QPNHRVASGOEJJC-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2c(C)cccc2C)C[C@H]1C ZINC001054694426 657001477 /nfs/dbraw/zinc/00/14/77/657001477.db2.gz MZXUKKVKWLVCME-PBHICJAKSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)sc2C)C[C@H]1C ZINC001054875737 657017596 /nfs/dbraw/zinc/01/75/96/657017596.db2.gz XDHXONZDPFVNTH-YMTOWFKASA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)cc(F)cc2C)C[C@@H]1C ZINC001054965394 657026233 /nfs/dbraw/zinc/02/62/33/657026233.db2.gz PIQGNABIXRSFON-SWLSCSKDSA-N 0 1 324.827 3.245 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cscc2Cl)CC1 ZINC001045470822 657027626 /nfs/dbraw/zinc/02/76/26/657027626.db2.gz DYNXNDLWUHDEAO-UHFFFAOYSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2oc(C(F)F)cc2C)CC1 ZINC001045468625 657027022 /nfs/dbraw/zinc/02/70/22/657027022.db2.gz MMLVEFABDGEVLW-UHFFFAOYSA-N 0 1 324.371 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2c(F)cccc2F)C1 ZINC001054975864 657027077 /nfs/dbraw/zinc/02/70/77/657027077.db2.gz GZUWYDFNXUZBSU-BLLLJJGKSA-N 0 1 322.399 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](NCc2cccc(F)c2F)[C@@H](C)C1 ZINC001054977468 657027327 /nfs/dbraw/zinc/02/73/27/657027327.db2.gz YDUCLOZZKZCRPR-WFASDCNBSA-N 0 1 322.399 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](NCc2cc(F)ccc2F)[C@@H](C)C1 ZINC001054976872 657027722 /nfs/dbraw/zinc/02/77/22/657027722.db2.gz QIGNXFOJHUWOIG-LRDDRELGSA-N 0 1 322.399 3.114 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3sccc3s2)CC1 ZINC001045477955 657028322 /nfs/dbraw/zinc/02/83/22/657028322.db2.gz JKPTYZPDJMQVIZ-UHFFFAOYSA-N 0 1 318.467 3.180 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC001045628382 657045182 /nfs/dbraw/zinc/04/51/82/657045182.db2.gz DYQWHCJFGTXJDH-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(CCC)c(C)s2)CC1 ZINC001045635802 657047075 /nfs/dbraw/zinc/04/70/75/657047075.db2.gz VNJARJNLQUWBLX-UHFFFAOYSA-N 0 1 318.486 3.227 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C[C@H](F)CC ZINC001045825082 657069024 /nfs/dbraw/zinc/06/90/24/657069024.db2.gz NZVYVKCYVKAPOH-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001045840844 657072936 /nfs/dbraw/zinc/07/29/36/657072936.db2.gz RJGMNMQFJHFWHK-VGPQBGGKSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001000017157 657085034 /nfs/dbraw/zinc/08/50/34/657085034.db2.gz ZHFQRPQHZRVUBX-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)Cc2coc3ccccc23)CC1 ZINC000982763029 657087052 /nfs/dbraw/zinc/08/70/52/657087052.db2.gz RUURMIGJFBKBBP-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H](C)CC)C2 ZINC001045918750 657091700 /nfs/dbraw/zinc/09/17/00/657091700.db2.gz MKNWCPZHEGCSJO-WMLDXEAASA-N 0 1 312.885 3.490 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001000093182 657093675 /nfs/dbraw/zinc/09/36/75/657093675.db2.gz UVLDIZVXJFOAHG-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C1CC(C)C1)C2 ZINC001045927807 657095803 /nfs/dbraw/zinc/09/58/03/657095803.db2.gz MEHAJWUEPZLXGW-PVARCSIZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@@H](NCC(=C)Cl)C2)cc1 ZINC001000278231 657108501 /nfs/dbraw/zinc/10/85/01/657108501.db2.gz ORYNJUQQQSLEDS-MRXNPFEDSA-N 0 1 304.821 3.276 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2cc(C)oc2C)C1 ZINC001000471560 657129165 /nfs/dbraw/zinc/12/91/65/657129165.db2.gz FEPHRPQCCQIEBF-ZFWWWQNUSA-N 0 1 324.852 3.333 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001046312922 657145156 /nfs/dbraw/zinc/14/51/56/657145156.db2.gz NIWRQTOKVBAWBP-QGZVFWFLSA-N 0 1 319.474 3.099 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccccc2Cl)C1 ZINC001000762027 657151047 /nfs/dbraw/zinc/15/10/47/657151047.db2.gz ICGSJKMNWGRQKU-LBPRGKRZSA-N 0 1 313.228 3.287 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2occ3c2CCCC3)C1 ZINC001000791505 657153504 /nfs/dbraw/zinc/15/35/04/657153504.db2.gz VZHWAYVKYZANIV-CQSZACIVSA-N 0 1 322.836 3.105 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001046373689 657154331 /nfs/dbraw/zinc/15/43/31/657154331.db2.gz GEHBMCBWQOGGOQ-SFHVURJKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2cc3ccncc3s2)C1 ZINC001046378058 657154938 /nfs/dbraw/zinc/15/49/38/657154938.db2.gz RWVYXWUHUUKLRF-QGZVFWFLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3ccncc3s2)C1 ZINC001046378058 657154940 /nfs/dbraw/zinc/15/49/40/657154940.db2.gz RWVYXWUHUUKLRF-QGZVFWFLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(CC)cc2)C1 ZINC001000833427 657157350 /nfs/dbraw/zinc/15/73/50/657157350.db2.gz ULLIIRXIPDMTHT-INIZCTEOSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2cccs2)C1 ZINC001000882727 657160536 /nfs/dbraw/zinc/16/05/36/657160536.db2.gz RGOKENPEYRBVDD-CYBMUJFWSA-N 0 1 312.866 3.014 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3ccccc3cc2F)C1 ZINC001046477046 657168189 /nfs/dbraw/zinc/16/81/89/657168189.db2.gz NXNGXJQUSCIIBS-LJQANCHMSA-N 0 1 312.388 3.359 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001046518650 657171599 /nfs/dbraw/zinc/17/15/99/657171599.db2.gz SCBNCBCAXONUSD-FQEVSTJZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001046523792 657172534 /nfs/dbraw/zinc/17/25/34/657172534.db2.gz HSFZSCMVFIOIBG-IBGZPJMESA-N 0 1 311.429 3.247 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001001007819 657173171 /nfs/dbraw/zinc/17/31/71/657173171.db2.gz SVXILSMTIWIBJP-QDIHITRGSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001046537546 657173890 /nfs/dbraw/zinc/17/38/90/657173890.db2.gz WQEHWAHSVUPJRQ-SFHVURJKSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001046644060 657191825 /nfs/dbraw/zinc/19/18/25/657191825.db2.gz HTIXNWXUJGJUOK-HXUWFJFHSA-N 0 1 324.399 3.196 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(CC)c(CC)c2)CC1 ZINC001001502351 657228295 /nfs/dbraw/zinc/22/82/95/657228295.db2.gz PUYWWKFRWHSFRI-UHFFFAOYSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2csc3c2CCCC3)C1 ZINC001046819697 657229574 /nfs/dbraw/zinc/22/95/74/657229574.db2.gz ZYNRGEOUVJFAHP-QGZVFWFLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001046818594 657229954 /nfs/dbraw/zinc/22/99/54/657229954.db2.gz ODVAKQDUFIBTAG-LJQANCHMSA-N 0 1 316.445 3.102 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)C2CCC(CCCC)CC2)C1 ZINC001046822234 657231044 /nfs/dbraw/zinc/23/10/44/657231044.db2.gz MOLWQVBJZSBXGW-FAFZWHIHSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001046829202 657232934 /nfs/dbraw/zinc/23/29/34/657232934.db2.gz JWYLBERUYYRGNC-FQEVSTJZSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2cccc(C)c2C)C1 ZINC001046991796 657250111 /nfs/dbraw/zinc/25/01/11/657250111.db2.gz MSPOLGXZKMTJRV-OAHLLOKOSA-N 0 1 322.399 3.176 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001046990275 657250445 /nfs/dbraw/zinc/25/04/45/657250445.db2.gz QEKOKSLBROKDJZ-RBSFLKMASA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc4occc4s3)[C@@H]2C1 ZINC001050137914 657256795 /nfs/dbraw/zinc/25/67/95/657256795.db2.gz JDZVFQHQLHTNOU-QWHCGFSZSA-N 0 1 316.426 3.217 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)[C@@H]2C1 ZINC001050172678 657264086 /nfs/dbraw/zinc/26/40/86/657264086.db2.gz NKXZFUBMSIQYTH-CADBVGFASA-N 0 1 316.489 3.005 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3scnc3c2)CC1 ZINC000983530239 657279756 /nfs/dbraw/zinc/27/97/56/657279756.db2.gz GHGKQJQGTUDLCU-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001050429912 657306243 /nfs/dbraw/zinc/30/62/43/657306243.db2.gz NLHMNGROMHPDRU-HNNXBMFYSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@]3(C)CC=CCC3)C2)CC1 ZINC001050531273 657320685 /nfs/dbraw/zinc/32/06/85/657320685.db2.gz JUOAEPSFVWAGKX-KRWDZBQOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(C)CCCC3)C2)CC1 ZINC001050609018 657330108 /nfs/dbraw/zinc/33/01/08/657330108.db2.gz YLPHIYYHLWXCHC-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CC[C@H](C)C3)C2)CC1 ZINC001050623444 657332235 /nfs/dbraw/zinc/33/22/35/657332235.db2.gz MRXPZHGTJBKUAE-GOEBONIOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCC[C@@H]3CC)C2)CC1 ZINC001050651227 657337861 /nfs/dbraw/zinc/33/78/61/657337861.db2.gz KAWALCCLRKTWEY-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCCC[C@@H]3C)cccc2C1 ZINC001051298624 657383373 /nfs/dbraw/zinc/38/33/73/657383373.db2.gz ZRIASKTUWMAXPP-QFBILLFUSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CC[C@@H](C)C3)cccc2C1 ZINC001051302099 657384422 /nfs/dbraw/zinc/38/44/22/657384422.db2.gz RGYATMRRVQDZOT-CVEARBPZSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968354492 657392409 /nfs/dbraw/zinc/39/24/09/657392409.db2.gz UHMXDKDIIFIRLZ-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H](C)N2CCC[C@@H](C)C2)cc1 ZINC000249346596 657399783 /nfs/dbraw/zinc/39/97/83/657399783.db2.gz UUZAQGAOAGQNQQ-IAGOWNOFSA-N 0 1 313.445 3.362 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccsc2Cl)C1 ZINC000968386402 657402651 /nfs/dbraw/zinc/40/26/51/657402651.db2.gz IIEOPJGKHCUCQF-YPMHNXCESA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(CC)cc2)C1 ZINC000957348137 657405093 /nfs/dbraw/zinc/40/50/93/657405093.db2.gz QZVDDJARGDTUAA-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC000957354286 657405771 /nfs/dbraw/zinc/40/57/71/657405771.db2.gz PHRZEYXUVCCYPH-UHFFFAOYSA-N 0 1 310.441 3.370 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968405436 657407129 /nfs/dbraw/zinc/40/71/29/657407129.db2.gz LPMXUECDNLZODI-YJBCQJRQSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(CC)o2)C1 ZINC000968538088 657422489 /nfs/dbraw/zinc/42/24/89/657422489.db2.gz HWBVEXWQPIBYPN-HIFRSBDPSA-N 0 1 304.434 3.167 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968554139 657426252 /nfs/dbraw/zinc/42/62/52/657426252.db2.gz TXKZLBZWIXMUPB-KXBFYZLASA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968560602 657426452 /nfs/dbraw/zinc/42/64/52/657426452.db2.gz DPBFOBYGYYSFNC-DOMZBBRYSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2c(F)cccc2Cl)C1 ZINC000968582649 657433007 /nfs/dbraw/zinc/43/30/07/657433007.db2.gz AYYXIJHIAAEADK-WBMJQRKESA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2c(F)cccc2Cl)C1 ZINC000968582647 657433359 /nfs/dbraw/zinc/43/33/59/657433359.db2.gz AYYXIJHIAAEADK-LRDDRELGSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592905 657435276 /nfs/dbraw/zinc/43/52/76/657435276.db2.gz QGTUOQUXWHIJNZ-MEDUHNTESA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592905 657435280 /nfs/dbraw/zinc/43/52/80/657435280.db2.gz QGTUOQUXWHIJNZ-MEDUHNTESA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC000968596272 657436366 /nfs/dbraw/zinc/43/63/66/657436366.db2.gz IPJCLVTVVTWUBR-WBMJQRKESA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccccc2OCC)C1 ZINC000968602034 657438816 /nfs/dbraw/zinc/43/88/16/657438816.db2.gz OISHNOVKQFZMLE-DOTOQJQBSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968610385 657442116 /nfs/dbraw/zinc/44/21/16/657442116.db2.gz PEAGIJRJNCXVJU-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC000968648396 657450518 /nfs/dbraw/zinc/45/05/18/657450518.db2.gz SYLQDMHIRVXORT-HNAYVOBHSA-N 0 1 323.440 3.308 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2sccc2C(C)C)C1 ZINC000968677412 657454058 /nfs/dbraw/zinc/45/40/58/657454058.db2.gz CWYPQKUKHTZYGX-GOEBONIOSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968681393 657455888 /nfs/dbraw/zinc/45/58/88/657455888.db2.gz IWLAGQOXBYMKIV-RHSMWYFYSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C3CC3)c2)C1 ZINC000968689406 657459430 /nfs/dbraw/zinc/45/94/30/657459430.db2.gz XUGZVDKDZKDMMT-KXBFYZLASA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC000968703493 657464007 /nfs/dbraw/zinc/46/40/07/657464007.db2.gz WABYZSVTENVDDC-BEFAXECRSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC000968708515 657465472 /nfs/dbraw/zinc/46/54/72/657465472.db2.gz BWUIAMKCUOAWNC-KGLIPLIRSA-N 0 1 321.490 3.283 20 30 DGEDMN O=C(NC[C@@H]1CCCCCN1CC#Cc1ccccc1)C1CCC1 ZINC000968727352 657471951 /nfs/dbraw/zinc/47/19/51/657471951.db2.gz RYRLYSORXNHJOS-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cscc1C ZINC000968803938 657492173 /nfs/dbraw/zinc/49/21/73/657492173.db2.gz MDJQWWDLWLJVSC-HNNXBMFYSA-N 0 1 304.459 3.054 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(F)c1C ZINC000968868688 657505235 /nfs/dbraw/zinc/50/52/35/657505235.db2.gz AZTPAAALCULMMU-MRXNPFEDSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)sc1C ZINC000968938953 657518637 /nfs/dbraw/zinc/51/86/37/657518637.db2.gz XTGAVIIDOSGJLY-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC000968971466 657525128 /nfs/dbraw/zinc/52/51/28/657525128.db2.gz LWSLWLCHQFJQQK-KRWDZBQOSA-N 0 1 323.440 3.084 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCCC1(C)C ZINC000968990299 657529211 /nfs/dbraw/zinc/52/92/11/657529211.db2.gz AJKCIQIZRPCBTN-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC000969019409 657536617 /nfs/dbraw/zinc/53/66/17/657536617.db2.gz BINOCWOULHOLQL-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C12CCC(CC1)C2(C)C ZINC000969062288 657547424 /nfs/dbraw/zinc/54/74/24/657547424.db2.gz LAZSPLYGPPBTLG-OHTSDLOESA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000985232565 657581852 /nfs/dbraw/zinc/58/18/52/657581852.db2.gz GPHFOQZRSWMURM-UHFFFAOYSA-N 0 1 310.800 3.115 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC000985358445 657608977 /nfs/dbraw/zinc/60/89/77/657608977.db2.gz YDEGBYKKBUXUAQ-ZSHCYNCHSA-N 0 1 310.869 3.098 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001007625189 657623321 /nfs/dbraw/zinc/62/33/21/657623321.db2.gz QSPHVDWKMRJIQU-GUDVDZBRSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001007652929 657624970 /nfs/dbraw/zinc/62/49/70/657624970.db2.gz ZPUCFIQQNZRUFA-HRCADAONSA-N 0 1 304.459 3.008 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2-c2ccncc2)C1 ZINC001007649482 657625859 /nfs/dbraw/zinc/62/58/59/657625859.db2.gz LDCSSJIHLAFNET-KRWDZBQOSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001007699958 657629283 /nfs/dbraw/zinc/62/92/83/657629283.db2.gz RDHGXPSLJIATQT-NKELODKYSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(CC)c3ccccc23)C1 ZINC001007844690 657639677 /nfs/dbraw/zinc/63/96/77/657639677.db2.gz KRDFFUJFARRQMB-OAHLLOKOSA-N 0 1 311.429 3.041 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001007859798 657640224 /nfs/dbraw/zinc/64/02/24/657640224.db2.gz QAUMJZVUOPMHDO-GOSISDBHSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2c(C)coc2C)CC1 ZINC000985413720 657648559 /nfs/dbraw/zinc/64/85/59/657648559.db2.gz RKACNQHXFZYMCV-UHFFFAOYSA-N 0 1 310.825 3.185 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001008084371 657658611 /nfs/dbraw/zinc/65/86/11/657658611.db2.gz AFKIXTPUHDGXLI-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cccc(C)c2F)CC1 ZINC000985448540 657678684 /nfs/dbraw/zinc/67/86/84/657678684.db2.gz QNSMSTCOGLXORV-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC(F)(F)C2)CC1 ZINC000985460705 657692177 /nfs/dbraw/zinc/69/21/77/657692177.db2.gz DIISVBOGUOFQNX-GFCCVEGCSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001008572374 657705683 /nfs/dbraw/zinc/70/56/83/657705683.db2.gz XRVYGZLEJLCSSJ-MRXNPFEDSA-N 0 1 313.445 3.038 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001008688306 657721364 /nfs/dbraw/zinc/72/13/64/657721364.db2.gz KWYNIXNNQKAJNT-OAHLLOKOSA-N 0 1 316.445 3.103 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001008711511 657723999 /nfs/dbraw/zinc/72/39/99/657723999.db2.gz SQCRZKRENHLFSG-HOTGVXAUSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@]2(C)CCCc3ccccc32)C1 ZINC001008885466 657735875 /nfs/dbraw/zinc/73/58/75/657735875.db2.gz AGKADHAIHVIYSJ-PXNSSMCTSA-N 0 1 312.457 3.047 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001008896440 657737774 /nfs/dbraw/zinc/73/77/74/657737774.db2.gz WAYXBIHTCOICAW-CRAIPNDOSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001008962849 657742242 /nfs/dbraw/zinc/74/22/42/657742242.db2.gz RBVJUHRPUWPCMK-FXAWDEMLSA-N 0 1 312.457 3.121 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001009200252 657755495 /nfs/dbraw/zinc/75/54/95/657755495.db2.gz GAMZUGKAWHLEOB-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001009297178 657763889 /nfs/dbraw/zinc/76/38/89/657763889.db2.gz WPNKVCFVDZEVSF-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001009312769 657768405 /nfs/dbraw/zinc/76/84/05/657768405.db2.gz NPTMJPAKRIKOGT-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCC=CCCC1 ZINC000960275289 657770460 /nfs/dbraw/zinc/77/04/60/657770460.db2.gz BKSREYDAUWHZJR-NSISKUIASA-N 0 1 322.452 3.128 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001029828493 657771894 /nfs/dbraw/zinc/77/18/94/657771894.db2.gz BMQGBICSQSUWRD-RHSMWYFYSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2CCC(C(F)F)CC2)C1 ZINC001009371403 657773432 /nfs/dbraw/zinc/77/34/32/657773432.db2.gz OXQOTEVPNFVZCH-NRXISQOPSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001009373564 657774830 /nfs/dbraw/zinc/77/48/30/657774830.db2.gz WYJOLXDAGXVPAE-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(C(F)F)CCC2)CC1 ZINC000985596416 657778934 /nfs/dbraw/zinc/77/89/34/657778934.db2.gz SMOSRKDHGUWPEX-UHFFFAOYSA-N 0 1 320.811 3.097 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(C)C(C)(C)C1(C)C ZINC000960332351 657779828 /nfs/dbraw/zinc/77/98/28/657779828.db2.gz HFWMUEDWRWNKHR-IAGOWNOFSA-N 0 1 324.468 3.064 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC001009419226 657782996 /nfs/dbraw/zinc/78/29/96/657782996.db2.gz RPLARTLYYFDXAZ-QGZVFWFLSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC000985621758 657786207 /nfs/dbraw/zinc/78/62/07/657786207.db2.gz SQQISXOFAJRTRW-QGZVFWFLSA-N 0 1 321.490 3.168 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001009643346 657802496 /nfs/dbraw/zinc/80/24/96/657802496.db2.gz OMNDTPBVRYBTLT-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001009649517 657805451 /nfs/dbraw/zinc/80/54/51/657805451.db2.gz KHVPYNICBLAWPD-IEBWSBKVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCN2CCc2ccccc2)CC1 ZINC001038659645 657813925 /nfs/dbraw/zinc/81/39/25/657813925.db2.gz AAHDOXPMPZCVNZ-LJQANCHMSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1ccccc1F ZINC000960793166 657876945 /nfs/dbraw/zinc/87/69/45/657876945.db2.gz YWPSVWOUUUWSCQ-INIZCTEOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C(C)(C)F)C2)C1 ZINC000961093249 657945439 /nfs/dbraw/zinc/94/54/39/657945439.db2.gz WGMDUNKVUQBCKN-UONOGXRCSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3(C)CCC3)C2)C1 ZINC000961229335 657983826 /nfs/dbraw/zinc/98/38/26/657983826.db2.gz MAKCWOYNBMKHID-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C2CCC2)C1 ZINC001029916882 657993733 /nfs/dbraw/zinc/99/37/33/657993733.db2.gz FGRYBRHQFCDFBM-FQEVSTJZSA-N 0 1 324.468 3.303 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sc(C)cc2C)[C@H]1C ZINC000986332072 658038885 /nfs/dbraw/zinc/03/88/85/658038885.db2.gz FKRYIUKSQBDZOT-STQMWFEESA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(F)ccc2CC)[C@H]1C ZINC000986474268 658053208 /nfs/dbraw/zinc/05/32/08/658053208.db2.gz BTFJBWGPQOEBQW-LRDDRELGSA-N 0 1 324.827 3.333 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CCC3(CC3)CC2)[C@H]1C ZINC000986569317 658070410 /nfs/dbraw/zinc/07/04/10/658070410.db2.gz LAXOPXODAYACEO-ZFWWWQNUSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCCN1CC[C@H](NC(=O)c2scnc2C2CCCC2)C1 ZINC001015138716 658090399 /nfs/dbraw/zinc/09/03/99/658090399.db2.gz OECCLYACRKNJDH-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc(C(F)F)c2)[C@H]1C ZINC000986733860 658094185 /nfs/dbraw/zinc/09/41/85/658094185.db2.gz JCBGQYDRROCMSI-GXSJLCMTSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccoc2C2CC2)[C@@H]1C ZINC000986737987 658095908 /nfs/dbraw/zinc/09/59/08/658095908.db2.gz ZSGLSOMOHJNUOS-RISCZKNCSA-N 0 1 308.809 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001015441450 658121335 /nfs/dbraw/zinc/12/13/35/658121335.db2.gz MIEFKFUAVGANKR-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001015470471 658123038 /nfs/dbraw/zinc/12/30/38/658123038.db2.gz GRLLOZAWPIXATE-AWEZNQCLSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001015512871 658126559 /nfs/dbraw/zinc/12/65/59/658126559.db2.gz WYGGNCLCBJROES-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(OCC)c2)[C@@H]1C ZINC000987065080 658149124 /nfs/dbraw/zinc/14/91/24/658149124.db2.gz HQUVUPLPDXVLIN-CZUORRHYSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)cc2F)[C@H]1C ZINC000987061941 658149209 /nfs/dbraw/zinc/14/92/09/658149209.db2.gz KWMQEFGNALYBGB-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CCC(F)(F)CC2)[C@@H]1C ZINC000987067842 658150066 /nfs/dbraw/zinc/15/00/66/658150066.db2.gz ZHVHUBBJFDBHAV-DGCLKSJQSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3sccc3[nH]2)[C@H]1C ZINC000987308754 658191000 /nfs/dbraw/zinc/19/10/00/658191000.db2.gz DYAZGOKYNFVZTE-QWRGUYRKSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc(C)ccc2F)[C@@H]1C ZINC000987385029 658197140 /nfs/dbraw/zinc/19/71/40/658197140.db2.gz MISLLVGYGGHBAY-CZUORRHYSA-N 0 1 324.827 3.008 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001015985618 658214802 /nfs/dbraw/zinc/21/48/02/658214802.db2.gz ADEDVMHLZVZREW-OAHLLOKOSA-N 0 1 306.837 3.283 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cccs2)[C@H]1C ZINC000987490454 658219700 /nfs/dbraw/zinc/21/97/00/658219700.db2.gz AZTQVPNXPAAFGQ-JSGCOSHPSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccccc3[nH]2)[C@@H]1C ZINC000987497846 658221710 /nfs/dbraw/zinc/22/17/10/658221710.db2.gz ZKDGSFFAZWEROA-TZMCWYRMSA-N 0 1 317.820 3.113 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2cccc(C(=O)[O-])c2)C2CC2)cc1 ZINC000263405968 658225263 /nfs/dbraw/zinc/22/52/63/658225263.db2.gz JTBIEBZCGQJDGZ-UHFFFAOYSA-N 0 1 306.365 3.421 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001016075466 658231418 /nfs/dbraw/zinc/23/14/18/658231418.db2.gz QJVLBFBBYFUTEJ-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001016175557 658248663 /nfs/dbraw/zinc/24/86/63/658248663.db2.gz XFWONDXUZRJQEF-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001016310748 658265429 /nfs/dbraw/zinc/26/54/29/658265429.db2.gz PCNUWCUSQUOWMU-CYBMUJFWSA-N 0 1 306.475 3.426 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cccc(F)c2)CC1 ZINC001016482249 658290984 /nfs/dbraw/zinc/29/09/84/658290984.db2.gz NVHMYPVLWNOURH-OAHLLOKOSA-N 0 1 322.811 3.163 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@@H]2C=CCC2)CC1 ZINC001016497336 658294381 /nfs/dbraw/zinc/29/43/81/658294381.db2.gz FSWCNOSVOPIHQY-CABCVRRESA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001016871863 658346678 /nfs/dbraw/zinc/34/66/78/658346678.db2.gz CIWDCURWGLXWBR-ARFHVFGLSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H]2CC23CCCC3)CC1 ZINC001016904364 658349308 /nfs/dbraw/zinc/34/93/08/658349308.db2.gz AQPUWLHOJLNJJZ-CABCVRRESA-N 0 1 322.880 3.290 20 30 DGEDMN CC(C(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@@H]1C)=C1CCC1 ZINC000988818928 658408151 /nfs/dbraw/zinc/40/81/51/658408151.db2.gz KUZRPMHRHPAYOZ-LIRRHRJNSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3c(o2)CCCC3)C1 ZINC000988944915 658418617 /nfs/dbraw/zinc/41/86/17/658418617.db2.gz BMMMOHYPVICGDE-TZMCWYRMSA-N 0 1 322.836 3.104 20 30 DGEDMN N#Cc1ccc(OCCCSc2nc(C3CC3)n[nH]2)cc1 ZINC000192450674 658422880 /nfs/dbraw/zinc/42/28/80/658422880.db2.gz YUPCMBRPMUXCLV-UHFFFAOYSA-N 0 1 300.387 3.115 20 30 DGEDMN N#Cc1ccc(OCCCSc2n[nH]c(C3CC3)n2)cc1 ZINC000192450674 658422883 /nfs/dbraw/zinc/42/28/83/658422883.db2.gz YUPCMBRPMUXCLV-UHFFFAOYSA-N 0 1 300.387 3.115 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2cccc(CC)c2)C1 ZINC000989055258 658429909 /nfs/dbraw/zinc/42/99/09/658429909.db2.gz GWJOCPJOXDQUJM-PBHICJAKSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(Cc2ccccc2)CC1 ZINC001017626387 658446205 /nfs/dbraw/zinc/44/62/05/658446205.db2.gz KPWQLFWSTWBEIE-KDURUIRLSA-N 0 1 324.468 3.261 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cc(C)cc1F ZINC001017720970 658454462 /nfs/dbraw/zinc/45/44/62/658454462.db2.gz PZNJQXWNDNZHEF-OKILXGFUSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966259063 658458343 /nfs/dbraw/zinc/45/83/43/658458343.db2.gz BYBGGZFIKINHPK-WBMJQRKESA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966259060 658458538 /nfs/dbraw/zinc/45/85/38/658458538.db2.gz BYBGGZFIKINHPK-LRDDRELGSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3occc3s2)C1 ZINC000989439543 658484041 /nfs/dbraw/zinc/48/40/41/658484041.db2.gz CPXMLXGWWPGOGL-MNOVXSKESA-N 0 1 324.833 3.439 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc[nH]c2C2CCC2)C1 ZINC000989446997 658487345 /nfs/dbraw/zinc/48/73/45/658487345.db2.gz GCKDXUHNVMWRFS-GXTWGEPZSA-N 0 1 321.852 3.227 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C(C)C)cn2)C1 ZINC000989450761 658489164 /nfs/dbraw/zinc/48/91/64/658489164.db2.gz PGXQCBUTSGIVIW-UKRRQHHQSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc3c(o2)CCCC3)C1 ZINC000966660913 658489663 /nfs/dbraw/zinc/48/96/63/658489663.db2.gz FAPYPOAJFUTINF-GOEBONIOSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC000966723066 658492819 /nfs/dbraw/zinc/49/28/19/658492819.db2.gz NJXMUVWOYORYQM-GMTUCVLRSA-N 0 1 316.489 3.071 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966726976 658494748 /nfs/dbraw/zinc/49/47/48/658494748.db2.gz WXCYHSDVKOHSJS-DYVFJYSZSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cc(C)oc1C ZINC001018070045 658499637 /nfs/dbraw/zinc/49/96/37/658499637.db2.gz ZVXIOTAJOIHQIL-BHYGNILZSA-N 0 1 316.445 3.251 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(Cl)cs2)C1 ZINC000989512214 658504744 /nfs/dbraw/zinc/50/47/44/658504744.db2.gz ZXFLLHNENMXYGG-KOLCDFICSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cnccc2c1 ZINC001018148363 658507326 /nfs/dbraw/zinc/50/73/26/658507326.db2.gz YQSKOBRFJZUSLG-KDURUIRLSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(CC)o1 ZINC001018166039 658509031 /nfs/dbraw/zinc/50/90/31/658509031.db2.gz CJAPUTALQZDOIL-IYBDPMFKSA-N 0 1 316.445 3.405 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc3ccc(C)nc32)C1 ZINC000966900083 658510567 /nfs/dbraw/zinc/51/05/67/658510567.db2.gz PXSIREWAIUFAQU-RDTXWAMCSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CC2)s1 ZINC001018174404 658511287 /nfs/dbraw/zinc/51/12/87/658511287.db2.gz CHRLJAPCQHLXMV-GASCZTMLSA-N 0 1 316.470 3.490 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC000966914386 658513260 /nfs/dbraw/zinc/51/32/60/658513260.db2.gz MISLKDWZLNRABO-LIRRHRJNSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(Cl)c1 ZINC001018207529 658517194 /nfs/dbraw/zinc/51/71/94/658517194.db2.gz GNOBZSAMOIMFHD-IYBDPMFKSA-N 0 1 304.821 3.205 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(Cl)cc1 ZINC001018226607 658520606 /nfs/dbraw/zinc/52/06/06/658520606.db2.gz MZLOPXHNEPENIG-CALCHBBNSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c2ccc(C)cc12 ZINC001018319041 658536145 /nfs/dbraw/zinc/53/61/45/658536145.db2.gz JKYRKBNFZGTBFD-IYBDPMFKSA-N 0 1 323.440 3.341 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1CC(C)C ZINC001018325699 658537615 /nfs/dbraw/zinc/53/76/15/658537615.db2.gz URZNVLSZROBMRV-KDURUIRLSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CF)cc1 ZINC001018325745 658537780 /nfs/dbraw/zinc/53/77/80/658537780.db2.gz WHTZWHYJWOKAEC-CALCHBBNSA-N 0 1 302.393 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccoc2CCC)C1 ZINC000989675282 658539609 /nfs/dbraw/zinc/53/96/09/658539609.db2.gz GUEBBXHTQUTMKD-QWHCGFSZSA-N 0 1 310.825 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC000989685576 658540719 /nfs/dbraw/zinc/54/07/19/658540719.db2.gz DAMYCEUKTKGIEU-HIFRSBDPSA-N 0 1 323.868 3.158 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccc(F)cc2F)CC1 ZINC000989703539 658546227 /nfs/dbraw/zinc/54/62/27/658546227.db2.gz DODVBLRLHSBMIY-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC000989725013 658549832 /nfs/dbraw/zinc/54/98/32/658549832.db2.gz QTAKAVHESOSVQY-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC000989725013 658549836 /nfs/dbraw/zinc/54/98/36/658549836.db2.gz QTAKAVHESOSVQY-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC000967227943 658550763 /nfs/dbraw/zinc/55/07/63/658550763.db2.gz GNXRTNPONDLMGX-SUMWQHHRSA-N 0 1 304.409 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)ccc2C)C1 ZINC000989722737 658555900 /nfs/dbraw/zinc/55/59/00/658555900.db2.gz LNAQRUZQPBAYBY-CABCVRRESA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2occ3c2CCCC3)C1 ZINC000989726016 658557482 /nfs/dbraw/zinc/55/74/82/658557482.db2.gz LXOGCNNQSVWCAQ-OCCSQVGLSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(OCC)cc2)C1 ZINC000989740051 658561613 /nfs/dbraw/zinc/56/16/13/658561613.db2.gz QPHFDDXQKKIWAP-HIFRSBDPSA-N 0 1 322.836 3.030 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2oc3c(cccc3C)c2C)CC1 ZINC000989738906 658562337 /nfs/dbraw/zinc/56/23/37/658562337.db2.gz KTYXHKUBAOJTCH-UHFFFAOYSA-N 0 1 324.424 3.221 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)Cc2ccccc2C)CC1 ZINC000989757981 658563226 /nfs/dbraw/zinc/56/32/26/658563226.db2.gz BCWXCYPNCUFHEL-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)c(C)s2)C1 ZINC000989765480 658566581 /nfs/dbraw/zinc/56/65/81/658566581.db2.gz IFYOVNDZFSZUQL-WCQYABFASA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2cccs2)C1 ZINC000989776063 658574857 /nfs/dbraw/zinc/57/48/57/658574857.db2.gz KEQIZYSXWIDZOR-STQMWFEESA-N 0 1 312.866 3.012 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC000967406304 658576952 /nfs/dbraw/zinc/57/69/52/658576952.db2.gz AFLYOZJCNSUPOQ-KUHUBIRLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c3cccnc23)C1 ZINC000967410090 658577350 /nfs/dbraw/zinc/57/73/50/658577350.db2.gz YSCMWKRBBJSOOR-CRAIPNDOSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2ccccc2F)C1 ZINC000989783213 658577878 /nfs/dbraw/zinc/57/78/78/658577878.db2.gz RFEQUKFPYWZBJN-DZGCQCFKSA-N 0 1 324.827 3.090 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC000967413578 658578547 /nfs/dbraw/zinc/57/85/47/658578547.db2.gz BZBOUDPQAHUPJM-RDTXWAMCSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424404 658580216 /nfs/dbraw/zinc/58/02/16/658580216.db2.gz RJGHJPYXCVHJPU-KXBFYZLASA-N 0 1 312.457 3.499 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001019184092 658614326 /nfs/dbraw/zinc/61/43/26/658614326.db2.gz CIKGHFXXWJHFJJ-KRWDZBQOSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccc(C)cc2C)C1 ZINC001019208510 658616374 /nfs/dbraw/zinc/61/63/74/658616374.db2.gz MWYZVYWDURHVKY-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001019324075 658631064 /nfs/dbraw/zinc/63/10/64/658631064.db2.gz PYZCXWWSVOLTBS-ZDUSSCGKSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001019332207 658633498 /nfs/dbraw/zinc/63/34/98/658633498.db2.gz MSLVEWBGONPKNS-KSSFIOAISA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001019332719 658633662 /nfs/dbraw/zinc/63/36/62/658633662.db2.gz WLYUVZRZONVUQW-YJBOKZPZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001019332262 658634128 /nfs/dbraw/zinc/63/41/28/658634128.db2.gz NKPAFYZHMGGBAZ-WMLDXEAASA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001019494741 658651486 /nfs/dbraw/zinc/65/14/86/658651486.db2.gz JFCBJZRIAYPLEF-JZXOWHBKSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001019521185 658653047 /nfs/dbraw/zinc/65/30/47/658653047.db2.gz HUTWEAKPLDYFNJ-YOEHRIQHSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2coc(C(F)F)c2)C1 ZINC000968034980 658656330 /nfs/dbraw/zinc/65/63/30/658656330.db2.gz KJOGWFDPBRMVML-YPMHNXCESA-N 0 1 312.360 3.234 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968038144 658657044 /nfs/dbraw/zinc/65/70/44/658657044.db2.gz YPCKGYVEYNUESB-RHSMWYFYSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cc(C)cc(C)c2)C1 ZINC001019612676 658657588 /nfs/dbraw/zinc/65/75/88/658657588.db2.gz XGMMXMILBKUTKD-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC000968083759 658666072 /nfs/dbraw/zinc/66/60/72/658666072.db2.gz XAHRYTGVEHKROW-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968179198 658679149 /nfs/dbraw/zinc/67/91/49/658679149.db2.gz STDGMNSKJIPXON-LRDDRELGSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968191432 658680552 /nfs/dbraw/zinc/68/05/52/658680552.db2.gz NLNASULIGPZDQF-MLGOLLRUSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321210 658696525 /nfs/dbraw/zinc/69/65/25/658696525.db2.gz HFAFULVQGBGNCY-CRAIPNDOSA-N 0 1 312.457 3.364 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968349811 658706739 /nfs/dbraw/zinc/70/67/39/658706739.db2.gz OZCNEHAJSUCISF-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(Cl)sc1Cl ZINC001038350257 658744771 /nfs/dbraw/zinc/74/47/71/658744771.db2.gz ORCQRENWTBNEDV-VIFPVBQESA-N 0 1 319.257 3.435 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1nc(C(C)(C)C)cs1 ZINC001038365404 658746295 /nfs/dbraw/zinc/74/62/95/658746295.db2.gz CJJABVUTJFAMFF-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001040333386 658751886 /nfs/dbraw/zinc/75/18/86/658751886.db2.gz RFTMILNCXOICLX-UXHZXRBQSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3sccc3Cl)CCC[C@@H]12 ZINC000990792013 658752779 /nfs/dbraw/zinc/75/27/79/658752779.db2.gz PSTKLCGFHBCSJG-IUODEOHRSA-N 0 1 310.850 3.314 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3sccc3Cl)CCC[C@@H]12 ZINC000990792898 658753519 /nfs/dbraw/zinc/75/35/19/658753519.db2.gz WKOHFMOXXHJWCN-CZUORRHYSA-N 0 1 322.861 3.152 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCN2Cc2ccc(C)cc2)CC1 ZINC001038659815 658776278 /nfs/dbraw/zinc/77/62/78/658776278.db2.gz CJYVRIJQLKCDNG-LJQANCHMSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1scnc1C(C)C ZINC001038795223 658807070 /nfs/dbraw/zinc/80/70/70/658807070.db2.gz YJNBQDNIXUBPEL-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)OC(C)(C)C2)c1O ZINC000164207033 658921622 /nfs/dbraw/zinc/92/16/22/658921622.db2.gz CLFUXCUUTYIHSU-ZDUSSCGKSA-N 0 1 305.418 3.129 20 30 DGEDMN CCN(C(C)=O)c1nc(/C=C/C(=O)c2ccccc2O)cs1 ZINC000156604722 658928409 /nfs/dbraw/zinc/92/84/09/658928409.db2.gz YSYJSABHSPDVHE-CMDGGOBGSA-N 0 1 316.382 3.118 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001038951462 658962327 /nfs/dbraw/zinc/96/23/27/658962327.db2.gz YBJKSSIGDSVSAR-CQSZACIVSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1coc2ccc(Cl)cc12 ZINC001038969177 658968894 /nfs/dbraw/zinc/96/88/94/658968894.db2.gz XWBDQQDZMHDCAV-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1coc(C2CCCCC2)n1 ZINC001039069021 658992039 /nfs/dbraw/zinc/99/20/39/658992039.db2.gz YBSFQHZHRVTPSL-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C2CC=CC2)C1 ZINC001039209082 659040535 /nfs/dbraw/zinc/04/05/35/659040535.db2.gz INYNULPRWVSHFB-DLBZAZTESA-N 0 1 322.880 3.408 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@@H]1C ZINC000947750836 659063406 /nfs/dbraw/zinc/06/34/06/659063406.db2.gz RUMXRHFPZQQAJN-DZGCQCFKSA-N 0 1 316.445 3.101 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@@H]1C ZINC000947750836 659063414 /nfs/dbraw/zinc/06/34/14/659063414.db2.gz RUMXRHFPZQQAJN-DZGCQCFKSA-N 0 1 316.445 3.101 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2cc(-c3ccc(C)o3)n[nH]2)c1 ZINC000171324629 659274064 /nfs/dbraw/zinc/27/40/64/659274064.db2.gz HUHRFCPIWXMSMK-UHFFFAOYSA-N 0 1 305.337 3.236 20 30 DGEDMN CCS[C@H](C(=O)C(C#N)C(=O)Nc1cccc(C)c1)C(C)C ZINC000171365231 659277640 /nfs/dbraw/zinc/27/76/40/659277640.db2.gz PWMNERSAZUBBNI-HOCLYGCPSA-N 0 1 318.442 3.420 20 30 DGEDMN C#Cc1cccc(NC(=O)C[N@H+](C)C[C@H](C)c2ccccc2)c1 ZINC000172012351 659310939 /nfs/dbraw/zinc/31/09/39/659310939.db2.gz VPIWPIPZMOJXFE-INIZCTEOSA-N 0 1 306.409 3.342 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@H](C)c2ccccc2)c1 ZINC000172012351 659310943 /nfs/dbraw/zinc/31/09/43/659310943.db2.gz VPIWPIPZMOJXFE-INIZCTEOSA-N 0 1 306.409 3.342 20 30 DGEDMN Cc1ncsc1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000172378763 659332912 /nfs/dbraw/zinc/33/29/12/659332912.db2.gz XNAUIZVYYDVJTE-NSHDSACASA-N 0 1 319.773 3.066 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)/C(C)=C/C)C2 ZINC001045929971 659355177 /nfs/dbraw/zinc/35/51/77/659355177.db2.gz HYBHIXYXDBIIIN-XIYYVKAQSA-N 0 1 310.869 3.410 20 30 DGEDMN Cc1ccc(N2CC[C@@H](N[C@@H](C)c3ccc(C#N)cc3)C2=O)cc1 ZINC000173903835 659405469 /nfs/dbraw/zinc/40/54/69/659405469.db2.gz SVQOYQXQRNGLKT-HNAYVOBHSA-N 0 1 319.408 3.323 20 30 DGEDMN CCCc1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2)s1 ZINC000173966149 659406512 /nfs/dbraw/zinc/40/65/12/659406512.db2.gz LCVAKKDVIXDOEJ-GFCCVEGCSA-N 0 1 313.382 3.057 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C)c(Cl)c3)CCC[C@@H]12 ZINC000992171944 659483214 /nfs/dbraw/zinc/48/32/14/659483214.db2.gz PPCGZSXVNWDFQA-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccc(Cl)s3)CCC[C@@H]12 ZINC000992484242 659561749 /nfs/dbraw/zinc/56/17/49/659561749.db2.gz DXHKHEIXDAFLSY-CJNGLKHVSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc4cc(C)ccc4o3)CCC[C@H]12 ZINC000992493467 659563393 /nfs/dbraw/zinc/56/33/93/659563393.db2.gz IXADTHPIHALYSW-ICSRJNTNSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(C)CN1CC[C@@]2(NC(=O)c3ccc(F)s3)CCC[C@H]12 ZINC000992525716 659571258 /nfs/dbraw/zinc/57/12/58/659571258.db2.gz XHRKQTRMZFOLKC-BBRMVZONSA-N 0 1 308.422 3.190 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)c1 ZINC000929810255 659617720 /nfs/dbraw/zinc/61/77/20/659617720.db2.gz PZBCAWZDBOUZJM-CVEARBPZSA-N 0 1 313.426 3.103 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2sccc2C(C)C)C[C@@H]1C ZINC000948060737 659680009 /nfs/dbraw/zinc/68/00/09/659680009.db2.gz IYNORQUEUXFXQU-KBPBESRZSA-N 0 1 304.459 3.087 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2C)[C@@H]1C ZINC000993358823 659721316 /nfs/dbraw/zinc/72/13/16/659721316.db2.gz KKNAAGCWDXOZPC-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cscc2C(F)F)[C@@H]1C ZINC000993363490 659721447 /nfs/dbraw/zinc/72/14/47/659721447.db2.gz IUAPMEXGVRLLBO-GWCFXTLKSA-N 0 1 314.401 3.454 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)oc3ccccc32)[C@@H]1C ZINC000993370346 659722610 /nfs/dbraw/zinc/72/26/10/659722610.db2.gz VBGJKEOVOSUFLC-YOEHRIQHSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@H]1C ZINC000993370197 659723118 /nfs/dbraw/zinc/72/31/18/659723118.db2.gz VSGFDYPKKKAXNA-ZBFHGGJFSA-N 0 1 315.461 3.148 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3occc3c2)[C@@H]1C ZINC000993387347 659725725 /nfs/dbraw/zinc/72/57/25/659725725.db2.gz WRILUUZJNBYOGH-WMLDXEAASA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccoc2C(F)(F)F)[C@@H]1C ZINC000993403936 659728148 /nfs/dbraw/zinc/72/81/48/659728148.db2.gz ZGTWJHWFVZSAAR-CMPLNLGQSA-N 0 1 316.323 3.067 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C3CCC3)cc2)[C@H]1C ZINC000993400982 659728246 /nfs/dbraw/zinc/72/82/46/659728246.db2.gz XHTNLRAUDPSJIQ-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CCC)s2)[C@@H]1C ZINC000993408799 659728762 /nfs/dbraw/zinc/72/87/62/659728762.db2.gz HCNBIOLJWGXPKO-DZGCQCFKSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CCC)s2)[C@H]1C ZINC000993408808 659729492 /nfs/dbraw/zinc/72/94/92/659729492.db2.gz HCNBIOLJWGXPKO-UKRRQHHQSA-N 0 1 306.475 3.469 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2cccc3ccccc32)C1 ZINC001031295702 659741412 /nfs/dbraw/zinc/74/14/12/659741412.db2.gz TUFDSIQLQWWSLQ-UHFFFAOYSA-N 0 1 308.425 3.149 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)cc3ccoc32)[C@H]1C ZINC000993522571 659755165 /nfs/dbraw/zinc/75/51/65/659755165.db2.gz PSMIJCGISCMKQC-WBMJQRKESA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(COC)cs2)[C@H]1C ZINC000993535381 659757653 /nfs/dbraw/zinc/75/76/53/659757653.db2.gz JLJFITIYIJWKIB-HIFRSBDPSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)[C@H]1C ZINC000993561908 659763004 /nfs/dbraw/zinc/76/30/04/659763004.db2.gz ZSYRASJVZWUGAG-VQIMIIECSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2CCC)[C@H]1C ZINC000993565765 659764312 /nfs/dbraw/zinc/76/43/12/659764312.db2.gz RVZYSGRSCQPUAZ-QAPCUYQASA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(F)cc(C)cc2F)[C@H]1C ZINC000993566539 659764618 /nfs/dbraw/zinc/76/46/18/659764618.db2.gz SUGGFIVMFIPIQC-DOMZBBRYSA-N 0 1 308.372 3.042 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)[C@H]1C ZINC000993652073 659770669 /nfs/dbraw/zinc/77/06/69/659770669.db2.gz JBVWLECFCDFPBK-OXQOHEQNSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)cc(F)cc2C)[C@@H]1C ZINC000993669525 659773838 /nfs/dbraw/zinc/77/38/38/659773838.db2.gz BHRIZFUHEGRKDI-HOCLYGCPSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2C)[C@H]1C ZINC000993728228 659786039 /nfs/dbraw/zinc/78/60/39/659786039.db2.gz HKQUHBDEOQPIRJ-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(C)c2)[C@@H]1C ZINC000993729140 659786182 /nfs/dbraw/zinc/78/61/82/659786182.db2.gz JKBLUAKQPTXAQV-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)[C@H]1C ZINC000993910758 659802765 /nfs/dbraw/zinc/80/27/65/659802765.db2.gz YSJGHPWKIDOTGQ-RHSMWYFYSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)[C@@H]1C ZINC000993956464 659802807 /nfs/dbraw/zinc/80/28/07/659802807.db2.gz YWSLQOBZGGLKHQ-UYFTZEKXSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(F)c3)CC2)[C@@H]1C ZINC000993910768 659802853 /nfs/dbraw/zinc/80/28/53/659802853.db2.gz YSJGHPWKIDOTGQ-YOEHRIQHSA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(C3CC3)nc2C)[C@H]1C ZINC000993966449 659803399 /nfs/dbraw/zinc/80/33/99/659803399.db2.gz WMOZXZMYQZTUHK-UKRRQHHQSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC)cc2Cl)[C@H]1C ZINC000994010816 659809815 /nfs/dbraw/zinc/80/98/15/659809815.db2.gz QSMSGIRFRFQDGT-MLGOLLRUSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(C(C)C)n2)[C@@H]1C ZINC000994025276 659809970 /nfs/dbraw/zinc/80/99/70/659809970.db2.gz RKCVYWKGLCPVCX-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3nc(C)ccc3c2)[C@@H]1C ZINC000994054865 659810302 /nfs/dbraw/zinc/81/03/02/659810302.db2.gz OECWWRFPZZDWMV-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3scnc3c2)[C@@H]1C ZINC000994137628 659816564 /nfs/dbraw/zinc/81/65/64/659816564.db2.gz WJMRMIKIXLIPRU-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)c2ccoc2CCC)[C@@H]1C ZINC000994220251 659820853 /nfs/dbraw/zinc/82/08/53/659820853.db2.gz NOVSOYTYASISRA-HOCLYGCPSA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccoc2CCC)[C@@H]1C ZINC000994220251 659820854 /nfs/dbraw/zinc/82/08/54/659820854.db2.gz NOVSOYTYASISRA-HOCLYGCPSA-N 0 1 304.434 3.391 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@@H]1C ZINC000994272288 659829718 /nfs/dbraw/zinc/82/97/18/659829718.db2.gz FEQZJLVVRPIDRP-YJBOKZPZSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)[C@H]1C ZINC000994291316 659832197 /nfs/dbraw/zinc/83/21/97/659832197.db2.gz WJYHQSQSKQJWLA-MVJVIOSPSA-N 0 1 316.489 3.358 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@@H]1C ZINC000994353707 659843255 /nfs/dbraw/zinc/84/32/55/659843255.db2.gz QLWPKCUWPBRGHG-LRDDRELGSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@@H]1C ZINC000994353707 659843261 /nfs/dbraw/zinc/84/32/61/659843261.db2.gz QLWPKCUWPBRGHG-LRDDRELGSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)[C@H]1C ZINC000994402373 659851786 /nfs/dbraw/zinc/85/17/86/659851786.db2.gz OWHZXAJTJLNBOG-SJLPKXTDSA-N 0 1 317.477 3.455 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2C(C)C)[C@H]1C ZINC000994486973 659860713 /nfs/dbraw/zinc/86/07/13/659860713.db2.gz NPHOVTRGIHBAQK-ZBFHGGJFSA-N 0 1 318.486 3.478 20 30 DGEDMN N#CC1(CCCCN2CCN(Cc3cccnc3)CC2)CCC1 ZINC000930109709 659909772 /nfs/dbraw/zinc/90/97/72/659909772.db2.gz JWAUUUIIBNXFSE-UHFFFAOYSA-N 0 1 312.461 3.063 20 30 DGEDMN C=CCN1CC(CNC(=O)c2csc3cc(C)ccc23)C1 ZINC001031699342 659913796 /nfs/dbraw/zinc/91/37/96/659913796.db2.gz IUPZERJADFQGTR-UHFFFAOYSA-N 0 1 300.427 3.057 20 30 DGEDMN N#Cc1ccc(O)c(NS(=O)(=O)c2ccc3ccccc3c2)c1 ZINC000175685408 659927325 /nfs/dbraw/zinc/92/73/25/659927325.db2.gz UDHWZVBYUKNPRV-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN COCCOc1cccc(/C=C/C(=O)c2ccc(O)c(F)c2)c1 ZINC000170851771 659928149 /nfs/dbraw/zinc/92/81/49/659928149.db2.gz KOXMCCTZWCWGCH-FNORWQNLSA-N 0 1 316.328 3.453 20 30 DGEDMN O=C(/C=C/c1ccc2c(c1)OCCO2)c1ccc(O)c(F)c1 ZINC000170852013 659928590 /nfs/dbraw/zinc/92/85/90/659928590.db2.gz ABCHXULQLJZNTG-DAFODLJHSA-N 0 1 300.285 3.199 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccsc2Cl)C[C@@H]1C ZINC000939256830 659992879 /nfs/dbraw/zinc/99/28/79/659992879.db2.gz FFKFYDCLLPBOJC-KWQFWETISA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3ccccc3s2)C1 ZINC001031822047 660000309 /nfs/dbraw/zinc/00/03/09/660000309.db2.gz LNFUKSJRDMWICK-UHFFFAOYSA-N 0 1 300.427 3.139 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C3CC3)cc2)C[C@H]1C ZINC000939787332 660014600 /nfs/dbraw/zinc/01/46/00/660014600.db2.gz WMCNNXYGCYEPEH-SJKOYZFVSA-N 0 1 318.848 3.367 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)c(F)c(C)c2)C[C@@H]1C ZINC000939780093 660014800 /nfs/dbraw/zinc/01/48/00/660014800.db2.gz KOLMYTGOFPHYNZ-WFASDCNBSA-N 0 1 324.827 3.245 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2cncc(C)c2)CC1 ZINC000948268936 660054293 /nfs/dbraw/zinc/05/42/93/660054293.db2.gz HTQHCEGBIVSQRW-MRXNPFEDSA-N 0 1 321.852 3.069 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2scc(C)c2Cl)C(C)(C)C1 ZINC000940760534 660063288 /nfs/dbraw/zinc/06/32/88/660063288.db2.gz SJQZEVIWENCROR-GFCCVEGCSA-N 0 1 324.877 3.173 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3c2CCCC3)C(C)(C)C1 ZINC000940772624 660063889 /nfs/dbraw/zinc/06/38/89/660063889.db2.gz ZWVJLOCDYUKXRY-IBGZPJMESA-N 0 1 324.468 3.029 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc3c2CCCC3)C(C)(C)C1 ZINC000940772625 660064144 /nfs/dbraw/zinc/06/41/44/660064144.db2.gz ZWVJLOCDYUKXRY-LJQANCHMSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2nccc3ccccc32)C(C)(C)C1 ZINC000940988352 660078136 /nfs/dbraw/zinc/07/81/36/660078136.db2.gz RBGJDJSYKAZHPA-QGZVFWFLSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2nccc3ccccc32)C(C)(C)C1 ZINC000940988350 660078244 /nfs/dbraw/zinc/07/82/44/660078244.db2.gz RBGJDJSYKAZHPA-KRWDZBQOSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C(C)(C)C1 ZINC000941085885 660084724 /nfs/dbraw/zinc/08/47/24/660084724.db2.gz YBPQUTYQWRNCCE-LFDOHDQPSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc3cccnc3c2)C(C)(C)C1 ZINC000941106922 660086008 /nfs/dbraw/zinc/08/60/08/660086008.db2.gz DSUWXVGMFOQLLK-SFHVURJKSA-N 0 1 323.440 3.251 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(F)F)o2)C(C)(C)C1 ZINC000941190588 660092638 /nfs/dbraw/zinc/09/26/38/660092638.db2.gz FSQCFXCFJIONMU-AWEZNQCLSA-N 0 1 324.371 3.071 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC000941168452 660092761 /nfs/dbraw/zinc/09/27/61/660092761.db2.gz CZODIXKNJABBOT-WMZOPIPTSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(Cl)s2)C(C)(C)C1 ZINC000941198341 660093633 /nfs/dbraw/zinc/09/36/33/660093633.db2.gz GFDPMLHCUMNRQA-CYBMUJFWSA-N 0 1 324.877 3.255 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)C(C)(C)C1 ZINC000941225456 660096753 /nfs/dbraw/zinc/09/67/53/660096753.db2.gz DPFHGISHTUCPJN-MRXNPFEDSA-N 0 1 318.436 3.459 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C)c3ccccc23)C1 ZINC001032011961 660149311 /nfs/dbraw/zinc/14/93/11/660149311.db2.gz UDFJBOPWSSPBGI-UHFFFAOYSA-N 0 1 308.425 3.386 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@@H](C)C1 ZINC000942529079 660154955 /nfs/dbraw/zinc/15/49/55/660154955.db2.gz FIBHYNVYMXCIPW-MAUKXSAKSA-N 0 1 324.424 3.123 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C\Cl)C[C@@H]2C)CC1 ZINC000942600788 660164283 /nfs/dbraw/zinc/16/42/83/660164283.db2.gz VCZUQPBATPBRCN-MFOHWDLDSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H](C)C1 ZINC000942958192 660173731 /nfs/dbraw/zinc/17/37/31/660173731.db2.gz CVRXSGKIHNSONO-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN(CCc1ccns1)Cc1cccc([N+](=O)[O-])c1 ZINC000929365934 661301604 /nfs/dbraw/zinc/30/16/04/661301604.db2.gz VKVKOVGRIPSWPM-UHFFFAOYSA-N 0 1 303.387 3.282 20 30 DGEDMN CC[C@H]1CN(C)c2ccccc2C[N@H+]1Cc1cncc(C#N)c1 ZINC000929820814 661342014 /nfs/dbraw/zinc/34/20/14/661342014.db2.gz FTRDCEOCQFVSOY-SFHVURJKSA-N 0 1 306.413 3.184 20 30 DGEDMN CC[C@H]1CN(C)c2ccccc2CN1Cc1cncc(C#N)c1 ZINC000929820814 661342016 /nfs/dbraw/zinc/34/20/16/661342016.db2.gz FTRDCEOCQFVSOY-SFHVURJKSA-N 0 1 306.413 3.184 20 30 DGEDMN N#Cc1cccc([C@H]2CN(CC3CCC(C#N)CC3)CCO2)c1 ZINC000930136475 661369619 /nfs/dbraw/zinc/36/96/19/661369619.db2.gz VROKDIXWWVEAHH-LADRWXRNSA-N 0 1 309.413 3.262 20 30 DGEDMN CC[C@H](NCc1cncc(C#N)c1)c1ccc(OC)c(OC)c1 ZINC000930449403 661399379 /nfs/dbraw/zinc/39/93/79/661399379.db2.gz RTQSLPHVPWNUGY-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN Cc1c(C#N)cccc1NC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC000930457685 661400202 /nfs/dbraw/zinc/40/02/02/661400202.db2.gz FFNYUAOWCOPUTB-INIZCTEOSA-N 0 1 314.433 3.061 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000930481947 661402855 /nfs/dbraw/zinc/40/28/55/661402855.db2.gz UUBZNLDJXOQXHN-NSHDSACASA-N 0 1 300.362 3.021 20 30 DGEDMN COc1ccc([C@H](C)NCc2cncc(C#N)c2)c(C)c1OC ZINC000930491613 661404304 /nfs/dbraw/zinc/40/43/04/661404304.db2.gz FUWBUDGGIYCWFL-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN N#Cc1cncc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)c1 ZINC000930681137 661421381 /nfs/dbraw/zinc/42/13/81/661421381.db2.gz JWDIBHVFOJGHGO-IBGZPJMESA-N 0 1 321.424 3.337 20 30 DGEDMN CC[C@@](O)(CN(C)[C@@H](C)c1cccc(C#N)c1)C(F)(F)F ZINC000930764968 661428329 /nfs/dbraw/zinc/42/83/29/661428329.db2.gz RFIURPJITZKFRL-SMDDNHRTSA-N 0 1 300.324 3.254 20 30 DGEDMN Cc1nnsc1[C@H](C)N1CCC(c2ccc(C#N)cn2)CC1 ZINC000931113366 661453104 /nfs/dbraw/zinc/45/31/04/661453104.db2.gz PMPULUBKVJZVFB-LBPRGKRZSA-N 0 1 313.430 3.054 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC000931326589 661467829 /nfs/dbraw/zinc/46/78/29/661467829.db2.gz HOGQJNCZBMFBAT-UHFFFAOYSA-N 0 1 306.316 3.265 20 30 DGEDMN Cn1ccc([C@@H]2CCCN(CC#Cc3cccc(Cl)c3)C2)n1 ZINC000932134185 661540620 /nfs/dbraw/zinc/54/06/20/661540620.db2.gz ZCYVTHZVQDGRED-MRXNPFEDSA-N 0 1 313.832 3.305 20 30 DGEDMN CC(C)(C)OC[C@H]1CCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000932166823 661543796 /nfs/dbraw/zinc/54/37/96/661543796.db2.gz BCMQNQPOERWZNH-OAHLLOKOSA-N 0 1 317.389 3.246 20 30 DGEDMN CC(C)(C)OC[C@H]1CCCN1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000932166823 661543798 /nfs/dbraw/zinc/54/37/98/661543798.db2.gz BCMQNQPOERWZNH-OAHLLOKOSA-N 0 1 317.389 3.246 20 30 DGEDMN COc1ccc([C@H](C)NCC(=O)Nc2ccc(C#N)cc2)cc1C ZINC000932574003 661582149 /nfs/dbraw/zinc/58/21/49/661582149.db2.gz KIUJOTILVRDZSU-AWEZNQCLSA-N 0 1 323.396 3.165 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@H](Cc2nccs2)C1 ZINC000934333776 661697914 /nfs/dbraw/zinc/69/79/14/661697914.db2.gz RIPUQMNLUMKXDG-CQSZACIVSA-N 0 1 313.426 3.088 20 30 DGEDMN COc1ccc([C@H]2CCCN2CCOc2ccc(C#N)cc2)nc1 ZINC000934347865 661700771 /nfs/dbraw/zinc/70/07/71/661700771.db2.gz ZCUQTRPOEBLAHQ-LJQANCHMSA-N 0 1 323.396 3.178 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)N[C@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000935120231 661761598 /nfs/dbraw/zinc/76/15/98/661761598.db2.gz VMVIIVIDGPRGNX-RBUKOAKNSA-N 0 1 319.408 3.042 20 30 DGEDMN O=C(/C=C/c1cccc(-n2cccn2)c1)c1ccc(O)cc1O ZINC000157263236 661866514 /nfs/dbraw/zinc/86/65/14/661866514.db2.gz BLHHRMQNGTULCH-VMPITWQZSA-N 0 1 306.321 3.180 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C[C@@H]1C ZINC000947735942 661928054 /nfs/dbraw/zinc/92/80/54/661928054.db2.gz PRTDAJRNSOSRGA-RDJZCZTQSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C[C@H]2C=CCC2)CC1 ZINC000948173686 661957305 /nfs/dbraw/zinc/95/73/05/661957305.db2.gz RPQMADCSWLIYAE-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2(C3CC3)CC2)CC1 ZINC000948463021 661976631 /nfs/dbraw/zinc/97/66/31/661976631.db2.gz ATBUMIUNSULUOG-HNNXBMFYSA-N 0 1 310.869 3.242 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)N(C)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948687902 661990664 /nfs/dbraw/zinc/99/06/64/661990664.db2.gz FCQQVYKSDAJEJP-SXLOBPIMSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC12CC2 ZINC000948965980 662010223 /nfs/dbraw/zinc/01/02/23/662010223.db2.gz SWIHMOAKQJZWFQ-ROUUACIJSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC000949228718 662012873 /nfs/dbraw/zinc/01/28/73/662012873.db2.gz JVPRMPKJVPSODR-RTBURBONSA-N 0 1 312.457 3.291 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3ccccc3)CC2)cc1 ZINC000077012329 662014718 /nfs/dbraw/zinc/01/47/18/662014718.db2.gz WQXLLRVOYQEMSQ-UHFFFAOYSA-N 0 1 306.409 3.021 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc(NC(N)=O)cc1 ZINC000079000887 662094287 /nfs/dbraw/zinc/09/42/87/662094287.db2.gz LFENUWPNYDFUAV-AWEZNQCLSA-N 0 1 323.396 3.040 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc[nH]c2CCC)C1 ZINC000951214977 662120220 /nfs/dbraw/zinc/12/02/20/662120220.db2.gz URBBZKHBXVQUQV-UHFFFAOYSA-N 0 1 303.450 3.080 20 30 DGEDMN Cc1nc(-c2ccccc2)oc1C(=O)Nc1cc(C#N)ccc1O ZINC000080035250 662127914 /nfs/dbraw/zinc/12/79/14/662127914.db2.gz HGMYJWOPKZIODC-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(F)c(C)c2)C1 ZINC000951592653 662141720 /nfs/dbraw/zinc/14/17/20/662141720.db2.gz WWHHGOSDGRWJAP-UHFFFAOYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccn2C2CCCC2)CC1 ZINC000952442631 662190358 /nfs/dbraw/zinc/19/03/58/662190358.db2.gz YVFSOKCNFGEUDT-UHFFFAOYSA-N 0 1 315.461 3.327 20 30 DGEDMN CC(C)[C@H]1CC[C@H](C(=O)C(C#N)C(=O)Nc2ccccn2)CC1 ZINC000245559062 662236009 /nfs/dbraw/zinc/23/60/09/662236009.db2.gz UACZLSYNSFMUSN-KKUMJFAQSA-N 0 1 313.401 3.191 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000245944566 662244755 /nfs/dbraw/zinc/24/47/55/662244755.db2.gz UJNQNXLTRUXJCO-ANQUJSFKSA-N 0 1 316.445 3.361 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(O[C@@H](C)CC)cc2)CC1 ZINC000957097329 662349910 /nfs/dbraw/zinc/34/99/10/662349910.db2.gz CPLZAPPXEXXECQ-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc[nH]c2C2CCC2)[C@@H]1C ZINC000986971770 685355020 /nfs/dbraw/zinc/35/50/20/685355020.db2.gz NQFOYUCSXKPFLH-IUODEOHRSA-N 0 1 321.852 3.227 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1c(Cl)cccc1Cl ZINC001028283898 685379221 /nfs/dbraw/zinc/37/92/21/685379221.db2.gz RVAGDFZUKOUGGL-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)CC[C@H]1C ZINC001071513303 686672776 /nfs/dbraw/zinc/67/27/76/686672776.db2.gz DFKKTZOVSQDQLZ-ZBFHGGJFSA-N 0 1 315.461 3.148 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(CCC)s2)CC[C@H]1C ZINC001071541003 686683898 /nfs/dbraw/zinc/68/38/98/686683898.db2.gz ZAPKHXGPPXIEBK-CABCVRRESA-N 0 1 318.486 3.307 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(OCCC)c2)CC[C@H]1C ZINC001071543494 686684838 /nfs/dbraw/zinc/68/48/38/686684838.db2.gz LUIDQMXWYACURP-WBVHZDCISA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cc(C)cn3c2)CC[C@H]1C ZINC001071555772 686688241 /nfs/dbraw/zinc/68/82/41/686688241.db2.gz GNFDBGXFJIZDIS-NVXWUHKLSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3cc(F)ccc23)CC[C@@H]1C ZINC001071630688 686708408 /nfs/dbraw/zinc/70/84/08/686708408.db2.gz ATYRVBALKBDPKB-JSGCOSHPSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@H]1C ZINC001071686571 686723249 /nfs/dbraw/zinc/72/32/49/686723249.db2.gz WGCVBDSNYWNAGZ-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2C2CC2)CC[C@H]1C ZINC001071694770 686724471 /nfs/dbraw/zinc/72/44/71/686724471.db2.gz JQPQHRUSCKCTRG-IUODEOHRSA-N 0 1 317.433 3.019 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)onc2C2CC2)CC[C@@H]1C ZINC001071695254 686725685 /nfs/dbraw/zinc/72/56/85/686725685.db2.gz OAZQNOSXMUHPRR-WFASDCNBSA-N 0 1 317.433 3.019 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@H]1C ZINC001071701120 686727125 /nfs/dbraw/zinc/72/71/25/686727125.db2.gz CUIJJAHLWHBZLN-NVXWUHKLSA-N 0 1 310.441 3.088 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)CC[C@H]1C ZINC001071713061 686730745 /nfs/dbraw/zinc/73/07/45/686730745.db2.gz XYYFBPHCTTXZLD-HZPDHXFCSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)nc2C2CC2)CC[C@@H]1C ZINC001071715933 686731541 /nfs/dbraw/zinc/73/15/41/686731541.db2.gz MICKTNHXPOEILU-HOCLYGCPSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@@H]1C ZINC001071731444 686735488 /nfs/dbraw/zinc/73/54/88/686735488.db2.gz DNPHAPRVONBTQM-GOEBONIOSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccsc3c2)CC[C@@H]1C ZINC001071743151 686740688 /nfs/dbraw/zinc/74/06/88/686740688.db2.gz XEYSOQBUPRNXKS-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccn(C(C)C)c2C)CC[C@@H]1C ZINC001071761736 686742865 /nfs/dbraw/zinc/74/28/65/686742865.db2.gz BVNDWJOJOJVCKK-GOEBONIOSA-N 0 1 303.450 3.146 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)cc2Cl)CC[C@@H]1C ZINC001071835519 686765648 /nfs/dbraw/zinc/76/56/48/686765648.db2.gz OAWHYQZNMNYCCE-LSDHHAIUSA-N 0 1 318.848 3.254 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)CC[C@@H]1C ZINC001071883026 686780397 /nfs/dbraw/zinc/78/03/97/686780397.db2.gz DWKRYJHUDFJODI-ZFWWWQNUSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(C(C)C)n2)CC[C@@H]1C ZINC001071901674 686787681 /nfs/dbraw/zinc/78/76/81/686787681.db2.gz UVNPHGJSSARGIN-STQMWFEESA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2C)CC[C@@H]1C ZINC001071913510 686790442 /nfs/dbraw/zinc/79/04/42/686790442.db2.gz FLMVTPITOJQBQI-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@@H]1C ZINC001071934622 686792512 /nfs/dbraw/zinc/79/25/12/686792512.db2.gz PJXOBOSYUAWPAO-XSLAGTTESA-N 0 1 304.478 3.051 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@@H]1C ZINC001071934621 686792719 /nfs/dbraw/zinc/79/27/19/686792719.db2.gz PJXOBOSYUAWPAO-XLAORIBOSA-N 0 1 304.478 3.051 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc(C3CCCC3)n2)CC[C@H]1C ZINC001071941725 686793965 /nfs/dbraw/zinc/79/39/65/686793965.db2.gz JNZSKXHMRXIGPM-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccn2C2CCCC2)CC[C@@H]1C ZINC001071940802 686794148 /nfs/dbraw/zinc/79/41/48/686794148.db2.gz YNPZCAMUTGJFOL-JKSUJKDBSA-N 0 1 315.461 3.372 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3F)CC2)CC[C@@H]1C ZINC001071961372 686797497 /nfs/dbraw/zinc/79/74/97/686797497.db2.gz PQLVHHNMMOYOAR-GJZGRUSLSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cnccc3c2)CC[C@@H]1C ZINC001071966781 686799789 /nfs/dbraw/zinc/79/97/89/686799789.db2.gz HXDYDXHMOIESHX-KSSFIOAISA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)CC[C@H]1C ZINC001071997300 686808170 /nfs/dbraw/zinc/80/81/70/686808170.db2.gz XVUSSVAWJOZWEU-HIFRSBDPSA-N 0 1 304.434 3.173 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C(F)F)c2)CC[C@@H]1C ZINC001072004742 686812054 /nfs/dbraw/zinc/81/20/54/686812054.db2.gz DYZGXLFXYFOOEK-XJKSGUPXSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C(F)F)c2)CC[C@@H]1C ZINC001072005931 686812705 /nfs/dbraw/zinc/81/27/05/686812705.db2.gz QIUDCZHNQSNCLX-SWLSCSKDSA-N 0 1 308.372 3.393 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cnc(C3CC3)s2)CC[C@H]1C ZINC001072008350 686814287 /nfs/dbraw/zinc/81/42/87/686814287.db2.gz FABSVHCURPEWIE-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2C(C)C)CC[C@@H]1C ZINC001072092678 686860768 /nfs/dbraw/zinc/86/07/68/686860768.db2.gz VTUROIFFUGFTEQ-LSDHHAIUSA-N 0 1 318.486 3.478 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C3CC3)cc2)CC[C@@H]1C ZINC001072092478 686861557 /nfs/dbraw/zinc/86/15/57/686861557.db2.gz SCPYQHPROFNCSV-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)CC[C@@H]1C ZINC001072101919 686865625 /nfs/dbraw/zinc/86/56/25/686865625.db2.gz LNLDZWZNDXTBMS-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3coc4ccc(CC)cc43)[C@@H]2C1 ZINC001075795528 687441577 /nfs/dbraw/zinc/44/15/77/687441577.db2.gz WRYWVXOTMMLGDY-MAUKXSAKSA-N 0 1 324.424 3.328 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4sccc4s3)[C@@H]2C1 ZINC001075951382 687459701 /nfs/dbraw/zinc/45/97/01/687459701.db2.gz SJNNOLORAWSCEP-NWDGAFQWSA-N 0 1 318.467 3.295 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)c3ccccc3C)[C@@H]2C1 ZINC001076323428 687507468 /nfs/dbraw/zinc/50/74/68/687507468.db2.gz SEKJEJOVVUOQQE-CMKODMSKSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)CC3CCCCC3)[C@@H]2C1 ZINC001076317587 687507683 /nfs/dbraw/zinc/50/76/83/687507683.db2.gz FFNJSVVMEAAIKA-CEXWTWQISA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccc(C(C)(C)C)c3)[C@@H]2C1 ZINC001076386300 687517191 /nfs/dbraw/zinc/51/71/91/687517191.db2.gz MDSAGKFVQSNCTO-PKOBYXMFSA-N 0 1 324.468 3.154 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3ccc(C4CC4)s3)[C@@H]2C1 ZINC001076460049 687525434 /nfs/dbraw/zinc/52/54/34/687525434.db2.gz TXDLGZKJJSMLLA-LSDHHAIUSA-N 0 1 316.470 3.348 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccsc3C(F)F)[C@@H]2C1 ZINC001076514211 687533207 /nfs/dbraw/zinc/53/32/07/687533207.db2.gz XAXSLROBEDLIBP-CMPLNLGQSA-N 0 1 312.385 3.018 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001080745829 688010226 /nfs/dbraw/zinc/01/02/26/688010226.db2.gz SATSBJICIOOHCV-IIAWOOMASA-N 0 1 312.413 3.159 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001080875236 688020767 /nfs/dbraw/zinc/02/07/67/688020767.db2.gz VVAFEETZEXHXMI-OXQOHEQNSA-N 0 1 318.420 3.037 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2sccc2C(C)C)C1 ZINC001080989775 688032438 /nfs/dbraw/zinc/03/24/38/688032438.db2.gz XTLIIUHOWRRWHO-UKRRQHHQSA-N 0 1 306.475 3.498 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001081055141 688044289 /nfs/dbraw/zinc/04/42/89/688044289.db2.gz XXFPCTVUYSZISN-IXDOHACOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C)CCC(C)CC2)C[C@H]1C ZINC001082951789 688133488 /nfs/dbraw/zinc/13/34/88/688133488.db2.gz PMPCLIDXAOMOPZ-PTSUJKEJSA-N 0 1 312.885 3.392 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)[C@@H](N)Cc2cc3ccccc3o2)c1 ZINC001579553954 1191979553 /nfs/dbraw/zinc/97/95/53/1191979553.db2.gz FQAYNPAJOXDCQD-KRWDZBQOSA-N 0 1 319.364 3.121 20 30 DGEDMN N#Cc1ccc(NCc2cc(N)ccn2)c(F)c1Br ZINC001580070142 1192005928 /nfs/dbraw/zinc/00/59/28/1192005928.db2.gz PVGJHEQGWMINKJ-UHFFFAOYSA-N 0 1 321.153 3.049 20 30 DGEDMN NCc1cc(C(=O)Nc2ccccc2C#Cc2ccccc2)co1 ZINC001580335502 1192021979 /nfs/dbraw/zinc/02/19/79/1192021979.db2.gz PHEFEYKHLZMFBJ-UHFFFAOYSA-N 0 1 316.360 3.390 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)/C=C\c1ccco1 ZINC001661899910 1196661188 /nfs/dbraw/zinc/66/11/88/1196661188.db2.gz AOFKWXVXXDNFMA-DANTVBBOSA-N 0 1 308.809 3.016 20 30 DGEDMN C=C(CC(C)C)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC001584307071 1192188384 /nfs/dbraw/zinc/18/83/84/1192188384.db2.gz UGCUYSUVGGRGJS-MRXNPFEDSA-N 0 1 304.434 3.443 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(CCC)s1 ZINC001662230215 1196697625 /nfs/dbraw/zinc/69/76/25/1196697625.db2.gz RCXAFHMNJLYVCM-LLVKDONJSA-N 0 1 300.855 3.161 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3cccc(C4CC4)c3)[nH]c2c1 ZINC001587347612 1192394968 /nfs/dbraw/zinc/39/49/68/1192394968.db2.gz SUKLFHITGKIOJY-UHFFFAOYSA-N 0 1 316.364 3.493 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2cc(-c3nn[nH]n3)cs2)CCCC1(C)C ZINC001588564418 1192449736 /nfs/dbraw/zinc/44/97/36/1192449736.db2.gz NEKDYRZVBYIFOK-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN CCC(N=Nc1oc(C(C)C)nc1-c1nn[nH]n1)[C@H]1CC1(C)C ZINC001590358643 1192502098 /nfs/dbraw/zinc/50/20/98/1192502098.db2.gz ALYIEAOUMCDWPN-SECBINFHSA-N 0 1 317.397 3.202 20 30 DGEDMN CCCCC(C)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC001590575201 1192524809 /nfs/dbraw/zinc/52/48/09/1192524809.db2.gz TVDQSVHYMONWBS-UHFFFAOYSA-N 0 1 305.386 3.130 20 30 DGEDMN C[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1cccc(F)c1 ZINC001595734815 1192668444 /nfs/dbraw/zinc/66/84/44/1192668444.db2.gz OLXWNDYQAOFYQH-SNVBAGLBSA-N 0 1 308.316 3.316 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001665481699 1197142458 /nfs/dbraw/zinc/14/24/58/1197142458.db2.gz RCGHMEDFESGYEV-HOCLYGCPSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CC)Cc2cccnc2C)CC1 ZINC001691038653 1176185019 /nfs/dbraw/zinc/18/50/19/1176185019.db2.gz LLAYGVVQJWEINI-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](CCC)c1ccccc1 ZINC001670719597 1176232794 /nfs/dbraw/zinc/23/27/94/1176232794.db2.gz SEDMRSCVSGOKHN-QZTJIDSGSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2Cl)CCC1 ZINC001724799738 1176251600 /nfs/dbraw/zinc/25/16/00/1176251600.db2.gz VTSILNUUXGUEQL-UHFFFAOYSA-N 0 1 313.228 3.335 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001691092709 1176305819 /nfs/dbraw/zinc/30/58/19/1176305819.db2.gz WTWNNFRKRQEMNR-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001691098346 1176310927 /nfs/dbraw/zinc/31/09/27/1176310927.db2.gz IPMCUORSAKBPMC-GASCZTMLSA-N 0 1 319.449 3.139 20 30 DGEDMN CCCC1(C(=O)NC[C@@H]2CCN(CC#Cc3ccccc3)C2)CC1 ZINC001691156946 1176345357 /nfs/dbraw/zinc/34/53/57/1176345357.db2.gz JMHLTTWSWYZMIQ-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CC[N@@H+](CCNC(=O)[C@]1(C)CC[C@H](C)C1(C)C)C1CC1 ZINC001691227602 1176403994 /nfs/dbraw/zinc/40/39/94/1176403994.db2.gz ANFLLBNDRDFSLO-KXBFYZLASA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN(CCNC(=O)[C@]1(C)CC[C@H](C)C1(C)C)C1CC1 ZINC001691227602 1176403999 /nfs/dbraw/zinc/40/39/99/1176403999.db2.gz ANFLLBNDRDFSLO-KXBFYZLASA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C)c(F)c(C)c1)c1ccccc1 ZINC001691801773 1176404488 /nfs/dbraw/zinc/40/44/88/1176404488.db2.gz XBDYIXUHGUUENE-SFHVURJKSA-N 0 1 324.399 3.136 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccccc1C1CCC1)C1CC1 ZINC001691235434 1176407584 /nfs/dbraw/zinc/40/75/84/1176407584.db2.gz XIFMDFQIFKOKFI-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1cnc(C)o1 ZINC001691367280 1176454315 /nfs/dbraw/zinc/45/43/15/1176454315.db2.gz KCCKBCVKXYMCND-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1cccc(Cl)c1 ZINC001691392878 1176460925 /nfs/dbraw/zinc/46/09/25/1176460925.db2.gz ZOVOLPVTAGROME-QGZVFWFLSA-N 0 1 320.864 3.381 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2Cc2cccc(C)c2)C1 ZINC001691398599 1176464195 /nfs/dbraw/zinc/46/41/95/1176464195.db2.gz GHOSZBFZDOKSEJ-SFHVURJKSA-N 0 1 312.457 3.384 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CCCC=C)CCCCC1 ZINC001691416659 1176475343 /nfs/dbraw/zinc/47/53/43/1176475343.db2.gz NZOHBTLNQVHJPR-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)cc(C)c1 ZINC001691412343 1176476171 /nfs/dbraw/zinc/47/61/71/1176476171.db2.gz GJVPVBLTRSWYIG-GOSISDBHSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@@H](CCNC(=O)c2cc(C)cc(C)c2)C1 ZINC001691921580 1176535258 /nfs/dbraw/zinc/53/52/58/1176535258.db2.gz GVPMQRPSBBFPOK-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)cc(C)c2)C1 ZINC001691921580 1176535262 /nfs/dbraw/zinc/53/52/62/1176535262.db2.gz GVPMQRPSBBFPOK-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2ccc(CC#N)cc2)n[nH]1 ZINC001597891370 1192752859 /nfs/dbraw/zinc/75/28/59/1192752859.db2.gz JRVDRGHKNRHNFB-KRWDZBQOSA-N 0 1 308.385 3.152 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN[C@@H](C)c2ccc(F)cc2F)C1 ZINC001691467070 1176629884 /nfs/dbraw/zinc/62/98/84/1176629884.db2.gz BXNVYIOLURSKKT-ZDUSSCGKSA-N 0 1 322.399 3.430 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](C)CC(C)(C)C ZINC001755198609 1176848979 /nfs/dbraw/zinc/84/89/79/1176848979.db2.gz CZBYBXQPMWLTNT-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2C(C)C)CC1 ZINC001670793038 1176881877 /nfs/dbraw/zinc/88/18/77/1176881877.db2.gz GCFZNRWCCIGJIF-UHFFFAOYSA-N 0 1 306.837 3.415 20 30 DGEDMN CC(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001753692364 1176934034 /nfs/dbraw/zinc/93/40/34/1176934034.db2.gz CXPJYWWPOGETDK-HNNXBMFYSA-N 0 1 315.461 3.177 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](CC)CCCC)C2)C1 ZINC001755454771 1177039981 /nfs/dbraw/zinc/03/99/81/1177039981.db2.gz OSLUKFSNVNIIKR-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1CCC(=C)CC1 ZINC001755565911 1177064640 /nfs/dbraw/zinc/06/46/40/1177064640.db2.gz ITZROKVPBWFAJV-MRXNPFEDSA-N 0 1 310.869 3.312 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC001600074414 1192787492 /nfs/dbraw/zinc/78/74/92/1192787492.db2.gz CSJDDXCCHGUBEN-LJQANCHMSA-N 0 1 320.392 3.359 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)s1 ZINC001733038911 1177170955 /nfs/dbraw/zinc/17/09/55/1177170955.db2.gz QUVAOGUKAYXKKP-LBPRGKRZSA-N 0 1 312.866 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001752904880 1177403414 /nfs/dbraw/zinc/40/34/14/1177403414.db2.gz AAFOYBDOWHVDJR-AWEZNQCLSA-N 0 1 322.880 3.373 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001752912977 1177438554 /nfs/dbraw/zinc/43/85/54/1177438554.db2.gz GVKHSAGBRAZSKZ-CYBMUJFWSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc(C)cc1Cl ZINC001691646663 1177473141 /nfs/dbraw/zinc/47/31/41/1177473141.db2.gz QPQVOSYYLLHSDT-MRXNPFEDSA-N 0 1 320.864 3.300 20 30 DGEDMN C#CC[C@@H](CC(=O)N1CCN(CCC(=C)C)CC1)c1ccccc1 ZINC001113736041 1177729602 /nfs/dbraw/zinc/72/96/02/1177729602.db2.gz CGXGTKABCJHWMA-FQEVSTJZSA-N 0 1 324.468 3.294 20 30 DGEDMN C#CC[C@@H](CC(=O)N1CCN(CCCC=C)CC1)c1ccccc1 ZINC001113736264 1177729646 /nfs/dbraw/zinc/72/96/46/1177729646.db2.gz HTCYYKFKUKLZCY-FQEVSTJZSA-N 0 1 324.468 3.294 20 30 DGEDMN Cc1ccc(C)c(C[N@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)c1 ZINC001600387886 1192840922 /nfs/dbraw/zinc/84/09/22/1192840922.db2.gz LHSRVBFBOVFLNW-SFHVURJKSA-N 0 1 308.381 3.433 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001110934393 1177944091 /nfs/dbraw/zinc/94/40/91/1177944091.db2.gz BTQJWVORZNRLEE-TVFCKZIOSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001110934392 1177944424 /nfs/dbraw/zinc/94/44/24/1177944424.db2.gz BTQJWVORZNRLEE-MLHJIOFPSA-N 0 1 322.493 3.127 20 30 DGEDMN CC(C)(C)c1cncc(NS(=O)(=O)c2cccc(C#N)c2)c1 ZINC001259119279 1178082469 /nfs/dbraw/zinc/08/24/69/1178082469.db2.gz SGCHHFPERDVLHR-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(F)cccc2C#N)c(C)c1 ZINC001259100106 1178082511 /nfs/dbraw/zinc/08/25/11/1178082511.db2.gz JKQVXIUWIRAHQY-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN Cc1ccc([C@@H](N)C(=O)Nc2ccc(C3CC3)c(C#N)c2)cc1 ZINC001331427875 1178211521 /nfs/dbraw/zinc/21/15/21/1178211521.db2.gz WWFZXIFKXNXUEE-GOSISDBHSA-N 0 1 305.381 3.383 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1cc(O)ccc1Cl ZINC001331530980 1178235524 /nfs/dbraw/zinc/23/55/24/1178235524.db2.gz CCCSSAJIPFORKK-LBPRGKRZSA-N 0 1 324.852 3.194 20 30 DGEDMN C[N@@H+]1CCCC[C@@H]1CCC(=O)N([O-])Cc1ccccc1Cl ZINC001641862056 1194876153 /nfs/dbraw/zinc/87/61/53/1194876153.db2.gz QFBXBKYOILKUEM-CQSZACIVSA-N 0 1 310.825 3.322 20 30 DGEDMN C[N@H+]1CCCC[C@@H]1CCC(=O)N([O-])Cc1ccccc1Cl ZINC001641862056 1194876155 /nfs/dbraw/zinc/87/61/55/1194876155.db2.gz QFBXBKYOILKUEM-CQSZACIVSA-N 0 1 310.825 3.322 20 30 DGEDMN C[C@@H](c1ccccc1)N1CCN(c2cccc(CC#N)n2)CC1 ZINC001331925783 1178309870 /nfs/dbraw/zinc/30/98/70/1178309870.db2.gz XKUKIDGTJVVHOQ-INIZCTEOSA-N 0 1 306.413 3.031 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2(C)CCCCC2)[C@H]1C ZINC001332185205 1178385124 /nfs/dbraw/zinc/38/51/24/1178385124.db2.gz OCHQPPGLMMPZIF-SJORKVTESA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1[C@@H]2CCCCCC[C@@H]12)C(C)C ZINC001332731651 1178484908 /nfs/dbraw/zinc/48/49/08/1178484908.db2.gz BVLZHODKDOXOQW-QZTJIDSGSA-N 0 1 318.505 3.395 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)NCc1cccc(OCC(F)(F)F)n1 ZINC001332880310 1178513945 /nfs/dbraw/zinc/51/39/45/1178513945.db2.gz GPCHSIWLYHZJKY-NSHDSACASA-N 0 1 315.339 3.441 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC1(C)CC1)C(C)(C)C ZINC001332882544 1178514126 /nfs/dbraw/zinc/51/41/26/1178514126.db2.gz VBLRSOPJDOCFNG-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001333109467 1178557427 /nfs/dbraw/zinc/55/74/27/1178557427.db2.gz YWGALEYVMNCBRR-BENRWUELSA-N 0 1 317.260 3.078 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccnn1CC1CCC1 ZINC001334378710 1178755366 /nfs/dbraw/zinc/75/53/66/1178755366.db2.gz NBEPVJYNTZGSIJ-HNNXBMFYSA-N 0 1 324.428 3.436 20 30 DGEDMN Cn1cc(CN=Nc2nc3ccccc3[nH]c2=S)c(C2CC2)n1 ZINC001334709499 1178784289 /nfs/dbraw/zinc/78/42/89/1178784289.db2.gz CBONAAGJFDOAGF-UHFFFAOYSA-N 0 1 324.413 3.349 20 30 DGEDMN O=C1C=COC2(CCN([C@@H]3CCc4c3cccc4F)CC2)C1 ZINC001335203974 1178867940 /nfs/dbraw/zinc/86/79/40/1178867940.db2.gz RDQHSDIBJYKEIM-QGZVFWFLSA-N 0 1 301.361 3.151 20 30 DGEDMN N#Cc1c(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)cccc1C(=O)[O-] ZINC001601081935 1192916547 /nfs/dbraw/zinc/91/65/47/1192916547.db2.gz RBQLGRSHGMCVMW-SNVBAGLBSA-N 0 1 312.291 3.031 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@H](CC(F)(F)F)C2)cccc1C(=O)[O-] ZINC001601081935 1192916549 /nfs/dbraw/zinc/91/65/49/1192916549.db2.gz RBQLGRSHGMCVMW-SNVBAGLBSA-N 0 1 312.291 3.031 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+](CC(=O)[O-])Cc1ccccc1 ZINC001601086732 1192917329 /nfs/dbraw/zinc/91/73/29/1192917329.db2.gz GTBAWMYQINUDLH-UHFFFAOYSA-N 0 1 314.772 3.298 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC001335408094 1178905038 /nfs/dbraw/zinc/90/50/38/1178905038.db2.gz ZLHZWABWSIQQCZ-HIFRSBDPSA-N 0 1 319.474 3.137 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN[C@H]2C[C@]2(F)c2ccccc2)c1 ZINC001335413192 1178908136 /nfs/dbraw/zinc/90/81/36/1178908136.db2.gz UIZWTBRFZNHSRC-HKUYNNGSSA-N 0 1 323.371 3.114 20 30 DGEDMN N#Cc1ccc(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)cc1 ZINC001601098436 1192918505 /nfs/dbraw/zinc/91/85/05/1192918505.db2.gz XPGWXXMJDDKDPL-FGTMMUONSA-N 0 1 312.413 3.066 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(c3cccc(CC#N)n3)C2)[nH]n1 ZINC001335871592 1178965612 /nfs/dbraw/zinc/96/56/12/1178965612.db2.gz DNXDITQVMYLZGU-CQSZACIVSA-N 0 1 309.417 3.378 20 30 DGEDMN CC[C@@H](F)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC001342049379 1179096714 /nfs/dbraw/zinc/09/67/14/1179096714.db2.gz PGJOJPSRILXQCY-WCBMZHEXSA-N 0 1 317.147 3.389 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC001342326460 1179130161 /nfs/dbraw/zinc/13/01/61/1179130161.db2.gz QWEJWQFZAHNFSP-LBPRGKRZSA-N 0 1 301.308 3.204 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H]3CC[C@H](C4CC4)O3)[nH]c21 ZINC001342604072 1179159491 /nfs/dbraw/zinc/15/94/91/1179159491.db2.gz NOGFVSNVEQDFCY-JJRVBVJISA-N 0 1 323.396 3.395 20 30 DGEDMN N#CC(C(=O)Cc1ccc(OC(F)F)cc1)c1cccc(F)n1 ZINC001342639579 1179164958 /nfs/dbraw/zinc/16/49/58/1179164958.db2.gz GVGVHRLNHSKBCP-GFCCVEGCSA-N 0 1 320.270 3.241 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C)[C@H]1CC ZINC001342977222 1179219417 /nfs/dbraw/zinc/21/94/17/1179219417.db2.gz CJQRSUOJROESCH-GXTWGEPZSA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)cc1F ZINC001343274741 1179251244 /nfs/dbraw/zinc/25/12/44/1179251244.db2.gz XZBBEWOXXUJVAJ-ZDUSSCGKSA-N 0 1 320.327 3.150 20 30 DGEDMN N#C[C@H](C(=O)c1cnc2cc[nH]c2c1)c1nc(C2CC2)cs1 ZINC001343504129 1179288219 /nfs/dbraw/zinc/28/82/19/1179288219.db2.gz ZCTVGKCZYULOHQ-LLVKDONJSA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1cnc2cc[nH]c2c1)c1nc(C2CC2)cs1 ZINC001343504129 1179288227 /nfs/dbraw/zinc/28/82/27/1179288227.db2.gz ZCTVGKCZYULOHQ-LLVKDONJSA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)Cc1cc(F)c(F)cc1F)c1cccc(F)n1 ZINC001344044276 1179368741 /nfs/dbraw/zinc/36/87/41/1179368741.db2.gz NWPHUWCNHVWSLJ-SECBINFHSA-N 0 1 308.234 3.057 20 30 DGEDMN CC(C)(C)Oc1cccc(C(=O)C(C#N)c2cccc(F)n2)n1 ZINC001344061374 1179371811 /nfs/dbraw/zinc/37/18/11/1179371811.db2.gz DHKDSWNXQRAJKA-NSHDSACASA-N 0 1 313.332 3.283 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSc1ccc(C)cc1C ZINC001344321773 1179412788 /nfs/dbraw/zinc/41/27/88/1179412788.db2.gz BEBVUPIWKIZSTN-AWEZNQCLSA-N 0 1 313.426 3.488 20 30 DGEDMN C#Cc1ccc(CNC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC001344473111 1179438795 /nfs/dbraw/zinc/43/87/95/1179438795.db2.gz LJIHLIIUVHQZJJ-UHFFFAOYSA-N 0 1 324.428 3.030 20 30 DGEDMN CC[C@H](C)n1nccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC001344869576 1179488245 /nfs/dbraw/zinc/48/82/45/1179488245.db2.gz QOIVEOGJVWQSDQ-STQMWFEESA-N 0 1 319.368 3.287 20 30 DGEDMN CC[C@H](C)n1nccc1C(=O)[C@@H](C#N)c1cnc2ccccc2n1 ZINC001344869576 1179488251 /nfs/dbraw/zinc/48/82/51/1179488251.db2.gz QOIVEOGJVWQSDQ-STQMWFEESA-N 0 1 319.368 3.287 20 30 DGEDMN CC[C@@H](C)n1nccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC001344869574 1179488827 /nfs/dbraw/zinc/48/88/27/1179488827.db2.gz QOIVEOGJVWQSDQ-OLZOCXBDSA-N 0 1 319.368 3.287 20 30 DGEDMN CC[C@@H](C)n1nccc1C(=O)[C@@H](C#N)c1cnc2ccccc2n1 ZINC001344869574 1179488829 /nfs/dbraw/zinc/48/88/29/1179488829.db2.gz QOIVEOGJVWQSDQ-OLZOCXBDSA-N 0 1 319.368 3.287 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CCSc2ccccc21 ZINC001344875713 1179490783 /nfs/dbraw/zinc/49/07/83/1179490783.db2.gz XUTUBBZTHKSCBI-KGLIPLIRSA-N 0 1 311.410 3.359 20 30 DGEDMN O=C([O-])[C@]12CCC[C@H]1[N@@H+](CC#Cc1ccccc1Cl)CC2 ZINC001602223049 1192963708 /nfs/dbraw/zinc/96/37/08/1192963708.db2.gz OCNDYMNQYXKJRJ-WBVHZDCISA-N 0 1 303.789 3.021 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001345951161 1179629294 /nfs/dbraw/zinc/62/92/94/1179629294.db2.gz FXKUSWQBMBGUKS-NRXGSXMXSA-N 0 1 323.396 3.099 20 30 DGEDMN C=C(CC)C(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC001346106481 1179654488 /nfs/dbraw/zinc/65/44/88/1179654488.db2.gz JFVARPDNAXGEQI-UHFFFAOYSA-N 0 1 306.837 3.387 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)c1ccc(SC(F)(F)F)o1 ZINC001346537839 1179717551 /nfs/dbraw/zinc/71/75/51/1179717551.db2.gz QMJHPNLPXSJZBZ-SSDOTTSWSA-N 0 1 315.276 3.115 20 30 DGEDMN Cn1ccnc1[C@H](C#N)C(=O)c1ccc(SC(F)(F)F)o1 ZINC001346537839 1179717553 /nfs/dbraw/zinc/71/75/53/1179717553.db2.gz QMJHPNLPXSJZBZ-SSDOTTSWSA-N 0 1 315.276 3.115 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccoc2)n1)c1cccc(F)n1 ZINC001347105939 1179777704 /nfs/dbraw/zinc/77/77/04/1179777704.db2.gz BFAMUFZJEITSBB-JTQLQIEISA-N 0 1 313.313 3.427 20 30 DGEDMN N#C[C@H](C(=O)c1csc(-c2ccoc2)n1)c1cccc(F)n1 ZINC001347105939 1179777709 /nfs/dbraw/zinc/77/77/09/1179777709.db2.gz BFAMUFZJEITSBB-JTQLQIEISA-N 0 1 313.313 3.427 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cc(C3CC3)[nH]c2C2CC2)CC1 ZINC001347391101 1179802283 /nfs/dbraw/zinc/80/22/83/1179802283.db2.gz GAMDKOPYRPCHPL-UHFFFAOYSA-N 0 1 312.413 3.024 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)Cc1cccc(C2CC2)c1 ZINC001349701973 1179935859 /nfs/dbraw/zinc/93/58/59/1179935859.db2.gz LKXTYEHGCBFGRG-CQSZACIVSA-N 0 1 313.788 3.370 20 30 DGEDMN C=CC(C)(C)CCC[NH2+]Cc1cccc(F)c1-c1nnn[n-]1 ZINC001602786890 1192997325 /nfs/dbraw/zinc/99/73/25/1192997325.db2.gz OSWZZJVTKKEOFO-UHFFFAOYSA-N 0 1 303.385 3.088 20 30 DGEDMN C=CC(C)(C)CCC[NH2+]Cc1cccc(F)c1-c1nn[n-]n1 ZINC001602786890 1192997328 /nfs/dbraw/zinc/99/73/28/1192997328.db2.gz OSWZZJVTKKEOFO-UHFFFAOYSA-N 0 1 303.385 3.088 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCc2c(Cl)cc(Cl)cc2C1 ZINC001602835825 1192999579 /nfs/dbraw/zinc/99/95/79/1192999579.db2.gz AMGUUJUTPVWJEH-ZDUSSCGKSA-N 0 1 300.185 3.381 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@@H](C)[C@H](C)C1 ZINC001352073505 1180291268 /nfs/dbraw/zinc/29/12/68/1180291268.db2.gz ATWCCARJVIUQRY-CHWSQXEVSA-N 0 1 322.416 3.100 20 30 DGEDMN C=C(CC)C(=O)N[C@@H](CN(C)C)c1cccc(C(F)(F)F)c1 ZINC001352486056 1180349195 /nfs/dbraw/zinc/34/91/95/1180349195.db2.gz MDKDKMSPMMENQG-AWEZNQCLSA-N 0 1 314.351 3.391 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)CCCCCCCCC)[C@H](O)C1 ZINC001100087057 1180368290 /nfs/dbraw/zinc/36/82/90/1180368290.db2.gz FJQRHXBIUXGJCI-ZWKOTPCHSA-N 0 1 324.509 3.255 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)CCCCCCCCC)[C@@H](O)C1 ZINC001100087054 1180368623 /nfs/dbraw/zinc/36/86/23/1180368623.db2.gz FJQRHXBIUXGJCI-MSOLQXFVSA-N 0 1 324.509 3.255 20 30 DGEDMN CC(C(=O)NCC1CC(NCc2ccccc2C#N)C1)=C1CCC1 ZINC001100312157 1180561211 /nfs/dbraw/zinc/56/12/11/1180561211.db2.gz BDXWUUBKIFNICR-UHFFFAOYSA-N 0 1 323.440 3.043 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@@H+]1CC#Cc1cccc(Cl)c1 ZINC001604653919 1193086413 /nfs/dbraw/zinc/08/64/13/1193086413.db2.gz CFRODHHJNIRJHL-AGGWBTHJSA-N 0 1 305.805 3.265 20 30 DGEDMN Cn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1-c1ccccc1 ZINC001357608168 1181412632 /nfs/dbraw/zinc/41/26/32/1181412632.db2.gz CLJUCDIORLSNRF-ZDUSSCGKSA-N 0 1 320.327 3.111 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)[C@@H](N)Cc1ccc2cc[nH]c2c1 ZINC001357970541 1181440171 /nfs/dbraw/zinc/44/01/71/1181440171.db2.gz XIMPNEWNODBAOK-INIZCTEOSA-N 0 1 313.445 3.146 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)c1cccc(F)c1O ZINC001358384542 1181487926 /nfs/dbraw/zinc/48/79/26/1181487926.db2.gz KSNNGKIMCIHKMP-UHFFFAOYSA-N 0 1 303.308 3.499 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001604980243 1193103302 /nfs/dbraw/zinc/10/33/02/1193103302.db2.gz BCNLQTXVIQQQFR-NWDGAFQWSA-N 0 1 300.280 3.113 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NCc1n[nH]cc1Br ZINC001474576110 1181592824 /nfs/dbraw/zinc/59/28/24/1181592824.db2.gz IZUGRXHKVRIMDR-CYBMUJFWSA-N 0 1 320.234 3.449 20 30 DGEDMN C=CCOc1ccc(COC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001435842614 1181849718 /nfs/dbraw/zinc/84/97/18/1181849718.db2.gz FLYYITVKXOHSPQ-KRWDZBQOSA-N 0 1 303.402 3.167 20 30 DGEDMN Cc1ccc2nc(NC(=O)C(=O)C=Cc3ccc(F)cc3)[nH]c2c1 ZINC001450301585 1182246527 /nfs/dbraw/zinc/24/65/27/1182246527.db2.gz VLNHWSUDZRDIKY-WEVVVXLNSA-N 0 1 323.327 3.231 20 30 DGEDMN C=CC[C@@H]1CCN1C(=O)c1cc(-c2ccccc2OCC)[nH]n1 ZINC001456849222 1182431819 /nfs/dbraw/zinc/43/18/19/1182431819.db2.gz DCHLSLQAMPUVOU-CYBMUJFWSA-N 0 1 311.385 3.266 20 30 DGEDMN CCCc1occc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458042391 1182491521 /nfs/dbraw/zinc/49/15/21/1182491521.db2.gz IRZMBPADQSBRBX-UHFFFAOYSA-N 0 1 321.340 3.141 20 30 DGEDMN C=CCn1c(SCc2[nH]ncc2C)nnc1-c1ccco1 ZINC001458889962 1182556853 /nfs/dbraw/zinc/55/68/53/1182556853.db2.gz RFDYFBCEQWMJFR-UHFFFAOYSA-N 0 1 301.375 3.048 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)CCN(CCC#N)CC(C)C ZINC001459536601 1182591707 /nfs/dbraw/zinc/59/17/07/1182591707.db2.gz CJUYAYQUUQVZEA-UHFFFAOYSA-N 0 1 305.397 3.334 20 30 DGEDMN Cc1ccc2c(ccc(C)c2CN2CCN(C(=O)CC#N)CC2)c1 ZINC001460127142 1182654655 /nfs/dbraw/zinc/65/46/55/1182654655.db2.gz QERNHRNKAFSMFC-UHFFFAOYSA-N 0 1 321.424 3.015 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC001460361070 1182682237 /nfs/dbraw/zinc/68/22/37/1182682237.db2.gz LDNWCMUIDQHURO-UONOGXRCSA-N 0 1 317.389 3.210 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3sccc3Cl)[nH]c2c1 ZINC001461918975 1182875959 /nfs/dbraw/zinc/87/59/59/1182875959.db2.gz SCHSSRYRGBQELN-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4ccncc4s3)[nH]c2c1 ZINC001461919191 1182876484 /nfs/dbraw/zinc/87/64/84/1182876484.db2.gz SSQMQMNJIXGOBF-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN Cc1nsc(N2CCN(CCC3CCCCC3)CC2)c1C#N ZINC001462290648 1182933760 /nfs/dbraw/zinc/93/37/60/1182933760.db2.gz LOTLHAZXCODRQR-UHFFFAOYSA-N 0 1 318.490 3.416 20 30 DGEDMN C=CCCC[C@@H](C(=O)NC[C@H]1CCN1CC)c1ccccc1 ZINC001462434337 1182952193 /nfs/dbraw/zinc/95/21/93/1182952193.db2.gz BJVFMEDDLLNXFJ-QZTJIDSGSA-N 0 1 300.446 3.337 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN(Cc1ccc(C#N)c(C)c1)C2 ZINC001462546644 1182967251 /nfs/dbraw/zinc/96/72/51/1182967251.db2.gz NDMZVDNQPIQNCQ-UHFFFAOYSA-N 0 1 320.392 3.212 20 30 DGEDMN CCN(C(=O)c1c[nH]cc2ncnc1-2)[C@H](C)c1ccc(C#N)cc1 ZINC001464452455 1183093423 /nfs/dbraw/zinc/09/34/23/1183093423.db2.gz OZCRAMIGQHSXLO-GFCCVEGCSA-N 0 1 319.368 3.053 20 30 DGEDMN CCC[C@H]1CN(C(=O)C#CC(C)C)CCN1Cc1ccccc1 ZINC001466401000 1183201749 /nfs/dbraw/zinc/20/17/49/1183201749.db2.gz GVZVVKRDFLLYPV-IBGZPJMESA-N 0 1 312.457 3.159 20 30 DGEDMN N#Cc1ccc(F)c(C(=O)Nc2cc(Cl)c(O)cc2F)c1 ZINC001467869947 1183295069 /nfs/dbraw/zinc/29/50/69/1183295069.db2.gz MJLPXVPXYZICQT-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN Cc1ccc(OCCC(=O)NOc2cccc(C#N)c2)cc1C ZINC001471085909 1183536878 /nfs/dbraw/zinc/53/68/78/1183536878.db2.gz MGHMLRIXAQAHEF-UHFFFAOYSA-N 0 1 310.353 3.054 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3sccc3CC#N)n2)cc1 ZINC001472484230 1183648259 /nfs/dbraw/zinc/64/82/59/1183648259.db2.gz ZTVDSBUDKPWHJK-UHFFFAOYSA-N 0 1 323.381 3.160 20 30 DGEDMN CC[C@@H](C(=O)N(O)Cc1cc(Cl)cc(Cl)c1)N(C)C ZINC001472618321 1183654571 /nfs/dbraw/zinc/65/45/71/1183654571.db2.gz ZWCIUOSQNDJYAC-LBPRGKRZSA-N 0 1 305.205 3.051 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)c1ccc(F)cc1O ZINC001472632132 1183658341 /nfs/dbraw/zinc/65/83/41/1183658341.db2.gz MXRIVFWWNIOKQO-CQSZACIVSA-N 0 1 311.397 3.373 20 30 DGEDMN CN1CCN(c2cc(F)ccc2C#N)C[C@H]1Cc1ccccc1 ZINC001472779546 1183680103 /nfs/dbraw/zinc/68/01/03/1183680103.db2.gz GHFLHHQQCVFSKO-GOSISDBHSA-N 0 1 309.388 3.060 20 30 DGEDMN CCC#C[C@H](C)Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC001473010155 1183707061 /nfs/dbraw/zinc/70/70/61/1183707061.db2.gz NGPVTHMWKRQKFC-NSHDSACASA-N 0 1 315.398 3.137 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H]1CCC[C@]2(CCOC2)O1 ZINC001473203568 1183729224 /nfs/dbraw/zinc/72/92/24/1183729224.db2.gz FRSIVQIMFOTUOB-ZWKOTPCHSA-N 0 1 308.466 3.366 20 30 DGEDMN COc1ccc(C[C@H]2CCN2Cc2cc(C#N)ccc2OC)cc1 ZINC001473218425 1183731966 /nfs/dbraw/zinc/73/19/66/1183731966.db2.gz IQCKGUDZOPASED-GOSISDBHSA-N 0 1 322.408 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]2CN(C(=O)OC(C)(C)C)CC[C@@H]21 ZINC001473738709 1183795588 /nfs/dbraw/zinc/79/55/88/1183795588.db2.gz JQXJQBOWHPPYRB-KGLIPLIRSA-N 0 1 314.857 3.460 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN([C@H](C)c1ccc(C#N)cc1)C2 ZINC001473760458 1183799632 /nfs/dbraw/zinc/79/96/32/1183799632.db2.gz HEKOWGFCMWENCJ-CQSZACIVSA-N 0 1 320.392 3.464 20 30 DGEDMN C=CC(C)(C)CNCc1cn(-c2ccc(F)cc2Cl)nn1 ZINC001474149644 1183875206 /nfs/dbraw/zinc/87/52/06/1183875206.db2.gz QDKJIHRBXFNMHF-UHFFFAOYSA-N 0 1 308.788 3.362 20 30 DGEDMN Cc1ccc(CNCc2cc3ccccc3n(C)c2=O)cc1C#N ZINC001474264265 1183891807 /nfs/dbraw/zinc/89/18/07/1183891807.db2.gz XPSABEOSVJQAHD-UHFFFAOYSA-N 0 1 317.392 3.008 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(C(C)(C)O)CC1 ZINC001474669699 1183958737 /nfs/dbraw/zinc/95/87/37/1183958737.db2.gz MMVNROXRMFAFBJ-UHFFFAOYSA-N 0 1 321.848 3.335 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1cccc(C)c1 ZINC001479249973 1184219151 /nfs/dbraw/zinc/21/91/51/1184219151.db2.gz MLGHJZYFDRLJIK-AEFFLSMTSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001479313639 1184231670 /nfs/dbraw/zinc/23/16/70/1184231670.db2.gz GSAPEBNCOOCEKB-OKILXGFUSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@@H](NCc2nc(C)cs2)C1 ZINC001479312946 1184231905 /nfs/dbraw/zinc/23/19/05/1184231905.db2.gz IRFUBABLTZVLHZ-KFWWJZLASA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001479640182 1184282965 /nfs/dbraw/zinc/28/29/65/1184282965.db2.gz BDZGOPMVHBAYJM-HYVNUMGLSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](C)CC(C)(C)C ZINC001479737997 1184302087 /nfs/dbraw/zinc/30/20/87/1184302087.db2.gz RVEPIMUFAJQEFC-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN CN(CCCNC(=O)CCC1CCC1)Cc1ccccc1C#N ZINC001479764080 1184317816 /nfs/dbraw/zinc/31/78/16/1184317816.db2.gz MXSHZTKQBJOTBR-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#Cc1ccc(C(=O)NCCCN(C)Cc2ccccc2F)cc1 ZINC001479788570 1184326079 /nfs/dbraw/zinc/32/60/79/1184326079.db2.gz WTHNOIGLQGEQRO-UHFFFAOYSA-N 0 1 324.399 3.059 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1(C(F)F)CCC1 ZINC001479852613 1184350691 /nfs/dbraw/zinc/35/06/91/1184350691.db2.gz MXDSGNMIMDDKPR-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN N#CC1(CC[N@H+](CCC(F)(F)F)CC(=O)[O-])CCCCC1 ZINC001608175288 1193281184 /nfs/dbraw/zinc/28/11/84/1193281184.db2.gz VETTXIKOBHVINX-UHFFFAOYSA-N 0 1 306.328 3.190 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+]([C@H](C(=O)[O-])c1ccccc1F)C2 ZINC001608189449 1193282215 /nfs/dbraw/zinc/28/22/15/1193282215.db2.gz SMZXTGYJSOFWPY-SFHVURJKSA-N 0 1 324.355 3.076 20 30 DGEDMN N#Cc1c(C[N@H+](Cc2ccccc2)C2CC2)cccc1C(=O)[O-] ZINC001608191818 1193282244 /nfs/dbraw/zinc/28/22/44/1193282244.db2.gz PKXSTECRJYTERG-UHFFFAOYSA-N 0 1 306.365 3.421 20 30 DGEDMN N#Cc1c(C[N@@H+](Cc2ccccc2)C2CC2)cccc1C(=O)[O-] ZINC001608191818 1193282248 /nfs/dbraw/zinc/28/22/48/1193282248.db2.gz PKXSTECRJYTERG-UHFFFAOYSA-N 0 1 306.365 3.421 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](Cc3ccccc3)C2)cc1 ZINC001608199580 1193282540 /nfs/dbraw/zinc/28/25/40/1193282540.db2.gz NFZWCVYZMUUEQZ-PKOBYXMFSA-N 0 1 320.392 3.249 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccc(C)o2)C1 ZINC001480070571 1184423572 /nfs/dbraw/zinc/42/35/72/1184423572.db2.gz HJOQMAQRCNGHAI-AWEZNQCLSA-N 0 1 310.825 3.125 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CCC(C)(C)C ZINC001480102305 1184436047 /nfs/dbraw/zinc/43/60/47/1184436047.db2.gz DBTMVWIKQCTSPU-ZACQAIPSSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1ncccc1C ZINC001480322345 1184492428 /nfs/dbraw/zinc/49/24/28/1184492428.db2.gz FUUDITCWCCMGFO-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001480357946 1184504601 /nfs/dbraw/zinc/50/46/01/1184504601.db2.gz IMXUIAZBTAPQFE-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H]1CCCN(Cc2conc2C)C1 ZINC001480379870 1184514939 /nfs/dbraw/zinc/51/49/39/1184514939.db2.gz MZILALSCAROESF-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(-c2ccco2)s1 ZINC001480562247 1184548915 /nfs/dbraw/zinc/54/89/15/1184548915.db2.gz NTMVOZRBTQULJI-LLVKDONJSA-N 0 1 324.833 3.469 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2nocc2C)C1 ZINC001480705838 1184581981 /nfs/dbraw/zinc/58/19/81/1184581981.db2.gz WARLWBQUDGCOMU-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001480732665 1184592694 /nfs/dbraw/zinc/59/26/94/1184592694.db2.gz FWBRRAPTTFNIQF-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2cccnc2C)CCC1 ZINC001493015539 1184633882 /nfs/dbraw/zinc/63/38/82/1184633882.db2.gz ZMSNLLBBHYRVDY-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=CCCCC(=O)N(C)CCN[C@H](C)c1cc(F)ccc1F ZINC001493679125 1184724809 /nfs/dbraw/zinc/72/48/09/1184724809.db2.gz OTLCFQGWTKWESE-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CC[C@H](C)C1)C(C)C ZINC001493870642 1184754700 /nfs/dbraw/zinc/75/47/00/1184754700.db2.gz AWCZOOPHFZITND-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCCC)c1ccc(C(C)C)cc1 ZINC001493880059 1184757016 /nfs/dbraw/zinc/75/70/16/1184757016.db2.gz ZGYAAPWMGYJLKV-GOSISDBHSA-N 0 1 300.446 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccoc1C(C)C)c1ccccc1 ZINC001493907567 1184763638 /nfs/dbraw/zinc/76/36/38/1184763638.db2.gz ZWKPSFJNWIUYCS-KRWDZBQOSA-N 0 1 310.397 3.097 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccnc(C3CC3)c2)C1 ZINC001493957337 1184776870 /nfs/dbraw/zinc/77/68/70/1184776870.db2.gz ROQVCAGRFICSLO-KRWDZBQOSA-N 0 1 313.445 3.072 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001494056048 1184795034 /nfs/dbraw/zinc/79/50/34/1184795034.db2.gz SZRSZVQNMJZRSJ-LBPRGKRZSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)Cc2ccccc2C)C1 ZINC001494081953 1184807224 /nfs/dbraw/zinc/80/72/24/1184807224.db2.gz WWWJYQKGYWPZBL-MRXNPFEDSA-N 0 1 320.864 3.118 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(F)CCCC2)CC1 ZINC001494197767 1184830697 /nfs/dbraw/zinc/83/06/97/1184830697.db2.gz KJLKDWFKVPBTJW-UHFFFAOYSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001494286954 1184846096 /nfs/dbraw/zinc/84/60/96/1184846096.db2.gz XRCKQTDKFLQEBO-NVXWUHKLSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CCC(=O)NC1CN(CC[C@@H]2CCc3ccccc32)C1 ZINC001494397192 1184866593 /nfs/dbraw/zinc/86/65/93/1184866593.db2.gz ZCRPFXQGOXLWMB-KRWDZBQOSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001494437382 1184870189 /nfs/dbraw/zinc/87/01/89/1184870189.db2.gz MJRAXVAGZCNKJC-LBPRGKRZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001494447479 1184870852 /nfs/dbraw/zinc/87/08/52/1184870852.db2.gz PUOOMCCCEYTJTN-CQSZACIVSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC001494508375 1184877720 /nfs/dbraw/zinc/87/77/20/1184877720.db2.gz JVNLSKRYSYHJIT-ATIQYGATSA-N 0 1 324.468 3.263 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001494509649 1184878159 /nfs/dbraw/zinc/87/81/59/1184878159.db2.gz WFPGOFCZXJNFQB-IAGOWNOFSA-N 0 1 314.473 3.329 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001494513282 1184878807 /nfs/dbraw/zinc/87/88/07/1184878807.db2.gz DRRJIOSMVPEJKW-LSDHHAIUSA-N 0 1 318.436 3.159 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc(CC)cc3)C2)C1 ZINC001494591700 1184892368 /nfs/dbraw/zinc/89/23/68/1184892368.db2.gz IUCSWENJSLCFOB-UHFFFAOYSA-N 0 1 312.457 3.153 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001494667800 1184902048 /nfs/dbraw/zinc/90/20/48/1184902048.db2.gz SWEROXCOERRFCR-NEWSRXKRSA-N 0 1 312.457 3.382 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1C ZINC001494668903 1184903576 /nfs/dbraw/zinc/90/35/76/1184903576.db2.gz VCEZYYPQKMFGDX-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C\CCC)C1 ZINC001494673430 1184904194 /nfs/dbraw/zinc/90/41/94/1184904194.db2.gz USNFBOIBHDPUGE-YHLLGKTKSA-N 0 1 302.462 3.374 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001494850995 1184944252 /nfs/dbraw/zinc/94/42/52/1184944252.db2.gz PEPPOMXDOSFIFK-MSOLQXFVSA-N 0 1 300.446 3.145 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001494918763 1184963003 /nfs/dbraw/zinc/96/30/03/1184963003.db2.gz MOPDJLFHIHAESS-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1cccc(F)c1Cl ZINC001494949225 1184968909 /nfs/dbraw/zinc/96/89/09/1184968909.db2.gz GXOPCHOUTCGZMF-ZDUSSCGKSA-N 0 1 312.816 3.430 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001495572603 1185075323 /nfs/dbraw/zinc/07/53/23/1185075323.db2.gz WCFYWLHIRANEMI-QLKUMGTLSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)CCC2CCCCC2)C1 ZINC001495882847 1185109022 /nfs/dbraw/zinc/10/90/22/1185109022.db2.gz LVDKWHBYVJVFMY-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2oc(C)nc2C)CCCC1 ZINC001495914550 1185113142 /nfs/dbraw/zinc/11/31/42/1185113142.db2.gz KBERKGMRODVOFW-UHFFFAOYSA-N 0 1 319.449 3.166 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001496066438 1185130645 /nfs/dbraw/zinc/13/06/45/1185130645.db2.gz LLLXVBFSRHFNOR-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cscc1Cl ZINC001496082106 1185131628 /nfs/dbraw/zinc/13/16/28/1185131628.db2.gz CQMMNBRZVIPJDX-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cscc1Cl ZINC001496082109 1185132130 /nfs/dbraw/zinc/13/21/30/1185132130.db2.gz CQMMNBRZVIPJDX-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(C)[C@@H]([NH2+]Cc1cccc(C(=O)[O-])n1)c1ccc(F)c(F)c1 ZINC001609226605 1193332426 /nfs/dbraw/zinc/33/24/26/1193332426.db2.gz SYVJKJZSJMJMDZ-MRXNPFEDSA-N 0 1 318.323 3.465 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001496171577 1185143559 /nfs/dbraw/zinc/14/35/59/1185143559.db2.gz LQTCPCFDLWTHPV-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](COc2ccccc2Cl)C1 ZINC001609264649 1193333178 /nfs/dbraw/zinc/33/31/78/1193333178.db2.gz XJYDDSIUXDDIBA-GXTWGEPZSA-N 0 1 309.793 3.070 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001496804450 1185251605 /nfs/dbraw/zinc/25/16/05/1185251605.db2.gz FFIFLLOWYQCLEE-IAGOWNOFSA-N 0 1 306.494 3.252 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CCCc1cc(C)sc1C ZINC001496845093 1185258306 /nfs/dbraw/zinc/25/83/06/1185258306.db2.gz NCKQGECAZXVROD-UHFFFAOYSA-N 0 1 320.502 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C=C(CC)CC)CC[C@@H]21 ZINC001496858309 1185259716 /nfs/dbraw/zinc/25/97/16/1185259716.db2.gz LCBMEQWNIKNTQR-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCNCc1csc(C)n1 ZINC001497263131 1185305696 /nfs/dbraw/zinc/30/56/96/1185305696.db2.gz MDDXAADEVMLXPI-MLGOLLRUSA-N 0 1 309.479 3.038 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C[C@@H]1CC=CCC1 ZINC001497435109 1185333425 /nfs/dbraw/zinc/33/34/25/1185333425.db2.gz YWNRRHZLPPEEBD-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC001609631818 1193346498 /nfs/dbraw/zinc/34/64/98/1193346498.db2.gz QUHUMQRIVVEJJT-CYBMUJFWSA-N 0 1 322.364 3.191 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC001609631818 1193346499 /nfs/dbraw/zinc/34/64/99/1193346499.db2.gz QUHUMQRIVVEJJT-CYBMUJFWSA-N 0 1 322.364 3.191 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC001609631819 1193346647 /nfs/dbraw/zinc/34/66/47/1193346647.db2.gz QUHUMQRIVVEJJT-ZDUSSCGKSA-N 0 1 322.364 3.191 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC001609631819 1193346650 /nfs/dbraw/zinc/34/66/50/1193346650.db2.gz QUHUMQRIVVEJJT-ZDUSSCGKSA-N 0 1 322.364 3.191 20 30 DGEDMN CC1(CC(=O)NC/C=C\CNCC#Cc2ccccc2)CCCC1 ZINC001497576679 1185357168 /nfs/dbraw/zinc/35/71/68/1185357168.db2.gz TTZFDVDDPHMBHJ-FPLPWBNLSA-N 0 1 324.468 3.271 20 30 DGEDMN C[C@H](C(=O)NC/C=C/CNCC#Cc1ccccc1)C1CCCC1 ZINC001497721427 1185381531 /nfs/dbraw/zinc/38/15/31/1185381531.db2.gz FUHMXSHWGQBNKS-DVBCCOPCSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2nc(C)sc2c1 ZINC001497955362 1185414878 /nfs/dbraw/zinc/41/48/78/1185414878.db2.gz ZEBOTCHBWHNNIQ-SNVBAGLBSA-N 0 1 323.849 3.065 20 30 DGEDMN C[C@@H](CNCC#Cc1ccccc1Cl)NC(=O)CC(C)(C)C ZINC001498013395 1185424717 /nfs/dbraw/zinc/42/47/17/1185424717.db2.gz MPZCDNJVBOMTDD-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001498087592 1185434893 /nfs/dbraw/zinc/43/48/93/1185434893.db2.gz RLBVBYAXJBYFAC-LBPRGKRZSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1CCCc2ccccc21 ZINC001498168894 1185442945 /nfs/dbraw/zinc/44/29/45/1185442945.db2.gz RZXYXNDKIGWPAV-HOCLYGCPSA-N 0 1 320.864 3.343 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001498393073 1185486374 /nfs/dbraw/zinc/48/63/74/1185486374.db2.gz PQVGXHCVRFZWLJ-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1CNCc1ncc(C)o1 ZINC001498491655 1185507930 /nfs/dbraw/zinc/50/79/30/1185507930.db2.gz QROYOLVSLLPMQF-JKSUJKDBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ncc(C)o2)[C@@H](CC)C1 ZINC001498890790 1185563762 /nfs/dbraw/zinc/56/37/62/1185563762.db2.gz VHBRYVZYXMBVEB-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001499101755 1185611879 /nfs/dbraw/zinc/61/18/79/1185611879.db2.gz JUPKNMLPXZKVTG-HNNXBMFYSA-N 0 1 321.852 3.286 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001499309893 1185635820 /nfs/dbraw/zinc/63/58/20/1185635820.db2.gz CGCDMQDJLDQUOG-LBPRGKRZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001499325097 1185638113 /nfs/dbraw/zinc/63/81/13/1185638113.db2.gz KJHIMBNNIOSLDP-OAHLLOKOSA-N 0 1 318.436 3.475 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C(F)F)c2)CC1 ZINC001499425677 1185649417 /nfs/dbraw/zinc/64/94/17/1185649417.db2.gz QJJIJMVMLZYZIX-UHFFFAOYSA-N 0 1 314.763 3.229 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)C(C)C ZINC001499514697 1185660654 /nfs/dbraw/zinc/66/06/54/1185660654.db2.gz FIWVOQWQTRQPGL-KSZLIROESA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001499758520 1185693522 /nfs/dbraw/zinc/69/35/22/1185693522.db2.gz DVOWWLXRIGDVTO-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2CCC(C3CC3)CC2)C1 ZINC001499776861 1185694863 /nfs/dbraw/zinc/69/48/63/1185694863.db2.gz UFRNUPBUCPPHNG-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001499794885 1185695774 /nfs/dbraw/zinc/69/57/74/1185695774.db2.gz DNJDAQIQIOQHTK-YJBOKZPZSA-N 0 1 318.436 3.065 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](F)Cc2ccccc2)C1 ZINC001499794882 1185695785 /nfs/dbraw/zinc/69/57/85/1185695785.db2.gz DNJDAQIQIOQHTK-CRAIPNDOSA-N 0 1 318.436 3.065 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3c2CCC3)C1 ZINC001499805945 1185697669 /nfs/dbraw/zinc/69/76/69/1185697669.db2.gz YMTVMVKCMCMLBS-OAHLLOKOSA-N 0 1 312.457 3.286 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001499898063 1185714181 /nfs/dbraw/zinc/71/41/81/1185714181.db2.gz YIOHKXWQNAWHSX-RPHSKFLZSA-N 0 1 305.249 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2cnc(C)o2)CC1 ZINC001499985937 1185727950 /nfs/dbraw/zinc/72/79/50/1185727950.db2.gz CDHISEDZZJQSKD-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN CCc1oc(C[NH2+]C2(c3cccc(C#N)c3)CC2)cc1C(=O)[O-] ZINC001610078262 1193375941 /nfs/dbraw/zinc/37/59/41/1193375941.db2.gz KUERURRFGVJSCU-UHFFFAOYSA-N 0 1 310.353 3.191 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CCc2ccoc2)CC1 ZINC001500419329 1185788757 /nfs/dbraw/zinc/78/87/57/1185788757.db2.gz HQTIXYVIMLQARR-UHFFFAOYSA-N 0 1 324.852 3.183 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C[C@@H](F)CC ZINC001501399274 1185899304 /nfs/dbraw/zinc/89/93/04/1185899304.db2.gz DVKAAAHBBIMSSG-ARFHVFGLSA-N 0 1 310.457 3.402 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)CC(C)(C)C ZINC001501408405 1185901122 /nfs/dbraw/zinc/90/11/22/1185901122.db2.gz WHTCKZVRAAMWFC-JKSUJKDBSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC=C)CCCCC1 ZINC001501407979 1185901433 /nfs/dbraw/zinc/90/14/33/1185901433.db2.gz MKEGDEUYTXFWPW-ROUUACIJSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CCNCc2nc(C)sc2C)C1 ZINC001501544369 1185908229 /nfs/dbraw/zinc/90/82/29/1185908229.db2.gz KEFXFZDXNGCKAN-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccoc2CCC)CCC1 ZINC001502017613 1185947809 /nfs/dbraw/zinc/94/78/09/1185947809.db2.gz PKTIMOIPVXRBHZ-UHFFFAOYSA-N 0 1 310.825 3.227 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2c2ccccc2)CCC1 ZINC001502019099 1185948517 /nfs/dbraw/zinc/94/85/17/1185948517.db2.gz MWFKOBBGWBVINW-JKSUJKDBSA-N 0 1 318.848 3.171 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001502137574 1185959783 /nfs/dbraw/zinc/95/97/83/1185959783.db2.gz IQQGLNOHOVHJBM-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)CC(C)(C)C)O2 ZINC001502427544 1185982861 /nfs/dbraw/zinc/98/28/61/1185982861.db2.gz HOHZQJPOPHHSEY-JKSUJKDBSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@@H](CNC(=O)CC(C)(C)C)O2 ZINC001502427541 1185983640 /nfs/dbraw/zinc/98/36/40/1185983640.db2.gz HOHZQJPOPHHSEY-CVEARBPZSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(C)C(=C)C ZINC001502531651 1185992428 /nfs/dbraw/zinc/99/24/28/1185992428.db2.gz AGPQTCLLIFVWMP-LJQANCHMSA-N 0 1 324.468 3.155 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](F)C(C)C ZINC001502532576 1185992497 /nfs/dbraw/zinc/99/24/97/1185992497.db2.gz TXCZELRNEBRBHH-MSOLQXFVSA-N 0 1 318.436 3.100 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@]3(CCN(CCF)C3)C2)CCC1 ZINC001502545606 1185995401 /nfs/dbraw/zinc/99/54/01/1185995401.db2.gz DGPUPNQDVLLVIY-KRWDZBQOSA-N 0 1 308.441 3.017 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)C3CCCC3)cc2C1 ZINC001502653058 1186000164 /nfs/dbraw/zinc/00/01/64/1186000164.db2.gz OYHYLEWFMXEMGW-INIZCTEOSA-N 0 1 324.468 3.468 20 30 DGEDMN CCCCCC(=O)N1C[C@H](C)[C@H](NCc2ccccc2C#N)C1 ZINC001502700962 1186001975 /nfs/dbraw/zinc/00/19/75/1186001975.db2.gz INKKMMZSTQDWQN-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(C[C@@H](F)CC)CC1(C)C ZINC001502824141 1186018344 /nfs/dbraw/zinc/01/83/44/1186018344.db2.gz SESKSOSCABWFRL-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cncs2)[C@@H](C)C1 ZINC001502986293 1186034116 /nfs/dbraw/zinc/03/41/16/1186034116.db2.gz KJPROGHZMUQWDN-KBPBESRZSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CC(C)(F)F ZINC001503692916 1186050582 /nfs/dbraw/zinc/05/05/82/1186050582.db2.gz ZCIJZDUPNPXLKG-INIZCTEOSA-N 0 1 322.399 3.323 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1(CCC)CC1 ZINC001503692720 1186051452 /nfs/dbraw/zinc/05/14/52/1186051452.db2.gz WUURUSMXASWFNE-LJQANCHMSA-N 0 1 324.468 3.306 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC001505432931 1186079156 /nfs/dbraw/zinc/07/91/56/1186079156.db2.gz VRWMOACTKAIXHX-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)Cc1ccccc1F ZINC001505444886 1186081579 /nfs/dbraw/zinc/08/15/79/1186081579.db2.gz KMICDRAGCFTHFP-WBVHZDCISA-N 0 1 318.436 3.113 20 30 DGEDMN Cc1ccccc1OCC[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001610533578 1193396633 /nfs/dbraw/zinc/39/66/33/1193396633.db2.gz YRXXPXSRSBWXSD-UHFFFAOYSA-N 0 1 324.380 3.076 20 30 DGEDMN Cc1ccccc1OCC[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001610533578 1193396636 /nfs/dbraw/zinc/39/66/36/1193396636.db2.gz YRXXPXSRSBWXSD-UHFFFAOYSA-N 0 1 324.380 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2cc(C)cn2c1 ZINC001505619018 1186115087 /nfs/dbraw/zinc/11/50/87/1186115087.db2.gz SWIZEFVOUYDDON-KBPBESRZSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(C)cc(C)cc1C ZINC001505745067 1186132995 /nfs/dbraw/zinc/13/29/95/1186132995.db2.gz BIWRCQLOHCBVBQ-HUUCEWRRSA-N 0 1 308.853 3.461 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC001506024660 1186182923 /nfs/dbraw/zinc/18/29/23/1186182923.db2.gz UXKNAQRRWOAKEH-UIAACRFSSA-N 0 1 320.436 3.013 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C1CN(CCC(F)(F)F)C1 ZINC001506615781 1186261530 /nfs/dbraw/zinc/26/15/30/1186261530.db2.gz CAOGDSBCQATWGG-LBPRGKRZSA-N 0 1 318.383 3.144 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CCCC(C)C)C2)C1 ZINC001506730499 1186280041 /nfs/dbraw/zinc/28/00/41/1186280041.db2.gz NXILFNKDISIFHU-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001507014377 1186339926 /nfs/dbraw/zinc/33/99/26/1186339926.db2.gz XWAKJBZVUKDOMJ-YALNPMBYSA-N 0 1 322.880 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCCc2ccccc21 ZINC001507088940 1186357862 /nfs/dbraw/zinc/35/78/62/1186357862.db2.gz MZIGHLQDVPCNGV-YOEHRIQHSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1ccccc1C ZINC001507126822 1186368305 /nfs/dbraw/zinc/36/83/05/1186368305.db2.gz UYZQMUKJYUTZNM-HNNXBMFYSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(C)cc(C)cc1C ZINC001507155667 1186374673 /nfs/dbraw/zinc/37/46/73/1186374673.db2.gz WRZNLCULNALHQU-OAHLLOKOSA-N 0 1 308.853 3.414 20 30 DGEDMN CCCC(=O)N1C[C@H](NCC#Cc2cccc(Cl)c2)C[C@H]1C ZINC001507303462 1186385775 /nfs/dbraw/zinc/38/57/75/1186385775.db2.gz YDEODVGGYMXMIT-RHSMWYFYSA-N 0 1 318.848 3.071 20 30 DGEDMN C/C=C(/C)C(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001507778185 1186443516 /nfs/dbraw/zinc/44/35/16/1186443516.db2.gz OGURLEJNEMJSSB-SOFYXZRVSA-N 0 1 313.445 3.193 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(Cl)c1F)C(C)C ZINC001507831399 1186447139 /nfs/dbraw/zinc/44/71/39/1186447139.db2.gz LVGFPGPNDGZXMJ-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@@H](C)c1ccccc1)C(C)C ZINC001507862917 1186451835 /nfs/dbraw/zinc/45/18/35/1186451835.db2.gz NCXIZVVXDIYLGZ-GOSISDBHSA-N 0 1 314.473 3.372 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001507900189 1186456369 /nfs/dbraw/zinc/45/63/69/1186456369.db2.gz BAXMNJBYSYVROB-KRWDZBQOSA-N 0 1 313.445 3.145 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)C2CCN(CCF)CC2)CCCCC1 ZINC001508092810 1186480011 /nfs/dbraw/zinc/48/00/11/1186480011.db2.gz RZIXOEJPIVKICT-INIZCTEOSA-N 0 1 322.468 3.146 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](c2ccccc2)[C@H](C)CC)CC1 ZINC001508231559 1186491630 /nfs/dbraw/zinc/49/16/30/1186491630.db2.gz OHXFPEKHUQMGMU-YLJYHZDGSA-N 0 1 324.468 3.198 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2c[nH]cc2Cc2ccccc2)c1 ZINC001546334214 1186669908 /nfs/dbraw/zinc/66/99/08/1186669908.db2.gz BQLYIWXBOUKBPB-UHFFFAOYSA-N 0 1 317.348 3.435 20 30 DGEDMN N#C[C@@H](C(=O)CCc1ccsc1)c1nc(N)c2ccccc2n1 ZINC001516638139 1186787859 /nfs/dbraw/zinc/78/78/59/1186787859.db2.gz RYUYOJGNAXSYRZ-ZDUSSCGKSA-N 0 1 322.393 3.083 20 30 DGEDMN Cc1ccnc(NCc2ccc(Nc3ccncc3)cc2)c1C#N ZINC001612667341 1193459223 /nfs/dbraw/zinc/45/92/23/1193459223.db2.gz PQMKFIPGEHXUBG-UHFFFAOYSA-N 0 1 315.380 3.434 20 30 DGEDMN N#C[C@@H](C(=O)C[C@@H]1CC=CCC1)c1nc(N)c2ccccc2n1 ZINC001524018735 1187209488 /nfs/dbraw/zinc/20/94/88/1187209488.db2.gz NFWPOLKZHXUDQM-OCCSQVGLSA-N 0 1 306.369 3.135 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1ccc(Cl)c(OC)c1 ZINC001613634517 1193474504 /nfs/dbraw/zinc/47/45/04/1193474504.db2.gz WMIVZOMNHAZWSY-UHFFFAOYSA-N 0 1 311.794 3.124 20 30 DGEDMN Cc1csc(NC(=O)c2cnc3ccccc3c2O)c1C#N ZINC001525499151 1187319011 /nfs/dbraw/zinc/31/90/11/1187319011.db2.gz ZCXWGHJHOCTVMQ-UHFFFAOYSA-N 0 1 309.350 3.022 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CSc2ccccc21 ZINC001526586839 1187376393 /nfs/dbraw/zinc/37/63/93/1187376393.db2.gz FLVHKDBUZQPGBT-MNOVXSKESA-N 0 1 317.801 3.139 20 30 DGEDMN Cc1ccc(F)c(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001530627573 1187638077 /nfs/dbraw/zinc/63/80/77/1187638077.db2.gz VPVOYYWKXKKLOU-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN CCCCCCCNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC001533060397 1187804750 /nfs/dbraw/zinc/80/47/50/1187804750.db2.gz IXBWKHWPBAXXMV-UHFFFAOYSA-N 0 1 304.350 3.171 20 30 DGEDMN Cc1ccc2nc(CNC(=O)c3c(C)cc(C#N)cc3C)[nH]c2c1 ZINC001533465485 1187829010 /nfs/dbraw/zinc/82/90/10/1187829010.db2.gz PSJVLEKGEJQSHD-UHFFFAOYSA-N 0 1 318.380 3.290 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4[nH]ccc43)[nH]c2c1 ZINC001534358141 1187893735 /nfs/dbraw/zinc/89/37/35/1187893735.db2.gz MXWQNVPWVOCDAL-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H](O)CC1(O)CCCCC1 ZINC001615744637 1193526828 /nfs/dbraw/zinc/52/68/28/1193526828.db2.gz VDYVWEXBCIATOM-KRWDZBQOSA-N 0 1 310.482 3.084 20 30 DGEDMN CC(C)(C)CN(CCC#N)C(=O)c1ccc(CN2CCCC2)o1 ZINC001616640590 1193544203 /nfs/dbraw/zinc/54/42/03/1193544203.db2.gz GGBBYSSKMMOTBY-UHFFFAOYSA-N 0 1 317.433 3.277 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccc(F)cc1 ZINC001539962658 1188211568 /nfs/dbraw/zinc/21/15/68/1188211568.db2.gz ZDXWTMLVUPJITC-CYBMUJFWSA-N 0 1 304.708 3.179 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C2CC2)n1 ZINC001543407169 1188331594 /nfs/dbraw/zinc/33/15/94/1188331594.db2.gz BHBVWWZLJDAFDL-UHFFFAOYSA-N 0 1 317.352 3.268 20 30 DGEDMN CS[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001543407508 1188331732 /nfs/dbraw/zinc/33/17/32/1188331732.db2.gz GMJXQRFLBAWGAW-OAHLLOKOSA-N 0 1 322.393 3.477 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1cccc(O)c1F ZINC001617906416 1193585162 /nfs/dbraw/zinc/58/51/62/1193585162.db2.gz YNFOIOQRVDOBIL-UHFFFAOYSA-N 0 1 313.332 3.477 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC001566699532 1188950039 /nfs/dbraw/zinc/95/00/39/1188950039.db2.gz HBZOPZNYXUBHEM-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C)C1CC1 ZINC001566712812 1188951110 /nfs/dbraw/zinc/95/11/10/1188951110.db2.gz RENJMDYHZFXTTD-RRFJBIMHSA-N 0 1 312.885 3.296 20 30 DGEDMN C=CCONC(=O)Cc1csc(-c2ccc(Cl)s2)n1 ZINC001556633537 1189010707 /nfs/dbraw/zinc/01/07/07/1189010707.db2.gz JQMJWEOIZANNFF-UHFFFAOYSA-N 0 1 314.819 3.301 20 30 DGEDMN N#CC[C@H](C(=O)Nc1ccc(F)c(F)c1O)c1ccccc1 ZINC001556934300 1189030033 /nfs/dbraw/zinc/03/00/33/1189030033.db2.gz FHRWRHYLJYBCEE-NSHDSACASA-N 0 1 302.280 3.306 20 30 DGEDMN CN1CCN(C(=O)CC2(C#N)CCCCC2)C[C@@H]1C(C)(C)C ZINC001557281245 1189053126 /nfs/dbraw/zinc/05/31/26/1189053126.db2.gz MUEBOQKSNADCRU-OAHLLOKOSA-N 0 1 305.466 3.039 20 30 DGEDMN N#Cc1cccc(ONC(=O)CCOc2ccccc2Cl)c1 ZINC001557921443 1189092331 /nfs/dbraw/zinc/09/23/31/1189092331.db2.gz WMAKRSGDBYOHKK-UHFFFAOYSA-N 0 1 316.744 3.091 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC001558214223 1189110629 /nfs/dbraw/zinc/11/06/29/1189110629.db2.gz KISPQHIVCJPJNT-QGZVFWFLSA-N 0 1 317.408 3.455 20 30 DGEDMN C=C(CC(C)C)C(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001558740163 1189145540 /nfs/dbraw/zinc/14/55/40/1189145540.db2.gz QUYZLSYPEONJSJ-UHFFFAOYSA-N 0 1 315.373 3.280 20 30 DGEDMN N#Cc1ccc(F)cc1NCCc1cc(-c2ccncc2)[nH]n1 ZINC001559183685 1189178661 /nfs/dbraw/zinc/17/86/61/1189178661.db2.gz DKOYKVRSTCYJMB-UHFFFAOYSA-N 0 1 307.332 3.137 20 30 DGEDMN Cc1c(Cl)cnc(N2CCN(C)[C@H](C(C)(C)C)C2)c1C#N ZINC001559266975 1189189782 /nfs/dbraw/zinc/18/97/82/1189189782.db2.gz SBDXQWQTBLWEKJ-AWEZNQCLSA-N 0 1 306.841 3.082 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCc3cncc(C#N)c3)n2)cc1 ZINC001559332578 1189196820 /nfs/dbraw/zinc/19/68/20/1189196820.db2.gz KKZSZYDQQBKEMS-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN CCN(CC[S@](=O)C(F)(F)F)[C@H](C)c1ccc(C#N)cc1 ZINC001559494983 1189210632 /nfs/dbraw/zinc/21/06/32/1189210632.db2.gz SWBNCWNJIMWQNH-FIKIJFGZSA-N 0 1 318.364 3.210 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CC(C)(C)C1(C)C ZINC001559581936 1189220743 /nfs/dbraw/zinc/22/07/43/1189220743.db2.gz UEOZWLIDEOXXAO-XAUUPUKJSA-N 0 1 319.493 3.426 20 30 DGEDMN CC[C@@H]1CC[C@H](C)N(Cc2cccc(C(=O)OC)c2C#N)C1 ZINC001559835908 1189248136 /nfs/dbraw/zinc/24/81/36/1189248136.db2.gz ACNUXPYVZFVHRF-UONOGXRCSA-N 0 1 300.402 3.355 20 30 DGEDMN CN(Cc1ccc(F)cc1C#N)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001559895943 1189254724 /nfs/dbraw/zinc/25/47/24/1189254724.db2.gz WZELSHUHEDYIQB-KRWDZBQOSA-N 0 1 321.359 3.037 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC001559939438 1189259263 /nfs/dbraw/zinc/25/92/63/1189259263.db2.gz PYWISOKJDSTLKP-LBPRGKRZSA-N 0 1 308.784 3.153 20 30 DGEDMN C=CCC[C@@H](O)CN(C)Cc1ccc(Br)cc1F ZINC001560048517 1189272244 /nfs/dbraw/zinc/27/22/44/1189272244.db2.gz RBRJUNRHAHMVDU-CYBMUJFWSA-N 0 1 316.214 3.347 20 30 DGEDMN CC1(C)C[C@@H](O)CN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC001560074409 1189275214 /nfs/dbraw/zinc/27/52/14/1189275214.db2.gz SXSWDEKKRDATFW-OAHLLOKOSA-N 0 1 311.347 3.150 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)C1 ZINC001560135807 1189282482 /nfs/dbraw/zinc/28/24/82/1189282482.db2.gz CENYPYZZCPAGBK-AWEZNQCLSA-N 0 1 302.374 3.227 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1cc(OC)ccc1OC ZINC001560200515 1189289954 /nfs/dbraw/zinc/28/99/54/1189289954.db2.gz ZHBPGRWVORMTKI-CQSZACIVSA-N 0 1 318.417 3.073 20 30 DGEDMN CC[C@@H](CNC(=O)CCC(C)C)NCc1ccc(C#N)cc1F ZINC001567947799 1189315030 /nfs/dbraw/zinc/31/50/30/1189315030.db2.gz BTXLOULXAUFXBA-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C#Cc1cccc(CNCc2cc(F)c(N(C)C)cc2F)c1 ZINC001560503116 1189333575 /nfs/dbraw/zinc/33/35/75/1189333575.db2.gz BFNXERNXGJMBSM-UHFFFAOYSA-N 0 1 300.352 3.302 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)c2nccc3ccccc32)C1=O ZINC001560788973 1189381473 /nfs/dbraw/zinc/38/14/73/1189381473.db2.gz JASYMOBWUSFZGB-WMLDXEAASA-N 0 1 309.413 3.015 20 30 DGEDMN Cc1ccc(CNCc2cccc(C#N)n2)cc1Br ZINC001560996311 1189417322 /nfs/dbraw/zinc/41/73/22/1189417322.db2.gz ODAZBDNBMSVHRK-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN CCCCCCCCNC(=O)NCc1ccc2cncn2c1 ZINC001564373354 1189571556 /nfs/dbraw/zinc/57/15/56/1189571556.db2.gz UKNZTOMNAXPRCZ-UHFFFAOYSA-N 0 1 302.422 3.494 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1[C@@H](C)c1ccccc1 ZINC001565822888 1189676869 /nfs/dbraw/zinc/67/68/69/1189676869.db2.gz OCKHNBVHJWTHNH-DOTOQJQBSA-N 0 1 300.446 3.493 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cncs2)[C@@H]1CC ZINC001566020098 1189717789 /nfs/dbraw/zinc/71/77/89/1189717789.db2.gz CESUZWIBXMKRDJ-VNQPRFMTSA-N 0 1 321.490 3.215 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)[C@H]1C ZINC001566118004 1189737404 /nfs/dbraw/zinc/73/74/04/1189737404.db2.gz YFPZAVAGLFNKHG-JISXSJDESA-N 0 1 310.441 3.001 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3ncc(C#N)cc3Cl)cc2[nH]1 ZINC001566329075 1189762611 /nfs/dbraw/zinc/76/26/11/1189762611.db2.gz MRRNIZNDYZHIKH-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C[C@H]1CC=CCC1 ZINC001566481406 1189778662 /nfs/dbraw/zinc/77/86/62/1189778662.db2.gz AYZFDSYFWZUZJD-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)CC(F)(F)F ZINC001566559704 1189801772 /nfs/dbraw/zinc/80/17/72/1189801772.db2.gz QFSQQPGNILMNOO-SNVBAGLBSA-N 0 1 314.779 3.156 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(CCOCC)CCCC2)C1 ZINC001566631003 1189838410 /nfs/dbraw/zinc/83/84/10/1189838410.db2.gz FPZZWLVUXAUSIT-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC(C)(C)c2ccccc2)C1 ZINC001566632771 1189838714 /nfs/dbraw/zinc/83/87/14/1189838714.db2.gz FJDMKJDKMRRQHS-QGZVFWFLSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566671684 1189852705 /nfs/dbraw/zinc/85/27/05/1189852705.db2.gz DTVZDEWDXSKHFX-MAUKXSAKSA-N 0 1 318.436 3.449 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001566677701 1189855716 /nfs/dbraw/zinc/85/57/16/1189855716.db2.gz VMIIGXGGIJTGGH-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001566677702 1189856170 /nfs/dbraw/zinc/85/61/70/1189856170.db2.gz VMIIGXGGIJTGGH-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@H]2C=CCCC2)CC1 ZINC001566697946 1189863324 /nfs/dbraw/zinc/86/33/24/1189863324.db2.gz UKDPHANCPZBNHS-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1ncc(C)s1)C1CC1 ZINC001566716968 1189873533 /nfs/dbraw/zinc/87/35/33/1189873533.db2.gz DJNPOVXJFSZVJN-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc2occc2s1)C1CC1 ZINC001566724909 1189875603 /nfs/dbraw/zinc/87/56/03/1189875603.db2.gz RXSFKHOOBRKSSZ-LLVKDONJSA-N 0 1 324.833 3.345 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccoc2CC)C1 ZINC001566735011 1189882839 /nfs/dbraw/zinc/88/28/39/1189882839.db2.gz GDZJQBPDVYTHSB-AWEZNQCLSA-N 0 1 324.852 3.379 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@H](C)SC)C1 ZINC001566736507 1189882964 /nfs/dbraw/zinc/88/29/64/1189882964.db2.gz VLUKDDIRANLFPY-KBPBESRZSA-N 0 1 318.914 3.051 20 30 DGEDMN CCCCC1(C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)CC1 ZINC001566772212 1189896678 /nfs/dbraw/zinc/89/66/78/1189896678.db2.gz AFQQFTPAOBVFMW-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001566777060 1189898844 /nfs/dbraw/zinc/89/88/44/1189898844.db2.gz ZJYIVYCPUPTZIS-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1c(C)noc1C ZINC001566920818 1189951164 /nfs/dbraw/zinc/95/11/64/1189951164.db2.gz ANIJEZJCJRYXOE-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001566923519 1189952357 /nfs/dbraw/zinc/95/23/57/1189952357.db2.gz UMACVDHXXTZXDB-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](CCC)c1ccccc1 ZINC001566928797 1189957855 /nfs/dbraw/zinc/95/78/55/1189957855.db2.gz MSNBCQWSXFSFST-RBUKOAKNSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(F)s1 ZINC001566953185 1189965715 /nfs/dbraw/zinc/96/57/15/1189965715.db2.gz BWEBSCXEVGTWCC-NSHDSACASA-N 0 1 316.829 3.224 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(CF)CCC2)C1 ZINC001566964815 1189974579 /nfs/dbraw/zinc/97/45/79/1189974579.db2.gz BVKSFZSEMGGKEB-UONOGXRCSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2nc(C)c(C)s2)CC1 ZINC001567050702 1190010015 /nfs/dbraw/zinc/01/00/15/1190010015.db2.gz FYERPDJKGVGKHA-GFCCVEGCSA-N 0 1 321.490 3.101 20 30 DGEDMN CCC[C@H](CC)C(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001567066158 1190017764 /nfs/dbraw/zinc/01/77/64/1190017764.db2.gz TYPVCLZBUKVOKW-ZFWWWQNUSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001567066155 1190018097 /nfs/dbraw/zinc/01/80/97/1190018097.db2.gz TYPVCLZBUKVOKW-DZGCQCFKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)C[C@H](C)CC(C)C ZINC001567068847 1190018316 /nfs/dbraw/zinc/01/83/16/1190018316.db2.gz FNCHJOOODVHQCU-DGCLKSJQSA-N 0 1 319.287 3.062 20 30 DGEDMN CC[C@H](CC(=O)NC[C@H](C)NCc1ccccc1C#N)C(C)C ZINC001567071585 1190020690 /nfs/dbraw/zinc/02/06/90/1190020690.db2.gz UBRZVJDNVMRGBZ-JKSUJKDBSA-N 0 1 315.461 3.225 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H](C)CCC=C(C)C ZINC001567380402 1190161773 /nfs/dbraw/zinc/16/17/73/1190161773.db2.gz OYBSKDHDQQGAHY-QZTJIDSGSA-N 0 1 304.478 3.363 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H](C)c1ccc(F)cc1F ZINC001567422897 1190182231 /nfs/dbraw/zinc/18/22/31/1190182231.db2.gz DFJVVCLLPSSHSD-CHWSQXEVSA-N 0 1 323.387 3.014 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN[C@H](C)c1cc(F)ccc1F ZINC001567503916 1190212161 /nfs/dbraw/zinc/21/21/61/1190212161.db2.gz PAVAPSHTHIMKRJ-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001567543001 1190215090 /nfs/dbraw/zinc/21/50/90/1190215090.db2.gz AUBMINZMDQIGNZ-UHFFFAOYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCNCc1cccc(F)c1F ZINC001567547274 1190216588 /nfs/dbraw/zinc/21/65/88/1190216588.db2.gz PWBJNXQMACWJNH-CYBMUJFWSA-N 0 1 310.388 3.115 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@H](C)NCc2ncc(C)o2)CC1 ZINC001567630657 1190230857 /nfs/dbraw/zinc/23/08/57/1190230857.db2.gz WUKNDPHHGAEPJY-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(Cl)c2)C1 ZINC001567687854 1190253681 /nfs/dbraw/zinc/25/36/81/1190253681.db2.gz AQYCQCATWSBBFC-INIZCTEOSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cc(C)cc(C)c2)C1 ZINC001567688289 1190253737 /nfs/dbraw/zinc/25/37/37/1190253737.db2.gz JVPQRTUMIRDUHW-LJQANCHMSA-N 0 1 314.473 3.345 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccccc2F)C1 ZINC001567693206 1190258541 /nfs/dbraw/zinc/25/85/41/1190258541.db2.gz LJTYWWNBCDLVNU-KRWDZBQOSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)C2CCCC2)C1 ZINC001567742921 1190282905 /nfs/dbraw/zinc/28/29/05/1190282905.db2.gz SNUFSLDLFPWAOY-LSDHHAIUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(CCC)CC2)CC1 ZINC001567750282 1190286796 /nfs/dbraw/zinc/28/67/96/1190286796.db2.gz IMKCHBKALRERNV-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001567751907 1190288213 /nfs/dbraw/zinc/28/82/13/1190288213.db2.gz XGCIEVAGEXXKLC-CZUORRHYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(C)cc2Cl)C1 ZINC001567798187 1190300821 /nfs/dbraw/zinc/30/08/21/1190300821.db2.gz KFJHWFCTHLWCQJ-AWEZNQCLSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCCCC(=O)NCC1CN(CCc2ccccc2Cl)C1 ZINC001567838599 1190316567 /nfs/dbraw/zinc/31/65/67/1190316567.db2.gz GBHDQYYVCOXEBZ-UHFFFAOYSA-N 0 1 320.864 3.287 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1CC ZINC001567854460 1190322326 /nfs/dbraw/zinc/32/23/26/1190322326.db2.gz HAAYDSREKUJUCM-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)CCCCCc2cccs2)C1 ZINC001567924975 1190356148 /nfs/dbraw/zinc/35/61/48/1190356148.db2.gz UWDKSYVATCTSRJ-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001567929227 1190359360 /nfs/dbraw/zinc/35/93/60/1190359360.db2.gz YUHVIRBQHDCYJN-QZTJIDSGSA-N 0 1 318.436 3.284 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001567944306 1190368685 /nfs/dbraw/zinc/36/86/85/1190368685.db2.gz QSIRDUCXLCCACB-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(F)cc(C)cc1F ZINC001567967688 1190379409 /nfs/dbraw/zinc/37/94/09/1190379409.db2.gz LXJQNLFKXBQEJV-NSHDSACASA-N 0 1 316.779 3.124 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2ccccc2o1 ZINC001567987456 1190388926 /nfs/dbraw/zinc/38/89/26/1190388926.db2.gz PJPGMWRIYAMBOR-CYBMUJFWSA-N 0 1 306.793 3.283 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)C=C(CC)CC ZINC001568265831 1190467372 /nfs/dbraw/zinc/46/73/72/1190467372.db2.gz GYZPOWAPPZFWFF-GFCCVEGCSA-N 0 1 317.271 3.078 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNCc1cccc(F)c1Cl ZINC001568270562 1190469616 /nfs/dbraw/zinc/46/96/16/1190469616.db2.gz AWPVGGKBCQTGRL-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCCCC1(F)F ZINC001568289068 1190473653 /nfs/dbraw/zinc/47/36/53/1190473653.db2.gz RECVZSPGQKDEGT-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(F)F)C1CCCCC1 ZINC001568340204 1190496134 /nfs/dbraw/zinc/49/61/34/1190496134.db2.gz KPPWSHSHCBXZNG-GFCCVEGCSA-N 0 1 308.800 3.049 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C[C@@H]1C=CCCC1 ZINC001568751987 1190585361 /nfs/dbraw/zinc/58/53/61/1190585361.db2.gz XKBDJTCKGIJHAR-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1scc(C)c1Cl ZINC001568856298 1190595171 /nfs/dbraw/zinc/59/51/71/1190595171.db2.gz XLXUFXKBBXCICH-ARJAWSKDSA-N 0 1 319.257 3.338 20 30 DGEDMN C=C1CCC(C(=O)NC/C=C/CNCC=C(Cl)Cl)CC1 ZINC001568914069 1190599051 /nfs/dbraw/zinc/59/90/51/1190599051.db2.gz QXAOSUMQLTYSIB-NSCUHMNNSA-N 0 1 317.260 3.314 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(CC)c(CC)c1 ZINC001568975970 1190603361 /nfs/dbraw/zinc/60/33/61/1190603361.db2.gz AYLMYUNLGYRIJX-VOTSOKGWSA-N 0 1 320.864 3.440 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)CC1(C)CCCC1 ZINC001569054305 1190614166 /nfs/dbraw/zinc/61/41/66/1190614166.db2.gz JJGGBASSHHABIW-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2nc(C)ccc2c1 ZINC001569086456 1190619282 /nfs/dbraw/zinc/61/92/82/1190619282.db2.gz REHHWFSTDRSEDX-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1C(C)C)C1CC1 ZINC001569331718 1190668370 /nfs/dbraw/zinc/66/83/70/1190668370.db2.gz RGBNZBPXNUCIAV-AWEZNQCLSA-N 0 1 310.825 3.254 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1scc(C)c1Cl ZINC001569360450 1190675960 /nfs/dbraw/zinc/67/59/60/1190675960.db2.gz ZXLCGVBSOYGKIK-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1ccc(C(F)F)nc1 ZINC001569362198 1190676630 /nfs/dbraw/zinc/67/66/30/1190676630.db2.gz WZFFBDHPWMMXPG-OCCSQVGLSA-N 0 1 323.387 3.178 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1ccc(C)cc1C ZINC001569367911 1190679132 /nfs/dbraw/zinc/67/91/32/1190679132.db2.gz QNPJEUUKSATCSU-GOSISDBHSA-N 0 1 300.446 3.003 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2ccccc2CC)C1 ZINC001569372761 1190680505 /nfs/dbraw/zinc/68/05/05/1190680505.db2.gz GYKPYFSECUEYKR-SFHVURJKSA-N 0 1 312.457 3.296 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2Cc2cccc(C)c2)CCC1 ZINC001569373356 1190681831 /nfs/dbraw/zinc/68/18/31/1190681831.db2.gz MPSFCBWEWSOHEQ-GOSISDBHSA-N 0 1 312.457 3.432 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(O)c2Br)s1 ZINC001569379196 1190684207 /nfs/dbraw/zinc/68/42/07/1190684207.db2.gz RPZNMELSIGRLFZ-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001569378344 1190684316 /nfs/dbraw/zinc/68/43/16/1190684316.db2.gz PQOIGVGAQZSMGR-MAUKXSAKSA-N 0 1 300.446 3.119 20 30 DGEDMN CC(C)C#CC(=O)N(C)C1CN(CC[C@H]2CCC[C@@H](C)C2)C1 ZINC001569488915 1190707233 /nfs/dbraw/zinc/70/72/33/1190707233.db2.gz BDZJOZJWHFWBNI-IAGOWNOFSA-N 0 1 304.478 3.005 20 30 DGEDMN CC(C)C#CC(=O)N(C)C1CN(CC2CCC(C)(C)CC2)C1 ZINC001569489217 1190707591 /nfs/dbraw/zinc/70/75/91/1190707591.db2.gz LDGHGRSHEIBQNZ-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CC(C)(C)CC(F)F)C1 ZINC001569496668 1190708566 /nfs/dbraw/zinc/70/85/66/1190708566.db2.gz WDHLHXLEZPMMDV-UHFFFAOYSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2cccc3ccccc32)C1 ZINC001569504596 1190709922 /nfs/dbraw/zinc/70/99/22/1190709922.db2.gz NWEXKPBGFCBWPT-UHFFFAOYSA-N 0 1 322.452 3.491 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001569556971 1190716278 /nfs/dbraw/zinc/71/62/78/1190716278.db2.gz MDBKTTRKXLKNJZ-BBWFWOEESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2cnoc2C)CC1 ZINC001569575551 1190719163 /nfs/dbraw/zinc/71/91/63/1190719163.db2.gz VJKNKRYZRNCDNF-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2cnoc2C)CC1 ZINC001569575550 1190719297 /nfs/dbraw/zinc/71/92/97/1190719297.db2.gz VJKNKRYZRNCDNF-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569589369 1190720336 /nfs/dbraw/zinc/72/03/36/1190720336.db2.gz JVQZORRQDVKFQX-INIZCTEOSA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCCC)C1CCN(C[C@H](F)CC)CC1 ZINC001569586189 1190720533 /nfs/dbraw/zinc/72/05/33/1190720533.db2.gz AHWHSMJAXKNSJQ-IAGOWNOFSA-N 0 1 324.484 3.487 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001569647823 1190732470 /nfs/dbraw/zinc/73/24/70/1190732470.db2.gz NLXHLSFRJFLLEV-MJGOQNOKSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001569685994 1190740695 /nfs/dbraw/zinc/74/06/95/1190740695.db2.gz WWSUOPJWAZYVRF-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc3cccc(C)c3o2)C1 ZINC001569725764 1190750536 /nfs/dbraw/zinc/75/05/36/1190750536.db2.gz HAYRIGHAUNXDOO-HXUWFJFHSA-N 0 1 324.424 3.206 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](C=C)c2ccccc2)C1 ZINC001569726390 1190751278 /nfs/dbraw/zinc/75/12/78/1190751278.db2.gz PGXLCPGBDUPIHP-WIYYLYMNSA-N 0 1 324.468 3.198 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@]1(C)CC=CCC1)c1ccccc1CC ZINC001569800222 1190755399 /nfs/dbraw/zinc/75/53/99/1190755399.db2.gz OCDWXDLNFZLYMI-CTNGQTDRSA-N 0 1 324.468 3.376 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]([C@H](C)NCc2ncc(C(C)C)o2)C1 ZINC001569807241 1190757316 /nfs/dbraw/zinc/75/73/16/1190757316.db2.gz VIRBGYYBBIAEFY-GJZGRUSLSA-N 0 1 319.449 3.091 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@@]1(C)C(C)C ZINC001570028110 1190783231 /nfs/dbraw/zinc/78/32/31/1190783231.db2.gz JMTREBHUSJTZJN-QUCCMNQESA-N 0 1 312.457 3.050 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@@H](CC)OC)cc1 ZINC001570029651 1190784413 /nfs/dbraw/zinc/78/44/13/1190784413.db2.gz FQOHRTHCQGCYJV-CZUORRHYSA-N 0 1 324.852 3.131 20 30 DGEDMN N#C[C@H](CCc1ccccc1)C(=O)Nc1ccc(F)c(F)c1O ZINC001570132455 1190802600 /nfs/dbraw/zinc/80/26/00/1190802600.db2.gz GEEZGSULOLUKJA-LBPRGKRZSA-N 0 1 316.307 3.381 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2conc2CC)CC1 ZINC001570177840 1190807985 /nfs/dbraw/zinc/80/79/85/1190807985.db2.gz UAJZYZVMDBKPEK-MRXNPFEDSA-N 0 1 319.449 3.064 20 30 DGEDMN CC(C)CC(=O)N(C)CCCN(C)CC#Cc1ccc(F)cc1 ZINC001570189161 1190812731 /nfs/dbraw/zinc/81/27/31/1190812731.db2.gz NUBNQUOKZVFCJW-UHFFFAOYSA-N 0 1 318.436 3.004 20 30 DGEDMN CCCCC(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001570190263 1190813632 /nfs/dbraw/zinc/81/36/32/1190813632.db2.gz FTSGWKMPYUIUGE-UHFFFAOYSA-N 0 1 307.463 3.090 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2ccncc2Cl)C1 ZINC001570342124 1190841228 /nfs/dbraw/zinc/84/12/28/1190841228.db2.gz NFTCSIRGEXRPDQ-CJNGLKHVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001570441410 1190859099 /nfs/dbraw/zinc/85/90/99/1190859099.db2.gz TXYDXDHKMCHHBU-MJGOQNOKSA-N 0 1 324.468 3.219 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001570441408 1190859527 /nfs/dbraw/zinc/85/95/27/1190859527.db2.gz TXYDXDHKMCHHBU-HKUYNNGSSA-N 0 1 324.468 3.219 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1nc2ccccc2o1 ZINC001570460319 1190863951 /nfs/dbraw/zinc/86/39/51/1190863951.db2.gz PYNQUWJCHZAXFE-AWEZNQCLSA-N 0 1 315.417 3.169 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1CC2(CCC2)C1 ZINC001570513214 1190872586 /nfs/dbraw/zinc/87/25/86/1190872586.db2.gz GWWKDVGIDPVTSN-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](CC)CCC ZINC001570521950 1190873938 /nfs/dbraw/zinc/87/39/38/1190873938.db2.gz KRZXUVGWXMMZLN-ATZDWAIDSA-N 0 1 312.457 3.208 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1coc2cc(C)c(C)cc21 ZINC001570536948 1190879042 /nfs/dbraw/zinc/87/90/42/1190879042.db2.gz WBBODTRNMVQEGG-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1coc2cc(C)c(C)cc21 ZINC001570536948 1190879047 /nfs/dbraw/zinc/87/90/47/1190879047.db2.gz WBBODTRNMVQEGG-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CC)CC1CCCC1 ZINC001570541924 1190882526 /nfs/dbraw/zinc/88/25/26/1190882526.db2.gz LPHJPYWWDXZVOR-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C2CCC2)c1 ZINC001570543489 1190883452 /nfs/dbraw/zinc/88/34/52/1190883452.db2.gz JHZOQBVKADRLDA-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001570590072 1190894605 /nfs/dbraw/zinc/89/46/05/1190894605.db2.gz MBZYWEYZBPXZSO-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C[C@H](NCc1cc(F)ccc1C#N)[C@H](C)NC(=O)CC(C)(C)C ZINC001570589910 1190894797 /nfs/dbraw/zinc/89/47/97/1190894797.db2.gz KZAKMTTXHYYVFF-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2[nH]ccc2c1 ZINC001570630715 1190905587 /nfs/dbraw/zinc/90/55/87/1190905587.db2.gz LADQZJLRAVQJDV-NEPJUHHUSA-N 0 1 305.809 3.017 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1oc(CC)nc1C ZINC001570636932 1190907387 /nfs/dbraw/zinc/90/73/87/1190907387.db2.gz PBBGUYINTLPWEF-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1c(C)noc1CC ZINC001570636907 1190907421 /nfs/dbraw/zinc/90/74/21/1190907421.db2.gz OVUYAWBJUMYKFD-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1coc2ccccc12 ZINC001570642738 1190908847 /nfs/dbraw/zinc/90/88/47/1190908847.db2.gz XFAHOQFUXDPGRS-STQMWFEESA-N 0 1 320.820 3.211 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)c(F)c(C)c1 ZINC001570652900 1190910769 /nfs/dbraw/zinc/91/07/69/1190910769.db2.gz KOVWMTJTBKPNNP-OLZOCXBDSA-N 0 1 312.816 3.291 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001570853467 1190966303 /nfs/dbraw/zinc/96/63/03/1190966303.db2.gz BWDHPULROKVUFI-SUMWQHHRSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)CCCC)C2)C1 ZINC001570882107 1190969323 /nfs/dbraw/zinc/96/93/23/1190969323.db2.gz MIPSSABPKIIPQT-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CC[C@H](C)CC)C2)C1 ZINC001570886874 1190969769 /nfs/dbraw/zinc/96/97/69/1190969769.db2.gz QLHQHLGACKSKMJ-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2[C@H]3CN(CC(=C)Cl)C[C@H]32)CCCC1 ZINC001570967179 1190978497 /nfs/dbraw/zinc/97/84/97/1190978497.db2.gz YPVBVIKPCIEIBX-PHZGNYQRSA-N 0 1 322.880 3.169 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCNCc1csc(C)n1 ZINC001571297346 1190990371 /nfs/dbraw/zinc/99/03/71/1190990371.db2.gz SAUSJFWECCSPPY-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(Cl)cc1F ZINC001571396175 1191013506 /nfs/dbraw/zinc/01/35/06/1191013506.db2.gz XAKVZVVFUXRWQK-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001571411126 1191018196 /nfs/dbraw/zinc/01/81/96/1191018196.db2.gz XOCAMHQXPUJZKN-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCCCC1(C)C ZINC001571419056 1191020703 /nfs/dbraw/zinc/02/07/03/1191020703.db2.gz KDSXYZZLEWPYGV-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN CCCCC(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001571495983 1191032128 /nfs/dbraw/zinc/03/21/28/1191032128.db2.gz YECATMNKSDHBJG-FPOVZHCZSA-N 0 1 324.468 3.342 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC(C)(C)CC(F)F)[C@@H]1C ZINC001571520082 1191040674 /nfs/dbraw/zinc/04/06/74/1191040674.db2.gz ANLCIEDTBWTPJU-UONOGXRCSA-N 0 1 314.420 3.050 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](C)CC1CCCCC1)C(C)C ZINC001571615236 1191063176 /nfs/dbraw/zinc/06/31/76/1191063176.db2.gz KETRPSQZBLVHSF-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCC1(NC(=O)Nc2cccc(-c3cn[nH]n3)c2)CCCCC1 ZINC001574850291 1191453775 /nfs/dbraw/zinc/45/37/75/1191453775.db2.gz OIHWMDPAYRYFSF-UHFFFAOYSA-N 0 1 323.400 3.319 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1nc(C2CCCCC2)c(C)s1 ZINC001574970612 1191461224 /nfs/dbraw/zinc/46/12/24/1191461224.db2.gz WOHFWLBYYMWACX-ZDUSSCGKSA-N 0 1 305.447 3.178 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1cc2oc3ccccc3c2cc1OC ZINC001574972915 1191461842 /nfs/dbraw/zinc/46/18/42/1191461842.db2.gz QXVDTWLHPJUWQW-CQSZACIVSA-N 0 1 322.364 3.274 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@H](CCC)c2c[nH]nn2)c(Cl)c1 ZINC001575032869 1191463273 /nfs/dbraw/zinc/46/32/73/1191463273.db2.gz PODVXJWKBGIETQ-CYBMUJFWSA-N 0 1 317.780 3.102 20 30 DGEDMN C=C1CCN(Cc2c(Cl)cccc2OCc2c[nH]nn2)CC1 ZINC001575061439 1191464452 /nfs/dbraw/zinc/46/44/52/1191464452.db2.gz GXEVKZPFLUQSLD-UHFFFAOYSA-N 0 1 318.808 3.189 20 30 DGEDMN C=C(CC)C(=O)N(Cc1c[nH]nn1)[C@@H]1CCCc2ccccc21 ZINC001575053867 1191464735 /nfs/dbraw/zinc/46/47/35/1191464735.db2.gz LQDLZDCVKOVTRN-QGZVFWFLSA-N 0 1 310.401 3.177 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)Cc1c(Cl)oc2ccccc21 ZINC001575118208 1191467276 /nfs/dbraw/zinc/46/72/76/1191467276.db2.gz KYFUMFBRVYZFKZ-UHFFFAOYSA-N 0 1 314.776 3.230 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](CCc2ccccc2)C(=O)OCC)C1 ZINC001618797091 1193752532 /nfs/dbraw/zinc/75/25/32/1193752532.db2.gz AOBBTQQYWGWELE-RTBURBONSA-N 0 1 313.441 3.286 20 30 DGEDMN C#C[C@H]1CCCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC001618915961 1193754937 /nfs/dbraw/zinc/75/49/37/1193754937.db2.gz IGSDCOLNSPPLSN-AWEZNQCLSA-N 0 1 307.315 3.282 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)[C@@H](Cc2ccccc2)C1 ZINC001619715055 1193761117 /nfs/dbraw/zinc/76/11/17/1193761117.db2.gz OLLUIHYFUPGACH-FQEVSTJZSA-N 0 1 323.415 3.056 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CCO[C@H](c3ccccc3)CC2)c1 ZINC001620670945 1193789251 /nfs/dbraw/zinc/78/92/51/1193789251.db2.gz BGYSNCUFCMWYKE-UXHICEINSA-N 0 1 322.408 3.055 20 30 DGEDMN CC(C)CN(CCC#N)CC1(c2ccc3c(c2)OCO3)CC1 ZINC001621210623 1193817432 /nfs/dbraw/zinc/81/74/32/1193817432.db2.gz YTFSYXSYHDUSTL-UHFFFAOYSA-N 0 1 300.402 3.319 20 30 DGEDMN C[C@H]1CCN(Cc2csc(C#N)c2)C[C@@H]1C(=O)OC(C)(C)C ZINC001621409530 1193839555 /nfs/dbraw/zinc/83/95/55/1193839555.db2.gz ZEOFBCJNHYAMLD-WFASDCNBSA-N 0 1 320.458 3.419 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3nsc4ccccc43)[nH]c2c1 ZINC001623609175 1193940775 /nfs/dbraw/zinc/94/07/75/1193940775.db2.gz KAIBTMCXGMEUSB-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OC(F)F)cc2F)c1 ZINC001624288202 1193990923 /nfs/dbraw/zinc/99/09/23/1193990923.db2.gz WYQLEGYHUOEXCH-UHFFFAOYSA-N 0 1 322.242 3.257 20 30 DGEDMN CC[C@H](NC(=O)C(F)(F)c1ccccc1C#N)C(F)(F)F ZINC001625540173 1194057357 /nfs/dbraw/zinc/05/73/57/1194057357.db2.gz ZAFFQYRXORYGRV-JTQLQIEISA-N 0 1 306.234 3.107 20 30 DGEDMN C=C[C@H](C(=O)NCc1n[nH]c(-c2ccccc2)n1)c1ccccc1 ZINC001627764894 1194143537 /nfs/dbraw/zinc/14/35/37/1194143537.db2.gz IPPSTBIXUXNTLE-INIZCTEOSA-N 0 1 318.380 3.058 20 30 DGEDMN C=C[C@H](C(=O)NCc1nc(-c2ccccc2)n[nH]1)c1ccccc1 ZINC001627764894 1194143542 /nfs/dbraw/zinc/14/35/42/1194143542.db2.gz IPPSTBIXUXNTLE-INIZCTEOSA-N 0 1 318.380 3.058 20 30 DGEDMN C=C(CC)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC001628342381 1194173771 /nfs/dbraw/zinc/17/37/71/1194173771.db2.gz UYJMRHLZBOVWPL-GVDBMIGSSA-N 0 1 300.446 3.463 20 30 DGEDMN C=CCC1(NC(=O)CN(C)CCc2ccccc2)CCCC1 ZINC001629426634 1194233327 /nfs/dbraw/zinc/23/33/27/1194233327.db2.gz FOACLGDWWIUEAV-UHFFFAOYSA-N 0 1 300.446 3.166 20 30 DGEDMN COc1c(C)cc(Cl)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001629721683 1194248968 /nfs/dbraw/zinc/24/89/68/1194248968.db2.gz QCOZRVBVCXISJO-UHFFFAOYSA-N 0 1 316.744 3.487 20 30 DGEDMN COc1cc(C#N)ccc1C(=O)Nc1ccc2nc(C)[nH]c2c1 ZINC001630114035 1194269617 /nfs/dbraw/zinc/26/96/17/1194269617.db2.gz XAPWYWYCIIRWLX-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4c(cccc4F)[nH]3)[nH]c2c1 ZINC001631012237 1194314059 /nfs/dbraw/zinc/31/40/59/1194314059.db2.gz LTXZLJGFDOFGAR-UHFFFAOYSA-N 0 1 319.299 3.307 20 30 DGEDMN C=C(Br)CNC(=O)c1cccc(C(F)(F)F)c1O ZINC001631602690 1194344666 /nfs/dbraw/zinc/34/46/66/1194344666.db2.gz SNJYAQWWUXMWNY-UHFFFAOYSA-N 0 1 324.096 3.049 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001671153589 1194411157 /nfs/dbraw/zinc/41/11/57/1194411157.db2.gz YWYFSHMLZDYVIS-UOVPBQLFSA-N 0 1 304.478 3.310 20 30 DGEDMN CC(C)(C)CN(CCC#N)C(=O)c1c2[nH]cnc2ccc1F ZINC001633076063 1194419995 /nfs/dbraw/zinc/41/99/95/1194419995.db2.gz RJOWOEVEJZMFKY-UHFFFAOYSA-N 0 1 302.353 3.104 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(C#N)ccc2O)oc1Br ZINC001633077244 1194420328 /nfs/dbraw/zinc/42/03/28/1194420328.db2.gz PXTGARFMWAOUBG-UHFFFAOYSA-N 0 1 321.130 3.180 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc(-c3ccc4ccccc4c3)n[nH]2)NO1 ZINC001633317130 1194431827 /nfs/dbraw/zinc/43/18/27/1194431827.db2.gz NQAANJOQQUQNKK-NSHDSACASA-N 0 1 320.352 3.082 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1ccc(Cl)c(O)c1 ZINC001636630837 1194625393 /nfs/dbraw/zinc/62/53/93/1194625393.db2.gz HAIRJFTUEHIPBB-ZDUSSCGKSA-N 0 1 307.777 3.186 20 30 DGEDMN Cc1ccc2[nH]cc(C(=O)NCC3(C#N)CCCCC3)c(=O)c2c1 ZINC001638936263 1194744123 /nfs/dbraw/zinc/74/41/23/1194744123.db2.gz YDPKNWRVECGFBU-UHFFFAOYSA-N 0 1 323.396 3.040 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(CC)C[C@H]1C ZINC001671186982 1194753397 /nfs/dbraw/zinc/75/33/97/1194753397.db2.gz IRSWZSGXCQPCDI-OAHLLOKOSA-N 0 1 301.434 3.363 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(C)[C@H](Cc3ccccc3)C2)c1 ZINC001639890975 1194786656 /nfs/dbraw/zinc/78/66/56/1194786656.db2.gz BMPIOFXZJYVYHN-QGZVFWFLSA-N 0 1 323.415 3.369 20 30 DGEDMN CC(C)[C@H]1C[N@@H+](Cc2ccccc2)CCN1c1cccc(C#N)n1 ZINC001640182685 1194800310 /nfs/dbraw/zinc/80/03/10/1194800310.db2.gz YILSLBMIKMYHJD-LJQANCHMSA-N 0 1 320.440 3.300 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001640396957 1194811148 /nfs/dbraw/zinc/81/11/48/1194811148.db2.gz BWWZMCDXXVQWPG-DOTOQJQBSA-N 0 1 324.428 3.260 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2cccc(O)c2Br)c1 ZINC001641122898 1194840559 /nfs/dbraw/zinc/84/05/59/1194840559.db2.gz UQBVHONXEQMWHE-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN C[C@H]1c2c(F)cccc2CCN1C[C@@H](O)c1cccc(C#N)c1 ZINC001641851753 1194875103 /nfs/dbraw/zinc/87/51/03/1194875103.db2.gz MTSRUZRCWUHWGZ-SCLBCKFNSA-N 0 1 310.372 3.350 20 30 DGEDMN CCC[C@H]1CCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641930570 1194879113 /nfs/dbraw/zinc/87/91/13/1194879113.db2.gz YTNLTMZCCNKXDN-ZFWWWQNUSA-N 0 1 323.400 3.498 20 30 DGEDMN N#CCc1ccccc1CN1CCN(c2ccc(F)cc2)CC1 ZINC000057660846 1194908028 /nfs/dbraw/zinc/90/80/28/1194908028.db2.gz WRDWPNSLMOFRGH-UHFFFAOYSA-N 0 1 309.388 3.214 20 30 DGEDMN C#CC[C@H]1CCCN([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC001643559372 1195034946 /nfs/dbraw/zinc/03/49/46/1195034946.db2.gz FJWNIQAZOWBXEI-YJBOKZPZSA-N 0 1 314.404 3.056 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC001644175402 1195087414 /nfs/dbraw/zinc/08/74/14/1195087414.db2.gz QINTZZAZCYKOPR-LJQANCHMSA-N 0 1 312.457 3.159 20 30 DGEDMN C=CCCCCN1CCN(C(=O)Cc2ccc(Cl)cc2)CC1 ZINC001644334236 1195102157 /nfs/dbraw/zinc/10/21/57/1195102157.db2.gz QKEMBSRMCXVUQZ-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001646393622 1195227243 /nfs/dbraw/zinc/22/72/43/1195227243.db2.gz XCBQVRWMRJKOII-ZIAGYGMSSA-N 0 1 304.821 3.402 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@H](C3CCCCC3)C2)cc1 ZINC001647129269 1195245578 /nfs/dbraw/zinc/24/55/78/1195245578.db2.gz PGNYSTVKDSJOMD-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN C#CCCCCCCN1CCN(c2ccc(F)cc2C#N)CC1 ZINC001648067115 1195275123 /nfs/dbraw/zinc/27/51/23/1195275123.db2.gz KOWRPJRSVKEQNI-UHFFFAOYSA-N 0 1 313.420 3.403 20 30 DGEDMN N#Cc1ccc(NCCNCc2c(Cl)cccc2Cl)nc1 ZINC001648763800 1195329488 /nfs/dbraw/zinc/32/94/88/1195329488.db2.gz JAQXILDPYOWIEQ-UHFFFAOYSA-N 0 1 321.211 3.462 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cn[nH]c3C3CC3)cc21 ZINC001651245097 1195432157 /nfs/dbraw/zinc/43/21/57/1195432157.db2.gz ABKUXSZENBDHFS-UHFFFAOYSA-N 0 1 307.357 3.075 20 30 DGEDMN N#Cc1ccc(CCNCc2ncc(Br)s2)cc1 ZINC001651319202 1195443367 /nfs/dbraw/zinc/44/33/67/1195443367.db2.gz DNIDALCMXSMNDP-UHFFFAOYSA-N 0 1 322.231 3.110 20 30 DGEDMN N#CCC[C@@H](Nc1nc(Cl)nc2[nH]cnc21)c1ccccc1 ZINC001651664862 1195492970 /nfs/dbraw/zinc/49/29/70/1195492970.db2.gz SHEVCUWISGQKAM-LLVKDONJSA-N 0 1 312.764 3.463 20 30 DGEDMN CCC1CCC(NCC2CCC(C#N)CC2)(C(=O)OC)CC1 ZINC001652804995 1195654859 /nfs/dbraw/zinc/65/48/59/1195654859.db2.gz OAMHEWULHLWXQR-UHFFFAOYSA-N 0 1 306.450 3.418 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@H](CO)c2cccc(F)c2)c1 ZINC001652983107 1195677234 /nfs/dbraw/zinc/67/72/34/1195677234.db2.gz WMJJSQBYSQXPLN-MRXNPFEDSA-N 0 1 304.752 3.174 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3ccncn3)C2)c(Cl)c1 ZINC001653188815 1195696676 /nfs/dbraw/zinc/69/66/76/1195696676.db2.gz ZYWPXXYLVCXVQC-HNNXBMFYSA-N 0 1 312.804 3.381 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)c1cccc2nc[nH]c21 ZINC001653589326 1195737137 /nfs/dbraw/zinc/73/71/37/1195737137.db2.gz FGHGHZZTGAICOH-CQSZACIVSA-N 0 1 317.433 3.404 20 30 DGEDMN N#Cc1cccc(CN[C@@H](CO)c2ccccc2C(F)(F)F)c1 ZINC001654885765 1195851221 /nfs/dbraw/zinc/85/12/21/1195851221.db2.gz QMLZQWVSGQBHLM-INIZCTEOSA-N 0 1 320.314 3.400 20 30 DGEDMN C[C@@H]1CN(C[C@@H](O)c2cccc(C#N)c2)CC2(CCCCC2)O1 ZINC001654896542 1195851740 /nfs/dbraw/zinc/85/17/40/1195851740.db2.gz JPHQMXOVILSTOA-CRAIPNDOSA-N 0 1 314.429 3.015 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@@]23CCCOC3)c([N+](=O)[O-])c1 ZINC001655145476 1195882368 /nfs/dbraw/zinc/88/23/68/1195882368.db2.gz XXQQLDTZEQUGNQ-KRWDZBQOSA-N 0 1 315.373 3.002 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001656101482 1196001153 /nfs/dbraw/zinc/00/11/53/1196001153.db2.gz AFZYMVGRUJSBPA-LJQANCHMSA-N 0 1 314.473 3.345 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001656216550 1196019575 /nfs/dbraw/zinc/01/95/75/1196019575.db2.gz UUSZKUVNEPPTAE-VRAPNEHJSA-N 0 1 323.440 3.065 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001656664804 1196059781 /nfs/dbraw/zinc/05/97/81/1196059781.db2.gz IFEWMHMFPFLXGI-QXAKKESOSA-N 0 1 314.473 3.439 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)CC(C)(C)CC(=O)OCC ZINC001657301281 1196127921 /nfs/dbraw/zinc/12/79/21/1196127921.db2.gz ZDZUHDHIWJGPCW-UHFFFAOYSA-N 0 1 305.393 3.240 20 30 DGEDMN C=CC[C@H]1CC[C@H](NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC001657822516 1196182063 /nfs/dbraw/zinc/18/20/63/1196182063.db2.gz IFECUZLPDQMQRY-STQMWFEESA-N 0 1 318.408 3.481 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H](O)CC(C)(C)C1 ZINC001658110723 1196213171 /nfs/dbraw/zinc/21/31/71/1196213171.db2.gz CWIILHDJTUSIOO-AWEZNQCLSA-N 0 1 321.848 3.335 20 30 DGEDMN C[C@H]1C[C@@H](C(=O)OC(C)(C)C)CN(CC#Cc2ccccc2)C1 ZINC001658375205 1196248010 /nfs/dbraw/zinc/24/80/10/1196248010.db2.gz OYGPPGLYKJONCP-FUHWJXTLSA-N 0 1 313.441 3.338 20 30 DGEDMN N#Cc1ccsc1N1CC[C@H](N2CCCCCCCC2)C1=O ZINC001658670863 1196286262 /nfs/dbraw/zinc/28/62/62/1196286262.db2.gz OQWNIGSEUMBOQM-HNNXBMFYSA-N 0 1 317.458 3.381 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1C[N@H+]1CCCc2ccccc2CC1 ZINC001658671198 1196286445 /nfs/dbraw/zinc/28/64/45/1196286445.db2.gz XBEALOYRIPLHLS-UHFFFAOYSA-N 0 1 321.380 3.457 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1CN1CCCc2ccccc2CC1 ZINC001658671198 1196286449 /nfs/dbraw/zinc/28/64/49/1196286449.db2.gz XBEALOYRIPLHLS-UHFFFAOYSA-N 0 1 321.380 3.457 20 30 DGEDMN C#CC[N@H+](Cc1cc(Br)cc(F)c1[O-])CC1CC1 ZINC001659027404 1196333268 /nfs/dbraw/zinc/33/32/68/1196333268.db2.gz YNYUSMRFXCVVCU-UHFFFAOYSA-N 0 1 312.182 3.139 20 30 DGEDMN N#Cc1ccc(OC2CN(Cc3cccc(Cl)c3O)C2)cc1 ZINC001659408026 1196380928 /nfs/dbraw/zinc/38/09/28/1196380928.db2.gz LGLJYHOQJOZTJX-UHFFFAOYSA-N 0 1 314.772 3.180 20 30 DGEDMN Cc1cc(F)ncc1CN(C)CCOCc1ccc(C#N)cc1 ZINC001659916054 1196428721 /nfs/dbraw/zinc/42/87/21/1196428721.db2.gz CTCDKUAFBZRAHS-UHFFFAOYSA-N 0 1 313.376 3.049 20 30 DGEDMN C#CC[C@H]1CCN(CC(=O)N[C@H](c2cccs2)C(C)(C)C)C1 ZINC001660118840 1196448393 /nfs/dbraw/zinc/44/83/93/1196448393.db2.gz RTUJVJGCXFKQIY-WMLDXEAASA-N 0 1 318.486 3.297 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)NCC1(c2ccccc2)CCCC1 ZINC001660523032 1196493262 /nfs/dbraw/zinc/49/32/62/1196493262.db2.gz XUCJUPBOEOKFPU-GOSISDBHSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N(CC)[C@H](C)c1ccc(F)cc1 ZINC001660526189 1196494140 /nfs/dbraw/zinc/49/41/40/1196494140.db2.gz GYJHVOIBZNUPAK-PBHICJAKSA-N 0 1 304.409 3.386 20 30 DGEDMN C#C[C@H]1CCCCN1C[C@@H]1CC(c2ccc(Cl)cc2)=NO1 ZINC001660543327 1196495594 /nfs/dbraw/zinc/49/55/94/1196495594.db2.gz XIXGTKGTCBVPEH-HOTGVXAUSA-N 0 1 302.805 3.321 20 30 DGEDMN C#C[C@@H]1CCCCN1C[C@H]1CC(c2ccc(Cl)cc2)=NO1 ZINC001660543329 1196495625 /nfs/dbraw/zinc/49/56/25/1196495625.db2.gz XIXGTKGTCBVPEH-HZPDHXFCSA-N 0 1 302.805 3.321 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC001660814073 1196528320 /nfs/dbraw/zinc/52/83/20/1196528320.db2.gz DSJYHPXGCGICKX-KRWDZBQOSA-N 0 1 318.486 3.331 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1coc2ccccc12)C1CC1 ZINC001661196358 1196579062 /nfs/dbraw/zinc/57/90/62/1196579062.db2.gz ALIIIOLSXHNWLW-HNNXBMFYSA-N 0 1 318.804 3.283 20 30 DGEDMN CC(C)CC(=O)NC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001661846349 1196650362 /nfs/dbraw/zinc/65/03/62/1196650362.db2.gz MDMPERPFHNOTBM-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cccc(F)c1 ZINC001661900359 1196661178 /nfs/dbraw/zinc/66/11/78/1196661178.db2.gz KTZYLYUZCBWNRU-HNNXBMFYSA-N 0 1 310.800 3.163 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)C ZINC001661950366 1196665631 /nfs/dbraw/zinc/66/56/31/1196665631.db2.gz NOPDQESPXYEIBC-OWCLPIDISA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1coc2c1cccc2C ZINC001662239095 1196698307 /nfs/dbraw/zinc/69/83/07/1196698307.db2.gz LUHWJXNCXMLULG-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1ccc(C)cc1C ZINC001662298305 1196704189 /nfs/dbraw/zinc/70/41/89/1196704189.db2.gz NCKQZJZCSWRJBU-HNNXBMFYSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)CC1CCCCC1 ZINC001662351090 1196711453 /nfs/dbraw/zinc/71/14/53/1196711453.db2.gz QCIHSQYXYYMGIU-JSGCOSHPSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(CC(C)C)cc1 ZINC001662415137 1196719279 /nfs/dbraw/zinc/71/92/79/1196719279.db2.gz PCCKQAFOSXNUPF-CQSZACIVSA-N 0 1 308.853 3.346 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001673397752 1196737041 /nfs/dbraw/zinc/73/70/41/1196737041.db2.gz VQIWTFPOVKOCHP-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC001663475357 1196879504 /nfs/dbraw/zinc/87/95/04/1196879504.db2.gz BQWAHVRPIGNRDM-INIZCTEOSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001663519502 1196892789 /nfs/dbraw/zinc/89/27/89/1196892789.db2.gz SLQWVQLLAQENQV-SJORKVTESA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001663537283 1196900061 /nfs/dbraw/zinc/90/00/61/1196900061.db2.gz LPEASRCJKBAFPS-CHWSQXEVSA-N 0 1 324.877 3.109 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CCCC1CCCCC1 ZINC001663542552 1196901144 /nfs/dbraw/zinc/90/11/44/1196901144.db2.gz NRBZULLVNLUGFG-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN CNc1ccccc1CN(CCOC)Cc1ccc(C#N)cc1 ZINC001663875722 1196953984 /nfs/dbraw/zinc/95/39/84/1196953984.db2.gz HUVDURHNCROILC-UHFFFAOYSA-N 0 1 309.413 3.249 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cccc(F)c1Cl ZINC001663956863 1196960136 /nfs/dbraw/zinc/96/01/36/1196960136.db2.gz HFSIPNZVWSVHEQ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN[C@@H](C)c1cc(F)ccc1F ZINC001664116259 1196972521 /nfs/dbraw/zinc/97/25/21/1196972521.db2.gz RTGBVRXSHIGYHY-LBPRGKRZSA-N 0 1 310.388 3.286 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H](C)C1CCCCC1 ZINC001664243853 1196983132 /nfs/dbraw/zinc/98/31/32/1196983132.db2.gz AAZGGFFPWSJIAX-ZDUSSCGKSA-N 0 1 300.874 3.393 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC2=CCCCC2)C1 ZINC001664655968 1197027682 /nfs/dbraw/zinc/02/76/82/1197027682.db2.gz KPJKWCGAWBQWBY-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001664673728 1197031138 /nfs/dbraw/zinc/03/11/38/1197031138.db2.gz ODWSVKHPKFINNL-XWTMOSNGSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC(C2CC2)C2CC2)C1 ZINC001664686871 1197034784 /nfs/dbraw/zinc/03/47/84/1197034784.db2.gz OMUOQLDVSWRPIC-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001664746254 1197049102 /nfs/dbraw/zinc/04/91/02/1197049102.db2.gz WHCLXPPWQWZVPW-GJZGRUSLSA-N 0 1 324.852 3.272 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)[C@H](Cc2ccccc2)C1 ZINC001665164967 1197104275 /nfs/dbraw/zinc/10/42/75/1197104275.db2.gz ZUHSULZKLWIRRH-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2cc(C(=O)OC(C)(C)C)nn2C)C1 ZINC001665199760 1197108037 /nfs/dbraw/zinc/10/80/37/1197108037.db2.gz ZUGIOMLDPSZGQR-CQSZACIVSA-N 0 1 319.449 3.164 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001665284563 1197118823 /nfs/dbraw/zinc/11/88/23/1197118823.db2.gz ZLTIVBQSGDHNIL-CQSZACIVSA-N 0 1 304.409 3.291 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001665346444 1197126538 /nfs/dbraw/zinc/12/65/38/1197126538.db2.gz OUXLAHULRFORJV-UHFFFAOYSA-N 0 1 314.473 3.151 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccsc1Cl ZINC001665416662 1197133734 /nfs/dbraw/zinc/13/37/34/1197133734.db2.gz HVJUVVYQBLQRPG-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001665652126 1197165400 /nfs/dbraw/zinc/16/54/00/1197165400.db2.gz AMWWTBDSZVSZSB-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001665766250 1197191764 /nfs/dbraw/zinc/19/17/64/1197191764.db2.gz WYBOZSQGQBYKMD-BBRMVZONSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2cscn2)CCCC1 ZINC001665925387 1197217411 /nfs/dbraw/zinc/21/74/11/1197217411.db2.gz IKEFGPNCYMIJQF-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2CC23CCC3)cc1 ZINC001666344048 1197253543 /nfs/dbraw/zinc/25/35/43/1197253543.db2.gz BRDXPPGLPQNQDO-MRXNPFEDSA-N 0 1 318.848 3.335 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cc[nH]c2CC)CC1 ZINC001666363940 1197255761 /nfs/dbraw/zinc/25/57/61/1197255761.db2.gz ACVFZVPAKURGSZ-UHFFFAOYSA-N 0 1 323.868 3.162 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)CCCC2CCCC2)C1 ZINC001666734336 1197280169 /nfs/dbraw/zinc/28/01/69/1197280169.db2.gz HCFQSVVBQBRFOJ-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CCCC2CCCC2)C1 ZINC001666734336 1197280171 /nfs/dbraw/zinc/28/01/71/1197280171.db2.gz HCFQSVVBQBRFOJ-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001666982826 1197305253 /nfs/dbraw/zinc/30/52/53/1197305253.db2.gz NBJOPIVHEXDGGV-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1c(F)cccc1F ZINC001666983125 1197305289 /nfs/dbraw/zinc/30/52/89/1197305289.db2.gz TXHWHSMRQHLXAR-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1nccs1)CC(C)C ZINC001667101837 1197318493 /nfs/dbraw/zinc/31/84/93/1197318493.db2.gz RTLYFQBBYODJRX-AWEZNQCLSA-N 0 1 323.506 3.366 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccc2c(c1)oc1ccccc12 ZINC001667227501 1197331521 /nfs/dbraw/zinc/33/15/21/1197331521.db2.gz BUQCBIREUTXDAF-UHFFFAOYSA-N 0 1 321.380 3.273 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1cnc(C)o1 ZINC001667374757 1197342171 /nfs/dbraw/zinc/34/21/71/1197342171.db2.gz LATIHAILWJHSGY-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1ccc(Cl)cc1 ZINC001667611344 1197368395 /nfs/dbraw/zinc/36/83/95/1197368395.db2.gz MKMKTYHDKQGHMX-UHFFFAOYSA-N 0 1 320.864 3.424 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001668000555 1197394578 /nfs/dbraw/zinc/39/45/78/1197394578.db2.gz UJTBZLCVXSPNBX-ZIAGYGMSSA-N 0 1 321.465 3.484 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001668917411 1197434047 /nfs/dbraw/zinc/43/40/47/1197434047.db2.gz KKNQQGIOQQYLIV-AATRIKPKSA-N 0 1 317.820 3.104 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCC=C(Cl)Cl ZINC001668972726 1197435431 /nfs/dbraw/zinc/43/54/31/1197435431.db2.gz DFWVMNWVMDCONT-AATRIKPKSA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1ccc(F)cc1F ZINC001668973492 1197435536 /nfs/dbraw/zinc/43/55/36/1197435536.db2.gz RTDJFWQPPIKNSA-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C1CCC(C(=O)NC/C=C/CNCc2ccccc2F)CC1 ZINC001669020423 1197437661 /nfs/dbraw/zinc/43/76/61/1197437661.db2.gz BJBHGNQJDFDIHL-SNAWJCMRSA-N 0 1 316.420 3.334 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001669206535 1197443993 /nfs/dbraw/zinc/44/39/93/1197443993.db2.gz DYWUCVKZQICFRZ-ARJAWSKDSA-N 0 1 318.848 3.041 20 30 DGEDMN C[C@H](CN[C@@H](C)c1c(F)cccc1F)NC(=O)C#CC(C)(C)C ZINC001669289254 1197447233 /nfs/dbraw/zinc/44/72/33/1197447233.db2.gz UURFLUALPBKYLG-OLZOCXBDSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(C2CCC2)c1 ZINC001669446226 1197455104 /nfs/dbraw/zinc/45/51/04/1197455104.db2.gz ARGYGXNSALCETI-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@]1(C)CCc2ccccc2C1 ZINC001669489624 1197458177 /nfs/dbraw/zinc/45/81/77/1197458177.db2.gz JPSQCXFVVDOKJN-KDOFPFPSSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@H]1CNCc1coc(C)n1 ZINC001669968914 1197512439 /nfs/dbraw/zinc/51/24/39/1197512439.db2.gz ZXYCYYZOXGTTQH-RDJZCZTQSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001671599287 1197550858 /nfs/dbraw/zinc/55/08/58/1197550858.db2.gz VPBJWOCKHPCJOD-IBGZPJMESA-N 0 1 322.468 3.244 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1cncs1 ZINC001672528933 1197615260 /nfs/dbraw/zinc/61/52/60/1197615260.db2.gz AEICCGKUWHTQMB-JKSUJKDBSA-N 0 1 319.474 3.065 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)N2CCN(C)C[C@H]2CC(C)C)c1 ZINC001672781718 1197623191 /nfs/dbraw/zinc/62/31/91/1197623191.db2.gz SPJNITVKHMUVOP-QGZVFWFLSA-N 0 1 314.433 3.061 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cccc(F)c1C ZINC001672925167 1197628713 /nfs/dbraw/zinc/62/87/13/1197628713.db2.gz SCTFIYDVDLQOHK-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCCC2(F)F)CCC1 ZINC001673193678 1197642547 /nfs/dbraw/zinc/64/25/47/1197642547.db2.gz KCHRHOJJHAMPPZ-LBPRGKRZSA-N 0 1 320.811 3.193 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@H]1[C@H]1CCCN(CCF)C1 ZINC001673495657 1197669304 /nfs/dbraw/zinc/66/93/04/1197669304.db2.gz BGGQWNPQHDEKAC-ROUUACIJSA-N 0 1 322.468 3.243 20 30 DGEDMN C=C[C@@H](COC)N[C@H]1CCc2sc(Br)cc21 ZINC000382277214 1197704837 /nfs/dbraw/zinc/70/48/37/1197704837.db2.gz IDVLWLGPMLZOPN-WPRPVWTQSA-N 0 1 302.237 3.289 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001674499590 1197789606 /nfs/dbraw/zinc/78/96/06/1197789606.db2.gz LEZXWOMQKJUNLY-OFLPRAFFSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cn(C)c2ccccc12 ZINC001674694211 1197836104 /nfs/dbraw/zinc/83/61/04/1197836104.db2.gz RCADVNDJDUNOJG-CHWSQXEVSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(CC(C)C)c[nH]1 ZINC001674755017 1197859693 /nfs/dbraw/zinc/85/96/93/1197859693.db2.gz PKXSTVAKWXCIJM-CHWSQXEVSA-N 0 1 311.857 3.062 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCc1ccccc1Cl ZINC001674938116 1197926207 /nfs/dbraw/zinc/92/62/07/1197926207.db2.gz JDSLWZKHZADPEL-HNNXBMFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001674986224 1197948419 /nfs/dbraw/zinc/94/84/19/1197948419.db2.gz STAZYLQLEOADGZ-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001675317566 1198042927 /nfs/dbraw/zinc/04/29/27/1198042927.db2.gz JDRDTXFMTGWCJK-CQSZACIVSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2sc(C)nc2C)C1 ZINC001675338067 1198046134 /nfs/dbraw/zinc/04/61/34/1198046134.db2.gz MLAOMJRIDOJIEO-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN CCCCC(=O)N[C@H]1CN(Cc2ccc(C#N)cc2)CC1(C)C ZINC001675433831 1198063085 /nfs/dbraw/zinc/06/30/85/1198063085.db2.gz OSOAQVIJWZKVLR-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)NC[C@@H](c1cccs1)N(C)C ZINC001675738871 1198130909 /nfs/dbraw/zinc/13/09/09/1198130909.db2.gz OPBNMDDIZFPZJR-CABCVRRESA-N 0 1 321.490 3.491 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001676487593 1198335422 /nfs/dbraw/zinc/33/54/22/1198335422.db2.gz GKQQOACIKBSOMD-VBNZEHGJSA-N 0 1 324.827 3.118 20 30 DGEDMN CCCC(=O)N1C[C@@H](NCC#Cc2ccccc2Cl)C[C@H]1C ZINC001676703670 1198367551 /nfs/dbraw/zinc/36/75/51/1198367551.db2.gz ZLJYVPRFGBXQBY-ZBFHGGJFSA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCCCC(=O)N[C@]12CCC[C@H]1N(Cc1cncs1)CC2 ZINC001676816605 1198398822 /nfs/dbraw/zinc/39/88/22/1198398822.db2.gz SSYZPYIQYJESJZ-WBVHZDCISA-N 0 1 319.474 3.113 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCC[N@H+](CCOCC)[C@H]2C)CCCC1 ZINC001676898839 1198428237 /nfs/dbraw/zinc/42/82/37/1198428237.db2.gz SJIPJGGXWPSUPO-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)C2(CC=C)CCCC2)[C@H]1C ZINC001676898796 1198429228 /nfs/dbraw/zinc/42/92/28/1198429228.db2.gz RKNRPGDJRZTNQD-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C(C)(C)C1 ZINC001676985086 1198452387 /nfs/dbraw/zinc/45/23/87/1198452387.db2.gz CGPNSJXDHCKXLW-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN CCCC(=O)N(CCN(C)Cc1ccc(C#N)c(F)c1)C(C)C ZINC001677188677 1198480199 /nfs/dbraw/zinc/48/01/99/1198480199.db2.gz IZFTUGXNJFFXAS-UHFFFAOYSA-N 0 1 319.424 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccc(C)cc1)C(C)(C)C ZINC001677262886 1198503548 /nfs/dbraw/zinc/50/35/48/1198503548.db2.gz GSIMZMBIGCKIQR-MRXNPFEDSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCCCC(=O)N[C@H](CNCc1csc(C)n1)C(C)(C)C ZINC001677276600 1198507343 /nfs/dbraw/zinc/50/73/43/1198507343.db2.gz FBEDSCPUWNONOD-OAHLLOKOSA-N 0 1 323.506 3.428 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001677282148 1198508263 /nfs/dbraw/zinc/50/82/63/1198508263.db2.gz RBFIGNDIHUECHX-YJBOKZPZSA-N 0 1 321.465 3.206 20 30 DGEDMN CCC(CC)[C@H](NC(=O)NCC#CCN(C)C)c1cccs1 ZINC001677455258 1198537405 /nfs/dbraw/zinc/53/74/05/1198537405.db2.gz VPGKDSFIRANUEG-INIZCTEOSA-N 0 1 321.490 3.090 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC001677612001 1198560586 /nfs/dbraw/zinc/56/05/86/1198560586.db2.gz GBISECRIHJAZHL-QGZVFWFLSA-N 0 1 324.468 3.124 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCN(CC)C[C@H]1C)c1ccccc1 ZINC001680810120 1198917910 /nfs/dbraw/zinc/91/79/10/1198917910.db2.gz TWCBOKWKRHBESC-SJLPKXTDSA-N 0 1 315.461 3.430 20 30 DGEDMN C=C1CCC(CNC(=O)N[C@H](C)c2n[nH]c([C@@H](C)CC)n2)CC1 ZINC001685083904 1199441319 /nfs/dbraw/zinc/44/13/19/1199441319.db2.gz ODRXDWTXGJEALB-QWHCGFSZSA-N 0 1 319.453 3.425 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc2c([nH]1)CCC2)C(C)C ZINC001691760459 1199562904 /nfs/dbraw/zinc/56/29/04/1199562904.db2.gz XYTLXBWUSGRXTD-UHFFFAOYSA-N 0 1 323.868 3.086 20 30 DGEDMN C[C@@H](CC1CCCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000114618506 1199706826 /nfs/dbraw/zinc/70/68/26/1199706826.db2.gz SGOLFEMHVOJIJK-BBRMVZONSA-N 0 1 304.434 3.361 20 30 DGEDMN Cc1ccccc1C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001753847945 1199996166 /nfs/dbraw/zinc/99/61/66/1199996166.db2.gz MYTQAZJRCPXFLE-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN CCCC1(C(=O)N(C)CCN(C)Cc2cccc(C#N)c2)CC1 ZINC001753861717 1200012405 /nfs/dbraw/zinc/01/24/05/1200012405.db2.gz PKTHZQKUVZUJOI-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CCC)C1 ZINC001689155623 1200047454 /nfs/dbraw/zinc/04/74/54/1200047454.db2.gz HGAIUPRAIJRRPY-QZTJIDSGSA-N 0 1 318.436 3.476 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1cccc(F)c1Cl ZINC001754041919 1200085504 /nfs/dbraw/zinc/08/55/04/1200085504.db2.gz JVCLUOSERXMCHC-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001754066287 1200093376 /nfs/dbraw/zinc/09/33/76/1200093376.db2.gz HCGFJDJZOAYSQG-FPOVZHCZSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C)(C)Cc1ccccc1 ZINC001754075823 1200095725 /nfs/dbraw/zinc/09/57/25/1200095725.db2.gz LCJXVNBOLRUAOO-UHFFFAOYSA-N 0 1 322.880 3.446 20 30 DGEDMN CC1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CCCCC1 ZINC001754163645 1200118358 /nfs/dbraw/zinc/11/83/58/1200118358.db2.gz NFAVDGRBEGCLEL-HJWRWDBZSA-N 0 1 324.468 3.271 20 30 DGEDMN CC1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CCCCC1 ZINC001754163644 1200119247 /nfs/dbraw/zinc/11/92/47/1200119247.db2.gz NFAVDGRBEGCLEL-CMDGGOBGSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2cc(F)ccc2o1 ZINC001754178787 1200123724 /nfs/dbraw/zinc/12/37/24/1200123724.db2.gz UUIJBKVGMOWZOB-NSCUHMNNSA-N 0 1 322.767 3.200 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1sccc1C(F)F ZINC001754253029 1200155592 /nfs/dbraw/zinc/15/55/92/1200155592.db2.gz VDKHDTIAJNVDEQ-MRVPVSSYSA-N 0 1 308.781 3.146 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1coc2cc(C)c(C)cc12 ZINC001754319099 1200207460 /nfs/dbraw/zinc/20/74/60/1200207460.db2.gz QLMOTOKQRLEJCJ-OAHLLOKOSA-N 0 1 312.413 3.123 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCNC/C(Cl)=C\Cl ZINC001754374381 1200246250 /nfs/dbraw/zinc/24/62/50/1200246250.db2.gz LCBSCCSANTULFW-ZRDIBKRKSA-N 0 1 307.265 3.346 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1[C@H](C)c1ccc2c(c1)CCC2 ZINC001754480886 1200290422 /nfs/dbraw/zinc/29/04/22/1200290422.db2.gz NXYICEUUYGHCCQ-UZLBHIALSA-N 0 1 324.468 3.230 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc2ccncc2s1 ZINC001754486506 1200295824 /nfs/dbraw/zinc/29/58/24/1200295824.db2.gz PRVVOQVTNRIPSO-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(C)Cc2scnc2C)CC1 ZINC001689834514 1200302899 /nfs/dbraw/zinc/30/28/99/1200302899.db2.gz NJGBNZSPLZUMFS-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN CCC(CC)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001689869175 1200309514 /nfs/dbraw/zinc/30/95/14/1200309514.db2.gz COILKBSVNSTFJG-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001754496916 1200311615 /nfs/dbraw/zinc/31/16/15/1200311615.db2.gz YBRKTHLXXSKHJW-OALUTQOASA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ccc(F)cc2Cl)CC1 ZINC001754521364 1200333468 /nfs/dbraw/zinc/33/34/68/1200333468.db2.gz CPOPQCPWRHLVIK-UHFFFAOYSA-N 0 1 324.827 3.430 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC2(C=C)CCCCC2)CC1 ZINC001690028637 1200373596 /nfs/dbraw/zinc/37/35/96/1200373596.db2.gz DITDZYCPMSGVCF-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001754584124 1200379500 /nfs/dbraw/zinc/37/95/00/1200379500.db2.gz YQIFKQKMKXADRY-DZGCQCFKSA-N 0 1 304.434 3.189 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CC23CCCC3)C1 ZINC001754585017 1200381360 /nfs/dbraw/zinc/38/13/60/1200381360.db2.gz LQELDXDGRJSULS-QGZVFWFLSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(CCOCC)CCCC2)C1 ZINC001754585630 1200381416 /nfs/dbraw/zinc/38/14/16/1200381416.db2.gz WHVSMPLZOXUIPN-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CC23CCCC3)C1 ZINC001754585016 1200382544 /nfs/dbraw/zinc/38/25/44/1200382544.db2.gz LQELDXDGRJSULS-KRWDZBQOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC23CCN(CC(=C)Cl)CC3)CCC1 ZINC001690125114 1200409204 /nfs/dbraw/zinc/40/92/04/1200409204.db2.gz AEGYWJJMRCWXBN-HNNXBMFYSA-N 0 1 322.880 3.456 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCC1(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001754639967 1200410202 /nfs/dbraw/zinc/41/02/02/1200410202.db2.gz CJKNFZZPVGZYLA-ZWIJEDICSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cnccc1C)C1CCCC1 ZINC001754646723 1200414698 /nfs/dbraw/zinc/41/46/98/1200414698.db2.gz TYFJDVNLYNMZHN-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001690139024 1200415519 /nfs/dbraw/zinc/41/55/19/1200415519.db2.gz XYYOADCUGFVIRT-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C(F)=C1CCCC1)C1CC1 ZINC001690148172 1200422376 /nfs/dbraw/zinc/42/23/76/1200422376.db2.gz YUHXEMNEYZHXFW-CYBMUJFWSA-N 0 1 300.805 3.021 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001690153423 1200424008 /nfs/dbraw/zinc/42/40/08/1200424008.db2.gz LZQVBNLURHKPMV-RPHSKFLZSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001690153421 1200423806 /nfs/dbraw/zinc/42/38/06/1200423806.db2.gz LZQVBNLURHKPMV-FSBNRTBOSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)s1 ZINC001690202597 1200452736 /nfs/dbraw/zinc/45/27/36/1200452736.db2.gz XZXOOGXQJRLTRJ-YUELXQCFSA-N 0 1 319.474 3.095 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(Cl)ccc2OC)C1 ZINC001690220542 1200457912 /nfs/dbraw/zinc/45/79/12/1200457912.db2.gz DFRHDXZHGOCTSE-HNNXBMFYSA-N 0 1 322.836 3.005 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001754734988 1200480159 /nfs/dbraw/zinc/48/01/59/1200480159.db2.gz QTGNICHISYOGAM-WOJBJXKFSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001754932911 1200540405 /nfs/dbraw/zinc/54/04/05/1200540405.db2.gz JDAQRFXSZBWITH-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001754932910 1200541067 /nfs/dbraw/zinc/54/10/67/1200541067.db2.gz JDAQRFXSZBWITH-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001754986216 1200573065 /nfs/dbraw/zinc/57/30/65/1200573065.db2.gz WZHVVMMNHYVCNS-MRXNPFEDSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2csc(Cl)c2)CCC1 ZINC001754987990 1200574162 /nfs/dbraw/zinc/57/41/62/1200574162.db2.gz NGSBWCFDDIJVSG-UHFFFAOYSA-N 0 1 319.257 3.396 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2ccns2)C1 ZINC001690499565 1200594427 /nfs/dbraw/zinc/59/44/27/1200594427.db2.gz XNHCPBPGOOKTMF-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)Cc2occc2C)C1 ZINC001690502512 1200597133 /nfs/dbraw/zinc/59/71/33/1200597133.db2.gz ZEMUVCPJYXGZML-CABCVRRESA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(C)CCN1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(C)F ZINC001755074372 1200626723 /nfs/dbraw/zinc/62/67/23/1200626723.db2.gz XABJPVPSMSSIPU-QGZVFWFLSA-N 0 1 318.436 3.244 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001690568957 1200630082 /nfs/dbraw/zinc/63/00/82/1200630082.db2.gz QPXSUDRNDOYJMS-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1cccc(F)c1Cl ZINC001690573439 1200632127 /nfs/dbraw/zinc/63/21/27/1200632127.db2.gz VTTJUNISORLVCE-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)/C=C\c3ccc[nH]3)cc2C1 ZINC001755101170 1200634555 /nfs/dbraw/zinc/63/45/55/1200634555.db2.gz UIDZGDWVZDTYRD-FPLPWBNLSA-N 0 1 321.424 3.236 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(CC)CC)cc2C1 ZINC001755101640 1200637239 /nfs/dbraw/zinc/63/72/39/1200637239.db2.gz VTVREVJDZXYNGJ-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001690612086 1200646511 /nfs/dbraw/zinc/64/65/11/1200646511.db2.gz GBTQDEZLGJZJON-IAQYHMDHSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cncc2sccc21 ZINC001755197969 1200694661 /nfs/dbraw/zinc/69/46/61/1200694661.db2.gz QVUGORXDUXGGEN-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1ccccc1C1(C)CC1 ZINC001755199106 1200696629 /nfs/dbraw/zinc/69/66/29/1200696629.db2.gz PAAUQNLIGYTVTL-KRWDZBQOSA-N 0 1 324.468 3.298 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccccc1C1(C)CC1 ZINC001755199106 1200696634 /nfs/dbraw/zinc/69/66/34/1200696634.db2.gz PAAUQNLIGYTVTL-KRWDZBQOSA-N 0 1 324.468 3.298 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2nc(C)c(C)o2)C1 ZINC001690776883 1200709426 /nfs/dbraw/zinc/70/94/26/1200709426.db2.gz FFBMZSCCBXXQEK-GJZGRUSLSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2ncc(C)o2)C1 ZINC001690782574 1200712333 /nfs/dbraw/zinc/71/23/33/1200712333.db2.gz ZILNBHLJVYJHNM-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2ncc(C)o2)C1 ZINC001690782575 1200712802 /nfs/dbraw/zinc/71/28/02/1200712802.db2.gz ZILNBHLJVYJHNM-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(F)c(C)cc1F ZINC001755239488 1200726627 /nfs/dbraw/zinc/72/66/27/1200726627.db2.gz CMNZBYFTTQVLQH-GHMZBOCLSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1ccncc1Cl ZINC001755248426 1200733457 /nfs/dbraw/zinc/73/34/57/1200733457.db2.gz IAOMOYOHZDOBCZ-QWHCGFSZSA-N 0 1 309.841 3.074 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(Cl)s1 ZINC001755265549 1200748618 /nfs/dbraw/zinc/74/86/18/1200748618.db2.gz GTZJWCICOLTWTI-DTWKUNHWSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC(C)(C)CNCc1cscn1 ZINC001755282104 1200760298 /nfs/dbraw/zinc/76/02/98/1200760298.db2.gz FXFVIMZMFCTAEL-UHFFFAOYSA-N 0 1 309.479 3.074 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCCc2ccccc21 ZINC001691787611 1200807669 /nfs/dbraw/zinc/80/76/69/1200807669.db2.gz QCRJCTRENJFSOT-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCF)CC(C)(C)C2)CCC1 ZINC001691819900 1200821961 /nfs/dbraw/zinc/82/19/61/1200821961.db2.gz ZAWALYRZABERCC-OAHLLOKOSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CCCC)CNCc2nccs2)C1 ZINC001755360274 1200842850 /nfs/dbraw/zinc/84/28/50/1200842850.db2.gz UONOMNBCGUUHIH-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001691861049 1200842911 /nfs/dbraw/zinc/84/29/11/1200842911.db2.gz PNJRWIPSJCQFBL-KRWDZBQOSA-N 0 1 317.477 3.490 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001691861050 1200843163 /nfs/dbraw/zinc/84/31/63/1200843163.db2.gz PNJRWIPSJCQFBL-QGZVFWFLSA-N 0 1 317.477 3.490 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001691864640 1200843843 /nfs/dbraw/zinc/84/38/43/1200843843.db2.gz QPASYVASZHSKEK-GOSISDBHSA-N 0 1 323.440 3.118 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(CC)cc2)C1 ZINC001691866597 1200846739 /nfs/dbraw/zinc/84/67/39/1200846739.db2.gz BPSLFHGLJUZBEO-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001691911130 1200868379 /nfs/dbraw/zinc/86/83/79/1200868379.db2.gz XJXFNIWUXRUHKM-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001755387302 1200870376 /nfs/dbraw/zinc/87/03/76/1200870376.db2.gz GWMXPUCZBOMJPQ-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCC(=O)N1CC[C@]2(C1)CN(CCCCCCC)CCO2 ZINC001755401884 1200875751 /nfs/dbraw/zinc/87/57/51/1200875751.db2.gz WKSPAOSOIVIYEE-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)/C(C)=C/C)C1 ZINC001691938709 1200880990 /nfs/dbraw/zinc/88/09/90/1200880990.db2.gz VXXUNWZFEDDPOD-HHEQTWLRSA-N 0 1 316.420 3.252 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(C)(C)CCC)C1 ZINC001691975834 1200893162 /nfs/dbraw/zinc/89/31/62/1200893162.db2.gz KZZNKVLTRANEJR-AWEZNQCLSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001691979276 1200893784 /nfs/dbraw/zinc/89/37/84/1200893784.db2.gz IKJMFRQQVKKDLV-ARFHVFGLSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H]2C=CCC2)CC1 ZINC001692002327 1200907131 /nfs/dbraw/zinc/90/71/31/1200907131.db2.gz AIVWFXUNVSRNLJ-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC[C@H](C)c2ccccc2)[C@H]1CC ZINC001692015712 1200913336 /nfs/dbraw/zinc/91/33/36/1200913336.db2.gz GYNPCKGYADUZIH-YTQUADARSA-N 0 1 312.457 3.173 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001692157394 1200952432 /nfs/dbraw/zinc/95/24/32/1200952432.db2.gz HLWBVQWTPSKRNR-OLZOCXBDSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(F)s1 ZINC001755573905 1200962860 /nfs/dbraw/zinc/96/28/60/1200962860.db2.gz UNKVZCACWSEKNX-LLVKDONJSA-N 0 1 316.829 3.080 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001692194692 1200964068 /nfs/dbraw/zinc/96/40/68/1200964068.db2.gz ZEKQJXWTEMZLQO-UIBDFZHFSA-N 0 1 323.440 3.160 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H](C)CCC=C(C)C)[C@H]1C ZINC001692205758 1200968488 /nfs/dbraw/zinc/96/84/88/1200968488.db2.gz SLELYOITCDNHNW-KURKYZTESA-N 0 1 304.478 3.361 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001755590403 1200975042 /nfs/dbraw/zinc/97/50/42/1200975042.db2.gz NABNWBZDMRGAHI-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1ccn(C(C)C)n1 ZINC001755605435 1200983424 /nfs/dbraw/zinc/98/34/24/1200983424.db2.gz DRRRLTCZQCYGRY-HNNXBMFYSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1c(C)cc(C)cc1C ZINC001755605650 1200984584 /nfs/dbraw/zinc/98/45/84/1200984584.db2.gz KTBMBJHVIHZFRI-MRXNPFEDSA-N 0 1 322.880 3.343 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(C)c1C ZINC001692263732 1200992916 /nfs/dbraw/zinc/99/29/16/1200992916.db2.gz QBIPJVGKASYSQR-SFHVURJKSA-N 0 1 312.457 3.253 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2csc3c2CCCC3)C1 ZINC001692261139 1200992927 /nfs/dbraw/zinc/99/29/27/1200992927.db2.gz GJSKVRXOKOKBBX-CYBMUJFWSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC001692273822 1201000190 /nfs/dbraw/zinc/00/01/90/1201000190.db2.gz FSRFOVSDDOLPRA-LBPRGKRZSA-N 0 1 315.392 3.076 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2nc(C)sc2C)C[C@H]1C ZINC001755677495 1201009431 /nfs/dbraw/zinc/00/94/31/1201009431.db2.gz YMETXZMKVKOYJF-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2c(F)cccc2F)C[C@H]1C ZINC001755675082 1201010277 /nfs/dbraw/zinc/01/02/77/1201010277.db2.gz IFSFWFKOPPTDJW-ZIAGYGMSSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2cc(C)sc2C)C1 ZINC001692311184 1201011105 /nfs/dbraw/zinc/01/11/05/1201011105.db2.gz ZFBVAEZJXZLMHD-UHFFFAOYSA-N 0 1 306.475 3.269 20 30 DGEDMN C=CCC(CC=C)C(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001692310585 1201011308 /nfs/dbraw/zinc/01/13/08/1201011308.db2.gz DGLSMGCZVUZIHC-UHFFFAOYSA-N 0 1 318.486 3.373 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001692331903 1201021465 /nfs/dbraw/zinc/02/14/65/1201021465.db2.gz ZCJHKDBFGDTVMM-HXUWFJFHSA-N 0 1 314.473 3.454 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001692331902 1201022145 /nfs/dbraw/zinc/02/21/45/1201022145.db2.gz ZCJHKDBFGDTVMM-FQEVSTJZSA-N 0 1 314.473 3.454 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1ccccc1F)CC2 ZINC001755696062 1201023335 /nfs/dbraw/zinc/02/33/35/1201023335.db2.gz JSXGJTKNTZFKBU-PKOBYXMFSA-N 0 1 316.420 3.405 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)oc1C ZINC001692378526 1201042970 /nfs/dbraw/zinc/04/29/70/1201042970.db2.gz VNEPADSKOCRSNL-HOTGVXAUSA-N 0 1 319.449 3.161 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001755893150 1201123683 /nfs/dbraw/zinc/12/36/83/1201123683.db2.gz JNBCCCIOVJOBCM-ZDUSSCGKSA-N 0 1 318.383 3.289 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698567420 1201236707 /nfs/dbraw/zinc/23/67/07/1201236707.db2.gz QAAPFCQZONLTQN-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC001698792599 1201421803 /nfs/dbraw/zinc/42/18/03/1201421803.db2.gz SSJZZZJBTYNCNJ-IRXDYDNUSA-N 0 1 324.509 3.088 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001698821426 1201470233 /nfs/dbraw/zinc/47/02/33/1201470233.db2.gz GFTQETKIKZOKBD-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(F)c(Cl)c1 ZINC001698841503 1201495841 /nfs/dbraw/zinc/49/58/41/1201495841.db2.gz OPGQFOPKGVPOCO-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C)c2c1CCC2 ZINC001698864351 1201521458 /nfs/dbraw/zinc/52/14/58/1201521458.db2.gz SJTSJNGGIJZKLR-CQSZACIVSA-N 0 1 320.864 3.286 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1(C(F)F)CCC1 ZINC001698871275 1201529837 /nfs/dbraw/zinc/52/98/37/1201529837.db2.gz LXEHAKUBUMJPDX-LBPRGKRZSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001698878848 1201542720 /nfs/dbraw/zinc/54/27/20/1201542720.db2.gz OBLVAPPGJFRYQR-NEXGVSGLSA-N 0 1 324.896 3.152 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CCNCc1nc(C)oc1C ZINC001698907107 1201568419 /nfs/dbraw/zinc/56/84/19/1201568419.db2.gz INLPRJHRJNUGKH-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C1CC(C)(C)C1 ZINC001698937776 1201588973 /nfs/dbraw/zinc/58/89/73/1201588973.db2.gz FLEKMGLPBUAAFE-SWLSCSKDSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCN(C)Cc2cnccc2C)CC1 ZINC001698994466 1201660998 /nfs/dbraw/zinc/66/09/98/1201660998.db2.gz MHJHYCGBSZXBCL-UHFFFAOYSA-N 0 1 315.461 3.027 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC3(C)CC3)CC[C@H]21 ZINC001699021157 1201690780 /nfs/dbraw/zinc/69/07/80/1201690780.db2.gz OUXDZUKSHPUBJX-LSDHHAIUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(C)C)cn1 ZINC001699204901 1201754699 /nfs/dbraw/zinc/75/46/99/1201754699.db2.gz ZADJFMPQLXBZCO-UHFFFAOYSA-N 0 1 309.841 3.009 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2cc(C)no2)CCCC1 ZINC001699221544 1201761140 /nfs/dbraw/zinc/76/11/40/1201761140.db2.gz UNRUUWUHNCYUIY-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C[C@@H]1CCC[C@@H]1CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001699269034 1201774595 /nfs/dbraw/zinc/77/45/95/1201774595.db2.gz RNKYPMUGACGODP-YHTLKTDXSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1cc(F)cc(F)c1 ZINC001699303290 1201782150 /nfs/dbraw/zinc/78/21/50/1201782150.db2.gz IBMNYCGUCCRXIU-IPLHWJFFSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2ccccn2c1 ZINC001752215703 1201800647 /nfs/dbraw/zinc/80/06/47/1201800647.db2.gz SJHAPYBXDPWVPA-UHFFFAOYSA-N 0 1 319.836 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001752308804 1201825976 /nfs/dbraw/zinc/82/59/76/1201825976.db2.gz OQQSEGCCLBVYCK-UHFFFAOYSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@H](NCc3nccs3)C2)CCCC1 ZINC001752692456 1201896004 /nfs/dbraw/zinc/89/60/04/1201896004.db2.gz VLXNMUYLQHIYMH-HDJSIYSDSA-N 0 1 319.474 3.016 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001699464133 1201901153 /nfs/dbraw/zinc/90/11/53/1201901153.db2.gz PTWZNQQOIYQZJF-YVEFUNNKSA-N 0 1 323.506 3.299 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001699502687 1201928302 /nfs/dbraw/zinc/92/83/02/1201928302.db2.gz UNHKSKDRJPUKGA-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(CC(C)C)c[nH]1)C1CC1 ZINC001699591944 1201962091 /nfs/dbraw/zinc/96/20/91/1201962091.db2.gz GEHKXAOCOAAIRA-INIZCTEOSA-N 0 1 323.868 3.064 20 30 DGEDMN Cc1cc(C)cc(CN2CC[C@H]2CNC(=O)C#CC(C)(C)C)c1 ZINC001699622979 1201985876 /nfs/dbraw/zinc/98/58/76/1201985876.db2.gz XBPRUPOCGUJTIU-SFHVURJKSA-N 0 1 312.457 3.043 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001699630208 1201994024 /nfs/dbraw/zinc/99/40/24/1201994024.db2.gz KOQAHFYBRQYHHA-FQEVSTJZSA-N 0 1 324.468 3.059 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1occc1Cl ZINC001699636073 1201999587 /nfs/dbraw/zinc/99/95/87/1201999587.db2.gz ZMXHFZBZFUMBGX-ZDUSSCGKSA-N 0 1 310.825 3.484 20 30 DGEDMN Cc1cc(C)cc(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)c1 ZINC001752912907 1202009285 /nfs/dbraw/zinc/00/92/85/1202009285.db2.gz FZBAWPSUFXOMGC-GOSISDBHSA-N 0 1 320.436 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(C(F)(F)F)c1 ZINC001752913244 1202009729 /nfs/dbraw/zinc/00/97/29/1202009729.db2.gz IDHZAKIJUVYMAU-JTQLQIEISA-N 0 1 320.742 3.166 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC(C)(C)c1ccccc1 ZINC001752921379 1202013525 /nfs/dbraw/zinc/01/35/25/1202013525.db2.gz QYSIPUMGYSVVLB-AWEZNQCLSA-N 0 1 308.853 3.201 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCCC)C(C)C ZINC001699682606 1202031926 /nfs/dbraw/zinc/03/19/26/1202031926.db2.gz PKSHAURPPBXTNI-SQNIBIBYSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2cc(C)on2)C1 ZINC001752978564 1202033292 /nfs/dbraw/zinc/03/32/92/1202033292.db2.gz PENUBIFJXVSAEC-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCc1ccccc1 ZINC001699686933 1202034035 /nfs/dbraw/zinc/03/40/35/1202034035.db2.gz WIDOIDBWQCQVAE-KDURUIRLSA-N 0 1 312.457 3.261 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@]3(CCN(CCF)C3)C2)CCCCC1 ZINC001699702821 1202041579 /nfs/dbraw/zinc/04/15/79/1202041579.db2.gz CFXPLKQYYOEKOJ-GOSISDBHSA-N 0 1 322.468 3.407 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN([C@@H]2CCCc3ccccc32)C1 ZINC001699713470 1202048514 /nfs/dbraw/zinc/04/85/14/1202048514.db2.gz YOISLNYLEDEITA-LJQANCHMSA-N 0 1 310.441 3.010 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(F)cc2C)C1 ZINC001699722130 1202052015 /nfs/dbraw/zinc/05/20/15/1202052015.db2.gz BYGDFGUEHLZRKD-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001699725538 1202055673 /nfs/dbraw/zinc/05/56/73/1202055673.db2.gz ILZQOYAZAOGALB-UHFFFAOYSA-N 0 1 312.457 3.206 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2cncs2)CC1 ZINC001699772585 1202074869 /nfs/dbraw/zinc/07/48/69/1202074869.db2.gz NXXGRPUURFUBHR-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN(C(=O)CCCC=C)C1CCN(C[C@@H](F)CC)CC1 ZINC001699784812 1202077323 /nfs/dbraw/zinc/07/73/23/1202077323.db2.gz UQPZQGCODPMGIS-INIZCTEOSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)CCC)C1CCCC1 ZINC001699793389 1202081175 /nfs/dbraw/zinc/08/11/75/1202081175.db2.gz SXOMZVHJYBMYGO-DOMZBBRYSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(CCc2ccc(F)c(F)c2)C1 ZINC001699810185 1202089950 /nfs/dbraw/zinc/08/99/50/1202089950.db2.gz FIOFNCWPWFNTSW-SFHVURJKSA-N 0 1 322.399 3.054 20 30 DGEDMN C/C=C(\C)C(=O)NCC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001699858631 1202116940 /nfs/dbraw/zinc/11/69/40/1202116940.db2.gz MFMPTESHTKLZQO-JJPRUIFNSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1ccc(CF)cc1 ZINC001753097189 1202130664 /nfs/dbraw/zinc/13/06/64/1202130664.db2.gz DHCVBZGBTITMRQ-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC(C)(C)C2CC2)C1 ZINC001699889994 1202137674 /nfs/dbraw/zinc/13/76/74/1202137674.db2.gz OVLGNHCQSACNCY-CQSZACIVSA-N 0 1 314.420 3.072 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H](CC)c1ccccc1 ZINC001753115509 1202145290 /nfs/dbraw/zinc/14/52/90/1202145290.db2.gz DQRCGAYPZHYPJJ-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H](C)c1ccccc1C)C1CC1 ZINC001753161050 1202176594 /nfs/dbraw/zinc/17/65/94/1202176594.db2.gz KTQSWNRZTNNVLU-KRWDZBQOSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC1CC(c2ccccc2)C1 ZINC001753206356 1202183442 /nfs/dbraw/zinc/18/34/42/1202183442.db2.gz XHKPLSKFBYCIHX-UHFFFAOYSA-N 0 1 320.864 3.371 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001753225736 1202185739 /nfs/dbraw/zinc/18/57/39/1202185739.db2.gz ARULDUAOGFFHMN-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001700173417 1202194418 /nfs/dbraw/zinc/19/44/18/1202194418.db2.gz WPWFWLWAJUNWHF-XHAHAKLZSA-N 0 1 317.458 3.057 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1=CCCCC1)c1ccccc1 ZINC001753297404 1202199259 /nfs/dbraw/zinc/19/92/59/1202199259.db2.gz KPZXDFTZESQBIW-LJQANCHMSA-N 0 1 310.441 3.347 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCCC ZINC001753309250 1202202911 /nfs/dbraw/zinc/20/29/11/1202202911.db2.gz CHBVSGBMCHBVKT-ZIFCJYIRSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(OC)cs2)C1 ZINC001753318065 1202207158 /nfs/dbraw/zinc/20/71/58/1202207158.db2.gz BHTOHCQBRGTFOV-CQSZACIVSA-N 0 1 322.474 3.259 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccccc2)C1 ZINC001753319558 1202207428 /nfs/dbraw/zinc/20/74/28/1202207428.db2.gz HPPMLTBKPFKROR-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@H](CNC(=O)C=C(CC)CC)O2 ZINC001700205941 1202211095 /nfs/dbraw/zinc/21/10/95/1202211095.db2.gz IHEIVUAUKAWPLD-QGZVFWFLSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@H](CNC(=O)C(C)(CC)CC)O2 ZINC001700236587 1202217730 /nfs/dbraw/zinc/21/77/30/1202217730.db2.gz MTZSXZWHFKAWTA-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](CCCC)C(C)C)C(C)(C)C1 ZINC001700294037 1202229040 /nfs/dbraw/zinc/22/90/40/1202229040.db2.gz MSVOWFZNDMHYFC-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C=C(C)C)C1 ZINC001753361666 1202229214 /nfs/dbraw/zinc/22/92/14/1202229214.db2.gz LKZHPWYTEJONKX-LJQANCHMSA-N 0 1 310.441 3.079 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CCCC)C(C)C)C(C)(C)C1 ZINC001700294039 1202229422 /nfs/dbraw/zinc/22/94/22/1202229422.db2.gz MSVOWFZNDMHYFC-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CC(C)(C)C=C ZINC001700330346 1202238616 /nfs/dbraw/zinc/23/86/16/1202238616.db2.gz PHPOCQAYUNHIAK-IBGZPJMESA-N 0 1 324.468 3.328 20 30 DGEDMN C=C[C@H](CC(=O)NC1CN(CC2CCCC2)C1)c1ccccc1 ZINC001753395212 1202240111 /nfs/dbraw/zinc/24/01/11/1202240111.db2.gz BFDSXKPTRZXMOW-QGZVFWFLSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2ccc(C(F)F)cc2)C1 ZINC001753397008 1202240196 /nfs/dbraw/zinc/24/01/96/1202240196.db2.gz GAMZBNBGKILYMP-UHFFFAOYSA-N 0 1 308.372 3.138 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccoc1CC ZINC001700343878 1202242128 /nfs/dbraw/zinc/24/21/28/1202242128.db2.gz DHGUKYZZAGDBLV-ZDUSSCGKSA-N 0 1 310.825 3.179 20 30 DGEDMN CC#CCCCC(=O)NCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001753408176 1202244013 /nfs/dbraw/zinc/24/40/13/1202244013.db2.gz HPDOMUWSFONGQV-UHFFFAOYSA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cc(C)cc1F ZINC001753423736 1202249828 /nfs/dbraw/zinc/24/98/28/1202249828.db2.gz WXQAOISTWOAYPE-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC001753433685 1202252570 /nfs/dbraw/zinc/25/25/70/1202252570.db2.gz LXGQFRZOXSYNBV-MRXNPFEDSA-N 0 1 304.409 3.133 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001700398262 1202261928 /nfs/dbraw/zinc/26/19/28/1202261928.db2.gz YWWFFNVNPUQNFH-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2ccncc2s1 ZINC001700401256 1202262935 /nfs/dbraw/zinc/26/29/35/1202262935.db2.gz RIQDYJSHKQZIES-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(C)[nH]c2ccccc21 ZINC001700407803 1202264730 /nfs/dbraw/zinc/26/47/30/1202264730.db2.gz GDYFMEUFNLMJTE-VXGBXAGGSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(Cl)cn1CC ZINC001700432009 1202271396 /nfs/dbraw/zinc/27/13/96/1202271396.db2.gz UWQLBOUJISEFEM-GHMZBOCLSA-N 0 1 318.248 3.010 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1ccc(OC)c(F)c1 ZINC001700460186 1202281324 /nfs/dbraw/zinc/28/13/24/1202281324.db2.gz KPFCQKOIAAYYFW-AWEZNQCLSA-N 0 1 322.424 3.127 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001700521160 1202302733 /nfs/dbraw/zinc/30/27/33/1202302733.db2.gz DOKHUTFRCJLXPG-PHPOFCCKSA-N 0 1 324.896 3.296 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001753679498 1202312085 /nfs/dbraw/zinc/31/20/85/1202312085.db2.gz ONBHLAAOUVSFAJ-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001753684435 1202312809 /nfs/dbraw/zinc/31/28/09/1202312809.db2.gz DMZHBWSHCBUUNL-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)[C@H](C)CCCC ZINC001753689365 1202314617 /nfs/dbraw/zinc/31/46/17/1202314617.db2.gz JVXCERUJYKQKBF-DGCLKSJQSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2ncccc2C)CC1(C)C ZINC001700573673 1202316676 /nfs/dbraw/zinc/31/66/76/1202316676.db2.gz BUEXCEGMEFNKSH-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCC(=O)N[C@@H](CNCc1cc(C#N)ccc1F)CC(C)C ZINC001753730649 1202323143 /nfs/dbraw/zinc/32/31/43/1202323143.db2.gz BWUZFTYAEBTZOO-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1ccc(F)cn1)CC(C)C ZINC001753738727 1202325296 /nfs/dbraw/zinc/32/52/96/1202325296.db2.gz OCCYYMDZGKYJDI-MRXNPFEDSA-N 0 1 321.440 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C1CC(F)(F)C1 ZINC001753805842 1202336915 /nfs/dbraw/zinc/33/69/15/1202336915.db2.gz SHOHBCCTNOXDRW-GWCFXTLKSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1c(C)cccc1C ZINC001700730829 1202344215 /nfs/dbraw/zinc/34/42/15/1202344215.db2.gz PHGBOHVTARNPMF-MRXNPFEDSA-N 0 1 320.864 3.496 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1cc(C2CC2)no1 ZINC001700751510 1202348101 /nfs/dbraw/zinc/34/81/01/1202348101.db2.gz RATKPSOLFNSOIK-CYBMUJFWSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2cc(F)ccc2o1 ZINC001700767553 1202351200 /nfs/dbraw/zinc/35/12/00/1202351200.db2.gz LAHWYUBSQGGFOX-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1sccc1C1CC1 ZINC001700779419 1202353514 /nfs/dbraw/zinc/35/35/14/1202353514.db2.gz YMNAAMHRPIJKLI-NSHDSACASA-N 0 1 312.866 3.428 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)c1cccs1)C(C)C ZINC001700973622 1202374253 /nfs/dbraw/zinc/37/42/53/1202374253.db2.gz PBJMTYXTYIVCFF-OAHLLOKOSA-N 0 1 306.475 3.044 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C(C)C)nc1)C(C)C ZINC001700977056 1202375167 /nfs/dbraw/zinc/37/51/67/1202375167.db2.gz FWIGXGWWDMDAAL-UHFFFAOYSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)c(C)cn1)C(C)(C)C ZINC001700992056 1202377867 /nfs/dbraw/zinc/37/78/67/1202377867.db2.gz WAGBHGXBUGAKGK-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(C)CCC(=O)NC1(C2CCN(C/C=C/Cl)CC2)CC1 ZINC001701032246 1202383908 /nfs/dbraw/zinc/38/39/08/1202383908.db2.gz RPOWPUAAPALBIE-XCVCLJGOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001707049354 1202569121 /nfs/dbraw/zinc/56/91/21/1202569121.db2.gz HPDQPRMNFHOSEY-MJGOQNOKSA-N 0 1 300.446 3.322 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1C ZINC001707052228 1202569695 /nfs/dbraw/zinc/56/96/95/1202569695.db2.gz FKUIKIKOXSHEDM-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C/Cl)[C@H]2C1(C)C ZINC001707191218 1202575830 /nfs/dbraw/zinc/57/58/30/1202575830.db2.gz YMLLKTCQNRYCQC-WVRDZDQDSA-N 0 1 322.880 3.004 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc2c(c1)CCC2 ZINC001707277021 1202580272 /nfs/dbraw/zinc/58/02/72/1202580272.db2.gz TYOWCMRZMIGWGP-FQEVSTJZSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001707461523 1202586665 /nfs/dbraw/zinc/58/66/65/1202586665.db2.gz JDNPZRIRUGTISF-ZDUSSCGKSA-N 0 1 322.827 3.393 20 30 DGEDMN CN(CCCNC(=O)C#CC(C)(C)C)Cc1ccccc1Cl ZINC001712946167 1202605829 /nfs/dbraw/zinc/60/58/29/1202605829.db2.gz SUQUBHCPJRJGCX-UHFFFAOYSA-N 0 1 320.864 3.328 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC[C@H](C)C1 ZINC001713020877 1202610874 /nfs/dbraw/zinc/61/08/74/1202610874.db2.gz DFDGUJDVIYGUEZ-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(C)ccc1C)C1CC1 ZINC001713124776 1202619232 /nfs/dbraw/zinc/61/92/32/1202619232.db2.gz OLCLDTVYUXAOIE-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CC2CCCC2)C1 ZINC001713126621 1202619377 /nfs/dbraw/zinc/61/93/77/1202619377.db2.gz LKQUNIHVWWITGA-INIZCTEOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)CCC)C1 ZINC001713128374 1202619525 /nfs/dbraw/zinc/61/95/25/1202619525.db2.gz HNHMAUPNGUXYHM-HIFRSBDPSA-N 0 1 300.874 3.346 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCOC1 ZINC001713143577 1202621824 /nfs/dbraw/zinc/62/18/24/1202621824.db2.gz JYRRRISTZUPQLX-SCTDSRPQSA-N 0 1 322.493 3.059 20 30 DGEDMN CCCCCCC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001713151096 1202622361 /nfs/dbraw/zinc/62/23/61/1202622361.db2.gz MKPOOPKGFXYMST-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001713166173 1202623408 /nfs/dbraw/zinc/62/34/08/1202623408.db2.gz KONFSELGJPUDJK-MGPQQGTHSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](CC)CC(F)(F)F ZINC001713257276 1202632470 /nfs/dbraw/zinc/63/24/70/1202632470.db2.gz YWMCGUYNSZZHKG-OLZOCXBDSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCC1(C)CC1 ZINC001713271454 1202633920 /nfs/dbraw/zinc/63/39/20/1202633920.db2.gz JCZUFDQRXPORLJ-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713273928 1202634418 /nfs/dbraw/zinc/63/44/18/1202634418.db2.gz PIDSXAGITVVBON-YJJRYDAKSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2conc2C)C1 ZINC001713277679 1202634809 /nfs/dbraw/zinc/63/48/09/1202634809.db2.gz LHOVEAARUSLWAS-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001713306687 1202637867 /nfs/dbraw/zinc/63/78/67/1202637867.db2.gz BPQYWLZHSWWUGD-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1sccc1Cl ZINC001713329051 1202639515 /nfs/dbraw/zinc/63/95/15/1202639515.db2.gz XNHWPXDAXWJKAJ-SNVBAGLBSA-N 0 1 300.855 3.208 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1cccc(OC(C)C)c1 ZINC001713462943 1202653157 /nfs/dbraw/zinc/65/31/57/1202653157.db2.gz LAPFBVZCZZWBFA-UHFFFAOYSA-N 0 1 318.461 3.234 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN1Cc1ccc(C)nc1C ZINC001713506624 1202662299 /nfs/dbraw/zinc/66/22/99/1202662299.db2.gz BUQLMWDGBKYECS-GOSISDBHSA-N 0 1 315.461 3.135 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001713513032 1202663011 /nfs/dbraw/zinc/66/30/11/1202663011.db2.gz XJLKWTAUMPHUNU-MRXNPFEDSA-N 0 1 304.459 3.022 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(CC(C)C)CCCC1 ZINC001713516945 1202663998 /nfs/dbraw/zinc/66/39/98/1202663998.db2.gz ZPQQWUMAVWVZOK-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCCCC(=O)NC[C@H]1CCN(Cc2ccc(C#N)s2)C1 ZINC001713521681 1202664472 /nfs/dbraw/zinc/66/44/72/1202664472.db2.gz JKZCLUBMKRGHHM-CQSZACIVSA-N 0 1 319.474 3.138 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(Cc1cccnc1C)C1CC1 ZINC001713556884 1202670026 /nfs/dbraw/zinc/67/00/26/1202670026.db2.gz DDQZFECAEJFLQW-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(Cc1cncc(C)c1)C1CC1 ZINC001713556802 1202670171 /nfs/dbraw/zinc/67/01/71/1202670171.db2.gz ALUIDEKDEUMISG-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(Cc2csc(C)c2)C2CC2)C1 ZINC001713562170 1202671029 /nfs/dbraw/zinc/67/10/29/1202671029.db2.gz PDDFWOKIEYCDIW-UHFFFAOYSA-N 0 1 318.486 3.493 20 30 DGEDMN CC#CCN(CCNC(=O)C1(CCc2ccccc2)CC1)C1CC1 ZINC001713566781 1202671882 /nfs/dbraw/zinc/67/18/82/1202671882.db2.gz NJAUSFXPFAMXQH-UHFFFAOYSA-N 0 1 324.468 3.003 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@@H](C)NCc2cc(C)on2)CC1 ZINC001713677941 1202676365 /nfs/dbraw/zinc/67/63/65/1202676365.db2.gz QSQVNSGAMGOSJR-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cccn1CC)C(C)C ZINC001713695202 1202677950 /nfs/dbraw/zinc/67/79/50/1202677950.db2.gz LNTLSJWJWDKICJ-UHFFFAOYSA-N 0 1 311.857 3.091 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@]1(C)CC=CCC1)c1ccccc1 ZINC001713702924 1202678999 /nfs/dbraw/zinc/67/89/99/1202678999.db2.gz RTGPAYQFTJISMO-ICSRJNTNSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCCc2ccsc2)C1 ZINC001713717017 1202680686 /nfs/dbraw/zinc/68/06/86/1202680686.db2.gz BZYWTJSXFDEVST-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCCC2CCOCC2)C1 ZINC001713717767 1202681076 /nfs/dbraw/zinc/68/10/76/1202681076.db2.gz RSUARUFUUNNIIT-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001713721765 1202681708 /nfs/dbraw/zinc/68/17/08/1202681708.db2.gz XMQOJUMHKHMQLM-QGZVFWFLSA-N 0 1 317.477 3.326 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC(C)(C)CC)C1 ZINC001713730778 1202683334 /nfs/dbraw/zinc/68/33/34/1202683334.db2.gz FCDFIMNYGSTNDB-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccccc2CCC)C1 ZINC001713830758 1202693890 /nfs/dbraw/zinc/69/38/90/1202693890.db2.gz XIPKAIAVRDEAGB-HNNXBMFYSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001713832560 1202694159 /nfs/dbraw/zinc/69/41/59/1202694159.db2.gz UUQJUOPPUUOTDQ-OAHLLOKOSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c(C)cccc2Cl)C1 ZINC001713836532 1202694427 /nfs/dbraw/zinc/69/44/27/1202694427.db2.gz YBPGZBHZPIUYCB-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001713855932 1202696253 /nfs/dbraw/zinc/69/62/53/1202696253.db2.gz DCZWKQNMEULPJV-OAHLLOKOSA-N 0 1 312.457 3.334 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2c(C)coc2C)C1 ZINC001713890079 1202699467 /nfs/dbraw/zinc/69/94/67/1202699467.db2.gz BDUJYZLWQAHZLP-HNNXBMFYSA-N 0 1 324.852 3.433 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(CCC)cc1 ZINC001713929769 1202702993 /nfs/dbraw/zinc/70/29/93/1202702993.db2.gz MEPJQIGNFIPFBS-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN C=CC[C@@H](C(=O)N1CC[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001713938216 1202703847 /nfs/dbraw/zinc/70/38/47/1202703847.db2.gz PALBXRUQHDYZEJ-DLBZAZTESA-N 0 1 318.848 3.289 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001714012242 1202726134 /nfs/dbraw/zinc/72/61/34/1202726134.db2.gz DFKZODCPSFRMOJ-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001714011301 1202726607 /nfs/dbraw/zinc/72/66/07/1202726607.db2.gz JKKYQVRUYLPZHQ-NXNKFBBFSA-N 0 1 323.440 3.113 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001714017629 1202729827 /nfs/dbraw/zinc/72/98/27/1202729827.db2.gz CGBAWSJSSBVJFR-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001714019562 1202730787 /nfs/dbraw/zinc/73/07/87/1202730787.db2.gz VOAWVBGOXJMKJF-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001714228649 1202840558 /nfs/dbraw/zinc/84/05/58/1202840558.db2.gz MWJDRPOHZCUIML-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001714237946 1202843684 /nfs/dbraw/zinc/84/36/84/1202843684.db2.gz MBUDBUOGKMPVDL-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc2ccc(C)nc21 ZINC001714245270 1202845412 /nfs/dbraw/zinc/84/54/12/1202845412.db2.gz HPUXHEDOHWVGEO-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CCCC(=O)N1CCC(CCN[C@H](C)c2ncc(C)o2)CC1 ZINC001714253014 1202848346 /nfs/dbraw/zinc/84/83/46/1202848346.db2.gz DGQXIBUMPBITKT-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCCC(=O)N1CCC(CCN[C@@H](C)c2ncc(C)o2)CC1 ZINC001714253013 1202848500 /nfs/dbraw/zinc/84/85/00/1202848500.db2.gz DGQXIBUMPBITKT-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001714269948 1202856218 /nfs/dbraw/zinc/85/62/18/1202856218.db2.gz USEWSRJNDFYVJZ-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1cc(F)ccc1OC ZINC001714269032 1202856506 /nfs/dbraw/zinc/85/65/06/1202856506.db2.gz CJYAFARCYKKPHW-ACJLOTCBSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2nocc2C)C1 ZINC001714408879 1202916975 /nfs/dbraw/zinc/91/69/75/1202916975.db2.gz YOSNZGMHRGDKIP-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)C2=CCCC2)C1 ZINC001714433069 1202930418 /nfs/dbraw/zinc/93/04/18/1202930418.db2.gz QYOZRSHISNJBIO-HXUWFJFHSA-N 0 1 322.452 3.071 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NC/C(Cl)=C/Cl)C1 ZINC001714489261 1202959331 /nfs/dbraw/zinc/95/93/31/1202959331.db2.gz HABYXYJMZIATCH-HQQGHWSLSA-N 0 1 305.249 3.146 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001714567733 1203008670 /nfs/dbraw/zinc/00/86/70/1203008670.db2.gz YUINUUUVDKLFRI-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN Cc1ccc(C)c(C(=O)NCCN(C)Cc2cccc(C#N)c2)c1 ZINC001714571465 1203012773 /nfs/dbraw/zinc/01/27/73/1203012773.db2.gz RESLVPAVEHBRJW-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC001714593697 1203033254 /nfs/dbraw/zinc/03/32/54/1203033254.db2.gz MSPVRKRRZVYCRY-IRXDYDNUSA-N 0 1 306.494 3.300 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001714598965 1203039046 /nfs/dbraw/zinc/03/90/46/1203039046.db2.gz KPXUDNAOLKAIQK-SFHVURJKSA-N 0 1 318.436 3.027 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001714645402 1203070987 /nfs/dbraw/zinc/07/09/87/1203070987.db2.gz NDJMXYRCOPQCSJ-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1ccc(C)cc1Cl ZINC001714707555 1203107351 /nfs/dbraw/zinc/10/73/51/1203107351.db2.gz XZCJTUVJUUMQIO-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN CC1=C(C)C[C@@H](C(=O)N(C)CCNCC#Cc2ccccc2)CC1 ZINC001714712230 1203107758 /nfs/dbraw/zinc/10/77/58/1203107758.db2.gz NMCFKMZZXJWJNO-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001714741883 1203114459 /nfs/dbraw/zinc/11/44/59/1203114459.db2.gz DCFVOBOQDGBFAW-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001714796398 1203129127 /nfs/dbraw/zinc/12/91/27/1203129127.db2.gz PDQABGGZADRZDM-HYVNUMGLSA-N 0 1 320.864 3.288 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(C)c1Cl ZINC001714795108 1203129153 /nfs/dbraw/zinc/12/91/53/1203129153.db2.gz XEZYELLYRODOCA-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN CC[C@@H](F)CN[C@@H](CNC(=O)C#CC(C)(C)C)c1ccccc1 ZINC001714835426 1203144800 /nfs/dbraw/zinc/14/48/00/1203144800.db2.gz IETJSEFGGLYGMJ-SJORKVTESA-N 0 1 318.436 3.231 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)cc1)c1ccccc1 ZINC001714844929 1203149562 /nfs/dbraw/zinc/14/95/62/1203149562.db2.gz GYYYXWGUMXHPQU-IBGZPJMESA-N 0 1 306.409 3.079 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cccc(Cl)c1)c1ccccc1 ZINC001714849663 1203151390 /nfs/dbraw/zinc/15/13/90/1203151390.db2.gz QZTPOGNSDSMXJT-QGZVFWFLSA-N 0 1 312.800 3.034 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2ccc(Cl)nc2)C1 ZINC001714863438 1203162480 /nfs/dbraw/zinc/16/24/80/1203162480.db2.gz JTOHKOJECPBATD-CQSZACIVSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccoc2C2CC2)C1 ZINC001714897405 1203184414 /nfs/dbraw/zinc/18/44/14/1203184414.db2.gz HTXLPEPNSWUYHV-CYBMUJFWSA-N 0 1 322.836 3.303 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1CCCCC1 ZINC001714910349 1203194671 /nfs/dbraw/zinc/19/46/71/1203194671.db2.gz YEMYFVVDZMQUPE-OAHLLOKOSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2CCc3cc(F)ccc32)C1 ZINC001714942254 1203209048 /nfs/dbraw/zinc/20/90/48/1203209048.db2.gz ZCNBNLJRKPWLKX-SCLBCKFNSA-N 0 1 316.420 3.011 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001714953476 1203214952 /nfs/dbraw/zinc/21/49/52/1203214952.db2.gz PMMSHJMAZLZFPW-KGLIPLIRSA-N 0 1 304.409 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccn1C)C1CCCCC1 ZINC001714954634 1203216285 /nfs/dbraw/zinc/21/62/85/1203216285.db2.gz LZYYGBUAKFWWNE-OAHLLOKOSA-N 0 1 323.868 3.046 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1CC)C1CCCCC1 ZINC001714977838 1203224083 /nfs/dbraw/zinc/22/40/83/1203224083.db2.gz IZDPFTSZMJMAPN-BMFZPTHFSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cc(C)cc1C ZINC001714985935 1203225989 /nfs/dbraw/zinc/22/59/89/1203225989.db2.gz QGXWTYGAOQNOMW-ROUUACIJSA-N 0 1 312.457 3.363 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001715042370 1203250099 /nfs/dbraw/zinc/25/00/99/1203250099.db2.gz ITROGLZQGONLRL-SJORKVTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1sc(C)cc1C ZINC001715083294 1203266902 /nfs/dbraw/zinc/26/69/02/1203266902.db2.gz UMQXJCCSLUKEGO-CYBMUJFWSA-N 0 1 314.882 3.144 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001715330740 1203317226 /nfs/dbraw/zinc/31/72/26/1203317226.db2.gz MKHTYDFHQYCCEW-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1ncc(C)s1 ZINC001715355656 1203322875 /nfs/dbraw/zinc/32/28/75/1203322875.db2.gz LGZGTDOHQQAWRP-ZFWWWQNUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CC(C)C)CC1 ZINC001715472771 1203346636 /nfs/dbraw/zinc/34/66/36/1203346636.db2.gz VFVZCQNXDWJHFJ-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN CN(C/C=C\c1ccccc1)CCN(C)C(=O)C#CC(C)(C)C ZINC001715519182 1203359400 /nfs/dbraw/zinc/35/94/00/1203359400.db2.gz SUZJIQICJFKHGX-XFXZXTDPSA-N 0 1 312.457 3.140 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCNCc1ncc(C(C)(C)C)o1 ZINC001715673689 1203379334 /nfs/dbraw/zinc/37/93/34/1203379334.db2.gz UQQGCIYAKPZFGC-CYBMUJFWSA-N 0 1 321.465 3.169 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1Cl)C(=O)CC(C)C ZINC001715715122 1203383426 /nfs/dbraw/zinc/38/34/26/1203383426.db2.gz RRSSMABXHFBGFR-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C/C=C(/C)C(=O)N(CC)CCNCC#Cc1ccccc1Cl ZINC001715725812 1203384508 /nfs/dbraw/zinc/38/45/08/1203384508.db2.gz SKDZGZAYNAVVNU-TVPGTPATSA-N 0 1 318.848 3.096 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C(C)(C)C(C)C ZINC001715735640 1203386034 /nfs/dbraw/zinc/38/60/34/1203386034.db2.gz ZTEJNADUACJJIT-UHFFFAOYSA-N 0 1 314.473 3.158 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001715924751 1203405053 /nfs/dbraw/zinc/40/50/53/1203405053.db2.gz OJYKOBXNWSKDLD-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1oc2ccc(F)cc2c1C ZINC001715979885 1203415309 /nfs/dbraw/zinc/41/53/09/1203415309.db2.gz RSHLJWRKEKTGOF-JTQLQIEISA-N 0 1 324.783 3.341 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001715988115 1203416486 /nfs/dbraw/zinc/41/64/86/1203416486.db2.gz GIRQUKWZXRWMGU-LBPRGKRZSA-N 0 1 323.506 3.247 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCN(C)Cc2ccc(C#N)cc2)cc1 ZINC001715996080 1203418064 /nfs/dbraw/zinc/41/80/64/1203418064.db2.gz QODWLHAABRPIAE-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN CCc1ccc(C(=O)N[C@@H](C)CNCc2ccccc2C#N)cc1 ZINC001716003157 1203419367 /nfs/dbraw/zinc/41/93/67/1203419367.db2.gz KTEXGRSAEAOVTJ-HNNXBMFYSA-N 0 1 321.424 3.029 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1coc2ccc(Cl)cc21 ZINC001716005275 1203419810 /nfs/dbraw/zinc/41/98/10/1203419810.db2.gz YKCLFZSYMREPBD-UHFFFAOYSA-N 0 1 318.804 3.113 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)[C@@H](C)c1ccccc1Cl ZINC001716026746 1203427855 /nfs/dbraw/zinc/42/78/55/1203427855.db2.gz TXLZJADXSIVWRA-CABCVRRESA-N 0 1 320.864 3.497 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CC[C@@H](C)c1ccccc1 ZINC001716042260 1203433793 /nfs/dbraw/zinc/43/37/93/1203433793.db2.gz QCXIAMCZQQEMKI-IAGOWNOFSA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1nc2ccccc2o1 ZINC001716143988 1203443373 /nfs/dbraw/zinc/44/33/73/1203443373.db2.gz SLFAWUUWDRJJKH-AWEZNQCLSA-N 0 1 315.417 3.169 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNCc2ncc(C(C)C)o2)C1 ZINC001716172444 1203445984 /nfs/dbraw/zinc/44/59/84/1203445984.db2.gz LILPSOPJXGJCBP-AWEZNQCLSA-N 0 1 319.449 3.139 20 30 DGEDMN CCC[C@@H](C)C(=O)N(CC)CCNCc1cc(C#N)ccc1F ZINC001716210110 1203449593 /nfs/dbraw/zinc/44/95/93/1203449593.db2.gz HNPFDFGCMWCMGZ-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1scnc1C(C)C ZINC001716244586 1203453302 /nfs/dbraw/zinc/45/33/02/1203453302.db2.gz ZDUAFVLJYORCJP-UHFFFAOYSA-N 0 1 315.870 3.071 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1ccc(F)cc1Cl ZINC001716287588 1203457303 /nfs/dbraw/zinc/45/73/03/1203457303.db2.gz TXJAQKRAAVOTBG-AATRIKPKSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1coc2ccc(CC)cc21 ZINC001716437647 1203473549 /nfs/dbraw/zinc/47/35/49/1203473549.db2.gz SFKWDUBJAJRMSY-LBPRGKRZSA-N 0 1 320.820 3.456 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)cc1OC ZINC001716473795 1203482754 /nfs/dbraw/zinc/48/27/54/1203482754.db2.gz SOGFCPSIKUHAON-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccccc1C(C)(C)CC ZINC001716483619 1203486680 /nfs/dbraw/zinc/48/66/80/1203486680.db2.gz JXVQFNAGVRJNQH-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccccc1C(C)(C)CC ZINC001716483620 1203487014 /nfs/dbraw/zinc/48/70/14/1203487014.db2.gz JXVQFNAGVRJNQH-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001716532767 1203500045 /nfs/dbraw/zinc/50/00/45/1203500045.db2.gz UOCDXJSUVDMRTN-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCC(=O)N[C@H](CN[C@@H](C)c1c(F)cccc1F)C1CC1 ZINC001716606689 1203505759 /nfs/dbraw/zinc/50/57/59/1203505759.db2.gz UNGBYZNYBGBTHR-BLLLJJGKSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001716652291 1203513567 /nfs/dbraw/zinc/51/35/67/1203513567.db2.gz KWOLSRYWWYFBHM-IBGZPJMESA-N 0 1 312.457 3.454 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCc1ccc(C)cc1 ZINC001716661822 1203517127 /nfs/dbraw/zinc/51/71/27/1203517127.db2.gz UDZWOKBCEBUTMK-SFHVURJKSA-N 0 1 300.446 3.084 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2sccc2Cl)CC1 ZINC001716692094 1203526162 /nfs/dbraw/zinc/52/61/62/1203526162.db2.gz HIIMUAWXGGVULT-UHFFFAOYSA-N 0 1 312.866 3.496 20 30 DGEDMN C=CCCCC(=O)NCC1(N[C@@H](C)c2ccccc2F)CC1 ZINC001716692222 1203526197 /nfs/dbraw/zinc/52/61/97/1203526197.db2.gz MEAZISVTMSNYGJ-AWEZNQCLSA-N 0 1 304.409 3.481 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCC[C@H](F)C2)C1 ZINC001716741193 1203535497 /nfs/dbraw/zinc/53/54/97/1203535497.db2.gz PJBFGZCBHHYLFF-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccccc2-n2cccc2)C1 ZINC001716747717 1203536421 /nfs/dbraw/zinc/53/64/21/1203536421.db2.gz LFVBDRGYGUFNAB-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ncc(CC)o2)C[C@H]1C ZINC001716761647 1203539585 /nfs/dbraw/zinc/53/95/85/1203539585.db2.gz NKYKZGRNKFECGY-CABCVRRESA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ncc(CC)o2)C[C@@H]1C ZINC001716761650 1203539619 /nfs/dbraw/zinc/53/96/19/1203539619.db2.gz NKYKZGRNKFECGY-LSDHHAIUSA-N 0 1 319.449 3.062 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)oc2C)C[C@H]1C ZINC001716764748 1203540002 /nfs/dbraw/zinc/54/00/02/1203540002.db2.gz RAOFWLYBQXHHMJ-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)cc(C)c1 ZINC001716788496 1203542566 /nfs/dbraw/zinc/54/25/66/1203542566.db2.gz KUYCRAYFSQJSEB-INIZCTEOSA-N 0 1 306.837 3.250 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C/C=C\c2ccc(C)cc2)CC1 ZINC001716799038 1203544878 /nfs/dbraw/zinc/54/48/78/1203544878.db2.gz MYRUUSGYLJMIBP-WAYWQWQTSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccs1)C1CCCC1 ZINC001716813788 1203546675 /nfs/dbraw/zinc/54/66/75/1203546675.db2.gz OJDXZQTYHYEKJG-CYBMUJFWSA-N 0 1 312.866 3.379 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)CSC)C1CCCC1 ZINC001716815478 1203546892 /nfs/dbraw/zinc/54/68/92/1203546892.db2.gz VMXLMKUQTWESNO-BXUZGUMPSA-N 0 1 318.914 3.003 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)Cc2ccccc2)CC1 ZINC001716887006 1203561455 /nfs/dbraw/zinc/56/14/55/1203561455.db2.gz XFNPMUDCVGXLMH-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC12CCC2)c1ccccc1CC ZINC001716955170 1203569750 /nfs/dbraw/zinc/56/97/50/1203569750.db2.gz HYXGUZBUPMJSLA-RBUKOAKNSA-N 0 1 324.468 3.209 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C\Cl ZINC001717035014 1203579612 /nfs/dbraw/zinc/57/96/12/1203579612.db2.gz FBKPQJGBIFWQKP-UHEGZITHSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C/Cl ZINC001717036232 1203580295 /nfs/dbraw/zinc/58/02/95/1203580295.db2.gz REQNIBJHBSAKRJ-HARXRPJJSA-N 0 1 322.880 3.388 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@]12C[C@@H]1CCCC2 ZINC001717113813 1203585792 /nfs/dbraw/zinc/58/57/92/1203585792.db2.gz PNEOVSFBUHMKGS-FPOVZHCZSA-N 0 1 324.468 3.338 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)CCC ZINC001717113560 1203586230 /nfs/dbraw/zinc/58/62/30/1203586230.db2.gz YZICHOSEZVWVBS-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2csc(C)c2C)CCC1 ZINC001717151422 1203591879 /nfs/dbraw/zinc/59/18/79/1203591879.db2.gz NFXWMICFUADOSY-UHFFFAOYSA-N 0 1 312.866 3.360 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccnc(Cl)c2)CC1 ZINC001717191836 1203596534 /nfs/dbraw/zinc/59/65/34/1203596534.db2.gz UHEWOKDDKWYIQQ-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCCN(C)C(=O)C(C)(C)C ZINC001717214024 1203605065 /nfs/dbraw/zinc/60/50/65/1203605065.db2.gz FURNHHGHPHZBCY-UHFFFAOYSA-N 0 1 318.436 3.004 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCC2(CN(CCCCC)C2)O1 ZINC001717224866 1203606301 /nfs/dbraw/zinc/60/63/01/1203606301.db2.gz VGVAYSXSOHEOBH-SJLPKXTDSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)CCCCCC)C(C)(C)C1 ZINC001717311606 1203617739 /nfs/dbraw/zinc/61/77/39/1203617739.db2.gz DVZKDTBXUFTCKF-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(CC)CC ZINC001717361160 1203625285 /nfs/dbraw/zinc/62/52/85/1203625285.db2.gz GHWQLKUDTGCPRU-QZTJIDSGSA-N 0 1 312.457 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C(C1CCC1)C1CCC1 ZINC001717370628 1203628377 /nfs/dbraw/zinc/62/83/77/1203628377.db2.gz JCRNBCIHSFOVPG-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C(C)C)c1 ZINC001717372145 1203628456 /nfs/dbraw/zinc/62/84/56/1203628456.db2.gz COQOMJQRABWYIQ-LJQANCHMSA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)CCC(C)(C)C ZINC001717373037 1203628939 /nfs/dbraw/zinc/62/89/39/1203628939.db2.gz FGMHJFWQFWLTST-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1coc(C(F)F)c1 ZINC001717424603 1203641686 /nfs/dbraw/zinc/64/16/86/1203641686.db2.gz ICROTDVMEQKIJL-BDAKNGLRSA-N 0 1 306.740 3.066 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001717425634 1203641721 /nfs/dbraw/zinc/64/17/21/1203641721.db2.gz UZQVJVQMKLSLNT-VXGBXAGGSA-N 0 1 309.479 3.099 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)CCC(F)(F)F)CCC1 ZINC001717486163 1203660905 /nfs/dbraw/zinc/66/09/05/1203660905.db2.gz ACBYIYNPSBDNOG-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1cnoc1C ZINC001717537298 1203676505 /nfs/dbraw/zinc/67/65/05/1203676505.db2.gz BENXDQKBNZBEFL-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cccc(F)c1C ZINC001717731969 1203706371 /nfs/dbraw/zinc/70/63/71/1203706371.db2.gz UUDOQMKORUZDOL-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(F)cc1Cl ZINC001717752709 1203710334 /nfs/dbraw/zinc/71/03/34/1203710334.db2.gz UCCNAIVIUVPUJE-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001717757225 1203711553 /nfs/dbraw/zinc/71/15/53/1203711553.db2.gz MAUVQBNRJQXCPJ-KSSFIOAISA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)[C@@H]1C ZINC001717797627 1203713525 /nfs/dbraw/zinc/71/35/25/1203713525.db2.gz FPYOKDFJNMORKO-DZGCQCFKSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCCC(F)(F)F)C1 ZINC001717824899 1203716738 /nfs/dbraw/zinc/71/67/38/1203716738.db2.gz YBMVANYLBFZQMT-QWRGUYRKSA-N 0 1 312.763 3.051 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](CC)CC1CCCC1)C(C)C ZINC001717951225 1203733738 /nfs/dbraw/zinc/73/37/38/1203733738.db2.gz LHNIAMUNAZKXLH-SFHVURJKSA-N 0 1 306.494 3.395 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C)cc1 ZINC001717963845 1203736792 /nfs/dbraw/zinc/73/67/92/1203736792.db2.gz NEVNCNNPMVYQKB-MRXNPFEDSA-N 0 1 318.848 3.155 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001717965051 1203737138 /nfs/dbraw/zinc/73/71/38/1203737138.db2.gz IPMKDUYMHXIZOK-KGLIPLIRSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC12CCCC2)C(C)(C)C ZINC001717970317 1203737962 /nfs/dbraw/zinc/73/79/62/1203737962.db2.gz NJVOGFLMJKDUCN-ZIAGYGMSSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CC[C@H](C(=O)NCC1=CCN(CC#CC)CC1)c1ccccc1 ZINC001718033917 1203745426 /nfs/dbraw/zinc/74/54/26/1203745426.db2.gz ZWPFSYJJVWQJMV-FQEVSTJZSA-N 0 1 322.452 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001723322904 1203963683 /nfs/dbraw/zinc/96/36/83/1203963683.db2.gz DOJQYIUCHUFUPZ-BWODNOAJSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001723429175 1203971081 /nfs/dbraw/zinc/97/10/81/1203971081.db2.gz IORHOWYLOHQKJZ-LJQANCHMSA-N 0 1 312.457 3.454 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001723463580 1203975481 /nfs/dbraw/zinc/97/54/81/1203975481.db2.gz LYCKNCPHFGZEKX-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CO[C@H]2CCCC[C@H]2CC)C1 ZINC001723760848 1203991896 /nfs/dbraw/zinc/99/18/96/1203991896.db2.gz SOPFUBXWNNVXNY-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)cc2F)C1 ZINC001723799252 1203993497 /nfs/dbraw/zinc/99/34/97/1203993497.db2.gz HMRQJIKEGBMUQY-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3ccccc3n2)C1 ZINC001723814571 1203994347 /nfs/dbraw/zinc/99/43/47/1203994347.db2.gz IYBUXVPIURFVNQ-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001723814531 1203994622 /nfs/dbraw/zinc/99/46/22/1203994622.db2.gz HLAPJJVAUZSKPA-UHFFFAOYSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001723896631 1203998082 /nfs/dbraw/zinc/99/80/82/1203998082.db2.gz GLUAMBGDSBXJQN-UELRPHRMSA-N 0 1 317.260 3.080 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)C1CC1)C1CCN(C/C=C\Cl)CC1 ZINC001724037653 1204002413 /nfs/dbraw/zinc/00/24/13/1204002413.db2.gz CHSOVFZSDSOYQG-JHXYKOSWSA-N 0 1 320.864 3.021 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CC(C)C)C1CCN(CC#CC)CC1 ZINC001724061089 1204002814 /nfs/dbraw/zinc/00/28/14/1204002814.db2.gz JUSZDTMINGJMNL-GOSISDBHSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C)CC=CC1)C1CCCC1 ZINC001724096223 1204004789 /nfs/dbraw/zinc/00/47/89/1204004789.db2.gz UHNSJPYFVYLCBR-OAHLLOKOSA-N 0 1 310.869 3.360 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001724343119 1204023713 /nfs/dbraw/zinc/02/37/13/1204023713.db2.gz HCFCJRQKNLPPEJ-JKTFDMFLSA-N 0 1 324.468 3.250 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C(C)(C)CCCCC)C1 ZINC001724342324 1204024065 /nfs/dbraw/zinc/02/40/65/1204024065.db2.gz HNCPBLNVKGKXMH-IBGZPJMESA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCC(C)(F)F)C1 ZINC001724375024 1204025513 /nfs/dbraw/zinc/02/55/13/1204025513.db2.gz CRPKPALEVWMVFH-LBPRGKRZSA-N 0 1 324.362 3.071 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@]1(C)C=CCC1)C2 ZINC001724615181 1204033213 /nfs/dbraw/zinc/03/32/13/1204033213.db2.gz WUYWHSBFXLNPTK-KYHPRHEASA-N 0 1 322.880 3.454 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)CCCCCC ZINC001724656138 1204036710 /nfs/dbraw/zinc/03/67/10/1204036710.db2.gz QYTKDEULRMXIKD-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC(C)C)CCC1 ZINC001724659240 1204037551 /nfs/dbraw/zinc/03/75/51/1204037551.db2.gz UXBXFOLWSAODKC-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001724785617 1204047246 /nfs/dbraw/zinc/04/72/46/1204047246.db2.gz NORMFTWVJRPUJK-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001724835767 1204051506 /nfs/dbraw/zinc/05/15/06/1204051506.db2.gz DZKFPSKVFMCDSF-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cncc(F)c2)CC1 ZINC001724842998 1204053888 /nfs/dbraw/zinc/05/38/88/1204053888.db2.gz MHGXJTGNRYDBCC-QGZVFWFLSA-N 0 1 319.424 3.048 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@]3(C)CC=CCC3)cc2C1 ZINC001724923720 1204065770 /nfs/dbraw/zinc/06/57/70/1204065770.db2.gz OOOMHIHQGXPPER-OAQYLSRUSA-N 0 1 322.452 3.388 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cncs2)[C@@H](C)C1 ZINC001725003691 1204078621 /nfs/dbraw/zinc/07/86/21/1204078621.db2.gz QZMDEVKHIIXFMT-UONOGXRCSA-N 0 1 321.490 3.215 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H]1CN(C)Cc1ccsc1 ZINC001725042114 1204084031 /nfs/dbraw/zinc/08/40/31/1204084031.db2.gz OPFMARBXFCDMOQ-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(C)C(C)(C)C1(C)C ZINC001725062940 1204088236 /nfs/dbraw/zinc/08/82/36/1204088236.db2.gz YMRGTHUTXAHPKL-OAHLLOKOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(Cl)ccc1C ZINC001725112880 1204097203 /nfs/dbraw/zinc/09/72/03/1204097203.db2.gz CUVDXYBUKMHSML-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001725113396 1204097495 /nfs/dbraw/zinc/09/74/95/1204097495.db2.gz MVAODJBKBNRNMK-KGLIPLIRSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1cccnc1 ZINC001725237678 1204124201 /nfs/dbraw/zinc/12/42/01/1204124201.db2.gz QYMYEAVGEPDDSM-GOSISDBHSA-N 0 1 315.461 3.299 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@H](F)c1ccccc1 ZINC001725240972 1204125502 /nfs/dbraw/zinc/12/55/02/1204125502.db2.gz JKQCCDMMGPWBGB-QZTJIDSGSA-N 0 1 316.420 3.081 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001725257141 1204129168 /nfs/dbraw/zinc/12/91/68/1204129168.db2.gz SVHJNMSNAJQPDA-AWEZNQCLSA-N 0 1 304.409 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CCCC1(C)C ZINC001725466689 1204153698 /nfs/dbraw/zinc/15/36/98/1204153698.db2.gz LDVFOFMFPQXTSZ-HUUCEWRRSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001725487657 1204157765 /nfs/dbraw/zinc/15/77/65/1204157765.db2.gz WHDAPTGDHKAFRE-UONOGXRCSA-N 0 1 322.399 3.266 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001725487656 1204158031 /nfs/dbraw/zinc/15/80/31/1204158031.db2.gz WHDAPTGDHKAFRE-KGLIPLIRSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(F)cc(C)cc1F ZINC001725498985 1204159660 /nfs/dbraw/zinc/15/96/60/1204159660.db2.gz HFMQRHZSVITDHP-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1ccc(C)c(C)c1 ZINC001725516281 1204163948 /nfs/dbraw/zinc/16/39/48/1204163948.db2.gz MNVGAQVNAPRTEN-OAHLLOKOSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccoc1)C(C)(C)C ZINC001725738433 1204207937 /nfs/dbraw/zinc/20/79/37/1204207937.db2.gz NFFAHJAFMQGUAH-AWEZNQCLSA-N 0 1 312.841 3.085 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725748255 1204211218 /nfs/dbraw/zinc/21/12/18/1204211218.db2.gz MQEYBFSMFDAOFP-QAPCUYQASA-N 0 1 321.465 3.206 20 30 DGEDMN CCCCC(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001725749630 1204211273 /nfs/dbraw/zinc/21/12/73/1204211273.db2.gz WEZYUCFFQGWEGZ-QGZVFWFLSA-N 0 1 315.461 3.369 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2ccccc2s1)C(C)C ZINC001725763998 1204216086 /nfs/dbraw/zinc/21/60/86/1204216086.db2.gz KZJMNKRGSHLPPF-UHFFFAOYSA-N 0 1 314.454 3.317 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1[C@H](C=C(C)C)C1(C)C)C(C)C ZINC001725769399 1204217675 /nfs/dbraw/zinc/21/76/75/1204217675.db2.gz UYNTYJRHCLBKHU-ROUUACIJSA-N 0 1 318.505 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC2(CCC2)C1)C(C)(C)C ZINC001725798847 1204224386 /nfs/dbraw/zinc/22/43/86/1204224386.db2.gz QTQHMVNOPSZOJY-CQSZACIVSA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C(C)(C)CCC)c(F)c1 ZINC001725833209 1204229492 /nfs/dbraw/zinc/22/94/92/1204229492.db2.gz ISTVQNBIQHYKPR-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H](C)CC(C)(C)C)CC1 ZINC001731350454 1204381753 /nfs/dbraw/zinc/38/17/53/1204381753.db2.gz FBKWBASGSSQIIU-MRXNPFEDSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1ccncc1Cl)C1CC1 ZINC001731395606 1204395692 /nfs/dbraw/zinc/39/56/92/1204395692.db2.gz NVQWAODEBJOFDF-INIZCTEOSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001731433656 1204411624 /nfs/dbraw/zinc/41/16/24/1204411624.db2.gz NWOCUNHCXJSRIU-AWEZNQCLSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H](C)CCC=C(C)C ZINC001731540931 1204451295 /nfs/dbraw/zinc/45/12/95/1204451295.db2.gz NAGMYUCQFUNXLM-QZTJIDSGSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@]1(C)CCc2ccccc21 ZINC001731541581 1204451752 /nfs/dbraw/zinc/45/17/52/1204451752.db2.gz GESJMTWICIEXSI-FXAWDEMLSA-N 0 1 312.457 3.047 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001731541927 1204452471 /nfs/dbraw/zinc/45/24/71/1204452471.db2.gz HSXMIUKACLEVMA-UKRRQHHQSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)c1ccc(F)cc1 ZINC001731544360 1204453725 /nfs/dbraw/zinc/45/37/25/1204453725.db2.gz ZCCHAVSWDFSJAL-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001731544503 1204453972 /nfs/dbraw/zinc/45/39/72/1204453972.db2.gz VUKVMRCOXOZPLQ-FUHWJXTLSA-N 0 1 300.446 3.255 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1coc2ccc(C)cc12 ZINC001731594997 1204476842 /nfs/dbraw/zinc/47/68/42/1204476842.db2.gz KSBCNOMUUNDSOY-ZDUSSCGKSA-N 0 1 320.820 3.131 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2ccccc2Cl)C1 ZINC001731619000 1204485174 /nfs/dbraw/zinc/48/51/74/1204485174.db2.gz LXLCQGUJHIAQFO-CYBMUJFWSA-N 0 1 306.837 3.291 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2ccccc2Cl)C1 ZINC001731619001 1204485491 /nfs/dbraw/zinc/48/54/91/1204485491.db2.gz LXLCQGUJHIAQFO-ZDUSSCGKSA-N 0 1 306.837 3.291 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@@H](C)NCC(=C)Cl)c1ccccc1 ZINC001731638281 1204493174 /nfs/dbraw/zinc/49/31/74/1204493174.db2.gz BALFAWJXEANRRD-CABCVRRESA-N 0 1 306.837 3.193 20 30 DGEDMN CCC(CC)(CC)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001731789472 1204559097 /nfs/dbraw/zinc/55/90/97/1204559097.db2.gz IQIILGMDUFCNMZ-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(Cl)ccc1Cl ZINC001731815692 1204581533 /nfs/dbraw/zinc/58/15/33/1204581533.db2.gz VGARJQMAMMVAIB-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1ccc(C)o1 ZINC001731863634 1204608200 /nfs/dbraw/zinc/60/82/00/1204608200.db2.gz AWYIZUWHOULYHL-UHFFFAOYSA-N 0 1 324.424 3.082 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)c1cccc(C)c1)c1ccccc1 ZINC001732024888 1204653215 /nfs/dbraw/zinc/65/32/15/1204653215.db2.gz YHURJOURZOUVTL-XLIONFOSSA-N 0 1 320.436 3.179 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@@H](C)c1ccco1)c1ccccc1 ZINC001732026521 1204654106 /nfs/dbraw/zinc/65/41/06/1204654106.db2.gz WRLYBLQLAUJKRO-SJLPKXTDSA-N 0 1 324.424 3.244 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccccc2C)C1 ZINC001732042192 1204663576 /nfs/dbraw/zinc/66/35/76/1204663576.db2.gz PPJKYMUQPMVMPS-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001732047361 1204667265 /nfs/dbraw/zinc/66/72/65/1204667265.db2.gz NODYPSOIZNUJFZ-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC/C=C/c2ccccc2)C1 ZINC001732076443 1204682376 /nfs/dbraw/zinc/68/23/76/1204682376.db2.gz OFFJHUDNRWGLQA-GPVYEGESSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001732079190 1204683535 /nfs/dbraw/zinc/68/35/35/1204683535.db2.gz CMTFNBKCNHRFKL-CABCVRRESA-N 0 1 321.490 3.026 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C#CC(C)C)C1 ZINC001732081907 1204685459 /nfs/dbraw/zinc/68/54/59/1204685459.db2.gz IKMQWQWLVIRRCJ-HXUWFJFHSA-N 0 1 324.468 3.325 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(c3cccc(F)c3)CC2)C1 ZINC001732113299 1204699229 /nfs/dbraw/zinc/69/92/29/1204699229.db2.gz ZNJDTRAFGANVOZ-CQSZACIVSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCCc2cc(C)sc2C)C1 ZINC001732119046 1204701153 /nfs/dbraw/zinc/70/11/53/1204701153.db2.gz NTMMEFJIXRABRK-UHFFFAOYSA-N 0 1 320.502 3.454 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001732132949 1204707228 /nfs/dbraw/zinc/70/72/28/1204707228.db2.gz DGANKNCUNZXVCA-LJQANCHMSA-N 0 1 318.436 3.284 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001732137561 1204709169 /nfs/dbraw/zinc/70/91/69/1204709169.db2.gz LEGQXHKPKDDQEP-UHFFFAOYSA-N 0 1 320.864 3.242 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3CCCCCF)CC1 ZINC001732147065 1204713052 /nfs/dbraw/zinc/71/30/52/1204713052.db2.gz INSNZJLWQPYTFB-IRXDYDNUSA-N 0 1 308.441 3.158 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sc(C)cc1C ZINC001732144822 1204713677 /nfs/dbraw/zinc/71/36/77/1204713677.db2.gz HGEDJNZMTSUTPK-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc2cc[nH]c2c1 ZINC001732147851 1204715073 /nfs/dbraw/zinc/71/50/73/1204715073.db2.gz YSAOWAOQGTUJDE-ROUUACIJSA-N 0 1 323.440 3.309 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001732175049 1204730155 /nfs/dbraw/zinc/73/01/55/1204730155.db2.gz FPDXEBRMJFTBDJ-IRXDYDNUSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1cc(C)cc(C)c1 ZINC001732208783 1204749666 /nfs/dbraw/zinc/74/96/66/1204749666.db2.gz KXBLQUBGWRYRCR-MRXNPFEDSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001732211367 1204751564 /nfs/dbraw/zinc/75/15/64/1204751564.db2.gz LMELDVMVQOLKSX-IEBWSBKVSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C(C)(C)c1ccccc1 ZINC001732222614 1204758315 /nfs/dbraw/zinc/75/83/15/1204758315.db2.gz XJVZUFNUFLXDRR-HNNXBMFYSA-N 0 1 308.853 3.201 20 30 DGEDMN CCC(=CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N)CC ZINC001732413108 1204828026 /nfs/dbraw/zinc/82/80/26/1204828026.db2.gz NQTNTKDVLVPRNG-HNNXBMFYSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001732456354 1204851448 /nfs/dbraw/zinc/85/14/48/1204851448.db2.gz YUQQRCBFGLRWSD-STQMWFEESA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c[nH]cc1C)C1CCCCC1 ZINC001732480959 1204866941 /nfs/dbraw/zinc/86/69/41/1204866941.db2.gz XVKADFXRKRSZRU-INIZCTEOSA-N 0 1 323.868 3.344 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(c2ccccc2)CCC1 ZINC001732784469 1204942975 /nfs/dbraw/zinc/94/29/75/1204942975.db2.gz CDDTWZUIXNQXRG-UHFFFAOYSA-N 0 1 320.864 3.299 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccccc1CCC ZINC001732873993 1204953446 /nfs/dbraw/zinc/95/34/46/1204953446.db2.gz QQZCOQQZOHNZCR-SREVYHEPSA-N 0 1 306.837 3.267 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1cc(F)ccc1F ZINC001732902022 1204957671 /nfs/dbraw/zinc/95/76/71/1204957671.db2.gz UCKTWOCLJQBEQN-MJRGOJFPSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001732936392 1204960761 /nfs/dbraw/zinc/96/07/61/1204960761.db2.gz JXLAWLSMZIAITA-SNAWJCMRSA-N 0 1 310.800 3.071 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C(F)F)c1F ZINC001732996303 1204977412 /nfs/dbraw/zinc/97/74/12/1204977412.db2.gz UYPDQRYKCURXST-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001733035215 1204991509 /nfs/dbraw/zinc/99/15/09/1204991509.db2.gz AMDFFVWGBMNLKU-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001733036151 1204993078 /nfs/dbraw/zinc/99/30/78/1204993078.db2.gz UEOXGKASHZVHGC-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)Cc1cccc(C(C)C)n1 ZINC001733047785 1204999445 /nfs/dbraw/zinc/99/94/45/1204999445.db2.gz GJPSEVKBJANGNC-OAHLLOKOSA-N 0 1 317.477 3.354 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)Cc1cc(C)ccc1OC ZINC001733049964 1205001976 /nfs/dbraw/zinc/00/19/76/1205001976.db2.gz PZARFUHZNWBMBB-OAHLLOKOSA-N 0 1 318.461 3.152 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(CCC)cc1 ZINC001733211369 1205046407 /nfs/dbraw/zinc/04/64/07/1205046407.db2.gz JBZLAFJSRBUGPW-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CCCc1cccc(F)c1 ZINC001733218387 1205048955 /nfs/dbraw/zinc/04/89/55/1205048955.db2.gz AJZJIODELLBHKR-QGZVFWFLSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2ccc3c(c2)CCC3)C1 ZINC001733223946 1205053593 /nfs/dbraw/zinc/05/35/93/1205053593.db2.gz ADSHCAXNVCAJSA-IBGZPJMESA-N 0 1 324.468 3.222 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001733228277 1205057537 /nfs/dbraw/zinc/05/75/37/1205057537.db2.gz CKIBUQBAHGMWIS-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2CCOC(C)C)CCCCC1 ZINC001733238630 1205064665 /nfs/dbraw/zinc/06/46/65/1205064665.db2.gz VFUQZFRTDMDZBW-KRWDZBQOSA-N 0 1 322.493 3.129 20 30 DGEDMN CCC(CC)CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001733256694 1205071516 /nfs/dbraw/zinc/07/15/16/1205071516.db2.gz FBAYGEXVAORRLA-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)c(F)c(C)c2)CC1 ZINC001733263411 1205073822 /nfs/dbraw/zinc/07/38/22/1205073822.db2.gz HZQMUYSXGVGCMQ-UHFFFAOYSA-N 0 1 310.800 3.047 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCc1ccccc1 ZINC001733274960 1205078520 /nfs/dbraw/zinc/07/85/20/1205078520.db2.gz QGVLVAZBVZZOGW-UXHICEINSA-N 0 1 324.468 3.098 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001733287964 1205082610 /nfs/dbraw/zinc/08/26/10/1205082610.db2.gz SYOZDODLFVWDEM-MDGVGCGJSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(CC2CCC(F)(F)CC2)C1 ZINC001733307480 1205089122 /nfs/dbraw/zinc/08/91/22/1205089122.db2.gz BKMADDNEHUCKQV-UHFFFAOYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001733307465 1205089723 /nfs/dbraw/zinc/08/97/23/1205089723.db2.gz AYLGXJBFBYRTIA-ZIAGYGMSSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(F)c(F)c2)C1 ZINC001733307042 1205089793 /nfs/dbraw/zinc/08/97/93/1205089793.db2.gz LGUFLQROYQIGSA-LBPRGKRZSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001733319852 1205095078 /nfs/dbraw/zinc/09/50/78/1205095078.db2.gz ZHQQCKAAAHJQPC-YJBOKZPZSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CNCc1oc(C(C)C)nc1C ZINC001733337253 1205099613 /nfs/dbraw/zinc/09/96/13/1205099613.db2.gz OPBZVOPBPZAASH-HNNXBMFYSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(OCC)cc1 ZINC001733352346 1205102699 /nfs/dbraw/zinc/10/26/99/1205102699.db2.gz IRLCCWCCILPSRV-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001733414708 1205125837 /nfs/dbraw/zinc/12/58/37/1205125837.db2.gz WMDCSZOLMAGXNH-PXNSSMCTSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2sccc2Cl)C1 ZINC001733462947 1205144408 /nfs/dbraw/zinc/14/44/08/1205144408.db2.gz YQCHYVPLFCIFEN-INIZCTEOSA-N 0 1 324.877 3.257 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cccc(C)c1)c1ccccc1CC ZINC001733513779 1205150940 /nfs/dbraw/zinc/15/09/40/1205150940.db2.gz NLQMZCROFALMPE-HXUWFJFHSA-N 0 1 320.436 3.251 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](CC)c3ccccc3)[C@@H]2C1 ZINC001733605070 1205172615 /nfs/dbraw/zinc/17/26/15/1205172615.db2.gz CZQKDMUJJFCBQZ-YZGWKJHDSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC1(C)C ZINC001733680677 1205182520 /nfs/dbraw/zinc/18/25/20/1205182520.db2.gz WQXVOXZMROTBLV-SFHVURJKSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sc(C)cc2C)CCC1 ZINC001733715369 1205192461 /nfs/dbraw/zinc/19/24/61/1205192461.db2.gz NKOCSBQEIGGOJM-UHFFFAOYSA-N 0 1 312.866 3.360 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001733763149 1205201883 /nfs/dbraw/zinc/20/18/83/1205201883.db2.gz HQXFEYBRTKHUNJ-CRAIPNDOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)CCc2ccccc2)CC1 ZINC001733768498 1205206759 /nfs/dbraw/zinc/20/67/59/1205206759.db2.gz IMVHZUOJDVIDPP-KRWDZBQOSA-N 0 1 320.864 3.342 20 30 DGEDMN CC(C)CCC(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001733769412 1205207653 /nfs/dbraw/zinc/20/76/53/1205207653.db2.gz SGJGMOHLRATZQD-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2c(F)cccc2F)C1 ZINC001733848359 1205229985 /nfs/dbraw/zinc/22/99/85/1205229985.db2.gz DMBOXYBWBUQOTJ-DYVFJYSZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2c(F)cccc2F)C1 ZINC001733848363 1205230688 /nfs/dbraw/zinc/23/06/88/1205230688.db2.gz DMBOXYBWBUQOTJ-SUMWQHHRSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2ocnc2C)[C@H](C)C1 ZINC001733898559 1205245331 /nfs/dbraw/zinc/24/53/31/1205245331.db2.gz IXPNUKBERCYMNC-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2conc2CC)[C@H](C)C1 ZINC001733902492 1205247881 /nfs/dbraw/zinc/24/78/81/1205247881.db2.gz UJTHDPGFZJDMAL-GDBMZVCRSA-N 0 1 319.449 3.062 20 30 DGEDMN C[C@@H](NC(=O)/C=C\C(C)(C)C)[C@H](C)NCc1ccccc1C#N ZINC001733964264 1205273040 /nfs/dbraw/zinc/27/30/40/1205273040.db2.gz MFTDWUBWTRRDKW-UMZRIGFJSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2cnccc21 ZINC001734001210 1205284872 /nfs/dbraw/zinc/28/48/72/1205284872.db2.gz HUJFVJZHMRZYIT-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1ccc(Cl)cc1 ZINC001734010222 1205287593 /nfs/dbraw/zinc/28/75/93/1205287593.db2.gz YNPMEISMSOEWJU-NWDGAFQWSA-N 0 1 315.244 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(C(F)F)c1 ZINC001734010328 1205287663 /nfs/dbraw/zinc/28/76/63/1205287663.db2.gz ZMLVNVYFYPSGSQ-WDEREUQCSA-N 0 1 316.779 3.473 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001734012381 1205288219 /nfs/dbraw/zinc/28/82/19/1205288219.db2.gz XEIUUPJBPJDUTC-HPUBIQBYSA-N 0 1 319.449 3.136 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2cccc(C)c2s1 ZINC001734043534 1205301304 /nfs/dbraw/zinc/30/13/04/1205301304.db2.gz LQPPHNROHHUOFA-AWEZNQCLSA-N 0 1 314.454 3.283 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)Cc2sc(C)nc2C)C1 ZINC001734052779 1205306924 /nfs/dbraw/zinc/30/69/24/1205306924.db2.gz BTFWPXDMLNJGIP-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H](C)N(C)C[C@H](F)CC)CCCCC1 ZINC001734064951 1205314006 /nfs/dbraw/zinc/31/40/06/1205314006.db2.gz HBRYADSVNWXIIQ-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](CCCC)CNCc1ccccc1C#N ZINC001734094197 1205320198 /nfs/dbraw/zinc/32/01/98/1205320198.db2.gz GNQSDDQIARSVHR-ZPZLLIBOSA-N 0 1 313.445 3.289 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCCCCN2CCF)CCCCC1 ZINC001734106279 1205325792 /nfs/dbraw/zinc/32/57/92/1205325792.db2.gz MCGNHFGFLDWWOF-KRWDZBQOSA-N 0 1 322.468 3.291 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001734127107 1205331320 /nfs/dbraw/zinc/33/13/20/1205331320.db2.gz DDXBLHORXJBCKL-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001734129593 1205331555 /nfs/dbraw/zinc/33/15/55/1205331555.db2.gz RCZKZJWVXSIDAP-IRXDYDNUSA-N 0 1 314.473 3.186 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@H](C)c1c(F)cccc1F ZINC001734316831 1205363207 /nfs/dbraw/zinc/36/32/07/1205363207.db2.gz LCRVRDBTDIYVOD-CHWSQXEVSA-N 0 1 310.388 3.429 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1c(F)cccc1Cl ZINC001734322044 1205364472 /nfs/dbraw/zinc/36/44/72/1205364472.db2.gz YUAGECZSJZUYII-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001734335298 1205366522 /nfs/dbraw/zinc/36/65/22/1205366522.db2.gz BBJFCBFFRDFBIG-QMMMGPOBSA-N 0 1 324.639 3.124 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001734346008 1205368677 /nfs/dbraw/zinc/36/86/77/1205368677.db2.gz SNNCBJJQUVMIRV-PXAZEXFGSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc2cnccc21 ZINC001734354349 1205370598 /nfs/dbraw/zinc/37/05/98/1205370598.db2.gz KHDFKMXGCUCNFO-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C[C@@H]1C ZINC001734411309 1205373769 /nfs/dbraw/zinc/37/37/69/1205373769.db2.gz QXZLWCCSRCJLNN-SWLSCSKDSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C[C@H]1C ZINC001734421962 1205374894 /nfs/dbraw/zinc/37/48/94/1205374894.db2.gz HPSPJSCKXGETFF-CJNGLKHVSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cccc(OC)c2)[C@@H]1C ZINC001734451177 1205379130 /nfs/dbraw/zinc/37/91/30/1205379130.db2.gz QVUDJDSOYXRJJJ-CRAIPNDOSA-N 0 1 316.445 3.131 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cnccc2C)[C@H]1C ZINC001734463918 1205382977 /nfs/dbraw/zinc/38/29/77/1205382977.db2.gz XGLFQLPVUWXOQJ-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001734559605 1205394494 /nfs/dbraw/zinc/39/44/94/1205394494.db2.gz DFVACMCEDRZOIY-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C[C@@H]1CN(C)[C@@H](C)CN1c1nc2ccccc2c(Cl)c1C#N ZINC001164633088 719232954 /nfs/dbraw/zinc/23/29/54/719232954.db2.gz CWWMRMFZXCSWNR-NWDGAFQWSA-N 0 1 314.820 3.289 20 30 DGEDMN CC(C)CN1CCN(c2nc(Cl)c(Cl)cc2C#N)CC1 ZINC001164653461 719333515 /nfs/dbraw/zinc/33/35/15/719333515.db2.gz DYMPSOLPLZFSHJ-UHFFFAOYSA-N 0 1 313.232 3.038 20 30 DGEDMN CCCc1cc(C#N)c(N2CCN(CC(C)C)CC2)nc1Cl ZINC001164654476 719334568 /nfs/dbraw/zinc/33/45/68/719334568.db2.gz QWDNVMJTDBZYKS-UHFFFAOYSA-N 0 1 320.868 3.337 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(C)c(CC)s1 ZINC001669176362 1197442607 /nfs/dbraw/zinc/44/26/07/1197442607.db2.gz BXAYRSFLIUQYNB-AATRIKPKSA-N 0 1 312.866 3.247 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001671684693 1197562412 /nfs/dbraw/zinc/56/24/12/1197562412.db2.gz SDHZMFCGEYEEKW-BLLLJJGKSA-N 0 1 324.877 3.109 20 30 DGEDMN C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC001589281684 953524017 /nfs/dbraw/zinc/52/40/17/953524017.db2.gz YVEIHADEAGPRIZ-ZFWWWQNUSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC001589308520 953775410 /nfs/dbraw/zinc/77/54/10/953775410.db2.gz UUSYHCRBIIDJSJ-ZFWWWQNUSA-N 0 1 300.402 3.173 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC001594273998 957699683 /nfs/dbraw/zinc/69/96/83/957699683.db2.gz JSWXOYAIWHGDEN-IBGZPJMESA-N 0 1 320.392 3.359 20 30 DGEDMN C=C(Br)C[NH+]1CCC(c2ccccc2C(=O)[O-])CC1 ZINC001588439008 958309071 /nfs/dbraw/zinc/30/90/71/958309071.db2.gz DGEWKWUKARYWIX-UHFFFAOYSA-N 0 1 324.218 3.473 20 30 DGEDMN CC[C@@]([NH2+]Cc1ccc(C#N)s1)(C(=O)[O-])c1ccccc1 ZINC001595299149 980424570 /nfs/dbraw/zinc/42/45/70/980424570.db2.gz LPYWMUOCYDISBW-INIZCTEOSA-N 0 1 300.383 3.099 20 30 DGEDMN C#CC[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C(F)(F)F)c2)C1 ZINC001588434076 983468874 /nfs/dbraw/zinc/46/88/74/983468874.db2.gz GIGIFOUNUAWQDM-BXUZGUMPSA-N 0 1 311.303 3.176 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])oc1C)[C@H]1CCc2ccccc21 ZINC001588469317 983501268 /nfs/dbraw/zinc/50/12/68/983501268.db2.gz DFWWNRDFEVEVTR-KRWDZBQOSA-N 0 1 309.365 3.409 20 30 DGEDMN C#CC[N@@H+](Cc1cc(C(=O)[O-])co1)[C@H]1CCCc2ccccc21 ZINC001588469334 983501835 /nfs/dbraw/zinc/50/18/35/983501835.db2.gz FNFHAWXEWNWXCO-SFHVURJKSA-N 0 1 309.365 3.491 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])co1)[C@H]1CCCc2ccccc21 ZINC001588469334 983501836 /nfs/dbraw/zinc/50/18/36/983501836.db2.gz FNFHAWXEWNWXCO-SFHVURJKSA-N 0 1 309.365 3.491 20 30 DGEDMN CC[N@@H+](CCc1ccccc1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001596347685 983799420 /nfs/dbraw/zinc/79/94/20/983799420.db2.gz MUKNYWQTGCJYNF-SFHVURJKSA-N 0 1 308.381 3.249 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001588664440 983800216 /nfs/dbraw/zinc/80/02/16/983800216.db2.gz VTBYTRASLHYBIV-OCCSQVGLSA-N 0 1 317.282 3.215 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1Cc2ccc(C)c(Br)c2C1 ZINC001588731022 984003601 /nfs/dbraw/zinc/00/36/01/984003601.db2.gz BPOKRJNSZRSRKD-LBPRGKRZSA-N 0 1 310.191 3.102 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])c(CC)[nH]1)Cc1cccc(C#N)c1 ZINC001588832855 984326834 /nfs/dbraw/zinc/32/68/34/984326834.db2.gz UBFPCAFRNAZOBL-UHFFFAOYSA-N 0 1 323.396 3.335 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])c(CC)[nH]1)Cc1cccc(C#N)c1 ZINC001588832855 984326840 /nfs/dbraw/zinc/32/68/40/984326840.db2.gz UBFPCAFRNAZOBL-UHFFFAOYSA-N 0 1 323.396 3.335 20 30 DGEDMN CC(C)C[N@@H+](Cc1cccc(F)c1C#N)CC(C)(C)C(=O)[O-] ZINC001591344062 992328474 /nfs/dbraw/zinc/32/84/74/992328474.db2.gz MRBBOOWAZOKRSM-UHFFFAOYSA-N 0 1 306.381 3.266 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001266062913 1109076176 /nfs/dbraw/zinc/07/61/76/1109076176.db2.gz VEBIIVSMGSEHKG-ZIAGYGMSSA-N 0 1 300.874 3.249 20 30 DGEDMN CN1CCCC[C@@H]1c1ccc(NC=CC(=O)C(F)(F)F)nc1 ZINC001169475506 1081575360 /nfs/dbraw/zinc/57/53/60/1081575360.db2.gz OCYANTZYRKZWEP-ABZNLYFFSA-N 0 1 313.323 3.295 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](CC)CC(F)F)C1 ZINC001208321798 1081633040 /nfs/dbraw/zinc/63/30/40/1081633040.db2.gz KRUKALCELMZKFW-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN O=C(C#CC1CC1)N[C@H]1CCN(Cc2cc3ccccc3s2)C1 ZINC001266319992 1081662267 /nfs/dbraw/zinc/66/22/67/1081662267.db2.gz WVIULTFNUCDHEO-INIZCTEOSA-N 0 1 324.449 3.005 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001266336630 1081677822 /nfs/dbraw/zinc/67/78/22/1081677822.db2.gz SLKMNOFRNVFXEC-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CCCCC(F)(F)F)C2)C1 ZINC001266342316 1081683082 /nfs/dbraw/zinc/68/30/82/1081683082.db2.gz QMHUMUFLSYZIHI-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c(C)nn(C(=O)OC(C)(C)C)c2C)C1 ZINC001206812657 1081703049 /nfs/dbraw/zinc/70/30/49/1081703049.db2.gz OAZXTKGBKNFHOC-OAHLLOKOSA-N 0 1 317.433 3.128 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CCCC2CC2)CC1 ZINC001266376817 1081717085 /nfs/dbraw/zinc/71/70/85/1081717085.db2.gz JNZXZGBVJODSRN-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2c[nH]c3ccccc32)CC1 ZINC001266388350 1081731287 /nfs/dbraw/zinc/73/12/87/1081731287.db2.gz ACYRJFQCTYELKR-UHFFFAOYSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(F)c1 ZINC001109046516 1081754467 /nfs/dbraw/zinc/75/44/67/1081754467.db2.gz RTASDZMVKJIYQD-IKGGRYGDSA-N 0 1 302.393 3.013 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C\c1ccccc1 ZINC001109046430 1081755075 /nfs/dbraw/zinc/75/50/75/1081755075.db2.gz PKENXWCEHAKKTM-NCXYYHBWSA-N 0 1 310.441 3.388 20 30 DGEDMN C=CCCCCCCCN1CCN(C(=O)c2ccncc2)CC1 ZINC001209442151 1081755659 /nfs/dbraw/zinc/75/56/59/1081755659.db2.gz OOCAVGQTMOYWEP-UHFFFAOYSA-N 0 1 315.461 3.366 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001109171398 1081776417 /nfs/dbraw/zinc/77/64/17/1081776417.db2.gz QEANREACBFBAPP-IKGGRYGDSA-N 0 1 316.470 3.163 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](CCNCc2nccs2)C1 ZINC001104245479 1081817818 /nfs/dbraw/zinc/81/78/18/1081817818.db2.gz KNOQQVGZLUCBKJ-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCN2Cc2cccc(F)c2)C1 ZINC001266482387 1081885693 /nfs/dbraw/zinc/88/56/93/1081885693.db2.gz ASMJJUBYQGGMGH-KRWDZBQOSA-N 0 1 316.420 3.263 20 30 DGEDMN COc1cccc(CN2CCN(c3ccccc3C#N)CC2)c1C ZINC001167284178 1081901972 /nfs/dbraw/zinc/90/19/72/1081901972.db2.gz SKAVOQZSWGMIBC-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](c1ccccc1)C(C)C)C2 ZINC001109762696 1081910261 /nfs/dbraw/zinc/91/02/61/1081910261.db2.gz BGNWXOMEZYHVRB-YDZRNGNQSA-N 0 1 312.457 3.334 20 30 DGEDMN CCCC[C@@H](C)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001266522169 1081941452 /nfs/dbraw/zinc/94/14/52/1081941452.db2.gz HOPSNLOGGRBENS-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001266525100 1081945540 /nfs/dbraw/zinc/94/55/40/1081945540.db2.gz CQTPVDMEFDXTGT-HPMVAKFTSA-N 0 1 323.440 3.019 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCSCC)CC1 ZINC001112646166 1081981273 /nfs/dbraw/zinc/98/12/73/1081981273.db2.gz QITALRMZZBPHQX-UHFFFAOYSA-N 0 1 300.512 3.244 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCN(CCC2CCCCC2)CC1 ZINC001112676198 1081985735 /nfs/dbraw/zinc/98/57/35/1081985735.db2.gz FRUIHKFNQPTWGU-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC001112775332 1082002430 /nfs/dbraw/zinc/00/24/30/1082002430.db2.gz QGSSOYKRVSBTDR-LJQANCHMSA-N 0 1 312.457 3.476 20 30 DGEDMN Cc1ccc(CO)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001210678458 1082007428 /nfs/dbraw/zinc/00/74/28/1082007428.db2.gz SOQWZQOIFFSEGG-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2c(C)cc(C)cc2C)CC1 ZINC001112801447 1082008338 /nfs/dbraw/zinc/00/83/38/1082008338.db2.gz SCGVMOLIADBPEL-UHFFFAOYSA-N 0 1 312.457 3.060 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001112851029 1082023522 /nfs/dbraw/zinc/02/35/22/1082023522.db2.gz RLEBMKCRYAOISH-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001112851135 1082023833 /nfs/dbraw/zinc/02/38/33/1082023833.db2.gz WRTFBDBBWJRTTL-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001112896217 1082042078 /nfs/dbraw/zinc/04/20/78/1082042078.db2.gz MELGRRJQNLOFFD-UHFFFAOYSA-N 0 1 302.462 3.399 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001112897923 1082044131 /nfs/dbraw/zinc/04/41/31/1082044131.db2.gz CKLKFNUJEMMENR-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(CC2CCC(F)(F)CC2)CC1 ZINC001112902783 1082044889 /nfs/dbraw/zinc/04/48/89/1082044889.db2.gz ZOIMFAIPOYKTBI-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(OCCC)c2)CC1 ZINC001112915137 1082048498 /nfs/dbraw/zinc/04/84/98/1082048498.db2.gz AZUVYOMZNXPWIV-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(Cc1ccsc1)C1CC1 ZINC001266609366 1082062806 /nfs/dbraw/zinc/06/28/06/1082062806.db2.gz KZRCDEUPPAUTTR-KRWDZBQOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C/c2cccc(F)c2)CC1 ZINC001112980165 1082073731 /nfs/dbraw/zinc/07/37/31/1082073731.db2.gz VIIQKLCFQTYWOP-GQCTYLIASA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2ccsc2)CC1 ZINC001112992582 1082078271 /nfs/dbraw/zinc/07/82/71/1082078271.db2.gz HVNFAIKMDDERQU-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C/c2ccccc2F)CC1 ZINC001113012284 1082084406 /nfs/dbraw/zinc/08/44/06/1082084406.db2.gz ODKGVUSESLAPPF-VQHVLOKHSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C23CCC(CC2)C3)CC1 ZINC001113015688 1082087230 /nfs/dbraw/zinc/08/72/30/1082087230.db2.gz CCOJRXJIFBYVES-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC2CCCC2)C1 ZINC001086572077 1082097743 /nfs/dbraw/zinc/09/77/43/1082097743.db2.gz DOXXHGMTEBEHRC-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccccc2C)C1 ZINC001086573060 1082102293 /nfs/dbraw/zinc/10/22/93/1082102293.db2.gz ZQQRBDLVMUWUFB-VQTJNVASSA-N 0 1 320.436 3.379 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2ccncc2)CC1 ZINC001113055405 1082102879 /nfs/dbraw/zinc/10/28/79/1082102879.db2.gz WAIZSUYLZCASPS-UHFFFAOYSA-N 0 1 317.477 3.129 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(F)ccc2CC)CC1 ZINC001113067421 1082106317 /nfs/dbraw/zinc/10/63/17/1082106317.db2.gz GRQFPNWXYWQEGO-UHFFFAOYSA-N 0 1 304.409 3.112 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(C)oc(C)c2C)CC1 ZINC001113081006 1082109571 /nfs/dbraw/zinc/10/95/71/1082109571.db2.gz GUQQLSBIWHYSQI-ZDUSSCGKSA-N 0 1 304.434 3.317 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccccc2CCC)CC1 ZINC001113086061 1082112385 /nfs/dbraw/zinc/11/23/85/1082112385.db2.gz UYSYDNJYCXKDPA-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2c(C)cncc2C)CC1 ZINC001113099928 1082116057 /nfs/dbraw/zinc/11/60/57/1082116057.db2.gz SGGABLHRINSZEZ-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)CC(F)F)CC1 ZINC001113120218 1082121566 /nfs/dbraw/zinc/12/15/66/1082121566.db2.gz VOKIHUSADRENHT-AWEZNQCLSA-N 0 1 304.425 3.392 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001113139164 1082124885 /nfs/dbraw/zinc/12/48/85/1082124885.db2.gz FHCPUGRQENSRGL-IZZQQSIFSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(CCCC(C)(C)C)CC1 ZINC001113164453 1082129963 /nfs/dbraw/zinc/12/99/63/1082129963.db2.gz PBODUZHGFZETOT-LJQANCHMSA-N 0 1 324.509 3.186 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CCC2CCCC2)CC1 ZINC001113204976 1082138934 /nfs/dbraw/zinc/13/89/34/1082138934.db2.gz FOHLHBDMRFROMP-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2Cc3ccccc32)CC1 ZINC001113275798 1082166071 /nfs/dbraw/zinc/16/60/71/1082166071.db2.gz ZKYZJILRYKWPAF-LJQANCHMSA-N 0 1 314.473 3.441 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001113324722 1082180684 /nfs/dbraw/zinc/18/06/84/1082180684.db2.gz NFICNBYWXHYJLC-UHFFFAOYSA-N 0 1 304.425 3.392 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C3CC3)n[nH]2)CC1 ZINC001113371829 1082186520 /nfs/dbraw/zinc/18/65/20/1082186520.db2.gz XICTUBVVXQRHSB-UHFFFAOYSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C)cc2F)CC1 ZINC001113379227 1082188025 /nfs/dbraw/zinc/18/80/25/1082188025.db2.gz LXRLZNWONHBPJP-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cnc3ccccc3c2)CC1 ZINC001113382892 1082191925 /nfs/dbraw/zinc/19/19/25/1082191925.db2.gz ZPQAQIXHWFPCQF-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)c2ccc(C)o2)CC1 ZINC001113412199 1082200284 /nfs/dbraw/zinc/20/02/84/1082200284.db2.gz LDDSQGHMODXFQH-GDBMZVCRSA-N 0 1 304.434 3.190 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2csc(C(C)C)n2)CC1 ZINC001113433355 1082210516 /nfs/dbraw/zinc/21/05/16/1082210516.db2.gz HEGZEVPIYRZAQA-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2c[nH]cc2C2CC2)CC1 ZINC001113475807 1082227919 /nfs/dbraw/zinc/22/79/19/1082227919.db2.gz DDDIBIRXFYFQCF-UHFFFAOYSA-N 0 1 315.461 3.396 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C(C)C)oc2C)CC1 ZINC001113486848 1082229725 /nfs/dbraw/zinc/22/97/25/1082229725.db2.gz GEUYQDPDRCQBKX-UHFFFAOYSA-N 0 1 304.434 3.435 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3sccc3[nH]2)CC1 ZINC001113492129 1082233327 /nfs/dbraw/zinc/23/33/27/1082233327.db2.gz GFYRMMGRHDDDHU-ZDUSSCGKSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)c(CC)o2)CC1 ZINC001113529023 1082240981 /nfs/dbraw/zinc/24/09/81/1082240981.db2.gz ATAGWFNPWSMHEJ-HNNXBMFYSA-N 0 1 304.434 3.263 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccc(CC)cc2)CC1 ZINC001113626580 1082268505 /nfs/dbraw/zinc/26/85/05/1082268505.db2.gz YPXMBRRVSXRJBD-UHFFFAOYSA-N 0 1 314.473 3.292 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](F)Cc2ccccc2)CC1 ZINC001113667118 1082273346 /nfs/dbraw/zinc/27/33/46/1082273346.db2.gz OZISTXBLCOTMMG-FUHWJXTLSA-N 0 1 318.436 3.066 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCCC[C@H]2OC)CC1 ZINC001113703868 1082285189 /nfs/dbraw/zinc/28/51/89/1082285189.db2.gz QKBMHZRXDPCWDR-ZWKOTPCHSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@]2(C)CCc3ccccc32)CC1 ZINC001113700188 1082287648 /nfs/dbraw/zinc/28/76/48/1082287648.db2.gz PBASZXBOFPMHAR-HXUWFJFHSA-N 0 1 312.457 3.001 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001086608106 1082299485 /nfs/dbraw/zinc/29/94/85/1082299485.db2.gz NTJZRTVRJWAVCZ-YSTOQKLRSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CCC(F)F)C1 ZINC001266892024 1082309185 /nfs/dbraw/zinc/30/91/85/1082309185.db2.gz SLJSTCYSYRCJPU-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN COc1ccc(C[C@H](C)NC2(CC#N)CCCC2)c([N+](=O)[O-])c1 ZINC001170328369 1082347836 /nfs/dbraw/zinc/34/78/36/1082347836.db2.gz KAVFHMZSANEELW-ZDUSSCGKSA-N 0 1 317.389 3.350 20 30 DGEDMN CC(C)(S)CNc1cnc2cccc(Br)n12 ZINC001170307281 1082349772 /nfs/dbraw/zinc/34/97/72/1082349772.db2.gz RVYWXODPPQWSNB-UHFFFAOYSA-N 0 1 300.225 3.217 20 30 DGEDMN C=CCC[C@@H](C)[NH2+]CCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001170356771 1082350407 /nfs/dbraw/zinc/35/04/07/1082350407.db2.gz OZDGVMXWCWCXET-CABCVRRESA-N 0 1 319.449 3.020 20 30 DGEDMN CCOC(=O)[C@H](C#N)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC001170370682 1082354513 /nfs/dbraw/zinc/35/45/13/1082354513.db2.gz IPUOWCDCOPVKSO-INIZCTEOSA-N 0 1 320.352 3.097 20 30 DGEDMN COc1ccc(C[C@@H](C)N2CCC(C)(C#N)CC2)c([N+](=O)[O-])c1 ZINC001170352066 1082357719 /nfs/dbraw/zinc/35/77/19/1082357719.db2.gz KVMCWWCEPLIUEO-CYBMUJFWSA-N 0 1 317.389 3.160 20 30 DGEDMN C[C@H](CNC(=O)C#CC1CC1)N[C@H](C)c1cccc(Cl)c1F ZINC001266937627 1082358322 /nfs/dbraw/zinc/35/83/22/1082358322.db2.gz MQVCOLXEVVOOIT-VXGBXAGGSA-N 0 1 322.811 3.048 20 30 DGEDMN C[C@H](CNC(=O)C#CC1CC1)N[C@@H](C)c1cccc(Cl)c1F ZINC001266937624 1082358457 /nfs/dbraw/zinc/35/84/57/1082358457.db2.gz MQVCOLXEVVOOIT-NEPJUHHUSA-N 0 1 322.811 3.048 20 30 DGEDMN C[C@@H](CNC(=O)C#CC1CC1)N[C@@H](C)c1cccc(Cl)c1F ZINC001266937626 1082358512 /nfs/dbraw/zinc/35/85/12/1082358512.db2.gz MQVCOLXEVVOOIT-RYUDHWBXSA-N 0 1 322.811 3.048 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2cccc(F)c2)CCC1 ZINC001266960158 1082377651 /nfs/dbraw/zinc/37/76/51/1082377651.db2.gz DQYFWXOHDQCTJV-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1oc(CCC)nc1C ZINC001266962239 1082379718 /nfs/dbraw/zinc/37/97/18/1082379718.db2.gz PSRIYSBEAVAFLM-ZDUSSCGKSA-N 0 1 321.465 3.132 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)c1cccc(F)c1 ZINC001266970920 1082389835 /nfs/dbraw/zinc/38/98/35/1082389835.db2.gz PLKBKYONLYPQPI-WCQYABFASA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@@H](NCc2nc(C)oc2C)C1 ZINC001267086597 1082491827 /nfs/dbraw/zinc/49/18/27/1082491827.db2.gz POEMIVKCURAIDK-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)CCc1c(F)cccc1F ZINC001267215917 1082648685 /nfs/dbraw/zinc/64/86/85/1082648685.db2.gz QTZHCLJEQCCXFG-GOSISDBHSA-N 0 1 324.415 3.158 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)CCc1c(F)cccc1F ZINC001267215917 1082648691 /nfs/dbraw/zinc/64/86/91/1082648691.db2.gz QTZHCLJEQCCXFG-GOSISDBHSA-N 0 1 324.415 3.158 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[N@@H+](C)Cc1ccc(C)c(C)c1 ZINC001267215688 1082649120 /nfs/dbraw/zinc/64/91/20/1082649120.db2.gz OWYWRDKQFKDWHN-LJQANCHMSA-N 0 1 302.462 3.454 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cccc(F)c1)C(C)C ZINC001267228715 1082667809 /nfs/dbraw/zinc/66/78/09/1082667809.db2.gz XNZWVUHSCUXIRH-UHFFFAOYSA-N 0 1 312.816 3.409 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cnc(C)s1)C(C)C ZINC001267236261 1082679810 /nfs/dbraw/zinc/67/98/10/1082679810.db2.gz RCYHACKLJIYMHU-UHFFFAOYSA-N 0 1 315.870 3.034 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)C(CCC)CCC)C[C@@H](C)O2 ZINC001131681552 1082702825 /nfs/dbraw/zinc/70/28/25/1082702825.db2.gz DKRLNCPIFMYLAD-VQIMIIECSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@]2(C1)CN(C(=O)CC(C)=C(C)C)C[C@H](C)O2 ZINC001131674181 1082704766 /nfs/dbraw/zinc/70/47/66/1082704766.db2.gz VKHVCYVMORCTEW-HKUYNNGSSA-N 0 1 320.477 3.001 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cn3ccc4ccccc43)[nH]c2c1 ZINC001131674429 1082705118 /nfs/dbraw/zinc/70/51/18/1082705118.db2.gz OFRXAHPWHVBNMQ-UHFFFAOYSA-N 0 1 315.336 3.028 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC(C)(C)C1 ZINC001158449405 1082722718 /nfs/dbraw/zinc/72/27/18/1082722718.db2.gz ABZYNVNALWKOMS-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CCC(F)(F)C1)C(C)C ZINC001267265624 1082725608 /nfs/dbraw/zinc/72/56/08/1082725608.db2.gz SVEUWSKXKOCRHT-ZDUSSCGKSA-N 0 1 322.827 3.391 20 30 DGEDMN O=C(/C=C\c1nccn1-c1ccccc1)c1ccc(O)cc1O ZINC000588578716 1082729756 /nfs/dbraw/zinc/72/97/56/1082729756.db2.gz IAWUAYSXGWPLBA-HJWRWDBZSA-N 0 1 306.321 3.180 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001267271976 1082732224 /nfs/dbraw/zinc/73/22/24/1082732224.db2.gz GMXMHLOUWVPRMQ-SJLPKXTDSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131855859 1082749331 /nfs/dbraw/zinc/74/93/31/1082749331.db2.gz CZCXVPZYYXEPAU-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccc(C)nc2C)C1 ZINC001131898412 1082756743 /nfs/dbraw/zinc/75/67/43/1082756743.db2.gz AIKNPGHDTKEIBH-CRAIPNDOSA-N 0 1 315.461 3.134 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCc4ccccc4C3)[nH]c2c1 ZINC001131906506 1082759720 /nfs/dbraw/zinc/75/97/20/1082759720.db2.gz JPIXAHFENFQGML-OAHLLOKOSA-N 0 1 316.364 3.178 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001131975799 1082780162 /nfs/dbraw/zinc/78/01/62/1082780162.db2.gz DNDMQGALQDDPSF-RDJZCZTQSA-N 0 1 318.486 3.411 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(Cc1cncc(C)c1)C1CC1 ZINC001267330588 1082804191 /nfs/dbraw/zinc/80/41/91/1082804191.db2.gz FCLNRWLAUAHEBG-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2c(C)noc2C)C1 ZINC001132064910 1082813447 /nfs/dbraw/zinc/81/34/47/1082813447.db2.gz OHVFFNWYYOFLMU-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC(C)C)CCC2)CC[C@@H]1C ZINC001132168441 1082829928 /nfs/dbraw/zinc/82/99/28/1082829928.db2.gz DDEZTHISAJOXEA-IRXDYDNUSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1c(C)cccc1C)c1ccccc1 ZINC001267370366 1082840643 /nfs/dbraw/zinc/84/06/43/1082840643.db2.gz OUCOCENCEJGCAI-LJQANCHMSA-N 0 1 320.436 3.387 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](CC)CC(C)C)c1ccccc1 ZINC001267378111 1082848589 /nfs/dbraw/zinc/84/85/89/1082848589.db2.gz XOBNUOLGEVAVRB-FUHWJXTLSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132255786 1082865349 /nfs/dbraw/zinc/86/53/49/1082865349.db2.gz FMHFEDFJBFURMM-QWHCGFSZSA-N 0 1 306.372 3.264 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC2(C=C)CCCCC2)CC[C@@H]1C ZINC001132348144 1082889130 /nfs/dbraw/zinc/88/91/30/1082889130.db2.gz IGYUOKAEZRMJNH-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCOCC[N@H+]1C[C@H](NC(=O)CCCCC(C)C)CC[C@H]1C ZINC001132368621 1082890412 /nfs/dbraw/zinc/89/04/12/1082890412.db2.gz OTFFCGIPSKARTL-QZTJIDSGSA-N 0 1 324.509 3.375 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2sc(C)cc2C)C1 ZINC001267459790 1082899832 /nfs/dbraw/zinc/89/98/32/1082899832.db2.gz NBNJSHYHJFKXKQ-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001267473268 1082926490 /nfs/dbraw/zinc/92/64/90/1082926490.db2.gz BPVOANRPYJPHGQ-RDGPPVDQSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C/C=C\c2ccc(C)cc2)CC[C@H]1C ZINC001132549578 1082943002 /nfs/dbraw/zinc/94/30/02/1082943002.db2.gz VPOKFMVGOFTLJA-ZMGAVLDPSA-N 0 1 310.441 3.001 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132572790 1082949287 /nfs/dbraw/zinc/94/92/87/1082949287.db2.gz GFDNJCVFBAHQBK-OLZOCXBDSA-N 0 1 324.852 3.013 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001267496663 1082954785 /nfs/dbraw/zinc/95/47/85/1082954785.db2.gz XWSLUXASHIQAHE-YJJPMGAVSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](OC)C2CCCCC2)C1 ZINC001267496333 1082955150 /nfs/dbraw/zinc/95/51/50/1082955150.db2.gz QZTRPVJLLMYDAL-ZWKOTPCHSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132653609 1082967897 /nfs/dbraw/zinc/96/78/97/1082967897.db2.gz POXGKEOSDCYFMP-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1ccc(F)cc1Cl ZINC001132667504 1082975690 /nfs/dbraw/zinc/97/56/90/1082975690.db2.gz MRDOCUNWOXKJCH-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001132671449 1082977099 /nfs/dbraw/zinc/97/70/99/1082977099.db2.gz RYHMSHRDTBNECT-QWHCGFSZSA-N 0 1 310.388 3.334 20 30 DGEDMN Cc1ccccc1CN[C@@H](CNC(=O)[C@@H](C)C#N)c1ccccc1 ZINC001267517939 1082977463 /nfs/dbraw/zinc/97/74/63/1082977463.db2.gz VAQXMRHDCNMKIK-LPHOPBHVSA-N 0 1 321.424 3.102 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1(C)CCCC1)c1ccccc1 ZINC001267522010 1082981561 /nfs/dbraw/zinc/98/15/61/1082981561.db2.gz YIYMRKUKMVGNEK-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccccc2CC)C1 ZINC001267546120 1083016037 /nfs/dbraw/zinc/01/60/37/1083016037.db2.gz IIUQVMQKEDDMJP-GOSISDBHSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3cc[nH]c32)C1 ZINC001267547077 1083016978 /nfs/dbraw/zinc/01/69/78/1083016978.db2.gz IKXGUONAGVRHDW-MRXNPFEDSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001267546787 1083017112 /nfs/dbraw/zinc/01/71/12/1083017112.db2.gz DXNUJTCBDJCIPN-QGZVFWFLSA-N 0 1 311.429 3.280 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2cc(C)c(C)s2)C1 ZINC001267548590 1083019245 /nfs/dbraw/zinc/01/92/45/1083019245.db2.gz IYMBSZANJSULLE-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2CCC(F)CC2)C1 ZINC001267565126 1083045238 /nfs/dbraw/zinc/04/52/38/1083045238.db2.gz JJJKUEIICJZXCE-NFOMZHRRSA-N 0 1 316.848 3.095 20 30 DGEDMN C=CCCCC(=O)NCCN[C@H](CC)c1ccc(F)cc1F ZINC001133144879 1083063257 /nfs/dbraw/zinc/06/32/57/1083063257.db2.gz FYVIUDGPEWBZOI-MRXNPFEDSA-N 0 1 310.388 3.478 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001267583655 1083099611 /nfs/dbraw/zinc/09/96/11/1083099611.db2.gz QJOZVMVYYNPXJA-ZFWWWQNUSA-N 0 1 324.852 3.039 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cccc(Cl)c2F)CCC1 ZINC001133391996 1083114489 /nfs/dbraw/zinc/11/44/89/1083114489.db2.gz BQWHNSDMWVYHIP-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC1CCC(C)(C)CC1 ZINC001481073439 1083149603 /nfs/dbraw/zinc/14/96/03/1083149603.db2.gz MDLVBYMDUQRHAQ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@@H](CC)c2ccc(F)cc2F)C1 ZINC001133566075 1083152386 /nfs/dbraw/zinc/15/23/86/1083152386.db2.gz UGKNXRBVKUDTEP-INIZCTEOSA-N 0 1 322.399 3.478 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2cc(F)ccc2Cl)C1 ZINC001481084003 1083155106 /nfs/dbraw/zinc/15/51/06/1083155106.db2.gz QVVHQBJLJDARHB-CYBMUJFWSA-N 0 1 324.827 3.383 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1ncoc1C(C)C ZINC001133883601 1083205425 /nfs/dbraw/zinc/20/54/25/1083205425.db2.gz CYQNXHIPWBPJRF-UONOGXRCSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CCOCCN1CCC[C@@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001267615985 1083205568 /nfs/dbraw/zinc/20/55/68/1083205568.db2.gz ZBJLMKLELIIADL-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001133888298 1083207449 /nfs/dbraw/zinc/20/74/49/1083207449.db2.gz HXZFVCMZZODSPN-OLZOCXBDSA-N 0 1 309.479 3.045 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001133889338 1083208338 /nfs/dbraw/zinc/20/83/38/1083208338.db2.gz UZKRRLAOMSQLCL-KGLIPLIRSA-N 0 1 321.465 3.311 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1cc(F)ccc1C)C1CC1 ZINC001481160545 1083217855 /nfs/dbraw/zinc/21/78/55/1083217855.db2.gz IHBQVNOPJUGADJ-UHFFFAOYSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001087380998 1083239211 /nfs/dbraw/zinc/23/92/11/1083239211.db2.gz BQMILOKNVXTPBN-JONQDZQNSA-N 0 1 322.880 3.120 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001267658089 1083250456 /nfs/dbraw/zinc/25/04/56/1083250456.db2.gz BAPZOTCNWUIBQA-GJZGRUSLSA-N 0 1 318.436 3.474 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@@H](C)CC(C)(C)C ZINC001134255173 1083260929 /nfs/dbraw/zinc/26/09/29/1083260929.db2.gz SPXVAWCEUIFJPA-LLVKDONJSA-N 0 1 319.287 3.063 20 30 DGEDMN C#CCCCC(=O)N(Cc1ccccc1)[C@H]1CCCN(CC=C)C1 ZINC001267714391 1083278721 /nfs/dbraw/zinc/27/87/21/1083278721.db2.gz GBQXDCBGZYXZIC-FQEVSTJZSA-N 0 1 324.468 3.469 20 30 DGEDMN CN(C(=O)c1ccc(C#N)c(O)c1)c1cccc2cccnc21 ZINC001625893816 1083284518 /nfs/dbraw/zinc/28/45/18/1083284518.db2.gz VNOIQEXLWSFZHW-UHFFFAOYSA-N 0 1 303.321 3.089 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@H]2CCc3c2cccc3F)C1 ZINC001267747166 1083313698 /nfs/dbraw/zinc/31/36/98/1083313698.db2.gz MFUOWAIQJXQFPN-DYVFJYSZSA-N 0 1 316.420 3.216 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001267751271 1083318323 /nfs/dbraw/zinc/31/83/23/1083318323.db2.gz QEMDZJDVQLVDKB-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001267765193 1083329272 /nfs/dbraw/zinc/32/92/72/1083329272.db2.gz WVCUVYAFXDWING-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001267769174 1083332063 /nfs/dbraw/zinc/33/20/63/1083332063.db2.gz AULSWNUJKUZPMN-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001267777078 1083340413 /nfs/dbraw/zinc/34/04/13/1083340413.db2.gz PJGJQJMTEFXVAF-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001267790123 1083347950 /nfs/dbraw/zinc/34/79/50/1083347950.db2.gz KTKCYDBNCDGZSI-OALUTQOASA-N 0 1 314.473 3.364 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2ccc(F)cc2F)C1 ZINC001267818897 1083378105 /nfs/dbraw/zinc/37/81/05/1083378105.db2.gz PYHFCTKWHUJBSH-OAHLLOKOSA-N 0 1 322.399 3.306 20 30 DGEDMN CCCCCCC[C@H](C)C(=O)N1CCN(C2CCOCC2)CC1 ZINC001181123782 1083413756 /nfs/dbraw/zinc/41/37/56/1083413756.db2.gz XWEFFLUOWRBFAX-KRWDZBQOSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCC[C@@H](C)C(=O)NCc1[nH]ncc1C(=O)OCC ZINC001181135398 1083414826 /nfs/dbraw/zinc/41/48/26/1083414826.db2.gz VXMDEGACGDNPKF-CYBMUJFWSA-N 0 1 323.437 3.199 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2nc(C)oc2C)CCCC1 ZINC001267842112 1083428408 /nfs/dbraw/zinc/42/84/08/1083428408.db2.gz KJQPOQXGNSCZFE-UHFFFAOYSA-N 0 1 319.449 3.166 20 30 DGEDMN COc1ccc2nc(NC(=O)CC3(C#N)CCCCC3)[nH]c2c1 ZINC001181334765 1083445930 /nfs/dbraw/zinc/44/59/30/1083445930.db2.gz JAEZOMAIVWKIPV-UHFFFAOYSA-N 0 1 312.373 3.374 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001181543672 1083488552 /nfs/dbraw/zinc/48/85/52/1083488552.db2.gz USNYUTGPJOSVGR-GXTWGEPZSA-N 0 1 308.372 3.183 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cccc(F)c2Cl)C1 ZINC001181518230 1083493094 /nfs/dbraw/zinc/49/30/94/1083493094.db2.gz WEZKNABEVMAZED-CYBMUJFWSA-N 0 1 310.800 3.136 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@@H](NC(=O)C#CC3CC3)C2)cc1 ZINC001267931358 1083593063 /nfs/dbraw/zinc/59/30/63/1083593063.db2.gz RIJUBLMQAGVFAZ-HXUWFJFHSA-N 0 1 324.468 3.304 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001267956720 1083647706 /nfs/dbraw/zinc/64/77/06/1083647706.db2.gz MNZUPTXTPUHSGU-RXNMKEHESA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1cccc(C(C)C)n1 ZINC001481285338 1083651048 /nfs/dbraw/zinc/65/10/48/1083651048.db2.gz XOOWZNDFBHQNLZ-UHFFFAOYSA-N 0 1 317.477 3.355 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1occc1C(C)C ZINC001267963029 1083655933 /nfs/dbraw/zinc/65/59/33/1083655933.db2.gz MFWXHJWKAGOZAL-CYBMUJFWSA-N 0 1 310.825 3.350 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H]2[C@@H](C1)C2(F)F ZINC001182238295 1083659848 /nfs/dbraw/zinc/65/98/48/1083659848.db2.gz KUUILQJLMNIFRR-QWHCGFSZSA-N 0 1 311.759 3.439 20 30 DGEDMN CC(C)(CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1)C1CC1 ZINC001182311511 1083686270 /nfs/dbraw/zinc/68/62/70/1083686270.db2.gz RJTDAJWQFMMGCJ-LJQANCHMSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001481406100 1083875336 /nfs/dbraw/zinc/87/53/36/1083875336.db2.gz GAODTXQBZYVXCQ-HZPDHXFCSA-N 0 1 304.434 3.190 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC001481431757 1083907885 /nfs/dbraw/zinc/90/78/85/1083907885.db2.gz LAAJBFAMLQQHFS-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN Cc1cccc2nc(NC(=O)C3(c4ccccc4C#N)CC3)[nH]c21 ZINC001183287205 1083924283 /nfs/dbraw/zinc/92/42/83/1083924283.db2.gz VXVHDDUPACVQGT-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001211681981 1083941365 /nfs/dbraw/zinc/94/13/65/1083941365.db2.gz CJHYHVAFDCSFLL-DLBZAZTESA-N 0 1 318.436 3.142 20 30 DGEDMN C=CCCC(=O)N1CCC2(CN(Cc3csc(C)c3)C2)CC1 ZINC001268043011 1083973322 /nfs/dbraw/zinc/97/33/22/1083973322.db2.gz CGTWVABGDFZLPZ-UHFFFAOYSA-N 0 1 318.486 3.447 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CC2(C)C)C1 ZINC001087425860 1083975756 /nfs/dbraw/zinc/97/57/56/1083975756.db2.gz XJTARUSBWNHEND-FGTMMUONSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C23CCC(CC2)C3)CC1 ZINC001481516661 1084055200 /nfs/dbraw/zinc/05/52/00/1084055200.db2.gz ZCNYXKABXOHOKP-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC001481525748 1084074201 /nfs/dbraw/zinc/07/42/01/1084074201.db2.gz HZYSQROFRBLKFF-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001268062646 1084077959 /nfs/dbraw/zinc/07/79/59/1084077959.db2.gz CBRRDBIMTMZWKX-MELADBBJSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001268077997 1084111482 /nfs/dbraw/zinc/11/14/82/1084111482.db2.gz SPOMFKYVIIWIEA-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccnc3ccccc32)C1 ZINC001481570003 1084153839 /nfs/dbraw/zinc/15/38/39/1084153839.db2.gz ZULXSJWEMNPLHZ-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccc(C)cc2C)C1 ZINC001481573754 1084155351 /nfs/dbraw/zinc/15/53/51/1084155351.db2.gz DHSGCGZKJKGTDP-QGZVFWFLSA-N 0 1 314.473 3.391 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001481575994 1084156938 /nfs/dbraw/zinc/15/69/38/1084156938.db2.gz WVXYHOWCBPYLLZ-AWEZNQCLSA-N 0 1 313.445 3.036 20 30 DGEDMN C[C@H]1CCC[C@@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001184469837 1084191353 /nfs/dbraw/zinc/19/13/53/1084191353.db2.gz BTEKGIYTPQITEP-SMDDNHRTSA-N 0 1 309.373 3.108 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1nccs1)C1CCCCC1 ZINC001268117422 1084197013 /nfs/dbraw/zinc/19/70/13/1084197013.db2.gz FKTFEUCHUJPJIZ-HNNXBMFYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1nccs1)C1CCCCC1 ZINC001268117424 1084197220 /nfs/dbraw/zinc/19/72/20/1084197220.db2.gz FKTFEUCHUJPJIZ-OAHLLOKOSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccccc2OC(C)C)C1 ZINC001481597322 1084239141 /nfs/dbraw/zinc/23/91/41/1084239141.db2.gz ZXAGUNNIWJBZAX-OAHLLOKOSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001268151699 1084242417 /nfs/dbraw/zinc/24/24/17/1084242417.db2.gz VTAMBADYMYKWLJ-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN Cc1ccc(C[N@H+]2CCCC[C@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723149 1084267982 /nfs/dbraw/zinc/26/79/82/1084267982.db2.gz SPHAXTIYVIWEEY-OAHLLOKOSA-N 0 1 312.335 3.146 20 30 DGEDMN Cc1ccc(CN2CCCC[C@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723149 1084267994 /nfs/dbraw/zinc/26/79/94/1084267994.db2.gz SPHAXTIYVIWEEY-OAHLLOKOSA-N 0 1 312.335 3.146 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(CCCCC(F)(F)F)C1 ZINC001268169599 1084277537 /nfs/dbraw/zinc/27/75/37/1084277537.db2.gz KAVWOXLOZLOKHC-ZDUSSCGKSA-N 0 1 306.372 3.266 20 30 DGEDMN CCCCCCC[N@H+]1CCC[C@H](NC(=O)c2coc(CC)n2)C1 ZINC001268183632 1084296078 /nfs/dbraw/zinc/29/60/78/1084296078.db2.gz LWLYOPAGFHRJPB-HNNXBMFYSA-N 0 1 321.465 3.402 20 30 DGEDMN C=CCCC(=O)N1CCC(CCN(C)Cc2nocc2C)CC1 ZINC001268199855 1084311113 /nfs/dbraw/zinc/31/11/13/1084311113.db2.gz GPCBEHSPFOQZSF-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC001185020627 1084312317 /nfs/dbraw/zinc/31/23/17/1084312317.db2.gz YQDREOBSRUULPA-MRXNPFEDSA-N 0 1 318.848 3.224 20 30 DGEDMN CN(CCN(C)C(=O)C1CCCCC1)Cc1cccc(C#N)c1 ZINC001268229203 1084362411 /nfs/dbraw/zinc/36/24/11/1084362411.db2.gz DJVLCFXMBSKJRB-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@@H](NCc3ccccc3F)C2)CC1 ZINC001185439373 1084440802 /nfs/dbraw/zinc/44/08/02/1084440802.db2.gz RBOCIICGZGQGLA-MRXNPFEDSA-N 0 1 316.420 3.263 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001481654129 1084442378 /nfs/dbraw/zinc/44/23/78/1084442378.db2.gz IUSXMAXBJUOHHV-AWEZNQCLSA-N 0 1 312.413 3.160 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001481655126 1084442737 /nfs/dbraw/zinc/44/27/37/1084442737.db2.gz XWMNPVHZHBPVPN-KRWDZBQOSA-N 0 1 300.446 3.194 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)s1 ZINC001481666625 1084457899 /nfs/dbraw/zinc/45/78/99/1084457899.db2.gz SOGONYXYLHWSPB-GJZGRUSLSA-N 0 1 321.490 3.321 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1 ZINC001481677344 1084470016 /nfs/dbraw/zinc/47/00/16/1084470016.db2.gz FCKZXMMBKNKISB-NEWSRXKRSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001481677071 1084470314 /nfs/dbraw/zinc/47/03/14/1084470314.db2.gz CEFAGHQWGNESAS-PMPSAXMXSA-N 0 1 318.486 3.178 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2c(C)cccc2Cl)C1 ZINC001268331877 1084498449 /nfs/dbraw/zinc/49/84/49/1084498449.db2.gz JNGQVERPUKUHOW-CQSZACIVSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCCCN1CC(NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001268351303 1084518298 /nfs/dbraw/zinc/51/82/98/1084518298.db2.gz PGPMVVCLNGBTHU-UHFFFAOYSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cc(C)co1 ZINC001496480328 1084542895 /nfs/dbraw/zinc/54/28/95/1084542895.db2.gz RJDJEYNGAJRHGE-TZMCWYRMSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1cscn1 ZINC001282310105 1084545816 /nfs/dbraw/zinc/54/58/16/1084545816.db2.gz GJHQAPAFZVAIGG-QGZVFWFLSA-N 0 1 323.506 3.320 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2nccc3ccccc32)C1 ZINC001268438233 1084596818 /nfs/dbraw/zinc/59/68/18/1084596818.db2.gz PIMWUCOUKLERBT-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN CCc1cc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)on1 ZINC001203232375 1084613750 /nfs/dbraw/zinc/61/37/50/1084613750.db2.gz QUDWIXSKRAADPR-QGZVFWFLSA-N 0 1 311.385 3.152 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1csc(C)c1 ZINC001110378853 1084628332 /nfs/dbraw/zinc/62/83/32/1084628332.db2.gz LBYMBUBEGGIQQK-OAGGEKHMSA-N 0 1 304.459 3.244 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001481796971 1084643477 /nfs/dbraw/zinc/64/34/77/1084643477.db2.gz ICNCJPLABYTZLL-BBRMVZONSA-N 0 1 319.424 3.118 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)[C@@H](C)C(C)(C)C ZINC001268463478 1084656134 /nfs/dbraw/zinc/65/61/34/1084656134.db2.gz YJLVNFMBDJGYOU-OAHLLOKOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001481885177 1084687061 /nfs/dbraw/zinc/68/70/61/1084687061.db2.gz OABPDZFQUZDREK-GFCCVEGCSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001268482922 1084704986 /nfs/dbraw/zinc/70/49/86/1084704986.db2.gz BBDUEEDIJIMPTO-KRWDZBQOSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc(Cl)s2)C1 ZINC001268484967 1084706876 /nfs/dbraw/zinc/70/68/76/1084706876.db2.gz NXYISSFADJTLQS-UHFFFAOYSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C(C)CCC(=O)N[C@@](C)(CNCc1nc(C)cs1)C1CC1 ZINC001481930668 1084713768 /nfs/dbraw/zinc/71/37/68/1084713768.db2.gz NTYLKPFOJPHQIX-KRWDZBQOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](N[C@H](C)c2ncc(C)o2)CC1 ZINC001482013088 1084768905 /nfs/dbraw/zinc/76/89/05/1084768905.db2.gz VPCVPQCFJLHCCI-RBSFLKMASA-N 0 1 305.422 3.027 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)Cc2n[nH]c3ccc(O)cc23)cc1 ZINC001187244576 1084773598 /nfs/dbraw/zinc/77/35/98/1084773598.db2.gz MCXQCBHLTOQNFQ-LLVKDONJSA-N 0 1 320.352 3.077 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)/C=C\c2ccco2)CC1 ZINC001482035841 1084788278 /nfs/dbraw/zinc/78/82/78/1084788278.db2.gz AWHVXGNQAMIXTK-PLNGDYQASA-N 0 1 322.836 3.264 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)/C=C/c2ccco2)CC1 ZINC001482035842 1084788511 /nfs/dbraw/zinc/78/85/11/1084788511.db2.gz AWHVXGNQAMIXTK-SNAWJCMRSA-N 0 1 322.836 3.264 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccsc1C(F)F ZINC001268620005 1084897926 /nfs/dbraw/zinc/89/79/26/1084897926.db2.gz VDTJKTFWZHUADM-NSCUHMNNSA-N 0 1 320.792 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(OCC(C)C)c1 ZINC001268714429 1084965706 /nfs/dbraw/zinc/96/57/06/1084965706.db2.gz CQHXHTYUDTTXEC-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN C#CCCCCC(=O)NCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001268822534 1085063496 /nfs/dbraw/zinc/06/34/96/1085063496.db2.gz IQLDKXRISMMXEB-UHFFFAOYSA-N 0 1 324.468 3.306 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)C1 ZINC001268887690 1085074056 /nfs/dbraw/zinc/07/40/56/1085074056.db2.gz DLTICOKEKWFHHT-CVEARBPZSA-N 0 1 306.494 3.463 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(Cc3ccsc3)C2)CCCC1 ZINC001268917494 1085078933 /nfs/dbraw/zinc/07/89/33/1085078933.db2.gz VYZGAUNLVJOIQR-UHFFFAOYSA-N 0 1 318.486 3.433 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CCCc2ccccc2Cl)C1 ZINC001269010081 1085099304 /nfs/dbraw/zinc/09/93/04/1085099304.db2.gz ZVNQRWPDDWYWAL-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001269056608 1085107636 /nfs/dbraw/zinc/10/76/36/1085107636.db2.gz IIPPVACYHPOHQI-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001269057279 1085108296 /nfs/dbraw/zinc/10/82/96/1085108296.db2.gz SUMOBHLYCJYLLK-GJZGRUSLSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001269134957 1085147777 /nfs/dbraw/zinc/14/77/77/1085147777.db2.gz WABQFXDDHQOMQU-LSDHHAIUSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001269245975 1085234168 /nfs/dbraw/zinc/23/41/68/1085234168.db2.gz PRDYVDSHYASMDA-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001269310021 1085293753 /nfs/dbraw/zinc/29/37/53/1085293753.db2.gz MKCOXTAKUIFCBS-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001269310020 1085294237 /nfs/dbraw/zinc/29/42/37/1085294237.db2.gz MKCOXTAKUIFCBS-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1cc(Cl)ccc1F ZINC001269377350 1085350447 /nfs/dbraw/zinc/35/04/47/1085350447.db2.gz DRJMKKFPNYBSPP-CQSZACIVSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NC/C(Cl)=C\Cl)C1 ZINC001269467546 1085401986 /nfs/dbraw/zinc/40/19/86/1085401986.db2.gz WUKJYYGWXRXWES-VNKGSWCUSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NCc1ncc(C)s1 ZINC001269465919 1085402336 /nfs/dbraw/zinc/40/23/36/1085402336.db2.gz AHSMPXZQYVMVEW-XJKSGUPXSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C(C)C)c2)CC1 ZINC001269518906 1085436219 /nfs/dbraw/zinc/43/62/19/1085436219.db2.gz RRZIPYCMVOFFCT-UHFFFAOYSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3ncccc32)C1 ZINC001269825006 1085575572 /nfs/dbraw/zinc/57/55/72/1085575572.db2.gz BPHAYBBBYJFJHG-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)CC(C)(C)C)CC2 ZINC001482245177 1085579000 /nfs/dbraw/zinc/57/90/00/1085579000.db2.gz RKWGCLFHKOUYQE-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cc(C)ccc2C)C1 ZINC001269844355 1085582347 /nfs/dbraw/zinc/58/23/47/1085582347.db2.gz ZFBQPXRKHIAKSD-KRWDZBQOSA-N 0 1 314.473 3.343 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(F)cc2F)C1 ZINC001269881748 1085598226 /nfs/dbraw/zinc/59/82/26/1085598226.db2.gz OGRZDTRLERVWLU-GFCCVEGCSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001269886301 1085599830 /nfs/dbraw/zinc/59/98/30/1085599830.db2.gz DSPGTOAVPCDZAT-MRXNPFEDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001270018386 1085654792 /nfs/dbraw/zinc/65/47/92/1085654792.db2.gz ZBSLQQJWIGSFNW-MPGHIAIKSA-N 0 1 319.449 3.102 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)C(CC)CC)C1CCN(CC#CC)CC1 ZINC001270326007 1085772872 /nfs/dbraw/zinc/77/28/72/1085772872.db2.gz OFXHTVLNQKQUTI-KRWDZBQOSA-N 0 1 316.489 3.008 20 30 DGEDMN C#CCN(C(=O)[C@H](C)[C@@H](C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001270351857 1085781299 /nfs/dbraw/zinc/78/12/99/1085781299.db2.gz WNSHDELLNGWFGZ-UIOUNXJESA-N 0 1 324.896 3.347 20 30 DGEDMN C#CCN(C(=O)[C@H](C)[C@H](C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001270351855 1085781400 /nfs/dbraw/zinc/78/14/00/1085781400.db2.gz WNSHDELLNGWFGZ-LWALAHDXSA-N 0 1 324.896 3.347 20 30 DGEDMN CCCCCCCN1CCO[C@@H](CNC(=O)/C=C/C(C)(C)C)C1 ZINC001270629643 1085902689 /nfs/dbraw/zinc/90/26/89/1085902689.db2.gz SGJLRCSGOFWNCT-DVQDXYAYSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1c(F)cccc1F ZINC001482317044 1085947893 /nfs/dbraw/zinc/94/78/93/1085947893.db2.gz NFJOSWLPMFAIRG-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001270749121 1085979395 /nfs/dbraw/zinc/97/93/95/1085979395.db2.gz LEEHCHZXQHMVGS-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)c1cccs1 ZINC001482330633 1086021482 /nfs/dbraw/zinc/02/14/82/1086021482.db2.gz LLQDHYTUPRXZNL-NWDGAFQWSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1sc(C)cc1C ZINC001482358443 1086073380 /nfs/dbraw/zinc/07/33/80/1086073380.db2.gz NJAPDYHXMXELJB-GFCCVEGCSA-N 0 1 314.882 3.096 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)c1ccccc1C ZINC001482368543 1086085472 /nfs/dbraw/zinc/08/54/72/1086085472.db2.gz PRZNLXYXFNFKRW-GJZGRUSLSA-N 0 1 308.853 3.288 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2conc2C)CCCC1 ZINC001482375428 1086096202 /nfs/dbraw/zinc/09/62/02/1086096202.db2.gz YNEJENYNQKBQDQ-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ncccc1CC ZINC001482387051 1086113714 /nfs/dbraw/zinc/11/37/14/1086113714.db2.gz KIOPTMJWYTYHCB-OAHLLOKOSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1ocnc1C)CC(C)C ZINC001482390771 1086116185 /nfs/dbraw/zinc/11/61/85/1086116185.db2.gz MBZBWDANHKVWPH-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1(C)CC(F)(F)C1 ZINC001482397416 1086123533 /nfs/dbraw/zinc/12/35/33/1086123533.db2.gz ZYYAINLOCUEZEY-LBPRGKRZSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCC1(C)CCN(CC(=C)Cl)CC1 ZINC001270899374 1086164247 /nfs/dbraw/zinc/16/42/47/1086164247.db2.gz KVTIXRSQCASNPF-MKMNVTDBSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CC/C=C/c2ccccc2)C1 ZINC001270991917 1086234721 /nfs/dbraw/zinc/23/47/21/1086234721.db2.gz QWPLWFLHYJXJLI-JYNAJPCDSA-N 0 1 324.468 3.332 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CCCCCC)C1 ZINC001271099772 1086291993 /nfs/dbraw/zinc/29/19/93/1086291993.db2.gz FQKHSTHLGUOLGL-AWEZNQCLSA-N 0 1 302.409 3.216 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2occc2C(C)C)[C@H]1CC ZINC001087698372 1086367969 /nfs/dbraw/zinc/36/79/69/1086367969.db2.gz UIHDTCVCVQPBJI-JKSUJKDBSA-N 0 1 302.418 3.009 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H]1CC ZINC001087709663 1086375108 /nfs/dbraw/zinc/37/51/08/1086375108.db2.gz JKIOUAUZRHZCPD-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CCC(F)(F)F)[C@@H]2CC)C1 ZINC001087717656 1086376288 /nfs/dbraw/zinc/37/62/88/1086376288.db2.gz LGXUZOZWRVKQRU-QWHCGFSZSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2scnc2C(C)(C)C)[C@H]1CC ZINC001087754662 1086397818 /nfs/dbraw/zinc/39/78/18/1086397818.db2.gz SROTYCXOBIAKHU-QWHCGFSZSA-N 0 1 321.490 3.209 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CCCC3)no2)[C@H]1CC ZINC001087834531 1086433677 /nfs/dbraw/zinc/43/36/77/1086433677.db2.gz HQXNRLIKESTWQN-GOEBONIOSA-N 0 1 317.433 3.101 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CC=C(C)CC2)[C@H]1CC ZINC001087837880 1086435608 /nfs/dbraw/zinc/43/56/08/1086435608.db2.gz IDPBVFISDOPVKZ-SCTDSRPQSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2CC(C)C)[C@H]1CC ZINC001087844883 1086441977 /nfs/dbraw/zinc/44/19/77/1086441977.db2.gz VDIBFBBROBQJHL-RBUKOAKNSA-N 0 1 312.457 3.101 20 30 DGEDMN C=C[C@@H](C(=O)N(C)[C@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001271215308 1086546279 /nfs/dbraw/zinc/54/62/79/1086546279.db2.gz FBGMZQAVWXUHEH-GDBMZVCRSA-N 0 1 306.837 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H](C)c1ccco1 ZINC001271295257 1086564996 /nfs/dbraw/zinc/56/49/96/1086564996.db2.gz ULMATXHQLMJNCK-KBPBESRZSA-N 0 1 312.841 3.256 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CCNCc1nocc1C ZINC001271575558 1086684293 /nfs/dbraw/zinc/68/42/93/1086684293.db2.gz HMSYNGIDRPURSM-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H](C)SC)CC1 ZINC001482497671 1086724050 /nfs/dbraw/zinc/72/40/50/1086724050.db2.gz AACAAVUHJDNAIL-CYBMUJFWSA-N 0 1 318.914 3.051 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1oc(C)nc1C)C(C)C ZINC001271891003 1086810896 /nfs/dbraw/zinc/81/08/96/1086810896.db2.gz BACQUDOTXWAWLE-YJBOKZPZSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1nc(C)cs1)C(C)C ZINC001482555381 1086882258 /nfs/dbraw/zinc/88/22/58/1086882258.db2.gz UMZSNPVMYRJPTI-YOEHRIQHSA-N 0 1 323.506 3.284 20 30 DGEDMN CC1(Nc2cc(/C=N/[O-])cc(C(F)(F)F)c2)CC[NH2+]CC1 ZINC001168188049 1087035025 /nfs/dbraw/zinc/03/50/25/1087035025.db2.gz LCMDLBFKUJATQH-DJKKODMXSA-N 0 1 301.312 3.068 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sc(C3CC3)nc2C)[C@H]1C ZINC001088563953 1087066882 /nfs/dbraw/zinc/06/68/82/1087066882.db2.gz OYVNPHQVYSQSBU-OCCSQVGLSA-N 0 1 319.474 3.098 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001482621054 1087090826 /nfs/dbraw/zinc/09/08/26/1087090826.db2.gz BWZMRDUGRVAXAV-MRXNPFEDSA-N 0 1 313.445 3.051 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3cccc(Cl)c3)CC2)[C@H]1C ZINC001088606045 1087126557 /nfs/dbraw/zinc/12/65/57/1087126557.db2.gz WTTUDKJEZNWNRM-CJNGLKHVSA-N 0 1 318.848 3.137 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cncc(-c3ccccc3)c2)[C@H]1C ZINC001088605793 1087127163 /nfs/dbraw/zinc/12/71/63/1087127163.db2.gz IKHCJPYCBDFZIV-BEFAXECRSA-N 0 1 321.424 3.127 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2ncc(C)o2)CCCC1 ZINC001482905586 1087185736 /nfs/dbraw/zinc/18/57/36/1087185736.db2.gz SOMFNEDOVJUTBS-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1cc(F)ccc1Cl ZINC001482921274 1087187289 /nfs/dbraw/zinc/18/72/89/1087187289.db2.gz LIBZSUZQCBGYRY-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2ncccc2c1 ZINC001482949905 1087200336 /nfs/dbraw/zinc/20/03/36/1087200336.db2.gz WSJBMHVIHUSUSY-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2ncsc2c1 ZINC001482965024 1087202521 /nfs/dbraw/zinc/20/25/21/1087202521.db2.gz LDHYBZHLXQOOKT-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2C(C)(C)C)[C@H]1C ZINC001088647361 1087243731 /nfs/dbraw/zinc/24/37/31/1087243731.db2.gz MNFXQOKNOGQJAE-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN N#Cc1c[nH]c2cc(C(=O)Nc3cc(F)cc(F)c3O)ccc12 ZINC001142545460 1087281450 /nfs/dbraw/zinc/28/14/50/1087281450.db2.gz BXYNBDDNWFFKFC-UHFFFAOYSA-N 0 1 313.263 3.276 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](F)CC1CCCCC1 ZINC001483083370 1087334388 /nfs/dbraw/zinc/33/43/88/1087334388.db2.gz IATDNUSGWVLXFR-LLYBFZRZSA-N 0 1 316.848 3.309 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(F)cc2ccoc21 ZINC001483143147 1087402864 /nfs/dbraw/zinc/40/28/64/1087402864.db2.gz ROFIREAGBNBSTC-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN CCCCCCCN1CC(OC2CCN(C(=O)CC)CC2)C1 ZINC001105680970 1087406076 /nfs/dbraw/zinc/40/60/76/1087406076.db2.gz PBODEQYKXPHYHR-UHFFFAOYSA-N 0 1 310.482 3.059 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001483182469 1087411881 /nfs/dbraw/zinc/41/18/81/1087411881.db2.gz ACAALJLIOPAOHW-HZPDHXFCSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001483230729 1087432490 /nfs/dbraw/zinc/43/24/90/1087432490.db2.gz JGQDZYOLSASISW-LBPRGKRZSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN[C@@H](C)c2ncc(C)o2)CCC1 ZINC001483489894 1087514064 /nfs/dbraw/zinc/51/40/64/1087514064.db2.gz QAWSGTKZXGQOTA-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(Cl)cs1)C1CC1 ZINC001483592845 1087531026 /nfs/dbraw/zinc/53/10/26/1087531026.db2.gz QPIDJQAUUZVALQ-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1csc(C)n1)C1CC1 ZINC001483590439 1087531264 /nfs/dbraw/zinc/53/12/64/1087531264.db2.gz OQUYEZXGUJERLP-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001105726955 1087543675 /nfs/dbraw/zinc/54/36/75/1087543675.db2.gz ZAYBVIMGTAXFRR-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(F)F)c(F)c2)[C@H]1C ZINC001088855294 1087582108 /nfs/dbraw/zinc/58/21/08/1087582108.db2.gz ZMKWOKBWLDHOOY-YGRLFVJLSA-N 0 1 312.335 3.142 20 30 DGEDMN N#CC1(CNCCC(=O)Nc2ccc(Cl)cc2)CCCC1 ZINC001120603172 1087597065 /nfs/dbraw/zinc/59/70/65/1087597065.db2.gz NAKBNKNHUFXVPV-UHFFFAOYSA-N 0 1 305.809 3.342 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)[C@H]1C ZINC001088899388 1087600529 /nfs/dbraw/zinc/60/05/29/1087600529.db2.gz CXMMQVRRYQCHFN-RCJHGTSTSA-N 0 1 304.478 3.051 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1sccc1F ZINC001483646722 1087602754 /nfs/dbraw/zinc/60/27/54/1087602754.db2.gz DMOHWUPPISPBRH-CYBMUJFWSA-N 0 1 310.438 3.438 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)[C@H]1C ZINC001088936933 1087607678 /nfs/dbraw/zinc/60/76/78/1087607678.db2.gz KPBYHSJEIKZHEB-OXFYSEKESA-N 0 1 312.457 3.119 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@H]1C ZINC001088998763 1087617585 /nfs/dbraw/zinc/61/75/85/1087617585.db2.gz OJRNHYZBTNXPHZ-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@]1(C)CC=CCC1 ZINC001099085897 1087625357 /nfs/dbraw/zinc/62/53/57/1087625357.db2.gz WUSFCJYUWWYYNA-FXAWDEMLSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2sc(C(C)C)nc2C)[C@H]1C ZINC001089036264 1087625990 /nfs/dbraw/zinc/62/59/90/1087625990.db2.gz XALCLGDIVGDQRE-KGLIPLIRSA-N 0 1 321.490 3.344 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sc(C(C)C)nc2C)[C@H]1C ZINC001089036264 1087625993 /nfs/dbraw/zinc/62/59/93/1087625993.db2.gz XALCLGDIVGDQRE-KGLIPLIRSA-N 0 1 321.490 3.344 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)[C@H]1C ZINC001089051239 1087628233 /nfs/dbraw/zinc/62/82/33/1087628233.db2.gz HGUGIJKQXMGGBJ-VBQJREDUSA-N 0 1 318.848 3.046 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C(C)C)s2)[C@H]1C ZINC001089065793 1087630293 /nfs/dbraw/zinc/63/02/93/1087630293.db2.gz BUNRMUONZWGXRY-KGLIPLIRSA-N 0 1 304.459 3.087 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2CC(C)C)[C@H]1C ZINC001089068392 1087630306 /nfs/dbraw/zinc/63/03/06/1087630306.db2.gz AWJNXZQPFGRVOC-QAPCUYQASA-N 0 1 300.446 3.264 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1C ZINC001483676694 1087632407 /nfs/dbraw/zinc/63/24/07/1087632407.db2.gz PDBGGMFUXRBYJW-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H]1C=CCCC1 ZINC001099126635 1087662333 /nfs/dbraw/zinc/66/23/33/1087662333.db2.gz XIKHYAOVEGMXLB-MSOLQXFVSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@H]1CCN1Cc1ccccc1)c1ccccc1 ZINC001483707282 1087683050 /nfs/dbraw/zinc/68/30/50/1087683050.db2.gz CSRGKLFGMOTFRN-WOJBJXKFSA-N 0 1 320.436 3.347 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2ccc(Cl)cc2C)C1=O ZINC001272422922 1087697829 /nfs/dbraw/zinc/69/78/29/1087697829.db2.gz BGOFFQANVMGOKX-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN CCCCCCCCN1CC2(C1)COCC(=O)N2[C@@H](C)CC ZINC001272478685 1087724954 /nfs/dbraw/zinc/72/49/54/1087724954.db2.gz NVFQZMUOIPELRB-INIZCTEOSA-N 0 1 310.482 3.059 20 30 DGEDMN CC(C)Cc1nc(C(=O)Nc2cc(C#N)ccc2O)cs1 ZINC000176402249 1087726214 /nfs/dbraw/zinc/72/62/14/1087726214.db2.gz IKMAYAFTKJPBNI-UHFFFAOYSA-N 0 1 301.371 3.171 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1CN(C)C/C=C\Cl ZINC001158357101 1087792101 /nfs/dbraw/zinc/79/21/01/1087792101.db2.gz AIJJGVGCSFNOED-HMWXGYMHSA-N 0 1 310.869 3.245 20 30 DGEDMN C=C(C)CCc1ccccc1NCC1(N2CCCC2)COC1 ZINC001168451740 1087804150 /nfs/dbraw/zinc/80/41/50/1087804150.db2.gz SPWWABLRXNZKHG-UHFFFAOYSA-N 0 1 300.446 3.472 20 30 DGEDMN CCCCC[C@@H](CC)C(=O)NCCNCc1ccccc1C#N ZINC001151890242 1087808985 /nfs/dbraw/zinc/80/89/85/1087808985.db2.gz LFKXMNUWOOGJSA-MRXNPFEDSA-N 0 1 315.461 3.371 20 30 DGEDMN C[C@H](NCCNC(=O)CC#Cc1ccccc1)c1ccccc1F ZINC001151958954 1087842184 /nfs/dbraw/zinc/84/21/84/1087842184.db2.gz VQAAXCLFFXUEIH-INIZCTEOSA-N 0 1 324.399 3.034 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001152189385 1087915978 /nfs/dbraw/zinc/91/59/78/1087915978.db2.gz SGZGAUKCLXLBIR-UWKDKTGGSA-N 0 1 312.457 3.221 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CC1CCC1 ZINC001152584744 1088012574 /nfs/dbraw/zinc/01/25/74/1088012574.db2.gz AAEKOUCXMPLZAK-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CCCC[C@H](C(=O)NCCNCc1ccccc1C#N)C(C)C ZINC001152645896 1088030987 /nfs/dbraw/zinc/03/09/87/1088030987.db2.gz KLOMUXNTRPXVES-SFHVURJKSA-N 0 1 315.461 3.226 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)cc1C ZINC001158812081 1088042544 /nfs/dbraw/zinc/04/25/44/1088042544.db2.gz WSBKMHFSZLNMFB-GOSISDBHSA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1oc2ccccc2c1C ZINC001158825037 1088052631 /nfs/dbraw/zinc/05/26/31/1088052631.db2.gz JPAGHGHLSOKERR-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](CCCC)C(C)C ZINC001158927801 1088104866 /nfs/dbraw/zinc/10/48/66/1088104866.db2.gz FUMHDHMTXYXSKT-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CC2CCC1CC2 ZINC001158927905 1088106257 /nfs/dbraw/zinc/10/62/57/1088106257.db2.gz IAXSVQVOAHMHHM-CSODTCANSA-N 0 1 316.489 3.149 20 30 DGEDMN CC1(C)CC(=O)C=C(Nc2cc(Cl)nc3c2CCNC3)C1 ZINC001158953417 1088115757 /nfs/dbraw/zinc/11/57/57/1088115757.db2.gz BVMMNSRBODPTNZ-UHFFFAOYSA-N 0 1 305.809 3.066 20 30 DGEDMN C=C(C)CCC(=O)NCCCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001159032455 1088153150 /nfs/dbraw/zinc/15/31/50/1088153150.db2.gz GILRTLMGGXPQAM-CQSZACIVSA-N 0 1 321.465 3.485 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001153186525 1088178231 /nfs/dbraw/zinc/17/82/31/1088178231.db2.gz AVDQTNCBRIMKQO-RNQWEJQRSA-N 0 1 319.474 3.303 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001153642134 1088317826 /nfs/dbraw/zinc/31/78/26/1088317826.db2.gz PTFMHBZUSKEFIN-OAHLLOKOSA-N 0 1 303.450 3.073 20 30 DGEDMN C=C(CC)C(=O)Nc1nc(SCc2ccc(C)cc2)n[nH]1 ZINC001159363486 1088318239 /nfs/dbraw/zinc/31/82/39/1088318239.db2.gz HVRDIDLFZVSMNG-UHFFFAOYSA-N 0 1 302.403 3.310 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001159538929 1088386710 /nfs/dbraw/zinc/38/67/10/1088386710.db2.gz SEDZTXVLDIWGDA-UHFFFAOYSA-N 0 1 323.440 3.163 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1cccc(C#N)c1 ZINC001272651657 1088441504 /nfs/dbraw/zinc/44/15/04/1088441504.db2.gz VHQBBJAHYSDQCW-HXUWFJFHSA-N 0 1 323.440 3.091 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](CC)C(C)C ZINC001099311132 1088530584 /nfs/dbraw/zinc/53/05/84/1088530584.db2.gz YDSZDRVBAGFKBP-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC(=O)C=C(C)NC(=O)c1cccc2nc(-c3ccccc3)[nH]c21 ZINC001154490024 1088627539 /nfs/dbraw/zinc/62/75/39/1088627539.db2.gz OOUMIGCKEREFDT-QXMHVHEDSA-N 0 1 319.364 3.453 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cscc2C(F)F)CC1 ZINC001160163053 1088678864 /nfs/dbraw/zinc/67/88/64/1088678864.db2.gz LMWDAHOGQXGRAA-UHFFFAOYSA-N 0 1 324.396 3.071 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2C[C@H]2CC)CC(C)(C)C1 ZINC001089498226 1088694799 /nfs/dbraw/zinc/69/47/99/1088694799.db2.gz PENLWSFJWBVULH-QLFBSQMISA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001154742888 1088737291 /nfs/dbraw/zinc/73/72/91/1088737291.db2.gz NVPVAEPQQPUQHT-CHWSQXEVSA-N 0 1 308.388 3.368 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001154751500 1088743696 /nfs/dbraw/zinc/74/36/96/1088743696.db2.gz YSKBZHADTJEMFO-IAGOWNOFSA-N 0 1 317.477 3.319 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001089621863 1088829207 /nfs/dbraw/zinc/82/92/07/1088829207.db2.gz BHUXOXZLIJSWJX-WNRNVDISSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccncc1F ZINC001089621611 1088831041 /nfs/dbraw/zinc/83/10/41/1088831041.db2.gz XQXROBLLOKMTAE-AWEZNQCLSA-N 0 1 319.424 3.017 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001089621852 1088831229 /nfs/dbraw/zinc/83/12/29/1088831229.db2.gz BHUXOXZLIJSWJX-BDXSIMOUSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cscc1C ZINC001089621984 1088832392 /nfs/dbraw/zinc/83/23/92/1088832392.db2.gz CGYJJSIDAUNVQZ-OAHLLOKOSA-N 0 1 318.486 3.300 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CC(C)(C)C1 ZINC001089638398 1088871285 /nfs/dbraw/zinc/87/12/85/1088871285.db2.gz XFAHVWAOMSXNLK-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001089645931 1088876915 /nfs/dbraw/zinc/87/69/15/1088876915.db2.gz DOHSCQSXROZHBC-QZTJIDSGSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccccc2CCC)CC1 ZINC001160634911 1088900637 /nfs/dbraw/zinc/90/06/37/1088900637.db2.gz HYTSYTUNCCIKRW-UHFFFAOYSA-N 0 1 310.441 3.024 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(CC)Cc2ccccn2)CCC1 ZINC001155061828 1088924921 /nfs/dbraw/zinc/92/49/21/1088924921.db2.gz KISUFMHRAFOHAZ-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001483840224 1088954867 /nfs/dbraw/zinc/95/48/67/1088954867.db2.gz ASUNTEYNOUMAQT-AFCDSYGPSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)Cc2cnccc2C)C1 ZINC001155132347 1088967134 /nfs/dbraw/zinc/96/71/34/1088967134.db2.gz MJGQUQOMSYOAHB-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160992526 1089063892 /nfs/dbraw/zinc/06/38/92/1089063892.db2.gz ZDBNBJOUYHXFMF-SGJXGLNRSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CCC2(CC2)CC1 ZINC001089670501 1089084320 /nfs/dbraw/zinc/08/43/20/1089084320.db2.gz FUZKMTFQGOSYEB-QGZVFWFLSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CC(C)(F)F)C1CCCC1 ZINC001484008226 1089091074 /nfs/dbraw/zinc/09/10/74/1089091074.db2.gz BPVZOYSHCBAJGR-LBPRGKRZSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C(C)(F)F)C1CCCC1 ZINC001484008674 1089091149 /nfs/dbraw/zinc/09/11/49/1089091149.db2.gz FWTMVDPAGYONEW-AAEUAGOBSA-N 0 1 322.827 3.295 20 30 DGEDMN C#CCCCCC(=O)N[C@]1(C)CC[N@H+](Cc2csc(C)c2)C1 ZINC001484041015 1089128169 /nfs/dbraw/zinc/12/81/69/1089128169.db2.gz PQXRXSDAMRCGLX-GOSISDBHSA-N 0 1 318.486 3.331 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001155489505 1089134532 /nfs/dbraw/zinc/13/45/32/1089134532.db2.gz BUPIADYQGNIXLJ-CQSZACIVSA-N 0 1 321.440 3.150 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc2[nH]ccc21 ZINC001089697629 1089225903 /nfs/dbraw/zinc/22/59/03/1089225903.db2.gz QLHZQDKVNMEHOF-OAHLLOKOSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1csc(Cl)c1 ZINC001089707150 1089267673 /nfs/dbraw/zinc/26/76/73/1089267673.db2.gz FNHNSVFLCXIQGW-ZDUSSCGKSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001089707976 1089274536 /nfs/dbraw/zinc/27/45/36/1089274536.db2.gz ZHAIZKCGLDXOPF-QRVBRYPASA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cncc(Cl)c1 ZINC001089710604 1089276784 /nfs/dbraw/zinc/27/67/84/1089276784.db2.gz KXWDGPUPGFJONZ-OAHLLOKOSA-N 0 1 321.852 3.141 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc([C@@H](C#N)c2ccccc2F)nn1 ZINC001155891275 1089291043 /nfs/dbraw/zinc/29/10/43/1089291043.db2.gz TXSWFQUDSQWMFD-FPAJZGIOSA-N 0 1 310.332 3.176 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001089722554 1089298755 /nfs/dbraw/zinc/29/87/55/1089298755.db2.gz AKNIXPXIZQONPW-BZSNNMDCSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CC2CCC1CC2 ZINC001089734888 1089316723 /nfs/dbraw/zinc/31/67/23/1089316723.db2.gz ZSVUNVIIIOQOSL-ZGUYJTEBSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCCC1(C)C ZINC001089734759 1089316842 /nfs/dbraw/zinc/31/68/42/1089316842.db2.gz XCAXHYZKGRIQTN-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCC#CC)c1ccccc1CC ZINC001484325835 1089338192 /nfs/dbraw/zinc/33/81/92/1089338192.db2.gz RIEUSKQKSRRISM-LJQANCHMSA-N 0 1 312.457 3.376 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001161683104 1089345250 /nfs/dbraw/zinc/34/52/50/1089345250.db2.gz MCSSZOMDRBRKQN-LJQANCHMSA-N 0 1 324.468 3.342 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc(C(F)F)c2)CC1 ZINC001161749768 1089384624 /nfs/dbraw/zinc/38/46/24/1089384624.db2.gz KTSAIJCZBTVZMN-UHFFFAOYSA-N 0 1 318.367 3.009 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc3ccccc32)CC1 ZINC001161808705 1089415049 /nfs/dbraw/zinc/41/50/49/1089415049.db2.gz MDCNLSFPGJYDSD-UHFFFAOYSA-N 0 1 318.420 3.225 20 30 DGEDMN CCN1CCCC[C@H]1C(=O)N(O)CCCCc1ccccc1 ZINC001161819927 1089422603 /nfs/dbraw/zinc/42/26/03/1089422603.db2.gz YKYOACAOXLIXAB-KRWDZBQOSA-N 0 1 304.434 3.102 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2sccc2C2CC2)CC1 ZINC001161817901 1089422842 /nfs/dbraw/zinc/42/28/42/1089422842.db2.gz GSFOCVQLRWMVMJ-UHFFFAOYSA-N 0 1 314.454 3.011 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1ccccc1F ZINC001484453332 1089425517 /nfs/dbraw/zinc/42/55/17/1089425517.db2.gz MQZZMUIZLWFPEP-ROUUACIJSA-N 0 1 316.420 3.357 20 30 DGEDMN CC1CCN(CC(=O)N(O)Cc2cccc3ccccc32)CC1 ZINC001161842614 1089435820 /nfs/dbraw/zinc/43/58/20/1089435820.db2.gz KDOQORBHGBMFNE-UHFFFAOYSA-N 0 1 312.413 3.290 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CCNCc2ncoc2C(C)C)C1 ZINC001484511878 1089453680 /nfs/dbraw/zinc/45/36/80/1089453680.db2.gz BRUMXAACLUNVQR-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN CC1=C(C)C[C@@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001161913545 1089465720 /nfs/dbraw/zinc/46/57/20/1089465720.db2.gz AXKIABCZSWNZEQ-HNNXBMFYSA-N 0 1 321.384 3.418 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC(C)(C)c2ccccc2)CC1 ZINC001161942267 1089484458 /nfs/dbraw/zinc/48/44/58/1089484458.db2.gz GWWZECIKNYXGTM-UHFFFAOYSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001484647095 1089562282 /nfs/dbraw/zinc/56/22/82/1089562282.db2.gz DPLXTNSULYOQFN-SFHVURJKSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001484649281 1089566759 /nfs/dbraw/zinc/56/67/59/1089566759.db2.gz FZTUPZXTQHRWTK-FQEVSTJZSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001484721311 1089632333 /nfs/dbraw/zinc/63/23/33/1089632333.db2.gz FMNCAUINQUIRJR-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN CC(C)C(=O)N(C)CCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001484733677 1089644521 /nfs/dbraw/zinc/64/45/21/1089644521.db2.gz MMJSYIWXHQLEMX-UHFFFAOYSA-N 0 1 320.864 3.128 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)/C=C(\C)CC)O2 ZINC001484784119 1089674062 /nfs/dbraw/zinc/67/40/62/1089674062.db2.gz XXERSZWESOXHEV-JBAYFQIUSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001484816439 1089695269 /nfs/dbraw/zinc/69/52/69/1089695269.db2.gz IUSJAKJENUPMHI-KRWDZBQOSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2nc(C)sc2C)[C@@H](C)C1 ZINC001484888386 1089722459 /nfs/dbraw/zinc/72/24/59/1089722459.db2.gz HPRRHDLTMJZTER-LRDDRELGSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCN(C(=O)C[N@H+](CC)C1CC1)[C@@H]1CCCc2ccccc21 ZINC001156937710 1089758719 /nfs/dbraw/zinc/75/87/19/1089758719.db2.gz INIKRZMPMHXWMO-LJQANCHMSA-N 0 1 310.441 3.010 20 30 DGEDMN C#CCN(C(=O)CN(CC)C1CC1)[C@@H]1CCCc2ccccc21 ZINC001156937710 1089758734 /nfs/dbraw/zinc/75/87/34/1089758734.db2.gz INIKRZMPMHXWMO-LJQANCHMSA-N 0 1 310.441 3.010 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)NC[C@@H](C)N(CC)CCF ZINC001157062712 1089805447 /nfs/dbraw/zinc/80/54/47/1089805447.db2.gz GGWDYDQHJPTBKG-AEFFLSMTSA-N 0 1 320.452 3.218 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001485005981 1089844858 /nfs/dbraw/zinc/84/48/58/1089844858.db2.gz ZHSRROWGAYPELP-FZWACIFYSA-N 0 1 322.452 3.082 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001485123826 1089978341 /nfs/dbraw/zinc/97/83/41/1089978341.db2.gz HIDTVRZCLJWJIJ-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001485140290 1089993356 /nfs/dbraw/zinc/99/33/56/1089993356.db2.gz PIMRSWWAGUEOAK-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001485140291 1089993628 /nfs/dbraw/zinc/99/36/28/1089993628.db2.gz PIMRSWWAGUEOAK-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc(C)cs1 ZINC001485202580 1090015981 /nfs/dbraw/zinc/01/59/81/1090015981.db2.gz UUZMTVDRPIFNTC-QWHCGFSZSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@@H](C)NCc2nc(C)cs2)CC1 ZINC001485186949 1090017184 /nfs/dbraw/zinc/01/71/84/1090017184.db2.gz AEDYLTWONFWOOV-ZIAGYGMSSA-N 0 1 321.490 3.181 20 30 DGEDMN C[C@@H](NC(=O)CCC1(C)CC1)[C@H](C)NCc1ccccc1C#N ZINC001485206069 1090030380 /nfs/dbraw/zinc/03/03/80/1090030380.db2.gz GYSFLCDHYINTBD-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN CO[C@@H]1CCCN(Cc2ccc(C#N)c(Br)c2)CC1 ZINC001236862072 1090148353 /nfs/dbraw/zinc/14/83/53/1090148353.db2.gz NOZIUGCDPWWGOV-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN CN(C/C=C\Cl)C[C@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001157920140 1090150254 /nfs/dbraw/zinc/15/02/54/1090150254.db2.gz SESBLLAFKWEJGZ-OCOPJHETSA-N 0 1 310.869 3.101 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1C=CCCC1 ZINC001158124730 1090188597 /nfs/dbraw/zinc/18/85/97/1090188597.db2.gz UCQKYABZKXASCS-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](C)CCC(C)(C)C ZINC001485485270 1090193481 /nfs/dbraw/zinc/19/34/81/1090193481.db2.gz PHMQBBLRQXRDBV-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001485505944 1090195472 /nfs/dbraw/zinc/19/54/72/1090195472.db2.gz JHRGTXRCTRKLGE-OAHLLOKOSA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001485505990 1090196741 /nfs/dbraw/zinc/19/67/41/1090196741.db2.gz SOVMAEIWZBTBJA-HNNXBMFYSA-N 0 1 324.424 3.173 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CN(Cc2ccsc2)CC1(C)C ZINC001485578283 1090216412 /nfs/dbraw/zinc/21/64/12/1090216412.db2.gz WIZAUURNCNRIOZ-INIZCTEOSA-N 0 1 318.486 3.268 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)Cc2ccc(F)cc2)C(C)(C)C1 ZINC001485584077 1090219216 /nfs/dbraw/zinc/21/92/16/1090219216.db2.gz GKVXNXXFEXBPPV-PBHICJAKSA-N 0 1 318.436 3.017 20 30 DGEDMN N=C(Nc1ccnc2nc[nH]c21)c1ccc(C(F)(F)F)cc1 ZINC001163684054 1090232372 /nfs/dbraw/zinc/23/23/72/1090232372.db2.gz ODPUCFOFGIFTPW-UHFFFAOYSA-N 0 1 305.263 3.014 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC001485661867 1090232903 /nfs/dbraw/zinc/23/29/03/1090232903.db2.gz ATWSYNZTHUYKOZ-VURMDHGXSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](CC)CC(C)C)C2)C1 ZINC001485666308 1090234467 /nfs/dbraw/zinc/23/44/67/1090234467.db2.gz JJWLAYPHZMMNJE-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(NC(=O)OC(C)(C)C)nc(C)n1 ZINC001163733023 1090244745 /nfs/dbraw/zinc/24/47/45/1090244745.db2.gz FPGMYJWMJNOLQE-CLFYSBASSA-N 0 1 306.366 3.037 20 30 DGEDMN COc1ccc2c(c1)CCc1cnc(NC(C)=CC(C)=O)nc1-2 ZINC001163736799 1090246152 /nfs/dbraw/zinc/24/61/52/1090246152.db2.gz GIOZYPIDIVPMNF-FLIBITNWSA-N 0 1 309.369 3.156 20 30 DGEDMN COc1ccc2c(c1)CCc1c[nH]c(=NC(C)=CC(C)=O)nc1-2 ZINC001163736799 1090246154 /nfs/dbraw/zinc/24/61/54/1090246154.db2.gz GIOZYPIDIVPMNF-FLIBITNWSA-N 0 1 309.369 3.156 20 30 DGEDMN CC(=O)C=C(C)Nc1nc(-c2ccncc2)nc2ccccc21 ZINC001163737020 1090246350 /nfs/dbraw/zinc/24/63/50/1090246350.db2.gz LKSUUGNPCSBDLF-QXMHVHEDSA-N 0 1 304.353 3.018 20 30 DGEDMN CSc1ccc(Br)c(N=C(C)CC(C)=O)n1 ZINC001163742271 1090247173 /nfs/dbraw/zinc/24/71/73/1090247173.db2.gz XTFJFDLRSDQKCH-SREVYHEPSA-N 0 1 301.209 3.471 20 30 DGEDMN C=CC[C@@H](C(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1)c1ccccc1 ZINC001163884438 1090280243 /nfs/dbraw/zinc/28/02/43/1090280243.db2.gz GOJIXPWWDTXRJY-SOLBZPMBSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1cc(C)ccc1F ZINC001272706780 1090308579 /nfs/dbraw/zinc/30/85/79/1090308579.db2.gz GQAWIVGBIBDIPD-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cccc(C)c1F ZINC001485811522 1090310645 /nfs/dbraw/zinc/31/06/45/1090310645.db2.gz RQLSQTWQPWRHRE-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN CC(C)[C@H](C)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001124681210 1090316681 /nfs/dbraw/zinc/31/66/81/1090316681.db2.gz MLLRBXHDQOJXMW-OAHLLOKOSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001164222136 1090376640 /nfs/dbraw/zinc/37/66/40/1090376640.db2.gz IQXSDKDHYIBFNG-KGLIPLIRSA-N 0 1 323.506 3.150 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCNCc1nc2ccccc2o1 ZINC001164317266 1090399722 /nfs/dbraw/zinc/39/97/22/1090399722.db2.gz ATOJLXNQAUHJJD-CQSZACIVSA-N 0 1 315.417 3.026 20 30 DGEDMN C#CCCCCC(=O)NC[C@]12CCC[C@H]1N(CC(=C)Cl)CC2 ZINC001107365328 1090427200 /nfs/dbraw/zinc/42/72/00/1090427200.db2.gz RQJJHGCDDSPNBA-SJLPKXTDSA-N 0 1 322.880 3.293 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@@]2(CNC(=O)CC(C)(F)F)CCC[C@@H]12 ZINC001107536894 1090457010 /nfs/dbraw/zinc/45/70/10/1090457010.db2.gz HPJAITIHGBUKHS-IUODEOHRSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CC(C)(F)F)CCC[C@@H]12 ZINC001107536894 1090457011 /nfs/dbraw/zinc/45/70/11/1090457011.db2.gz HPJAITIHGBUKHS-IUODEOHRSA-N 0 1 320.811 3.145 20 30 DGEDMN CCCc1cc(C#N)c(N2CCN(C(C)C)[C@H](C)C2)nc1Cl ZINC001165199641 1090477160 /nfs/dbraw/zinc/47/71/60/1090477160.db2.gz FULPWEXRSOVLHJ-CYBMUJFWSA-N 0 1 320.868 3.478 20 30 DGEDMN C[C@@H]1CN(c2nccc(F)c2C#N)[C@@H](C)CN1Cc1ccccc1 ZINC001165201682 1090478719 /nfs/dbraw/zinc/47/87/19/1090478719.db2.gz JFDBGFYEGBSFCB-CABCVRRESA-N 0 1 324.403 3.192 20 30 DGEDMN CCCCCCC[N@H+]1CCO[C@](C)(CNC(=O)/C=C\C2CC2)C1 ZINC001107841218 1090514004 /nfs/dbraw/zinc/51/40/04/1090514004.db2.gz KBSPVYXFRKOTLZ-OEIFXAAASA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)/C=C\C2CC2)C1 ZINC001107841218 1090514009 /nfs/dbraw/zinc/51/40/09/1090514009.db2.gz KBSPVYXFRKOTLZ-OEIFXAAASA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C(C)=C2CCCC2)C1 ZINC001107859729 1090517975 /nfs/dbraw/zinc/51/79/75/1090517975.db2.gz CAIRLBQHNRIWAA-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](C)CC)C1 ZINC001107901463 1090531967 /nfs/dbraw/zinc/53/19/67/1090531967.db2.gz QGVVJSPHZIVWLO-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C[C@@H](C)CC)C1 ZINC001107901463 1090531973 /nfs/dbraw/zinc/53/19/73/1090531973.db2.gz QGVVJSPHZIVWLO-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1csc(CCC)n1 ZINC001165929344 1090562416 /nfs/dbraw/zinc/56/24/16/1090562416.db2.gz KUIWJANMTKPCJC-KRWDZBQOSA-N 0 1 323.506 3.294 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCCC[C@H](C)CC)C1 ZINC001107969968 1090591649 /nfs/dbraw/zinc/59/16/49/1090591649.db2.gz YUKKMZJHDQFLMF-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN CCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1cccc(C#N)c1 ZINC001098844896 1090628654 /nfs/dbraw/zinc/62/86/54/1090628654.db2.gz MPQIMLYQEPWSPJ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cc(O)ccc1Cl ZINC001128085192 1090645296 /nfs/dbraw/zinc/64/52/96/1090645296.db2.gz MYCSYRSZPIGVMS-UHFFFAOYSA-N 0 1 322.836 3.020 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1ccccc1Cl ZINC001128085150 1090645352 /nfs/dbraw/zinc/64/53/52/1090645352.db2.gz LCHCRPRHVSLJTL-UHFFFAOYSA-N 0 1 306.837 3.314 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2CCc2cccc(Cl)c2)C1=O ZINC001272893745 1090670746 /nfs/dbraw/zinc/67/07/46/1090670746.db2.gz RQPJPENZXMWLRB-GOSISDBHSA-N 0 1 318.848 3.135 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCC[C@H](C)CCC ZINC001128151765 1090694725 /nfs/dbraw/zinc/69/47/25/1090694725.db2.gz BIGBPKXDBFYTQY-GFCCVEGCSA-N 0 1 319.287 3.207 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@@H](C)C(CC)CC)C1 ZINC001108038617 1090704569 /nfs/dbraw/zinc/70/45/69/1090704569.db2.gz WXVQDWDEYVRGTD-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN CCC(CC)C(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001485848307 1090707646 /nfs/dbraw/zinc/70/76/46/1090707646.db2.gz QEYUNOJGIPNDMB-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCCc1ccccc1 ZINC001485917896 1090727283 /nfs/dbraw/zinc/72/72/83/1090727283.db2.gz TTZKFEFGPHSMLG-OAHLLOKOSA-N 0 1 308.853 3.198 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001486071011 1090741828 /nfs/dbraw/zinc/74/18/28/1090741828.db2.gz OZVFXOMQATYJRF-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001486123166 1090755930 /nfs/dbraw/zinc/75/59/30/1090755930.db2.gz YYRCMBCALSNRRU-CHWSQXEVSA-N 0 1 317.260 3.078 20 30 DGEDMN COc1ccc(O[C@@H]2CCCN(Cc3cccc(C#N)c3)C2)nc1 ZINC001203763865 1090799744 /nfs/dbraw/zinc/79/97/44/1090799744.db2.gz PXUGBCJKYCIQBA-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN C[C@@H](c1ccccc1)N1CCN(Cc2cccc(C#N)c2)CC1 ZINC001203762422 1090799867 /nfs/dbraw/zinc/79/98/67/1090799867.db2.gz HBMDPTQONUQLFY-KRWDZBQOSA-N 0 1 305.425 3.437 20 30 DGEDMN CC[C@H](C)CCCCC(=O)NCCNCc1ccccc1C#N ZINC001128168905 1090828788 /nfs/dbraw/zinc/82/87/88/1090828788.db2.gz YDSPYUIADFJKTQ-INIZCTEOSA-N 0 1 315.461 3.371 20 30 DGEDMN COc1ccc(CN2CCN(c3cccc(C#N)c3)CC2)cc1C ZINC001203897961 1090831648 /nfs/dbraw/zinc/83/16/48/1090831648.db2.gz AFDSRCNBTDAJFK-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2cncs2)CC1 ZINC001486446540 1090838255 /nfs/dbraw/zinc/83/82/55/1090838255.db2.gz PEQXKVSIXADOHI-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C(C)(C)C(C)C)c(F)c1 ZINC001486524226 1090869430 /nfs/dbraw/zinc/86/94/30/1090869430.db2.gz VCOFTWMTPWSXDM-UHFFFAOYSA-N 0 1 318.436 3.237 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@@]3(C2)CC(F)(F)CO3)cc1 ZINC001204303983 1090945211 /nfs/dbraw/zinc/94/52/11/1090945211.db2.gz XCODZIUALCBWLK-INIZCTEOSA-N 0 1 309.356 3.252 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001276459616 1090949065 /nfs/dbraw/zinc/94/90/65/1090949065.db2.gz BXXUYEDLNAWOIH-LSDHHAIUSA-N 0 1 318.436 3.017 20 30 DGEDMN C#CCN1CC[C@@]2(CCC[N@H+](Cc3ccc(C)c(C)c3)CC2)C1=O ZINC001272988740 1090968166 /nfs/dbraw/zinc/96/81/66/1090968166.db2.gz WKJYSKIUXSOUOS-NRFANRHFSA-N 0 1 324.468 3.141 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1ccc(Cl)s1)CC2 ZINC001272998640 1090977903 /nfs/dbraw/zinc/97/79/03/1090977903.db2.gz FLJJYKBRHRIJOW-ZDUSSCGKSA-N 0 1 324.877 3.306 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(CC)o1 ZINC001272999890 1090978590 /nfs/dbraw/zinc/97/85/90/1090978590.db2.gz SJOATWGFMSUKNV-IBGZPJMESA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2CCc2ccccc2F)C1=O ZINC001273041093 1091012719 /nfs/dbraw/zinc/01/27/19/1091012719.db2.gz NMFIXXZVJRUXME-IBGZPJMESA-N 0 1 316.420 3.011 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](OCCc2ccccc2)C1 ZINC001204999569 1091080296 /nfs/dbraw/zinc/08/02/96/1091080296.db2.gz CPLJOWWICNBYOD-HXUWFJFHSA-N 0 1 306.409 3.392 20 30 DGEDMN CN(C)c1ncc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)cn1 ZINC001205017771 1091085417 /nfs/dbraw/zinc/08/54/17/1091085417.db2.gz PIGIWPDAVUNQOF-CGOBSMCZSA-N 0 1 310.279 3.037 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C(C)(C)C(C)(C)C)C1 ZINC001108129708 1091116993 /nfs/dbraw/zinc/11/69/93/1091116993.db2.gz MGKLJXLOXGCEDN-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C(C)(C)C(C)(C)C)C1 ZINC001108129867 1091117429 /nfs/dbraw/zinc/11/74/29/1091117429.db2.gz PILQTBCQSWDCEO-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccc(F)c2)cc1OC ZINC001138343810 1091147054 /nfs/dbraw/zinc/14/70/54/1091147054.db2.gz REIFUUSRMFNHLY-UHFFFAOYSA-N 0 1 313.372 3.478 20 30 DGEDMN C#CCOc1ccc(CN2CCC(C(F)F)CC2)cc1OC ZINC001138348343 1091148575 /nfs/dbraw/zinc/14/85/75/1091148575.db2.gz IKTWCJNAIORFAO-UHFFFAOYSA-N 0 1 309.356 3.184 20 30 DGEDMN N#CCCN(Cc1ccc(N2CCOCC2)cc1)C1CCCC1 ZINC001138498258 1091205897 /nfs/dbraw/zinc/20/58/97/1091205897.db2.gz XFIIRYUREXXKKH-UHFFFAOYSA-N 0 1 313.445 3.181 20 30 DGEDMN C#CCOc1ccc(CN2CCC(=O)[C@H](C)C23CCCC3)cc1 ZINC001138560563 1091229724 /nfs/dbraw/zinc/22/97/24/1091229724.db2.gz ABKCJSRZFZZATL-INIZCTEOSA-N 0 1 311.425 3.422 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](Oc3ccc(F)cc3)C2)ccc1F ZINC001205479082 1091231699 /nfs/dbraw/zinc/23/16/99/1091231699.db2.gz RUWCJFXGDGARIC-KRWDZBQOSA-N 0 1 314.335 3.490 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1ccc(F)c(C#N)c1 ZINC001205496678 1091235800 /nfs/dbraw/zinc/23/58/00/1091235800.db2.gz KUSYRONDUGZUHS-HNNXBMFYSA-N 0 1 318.392 3.394 20 30 DGEDMN CC1(C(=O)NCC2(NCc3ccccc3C#N)CCC2)CC=CC1 ZINC001273147772 1091235892 /nfs/dbraw/zinc/23/58/92/1091235892.db2.gz WKEAFXDRSDEFOL-UHFFFAOYSA-N 0 1 323.440 3.043 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cccc(Oc3ncccn3)c2)CC1 ZINC001138622795 1091260609 /nfs/dbraw/zinc/26/06/09/1091260609.db2.gz VIPWUIDLWLKWFW-HNNXBMFYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCc1cccc(CN2CCO[C@H](c3ccncc3)C2)c1O ZINC001138630051 1091262447 /nfs/dbraw/zinc/26/24/47/1091262447.db2.gz OZCMXPFQKKVELK-SFHVURJKSA-N 0 1 310.397 3.089 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CN(CCCC2CCC2)CCO1 ZINC001108171436 1091269274 /nfs/dbraw/zinc/26/92/74/1091269274.db2.gz IKLNFCKQSATADZ-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1cc(NC(=O)Cc2cnc[nH]2)ccc1Oc1ccccc1 ZINC001138638961 1091271447 /nfs/dbraw/zinc/27/14/47/1091271447.db2.gz GVCSNLNXSUXJAP-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN C#CCN1CCN(Cc2ccc(-c3ccc(F)cc3)cc2)CC1 ZINC001138683048 1091284813 /nfs/dbraw/zinc/28/48/13/1091284813.db2.gz KZBBTZCOIUUSLT-UHFFFAOYSA-N 0 1 308.400 3.244 20 30 DGEDMN ON=Cc1ccccc1-c1ccc(CCN2CCOCC2)cc1 ZINC001205679331 1091309803 /nfs/dbraw/zinc/30/98/03/1091309803.db2.gz HZZSQDMUZZMWTB-UHFFFAOYSA-N 0 1 310.397 3.036 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001494554890 1091332383 /nfs/dbraw/zinc/33/23/83/1091332383.db2.gz CYVZZNFZRBMUPX-UHFFFAOYSA-N 0 1 300.446 3.208 20 30 DGEDMN Cc1ccccc1-n1cc(CNCc2ccc(C#N)s2)cn1 ZINC001202869981 1091357900 /nfs/dbraw/zinc/35/79/00/1091357900.db2.gz PGTQUBKIILTOBT-UHFFFAOYSA-N 0 1 308.410 3.404 20 30 DGEDMN CON=CC(=O)Nc1ccc2nc(CC3CCCCC3)[nH]c2c1 ZINC001294326314 1091375350 /nfs/dbraw/zinc/37/53/50/1091375350.db2.gz HSAYKQXCEWZOSQ-UHFFFAOYSA-N 0 1 314.389 3.256 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3coc4c3cccc4C)[C@@H]2C1 ZINC001084411052 1091488708 /nfs/dbraw/zinc/48/87/08/1091488708.db2.gz DUNGFUOQAMBKJU-CRAIPNDOSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C(CN1CCCC1)c1nc(-c2cc(C(C)=O)ccc2F)no1 ZINC001206094649 1091489307 /nfs/dbraw/zinc/48/93/07/1091489307.db2.gz SKJYEPQBOWBYPA-UHFFFAOYSA-N 0 1 315.348 3.187 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001084698622 1091543433 /nfs/dbraw/zinc/54/34/33/1091543433.db2.gz BXWYLPVOFQDFQU-IPMKNSEASA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)c3ccccc3C)[C@@H]2C1 ZINC001084699718 1091544013 /nfs/dbraw/zinc/54/40/13/1091544013.db2.gz BHZAMDWBPRVXGK-ZHALLVOQSA-N 0 1 312.457 3.207 20 30 DGEDMN C[C@H]1COCCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139423976 1091554952 /nfs/dbraw/zinc/55/49/52/1091554952.db2.gz LPJUYSVMOFVORP-OAHLLOKOSA-N 0 1 323.396 3.214 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CCC[C@H](O)CC3)cn2)cc1 ZINC001139424935 1091555145 /nfs/dbraw/zinc/55/51/45/1091555145.db2.gz WUKFRLSOXWWMMA-KRWDZBQOSA-N 0 1 323.396 3.092 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3[nH]ccc3-c3ccccc3)[C@@H]2C1 ZINC001084770143 1091559035 /nfs/dbraw/zinc/55/90/35/1091559035.db2.gz WFLJEYZXORDNHH-SJLPKXTDSA-N 0 1 321.424 3.014 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(C4CCCCC4)CC3)[C@@H]2C1 ZINC001084798942 1091569718 /nfs/dbraw/zinc/56/97/18/1091569718.db2.gz KUVHVQKGPQDKAA-NVXWUHKLSA-N 0 1 302.462 3.066 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3c(C)csc3Cl)[C@@H]2C1 ZINC001084798224 1091571079 /nfs/dbraw/zinc/57/10/79/1091571079.db2.gz FZWUCUOHOQZLAY-CHWSQXEVSA-N 0 1 324.877 3.432 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(F)cc3Cl)[C@@H]2C1 ZINC001084809487 1091578244 /nfs/dbraw/zinc/57/82/44/1091578244.db2.gz QGHIZYHTPWBSLP-MLGOLLRUSA-N 0 1 322.811 3.202 20 30 DGEDMN Cc1cccnc1N1CCCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC001139527667 1091586937 /nfs/dbraw/zinc/58/69/37/1091586937.db2.gz MSXIFUILNSREHG-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN N#CC1CCN(Cc2c[nH]c3ncc(C(F)(F)F)cc23)CC1 ZINC001139536677 1091592287 /nfs/dbraw/zinc/59/22/87/1091592287.db2.gz YOSMEIZWOFGBGI-UHFFFAOYSA-N 0 1 308.307 3.317 20 30 DGEDMN COc1cnccc1CN1CCC[C@@H](Oc2ccc(C#N)cc2)C1 ZINC001206493893 1091648783 /nfs/dbraw/zinc/64/87/83/1091648783.db2.gz PILHEGFHHPSVID-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3ccncc3)C2)cc1C#N ZINC001139727193 1091655703 /nfs/dbraw/zinc/65/57/03/1091655703.db2.gz IPJJOHRZDIPNAP-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cc(OC2CCN(Cc3ccc(C)c(C#N)c3)CC2)ncn1 ZINC001139726965 1091656214 /nfs/dbraw/zinc/65/62/14/1091656214.db2.gz DJMTUVBNMWVNMV-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN C=CCn1cc(CN(C)Cc2ccc(N3CCCC3)cc2)cn1 ZINC001139770706 1091667905 /nfs/dbraw/zinc/66/79/05/1091667905.db2.gz XWZCTKNZXQMXPU-UHFFFAOYSA-N 0 1 310.445 3.301 20 30 DGEDMN C=CCn1cc(CN2CCC(c3ccccc3OC)CC2)cn1 ZINC001139772144 1091669091 /nfs/dbraw/zinc/66/90/91/1091669091.db2.gz AMLYEZDZQWZAMT-UHFFFAOYSA-N 0 1 311.429 3.457 20 30 DGEDMN COc1ncccc1CN1CCC(Cc2ccc(C#N)cc2)CC1 ZINC001206584824 1091678223 /nfs/dbraw/zinc/67/82/23/1091678223.db2.gz PGINSPQPPITALW-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CC[C@@H]1CC1(Cl)Cl ZINC001231168713 1091680462 /nfs/dbraw/zinc/68/04/62/1091680462.db2.gz ABCWMJVYFKEVKT-CHWSQXEVSA-N 0 1 319.276 3.069 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CCCCCCCC ZINC001115273628 1091729611 /nfs/dbraw/zinc/72/96/11/1091729611.db2.gz PKYLGNNCYHDFNJ-YRXWBPOGSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CC[C@H](CO)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC001203000302 1091763189 /nfs/dbraw/zinc/76/31/89/1091763189.db2.gz KIPZWFRWBYVAFS-OAHLLOKOSA-N 0 1 301.361 3.258 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cc3cc(C)ccc3[nH]2)C1=O ZINC001273327633 1091770346 /nfs/dbraw/zinc/77/03/46/1091770346.db2.gz ZYGQUTVVJHLRDN-HXUWFJFHSA-N 0 1 323.440 3.229 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C#N)cc3F)CC2)cc1 ZINC001140137435 1091781091 /nfs/dbraw/zinc/78/10/91/1091781091.db2.gz JLLCYPJFIUAGAX-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN COc1cccc(-c2ncc(CN3CCC[C@@H](C#N)CC3)cn2)c1 ZINC001140198257 1091798327 /nfs/dbraw/zinc/79/83/27/1091798327.db2.gz WYTMTEARQAVNOC-OAHLLOKOSA-N 0 1 322.412 3.278 20 30 DGEDMN Cc1ncsc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001206986909 1091812250 /nfs/dbraw/zinc/81/22/50/1091812250.db2.gz JFDAFWTXJLVADP-OAHLLOKOSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1ncsc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001206986908 1091813389 /nfs/dbraw/zinc/81/33/89/1091813389.db2.gz JFDAFWTXJLVADP-HNNXBMFYSA-N 0 1 313.426 3.367 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccccc2Nc2ccncc2)cs1 ZINC001297274458 1091851481 /nfs/dbraw/zinc/85/14/81/1091851481.db2.gz YCCNNSBBURYIGU-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1scc(C)c1Cl ZINC001085614049 1091855122 /nfs/dbraw/zinc/85/51/22/1091855122.db2.gz DQRMWSMUDLFXNO-LBPRGKRZSA-N 0 1 312.866 3.432 20 30 DGEDMN Cc1noc(C)c1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001207139332 1091859791 /nfs/dbraw/zinc/85/97/91/1091859791.db2.gz BMYBAVDZDNGDOH-INIZCTEOSA-N 0 1 311.385 3.207 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1ccoc1C(F)(F)F ZINC001085618159 1091859897 /nfs/dbraw/zinc/85/98/97/1091859897.db2.gz GTNLJLUINXUGNJ-NSHDSACASA-N 0 1 316.323 3.021 20 30 DGEDMN CCCC[C@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001273354824 1091880304 /nfs/dbraw/zinc/88/03/04/1091880304.db2.gz HQMWNNGNWUUILW-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2C)C[C@H]1C ZINC001207215121 1091889369 /nfs/dbraw/zinc/88/93/69/1091889369.db2.gz BAKUVFUKPRZGPV-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC001140593803 1091926876 /nfs/dbraw/zinc/92/68/76/1091926876.db2.gz YDGKCDGLJZUZCM-MRXNPFEDSA-N 0 1 306.381 3.011 20 30 DGEDMN C=CCC[C@@H](CO)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001140626940 1091936746 /nfs/dbraw/zinc/93/67/46/1091936746.db2.gz LEAGKFYGGSLROD-NSHDSACASA-N 0 1 319.788 3.256 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001085840043 1091966573 /nfs/dbraw/zinc/96/65/73/1091966573.db2.gz YSBHMIOZWJJBKR-BRWVUGGUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001085840043 1091966588 /nfs/dbraw/zinc/96/65/88/1091966588.db2.gz YSBHMIOZWJJBKR-BRWVUGGUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C(CC)CC)no1 ZINC001085860237 1091982498 /nfs/dbraw/zinc/98/24/98/1091982498.db2.gz UOQRKBVEQJHELH-OAHLLOKOSA-N 0 1 319.449 3.301 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1scnc1C1CC1 ZINC001085862130 1091986087 /nfs/dbraw/zinc/98/60/87/1091986087.db2.gz QHOYCUBOUVHFCD-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(Cl)ccc1C ZINC001085878554 1091995470 /nfs/dbraw/zinc/99/54/70/1091995470.db2.gz FLDGOBFTGSPTDN-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc(C(C)(C)C)c1 ZINC001085888351 1091997587 /nfs/dbraw/zinc/99/75/87/1091997587.db2.gz WGIRRGZAHIFSJS-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1coc(C2CCCC2)n1 ZINC001085900180 1092000323 /nfs/dbraw/zinc/00/03/23/1092000323.db2.gz YJDUMNCWCBFPTL-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CC)Cc1cc(F)cc(F)c1 ZINC001493000803 1092018416 /nfs/dbraw/zinc/01/84/16/1092018416.db2.gz OUPVKWQZPFHCQA-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001085941812 1092031002 /nfs/dbraw/zinc/03/10/02/1092031002.db2.gz QGLHOOSWODJCGN-AZRLCKCBSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c(C)cc(C)cc1C ZINC001085960603 1092056530 /nfs/dbraw/zinc/05/65/30/1092056530.db2.gz IZUNVLLGXFWKRV-QGZVFWFLSA-N 0 1 300.446 3.334 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(OC)c(Cl)c1 ZINC001085964337 1092062987 /nfs/dbraw/zinc/06/29/87/1092062987.db2.gz FZKXHSVGKSBDOZ-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN N#CCc1cccc(NC(=O)c2cc(-c3ccccc3)n[nH]2)c1 ZINC001140935778 1092068432 /nfs/dbraw/zinc/06/84/32/1092068432.db2.gz TUQBPNUAZZZYKX-UHFFFAOYSA-N 0 1 302.337 3.395 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1c[nH]c2c1cccc2CC ZINC001085988649 1092072029 /nfs/dbraw/zinc/07/20/29/1092072029.db2.gz SVBNQRSOWOMUIK-HNNXBMFYSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(c2ccccc2)C1 ZINC001085998283 1092088286 /nfs/dbraw/zinc/08/82/86/1092088286.db2.gz UDXCBGLOPQOONX-CTWPCTMYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1CC(c2ccccc2)C1 ZINC001085998283 1092088295 /nfs/dbraw/zinc/08/82/95/1092088295.db2.gz UDXCBGLOPQOONX-CTWPCTMYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)Cc1sc(C)nc1C ZINC001493014352 1092098532 /nfs/dbraw/zinc/09/85/32/1092098532.db2.gz DNHYBNGCIJECBM-QGZVFWFLSA-N 0 1 323.506 3.300 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1ccc2cccc(O)c2n1 ZINC001141023847 1092109574 /nfs/dbraw/zinc/10/95/74/1092109574.db2.gz XXAFALCPHBRYAX-UHFFFAOYSA-N 0 1 303.365 3.444 20 30 DGEDMN C=CCCCCCCCCCN1CC[C@@H](S(C)(=O)=O)C1 ZINC001207899407 1092132390 /nfs/dbraw/zinc/13/23/90/1092132390.db2.gz YMOAGNHSQAWQOX-MRXNPFEDSA-N 0 1 301.496 3.412 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)C1 ZINC001141087430 1092133041 /nfs/dbraw/zinc/13/30/41/1092133041.db2.gz ZRLOFUIKSWNBFR-CQSZACIVSA-N 0 1 315.417 3.274 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1ccc(C)cc1F)C2 ZINC001141195007 1092170685 /nfs/dbraw/zinc/17/06/85/1092170685.db2.gz XBHGZJKRPMQKIQ-PKOBYXMFSA-N 0 1 319.420 3.460 20 30 DGEDMN C=CCCC[C@H](C(=O)NO[C@H](CO)C(C)C)c1ccccc1 ZINC001141345943 1092215583 /nfs/dbraw/zinc/21/55/83/1092215583.db2.gz UXCLTIFOTKHWNE-DLBZAZTESA-N 0 1 305.418 3.191 20 30 DGEDMN C=CCCC[C@H](C(=O)NO[C@@H](CO)C(C)C)c1ccccc1 ZINC001141345945 1092216296 /nfs/dbraw/zinc/21/62/96/1092216296.db2.gz UXCLTIFOTKHWNE-IRXDYDNUSA-N 0 1 305.418 3.191 20 30 DGEDMN C=CCCC[C@@H](C(=O)NO[C@@H](CO)C(C)C)c1ccccc1 ZINC001141345946 1092216480 /nfs/dbraw/zinc/21/64/80/1092216480.db2.gz UXCLTIFOTKHWNE-SJORKVTESA-N 0 1 305.418 3.191 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(OC3CCOCC3)cc2)CC1 ZINC001141414298 1092235272 /nfs/dbraw/zinc/23/52/72/1092235272.db2.gz AWCPPKUMBGTJNN-UHFFFAOYSA-N 0 1 314.429 3.370 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CNCc2ncc(C(C)C)o2)C1 ZINC001491830999 1092244984 /nfs/dbraw/zinc/24/49/84/1092244984.db2.gz DKSLQKJCYDRSNX-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCCN(CC(=C)Cl)CC1 ZINC001273437161 1092294369 /nfs/dbraw/zinc/29/43/69/1092294369.db2.gz JIEYWHQIOYQPHI-MRXNPFEDSA-N 0 1 310.869 3.293 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(C)(C)C ZINC001141644183 1092307981 /nfs/dbraw/zinc/30/79/81/1092307981.db2.gz KVRJEXUKJZMXRH-ZWKOTPCHSA-N 0 1 312.457 3.205 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001108307434 1092323901 /nfs/dbraw/zinc/32/39/01/1092323901.db2.gz ZJSHINCUHUVDNE-OALUTQOASA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@](C)(CC)CCC)C1 ZINC001108307433 1092326078 /nfs/dbraw/zinc/32/60/78/1092326078.db2.gz ZJSHINCUHUVDNE-MOPGFXCFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2cc(C)ccc2F)C[C@H]1C ZINC001208455651 1092360391 /nfs/dbraw/zinc/36/03/91/1092360391.db2.gz YPXAIKUYFPZRIP-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCC2CCCCC2)C1 ZINC001108378773 1092362343 /nfs/dbraw/zinc/36/23/43/1092362343.db2.gz NSFLGODNCZCCSV-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CCCCCC)C1 ZINC001108378500 1092363121 /nfs/dbraw/zinc/36/31/21/1092363121.db2.gz IGCNETCDYAAKSW-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN C[C@@]1(CO)CC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C1 ZINC001141973092 1092371523 /nfs/dbraw/zinc/37/15/23/1092371523.db2.gz YHOGMPBBGALWCR-LJQANCHMSA-N 0 1 311.450 3.352 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1nccs1 ZINC001492164093 1092416986 /nfs/dbraw/zinc/41/69/86/1092416986.db2.gz QMAALVHVCCLMMF-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#Cc1ccc(C(=O)NCCN(C)C/C=C\c2ccccc2)cc1 ZINC001492365959 1092483844 /nfs/dbraw/zinc/48/38/44/1092483844.db2.gz KSNJWSIMRNSTKM-YFHOEESVSA-N 0 1 318.420 3.043 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001492381827 1092489659 /nfs/dbraw/zinc/48/96/59/1092489659.db2.gz SYXCOPHFNLRBGQ-AWEZNQCLSA-N 0 1 308.853 3.490 20 30 DGEDMN C=CCCCC(=O)NCCN(C)Cc1ccc(F)c(Cl)c1 ZINC001492394123 1092494209 /nfs/dbraw/zinc/49/42/09/1092494209.db2.gz WCHMWVMDYAQBIW-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(F)CCCC2)C1 ZINC001317204461 1092526059 /nfs/dbraw/zinc/52/60/59/1092526059.db2.gz QCSSRYFOYOIAHC-KGLIPLIRSA-N 0 1 316.848 3.238 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001276596353 1092560416 /nfs/dbraw/zinc/56/04/16/1092560416.db2.gz YGMBOGOJBWFMQG-LLBKUYECSA-N 0 1 319.276 3.392 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(C[C@H]2CCC=CO2)CC1 ZINC001208888398 1092567649 /nfs/dbraw/zinc/56/76/49/1092567649.db2.gz XIGKZAYWNGWCOC-OAHLLOKOSA-N 0 1 300.377 3.376 20 30 DGEDMN Cc1ccn2cc(CN(C)CCc3ccc(C#N)cc3)nc2c1 ZINC001208929918 1092592319 /nfs/dbraw/zinc/59/23/19/1092592319.db2.gz WXDFTEKZXJJPKV-UHFFFAOYSA-N 0 1 304.397 3.189 20 30 DGEDMN C#CCC[N@@H+]1C[C@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001276616365 1092657858 /nfs/dbraw/zinc/65/78/58/1092657858.db2.gz VZMWPTGSYPXADF-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001276616364 1092658136 /nfs/dbraw/zinc/65/81/36/1092658136.db2.gz VZMWPTGSYPXADF-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC001209126202 1092663481 /nfs/dbraw/zinc/66/34/81/1092663481.db2.gz ZKQLYAFMOWAKBR-BHIYHBOVSA-N 0 1 318.505 3.299 20 30 DGEDMN Cc1nc2ccccc2c(C(=O)Nc2ccsc2C#N)c1O ZINC001149191004 1092667883 /nfs/dbraw/zinc/66/78/83/1092667883.db2.gz RBRWUGZCKHIZEX-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN C=CCCCCN1Cc2nn(C)cc2[C@H](COCC2CC2)C1 ZINC001209200573 1092690785 /nfs/dbraw/zinc/69/07/85/1092690785.db2.gz LIXSBLIALSCIGC-INIZCTEOSA-N 0 1 303.450 3.102 20 30 DGEDMN C=CCCCCN1CCc2onc(COc3ccccn3)c2C1 ZINC001209203559 1092693166 /nfs/dbraw/zinc/69/31/66/1092693166.db2.gz ZMUFDWJZWDRKLE-UHFFFAOYSA-N 0 1 313.401 3.363 20 30 DGEDMN C=CCCCCN1CCc2onc(COc3cccnc3)c2C1 ZINC001209202249 1092693725 /nfs/dbraw/zinc/69/37/25/1092693725.db2.gz DDENZSJQZCEWAC-UHFFFAOYSA-N 0 1 313.401 3.363 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2c(F)cccc2F)C1 ZINC001209335375 1092738680 /nfs/dbraw/zinc/73/86/80/1092738680.db2.gz LCHPAGSBSNZXPG-CQSZACIVSA-N 0 1 322.399 3.402 20 30 DGEDMN Cc1cc(C)c(C(=O)NCCNCc2ccccc2C#N)c(C)c1 ZINC001150163799 1092760847 /nfs/dbraw/zinc/76/08/47/1092760847.db2.gz KTCXNOKGTNVONA-UHFFFAOYSA-N 0 1 321.424 3.003 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001209425449 1092770471 /nfs/dbraw/zinc/77/04/71/1092770471.db2.gz WINFZXGLJQLREF-JFIYKMOQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CC(C)(C)c1ccc(C)cc1 ZINC001150376385 1092774900 /nfs/dbraw/zinc/77/49/00/1092774900.db2.gz BBGKODCFCMCRHQ-UHFFFAOYSA-N 0 1 308.853 3.121 20 30 DGEDMN CCCC(=O)NC[C@@H](C)N(CC)CC#Cc1cccc(Cl)c1 ZINC001151549014 1092919750 /nfs/dbraw/zinc/91/97/50/1092919750.db2.gz WHKWVNBCNDJSKJ-OAHLLOKOSA-N 0 1 320.864 3.318 20 30 DGEDMN Cc1cc(N)ncc1Nc1c(C#N)cnnc1-c1ccccc1 ZINC001209967831 1092997544 /nfs/dbraw/zinc/99/75/44/1092997544.db2.gz SKEDMMQMXPOQBY-UHFFFAOYSA-N 0 1 302.341 3.045 20 30 DGEDMN CCS(=O)(=O)c1ccc(O)c(Nc2cc(C)cc(C#N)c2)c1 ZINC001210389629 1093150524 /nfs/dbraw/zinc/15/05/24/1093150524.db2.gz KSEPEPUXANPELR-UHFFFAOYSA-N 0 1 316.382 3.110 20 30 DGEDMN CCc1ncccc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001210468464 1093178011 /nfs/dbraw/zinc/17/80/11/1093178011.db2.gz ZPFLKCMFAMOZQS-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)c1ccco1)C1CC1 ZINC001276686534 1093206290 /nfs/dbraw/zinc/20/62/90/1093206290.db2.gz JAAFYLWXXJWEDR-FZMZJTMJSA-N 0 1 310.825 3.010 20 30 DGEDMN C[C@@H]1CC(=O)C=C(Nc2cccc3c2OCC[C@H]3N(C)C)C1 ZINC001210776938 1093279759 /nfs/dbraw/zinc/27/97/59/1093279759.db2.gz VBSJOSPLNNMJRH-YVEFUNNKSA-N 0 1 300.402 3.367 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001210824302 1093293270 /nfs/dbraw/zinc/29/32/70/1093293270.db2.gz JFOMDCXMTGISBG-BHIYHBOVSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001211224155 1093427344 /nfs/dbraw/zinc/42/73/44/1093427344.db2.gz LWEIBWWKDDFRKP-HZPDHXFCSA-N 0 1 324.509 3.088 20 30 DGEDMN N#Cc1cc(Nc2cnccc2Br)c(O)cc1F ZINC001211235564 1093429394 /nfs/dbraw/zinc/42/93/94/1093429394.db2.gz OEVBILYAYLOGHN-UHFFFAOYSA-N 0 1 308.110 3.304 20 30 DGEDMN COC(=O)c1c(F)cccc1Nc1cc(C#N)c(F)cc1O ZINC001214055744 1093705061 /nfs/dbraw/zinc/70/50/61/1093705061.db2.gz ZYJJOUMYNKQLRA-UHFFFAOYSA-N 0 1 304.252 3.072 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Nc1ncccc1N1CCN(C)CC1 ZINC001215646000 1094023390 /nfs/dbraw/zinc/02/33/90/1094023390.db2.gz HLXJOGPCPBQILP-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN CSc1ncc(Cl)cc1N=C(NO)c1ccccc1N ZINC001215916151 1094085284 /nfs/dbraw/zinc/08/52/84/1094085284.db2.gz LSKIMUKWZJXWDM-UHFFFAOYSA-N 0 1 308.794 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](C)CC(C)C)C[C@H]1C ZINC001216336015 1094177378 /nfs/dbraw/zinc/17/73/78/1094177378.db2.gz UIEQJMDPMHGSSW-GZBFAFLISA-N 0 1 300.874 3.248 20 30 DGEDMN N#Cc1cn(-c2cncn2Cc2ccccc2)c2ccc(N)cc12 ZINC001216554317 1094218527 /nfs/dbraw/zinc/21/85/27/1094218527.db2.gz HZBBSJBUKFQENV-UHFFFAOYSA-N 0 1 313.364 3.329 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1cc(Cl)ccc1C(C)(C)C ZINC001216879297 1094273552 /nfs/dbraw/zinc/27/35/52/1094273552.db2.gz QKWDHLHUKFHGLA-UHFFFAOYSA-N 0 1 321.852 3.324 20 30 DGEDMN Cc1noc2ccc(-c3noc(-c4ccc(O)c(C#N)c4)n3)cc12 ZINC001216969283 1094285836 /nfs/dbraw/zinc/28/58/36/1094285836.db2.gz ABJFZEUTUAIVHG-UHFFFAOYSA-N 0 1 318.292 3.431 20 30 DGEDMN COc1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)cc1F ZINC001216970005 1094285944 /nfs/dbraw/zinc/28/59/44/1094285944.db2.gz XDQARUPURQBYDK-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN C[C@H](CCC#N)CN[C@H](c1nccn1C)c1ccc(F)c(F)c1 ZINC001120072585 1094371687 /nfs/dbraw/zinc/37/16/87/1094371687.db2.gz XOTJMRCKZSYCLN-WBMJQRKESA-N 0 1 318.371 3.317 20 30 DGEDMN C=CC1(CC(=O)NCCNCC#Cc2ccccc2)CCCCC1 ZINC001135190791 1094426791 /nfs/dbraw/zinc/42/67/91/1094426791.db2.gz NKWZPGUURZBYFS-UHFFFAOYSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1cscn1 ZINC001135180701 1094430302 /nfs/dbraw/zinc/43/03/02/1094430302.db2.gz JHFAGMCIWPZJNC-QWHCGFSZSA-N 0 1 309.479 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135180890 1094432388 /nfs/dbraw/zinc/43/23/88/1094432388.db2.gz KMSSUKIMZODUJJ-STQMWFEESA-N 0 1 323.506 3.427 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135229521 1094459306 /nfs/dbraw/zinc/45/93/06/1094459306.db2.gz BBCRTIQGJGDTLK-UONOGXRCSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001135358689 1094502934 /nfs/dbraw/zinc/50/29/34/1094502934.db2.gz WQVWXRRHEGOZJY-ZIAGYGMSSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2ncc(C)o2)CC1 ZINC001135382584 1094510031 /nfs/dbraw/zinc/51/00/31/1094510031.db2.gz JZVIIHOCDAFJMD-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@H](C)NCc2cscn2)CCC1 ZINC001135395139 1094514921 /nfs/dbraw/zinc/51/49/21/1094514921.db2.gz NLCICOXUIRITRV-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2nocc2C)CCC1 ZINC001135395793 1094515228 /nfs/dbraw/zinc/51/52/28/1094515228.db2.gz UHQYFKXWLGBBFN-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC001135406640 1094522648 /nfs/dbraw/zinc/52/26/48/1094522648.db2.gz XKPLUMAKVFCJKH-JSGCOSHPSA-N 0 1 300.874 3.297 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C[C@@H](C)NCc2csc(C)n2)C1 ZINC001135426827 1094534348 /nfs/dbraw/zinc/53/43/48/1094534348.db2.gz IIXPXDHNFVHDGC-OLZOCXBDSA-N 0 1 321.490 3.181 20 30 DGEDMN N#Cc1ccc(N2CCC[C@H](c3nc(C4CC4)n[nH]3)C2)c(F)c1 ZINC001120866712 1094542603 /nfs/dbraw/zinc/54/26/03/1094542603.db2.gz LEJNWKCNYRNGHA-ZDUSSCGKSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1ccc(N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)c(F)c1 ZINC001120866712 1094542610 /nfs/dbraw/zinc/54/26/10/1094542610.db2.gz LEJNWKCNYRNGHA-ZDUSSCGKSA-N 0 1 311.364 3.077 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135565607 1094579355 /nfs/dbraw/zinc/57/93/55/1094579355.db2.gz YDSYYNMBXDCHIS-OLZOCXBDSA-N 0 1 323.506 3.427 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(NN=C2C=CC(=O)C=C2)cc1 ZINC001218200602 1094654832 /nfs/dbraw/zinc/65/48/32/1094654832.db2.gz HLAOPVCLDUNKJS-SJKOYZFVSA-N 0 1 308.341 3.298 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC001218199241 1094655255 /nfs/dbraw/zinc/65/52/55/1094655255.db2.gz BZVFUETYIGWOPK-WRWORJQWSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(-c2cc(F)c(F)c(F)c2)cc1 ZINC001218200933 1094656299 /nfs/dbraw/zinc/65/62/99/1094656299.db2.gz JKRXNHKBCRRWEU-WBMJQRKESA-N 0 1 318.298 3.261 20 30 DGEDMN Cc1cc(Cc2ccc(O[C@H]3CNC[C@H]3C#N)c(C)c2)ccc1O ZINC001218204825 1094658482 /nfs/dbraw/zinc/65/84/82/1094658482.db2.gz WWISHNKVHIIAPS-XLIONFOSSA-N 0 1 322.408 3.090 20 30 DGEDMN CCCCCCCCC(=O)N[C@H](C)C[C@@H](C)NCc1cnon1 ZINC001136003472 1094793836 /nfs/dbraw/zinc/79/38/36/1094793836.db2.gz CMPWSANKMRIXLP-HUUCEWRRSA-N 0 1 324.469 3.193 20 30 DGEDMN CC(=N[N-]c1[nH+]c2ccccc2n1C)c1c(C)nc2sccn21 ZINC001337446486 1094978057 /nfs/dbraw/zinc/97/80/57/1094978057.db2.gz MXIQUGMEEIZSQD-UHFFFAOYSA-N 0 1 324.413 3.427 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111850094 1094990471 /nfs/dbraw/zinc/99/04/71/1094990471.db2.gz BVYUTHCYQWZGEP-OLQDSLNKSA-N 0 1 310.869 3.312 20 30 DGEDMN N#CC(C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707857 1095040607 /nfs/dbraw/zinc/04/06/07/1095040607.db2.gz ODYWHCHZKXVRHH-JQPIKURYSA-N 0 1 314.348 3.102 20 30 DGEDMN N#C[C@H](C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707857 1095040623 /nfs/dbraw/zinc/04/06/23/1095040623.db2.gz ODYWHCHZKXVRHH-JQPIKURYSA-N 0 1 314.348 3.102 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@]12CCc1ccccc12)c1cccc(F)n1 ZINC001122714663 1095044895 /nfs/dbraw/zinc/04/48/95/1095044895.db2.gz NICSTSLNNWRBJF-OHNRDTAOSA-N 0 1 306.340 3.301 20 30 DGEDMN N#CC(C(=O)C1([C@@H]2CCCCO2)CCC1)c1cccc(F)n1 ZINC001122736054 1095053184 /nfs/dbraw/zinc/05/31/84/1095053184.db2.gz PBXYZYRHUACLJX-OCCSQVGLSA-N 0 1 302.349 3.136 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cccc3c2CC(C)=C3)cc1 ZINC001170984984 1095078693 /nfs/dbraw/zinc/07/86/93/1095078693.db2.gz KRUNWGNHRAJFCT-UHFFFAOYSA-N 0 1 306.365 3.470 20 30 DGEDMN CCn1ccc2cc(NC(=N)c3ccc(C(=O)OC)cc3)ccc21 ZINC001170988508 1095085975 /nfs/dbraw/zinc/08/59/75/1095085975.db2.gz AQCQXUYCNHZTDY-UHFFFAOYSA-N 0 1 321.380 3.485 20 30 DGEDMN Cc1[nH]ncc1CN(C)c1cc(C(F)(F)F)c(F)cc1C#N ZINC001337906078 1095134136 /nfs/dbraw/zinc/13/41/36/1095134136.db2.gz FBRNOHBONFGBHZ-UHFFFAOYSA-N 0 1 312.270 3.384 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ncc(C)s2)CCCCC1 ZINC001115442931 1095223371 /nfs/dbraw/zinc/22/33/71/1095223371.db2.gz XGVIBAODNBSNSH-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cccc(CC)c3)C[C@H]21 ZINC001221424381 1095261686 /nfs/dbraw/zinc/26/16/86/1095261686.db2.gz CUEDCYRDXIYHRI-RTBURBONSA-N 0 1 312.457 3.248 20 30 DGEDMN O=C1C=C2CN(Cc3c[nH]c4cccc(F)c34)CCC2S1 ZINC001136981711 1095264363 /nfs/dbraw/zinc/26/43/63/1095264363.db2.gz YLAYAGPCEQQXMH-AWEZNQCLSA-N 0 1 302.374 3.081 20 30 DGEDMN CC[C@@H](C#N)N(CC)C(=O)c1ccc(CN2CCCCC2)o1 ZINC001338329048 1095268300 /nfs/dbraw/zinc/26/83/00/1095268300.db2.gz PCWGGMSOTXEOGT-AWEZNQCLSA-N 0 1 303.406 3.030 20 30 DGEDMN COc1ccc(CN2CCC(C#Cc3ccccc3)CC2)cn1 ZINC001137038180 1095300407 /nfs/dbraw/zinc/30/04/07/1095300407.db2.gz VGWPKHCXSADTGS-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN CC(C)[C@@H](C(=O)C(C#N)c1ncc(F)cc1F)c1cccnc1 ZINC001123475963 1095312848 /nfs/dbraw/zinc/31/28/48/1095312848.db2.gz IEAIYBJAOINTND-DZGCQCFKSA-N 0 1 315.323 3.371 20 30 DGEDMN C=C(CC)C(=O)NC[C@H](c1ccccc1OC)N1CCCCC1 ZINC001123501709 1095322443 /nfs/dbraw/zinc/32/24/43/1095322443.db2.gz OELVPIOHMDCTFK-QGZVFWFLSA-N 0 1 316.445 3.305 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CCN2CC[C@@H](F)C2)c1 ZINC001143642095 1095328284 /nfs/dbraw/zinc/32/82/84/1095328284.db2.gz UQVBIEMATMMQCE-CQSZACIVSA-N 0 1 306.381 3.014 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001221762059 1095349732 /nfs/dbraw/zinc/34/97/32/1095349732.db2.gz MTIMFXPKBQXZAO-MGPQQGTHSA-N 0 1 318.383 3.074 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC/C=C\c3ccccc3)[C@@H]2C1 ZINC001221813772 1095363985 /nfs/dbraw/zinc/36/39/85/1095363985.db2.gz YQKVHGAVSDVRTA-RHHFQONYSA-N 0 1 322.452 3.036 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC[C@H](C)c3ccccc3)[C@@H]2C1 ZINC001221850499 1095374836 /nfs/dbraw/zinc/37/48/36/1095374836.db2.gz PWTNILPDGHEWSF-DFQSSKMNSA-N 0 1 324.468 3.126 20 30 DGEDMN N#Cc1cnc(CN[C@H]2CCc3cc(Cl)ccc3C2)s1 ZINC001171109757 1095394511 /nfs/dbraw/zinc/39/45/11/1095394511.db2.gz MFLIAQKETUJHJD-ZDUSSCGKSA-N 0 1 303.818 3.315 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(OC(F)(F)F)ccc2OC)C1 ZINC001144005449 1095464698 /nfs/dbraw/zinc/46/46/98/1095464698.db2.gz SDDBJVHWJAIRRW-GFCCVEGCSA-N 0 1 313.319 3.439 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCCC1(C)C ZINC001339075872 1095488749 /nfs/dbraw/zinc/48/87/49/1095488749.db2.gz KWEROOOHKXYICA-UHFFFAOYSA-N 0 1 322.416 3.386 20 30 DGEDMN CC(=NNCC1CCOCC1)c1[nH]c(-c2ccccc2)nc1C ZINC001339162654 1095520836 /nfs/dbraw/zinc/52/08/36/1095520836.db2.gz PPBVHNBHZOUGCA-UHFFFAOYSA-N 0 1 312.417 3.125 20 30 DGEDMN CCc1cc(CN2CCC3SC(=O)C=C3C2)cc(CC)c1O ZINC001144139665 1095529944 /nfs/dbraw/zinc/52/99/44/1095529944.db2.gz KIQGLTZGBYQMGV-MRXNPFEDSA-N 0 1 317.454 3.291 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC(C)CC1 ZINC001339193806 1095534623 /nfs/dbraw/zinc/53/46/23/1095534623.db2.gz MZECYKWCVUWBEH-UHFFFAOYSA-N 0 1 322.416 3.244 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3cnc4ccccc43)CC2)nc1 ZINC001144169240 1095548645 /nfs/dbraw/zinc/54/86/45/1095548645.db2.gz BMNCZVGPIPHQEQ-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN N#C[C@H]1CN([C@H]2CCc3cc(Cl)c(Cl)cc3C2)CCC1=O ZINC001171160963 1095612505 /nfs/dbraw/zinc/61/25/05/1095612505.db2.gz CSOBUYKMDWEWIY-STQMWFEESA-N 0 1 323.223 3.265 20 30 DGEDMN C=C(Cc1ccccc1)C(=O)Nc1ccccc1-c1nnc[nH]1 ZINC001144395404 1095641920 /nfs/dbraw/zinc/64/19/20/1095641920.db2.gz TUYZGGPYBTVJFG-UHFFFAOYSA-N 0 1 304.353 3.209 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)NC1(c2cccc(F)c2)COC1 ZINC001171184147 1095663590 /nfs/dbraw/zinc/66/35/90/1095663590.db2.gz KJYQBTCDXHMTGA-CQSZACIVSA-N 0 1 310.372 3.144 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@H]2C(=O)Nc2ccccc2)cc1 ZINC001144578529 1095715905 /nfs/dbraw/zinc/71/59/05/1095715905.db2.gz FVHQVCZUGAZELZ-IBGZPJMESA-N 0 1 304.393 3.271 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@H](Oc3ncccc3OC)C2)cc1 ZINC001144581534 1095716670 /nfs/dbraw/zinc/71/66/70/1095716670.db2.gz VNGKCFRNTRYUGN-SFHVURJKSA-N 0 1 322.408 3.115 20 30 DGEDMN COc1ccc(CN2CCC3(CCOC3(C)C)CC2)c(C#N)c1 ZINC001137706960 1095718757 /nfs/dbraw/zinc/71/87/57/1095718757.db2.gz YJZYYZWOJJGNSV-UHFFFAOYSA-N 0 1 314.429 3.348 20 30 DGEDMN C#CC1CCN(Cc2[nH]nc3ccc(Br)cc32)CC1 ZINC001139659454 1095723967 /nfs/dbraw/zinc/72/39/67/1095723967.db2.gz AFWUZSSPKCFVKS-UHFFFAOYSA-N 0 1 318.218 3.171 20 30 DGEDMN C=CCN(Cc1ccc(S(C)(=O)=O)cc1)C1CCCCC1 ZINC001137733472 1095725389 /nfs/dbraw/zinc/72/53/89/1095725389.db2.gz SOXUXZICEWTKME-UHFFFAOYSA-N 0 1 307.459 3.411 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1c(C)coc1C ZINC001276842959 1095768638 /nfs/dbraw/zinc/76/86/38/1095768638.db2.gz SRNJYLMQBHTTPP-INIZCTEOSA-N 0 1 304.434 3.447 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001171220568 1095809038 /nfs/dbraw/zinc/80/90/38/1095809038.db2.gz CGUYZMQBXMKUFS-GFCCVEGCSA-N 0 1 324.346 3.333 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OC(F)(F)c1ccc(F)c(F)c1F ZINC001222983603 1095813766 /nfs/dbraw/zinc/81/37/66/1095813766.db2.gz RANCPCBUKQUHRU-YFKPBYRVSA-N 0 1 308.202 3.389 20 30 DGEDMN Cc1ccnc(N2CCN([C@H](C)Cc3cccc(C#N)c3)CC2)c1 ZINC001171224934 1095828265 /nfs/dbraw/zinc/82/82/65/1095828265.db2.gz VQPOUTDGVXZUOC-QGZVFWFLSA-N 0 1 320.440 3.015 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCC1CCN(C/C=C\Cl)CC1 ZINC001223311295 1095932889 /nfs/dbraw/zinc/93/28/89/1095932889.db2.gz AUPRGZUTTPTDJY-DAXSKMNVSA-N 0 1 319.276 3.346 20 30 DGEDMN C=CCn1c(C2=NC(=O)SC2)nnc1N1CCCCCCC1 ZINC001340427649 1095951526 /nfs/dbraw/zinc/95/15/26/1095951526.db2.gz AMCXBRKPNKPCDL-UHFFFAOYSA-N 0 1 319.434 3.064 20 30 DGEDMN CCc1cc(CNC(=O)c2c(Cl)cc(C#N)cc2Cl)n[nH]1 ZINC001145102577 1095961117 /nfs/dbraw/zinc/96/11/17/1095961117.db2.gz ABPPERDIOMUHPG-UHFFFAOYSA-N 0 1 323.183 3.081 20 30 DGEDMN C#CCCCCCCN1CCNC(=O)[C@H]1CC1CCCCC1 ZINC001171268528 1095987188 /nfs/dbraw/zinc/98/71/88/1095987188.db2.gz XYDLCWXWZIPCNP-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C[C@H](C)CCC=C(C)C)C[C@@H]21 ZINC001223549496 1095996801 /nfs/dbraw/zinc/99/68/01/1095996801.db2.gz MNMHJECDTTWZKF-QRVBRYPASA-N 0 1 316.489 3.315 20 30 DGEDMN C=C1CN(C(=O)NC[C@@H](c2ccccc2Cl)N2CCCC2)C1 ZINC001223547809 1095997676 /nfs/dbraw/zinc/99/76/76/1095997676.db2.gz QRRMBFSZHLJYHE-INIZCTEOSA-N 0 1 319.836 3.058 20 30 DGEDMN CCc1cncnc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001171274656 1096001133 /nfs/dbraw/zinc/00/11/33/1096001133.db2.gz MHJPDKIMRFSMFO-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001223581123 1096006235 /nfs/dbraw/zinc/00/62/35/1096006235.db2.gz ZTOIWVRGUNJOMG-LTBMOJJQSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCc1ccc(OC(C)C)c(OC)c1 ZINC001223690547 1096037658 /nfs/dbraw/zinc/03/76/58/1096037658.db2.gz GPRYSHMYTWDOHI-LLVKDONJSA-N 0 1 306.358 3.218 20 30 DGEDMN C[C@@H](O/C(=N\O)c1ccccc1Br)c1cncnc1 ZINC001223884469 1096085073 /nfs/dbraw/zinc/08/50/73/1096085073.db2.gz KRLSCZSWLYLLPW-FCZKIDGVSA-N 0 1 322.162 3.153 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3(CC=C)CCCCC3)C[C@@H]21 ZINC001224208555 1096164443 /nfs/dbraw/zinc/16/44/43/1096164443.db2.gz ZKOWKUMLUZQYGC-MSOLQXFVSA-N 0 1 314.473 3.069 20 30 DGEDMN C=CCCC(C)(C)NC(=O)C[C@@H](N)c1ccccc1OCC ZINC001341418788 1096172137 /nfs/dbraw/zinc/17/21/37/1096172137.db2.gz USCIRJHQNSJSOR-OAHLLOKOSA-N 0 1 304.434 3.336 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCN(Cc1ccccc1Cl)C2 ZINC001147165917 1096176673 /nfs/dbraw/zinc/17/66/73/1096176673.db2.gz NAOYKWSTPTYLFJ-UHFFFAOYSA-N 0 1 318.848 3.341 20 30 DGEDMN C=CCCCC(=O)NCC1CCN(Cc2cc(C)ns2)CC1 ZINC001224276430 1096177830 /nfs/dbraw/zinc/17/78/30/1096177830.db2.gz CEMXUWNKXAZRPU-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC(C)(C)CC(F)(F)F ZINC001276963574 1096187649 /nfs/dbraw/zinc/18/76/49/1096187649.db2.gz KFGYXOPVHSIQHW-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CCN(CCF)[C@H]3C2)CCCC1 ZINC001224343665 1096193823 /nfs/dbraw/zinc/19/38/23/1096193823.db2.gz GLSIJRRWYDYACE-CVEARBPZSA-N 0 1 308.441 3.015 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001147428110 1096216816 /nfs/dbraw/zinc/21/68/16/1096216816.db2.gz ANFGHXGLMUFGTB-MRXNPFEDSA-N 0 1 308.853 3.275 20 30 DGEDMN Cc1cc(Br)c(/C=C2/C(=O)c3ncnn3C2C)s1 ZINC001119554826 1096224996 /nfs/dbraw/zinc/22/49/96/1096224996.db2.gz FCNAFDZDAFSSCD-VKDKVYATSA-N 0 1 324.203 3.251 20 30 DGEDMN C=CC[N@@H+]1CCC2(CN(C(=O)COCCCCCCCC)C2)C1 ZINC001147736821 1096264930 /nfs/dbraw/zinc/26/49/30/1096264930.db2.gz LFTQIMCBVBDDEU-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001224871166 1096304853 /nfs/dbraw/zinc/30/48/53/1096304853.db2.gz BDDTVKZZYYNEQF-QZTJIDSGSA-N 0 1 313.445 3.265 20 30 DGEDMN N#Cc1c(NC(=O)c2cccc(O)c2O)sc2ccccc12 ZINC001148211876 1096326503 /nfs/dbraw/zinc/32/65/03/1096326503.db2.gz AKWLKMOCWPQVDE-UHFFFAOYSA-N 0 1 310.334 3.436 20 30 DGEDMN COc1ccc2nc(NC(=O)c3cccc([C@H](C)C#N)c3)[nH]c2c1 ZINC001148610109 1096404775 /nfs/dbraw/zinc/40/47/75/1096404775.db2.gz MHWFBFNOEGSWAE-LLVKDONJSA-N 0 1 320.352 3.451 20 30 DGEDMN C#CCCCC(=O)NCC1(N[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001277043483 1096429256 /nfs/dbraw/zinc/42/92/56/1096429256.db2.gz OFAOLCMUDVHFTN-ZDUSSCGKSA-N 0 1 320.383 3.068 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1c(C)noc1C ZINC001148728095 1096430833 /nfs/dbraw/zinc/43/08/33/1096430833.db2.gz VQWMYGOPPGLXAZ-UHFFFAOYSA-N 0 1 309.454 3.248 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cccc(Cl)c1Cl ZINC001148897169 1096463584 /nfs/dbraw/zinc/46/35/84/1096463584.db2.gz OXFZXSZHJFWWOK-UHFFFAOYSA-N 0 1 307.608 3.065 20 30 DGEDMN COc1ccnc(O[C@H]2CCCN(Cc3ccccc3)C2)c1C#N ZINC001225565789 1096468445 /nfs/dbraw/zinc/46/84/45/1096468445.db2.gz GFDJPPHTYFQHFI-INIZCTEOSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001273447811 1096479377 /nfs/dbraw/zinc/47/93/77/1096479377.db2.gz ZQJGEPNQOZWDRG-WMLDXEAASA-N 0 1 321.490 3.216 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC)c(Br)c1 ZINC001225714539 1096512309 /nfs/dbraw/zinc/51/23/09/1096512309.db2.gz AYWNWZDSFIBPIF-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C#N)cc1Br ZINC001225767720 1096524693 /nfs/dbraw/zinc/52/46/93/1096524693.db2.gz RUYHTUPXZFPBSV-NSHDSACASA-N 0 1 322.158 3.309 20 30 DGEDMN C=CC[C@@H](Oc1nc(NC(C)=O)nc2nc[nH]c21)c1ccccc1 ZINC001225827489 1096540278 /nfs/dbraw/zinc/54/02/78/1096540278.db2.gz MWBALFCFFUBIAB-CYBMUJFWSA-N 0 1 323.356 3.008 20 30 DGEDMN CCCCCCC[C@@H](C)Oc1nc(NC(C)=O)nc2nc[nH]c21 ZINC001225828921 1096540386 /nfs/dbraw/zinc/54/03/86/1096540386.db2.gz GNNFEFINLXELRU-LLVKDONJSA-N 0 1 319.409 3.439 20 30 DGEDMN Cc1ccc(C#N)c(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)n1 ZINC001225865621 1096548537 /nfs/dbraw/zinc/54/85/37/1096548537.db2.gz FYLRHRIQONWNBV-RDJZCZTQSA-N 0 1 322.412 3.261 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110131191 1096603780 /nfs/dbraw/zinc/60/37/80/1096603780.db2.gz WKMLMCLIFPRFAB-BDXSIMOUSA-N 0 1 324.509 3.087 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001110131086 1096603992 /nfs/dbraw/zinc/60/39/92/1096603992.db2.gz SEUHNYMQXICBCG-YRXWBPOGSA-N 0 1 310.441 3.254 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cccc(F)c2C)[C@H](C)C1 ZINC001092623388 1096623259 /nfs/dbraw/zinc/62/32/59/1096623259.db2.gz SSIQMCLWGOLFLL-BXUZGUMPSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CCCC2(C)C)[C@H](C)C1 ZINC001092896668 1096641154 /nfs/dbraw/zinc/64/11/54/1096641154.db2.gz XSYCAGGCURMIGS-BPLDGKMQSA-N 0 1 312.885 3.249 20 30 DGEDMN C[N@H+]1CC[C@H](Oc2ncc(-c3ccc(Cl)cc3)cc2C#N)C1 ZINC001226232076 1096643329 /nfs/dbraw/zinc/64/33/29/1096643329.db2.gz CGYOAPATIJJDRR-INIZCTEOSA-N 0 1 313.788 3.357 20 30 DGEDMN CN1CC[C@H](Oc2ncc(-c3ccc(Cl)cc3)cc2C#N)C1 ZINC001226232076 1096643334 /nfs/dbraw/zinc/64/33/34/1096643334.db2.gz CGYOAPATIJJDRR-INIZCTEOSA-N 0 1 313.788 3.357 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2CCC(=C)CC2)[C@H](C)C1 ZINC001092940419 1096644726 /nfs/dbraw/zinc/64/47/26/1096644726.db2.gz MTNJLXDJOWFREL-CZUORRHYSA-N 0 1 310.869 3.169 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(C)cc(F)c2)[C@H](C)C1 ZINC001092991730 1096646411 /nfs/dbraw/zinc/64/64/11/1096646411.db2.gz QWSQRFPIKYUNPN-IUODEOHRSA-N 0 1 324.827 3.184 20 30 DGEDMN C#CC[C@H](CC)Oc1[nH]c(=O)nc2ccc(Br)cc21 ZINC001226294166 1096654466 /nfs/dbraw/zinc/65/44/66/1096654466.db2.gz ABNNFELQEOBIIC-JTQLQIEISA-N 0 1 321.174 3.279 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccoc2C(C)C)[C@H](C)C1 ZINC001093241010 1096671879 /nfs/dbraw/zinc/67/18/79/1096671879.db2.gz SKZSTOUNDQGCGW-TZMCWYRMSA-N 0 1 324.852 3.453 20 30 DGEDMN N#C[C@H]1CC[C@@H](Oc2[nH]c(=O)nc3cc(-c4ccccc4)[nH]c32)C1 ZINC001226377745 1096675291 /nfs/dbraw/zinc/67/52/91/1096675291.db2.gz GXJWXCJSGPMNSF-WCQYABFASA-N 0 1 320.352 3.402 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001277132830 1096705956 /nfs/dbraw/zinc/70/59/56/1096705956.db2.gz KBLWUGHKBMHDLN-UHFFFAOYSA-N 0 1 305.249 3.146 20 30 DGEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC001226649943 1096741714 /nfs/dbraw/zinc/74/17/14/1096741714.db2.gz ZGVZGPRYTSJKSZ-OLZOCXBDSA-N 0 1 319.836 3.141 20 30 DGEDMN C=C[C@H](CC(=O)OC(C)(C)C)Oc1nc2ccc(F)cc2[nH]1 ZINC001226701613 1096755046 /nfs/dbraw/zinc/75/50/46/1096755046.db2.gz SRWOEWRTHHCQJS-LLVKDONJSA-N 0 1 306.337 3.367 20 30 DGEDMN COc1ccc(C#N)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001226798537 1096781462 /nfs/dbraw/zinc/78/14/62/1096781462.db2.gz WWTFLWLDGVHWEP-WMLDXEAASA-N 0 1 323.396 3.176 20 30 DGEDMN COc1ccc(C#N)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001226798535 1096782095 /nfs/dbraw/zinc/78/20/95/1096782095.db2.gz WWTFLWLDGVHWEP-RHSMWYFYSA-N 0 1 323.396 3.176 20 30 DGEDMN C=C[C@H](CCCCC)Oc1n[nH]c(=O)cc1Br ZINC001226845248 1096793309 /nfs/dbraw/zinc/79/33/09/1096793309.db2.gz CTCBYANLVIACJS-SECBINFHSA-N 0 1 301.184 3.458 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3ccccc3)CCC[C@@H]12 ZINC001094351982 1096802081 /nfs/dbraw/zinc/80/20/81/1096802081.db2.gz IYFDKTVHIICINT-SJLPKXTDSA-N 0 1 318.848 3.414 20 30 DGEDMN C=CCCCCCCCN1CC[C@H]2C[C@]21C(=O)NCC(F)F ZINC001277167163 1096817839 /nfs/dbraw/zinc/81/78/39/1096817839.db2.gz KIYLTKDSWDMRJH-WMLDXEAASA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCC(CC=C)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227093977 1096865971 /nfs/dbraw/zinc/86/59/71/1096865971.db2.gz WUGJKIBDKPSCPE-UHFFFAOYSA-N 0 1 301.302 3.143 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(CCC(C)=O)cc1OC ZINC001227527885 1096944928 /nfs/dbraw/zinc/94/49/28/1096944928.db2.gz KOGWTURLUNCYKU-CQSZACIVSA-N 0 1 318.369 3.205 20 30 DGEDMN C[Si](C)(C)C#C[C@@H](Oc1ncnc2nc[nH]c21)c1ccccc1 ZINC001227532425 1096945837 /nfs/dbraw/zinc/94/58/37/1096945837.db2.gz VTRSZCQTRKSMMI-CQSZACIVSA-N 0 1 322.444 3.354 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)c(OC(F)(F)F)c(F)c1 ZINC001227648071 1096965921 /nfs/dbraw/zinc/96/59/21/1096965921.db2.gz PSMKKUBXVIJGCV-YFKPBYRVSA-N 0 1 324.201 3.462 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC[C@@H](C#N)C2)CC12CCC2 ZINC001171857938 1096992529 /nfs/dbraw/zinc/99/25/29/1096992529.db2.gz MFQQSSPFHQIIJJ-GJZGRUSLSA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC[C@@H](C#N)C2)CC12CCC2 ZINC001171857946 1096992823 /nfs/dbraw/zinc/99/28/23/1096992823.db2.gz MFQQSSPFHQIIJJ-LSDHHAIUSA-N 0 1 319.449 3.154 20 30 DGEDMN C#CCN(Cc1ccc(Cl)c2cccnc12)C1CSC1 ZINC001171867384 1096994649 /nfs/dbraw/zinc/99/46/49/1096994649.db2.gz DSIVHJDOMKQOBK-UHFFFAOYSA-N 0 1 302.830 3.439 20 30 DGEDMN COc1cc(C#N)ccc1OC1CCN(Cc2ccncc2)CC1 ZINC001204080952 1097031079 /nfs/dbraw/zinc/03/10/79/1097031079.db2.gz ALSVXTOKILWXRE-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c(C#N)c1 ZINC001228119041 1097054063 /nfs/dbraw/zinc/05/40/63/1097054063.db2.gz BBPNFLKMVASUEC-RHSMWYFYSA-N 0 1 323.396 3.176 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228130586 1097057310 /nfs/dbraw/zinc/05/73/10/1097057310.db2.gz QCVZIORVAKABTE-ARFHVFGLSA-N 0 1 319.449 3.102 20 30 DGEDMN CCCCN(CC(=O)OCC)[C@@H](C)Cc1ccc(C#N)cc1 ZINC001172311857 1097097699 /nfs/dbraw/zinc/09/76/99/1097097699.db2.gz ZLRQCKWNSOZVQD-HNNXBMFYSA-N 0 1 302.418 3.154 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CC[C@@H](C)[C@@H](C)C1)CC2 ZINC001172372410 1097110936 /nfs/dbraw/zinc/11/09/36/1097110936.db2.gz HXORTFSHWVMNQH-OWCLPIDISA-N 0 1 317.477 3.306 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228412989 1097115852 /nfs/dbraw/zinc/11/58/52/1097115852.db2.gz DWVSTJNKRYRWES-JFIYKMOQSA-N 0 1 319.449 3.102 20 30 DGEDMN C#CCCCCCC(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228636862 1097157042 /nfs/dbraw/zinc/15/70/42/1097157042.db2.gz DLTHNWLVNPXBIH-UHFFFAOYSA-N 0 1 318.486 3.412 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001228801483 1097192814 /nfs/dbraw/zinc/19/28/14/1097192814.db2.gz PTIVBXZZZLUZLM-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C[C@@H](CCCC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228814355 1097196712 /nfs/dbraw/zinc/19/67/12/1097196712.db2.gz LMLOOYADXOCOMW-JTQLQIEISA-N 0 1 309.318 3.211 20 30 DGEDMN C=CC[C@H](Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-])C(C)C ZINC001228814172 1097196907 /nfs/dbraw/zinc/19/69/07/1097196907.db2.gz JSKGELPDOBLDBX-ZDUSSCGKSA-N 0 1 309.318 3.067 20 30 DGEDMN CN1[C@@H]2C[C@H](Oc3ccc(C#N)cc3C(C)(C)C)[C@H]1CC(=O)C2 ZINC001228996303 1097233337 /nfs/dbraw/zinc/23/33/37/1097233337.db2.gz PDMNPCMHEVPVQE-XCRHUMRWSA-N 0 1 312.413 3.039 20 30 DGEDMN C=CCC1(C(=O)NC2CCN(CCC(F)(F)F)CC2)CCC1 ZINC001229029991 1097241517 /nfs/dbraw/zinc/24/15/17/1097241517.db2.gz KSDXITDPPLBVHG-UHFFFAOYSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(C#N)ccc1Br ZINC001229230349 1097279577 /nfs/dbraw/zinc/27/95/77/1097279577.db2.gz NDTQPQREVQYNRT-LLVKDONJSA-N 0 1 322.158 3.309 20 30 DGEDMN C=C(C)[C@H]1CC=C(C)[C@@H](Oc2nc(C)[nH]c(=O)c2[N+](=O)[O-])C1 ZINC001229382890 1097310122 /nfs/dbraw/zinc/31/01/22/1097310122.db2.gz XGQFTZYEBQVJDZ-RYUDHWBXSA-N 0 1 305.334 3.079 20 30 DGEDMN C[C@@H]1CC[C@@H](N2CCC(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001173058822 1097314782 /nfs/dbraw/zinc/31/47/82/1097314782.db2.gz ZWMWKRJQSJHIDR-UKRRQHHQSA-N 0 1 307.438 3.010 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(CC(C)C)CCCC2)CC1 ZINC001229647730 1097358261 /nfs/dbraw/zinc/35/82/61/1097358261.db2.gz FACNETLJDMANRM-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN CN1CCN(c2cccc(Nc3cc(C#N)ccc3F)c2)CC1 ZINC001212714653 1097377020 /nfs/dbraw/zinc/37/70/20/1097377020.db2.gz JDXTWUSDPZCRLW-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C#Cc1cc(Nc2cccc(N3CCN(C)CC3)c2)ccc1F ZINC001212714836 1097380519 /nfs/dbraw/zinc/38/05/19/1097380519.db2.gz VKBCGLDRBHMGPR-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H]1CC[C@H](CC)C1)CCC2 ZINC001173280824 1097412119 /nfs/dbraw/zinc/41/21/19/1097412119.db2.gz NKHFHCQQFVBZMP-HOTGVXAUSA-N 0 1 303.450 3.370 20 30 DGEDMN N#Cc1cccc(O[C@H]2CCN(Cc3ccccc3Cl)C2)n1 ZINC001230043862 1097435192 /nfs/dbraw/zinc/43/51/92/1097435192.db2.gz SWVNLKYKSBAWLS-HNNXBMFYSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc2c(c1)CN(C1Cc3cc(F)c(F)cc3C1)CC2 ZINC001173480812 1097446799 /nfs/dbraw/zinc/44/67/99/1097446799.db2.gz MZGNPMCFNCKJOV-UHFFFAOYSA-N 0 1 310.347 3.362 20 30 DGEDMN COC(=O)c1cccc2c1C[N@H+](C1CCC(C)(C#N)CC1)CC2 ZINC001173601398 1097468667 /nfs/dbraw/zinc/46/86/67/1097468667.db2.gz SCEXXIDUDUCUHF-UHFFFAOYSA-N 0 1 312.413 3.304 20 30 DGEDMN COC(=O)c1cccc2c1CN(C1CCC(C)(C#N)CC1)CC2 ZINC001173601398 1097468670 /nfs/dbraw/zinc/46/86/70/1097468670.db2.gz SCEXXIDUDUCUHF-UHFFFAOYSA-N 0 1 312.413 3.304 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(CCc3ccccc3)CC2)CC1 ZINC001230485165 1097496456 /nfs/dbraw/zinc/49/64/56/1097496456.db2.gz AKRKBZCBQYOWRC-UHFFFAOYSA-N 0 1 324.468 3.003 20 30 DGEDMN C[C@H](Oc1cc2[nH]ncc2cc1[N+](=O)[O-])c1ccccc1C#N ZINC001230601887 1097527638 /nfs/dbraw/zinc/52/76/38/1097527638.db2.gz SMEPTSLMJVSMON-JTQLQIEISA-N 0 1 308.297 3.483 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C(=O)C(F)(F)F)cc1 ZINC001230700816 1097559719 /nfs/dbraw/zinc/55/97/19/1097559719.db2.gz VYOGXILHFCDLSV-LLVKDONJSA-N 0 1 314.259 3.420 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@H](C)C(C)C)Cc1cccnc1 ZINC001230710001 1097561678 /nfs/dbraw/zinc/56/16/78/1097561678.db2.gz PUECREHJZMIPKZ-KRWDZBQOSA-N 0 1 317.477 3.258 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccc(C)n1C ZINC001230753083 1097574390 /nfs/dbraw/zinc/57/43/90/1097574390.db2.gz QWJGIVZJUDXIBC-KRWDZBQOSA-N 0 1 319.493 3.450 20 30 DGEDMN C=CCN(CCCNC(=O)C/C=C(\C)C=C)Cc1cccnc1 ZINC001230818453 1097598190 /nfs/dbraw/zinc/59/81/90/1097598190.db2.gz QKIYVEQGTMDKDR-RQZCQDPDSA-N 0 1 313.445 3.098 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(C)CC=C)Cc1cccnc1 ZINC001230859986 1097607812 /nfs/dbraw/zinc/60/78/12/1097607812.db2.gz YWQKGFPXEQAPJQ-UHFFFAOYSA-N 0 1 315.461 3.178 20 30 DGEDMN COC(=O)c1cc(C#N)cc(C)c1Nc1ccc2nccn2c1 ZINC001174280787 1097655981 /nfs/dbraw/zinc/65/59/81/1097655981.db2.gz VSPCYSJUUXMOGY-UHFFFAOYSA-N 0 1 306.325 3.045 20 30 DGEDMN C[C@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2cccc(F)c21 ZINC001231074449 1097663118 /nfs/dbraw/zinc/66/31/18/1097663118.db2.gz NXSDECXKVJCRLS-SNVBAGLBSA-N 0 1 304.397 3.123 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)C1=COCCC1 ZINC001231115490 1097672269 /nfs/dbraw/zinc/67/22/69/1097672269.db2.gz VPMBCKIFANCXOD-QGZVFWFLSA-N 0 1 308.466 3.184 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1cccc(CC)c1 ZINC001231122889 1097673911 /nfs/dbraw/zinc/67/39/11/1097673911.db2.gz PWNQRPRJCWAABV-GOSISDBHSA-N 0 1 300.446 3.248 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1[C@H]1CCc2c1cccc2F ZINC001231177721 1097689101 /nfs/dbraw/zinc/68/91/01/1097689101.db2.gz GTWOVSKOWZFSOK-KSSFIOAISA-N 0 1 316.420 3.312 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccccc1C(=O)c1ccccc1O ZINC001231210635 1097695375 /nfs/dbraw/zinc/69/53/75/1097695375.db2.gz VJNPTAXRTGJBFP-NSHDSACASA-N 0 1 324.332 3.221 20 30 DGEDMN COC(=O)c1sc(Nc2ccc3cn[nH]c3c2)c(C#N)c1C ZINC001174497825 1097729273 /nfs/dbraw/zinc/72/92/73/1097729273.db2.gz KXFGJIQDTOZMIV-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cnc(C4CC4)nc3)sc2C1 ZINC001174610224 1097792827 /nfs/dbraw/zinc/79/28/27/1097792827.db2.gz DIKURVMRXZCBNU-UHFFFAOYSA-N 0 1 311.414 3.019 20 30 DGEDMN C=CCOc1cccc(CN(CCO)Cc2cccs2)c1 ZINC001231623662 1097794264 /nfs/dbraw/zinc/79/42/64/1097794264.db2.gz OSIRPBMDBKNCKI-UHFFFAOYSA-N 0 1 303.427 3.307 20 30 DGEDMN C=CCOc1cccc(CN2CCC(C)(C(=O)OC)CC2)c1 ZINC001231623752 1097794426 /nfs/dbraw/zinc/79/44/26/1097794426.db2.gz UJPWCOOXIXZHGV-UHFFFAOYSA-N 0 1 303.402 3.027 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3ccc(F)cc3C)CC2)c1 ZINC001231660817 1097806094 /nfs/dbraw/zinc/80/60/94/1097806094.db2.gz JPKHBWBSOWNUEB-UHFFFAOYSA-N 0 1 308.400 3.438 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3nccc4occc43)CC2)c1 ZINC001231663357 1097808887 /nfs/dbraw/zinc/80/88/87/1097808887.db2.gz QSBPAWBGJQDZMC-UHFFFAOYSA-N 0 1 317.392 3.131 20 30 DGEDMN COc1ccc(C#N)c(Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC001174816674 1097846772 /nfs/dbraw/zinc/84/67/72/1097846772.db2.gz ZZFZNLGAXZUWFO-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001174833662 1097852444 /nfs/dbraw/zinc/85/24/44/1097852444.db2.gz QTRHYRWRPHQXOP-HUUCEWRRSA-N 0 1 307.438 3.042 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CCCCNCc1cscn1 ZINC001174798094 1097856408 /nfs/dbraw/zinc/85/64/08/1097856408.db2.gz HIUKLEVKJIGMOO-HNNXBMFYSA-N 0 1 321.490 3.101 20 30 DGEDMN CN1CCN(c2ccc(Nc3cccc(CC#N)c3)cc2)CC1 ZINC001174813165 1097860456 /nfs/dbraw/zinc/86/04/56/1097860456.db2.gz AURZKVOESPLMMG-UHFFFAOYSA-N 0 1 306.413 3.248 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1csnn1 ZINC001174977370 1097892176 /nfs/dbraw/zinc/89/21/76/1097892176.db2.gz AHHVIOWKXDRDAK-UONOGXRCSA-N 0 1 324.494 3.220 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001174987945 1097917965 /nfs/dbraw/zinc/91/79/65/1097917965.db2.gz TXUIMNFYBCWHTJ-AWEZNQCLSA-N 0 1 321.465 3.412 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCCNCc2ncc(C)o2)C1 ZINC001175150318 1097946712 /nfs/dbraw/zinc/94/67/12/1097946712.db2.gz MDFTVGLIQIEWNC-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)CC(F)(F)F)C1 ZINC001277631251 1097970695 /nfs/dbraw/zinc/97/06/95/1097970695.db2.gz HVAGJQPFFZSBCD-VXGBXAGGSA-N 0 1 306.372 3.072 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCc1ccc(C)cc1)C2 ZINC001110184802 1098010494 /nfs/dbraw/zinc/01/04/94/1098010494.db2.gz XSYUJGAJPGJDRP-AABGKKOBSA-N 0 1 324.468 3.063 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3ncccc3c2)C1 ZINC001277652615 1098013846 /nfs/dbraw/zinc/01/38/46/1098013846.db2.gz YNTGKXXPJNDYOP-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CCC(F)(F)C1 ZINC001277654737 1098028389 /nfs/dbraw/zinc/02/83/89/1098028389.db2.gz CUIKKWTVHLVPCB-QWHCGFSZSA-N 0 1 320.811 3.001 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3[nH]nc4c3CCC4)CC2)cc1 ZINC001232432864 1098036466 /nfs/dbraw/zinc/03/64/66/1098036466.db2.gz QNTVHXYEVLZKJB-UHFFFAOYSA-N 0 1 306.413 3.150 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001175469003 1098054657 /nfs/dbraw/zinc/05/46/57/1098054657.db2.gz LYAUJGHWNAVIAH-AWEZNQCLSA-N 0 1 307.438 3.042 20 30 DGEDMN COC(=O)c1ccc(Nc2c3cn[nH]c3ccc2C)c(C#N)c1 ZINC001175527540 1098083670 /nfs/dbraw/zinc/08/36/70/1098083670.db2.gz NHIASUSUQHNAOG-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN COc1cccc(C)c1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001232628814 1098100936 /nfs/dbraw/zinc/10/09/36/1098100936.db2.gz YUDLFYMQIRBYPN-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN(Cc1ccc(C#N)cc1C)C2 ZINC001175810947 1098183821 /nfs/dbraw/zinc/18/38/21/1098183821.db2.gz NOBGUVLIOJQTTB-UHFFFAOYSA-N 0 1 320.392 3.212 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1c(O)cc(Br)cc1F ZINC001233031624 1098206711 /nfs/dbraw/zinc/20/67/11/1098206711.db2.gz IWJYNKIHACRBMT-JTQLQIEISA-N 0 1 313.170 3.172 20 30 DGEDMN N#Cc1cnnc(-c2ccccc2)c1Nc1ccc2nn[nH]c2c1 ZINC001176002325 1098228403 /nfs/dbraw/zinc/22/84/03/1098228403.db2.gz UHBYFLMYKIYSND-UHFFFAOYSA-N 0 1 313.324 3.030 20 30 DGEDMN N#Cc1cnnc(-c2ccccc2)c1Nc1ccc2n[nH]nc2c1 ZINC001176002325 1098228407 /nfs/dbraw/zinc/22/84/07/1098228407.db2.gz UHBYFLMYKIYSND-UHFFFAOYSA-N 0 1 313.324 3.030 20 30 DGEDMN C#CC[N@H+](Cc1ccc(C#N)cc1[O-])[C@@H]1CCc2ccccc21 ZINC001233386014 1098311422 /nfs/dbraw/zinc/31/14/22/1098311422.db2.gz BFPCUZWQOLSHMD-LJQANCHMSA-N 0 1 302.377 3.387 20 30 DGEDMN Cc1cccc(C(=O)NCCC[N@H+](C)Cc2ccccc2C#N)c1 ZINC001233526512 1098363722 /nfs/dbraw/zinc/36/37/22/1098363722.db2.gz FRSBTKIRXTZFMZ-UHFFFAOYSA-N 0 1 321.424 3.119 20 30 DGEDMN Cc1cccc(C(=O)NCCCN(C)Cc2ccccc2C#N)c1 ZINC001233526512 1098363730 /nfs/dbraw/zinc/36/37/30/1098363730.db2.gz FRSBTKIRXTZFMZ-UHFFFAOYSA-N 0 1 321.424 3.119 20 30 DGEDMN CC(C)=CC(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001233535204 1098366441 /nfs/dbraw/zinc/36/64/41/1098366441.db2.gz ONPXZMAANLKPCH-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(F)c(C#N)c3)c2)CC1 ZINC001176615250 1098392983 /nfs/dbraw/zinc/39/29/83/1098392983.db2.gz BIJLEHDVUIWDLG-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN CC(C)CCC(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001233696756 1098410693 /nfs/dbraw/zinc/41/06/93/1098410693.db2.gz QJNVGQPMXUUEMJ-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001233760236 1098424118 /nfs/dbraw/zinc/42/41/18/1098424118.db2.gz ADEITAWDSGDCTE-IBGZPJMESA-N 0 1 312.457 3.221 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]2OCCN(CCCC(C)(C)C)[C@H]2C1 ZINC001176954893 1098456879 /nfs/dbraw/zinc/45/68/79/1098456879.db2.gz KIRHIUNHEZZQQU-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2OCCN(CCCC(C)(C)C)[C@H]2C1 ZINC001176954891 1098456981 /nfs/dbraw/zinc/45/69/81/1098456981.db2.gz KIRHIUNHEZZQQU-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CCO[C@@H]2CCN(C(=O)C=C(CC)CC)C[C@@H]21 ZINC001176982672 1098459271 /nfs/dbraw/zinc/45/92/71/1098459271.db2.gz HEAYNWHSJVXYRD-ZWKOTPCHSA-N 0 1 320.477 3.001 20 30 DGEDMN CN(CCCNC(=O)CC(C)(C)C)Cc1ccc(C#N)cc1F ZINC001233998245 1098473446 /nfs/dbraw/zinc/47/34/46/1098473446.db2.gz XFKOOKILLZBHHV-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C#C[C@@H](Oc1nc2cccc(C(=O)OC)c2[nH]1)c1ccccc1 ZINC001234039605 1098483235 /nfs/dbraw/zinc/48/32/35/1098483235.db2.gz QDBNMTSXOHFLGT-OAHLLOKOSA-N 0 1 306.321 3.103 20 30 DGEDMN CC(C)c1ccc(CN2CC[C@@H]2CN(C)C(=O)C#CC2CC2)cc1 ZINC001234178038 1098510168 /nfs/dbraw/zinc/51/01/68/1098510168.db2.gz HWJRFSNROFQMKR-HXUWFJFHSA-N 0 1 324.468 3.256 20 30 DGEDMN CN(C[C@H]1CCN1Cc1ccccc1C1CC1)C(=O)C#CC1CC1 ZINC001234186974 1098512402 /nfs/dbraw/zinc/51/24/02/1098512402.db2.gz QYOXXQOCUZLZDF-LJQANCHMSA-N 0 1 322.452 3.010 20 30 DGEDMN CN(C[C@@H]1CC[N@H+]1CC1CC2(CCC2)C1)C(=O)C#CC(C)(C)C ZINC001234215451 1098515808 /nfs/dbraw/zinc/51/58/08/1098515808.db2.gz LJRLYIHUTAXQBH-KRWDZBQOSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCOCCCCC(=O)N(C)C[C@H]1CCN1CC=C(C)C ZINC001234276008 1098526401 /nfs/dbraw/zinc/52/64/01/1098526401.db2.gz HXCBRQPWEVCUCQ-GOSISDBHSA-N 0 1 322.493 3.248 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)OCCCCCC ZINC001234276795 1098526434 /nfs/dbraw/zinc/52/64/34/1098526434.db2.gz SURRJFJMFXTQRT-SJORKVTESA-N 0 1 310.482 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H]1C[C@H]1c1ccccc1 ZINC001234384927 1098545885 /nfs/dbraw/zinc/54/58/85/1098545885.db2.gz HXVLRKAEWLRPLI-OTWHNJEPSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2nc(C)c(C)o2)CCCC1 ZINC001177552973 1098547654 /nfs/dbraw/zinc/54/76/54/1098547654.db2.gz SMJCOEDFCKNWBL-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN C=CCC1(C(=O)NCCCN[C@H](C)c2ncc(C)o2)CCCC1 ZINC001177548027 1098554717 /nfs/dbraw/zinc/55/47/17/1098554717.db2.gz MQCUGVDPZKTGAT-OAHLLOKOSA-N 0 1 319.449 3.276 20 30 DGEDMN C#C[C@@H](Oc1nc(C)[nH]c(=O)c1Br)c1ccccc1 ZINC001234574318 1098605361 /nfs/dbraw/zinc/60/53/61/1098605361.db2.gz YQPINWIVUURKBP-LLVKDONJSA-N 0 1 319.158 3.006 20 30 DGEDMN CCCn1cncc1CNCc1cccc(O[C@@H](C#N)CC)c1 ZINC001177885762 1098636051 /nfs/dbraw/zinc/63/60/51/1098636051.db2.gz SOSUVWZPUQTQJU-QGZVFWFLSA-N 0 1 312.417 3.264 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)[C@H](C#N)Cc3cccs3)ccc12 ZINC001177910959 1098645336 /nfs/dbraw/zinc/64/53/36/1098645336.db2.gz BWOTXZSLEIAYJA-NSHDSACASA-N 0 1 310.382 3.254 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCCc1ccc2[nH]ccc2c1 ZINC001177915368 1098647192 /nfs/dbraw/zinc/64/71/92/1098647192.db2.gz MQQIZGLLUUMXEO-HNNXBMFYSA-N 0 1 323.421 3.271 20 30 DGEDMN C=C[C@H](CC)Oc1ccc(C(=O)N2CCN(C(C)C)CC2)cc1 ZINC001234904807 1098703195 /nfs/dbraw/zinc/70/31/95/1098703195.db2.gz YYYAAMKMDVUOFP-QGZVFWFLSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)c(OC)c1 ZINC001234937247 1098718430 /nfs/dbraw/zinc/71/84/30/1098718430.db2.gz QAMIPQARKYXTHE-ZETCQYMHSA-N 0 1 318.247 3.192 20 30 DGEDMN C=CCC[C@H](C)[NH2+]CCCCCCNC(=O)c1ncccc1[O-] ZINC001178283795 1098776094 /nfs/dbraw/zinc/77/60/94/1098776094.db2.gz YJLVANODOLFHHG-HNNXBMFYSA-N 0 1 319.449 3.022 20 30 DGEDMN Cc1cccc2nc(NC(=O)C#Cc3ccc(F)cc3F)[nH]c21 ZINC001178565349 1098874353 /nfs/dbraw/zinc/87/43/53/1098874353.db2.gz MDKNBCZBQVSEKD-UHFFFAOYSA-N 0 1 311.291 3.140 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2Cc2ccc(C)cc2)CCC1 ZINC001235209155 1098879908 /nfs/dbraw/zinc/87/99/08/1098879908.db2.gz JUBIHHHIFFWIAN-IBGZPJMESA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@H](C)c1nc(C)no1 ZINC001178599596 1098883335 /nfs/dbraw/zinc/88/33/35/1098883335.db2.gz ZDESQZWDZIQXKT-CQSZACIVSA-N 0 1 322.453 3.062 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cc2c(cc[nH]c2=O)o1 ZINC001178620593 1098892263 /nfs/dbraw/zinc/89/22/63/1098892263.db2.gz QLGUCPFRYKVPCE-INIZCTEOSA-N 0 1 305.337 3.182 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2cccc(Cl)n2)C1 ZINC001277929712 1098911993 /nfs/dbraw/zinc/91/19/93/1098911993.db2.gz QUKBZPCGVFAKNR-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN C#Cc1ccc(CNCc2cncc(Br)c2)cc1 ZINC001178759103 1098926832 /nfs/dbraw/zinc/92/68/32/1098926832.db2.gz UXYCJSUSGATCCN-UHFFFAOYSA-N 0 1 301.187 3.115 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2cc(F)cc(Br)c2[O-])C1 ZINC001235304349 1098951208 /nfs/dbraw/zinc/95/12/08/1098951208.db2.gz XGKACDXEHAQKHR-SNVBAGLBSA-N 0 1 312.182 3.139 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)COCCCCCCCC ZINC001235378255 1098988081 /nfs/dbraw/zinc/98/80/81/1098988081.db2.gz YOZNKZFOIUCIBJ-GOSISDBHSA-N 0 1 324.509 3.472 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(C)(C)CC(F)F)[C@H]1C ZINC001179178888 1099026784 /nfs/dbraw/zinc/02/67/84/1099026784.db2.gz CXZSVMWSCYDFJA-RYUDHWBXSA-N 0 1 322.827 3.389 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@H]1CCc2c1c(F)ccc2F ZINC001235451639 1099043668 /nfs/dbraw/zinc/04/36/68/1099043668.db2.gz NCNMBAMCBYMXAW-INIZCTEOSA-N 0 1 322.399 3.356 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1c[nH]c2cnc(Cl)cc12 ZINC001235510730 1099068003 /nfs/dbraw/zinc/06/80/03/1099068003.db2.gz AMNALFPRZKFCKC-UOJUARBOSA-N 0 1 300.793 3.483 20 30 DGEDMN CC(C)[C@@H](C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001235526185 1099074324 /nfs/dbraw/zinc/07/43/24/1099074324.db2.gz OFIBZKPBCLQUSI-AWEZNQCLSA-N 0 1 321.490 3.240 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCCCCNCc1ccon1 ZINC001179335411 1099074942 /nfs/dbraw/zinc/07/49/42/1099074942.db2.gz KCCPRUVUMQWFJY-HNNXBMFYSA-N 0 1 307.438 3.043 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179481862 1099112946 /nfs/dbraw/zinc/11/29/46/1099112946.db2.gz NDCBQWDPVVOFSZ-SFHVURJKSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCCCCNCc1nocc1C ZINC001179482112 1099113575 /nfs/dbraw/zinc/11/35/75/1099113575.db2.gz PGEYILZNEDMFRE-SFHVURJKSA-N 0 1 321.465 3.352 20 30 DGEDMN O/N=C/c1cc(-c2cnc3nonc3c2)cc(C(F)(F)F)c1 ZINC001236030974 1099340894 /nfs/dbraw/zinc/34/08/94/1099340894.db2.gz IZQXZDUEKOJPAT-BLLMUTORSA-N 0 1 308.219 3.112 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@](C)(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001180846714 1099510023 /nfs/dbraw/zinc/51/00/23/1099510023.db2.gz UENSKDBBKBBWNO-QIIPPGSGSA-N 0 1 319.449 3.084 20 30 DGEDMN Cc1cnc(C#N)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236470604 1099629694 /nfs/dbraw/zinc/62/96/94/1099629694.db2.gz HANJSUPDYSONNO-MSOLQXFVSA-N 0 1 316.408 3.477 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1cc2ccccn2n1 ZINC001188002218 1099656331 /nfs/dbraw/zinc/65/63/31/1099656331.db2.gz ZHIKUOUXSAAXBN-UHFFFAOYSA-N 0 1 309.435 3.437 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3cc(C#N)ccc3OC)cc2[nH]1 ZINC001236544872 1099674076 /nfs/dbraw/zinc/67/40/76/1099674076.db2.gz GRNWRAMPMMUUKQ-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C#CC1CCN(Cc2c(Br)cccc2OC)CC1 ZINC001236676608 1099735934 /nfs/dbraw/zinc/73/59/34/1099735934.db2.gz NGOYYDOCHDTXHQ-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN CCOc1ccc(CN2CCc3cc(C#N)ccc3C2)c(O)c1 ZINC001237049453 1099943902 /nfs/dbraw/zinc/94/39/02/1099943902.db2.gz FZBXOTAEUOYRGH-UHFFFAOYSA-N 0 1 308.381 3.221 20 30 DGEDMN CC/C=C(\C)C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189177456 1099966414 /nfs/dbraw/zinc/96/64/14/1099966414.db2.gz BQZQTBCBBPKZPB-GUVYXZIWSA-N 0 1 317.458 3.009 20 30 DGEDMN N#C[C@H]1CCCN(Cc2c(O)cccc2OC(F)(F)F)CC1 ZINC001237122703 1099981345 /nfs/dbraw/zinc/98/13/45/1099981345.db2.gz KZYOGQWOCPGUMC-NSHDSACASA-N 0 1 314.307 3.416 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)Cc2cc(C)ccc2OC)C1 ZINC001189317989 1100022967 /nfs/dbraw/zinc/02/29/67/1100022967.db2.gz GUIBWMOXFVIVAO-KRWDZBQOSA-N 0 1 316.445 3.003 20 30 DGEDMN C=CCN(C(=O)c1cc(C(F)(F)F)[nH]n1)C1CCCCC1 ZINC001189526216 1100081137 /nfs/dbraw/zinc/08/11/37/1100081137.db2.gz CKDBMVBVRFKMJR-UHFFFAOYSA-N 0 1 301.312 3.389 20 30 DGEDMN C#CC1(NC(=O)c2ccc(CN3CCCCC3)o2)CCCCC1 ZINC001189754197 1100123623 /nfs/dbraw/zinc/12/36/23/1100123623.db2.gz KKOMPCBSTNEBRF-UHFFFAOYSA-N 0 1 314.429 3.331 20 30 DGEDMN Cn1cc(C[N@H+]2CC[C@H]3OCCC[C@@H]3C2)c2cc(C#N)ccc21 ZINC001237464208 1100132760 /nfs/dbraw/zinc/13/27/60/1100132760.db2.gz CWKMDTKKEAWLRT-DNVCBOLYSA-N 0 1 309.413 3.051 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1cc(C#N)c(F)cc1F)C2 ZINC001237527868 1100159723 /nfs/dbraw/zinc/15/97/23/1100159723.db2.gz YRAGRTZDPAKDOC-PBHICJAKSA-N 0 1 306.356 3.085 20 30 DGEDMN C#CCN(Cc1ccn2nccc2c1)[C@@H]1CCc2ccccc21 ZINC001237541603 1100164787 /nfs/dbraw/zinc/16/47/87/1100164787.db2.gz ZGKPOMFTORTJIH-HXUWFJFHSA-N 0 1 301.393 3.457 20 30 DGEDMN C#CCN(C(=O)CC1CCCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001278114907 1100172662 /nfs/dbraw/zinc/17/26/62/1100172662.db2.gz BLIJPUAJUXRXHQ-QGZVFWFLSA-N 0 1 322.468 3.241 20 30 DGEDMN C=CCN1CCN(Cc2ccc(-c3ccc(O)cc3)cc2)CC1 ZINC001237663108 1100208823 /nfs/dbraw/zinc/20/88/23/1100208823.db2.gz QONMTAFOLMTLES-UHFFFAOYSA-N 0 1 308.425 3.363 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001278136766 1100227966 /nfs/dbraw/zinc/22/79/66/1100227966.db2.gz BQSOZOJTWGNMSB-HOTGVXAUSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001278143903 1100244728 /nfs/dbraw/zinc/24/47/28/1100244728.db2.gz BKTXIYNNPULDDD-PPYMECGQSA-N 0 1 310.869 3.101 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cccc(N2CCCCC2)n1 ZINC001238002087 1100323245 /nfs/dbraw/zinc/32/32/45/1100323245.db2.gz BDNSCEWBIILIME-XGWQRUHWSA-N 0 1 310.445 3.338 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2ccccc2C(=O)OC(C)C)CC1 ZINC001190805899 1100341787 /nfs/dbraw/zinc/34/17/87/1100341787.db2.gz WCTDSCAKTDYCIH-UHFFFAOYSA-N 0 1 323.414 3.102 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2cccc(F)c2OC(C)C)CC1 ZINC001190815648 1100343112 /nfs/dbraw/zinc/34/31/12/1100343112.db2.gz SYOYVIHOVXUEIK-UHFFFAOYSA-N 0 1 313.394 3.463 20 30 DGEDMN N#Cc1cc(CN2CCC3(C[C@@H]3CCO)CC2)ccc1Cl ZINC001238188344 1100384201 /nfs/dbraw/zinc/38/42/01/1100384201.db2.gz ANDGPLYAYAZXTQ-HNNXBMFYSA-N 0 1 304.821 3.196 20 30 DGEDMN COc1cc(C(=O)NC2=CC(=O)CC(C)(C)C2)cc(Cl)c1O ZINC001191155458 1100412139 /nfs/dbraw/zinc/41/21/39/1100412139.db2.gz HZNKCVWSZMODKX-UHFFFAOYSA-N 0 1 323.776 3.057 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)[C@H]1C ZINC001278229880 1100435316 /nfs/dbraw/zinc/43/53/16/1100435316.db2.gz BNOOJLPHWFCETJ-WBMJQRKESA-N 0 1 308.372 3.010 20 30 DGEDMN COc1cc(CN2CC[C@H](C(=O)c3ccccc3)C2)ccc1C#N ZINC001238416614 1100436568 /nfs/dbraw/zinc/43/65/68/1100436568.db2.gz PCUWHUCNQAEXAL-SFHVURJKSA-N 0 1 320.392 3.272 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)ccc2C2CC2)C1 ZINC001191500068 1100482357 /nfs/dbraw/zinc/48/23/57/1100482357.db2.gz NJKUVWWFHFOKQX-KRWDZBQOSA-N 0 1 310.441 3.042 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191684389 1100505060 /nfs/dbraw/zinc/50/50/60/1100505060.db2.gz QLFJTZGXHCFRJU-IBGZPJMESA-N 0 1 324.468 3.173 20 30 DGEDMN CCc1cc(CN(C)Cc2ccc3c(c2)OCO3)ccc1C#N ZINC001238778705 1100528209 /nfs/dbraw/zinc/52/82/09/1100528209.db2.gz QDUKFVGVLSVZLA-UHFFFAOYSA-N 0 1 308.381 3.481 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC001191868669 1100537386 /nfs/dbraw/zinc/53/73/86/1100537386.db2.gz XULFWEXDPLEQCE-HIFRSBDPSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC001191868668 1100537507 /nfs/dbraw/zinc/53/75/07/1100537507.db2.gz XULFWEXDPLEQCE-DZGCQCFKSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001192160277 1100580043 /nfs/dbraw/zinc/58/00/43/1100580043.db2.gz CQLAERBBMVVLOU-PZJWPPBQSA-N 0 1 324.468 3.173 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001192238858 1100593720 /nfs/dbraw/zinc/59/37/20/1100593720.db2.gz QBKMMILMARFHRC-VAMGGRTRSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001192333290 1100605029 /nfs/dbraw/zinc/60/50/29/1100605029.db2.gz SBPRJWNKGQFCRF-HKUYNNGSSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001192377534 1100612067 /nfs/dbraw/zinc/61/20/67/1100612067.db2.gz MRBPUELAAXFIIY-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cnccc2C)C1 ZINC001192585989 1100638713 /nfs/dbraw/zinc/63/87/13/1100638713.db2.gz GULZZKBOLZTHNS-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC001192585989 1100638717 /nfs/dbraw/zinc/63/87/17/1100638717.db2.gz GULZZKBOLZTHNS-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCCNC(=S)N1CCNC[C@@H]1c1ccc(Cl)cc1 ZINC001239437528 1100665706 /nfs/dbraw/zinc/66/57/06/1100665706.db2.gz HSQOCZOTYYRZBK-OAHLLOKOSA-N 0 1 323.893 3.127 20 30 DGEDMN N#CC1(c2ccccc2)CC(NCc2ccccc2[N+](=O)[O-])C1 ZINC000158479597 1100680707 /nfs/dbraw/zinc/68/07/07/1100680707.db2.gz AWUAPWJZRCIXDN-UHFFFAOYSA-N 0 1 307.353 3.308 20 30 DGEDMN Cc1cc(OC(F)F)ccc1NC(=O)c1ccc(O)c(C#N)c1 ZINC001193505905 1100757370 /nfs/dbraw/zinc/75/73/70/1100757370.db2.gz PSRNQNGUBXQNGE-UHFFFAOYSA-N 0 1 318.279 3.426 20 30 DGEDMN N#Cc1cc(C(=O)N2CC[C@@H](c3ccccc3F)C2)ccc1O ZINC001193504369 1100757477 /nfs/dbraw/zinc/75/74/77/1100757477.db2.gz QTYJSIAZRWJVDY-CYBMUJFWSA-N 0 1 310.328 3.033 20 30 DGEDMN N#Cc1cc(C(=O)N[C@@H](c2ccccc2F)C2CC2)ccc1O ZINC001193514802 1100759123 /nfs/dbraw/zinc/75/91/23/1100759123.db2.gz HJOZQWWNRRJBEM-QGZVFWFLSA-N 0 1 310.328 3.284 20 30 DGEDMN N#Cc1cc(C(=O)NCC2(Cc3ccccc3F)CC2)ccc1O ZINC001193518875 1100760424 /nfs/dbraw/zinc/76/04/24/1100760424.db2.gz JSCNLVLMEQQVAU-UHFFFAOYSA-N 0 1 324.355 3.156 20 30 DGEDMN CCN(Cc1cccc(F)c1F)C(=O)c1cccc(C#N)c1O ZINC001193646293 1100783007 /nfs/dbraw/zinc/78/30/07/1100783007.db2.gz FTJQNKHRCVBWNM-UHFFFAOYSA-N 0 1 316.307 3.204 20 30 DGEDMN CCOc1ccc([C@@H](C)NC(=O)c2cccc(C#N)c2O)cc1 ZINC001193658651 1100785615 /nfs/dbraw/zinc/78/56/15/1100785615.db2.gz CTKJWYBCGZPSES-GFCCVEGCSA-N 0 1 310.353 3.154 20 30 DGEDMN COc1ccc([C@H](NC(=O)c2cccc(C#N)c2O)C2CC2)cc1 ZINC001193659046 1100785875 /nfs/dbraw/zinc/78/58/75/1100785875.db2.gz JGSDSJFXWVRKAQ-QGZVFWFLSA-N 0 1 322.364 3.154 20 30 DGEDMN N#Cc1cccc(C(=O)NCC2(Cc3ccccc3F)CC2)c1O ZINC001193659349 1100786063 /nfs/dbraw/zinc/78/60/63/1100786063.db2.gz LPNHYCINCPBLAY-UHFFFAOYSA-N 0 1 324.355 3.156 20 30 DGEDMN COC(=O)c1cc2ccc(-c3cc(F)c(C#N)c(F)c3)[nH]c-2n1 ZINC001239918546 1100797555 /nfs/dbraw/zinc/79/75/55/1100797555.db2.gz HARRGJKBLXNVOI-UHFFFAOYSA-N 0 1 313.263 3.166 20 30 DGEDMN C=CCOC(=O)NCC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC001194343508 1100927598 /nfs/dbraw/zinc/92/75/98/1100927598.db2.gz XATJYZBIVUZUEK-UHFFFAOYSA-N 0 1 322.836 3.464 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CC[C@H](N(C)CC#CC)C1)c1ccccc1 ZINC001194555494 1100965892 /nfs/dbraw/zinc/96/58/92/1100965892.db2.gz MKQNOQQVYYBCCV-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN N#Cc1cnc(C2=CCCN(Cc3ccccc3)C2)c(C#N)c1 ZINC001240383842 1100967399 /nfs/dbraw/zinc/96/73/99/1100967399.db2.gz GANHTAFZXQGXQK-UHFFFAOYSA-N 0 1 300.365 3.114 20 30 DGEDMN C=CCCOc1ccc(C(=O)NC[C@@H]2CCC[N@H+]2CC=C)cc1 ZINC001195141286 1101081425 /nfs/dbraw/zinc/08/14/25/1101081425.db2.gz IRJANQFIWXVMHZ-KRWDZBQOSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc(CC)cc2)CC1 ZINC001195226700 1101102318 /nfs/dbraw/zinc/10/23/18/1101102318.db2.gz UTRSLBCMNMHFIQ-UHFFFAOYSA-N 0 1 300.446 3.250 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc(C)c(OC)c2)CC1 ZINC001195226937 1101104107 /nfs/dbraw/zinc/10/41/07/1101104107.db2.gz YVBMCSFKQXNFAB-UHFFFAOYSA-N 0 1 316.445 3.004 20 30 DGEDMN CCCCCCC[N@H+]1CCCN(C(=O)c2ccc(F)nc2)CC1 ZINC001195322155 1101113216 /nfs/dbraw/zinc/11/32/16/1101113216.db2.gz VKMMMZADEBODAK-UHFFFAOYSA-N 0 1 321.440 3.339 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3ncnc(Cl)c3c2)c(O)c1 ZINC001195759157 1101190418 /nfs/dbraw/zinc/19/04/18/1101190418.db2.gz XCWYKRBDNLRIDH-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN Cc1ccc2c(CCNC(=O)c3ccc(C#N)cc3O)c[nH]c2c1 ZINC001195765229 1101193012 /nfs/dbraw/zinc/19/30/12/1101193012.db2.gz YEEZNFJGOBCJLK-UHFFFAOYSA-N 0 1 319.364 3.026 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(-c3ccncn3)cs2)c(O)c1 ZINC001195741722 1101196035 /nfs/dbraw/zinc/19/60/35/1101196035.db2.gz WYYVOGYQGFXSFR-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CC(C)OC(=O)c1ccc(NC(=O)c2ccc(C#N)cc2O)cc1 ZINC001195750726 1101198373 /nfs/dbraw/zinc/19/83/73/1101198373.db2.gz JYFACILIJDYOFY-UHFFFAOYSA-N 0 1 324.336 3.081 20 30 DGEDMN Cc1ccc2cccnc2c1NC(=O)c1ccc(C#N)cc1O ZINC001195751067 1101198923 /nfs/dbraw/zinc/19/89/23/1101198923.db2.gz PVDFSOUKVCUKFD-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[N@@H+](Cc2ccc(C)nc2C)CC1 ZINC001196010396 1101234818 /nfs/dbraw/zinc/23/48/18/1101234818.db2.gz NGYAHQWGYIAALP-UHFFFAOYSA-N 0 1 315.461 3.089 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(Cc2ccc(C)nc2C)CC1 ZINC001196010396 1101234825 /nfs/dbraw/zinc/23/48/25/1101234825.db2.gz NGYAHQWGYIAALP-UHFFFAOYSA-N 0 1 315.461 3.089 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCC[C@@H](C)CCC)CC1 ZINC001195989771 1101238895 /nfs/dbraw/zinc/23/88/95/1101238895.db2.gz FOCAZNUMOQSVFC-SFHVURJKSA-N 0 1 324.509 3.330 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(F)c(CC#N)c2)c(F)c1 ZINC001196390328 1101298345 /nfs/dbraw/zinc/29/83/45/1101298345.db2.gz KKJZQTFKWLGFRC-UHFFFAOYSA-N 0 1 322.336 3.140 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)NCc2ccccc2Cl)c1 ZINC001196828116 1101412700 /nfs/dbraw/zinc/41/27/00/1101412700.db2.gz RAUCPDHECRQBIC-UHFFFAOYSA-N 0 1 317.801 3.404 20 30 DGEDMN CC(C)(C)c1nc(C(=O)Nc2c(F)cc(C#N)cc2Cl)no1 ZINC001196987533 1101452776 /nfs/dbraw/zinc/45/27/76/1101452776.db2.gz BRHYGJBIADMECL-UHFFFAOYSA-N 0 1 322.727 3.284 20 30 DGEDMN CC[C@@H]1CN(C(=O)CC(C)(C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000278294711 1101477328 /nfs/dbraw/zinc/47/73/28/1101477328.db2.gz CAMGQUCLWFZTBZ-QGZVFWFLSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@@H]1CN(C(=O)CC(C)(C)C#N)CCN1Cc1ccccc1 ZINC000278294711 1101477337 /nfs/dbraw/zinc/47/73/37/1101477337.db2.gz CAMGQUCLWFZTBZ-QGZVFWFLSA-N 0 1 313.445 3.049 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)CCCCCC)CC1 ZINC001197520070 1101579741 /nfs/dbraw/zinc/57/97/41/1101579741.db2.gz YCVDNNUNKIBHFY-GOSISDBHSA-N 0 1 324.509 3.330 20 30 DGEDMN CCCCCCCCN1CCOC[C@@H]1[C@H]1CC[C@H](COC)O1 ZINC001198050681 1101737632 /nfs/dbraw/zinc/73/76/32/1101737632.db2.gz JINAHXURGSCAHL-KZNAEPCWSA-N 0 1 313.482 3.242 20 30 DGEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCCCCCCCC)C1 ZINC001198068806 1101754466 /nfs/dbraw/zinc/75/44/66/1101754466.db2.gz LVPUNXUOMAISOC-QZTJIDSGSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](CC)CCCCC)CC1 ZINC001198204149 1101777381 /nfs/dbraw/zinc/77/73/81/1101777381.db2.gz BRTFEEQAVQGYFU-GOSISDBHSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC[N@H+](CC(=C)C)CC1)c1ccccc1 ZINC001198190965 1101784531 /nfs/dbraw/zinc/78/45/31/1101784531.db2.gz YVBFUNUJDGNIQJ-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN C=CC[C@H](C(=O)N1CCCN(CC(=C)C)CC1)c1ccccc1 ZINC001198190965 1101784534 /nfs/dbraw/zinc/78/45/34/1101784534.db2.gz YVBFUNUJDGNIQJ-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN N#CC1(c2ccc(-c3ccc4[nH]nnc4c3)cc2)CCOCC1 ZINC001241942804 1101796152 /nfs/dbraw/zinc/79/61/52/1101796152.db2.gz XBXCUVGCMKLIJD-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN N#CC1(c2ccc(-c3ccc4nn[nH]c4c3)cc2)CCOCC1 ZINC001241942804 1101796159 /nfs/dbraw/zinc/79/61/59/1101796159.db2.gz XBXCUVGCMKLIJD-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN C#CCCN[C@H](CNC(=O)CCCCC)c1ccccc1OC ZINC001198530033 1101895259 /nfs/dbraw/zinc/89/52/59/1101895259.db2.gz MENNIQIZBDZBJQ-QGZVFWFLSA-N 0 1 316.445 3.046 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H](C)CC)c1ccccc1OC ZINC001198540118 1101913316 /nfs/dbraw/zinc/91/33/16/1101913316.db2.gz GBPBCKVSRRPRJW-GOEBONIOSA-N 0 1 304.434 3.064 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)c2cncn2-c2ccncc2)cc1 ZINC001198553075 1101917340 /nfs/dbraw/zinc/91/73/40/1101917340.db2.gz UUMSPYPIFAWDPH-ZDUSSCGKSA-N 0 1 317.352 3.147 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCC1CCC1)c1ccccc1OC ZINC001198647367 1101941017 /nfs/dbraw/zinc/94/10/17/1101941017.db2.gz MPJHUISSDKODAK-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN CSc1ncc(C#N)c(-c2ccc(O)c(C(F)(F)F)c2)n1 ZINC001242151411 1101944127 /nfs/dbraw/zinc/94/41/27/1101944127.db2.gz MZAWQPXPXSUEFA-UHFFFAOYSA-N 0 1 311.288 3.462 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](C)CCC)c1ccccc1OC ZINC001198617818 1101945739 /nfs/dbraw/zinc/94/57/39/1101945739.db2.gz SVEQQDKJTKUYKB-HOCLYGCPSA-N 0 1 304.434 3.064 20 30 DGEDMN N#Cc1cc2c(nc1-c1ccc(O)c(C(F)(F)F)c1)CCOC2 ZINC001242157978 1101948773 /nfs/dbraw/zinc/94/87/73/1101948773.db2.gz QDUNOQKEQCTSBY-UHFFFAOYSA-N 0 1 320.270 3.417 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](C)CC(C)C)c1ccccc1OC ZINC001198945702 1102040281 /nfs/dbraw/zinc/04/02/81/1102040281.db2.gz PGAQKBTUXZKOJA-NVXWUHKLSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](C)CC(C)C)c1ccccc1OC ZINC001198945703 1102041048 /nfs/dbraw/zinc/04/10/48/1102041048.db2.gz PGAQKBTUXZKOJA-RDJZCZTQSA-N 0 1 318.461 3.310 20 30 DGEDMN COc1ccc(CNCc2cccc(C#N)c2)c(F)c1OC ZINC001199190046 1102081550 /nfs/dbraw/zinc/08/15/50/1102081550.db2.gz RQAYVFKLVUJGFT-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN COCOc1cnc(-c2cc(C#N)ccc2C)cc1/C=C/N(C)C ZINC001242543785 1102200132 /nfs/dbraw/zinc/20/01/32/1102200132.db2.gz LAABPNXFVFIHTG-BQYQJAHWSA-N 0 1 323.396 3.444 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C/C=C(\C)C=C ZINC001273826352 1102345071 /nfs/dbraw/zinc/34/50/71/1102345071.db2.gz XYCLEQORSYPKBL-KDMTZKAISA-N 0 1 322.452 3.075 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2c(C)noc2C)C1 ZINC001494911503 1102368247 /nfs/dbraw/zinc/36/82/47/1102368247.db2.gz VFEKKAIUHRDHNJ-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001494918777 1102371798 /nfs/dbraw/zinc/37/17/98/1102371798.db2.gz MOPDJLFHIHAESS-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN CNC(=O)c1ccc(-c2nccc3[nH]cc(C#N)c32)c(Cl)c1 ZINC001243444254 1102724686 /nfs/dbraw/zinc/72/46/86/1102724686.db2.gz BSEPPXVLCWQSOZ-UHFFFAOYSA-N 0 1 310.744 3.115 20 30 DGEDMN CCOC(=O)c1cc(C)nc(-c2ccc(Cl)c(O)c2)c1C#N ZINC001243577350 1102820614 /nfs/dbraw/zinc/82/06/14/1102820614.db2.gz YEVKMKYBMIPAHP-UHFFFAOYSA-N 0 1 316.744 3.464 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(CC#N)cc3F)c2)CC1 ZINC001243669954 1102903785 /nfs/dbraw/zinc/90/37/85/1102903785.db2.gz YGUPOLWCRGRQSD-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1C[C@@H](NCc2ccccc2C#N)C12CCC2 ZINC001202382183 1102969660 /nfs/dbraw/zinc/96/96/60/1102969660.db2.gz FAAQFNKWETZQDS-QZTJIDSGSA-N 0 1 323.440 3.041 20 30 DGEDMN CCn1cc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)ccc1=O ZINC001243839325 1103002567 /nfs/dbraw/zinc/00/25/67/1103002567.db2.gz WHYPFWCUUURJHC-UFWORHAWSA-N 0 1 310.275 3.362 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)c1cccc(F)c1)C2 ZINC001111009580 1103014954 /nfs/dbraw/zinc/01/49/54/1103014954.db2.gz AFBYHRQHCZNDCA-PILAGYSTSA-N 0 1 316.420 3.227 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC001325437818 1103032239 /nfs/dbraw/zinc/03/22/39/1103032239.db2.gz RVJKPELIYJHHSO-SFHVURJKSA-N 0 1 320.392 3.298 20 30 DGEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@](C)(CC)CCC)C2 ZINC001111189165 1103075324 /nfs/dbraw/zinc/07/53/24/1103075324.db2.gz XCQZFYYJLBVEPH-VXNCWWDNSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3ccccc3cc1C)C2 ZINC001095781341 1103142578 /nfs/dbraw/zinc/14/25/78/1103142578.db2.gz SFDMXPXPDUJHLI-NJAFHUGGSA-N 0 1 321.424 3.064 20 30 DGEDMN C#CCOc1ccc(CN(C)CCC(=O)c2cccs2)cc1 ZINC001138559777 1103201431 /nfs/dbraw/zinc/20/14/31/1103201431.db2.gz BQMGTOPKQYVIEE-UHFFFAOYSA-N 0 1 313.422 3.465 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001326330912 1103276959 /nfs/dbraw/zinc/27/69/59/1103276959.db2.gz HVMVHKFOXZIAAH-HUUCEWRRSA-N 0 1 319.449 3.084 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2Cl)C1 ZINC001490873843 1103282125 /nfs/dbraw/zinc/28/21/25/1103282125.db2.gz UHWODGQYTDQAJP-HAQNSBGRSA-N 0 1 310.800 3.182 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)/C=C\c2ccco2)CC1 ZINC001327094505 1103518192 /nfs/dbraw/zinc/51/81/92/1103518192.db2.gz KOCDKUSMQFUPJX-LMVHVUTASA-N 0 1 308.809 3.016 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H]1CC[C@H](C)C1 ZINC001490342719 1103555259 /nfs/dbraw/zinc/55/52/59/1103555259.db2.gz QSNVMKGNQLKYNF-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN CCN(CCCNC(=O)[C@H](C)C#N)[C@@H](C)c1cc(F)ccc1F ZINC001490317198 1103567515 /nfs/dbraw/zinc/56/75/15/1103567515.db2.gz CVAXJHNOFFXTCS-OLZOCXBDSA-N 0 1 323.387 3.014 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2ocnc2C)CC1 ZINC001327258441 1103603145 /nfs/dbraw/zinc/60/31/45/1103603145.db2.gz QTEUDDJAEBGEJU-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CCC2CCCCCC2)CC1 ZINC001490413391 1103613449 /nfs/dbraw/zinc/61/34/49/1103613449.db2.gz OOHNABQUBIGKIV-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001490416619 1103615308 /nfs/dbraw/zinc/61/53/08/1103615308.db2.gz PFTFHIMLGYQUOG-SFHVURJKSA-N 0 1 319.449 3.008 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001490415336 1103616217 /nfs/dbraw/zinc/61/62/17/1103616217.db2.gz AWIUKCFLDUZMQD-IBGZPJMESA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001490454768 1103631264 /nfs/dbraw/zinc/63/12/64/1103631264.db2.gz KWLBMFIGNKRCCL-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(OC)c(C#N)c3)[nH]c-2n1 ZINC001244707781 1103643187 /nfs/dbraw/zinc/64/31/87/1103643187.db2.gz UNNLKEHFOJIBMW-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001490554036 1103652794 /nfs/dbraw/zinc/65/27/94/1103652794.db2.gz FSWILGCXBDVARA-KBPBESRZSA-N 0 1 304.409 3.289 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC001490622015 1103683684 /nfs/dbraw/zinc/68/36/84/1103683684.db2.gz KNXYCZGOQHBQFK-IEBWSBKVSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1CCC(F)(F)CC1)C1CC1 ZINC001490709255 1103700468 /nfs/dbraw/zinc/70/04/68/1103700468.db2.gz CIUQRBPHSPFOFE-CYBMUJFWSA-N 0 1 320.811 3.049 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@](C)(C=C)CC)cc2C1 ZINC001327694799 1103708509 /nfs/dbraw/zinc/70/85/09/1103708509.db2.gz CQZKGYFFRPTGNW-FQEVSTJZSA-N 0 1 310.441 3.244 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(F)cc(F)cc1F)C2 ZINC001095949667 1103711013 /nfs/dbraw/zinc/71/10/13/1103711013.db2.gz VRABWRZSYNGXMC-UGFHNGPFSA-N 0 1 324.346 3.015 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NCc2c(F)cccc2Cl)C1 ZINC001327828975 1103734114 /nfs/dbraw/zinc/73/41/14/1103734114.db2.gz NQFKOARUTZUACC-BLLLJJGKSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1C)C2 ZINC001096141938 1103766919 /nfs/dbraw/zinc/76/69/19/1103766919.db2.gz LMZPYJNKXCHOCN-XXQMMXQMSA-N 0 1 310.441 3.006 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1cc3ccccc3o1)C2 ZINC001096304954 1103797198 /nfs/dbraw/zinc/79/71/98/1103797198.db2.gz FIOZFTSDRXNTMJ-ORQFMDKSSA-N 0 1 324.424 3.444 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/c1ccccc1F)C2 ZINC001110710169 1103802542 /nfs/dbraw/zinc/80/25/42/1103802542.db2.gz ZEZWLAUESYXCLN-TVBMRNDXSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccc(C)s1)C2 ZINC001096344102 1103805581 /nfs/dbraw/zinc/80/55/81/1103805581.db2.gz HGICHHJKUQOWTF-XGUBFFRZSA-N 0 1 304.459 3.068 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2cncc(C)c2)CC1(C)C ZINC001328067723 1103830704 /nfs/dbraw/zinc/83/07/04/1103830704.db2.gz MHCYWCIDGHTIQD-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCc3ccccc3C1)C2 ZINC001096428590 1103834033 /nfs/dbraw/zinc/83/40/33/1103834033.db2.gz ZZXGJGQDWXERGJ-XCLNPWKQSA-N 0 1 324.468 3.089 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc(C)no2)CC1(C)C ZINC001328083932 1103836488 /nfs/dbraw/zinc/83/64/88/1103836488.db2.gz WYIVXPZOHRHCSW-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3cccc(C#N)c3F)[nH]c-2n1 ZINC001245066258 1103836838 /nfs/dbraw/zinc/83/68/38/1103836838.db2.gz YDICOBZDSNTCOH-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(c3ccccc3)CCCC1)C2 ZINC001096490410 1103848670 /nfs/dbraw/zinc/84/86/70/1103848670.db2.gz DKHSCLFLODVZPH-QYZOEREBSA-N 0 1 324.468 3.406 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCC(C)=C(C)C1)C2 ZINC001096636492 1103890622 /nfs/dbraw/zinc/89/06/22/1103890622.db2.gz VYRHXKSVVLGTRT-VUHPKUFZSA-N 0 1 302.462 3.421 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C13CC(C(C)(C)C)(C1)C3)C2 ZINC001096637508 1103891601 /nfs/dbraw/zinc/89/16/01/1103891601.db2.gz GEBDSHZSXYCELO-OCWVDJPHSA-N 0 1 302.462 3.110 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)N[C@@H](C)c2ncc(C)o2)CCC1 ZINC001328400553 1103933802 /nfs/dbraw/zinc/93/38/02/1103933802.db2.gz YTXIUCLTBYFKKY-ZFWWWQNUSA-N 0 1 319.449 3.275 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C=C(CC)CC ZINC001328462945 1103953528 /nfs/dbraw/zinc/95/35/28/1103953528.db2.gz AEEPJGLMWZRZFD-FQEVSTJZSA-N 0 1 324.468 3.472 20 30 DGEDMN CC(C)=CCN1CCc2ccccc2[C@@H]1CNC(=O)C#CC1CC1 ZINC001328470737 1103958591 /nfs/dbraw/zinc/95/85/91/1103958591.db2.gz YUSHDDORRWQZIW-FQEVSTJZSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C=C)c1ccccc1)C2 ZINC001111440645 1103959079 /nfs/dbraw/zinc/95/90/79/1103959079.db2.gz XVMNXYZBGWRFJR-OWYHZJEWSA-N 0 1 310.441 3.254 20 30 DGEDMN N#Cc1cc(-c2cc3[nH]cnc3cn2)ccc1OC1CCOCC1 ZINC001245304028 1103972534 /nfs/dbraw/zinc/97/25/34/1103972534.db2.gz LFQPBDWBOASACB-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN N#CCOc1ccc(CN2CCc3cc(C#N)ccc3C2)cc1 ZINC001137115261 1103989532 /nfs/dbraw/zinc/98/95/32/1103989532.db2.gz FNXBMNGKQCKXAI-UHFFFAOYSA-N 0 1 303.365 3.019 20 30 DGEDMN N#CCOc1ccc(CN2CC[C@@H]2COCc2ccccc2)cc1 ZINC001137119385 1103993542 /nfs/dbraw/zinc/99/35/42/1103993542.db2.gz KTDLEUHXICTFKO-LJQANCHMSA-N 0 1 322.408 3.380 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001328621546 1104000978 /nfs/dbraw/zinc/00/09/78/1104000978.db2.gz UTTPNBSRFJYFLE-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1cc(C2=CC[C@H](N3CCOCC3)CC2)cc(F)c1C#N ZINC001245426027 1104049021 /nfs/dbraw/zinc/04/90/21/1104049021.db2.gz LWNBLZDMCUOEOI-INIZCTEOSA-N 0 1 300.377 3.274 20 30 DGEDMN N#Cc1ccc2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc2n1 ZINC001245429015 1104050514 /nfs/dbraw/zinc/05/05/14/1104050514.db2.gz URXPGHHKLQYZCF-IBGZPJMESA-N 0 1 319.408 3.375 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c[nH]c2ccccc21 ZINC001329072424 1104143961 /nfs/dbraw/zinc/14/39/61/1104143961.db2.gz CBWQCKFPCDMHHC-RYUDHWBXSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c[nH]c2ccccc21 ZINC001329072425 1104144320 /nfs/dbraw/zinc/14/43/20/1104144320.db2.gz CBWQCKFPCDMHHC-VXGBXAGGSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001329092005 1104150518 /nfs/dbraw/zinc/15/05/18/1104150518.db2.gz VZYYDQCGZUZYBR-JGVFFNPUSA-N 0 1 324.639 3.170 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001329104005 1104154145 /nfs/dbraw/zinc/15/41/45/1104154145.db2.gz OUBDTZOEPCYZGD-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN CC#CCCCC(=O)N[C@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001278413636 1104159045 /nfs/dbraw/zinc/15/90/45/1104159045.db2.gz HLSZPRVGXSBVSC-LJQANCHMSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1sccc1CC ZINC001329203817 1104187923 /nfs/dbraw/zinc/18/79/23/1104187923.db2.gz VXLBIXVZYNGWBH-WDEREUQCSA-N 0 1 300.855 3.159 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cccc(F)c2F)[C@@H](C)C1 ZINC001274208208 1104286016 /nfs/dbraw/zinc/28/60/16/1104286016.db2.gz IZLBBQQCMQYKFB-BBRMVZONSA-N 0 1 322.399 3.258 20 30 DGEDMN COc1ccc(F)c(-c2cc3nc[nH]c3c(C#N)n2)c1Cl ZINC001245886166 1104287334 /nfs/dbraw/zinc/28/73/34/1104287334.db2.gz UKFBRPOJUASMNE-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001329538253 1104306140 /nfs/dbraw/zinc/30/61/40/1104306140.db2.gz AQEYECNHFUSQLB-QZTJIDSGSA-N 0 1 314.473 3.339 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc(C(C)C)oc1C)C2 ZINC001095408291 1104306822 /nfs/dbraw/zinc/30/68/22/1104306822.db2.gz MDKRFOHPOFIELP-ILXRZTDVSA-N 0 1 317.433 3.018 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cc(F)ccc1Cl ZINC001274263487 1104339289 /nfs/dbraw/zinc/33/92/89/1104339289.db2.gz QVORXAHWZGZNTI-AATRIKPKSA-N 0 1 324.827 3.453 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455361 1104391257 /nfs/dbraw/zinc/39/12/57/1104391257.db2.gz WFUSIJSMXLZJIX-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455361 1104391265 /nfs/dbraw/zinc/39/12/65/1104391265.db2.gz WFUSIJSMXLZJIX-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001274587509 1104413551 /nfs/dbraw/zinc/41/35/51/1104413551.db2.gz BKINXXMLKLLFRH-KGLIPLIRSA-N 0 1 319.474 3.135 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H]1Cc2ccccc21 ZINC001329895172 1104413791 /nfs/dbraw/zinc/41/37/91/1104413791.db2.gz ZWPLPYHFXCZJGT-RDJZCZTQSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001274608070 1104419494 /nfs/dbraw/zinc/41/94/94/1104419494.db2.gz CZWOTEDUYUIOEQ-ITDFMYJTSA-N 0 1 324.827 3.334 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2ccccc2F)C1 ZINC001329985632 1104445433 /nfs/dbraw/zinc/44/54/33/1104445433.db2.gz UPYGFASPGKKIOW-GJZGRUSLSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@@H]1N(Cc1cnc(C)s1)CC2 ZINC001278439096 1104462074 /nfs/dbraw/zinc/46/20/74/1104462074.db2.gz GCOPGMBUHXBZAU-RDJZCZTQSA-N 0 1 319.474 3.031 20 30 DGEDMN C[C@H](CN[C@@H](C)c1cc(F)ccc1F)NC(=O)C#CC(C)(C)C ZINC001274746582 1104477677 /nfs/dbraw/zinc/47/76/77/1104477677.db2.gz AQXJSSFIDYWLGS-OLZOCXBDSA-N 0 1 322.399 3.170 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001274773241 1104485010 /nfs/dbraw/zinc/48/50/10/1104485010.db2.gz JGQDZYOLSASISW-GFCCVEGCSA-N 0 1 324.852 3.242 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)CC(C)C)C2)C1 ZINC001330146795 1104488771 /nfs/dbraw/zinc/48/87/71/1104488771.db2.gz BEJAHFNYTUQBJA-LJQANCHMSA-N 0 1 324.509 3.306 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001274913164 1104540651 /nfs/dbraw/zinc/54/06/51/1104540651.db2.gz JIYKOXIPOOEMTH-SJKOYZFVSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(OC)c(C2CC2)c1 ZINC001274968004 1104562810 /nfs/dbraw/zinc/56/28/10/1104562810.db2.gz RODIGAPVLNJZBE-GFCCVEGCSA-N 0 1 322.836 3.033 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001275037765 1104588109 /nfs/dbraw/zinc/58/81/09/1104588109.db2.gz BSNFHSCFKAVZLI-DZGCQCFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2sccc2s1 ZINC001275120626 1104634733 /nfs/dbraw/zinc/63/47/33/1104634733.db2.gz GNWGBZJNBLWMJC-LBPRGKRZSA-N 0 1 318.467 3.132 20 30 DGEDMN CCCCCCCCN1C[C@@H]2CN(Cc3ccc[nH]3)C[C@H](C1)O2 ZINC001275130849 1104640561 /nfs/dbraw/zinc/64/05/61/1104640561.db2.gz JHYQWBSPVFGYBI-KDURUIRLSA-N 0 1 319.493 3.260 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](CCC)c1ccccn1 ZINC001275135596 1104644078 /nfs/dbraw/zinc/64/40/78/1104644078.db2.gz LFUNBHFIQLJKQK-IAGOWNOFSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1cncc(C)c1 ZINC001275136337 1104644133 /nfs/dbraw/zinc/64/41/33/1104644133.db2.gz UOGLDEGHDNIAHV-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CC[N@H+](C)C[C@H]1CCCN1C(=O)C[C@@H](CC)C(C)(C)C ZINC001275161072 1104658289 /nfs/dbraw/zinc/65/82/89/1104658289.db2.gz XWGLAUWOXSJAET-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](CC)C(C)(C)C ZINC001275161072 1104658294 /nfs/dbraw/zinc/65/82/94/1104658294.db2.gz XWGLAUWOXSJAET-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN CCCC1(C(=O)N[C@@H](C)CNCc2ccccc2C#N)CCC1 ZINC001275275001 1104703338 /nfs/dbraw/zinc/70/33/38/1104703338.db2.gz XBWBNNSMORZNRB-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN N#Cc1cccc(CN2CC3(CN(CCCC(F)(F)F)C3)C2)c1 ZINC001275292282 1104708454 /nfs/dbraw/zinc/70/84/54/1104708454.db2.gz WLDZCLTUVXTGRS-UHFFFAOYSA-N 0 1 323.362 3.018 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001275403433 1104748011 /nfs/dbraw/zinc/74/80/11/1104748011.db2.gz IQQWEHCTRIVLNH-RYUDHWBXSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1oc(CC)nc1C ZINC001275460940 1104766839 /nfs/dbraw/zinc/76/68/39/1104766839.db2.gz MXJYBGMRGTVKKB-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001275461813 1104766894 /nfs/dbraw/zinc/76/68/94/1104766894.db2.gz RLXRXZBZXWDETE-NEPJUHHUSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCNCc1nc2cc(OCc3ccccc3)ccc2n1C ZINC001247043055 1104783906 /nfs/dbraw/zinc/78/39/06/1104783906.db2.gz RIAPBRIPYMFLNO-UHFFFAOYSA-N 0 1 307.397 3.428 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2ccccc2n1 ZINC001275552158 1104805107 /nfs/dbraw/zinc/80/51/07/1104805107.db2.gz JLRPRKYZTZPTEC-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001275571134 1104814211 /nfs/dbraw/zinc/81/42/11/1104814211.db2.gz NUOHVEUOBLJLDS-GJZGRUSLSA-N 0 1 315.461 3.367 20 30 DGEDMN C=CC[C@@H](C)c1nc(-c2cccc(N3CCN(C)CC3)c2)no1 ZINC001247519119 1104917591 /nfs/dbraw/zinc/91/75/91/1104917591.db2.gz FVEKOXUKLRMJMO-CQSZACIVSA-N 0 1 312.417 3.168 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)[N@@H+](C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001275818058 1104920987 /nfs/dbraw/zinc/92/09/87/1104920987.db2.gz GPDZQRPVAJYIJR-KBPBESRZSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001280754772 1104932103 /nfs/dbraw/zinc/93/21/03/1104932103.db2.gz AFIIKPXXEYUJBT-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cscc1C(F)F)C2 ZINC001095425550 1105001890 /nfs/dbraw/zinc/00/18/90/1105001890.db2.gz WCTFBACNBIFDDT-SWHYSGLUSA-N 0 1 324.396 3.044 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C(C)C)C2 ZINC001095503596 1105047881 /nfs/dbraw/zinc/04/78/81/1105047881.db2.gz UCYHTEPVJIJCRU-MNEFBYGVSA-N 0 1 310.441 3.168 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC[C@@H](c3ccccc3)C1)C2 ZINC001095610711 1105088869 /nfs/dbraw/zinc/08/88/69/1105088869.db2.gz WHZVHKYQNIURKQ-UEDWAMCQSA-N 0 1 324.468 3.478 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)ccc1F)C2 ZINC001095719418 1105101034 /nfs/dbraw/zinc/10/10/34/1105101034.db2.gz GDVYSCPAKYENGV-KCXAZCMYSA-N 0 1 322.811 3.390 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(Cl)c(OC)c3)no2)C1 ZINC001249231714 1105252201 /nfs/dbraw/zinc/25/22/01/1105252201.db2.gz NFHZQGPBSQOVDU-HNNXBMFYSA-N 0 1 305.765 3.163 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cnc(C)cc3C(F)(F)F)no2)C1 ZINC001249231381 1105252433 /nfs/dbraw/zinc/25/24/33/1105252433.db2.gz DFNJVKZFSZEOLV-AWEZNQCLSA-N 0 1 324.306 3.224 20 30 DGEDMN CCCCN(CCC#N)Cc1cnc(NC(=O)OC(C)(C)C)[nH]1 ZINC001249423646 1105284454 /nfs/dbraw/zinc/28/44/54/1105284454.db2.gz HCOKUGRTBPRLCG-UHFFFAOYSA-N 0 1 321.425 3.272 20 30 DGEDMN N#CCC1CCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)CC1 ZINC001249469780 1105293400 /nfs/dbraw/zinc/29/34/00/1105293400.db2.gz VOKJDHQMZSOFPF-UHFFFAOYSA-N 0 1 324.215 3.342 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc(Oc3ccc(O)cc3)c2)CCC1=O ZINC001249503698 1105300300 /nfs/dbraw/zinc/30/03/00/1105300300.db2.gz CNFXDXMNMWWCRK-OAHLLOKOSA-N 0 1 322.364 3.099 20 30 DGEDMN N#Cc1ccc(F)c(F)c1N=C1CCC(N2CCOCC2)CC1 ZINC001249561596 1105316968 /nfs/dbraw/zinc/31/69/68/1105316968.db2.gz NXXFADVUUNVJNM-CQSZACIVSA-N 0 1 319.355 3.017 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C(F)F)c1F)C2 ZINC001097089027 1105343934 /nfs/dbraw/zinc/34/39/34/1105343934.db2.gz NQIPZOAZPPLHLU-HONMWMINSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@H]1CCc3ccccc31)C2 ZINC001111445937 1105376523 /nfs/dbraw/zinc/37/65/23/1105376523.db2.gz GWQGEDSMQQKXNE-LFGUQSLTSA-N 0 1 324.468 3.404 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)c(C)cc1F)C2 ZINC001097265996 1105377065 /nfs/dbraw/zinc/37/70/65/1105377065.db2.gz RZSHSQULHNOSTL-JLZZUVOBSA-N 0 1 320.383 3.184 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3ccccc31)C2 ZINC001097336713 1105380211 /nfs/dbraw/zinc/38/02/11/1105380211.db2.gz NRTMQKXMONHTOQ-LULLPPNCSA-N 0 1 310.441 3.014 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3ccccc3s1)C2 ZINC001097460260 1105394663 /nfs/dbraw/zinc/39/46/63/1105394663.db2.gz WDZFAWBDKWJELA-OAGGEKHMSA-N 0 1 324.449 3.260 20 30 DGEDMN Cc1ccc(C#N)c(Nc2ccccc2N2CCN(C)CC2)c1 ZINC001249901172 1105403110 /nfs/dbraw/zinc/40/31/10/1105403110.db2.gz GKCJPQXXCIVVHQ-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)ccc1C1CC1)C2 ZINC001097579377 1105409960 /nfs/dbraw/zinc/40/99/60/1105409960.db2.gz OKWBIIJIZCOROY-LZQZEXGQSA-N 0 1 310.441 3.394 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)c(F)c1F)C2 ZINC001097749214 1105440110 /nfs/dbraw/zinc/44/01/10/1105440110.db2.gz LQWNHSWXUPTADA-HONMWMINSA-N 0 1 324.346 3.015 20 30 DGEDMN N#Cc1ncc(Br)cc1Nc1ccc2cncn2c1 ZINC001250322942 1105498053 /nfs/dbraw/zinc/49/80/53/1105498053.db2.gz AFUISOISQMXUGS-UHFFFAOYSA-N 0 1 314.146 3.107 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc(C(C)C)n1)C2 ZINC001098046741 1105536616 /nfs/dbraw/zinc/53/66/16/1105536616.db2.gz ZKAVDNBQCDYBTR-NFAWXSAZSA-N 0 1 319.474 3.178 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCc3ccccc31)C2 ZINC001098077025 1105545264 /nfs/dbraw/zinc/54/52/64/1105545264.db2.gz HDCXNAWTKSOSQE-RWQQGDIJSA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC[C@H](C(C)C)CC1)C2 ZINC001098102395 1105549022 /nfs/dbraw/zinc/54/90/22/1105549022.db2.gz RLWXTRIOEWQMHH-ZKXLYKBJSA-N 0 1 304.478 3.356 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C(F)(F)F)C2 ZINC001098257933 1105564348 /nfs/dbraw/zinc/56/43/48/1105564348.db2.gz GXOKJDKALSLYLA-DFBGVHRSSA-N 0 1 324.346 3.227 20 30 DGEDMN COCOc1ccc2nc(-c3c(F)ccc(C#N)c3F)[nH]c2c1 ZINC001250980467 1105615052 /nfs/dbraw/zinc/61/50/52/1105615052.db2.gz NZEYXUDSQAJGMM-UHFFFAOYSA-N 0 1 315.279 3.362 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cc(C)[nH]c2C)CC1 ZINC001278626664 1105623941 /nfs/dbraw/zinc/62/39/41/1105623941.db2.gz FORXLIGXLZEUDH-UHFFFAOYSA-N 0 1 323.868 3.216 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC(C)(C)CN1C/C=C/Cl ZINC001099042742 1105634269 /nfs/dbraw/zinc/63/42/69/1105634269.db2.gz AVKIMHQRFXCMOB-USYSOWRXSA-N 0 1 310.869 3.149 20 30 DGEDMN COCCn1c2ccc(F)cc2nc1-c1cc(C#N)ccc1O ZINC001251180072 1105635661 /nfs/dbraw/zinc/63/56/61/1105635661.db2.gz AJBXKKBELFBUJG-UHFFFAOYSA-N 0 1 311.316 3.066 20 30 DGEDMN COCCn1c(-c2cc(C#N)ccc2O)nc2cccc(F)c21 ZINC001251180241 1105635856 /nfs/dbraw/zinc/63/58/56/1105635856.db2.gz KNTYIKCCCUBUED-UHFFFAOYSA-N 0 1 311.316 3.066 20 30 DGEDMN Cc1cc(N2CCOCC2)cc2[nH]c(-c3ccc(C#N)s3)nc21 ZINC001251218161 1105640095 /nfs/dbraw/zinc/64/00/95/1105640095.db2.gz QYTPFMAUOQQULM-UHFFFAOYSA-N 0 1 324.409 3.308 20 30 DGEDMN N#Cc1cccc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)n1 ZINC001251231411 1105642600 /nfs/dbraw/zinc/64/26/00/1105642600.db2.gz AVYYXKRXPWOFNJ-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(Cl)s2)C1 ZINC001099147226 1105649836 /nfs/dbraw/zinc/64/98/36/1105649836.db2.gz GOJZMBGICBSSHQ-NHYWBVRUSA-N 0 1 310.850 3.172 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(Cl)s2)C1 ZINC001099147942 1105649567 /nfs/dbraw/zinc/64/95/67/1105649567.db2.gz PXHQLDQRPARWOI-LRDDRELGSA-N 0 1 322.861 3.009 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001099154141 1105650459 /nfs/dbraw/zinc/65/04/59/1105650459.db2.gz TWAMIHJQALLNEZ-FPOVZHCZSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001099150168 1105650660 /nfs/dbraw/zinc/65/06/60/1105650660.db2.gz WGSUXDYDTFUBNH-KSSFIOAISA-N 0 1 316.470 3.007 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(C)c(CC)s2)C1 ZINC001099152386 1105650698 /nfs/dbraw/zinc/65/06/98/1105650698.db2.gz HGNTWSBWSVKPBV-KSSFIOAISA-N 0 1 318.486 3.389 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H]1CC=CCC1 ZINC001099171896 1105652703 /nfs/dbraw/zinc/65/27/03/1105652703.db2.gz WHAKJRNLLVUTEL-QZTJIDSGSA-N 0 1 316.489 3.363 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2coc3ccccc23)C1 ZINC001099182248 1105654613 /nfs/dbraw/zinc/65/46/13/1105654613.db2.gz DBQXEANXQOWCSM-YWZLYKJASA-N 0 1 322.408 3.040 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001099193768 1105656913 /nfs/dbraw/zinc/65/69/13/1105656913.db2.gz HEFOBYYOCXRQJL-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H]2CCC(C)(C)CN2CC#CC)CC1 ZINC001099214924 1105658480 /nfs/dbraw/zinc/65/84/80/1105658480.db2.gz NYZWVYJSZNIWCG-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)c1ccco1 ZINC001099228820 1105659260 /nfs/dbraw/zinc/65/92/60/1105659260.db2.gz AQLZXJZXWDAAHY-CVEARBPZSA-N 0 1 316.445 3.013 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(F)CCCCC1 ZINC001099297408 1105665794 /nfs/dbraw/zinc/66/57/94/1105665794.db2.gz FZMBAXGBTFNACP-INIZCTEOSA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)c1ccco1 ZINC001099310382 1105667332 /nfs/dbraw/zinc/66/73/32/1105667332.db2.gz KQBSZCZZGIMDLF-CVEARBPZSA-N 0 1 316.445 3.013 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C=C)c1ccccc1 ZINC001099347679 1105670410 /nfs/dbraw/zinc/67/04/10/1105670410.db2.gz AGWFMEBGHFWEBJ-OALUTQOASA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C=C)c1ccccc1 ZINC001099347678 1105670543 /nfs/dbraw/zinc/67/05/43/1105670543.db2.gz AGWFMEBGHFWEBJ-MOPGFXCFSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001099349916 1105671795 /nfs/dbraw/zinc/67/17/95/1105671795.db2.gz VGOKHXJFFHBILY-YLJYHZDGSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H]2CN(CCF)CC[C@H]21 ZINC001490982870 1105731543 /nfs/dbraw/zinc/73/15/43/1105731543.db2.gz DZLZVXDCJANYNG-IAGOWNOFSA-N 0 1 308.441 3.037 20 30 DGEDMN C=CCC[C@H](O)CNCc1c(F)cccc1Br ZINC001252624132 1105807976 /nfs/dbraw/zinc/80/79/76/1105807976.db2.gz YFDGNTYAWPRZOT-JTQLQIEISA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001491235400 1105783671 /nfs/dbraw/zinc/78/36/71/1105783671.db2.gz DLJWPXPGGFKWGU-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001491237879 1105784996 /nfs/dbraw/zinc/78/49/96/1105784996.db2.gz SPYDSXWKVKRZTR-OVKVCFKUSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1cccs1 ZINC001491240564 1105787472 /nfs/dbraw/zinc/78/74/72/1105787472.db2.gz WDLDYQZEHSTZHU-ZDUSSCGKSA-N 0 1 312.866 3.014 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc[nH]c1C(C)C ZINC001491260814 1105790343 /nfs/dbraw/zinc/79/03/43/1105790343.db2.gz ZQCHRWBFBVTNCI-AWEZNQCLSA-N 0 1 323.868 3.475 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cc(C)no2)C1 ZINC001491284998 1105794310 /nfs/dbraw/zinc/79/43/10/1105794310.db2.gz FCIZLINKDMEAGF-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(O)CN1Cc2cc(Br)ccc2C[C@@H]1C ZINC001252562871 1105794433 /nfs/dbraw/zinc/79/44/33/1105794433.db2.gz ZATNPVQYMOMWBS-NHYWBVRUSA-N 0 1 310.235 3.133 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)[C@H]2CCCN(CCF)C2)CCCCC1 ZINC001491300614 1105803341 /nfs/dbraw/zinc/80/33/41/1105803341.db2.gz OOCRENMCUPIZQV-IRXDYDNUSA-N 0 1 322.468 3.146 20 30 DGEDMN C[C@@H](CNC(=O)CCC1CCCC1)NCC#Cc1ccccc1 ZINC001491382554 1105816881 /nfs/dbraw/zinc/81/68/81/1105816881.db2.gz YIUXTMBEPWZFKL-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)NCc1cc(N(C)C)ccn1 ZINC001252971843 1105863323 /nfs/dbraw/zinc/86/33/23/1105863323.db2.gz VMXNIZDKTQKDPA-YOEHRIQHSA-N 0 1 316.449 3.082 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCc1nnc2cc(C)ccn21 ZINC001253246066 1105910079 /nfs/dbraw/zinc/91/00/79/1105910079.db2.gz JFHQLUFERZUXLV-INIZCTEOSA-N 0 1 316.449 3.015 20 30 DGEDMN CC(C)(C)c1ccc(N=NCc2[nH]nnc2-c2ccccc2)nn1 ZINC001253647053 1105967286 /nfs/dbraw/zinc/96/72/86/1105967286.db2.gz QSVGKBJQYWSBHR-UHFFFAOYSA-N 0 1 321.388 3.005 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2cccc(F)c2F)C[C@H]1C ZINC001101805106 1105980021 /nfs/dbraw/zinc/98/00/21/1105980021.db2.gz HQQVNPZRVONBAT-UKRRQHHQSA-N 0 1 322.399 3.115 20 30 DGEDMN N#CCCCCc1cn(-c2ccc(-c3ccc(CN)o3)cc2)nn1 ZINC001254164308 1106029040 /nfs/dbraw/zinc/02/90/40/1106029040.db2.gz KDISWNGFVVMZQE-UHFFFAOYSA-N 0 1 321.384 3.222 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)C(C)(C)C)[C@H](C)C1 ZINC001102228601 1106039506 /nfs/dbraw/zinc/03/95/06/1106039506.db2.gz HJLVNMFUOCGURW-TZMCWYRMSA-N 0 1 314.901 3.495 20 30 DGEDMN [CH2]CC[N@@H+](CCN)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254350297 1106057788 /nfs/dbraw/zinc/05/77/88/1106057788.db2.gz ZUOZUULAVYYJCS-UHFFFAOYSA-N 0 1 302.417 3.015 20 30 DGEDMN C[C@H]1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)CCO1 ZINC001254353629 1106059164 /nfs/dbraw/zinc/05/91/64/1106059164.db2.gz GXTUKOLBRFCATJ-NNGSBXSVSA-N 0 1 302.393 3.250 20 30 DGEDMN C=C(CN1CCCC1)c1nnc(Cc2ccccc2Cl)o1 ZINC001254370678 1106061463 /nfs/dbraw/zinc/06/14/63/1106061463.db2.gz ZWLBQYDTEZLZAW-UHFFFAOYSA-N 0 1 303.793 3.423 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](CC)CC(C)C)[C@H](C)C1 ZINC001102500973 1106064719 /nfs/dbraw/zinc/06/47/19/1106064719.db2.gz NPFYQCVJUDXMEU-FVQBIDKESA-N 0 1 314.901 3.495 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CN(C/C(Cl)=C/Cl)C[C@H]1C ZINC001102762437 1106102339 /nfs/dbraw/zinc/10/23/39/1106102339.db2.gz ZXTUINHSMPZICM-HPMVNELHSA-N 0 1 319.276 3.346 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCO[C@H]3[C@H](OCC4CCCC4)CC[C@@H]32)C1 ZINC001254657271 1106124209 /nfs/dbraw/zinc/12/42/09/1106124209.db2.gz CIGDFUFKTDJUGE-ICBNADEASA-N 0 1 318.461 3.117 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CCCCN2[C@@H]1CC[C@H](C#N)C1 ZINC001254658492 1106125691 /nfs/dbraw/zinc/12/56/91/1106125691.db2.gz IOUWWIYFCFBIHP-LSDHHAIUSA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@@H](C#N)C1 ZINC001254659203 1106125785 /nfs/dbraw/zinc/12/57/85/1106125785.db2.gz LXNOAMOEWZJXGD-PMPSAXMXSA-N 0 1 321.465 3.256 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(F)cc2)CCN1[C@@H]1CC[C@H](C#N)C1 ZINC001254661329 1106126348 /nfs/dbraw/zinc/12/63/48/1106126348.db2.gz RXGJLKQVLKHOQQ-UWWQBHOKSA-N 0 1 301.409 3.024 20 30 DGEDMN Cc1cc2ccccc2nc1N1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001254670672 1106129335 /nfs/dbraw/zinc/12/93/35/1106129335.db2.gz LAYXIKLGNDIDGN-AEFFLSMTSA-N 0 1 320.440 3.358 20 30 DGEDMN C[C@H]1CN([C@@H]2CC[C@@H](C#N)C2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001254673378 1106130428 /nfs/dbraw/zinc/13/04/28/1106130428.db2.gz JVXKQRIPPGYHHN-BYNSBNAKSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H]1CN([C@H]2CC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001254677682 1106131951 /nfs/dbraw/zinc/13/19/51/1106131951.db2.gz VZGRSHWHWFHXCF-AJNGGQMLSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001254680754 1106132756 /nfs/dbraw/zinc/13/27/56/1106132756.db2.gz ZSEPYNVDGVNYAW-RKVPGOIHSA-N 0 1 301.409 3.197 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CN(Cc2nccs2)C[C@H]1C ZINC001103040488 1106145476 /nfs/dbraw/zinc/14/54/76/1106145476.db2.gz ZBLFNWUGQBUGEG-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1C[C@H](N1CC[C@](C)(C#N)C1)C2 ZINC001254987948 1106163659 /nfs/dbraw/zinc/16/36/59/1106163659.db2.gz YCOUPSJXGFQXTQ-JTOWHCCKSA-N 0 1 319.449 3.010 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2cc(C#N)cnc2C)cc1 ZINC001255158644 1106194064 /nfs/dbraw/zinc/19/40/64/1106194064.db2.gz NZWWMABWXHJITF-UHFFFAOYSA-N 0 1 315.398 3.015 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@H]2CN(C(=O)OC(C)(C)C)CC[C@H]21 ZINC001255166548 1106197329 /nfs/dbraw/zinc/19/73/29/1106197329.db2.gz LKTAUFDFXGWQAJ-SOUVJXGZSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@H]2CN(C(=O)OC(C)(C)C)CC[C@H]21 ZINC001255166546 1106197626 /nfs/dbraw/zinc/19/76/26/1106197626.db2.gz LKTAUFDFXGWQAJ-RRFJBIMHSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@H](CC#N)N(CC)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255176179 1106199660 /nfs/dbraw/zinc/19/96/60/1106199660.db2.gz XUBYCRMWVDIYDT-HUUCEWRRSA-N 0 1 309.454 3.400 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CC[C@H]2NC(=O)OC(C)(C)C)CC1 ZINC001255177968 1106200254 /nfs/dbraw/zinc/20/02/54/1106200254.db2.gz ZGOSYPPVUOIEFY-HUUCEWRRSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@H](CC#N)N(C)[C@H]1CCCC[C@H]1NC(=O)OC(C)(C)C ZINC001255178246 1106200343 /nfs/dbraw/zinc/20/03/43/1106200343.db2.gz DCIVBKHGPYBCMD-KFWWJZLASA-N 0 1 309.454 3.446 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(C(C)C)cc2)cnc1C#N ZINC001255281484 1106214696 /nfs/dbraw/zinc/21/46/96/1106214696.db2.gz WZXZEVGWKREQJN-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN([C@@H](C)Cc2ccccc2F)C1 ZINC001255854455 1106328647 /nfs/dbraw/zinc/32/86/47/1106328647.db2.gz DQZJIBZJGNTDSO-HOCLYGCPSA-N 0 1 320.408 3.133 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2cscc2s1)C(C)C ZINC001278914381 1106377210 /nfs/dbraw/zinc/37/72/10/1106377210.db2.gz FPQWZRAKYVWYEO-UHFFFAOYSA-N 0 1 320.483 3.378 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)cc(C)c1)C(C)C ZINC001278926401 1106401095 /nfs/dbraw/zinc/40/10/95/1106401095.db2.gz KZXHTXQSMBYTDP-UHFFFAOYSA-N 0 1 300.446 3.109 20 30 DGEDMN C#Cc1cc(NS(=O)(=O)c2ccccc2Cl)ccc1F ZINC001256311161 1106404131 /nfs/dbraw/zinc/40/41/31/1106404131.db2.gz BXORXEYZYYGKCA-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1ccn(C(C)C)n1)C(C)(C)C ZINC001278945809 1106443791 /nfs/dbraw/zinc/44/37/91/1106443791.db2.gz OSFADOPZBNHSFG-INIZCTEOSA-N 0 1 320.481 3.051 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC(C)C)c1ccccc1CC ZINC001278964902 1106483257 /nfs/dbraw/zinc/48/32/57/1106483257.db2.gz ZSGAFQXZFTWKSJ-SFHVURJKSA-N 0 1 300.446 3.065 20 30 DGEDMN CCOC(=O)CN(CC)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257019183 1106524374 /nfs/dbraw/zinc/52/43/74/1106524374.db2.gz JVTHEYKICLFICY-UHFFFAOYSA-N 0 1 314.429 3.469 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001279007977 1106565073 /nfs/dbraw/zinc/56/50/73/1106565073.db2.gz CQUWCIYGZRBCPG-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CCN1C(CCC)CCC ZINC001257336597 1106570079 /nfs/dbraw/zinc/57/00/79/1106570079.db2.gz CRNAQNAXCOANHV-QGZVFWFLSA-N 0 1 320.481 3.369 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)C1CC1)C(C)(C)C ZINC001279033745 1106620426 /nfs/dbraw/zinc/62/04/26/1106620426.db2.gz DAJLCHQRMGJEJJ-SMDDNHRTSA-N 0 1 300.874 3.296 20 30 DGEDMN Cc1ccnc2c(NS(=O)(=O)c3ccc(C#N)cc3)cccc12 ZINC001258126742 1106678185 /nfs/dbraw/zinc/67/81/85/1106678185.db2.gz XJJJLUQAOKWZBR-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN(C1C[C@H](C)C[C@@H](C)C1)CC2 ZINC001258165963 1106684616 /nfs/dbraw/zinc/68/46/16/1106684616.db2.gz RGOWSFLLUCGIHR-HUUCEWRRSA-N 0 1 317.477 3.306 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccccc2C#N)cc(C)c1C ZINC001258279042 1106707711 /nfs/dbraw/zinc/70/77/11/1106707711.db2.gz UNHJEEGDNVBIQD-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN Cc1c(C)c(NS(=O)(=O)c2ccccc2C#N)ccc1F ZINC001258283342 1106708562 /nfs/dbraw/zinc/70/85/62/1106708562.db2.gz ROJDNROQCBUKSC-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN Cc1c(C(=O)OCc2cc(C#N)ccc2F)ccc2cncn21 ZINC001320027177 1106794171 /nfs/dbraw/zinc/79/41/71/1106794171.db2.gz RVEFGNXNHROZGA-UHFFFAOYSA-N 0 1 309.300 3.011 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC001320040257 1106812656 /nfs/dbraw/zinc/81/26/56/1106812656.db2.gz XXWDTKWMHZOHMP-OAHLLOKOSA-N 0 1 302.349 3.258 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001316952462 1106820681 /nfs/dbraw/zinc/82/06/81/1106820681.db2.gz GAXXEYCUHBMJBE-WMLDXEAASA-N 0 1 320.864 3.285 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001316993720 1106875686 /nfs/dbraw/zinc/87/56/86/1106875686.db2.gz RGCDCIYGTYZFCF-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)/C=C\c2ccco2)CC1 ZINC001317000128 1106882848 /nfs/dbraw/zinc/88/28/48/1106882848.db2.gz IWROCFSWRGYDSQ-XVWMLYKFSA-N 0 1 320.820 3.016 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CC(C)=C(C)C)CC1 ZINC001317002748 1106884722 /nfs/dbraw/zinc/88/47/22/1106884722.db2.gz QKSMRKLEHYLRRP-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1CC(C(C)(C)C)C1)C1CC1 ZINC001317033430 1106926582 /nfs/dbraw/zinc/92/65/82/1106926582.db2.gz YBOPODCOFXCNTB-YMAMQOFZSA-N 0 1 312.885 3.296 20 30 DGEDMN CC/C=C/CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001317041732 1106942296 /nfs/dbraw/zinc/94/22/96/1106942296.db2.gz FULBTBXMSFFKIK-BORUEMGLSA-N 0 1 302.462 3.067 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nsc1C ZINC001317048551 1106948258 /nfs/dbraw/zinc/94/82/58/1106948258.db2.gz AHGWVCJPFRUVQK-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN C=C[C@H](COC)NCc1cc(Cl)c(OCCC)c(OC)c1 ZINC001320293881 1106950926 /nfs/dbraw/zinc/95/09/26/1106950926.db2.gz DAIZSXZNTOUBPO-CYBMUJFWSA-N 0 1 313.825 3.428 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1[C@H](CCC)CCCC ZINC001258760437 1106957850 /nfs/dbraw/zinc/95/78/50/1106957850.db2.gz PQRBTNBQJYTCIX-WBVHZDCISA-N 0 1 320.481 3.276 20 30 DGEDMN C=CCCCCNC(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001320342820 1106970117 /nfs/dbraw/zinc/97/01/17/1106970117.db2.gz SXOIVHUHQLZLJZ-IRXDYDNUSA-N 0 1 319.424 3.226 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC001320377388 1106985602 /nfs/dbraw/zinc/98/56/02/1106985602.db2.gz NISIIDQICMKCGY-CQSZACIVSA-N 0 1 323.458 3.203 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(Br)cc1SC ZINC001320584056 1107062041 /nfs/dbraw/zinc/06/20/41/1107062041.db2.gz OZMMDVHGYDEJKG-LBPRGKRZSA-N 0 1 316.264 3.462 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cccc(F)c1C ZINC001317186482 1107106865 /nfs/dbraw/zinc/10/68/65/1107106865.db2.gz QKWZCFAQVPKQRJ-AWEZNQCLSA-N 0 1 324.827 3.471 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1ccc(F)cc1Cl ZINC001320722980 1107116975 /nfs/dbraw/zinc/11/69/75/1107116975.db2.gz SPHBGMOBWRPIGG-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN COc1ccccc1S(=O)(=O)Nc1cc(Cl)cc(C#N)c1 ZINC001259300520 1107118985 /nfs/dbraw/zinc/11/89/85/1107118985.db2.gz MSUMJHYGCGMZKR-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)Cc2ccc(C)o2)C1 ZINC001317206411 1107124990 /nfs/dbraw/zinc/12/49/90/1107124990.db2.gz XKTDGFAQCDUHRV-GJZGRUSLSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCc1ccncc1Cl ZINC001320830979 1107154664 /nfs/dbraw/zinc/15/46/64/1107154664.db2.gz SAGBLBKQFLEXFP-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001320864812 1107168760 /nfs/dbraw/zinc/16/87/60/1107168760.db2.gz REMPUJKBEAQOFJ-UONOGXRCSA-N 0 1 302.890 3.495 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001317246611 1107171384 /nfs/dbraw/zinc/17/13/84/1107171384.db2.gz VJQSBKBXZIGBLI-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN N#Cc1cc(F)cc(F)c1NS(=O)(=O)CC1CCCCC1 ZINC001259619198 1107173058 /nfs/dbraw/zinc/17/30/58/1107173058.db2.gz DWVOBUGRHKLIFL-UHFFFAOYSA-N 0 1 314.357 3.158 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2cccc(Cl)n2)CC1 ZINC001317264367 1107186033 /nfs/dbraw/zinc/18/60/33/1107186033.db2.gz HPPOFNXLLPKSJQ-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN CC[C@@H](CC#N)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001321136852 1107262545 /nfs/dbraw/zinc/26/25/45/1107262545.db2.gz RWMMPTMHURYKCS-ZWKOTPCHSA-N 0 1 313.445 3.158 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001317379651 1107288013 /nfs/dbraw/zinc/28/80/13/1107288013.db2.gz PJTILHVNEZHFNC-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(Cl)cc1C ZINC001321220168 1107291277 /nfs/dbraw/zinc/29/12/77/1107291277.db2.gz AEDDUVKKGDVADK-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN CC/C=C(\C)C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001317389312 1107301917 /nfs/dbraw/zinc/30/19/17/1107301917.db2.gz QKDLNDHMOMPHKQ-GUVYXZIWSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(C(C)C)c1 ZINC001321321080 1107323102 /nfs/dbraw/zinc/32/31/02/1107323102.db2.gz BHTFSAYASHYIQC-PLNGDYQASA-N 0 1 306.837 3.438 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC[C@@H]1CCCN1Cc1ccon1 ZINC001317438322 1107369173 /nfs/dbraw/zinc/36/91/73/1107369173.db2.gz YFIAOAWNYQKLCP-AEFFLSMTSA-N 0 1 319.449 3.138 20 30 DGEDMN Cc1cc(CNCc2cccc(OCC#N)c2)cc(Cl)n1 ZINC001321572261 1107384001 /nfs/dbraw/zinc/38/40/01/1107384001.db2.gz MYLWDUYDHFPAAQ-UHFFFAOYSA-N 0 1 301.777 3.236 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)[C@@H]1CCc2c1cc(F)cc2F ZINC001317446278 1107389637 /nfs/dbraw/zinc/38/96/37/1107389637.db2.gz BODUWSGDNWVKGV-QGZVFWFLSA-N 0 1 322.399 3.356 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2oc(C)nc2C)CCCC1 ZINC001321768402 1107428567 /nfs/dbraw/zinc/42/85/67/1107428567.db2.gz NTGRFAMCSUPTDG-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)(C)C)[C@H](C)c1ccccc1F ZINC001317479451 1107434571 /nfs/dbraw/zinc/43/45/71/1107434571.db2.gz GCOVJKXPHZDVHN-OAHLLOKOSA-N 0 1 318.436 3.374 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@H](C)C(C)C ZINC001317544790 1107511389 /nfs/dbraw/zinc/51/13/89/1107511389.db2.gz CCPKVLWUEIMEJN-GOSISDBHSA-N 0 1 314.473 3.158 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001322116018 1107520213 /nfs/dbraw/zinc/52/02/13/1107520213.db2.gz DVWMLDYBGTXALA-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](C)c1ccc(Cl)cc1)C1CC1 ZINC001317556274 1107535252 /nfs/dbraw/zinc/53/52/52/1107535252.db2.gz FGUQGWOWOUBTLS-AWEZNQCLSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN(CCNC(=O)[C@H](CC(C)C)c1ccccc1)C1CC1 ZINC001317557844 1107535789 /nfs/dbraw/zinc/53/57/89/1107535789.db2.gz ATDHHONTHAUXGR-LJQANCHMSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN[C@H](C)c1ccc(F)cc1F ZINC001317619415 1107566666 /nfs/dbraw/zinc/56/66/66/1107566666.db2.gz YTRMJJNHJWTYMM-GFCCVEGCSA-N 0 1 310.388 3.286 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C)cc1Cl ZINC001317632011 1107573834 /nfs/dbraw/zinc/57/38/34/1107573834.db2.gz QJQISCQDMFYDEU-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001322235710 1107584058 /nfs/dbraw/zinc/58/40/58/1107584058.db2.gz HQWLUSFCRNBFPH-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CC[N@@H+](CCC(F)(F)F)C1 ZINC001317754256 1107640427 /nfs/dbraw/zinc/64/04/27/1107640427.db2.gz QAEQMQFFCVEYDP-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001317754256 1107640431 /nfs/dbraw/zinc/64/04/31/1107640431.db2.gz QAEQMQFFCVEYDP-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(F)ccc2F)C1 ZINC001317758544 1107643644 /nfs/dbraw/zinc/64/36/44/1107643644.db2.gz JEPSOOPMZUOSOQ-HNNXBMFYSA-N 0 1 322.399 3.467 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001317761280 1107645739 /nfs/dbraw/zinc/64/57/39/1107645739.db2.gz IUYWYUZAQNIIBT-YESZJQIVSA-N 0 1 318.486 3.351 20 30 DGEDMN N#CCOc1cccc(CN[C@H]2CCc3c2nccc3Cl)c1 ZINC001262202110 1107649503 /nfs/dbraw/zinc/64/95/03/1107649503.db2.gz NQLVVRXIXCVHOF-INIZCTEOSA-N 0 1 313.788 3.414 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@](C)(F)CCCC)C1 ZINC001317790770 1107670499 /nfs/dbraw/zinc/67/04/99/1107670499.db2.gz XVTJCVRPPCWWCG-GDBMZVCRSA-N 0 1 318.864 3.486 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001317795057 1107672255 /nfs/dbraw/zinc/67/22/55/1107672255.db2.gz HGBIOHNPKWVSDB-NXOAAHMSSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC001317943863 1107757395 /nfs/dbraw/zinc/75/73/95/1107757395.db2.gz ZAKNEUIRFOZGIA-CHWSQXEVSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCNCc2ncoc2C(C)C)C1 ZINC001322690894 1107737718 /nfs/dbraw/zinc/73/77/18/1107737718.db2.gz RTXRVNIFKBTQTD-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C[C@@H]1CN(c2snc(Cl)c2C#N)CCN1C1CCCC1 ZINC001262706794 1107785114 /nfs/dbraw/zinc/78/51/14/1107785114.db2.gz ZPIXKPUTKJBKQI-SNVBAGLBSA-N 0 1 310.854 3.121 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC001318041096 1107804720 /nfs/dbraw/zinc/80/47/20/1107804720.db2.gz HDBWLHCDOXAKIF-YOEHRIQHSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCC[C@@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(COC)CCCCC1 ZINC001323245900 1107902065 /nfs/dbraw/zinc/90/20/65/1107902065.db2.gz IBESJXSNTWFBCB-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN([C@@H]2CCc3ccc(Cl)cc32)C1 ZINC001318240410 1107911876 /nfs/dbraw/zinc/91/18/76/1107911876.db2.gz KUHOHVSJOXISAY-DOTOQJQBSA-N 0 1 318.848 3.484 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001318252591 1107916730 /nfs/dbraw/zinc/91/67/30/1107916730.db2.gz DQOMEILHFKRZAG-INIZCTEOSA-N 0 1 324.424 3.173 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC2(CCN(CC3(C#N)CC3)C2)CC1 ZINC001323459063 1107981716 /nfs/dbraw/zinc/98/17/16/1107981716.db2.gz ZKWLDTPJTMSZMM-UHFFFAOYSA-N 0 1 319.449 3.013 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001323530306 1108001677 /nfs/dbraw/zinc/00/16/77/1108001677.db2.gz KCAXCPHQMWNFOP-INIZCTEOSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)c2ccccc2C)CC1 ZINC001323555480 1108010001 /nfs/dbraw/zinc/01/00/01/1108010001.db2.gz QNVLVKRIOAPLPQ-AWEZNQCLSA-N 0 1 320.864 3.480 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)[C@@H](C)CCC ZINC001263340480 1108022320 /nfs/dbraw/zinc/02/23/20/1108022320.db2.gz MCXFWXHINBCWHP-LBPRGKRZSA-N 0 1 302.426 3.178 20 30 DGEDMN Cn1c2ccccc2[nH+]c1[N-]N=Cc1nc(-c2ccccc2)c[nH]1 ZINC001318463051 1108028935 /nfs/dbraw/zinc/02/89/35/1108028935.db2.gz KKRTZPQVVGERCE-UHFFFAOYSA-N 0 1 316.368 3.409 20 30 DGEDMN N#C[C@H](C(=O)C=C(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001263375299 1108029038 /nfs/dbraw/zinc/02/90/38/1108029038.db2.gz LNYJBDMNMMBJCY-OAHLLOKOSA-N 0 1 318.380 3.135 20 30 DGEDMN N#CC(C(=O)C=C(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001263375299 1108029052 /nfs/dbraw/zinc/02/90/52/1108029052.db2.gz LNYJBDMNMMBJCY-OAHLLOKOSA-N 0 1 318.380 3.135 20 30 DGEDMN N#CC(=C(O)C=C(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001263375299 1108029065 /nfs/dbraw/zinc/02/90/65/1108029065.db2.gz LNYJBDMNMMBJCY-OAHLLOKOSA-N 0 1 318.380 3.135 20 30 DGEDMN Cc1ccccc1-n1cc(C(=O)C(C#N)c2cccc(F)n2)cn1 ZINC001263378027 1108031509 /nfs/dbraw/zinc/03/15/09/1108031509.db2.gz SZKAUXKFTVEFDB-AWEZNQCLSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1ccccc1-n1cc(C(=O)[C@@H](C#N)c2cccc(F)n2)cn1 ZINC001263378027 1108031524 /nfs/dbraw/zinc/03/15/24/1108031524.db2.gz SZKAUXKFTVEFDB-AWEZNQCLSA-N 0 1 320.327 3.205 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)Cc2ccccc2C)CC1 ZINC001323625010 1108033162 /nfs/dbraw/zinc/03/31/62/1108033162.db2.gz RYOYLUYJZZFJRA-CQSZACIVSA-N 0 1 320.864 3.165 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001318485940 1108046057 /nfs/dbraw/zinc/04/60/57/1108046057.db2.gz VCVHSONIGMXINA-QWHCGFSZSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001318490800 1108050345 /nfs/dbraw/zinc/05/03/45/1108050345.db2.gz DGOADJGKVQOSOJ-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@H](C)c2ccccc2)C1 ZINC001318491707 1108052834 /nfs/dbraw/zinc/05/28/34/1108052834.db2.gz KQSJIHLELYKDFA-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001318491510 1108053479 /nfs/dbraw/zinc/05/34/79/1108053479.db2.gz KBPJHFAJMLGULV-ZFWWWQNUSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001318492195 1108053996 /nfs/dbraw/zinc/05/39/96/1108053996.db2.gz OLYIOYXVKZVTIG-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN CC[C@H](CNC(=O)CC(C)(C)C)NCc1cc(C#N)ccc1F ZINC001318504940 1108066127 /nfs/dbraw/zinc/06/61/27/1108066127.db2.gz WTWFKCOEKNRGHH-OAHLLOKOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCO[C@@H]1CCN(CC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001323727912 1108067827 /nfs/dbraw/zinc/06/78/27/1108067827.db2.gz JRHQGVKUZYHJPE-YMVOSSCXSA-N 0 1 303.446 3.049 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)NCc1c(F)cccc1Cl ZINC001318517101 1108075021 /nfs/dbraw/zinc/07/50/21/1108075021.db2.gz AGDFGBOMBCYIPG-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(C)cccc1Cl ZINC001263616603 1108111571 /nfs/dbraw/zinc/11/15/71/1108111571.db2.gz AJENKBDLLCFMFG-ZDUSSCGKSA-N 0 1 301.777 3.284 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001323969852 1108133450 /nfs/dbraw/zinc/13/34/50/1108133450.db2.gz HVIBJCZMYZDWNX-OAHLLOKOSA-N 0 1 317.477 3.488 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)cc2OC)C1 ZINC001324004687 1108145391 /nfs/dbraw/zinc/14/53/91/1108145391.db2.gz OWPHLIKPFMNPFL-OAHLLOKOSA-N 0 1 316.445 3.115 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](C)c1ccc(Br)c(F)c1 ZINC001324022866 1108149890 /nfs/dbraw/zinc/14/98/90/1108149890.db2.gz SUMWNVCXTRVFCR-ONGXEEELSA-N 0 1 302.187 3.440 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncc(C(C)C)o2)C[C@@H]1C ZINC001324046033 1108158049 /nfs/dbraw/zinc/15/80/49/1108158049.db2.gz BCYPXKXQYWCFOB-GJZGRUSLSA-N 0 1 319.449 3.233 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CCCCC1(F)F ZINC001324198088 1108204494 /nfs/dbraw/zinc/20/44/94/1108204494.db2.gz AOEFPPUGEAMOMO-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN CC(N=Nc1ccc(C(C)(C)C)nn1)c1cc(F)c(N)c(F)c1 ZINC001324202747 1108205802 /nfs/dbraw/zinc/20/58/02/1108205802.db2.gz WSPDSIVWHCIDEB-UHFFFAOYSA-N 0 1 319.359 3.471 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CC1(C)CCCCC1 ZINC001263802277 1108207468 /nfs/dbraw/zinc/20/74/68/1108207468.db2.gz MUJPKJQOHWUCGV-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1csc(Cl)c1 ZINC001324234609 1108217689 /nfs/dbraw/zinc/21/76/89/1108217689.db2.gz FVDLFOWTHZNRSF-NSHDSACASA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(CC(C)C)c[nH]1 ZINC001324260781 1108225565 /nfs/dbraw/zinc/22/55/65/1108225565.db2.gz LCGJSDXQEWMXME-OAHLLOKOSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C[C@H](C(=O)N1CCC(c2cn[nH]c2C)CC1)c1ccccc1 ZINC001263820271 1108233460 /nfs/dbraw/zinc/23/34/60/1108233460.db2.gz ROVQBEDQYHWVFP-KRWDZBQOSA-N 0 1 309.413 3.394 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001263833607 1108242958 /nfs/dbraw/zinc/24/29/58/1108242958.db2.gz GUFTXRLHJMKAFN-ADASEBELSA-N 0 1 319.276 3.392 20 30 DGEDMN CCCC1CCN(CC(=O)N(CCC#N)c2ccccc2)CC1 ZINC001319035211 1108286815 /nfs/dbraw/zinc/28/68/15/1108286815.db2.gz GBJGYQLWARQHBT-UHFFFAOYSA-N 0 1 313.445 3.445 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(C(F)(F)F)CCC1 ZINC001319085849 1108310100 /nfs/dbraw/zinc/31/01/00/1108310100.db2.gz WAHBFDCTADDJEF-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN COC(=O)c1cccc(/C=C\C(=O)c2cc(F)ccc2O)c1 ZINC001319123583 1108321332 /nfs/dbraw/zinc/32/13/32/1108321332.db2.gz LLUSKZSQERMOJE-ALCCZGGFSA-N 0 1 300.285 3.214 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CSCCC ZINC001263980994 1108322204 /nfs/dbraw/zinc/32/22/04/1108322204.db2.gz OWXRMAZKHPRPSF-OCCSQVGLSA-N 0 1 318.914 3.099 20 30 DGEDMN CC(C)[C@@]1(CO)CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC001324570034 1108345012 /nfs/dbraw/zinc/34/50/12/1108345012.db2.gz DGQKTCPRGAWRME-KRWDZBQOSA-N 0 1 322.836 3.083 20 30 DGEDMN CC(C)[C@@]1(CO)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC001324570034 1108345027 /nfs/dbraw/zinc/34/50/27/1108345027.db2.gz DGQKTCPRGAWRME-KRWDZBQOSA-N 0 1 322.836 3.083 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2c(C)nsc2C)[C@@H]1CC ZINC001264046189 1108348837 /nfs/dbraw/zinc/34/88/37/1108348837.db2.gz BSLBKLMXMWMICA-JKSUJKDBSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@H](C)c2ccccc2F)C1 ZINC001324590091 1108362268 /nfs/dbraw/zinc/36/22/68/1108362268.db2.gz RSIHJUKVASNEMJ-KDOFPFPSSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001319339970 1108419745 /nfs/dbraw/zinc/41/97/45/1108419745.db2.gz FFHINBXGRRRQDR-UHFFFAOYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1 ZINC001264367440 1108476445 /nfs/dbraw/zinc/47/64/45/1108476445.db2.gz YMCYMBVUQIVIEE-QGZVFWFLSA-N 0 1 300.446 3.240 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1cccc2ccccc21 ZINC001264378640 1108491781 /nfs/dbraw/zinc/49/17/81/1108491781.db2.gz NRFKEDPERGGZBB-LJQANCHMSA-N 0 1 322.452 3.491 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1sccc1C ZINC001264379027 1108493609 /nfs/dbraw/zinc/49/36/09/1108493609.db2.gz XKQSXUNOBXCDHQ-INIZCTEOSA-N 0 1 320.502 3.488 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCCc2ccccc21 ZINC001264378812 1108494222 /nfs/dbraw/zinc/49/42/22/1108494222.db2.gz RNCGXPRPDFNNKC-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1F ZINC001319513830 1108500356 /nfs/dbraw/zinc/50/03/56/1108500356.db2.gz DBTCKNLAMNCYEY-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl ZINC001319512958 1108501978 /nfs/dbraw/zinc/50/19/78/1108501978.db2.gz XQCKCJKSJQXQDO-HBZGRHIISA-N 0 1 307.265 3.344 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001264404674 1108511092 /nfs/dbraw/zinc/51/10/92/1108511092.db2.gz IUEQDMVWOZNODR-YXWLAPQGSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(C)cc(C)cc1C ZINC001319579220 1108535607 /nfs/dbraw/zinc/53/56/07/1108535607.db2.gz KZMBRUYYIJGGPD-OAHLLOKOSA-N 0 1 308.853 3.414 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@H](C)C2CC2)C1 ZINC001264447879 1108538285 /nfs/dbraw/zinc/53/82/85/1108538285.db2.gz MUOKCWOUERAOCT-ZYSHUDEJSA-N 0 1 312.457 3.193 20 30 DGEDMN CN(C)c1cccc(Cl)c1CN=Nc1ccc([N+](=O)[O-])cn1 ZINC001319600981 1108547131 /nfs/dbraw/zinc/54/71/31/1108547131.db2.gz CLDCHJURMJUTGX-UHFFFAOYSA-N 0 1 319.752 3.155 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CC(C)(F)F)C1CCCCC1 ZINC001319659132 1108580319 /nfs/dbraw/zinc/58/03/19/1108580319.db2.gz HFSQALAQJWANHP-ZDUSSCGKSA-N 0 1 322.827 3.439 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)[C@@H]1C ZINC001264715289 1108629461 /nfs/dbraw/zinc/62/94/61/1108629461.db2.gz SUCZPCYEPPRGFC-QKFVEJEJSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC)CCC1)c1ccccc1CC ZINC001325365684 1108634995 /nfs/dbraw/zinc/63/49/95/1108634995.db2.gz JVPKZKAPBIWEIL-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)c1ccccc1CC ZINC001325364484 1108635465 /nfs/dbraw/zinc/63/54/65/1108635465.db2.gz AXWQVUQFALSBRV-XUVXKRRUSA-N 0 1 324.468 3.065 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cocc1C ZINC001319851624 1108663276 /nfs/dbraw/zinc/66/32/76/1108663276.db2.gz BIPGNPBCWSQTQK-IAQYHMDHSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1cc(C)no1 ZINC001265085281 1108726700 /nfs/dbraw/zinc/72/67/00/1108726700.db2.gz CWYGXEUKVGQKQT-DOTOQJQBSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC001265139425 1108748235 /nfs/dbraw/zinc/74/82/35/1108748235.db2.gz NNTVITQLXDRHGO-CVEARBPZSA-N 0 1 312.885 3.393 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CCC2CCCCC2)CC1 ZINC001265200285 1108772633 /nfs/dbraw/zinc/77/26/33/1108772633.db2.gz MLHGIAMMEVMYLH-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001265212682 1108780522 /nfs/dbraw/zinc/78/05/22/1108780522.db2.gz DQCRXAVJPHJVNF-ICEKFOEVSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001265212685 1108780542 /nfs/dbraw/zinc/78/05/42/1108780542.db2.gz DQCRXAVJPHJVNF-YRVHBARZSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CCc2ccccc2F)C1 ZINC001265225156 1108786900 /nfs/dbraw/zinc/78/69/00/1108786900.db2.gz SEBXBIWPJPCSLB-QGZVFWFLSA-N 0 1 318.436 3.305 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001265226423 1108789941 /nfs/dbraw/zinc/78/99/41/1108789941.db2.gz BNKWHXSIPOURMP-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001265297519 1108811150 /nfs/dbraw/zinc/81/11/50/1108811150.db2.gz SJCBEKYROKIGNE-GOSISDBHSA-N 0 1 312.457 3.467 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC001265340375 1108834450 /nfs/dbraw/zinc/83/44/50/1108834450.db2.gz PLOLGXKZPULOLN-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001265559206 1108909402 /nfs/dbraw/zinc/90/94/02/1108909402.db2.gz ILLFENVSCFLIMI-BETUJISGSA-N 0 1 321.490 3.045 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCCN(C/C=C\Cl)C1 ZINC001265588777 1108921835 /nfs/dbraw/zinc/92/18/35/1108921835.db2.gz IPXAFUCXLBLENM-JXMNSVBMSA-N 0 1 310.869 3.151 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001265589533 1108922906 /nfs/dbraw/zinc/92/29/06/1108922906.db2.gz ULEDDXKNWQEGKB-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](F)c1ccccc1 ZINC001265683561 1108964489 /nfs/dbraw/zinc/96/44/89/1108964489.db2.gz BUYQRXBKDSMBGI-JKSUJKDBSA-N 0 1 324.827 3.420 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(C)CC(=C)C2)C1 ZINC001265705315 1108976041 /nfs/dbraw/zinc/97/60/41/1108976041.db2.gz HGBVARQWVNIRLY-CABCVRRESA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001265792061 1109005828 /nfs/dbraw/zinc/00/58/28/1109005828.db2.gz GUDDNJZDWBNRCB-LBPRGKRZSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(F)c(Cl)cc1F ZINC001265815919 1109013651 /nfs/dbraw/zinc/01/36/51/1109013651.db2.gz KKHUOCBRCUIVNK-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001265844225 1109023219 /nfs/dbraw/zinc/02/32/19/1109023219.db2.gz WTMZLCGRLANVEG-DZGCQCFKSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@@H](C)CC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001265895002 1109036429 /nfs/dbraw/zinc/03/64/29/1109036429.db2.gz BNMFVLAZSMZUPV-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001266069686 1109079164 /nfs/dbraw/zinc/07/91/64/1109079164.db2.gz ZJNRTRBEOJOMOV-KGLIPLIRSA-N 0 1 307.463 3.016 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001266123785 1109100874 /nfs/dbraw/zinc/10/08/74/1109100874.db2.gz OLPZOLNGCYAOSZ-KRWDZBQOSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001266212115 1109128540 /nfs/dbraw/zinc/12/85/40/1109128540.db2.gz JMEKYCKLUQPSHK-CWUFLNSKSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC001266236566 1109135461 /nfs/dbraw/zinc/13/54/61/1109135461.db2.gz KBCGVWVDUAFSIH-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001266236389 1109135565 /nfs/dbraw/zinc/13/55/65/1109135565.db2.gz GYFUQCDAZSVJNN-RDJZCZTQSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001279274205 1109144290 /nfs/dbraw/zinc/14/42/90/1109144290.db2.gz SBNUUPPSFAIEPF-NFOMZHRRSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2=CCN(Cc3ccsc3)CC2)C1 ZINC001279637427 1109159650 /nfs/dbraw/zinc/15/96/50/1109159650.db2.gz XHCYOTLPTGOHLC-UHFFFAOYSA-N 0 1 316.470 3.353 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001280184446 1109179326 /nfs/dbraw/zinc/17/93/26/1109179326.db2.gz FXCMWHVJEXELQG-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)c(C)cn1 ZINC001280446756 1109204833 /nfs/dbraw/zinc/20/48/33/1109204833.db2.gz QBNGNAARIPRKLG-OAHLLOKOSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cnoc2C)[C@@H](C)C1 ZINC001281668162 1109391870 /nfs/dbraw/zinc/39/18/70/1109391870.db2.gz BAHUOHATSCKRNH-XJKSGUPXSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cnc(C)s2)[C@@H](C)C1 ZINC001281677791 1109394323 /nfs/dbraw/zinc/39/43/23/1109394323.db2.gz XJHQBJHZLWDAKI-DZGCQCFKSA-N 0 1 321.490 3.277 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@@H](C)CC ZINC001281775903 1109406111 /nfs/dbraw/zinc/40/61/11/1109406111.db2.gz FZIUOJCHZXKOCI-LPHOPBHVSA-N 0 1 312.457 3.162 20 30 DGEDMN CC(C)N1CCc2ccccc2[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001281776415 1109407122 /nfs/dbraw/zinc/40/71/22/1109407122.db2.gz NKHUYDFQPTYXRS-SFHVURJKSA-N 0 1 312.457 3.160 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1(C)CCCC1 ZINC001281777282 1109407271 /nfs/dbraw/zinc/40/72/71/1109407271.db2.gz UANGWDITNCJGCX-IBGZPJMESA-N 0 1 324.468 3.306 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)CC1CCC1 ZINC001281928075 1109416346 /nfs/dbraw/zinc/41/63/46/1109416346.db2.gz IRGKUZWZXXWHAS-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C[C@H](C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1)C1CC1 ZINC001281929069 1109416468 /nfs/dbraw/zinc/41/64/68/1109416468.db2.gz OBCINRMEWKUNOA-PXNSSMCTSA-N 0 1 324.468 3.007 20 30 DGEDMN C[C@H](C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1)C1CC1 ZINC001281929068 1109416492 /nfs/dbraw/zinc/41/64/92/1109416492.db2.gz OBCINRMEWKUNOA-FXAWDEMLSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001281939395 1109418106 /nfs/dbraw/zinc/41/81/06/1109418106.db2.gz FLRCDGRFVLZXEC-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H]1CCc2ccccc21 ZINC001281965207 1109423508 /nfs/dbraw/zinc/42/35/08/1109423508.db2.gz PCYYUSYNEQRXKN-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc([C@H](C)CC)cc1 ZINC001281967007 1109424410 /nfs/dbraw/zinc/42/44/10/1109424410.db2.gz DLDFWIHNDVTFFV-APWZRJJASA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1c(CC)oc2ccccc21 ZINC001281967048 1109424903 /nfs/dbraw/zinc/42/49/03/1109424903.db2.gz DXUBZCWMQBJRKA-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1c(C)onc1CC ZINC001282133163 1109456053 /nfs/dbraw/zinc/45/60/53/1109456053.db2.gz KLODNKZWKOXDPZ-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1nocc1C ZINC001282311404 1109482295 /nfs/dbraw/zinc/48/22/95/1109482295.db2.gz ZXQJFWAKFZGUNP-GOSISDBHSA-N 0 1 321.465 3.160 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(C2CCCC2)c1 ZINC001282477398 1109520972 /nfs/dbraw/zinc/52/09/72/1109520972.db2.gz IZLZBZRITCXCSJ-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001282660703 1109545920 /nfs/dbraw/zinc/54/59/20/1109545920.db2.gz CIYAXXOFVNEILD-LVQDSQLDSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1cncs1 ZINC001282675144 1109549546 /nfs/dbraw/zinc/54/95/46/1109549546.db2.gz KNIFHJHVORZZIM-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001282683698 1109552116 /nfs/dbraw/zinc/55/21/16/1109552116.db2.gz YAHDYMZTJCMWQP-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001282736479 1109558677 /nfs/dbraw/zinc/55/86/77/1109558677.db2.gz CUDKGETVBXPZOE-JKSUJKDBSA-N 0 1 300.446 3.193 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001282740856 1109560345 /nfs/dbraw/zinc/56/03/45/1109560345.db2.gz NBLRCXGQRHCMND-AEFFLSMTSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)C(CCC)CCC)C2)C1 ZINC001282780379 1109563412 /nfs/dbraw/zinc/56/34/12/1109563412.db2.gz AOSUGFRNXARUNU-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCC(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001283571317 1109654877 /nfs/dbraw/zinc/65/48/77/1109654877.db2.gz HVFVBVZAIZJUIP-HNNXBMFYSA-N 0 1 320.864 3.318 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001283605320 1109660104 /nfs/dbraw/zinc/66/01/04/1109660104.db2.gz CJPDLXMSCDLHSQ-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001283643982 1109665183 /nfs/dbraw/zinc/66/51/83/1109665183.db2.gz WTBOFZBUYIEPGG-WMLDXEAASA-N 0 1 320.864 3.369 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001284130241 1109743217 /nfs/dbraw/zinc/74/32/17/1109743217.db2.gz GZPRTXKAGSVCDZ-QWHCGFSZSA-N 0 1 306.372 3.264 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cncc(C)c2)[C@@H]1C ZINC001284130299 1109744375 /nfs/dbraw/zinc/74/43/75/1109744375.db2.gz KKHJUJNFUCLGCB-NVXWUHKLSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001284613328 1109825237 /nfs/dbraw/zinc/82/52/37/1109825237.db2.gz DHSUBRCZEPGKQT-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CCN(Cc2cnc(C)s2)CC1 ZINC001284678242 1109839147 /nfs/dbraw/zinc/83/91/47/1109839147.db2.gz YTPLDDLALVOZMO-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001284789537 1109861010 /nfs/dbraw/zinc/86/10/10/1109861010.db2.gz DESOWXJYGCMYQL-OFMGDNQJSA-N 0 1 319.276 3.487 20 30 DGEDMN C#CCN1CC=C(CNC(=O)CC(C)(C)C2CCCCC2)CC1 ZINC001284976967 1109886842 /nfs/dbraw/zinc/88/68/42/1109886842.db2.gz OWYXSTSNAINLHO-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCCCCn1c(C2CC2)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001286687903 1110096455 /nfs/dbraw/zinc/09/64/55/1110096455.db2.gz TVBMMLMDKUUOEC-HNNXBMFYSA-N 0 1 317.481 3.042 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)NC(=O)c1cccc(F)c1O ZINC001289102594 1110204964 /nfs/dbraw/zinc/20/49/64/1110204964.db2.gz QEEBVBMJVNHCIG-UHFFFAOYSA-N 0 1 312.344 3.154 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(CN2CCCC2)o1)c1cccc(C#N)c1 ZINC001303621780 1111148926 /nfs/dbraw/zinc/14/89/26/1111148926.db2.gz CNFDEWPRXNSEKK-CQSZACIVSA-N 0 1 323.396 3.238 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2sc(C)nc2C)C1 ZINC001317371199 1111186430 /nfs/dbraw/zinc/18/64/30/1111186430.db2.gz RQMWJBNYZLVITJ-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCCc2ccccc2)[C@H]1CC ZINC001316736538 1111198153 /nfs/dbraw/zinc/19/81/53/1111198153.db2.gz LJCJSFQNZAJPGB-RBUKOAKNSA-N 0 1 312.457 3.002 20 30 DGEDMN Cc1nc(N2CCN(C3CCCC3)[C@H](C)C2)c(Cl)cc1C#N ZINC001307209699 1111279192 /nfs/dbraw/zinc/27/91/92/1111279192.db2.gz KQOUHOMVVIHEMU-GFCCVEGCSA-N 0 1 318.852 3.368 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)c2ccc(F)cc2Cl)CC1 ZINC001307440419 1111300539 /nfs/dbraw/zinc/30/05/39/1111300539.db2.gz LUXFJBYYVJUKAY-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1csc(C#N)c1 ZINC001308635684 1111453782 /nfs/dbraw/zinc/45/37/82/1111453782.db2.gz UHGNXGUBYLIENY-ZDUSSCGKSA-N 0 1 323.425 3.393 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2ccc(C(=O)OC)cc2)o1)C(C)C ZINC001308751555 1111469949 /nfs/dbraw/zinc/46/99/49/1111469949.db2.gz UMYRIFLNWNOCTQ-QGZVFWFLSA-N 0 1 311.381 3.481 20 30 DGEDMN C=CCCn1cc(CN2C[C@H]3[C@H](C[C@@H]3c3ccccc3)C2)nn1 ZINC001308900837 1111490884 /nfs/dbraw/zinc/49/08/84/1111490884.db2.gz NDTHOEBFPCSMAW-QRQLOZEOSA-N 0 1 308.429 3.090 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccccc1-c1cccs1 ZINC001309986024 1111548162 /nfs/dbraw/zinc/54/81/62/1111548162.db2.gz GBIIPYYQVYSCQU-UHFFFAOYSA-N 0 1 313.426 3.102 20 30 DGEDMN CCCCC(=O)N1C[C@H]2CCN(CC#Cc3ccccc3)C[C@H]2C1 ZINC001316746852 1111758629 /nfs/dbraw/zinc/75/86/29/1111758629.db2.gz BUXJRCAONJYMNZ-UXHICEINSA-N 0 1 324.468 3.009 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCN(C[C@H](F)CC)[C@@H]2C)CCCCC1 ZINC001316800731 1111770383 /nfs/dbraw/zinc/77/03/83/1111770383.db2.gz JGJYNGAAAZGKSE-ZACQAIPSSA-N 0 1 322.468 3.287 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H]1C=CCCC1 ZINC001316802973 1111772118 /nfs/dbraw/zinc/77/21/18/1111772118.db2.gz UCQKYABZKXASCS-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C/C=C/c1ccc(C)cc1 ZINC001316807097 1111774423 /nfs/dbraw/zinc/77/44/23/1111774423.db2.gz ZOXVHCQGLIWQAW-DUIUGDAFSA-N 0 1 324.468 3.344 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C1CCC=CCC1 ZINC001316867929 1111785944 /nfs/dbraw/zinc/78/59/44/1111785944.db2.gz AKDUOLHVOGUMKF-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN N#Cc1ccc(CN2CCC(Oc3ncccc3F)CC2)cc1 ZINC000278337490 214099627 /nfs/dbraw/zinc/09/96/27/214099627.db2.gz PBBQTJZNUACNTQ-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN N#Cc1cccc(CN2CCC[N@@H+](Cc3ccccc3)CC2)c1F ZINC000323620682 231216828 /nfs/dbraw/zinc/21/68/28/231216828.db2.gz NNHFFKBFOOFQHB-UHFFFAOYSA-N 0 1 323.415 3.405 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H](C)CC(=O)N(C)Cc1ccccc1Cl ZINC000184094278 529448516 /nfs/dbraw/zinc/44/85/16/529448516.db2.gz ZAOXODVJRCIBBO-ZFWWWQNUSA-N 0 1 321.852 3.359 20 30 DGEDMN CCc1cc(OC)ccc1CN1CCC(C#N)(COC)CC1 ZINC000342844589 529568209 /nfs/dbraw/zinc/56/82/09/529568209.db2.gz ZSGUZSPTPVQKMU-UHFFFAOYSA-N 0 1 302.418 3.010 20 30 DGEDMN Cc1nnc(NC(=O)c2cccc(Oc3ccc(C#N)cc3)c2)[nH]1 ZINC000103258709 432005780 /nfs/dbraw/zinc/00/57/80/432005780.db2.gz SQRPFFMLAUGFBH-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN Cc1n[nH]c(NC(=O)c2cccc(Oc3ccc(C#N)cc3)c2)n1 ZINC000103258709 432005782 /nfs/dbraw/zinc/00/57/82/432005782.db2.gz SQRPFFMLAUGFBH-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN Cc1oc(NC(=O)CN(C)C2CCC(C)CC2)c(C#N)c1C ZINC000097010184 185396793 /nfs/dbraw/zinc/39/67/93/185396793.db2.gz PNUTUZOLUAWHPO-UHFFFAOYSA-N 0 1 303.406 3.217 20 30 DGEDMN CC(=O)Nc1cc(C(=O)C(C#N)c2nc(C)cs2)ccc1F ZINC000066504332 432017403 /nfs/dbraw/zinc/01/74/03/432017403.db2.gz QOGRKLZTXFMCBL-LLVKDONJSA-N 0 1 317.345 3.039 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000172385055 198089609 /nfs/dbraw/zinc/08/96/09/198089609.db2.gz MLFYXWKYVJIGIT-GOSISDBHSA-N 0 1 321.380 3.015 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2snc(Cl)c2C#N)n[nH]1 ZINC000277172957 213344862 /nfs/dbraw/zinc/34/48/62/213344862.db2.gz HYFYWHHGWVMMCH-RQJHMYQMSA-N 0 1 310.814 3.473 20 30 DGEDMN CSCC[C@@H](C)N(C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000072666047 191211908 /nfs/dbraw/zinc/21/19/08/191211908.db2.gz ORZNNLVPRPHZDV-GFCCVEGCSA-N 0 1 316.430 3.182 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N(C)CCc1cccs1 ZINC000174156975 198299788 /nfs/dbraw/zinc/29/97/88/198299788.db2.gz RJGGCKZPINWOAV-ZDUSSCGKSA-N 0 1 313.426 3.121 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)C=Cc2cccc(C#N)c2)n[nH]1 ZINC000277079698 213280904 /nfs/dbraw/zinc/28/09/04/213280904.db2.gz OHFCFBNHZPZAFG-VSONXHSHSA-N 0 1 323.400 3.080 20 30 DGEDMN N#CCCCN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000280760853 283052065 /nfs/dbraw/zinc/05/20/65/283052065.db2.gz OGTBQGAWIURKQM-LBPRGKRZSA-N 0 1 306.715 3.286 20 30 DGEDMN Cn1cc(CN2CCC(OC3CCCCC3)CC2)cc1C#N ZINC000276807615 213106437 /nfs/dbraw/zinc/10/64/37/213106437.db2.gz XARLYOADUZBKGF-UHFFFAOYSA-N 0 1 301.434 3.210 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)NC(CC)CC ZINC000411165347 283293049 /nfs/dbraw/zinc/29/30/49/283293049.db2.gz JRWODXUGWJRNES-OAHLLOKOSA-N 0 1 315.461 3.464 20 30 DGEDMN CCN(Cc1ccc(C(=O)N(C)C)[nH]1)[C@H](C)c1ccc(C#N)cc1 ZINC000179463335 199040318 /nfs/dbraw/zinc/04/03/18/199040318.db2.gz ZZYVLURUOOUZBT-CQSZACIVSA-N 0 1 324.428 3.171 20 30 DGEDMN C[C@H](O)[C@H]1CCCCN1Cc1ccc(C#N)cc1Br ZINC000181103826 199253115 /nfs/dbraw/zinc/25/31/15/199253115.db2.gz UJASJXHRFWUHSQ-XHDPSFHLSA-N 0 1 323.234 3.056 20 30 DGEDMN CS[C@H]1CCCCN([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000134614109 432081660 /nfs/dbraw/zinc/08/16/60/432081660.db2.gz CDSBLKFVMSXZQM-CJNGLKHVSA-N 0 1 317.458 3.103 20 30 DGEDMN Cc1cccc(CN(C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)c1C ZINC000428721961 238029807 /nfs/dbraw/zinc/02/98/07/238029807.db2.gz VGVNKMXKVFQVQF-QFBILLFUSA-N 0 1 315.461 3.178 20 30 DGEDMN COCCN(Cc1cc(C#N)ccc1F)[C@H]1CCCSC1 ZINC000433199680 238150288 /nfs/dbraw/zinc/15/02/88/238150288.db2.gz MQXVUNRYUPEISV-HNNXBMFYSA-N 0 1 308.422 3.041 20 30 DGEDMN COc1cccc(OC)c1OCCCCN(C)CC(C)(C)C#N ZINC000433304244 238154483 /nfs/dbraw/zinc/15/44/83/238154483.db2.gz KLMBTFZAACHIDH-UHFFFAOYSA-N 0 1 320.433 3.344 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2nccn2CCCc2ccccc2)C1 ZINC000442781741 239122537 /nfs/dbraw/zinc/12/25/37/239122537.db2.gz OVCYAOGIESJVPK-QZTJIDSGSA-N 0 1 308.429 3.298 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OCC(F)F)cc2)cc1O ZINC000442645613 239103934 /nfs/dbraw/zinc/10/39/34/239103934.db2.gz FCXLPTIRKVGPOU-UHFFFAOYSA-N 0 1 318.279 3.160 20 30 DGEDMN CCCCC[C@@H](C)NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271852316 209268387 /nfs/dbraw/zinc/26/83/87/209268387.db2.gz XTDAIFWJGPSUFL-OAHLLOKOSA-N 0 1 313.445 3.222 20 30 DGEDMN COCC[C@H](NCc1ccc(C#N)c(OC)c1)c1ccco1 ZINC000281819479 216565887 /nfs/dbraw/zinc/56/58/87/216565887.db2.gz WSHCSZJJEMGCTN-HNNXBMFYSA-N 0 1 300.358 3.027 20 30 DGEDMN Cc1c([C@H](C)NCC#Cc2ccc(C(F)(F)F)cc2)cnn1C ZINC000180926292 432105875 /nfs/dbraw/zinc/10/58/75/432105875.db2.gz LOMIIRGLCYHCMQ-LBPRGKRZSA-N 0 1 321.346 3.450 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(C#N)ccc2Br)C[C@H]1C ZINC000451569899 241178194 /nfs/dbraw/zinc/17/81/94/241178194.db2.gz OXTUCTWBLTUIGF-IAQYHMDHSA-N 0 1 323.234 3.178 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cn2cc(Cl)cc(Cl)c2n1 ZINC000451603996 241186379 /nfs/dbraw/zinc/18/63/79/241186379.db2.gz FHRXWTGSYRXROV-OLZOCXBDSA-N 0 1 312.200 3.074 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1C(=O)Nc1ccc(C#N)cc1Cl ZINC000454989222 242080783 /nfs/dbraw/zinc/08/07/83/242080783.db2.gz MRSVWPKQGLWSKT-CYBMUJFWSA-N 0 1 320.824 3.160 20 30 DGEDMN CC[C@H]1CCCN([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000246279127 432115188 /nfs/dbraw/zinc/11/51/88/432115188.db2.gz AFCGLRVGQCRBAN-ZFWWWQNUSA-N 0 1 317.458 3.237 20 30 DGEDMN C[C@H]1C[C@H](c2ccccc2)CN1CC(=O)Nc1cccc(C#N)c1 ZINC000115552048 284113838 /nfs/dbraw/zinc/11/38/38/284113838.db2.gz XYWQFBYQHUXCGP-YJBOKZPZSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@H]1C[C@@H](c2ccccc2)CN1CC(=O)Nc1ccc(C#N)cc1 ZINC000115552576 284114084 /nfs/dbraw/zinc/11/40/84/284114084.db2.gz DGVAUFWLOUCHBM-MAUKXSAKSA-N 0 1 319.408 3.375 20 30 DGEDMN CC1CCN(CC(=O)NC2(C#N)CCC(C(C)(C)C)CC2)CC1 ZINC000470587167 244176424 /nfs/dbraw/zinc/17/64/24/244176424.db2.gz KXDGZKLWIFHYCG-UHFFFAOYSA-N 0 1 319.493 3.333 20 30 DGEDMN CCC1CCC(N(CCO)Cc2ccc(F)cc2C#N)CC1 ZINC000488210315 245162920 /nfs/dbraw/zinc/16/29/20/245162920.db2.gz MHDVZSVRUQLOLW-UHFFFAOYSA-N 0 1 304.409 3.460 20 30 DGEDMN C[C@@H]1CSCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000488221594 245163143 /nfs/dbraw/zinc/16/31/43/245163143.db2.gz POFOKAAOKBWGSX-NSHDSACASA-N 0 1 312.385 3.345 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H](Cc3ccccc3)C2)cc1 ZINC000124274730 284256235 /nfs/dbraw/zinc/25/62/35/284256235.db2.gz UJPVDOJRZFKCMJ-SFHVURJKSA-N 0 1 319.408 3.061 20 30 DGEDMN C[C@H](NCC(=O)N(C1CC1)C1CCCC1)c1ccc(C#N)cc1 ZINC000271722015 209156186 /nfs/dbraw/zinc/15/61/86/209156186.db2.gz XZZHFEMLXQFYPC-AWEZNQCLSA-N 0 1 311.429 3.142 20 30 DGEDMN C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1cc(C#N)cs1 ZINC000127192346 284330030 /nfs/dbraw/zinc/33/00/30/284330030.db2.gz BGYHZBZXWBUYPY-CYBMUJFWSA-N 0 1 323.425 3.393 20 30 DGEDMN CCCCC[C@@H](C)NC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271692159 209129977 /nfs/dbraw/zinc/12/99/77/209129977.db2.gz RTAJBSCYJCKDAZ-HUUCEWRRSA-N 0 1 301.434 3.294 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1Cl ZINC000016101283 182034362 /nfs/dbraw/zinc/03/43/62/182034362.db2.gz VAXPUHBNNTUYKG-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c1C ZINC000266496654 205389970 /nfs/dbraw/zinc/38/99/70/205389970.db2.gz UNFOQRHOTNJRGD-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN C[C@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccc(C#N)cc1 ZINC000266506258 205396672 /nfs/dbraw/zinc/39/66/72/205396672.db2.gz WMSMKEMTNGEDCU-AWEZNQCLSA-N 0 1 319.408 3.301 20 30 DGEDMN Cc1cc(C)c(NS(=O)(=O)c2ccccc2C#N)c(C)c1 ZINC000019805116 182152234 /nfs/dbraw/zinc/15/22/34/182152234.db2.gz BBFWVYPGXJUUCN-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN CC(C)C[C@@H]1CCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246462346 432133554 /nfs/dbraw/zinc/13/35/54/432133554.db2.gz NOHCFMGQCVWDJP-ZFWWWQNUSA-N 0 1 317.458 3.093 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1cccc2[nH]ccc21 ZINC000493999466 246105334 /nfs/dbraw/zinc/10/53/34/246105334.db2.gz IXDHTSLTCBHUON-HNNXBMFYSA-N 0 1 311.429 3.189 20 30 DGEDMN COC(=O)Nc1cccc(CNCc2cc(C#N)cs2)c1 ZINC000495685269 246295027 /nfs/dbraw/zinc/29/50/27/246295027.db2.gz DIFJVSWASGPCRM-UHFFFAOYSA-N 0 1 301.371 3.088 20 30 DGEDMN C[C@H](CC#N)N(C)CC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000495524500 246275645 /nfs/dbraw/zinc/27/56/45/246275645.db2.gz AUWGJJDRLQPDSR-CABCVRRESA-N 0 1 309.454 3.258 20 30 DGEDMN C[C@H](C#N)CN(C)CC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000496411720 246392656 /nfs/dbraw/zinc/39/26/56/246392656.db2.gz RAHHHHHJBBYZJI-HUUCEWRRSA-N 0 1 309.454 3.115 20 30 DGEDMN CSc1ccc(NS(=O)(=O)c2cccc(C)c2C#N)cc1 ZINC000137215927 284516733 /nfs/dbraw/zinc/51/67/33/284516733.db2.gz QVRLAUJRLKZPEY-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2cccc(F)c2C)c1C#N ZINC000137588512 284520608 /nfs/dbraw/zinc/52/06/08/284520608.db2.gz MEIQZRLGTOTXRR-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN Cc1cc2cc(NS(=O)(=O)c3ccccc3C#N)ccc2[nH]1 ZINC000031721908 182621441 /nfs/dbraw/zinc/62/14/41/182621441.db2.gz KWQXIWRILHCIIC-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN C[C@@H]1CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C[C@@H](C)C1 ZINC000246496025 432138287 /nfs/dbraw/zinc/13/82/87/432138287.db2.gz AYBTXNHFJAZRKV-VNHYZAJKSA-N 0 1 317.458 3.093 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccc(CC#N)cc3)CC2)c1 ZINC000057661389 184044797 /nfs/dbraw/zinc/04/47/97/184044797.db2.gz OUQWXTURQKCXQR-UHFFFAOYSA-N 0 1 305.425 3.383 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NC[C@H](c1ccco1)N(CC)CC)OCC ZINC000270041528 208096201 /nfs/dbraw/zinc/09/62/01/208096201.db2.gz JYKDWQAHNIFYJS-NVXWUHKLSA-N 0 1 322.449 3.150 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)s1)c1ccc([S@](C)=O)cc1 ZINC000270161945 208223488 /nfs/dbraw/zinc/22/34/88/208223488.db2.gz UGKSGFSNAOGZKJ-GIPWTMENSA-N 0 1 304.440 3.208 20 30 DGEDMN Cc1ccccc1[C@@H]1CCN(CC(=O)Nc2cccc(C#N)c2)C1 ZINC000337703524 253161919 /nfs/dbraw/zinc/16/19/19/253161919.db2.gz YMERKQCBBDURLN-QGZVFWFLSA-N 0 1 319.408 3.295 20 30 DGEDMN N#Cc1cccc(CN[C@H](Cc2ccccn2)c2cccnc2)c1 ZINC000338199026 253241720 /nfs/dbraw/zinc/24/17/20/253241720.db2.gz LWFBJDTUNJBCMM-HXUWFJFHSA-N 0 1 314.392 3.422 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)N[C@H]1CCN(C)[C@H]1c1ccccc1 ZINC000338122009 253227129 /nfs/dbraw/zinc/22/71/29/253227129.db2.gz XKNYYKIXOZZPID-OALUTQOASA-N 0 1 319.408 3.042 20 30 DGEDMN CC(=O)c1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)cc1 ZINC000338347098 253269376 /nfs/dbraw/zinc/26/93/76/253269376.db2.gz FTNDLGVZLJSVIF-CYBMUJFWSA-N 0 1 321.380 3.050 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)c1c[nH]c2ncccc12 ZINC000338363229 253273841 /nfs/dbraw/zinc/27/38/41/253273841.db2.gz QYCZCWUTGFICBX-STQMWFEESA-N 0 1 318.380 3.357 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@H](C)c1cccs1)c1ccccc1 ZINC000271662537 209105280 /nfs/dbraw/zinc/10/52/80/209105280.db2.gz DTKOICOQKTUUET-GDBMZVCRSA-N 0 1 312.438 3.280 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2csc(-c3ccncc3)n2)cc1O ZINC000338875983 253361622 /nfs/dbraw/zinc/36/16/22/253361622.db2.gz ZVBHAVFRYYINCQ-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CN(C)Cc1ccc(CNc2ncc(C#N)cc2Cl)cc1F ZINC000342800675 254053403 /nfs/dbraw/zinc/05/34/03/254053403.db2.gz SUJSGQKYGYSFED-UHFFFAOYSA-N 0 1 318.783 3.419 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)o1 ZINC000343069496 254094933 /nfs/dbraw/zinc/09/49/33/254094933.db2.gz ZIGAHJFMNMOXNV-CQSZACIVSA-N 0 1 322.324 3.131 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Cn3ccnc3)cc2)c(F)c1 ZINC000343280700 254123480 /nfs/dbraw/zinc/12/34/80/254123480.db2.gz UGYWQNMYMVCJIN-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN CCN(CC(=O)N(Cc1ccc(C#N)cc1)CC(C)C)C1CC1 ZINC000343748341 254184303 /nfs/dbraw/zinc/18/43/03/254184303.db2.gz WMBXVJDRKFCJOG-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C[C@@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C#N)c1 ZINC000344095547 254224625 /nfs/dbraw/zinc/22/46/25/254224625.db2.gz GLAXUHYXLNRGLN-BEFAXECRSA-N 0 1 321.424 3.428 20 30 DGEDMN CC(C)(C)[C@H]1CCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000344143902 254230968 /nfs/dbraw/zinc/23/09/68/254230968.db2.gz BFMFRPFFMSUEKY-KBPBESRZSA-N 0 1 317.458 3.093 20 30 DGEDMN Cc1oc(NC(=O)CN2CC[C@H](C(C)(C)C)C2)c(C#N)c1C ZINC000344204270 254238980 /nfs/dbraw/zinc/23/89/80/254238980.db2.gz GHRHXJXQVLLRHB-ZDUSSCGKSA-N 0 1 303.406 3.075 20 30 DGEDMN COc1cc2c(cc1OC)CN(Cc1ccc(CC#N)cc1)CC2 ZINC000342692756 533170455 /nfs/dbraw/zinc/17/04/55/533170455.db2.gz LLUASDOBKUSBSF-UHFFFAOYSA-N 0 1 322.408 3.328 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCC(C)(C)CC1 ZINC000339254573 533187285 /nfs/dbraw/zinc/18/72/85/533187285.db2.gz OIBWCSNSVINLNZ-INIZCTEOSA-N 0 1 313.445 3.444 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(OC2CCCC2)CC1 ZINC000120756607 195231682 /nfs/dbraw/zinc/23/16/82/195231682.db2.gz GJKSGFVXKCNALV-UHFFFAOYSA-N 0 1 314.429 3.490 20 30 DGEDMN CC[C@H](Cc1ccccc1)N(C)CC(=O)Nc1cccc(C#N)c1 ZINC000303871210 285286258 /nfs/dbraw/zinc/28/62/58/285286258.db2.gz HUVUTIRRJGEBDU-LJQANCHMSA-N 0 1 321.424 3.450 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2NCc1n[nH]c(C2CC2)n1 ZINC000295041294 224397529 /nfs/dbraw/zinc/39/75/29/224397529.db2.gz WSZUBUJDRNTYPP-UHFFFAOYSA-N 0 1 322.347 3.162 20 30 DGEDMN C[C@H](NCC(=O)NCCC1=CCCCC1)c1ccc(C#N)cc1 ZINC000271619227 209067444 /nfs/dbraw/zinc/06/74/44/209067444.db2.gz CNRCWMAFBCOVNW-HNNXBMFYSA-N 0 1 311.429 3.216 20 30 DGEDMN COc1ccc2c(c1)CCCCN2C(=O)c1ccc(C#N)c(O)c1 ZINC000443118736 533244904 /nfs/dbraw/zinc/24/49/04/533244904.db2.gz WHUZKSGVTUQMPB-UHFFFAOYSA-N 0 1 322.364 3.256 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2Br)[C@@H](C)C1 ZINC000441340746 533416795 /nfs/dbraw/zinc/41/67/95/533416795.db2.gz LCYIOXSUBDUYNL-SMDDNHRTSA-N 0 1 323.234 3.320 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)Cc1cccc2[nH]ccc21 ZINC000494144635 533501418 /nfs/dbraw/zinc/50/14/18/533501418.db2.gz IIKYZTGTOWIWRF-HNNXBMFYSA-N 0 1 313.445 3.413 20 30 DGEDMN CCOc1cc(NS(=O)(=O)c2ccccc2C#N)ccc1C ZINC000066588193 400101017 /nfs/dbraw/zinc/10/10/17/400101017.db2.gz QPCYRCYHXHGXFJ-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN C[C@H](OC[C@@H](O)CNCC1(C#N)CCC1)c1ccc(Cl)cc1 ZINC000581721538 400082097 /nfs/dbraw/zinc/08/20/97/400082097.db2.gz BKZZFRAWQKVDIY-BBRMVZONSA-N 0 1 322.836 3.062 20 30 DGEDMN Cc1nnc(NCc2nc3ccc(Cl)cc3[nH]2)c(C#N)c1C ZINC000609497084 400171939 /nfs/dbraw/zinc/17/19/39/400171939.db2.gz VIFGOIDCXJGZEZ-UHFFFAOYSA-N 0 1 312.764 3.107 20 30 DGEDMN CC[C@@H]1c2ccccc2CCN1CC(=O)N(CC)C[C@H](C)C#N ZINC000609625652 400182568 /nfs/dbraw/zinc/18/25/68/400182568.db2.gz IWSNBHIVUVYENY-CRAIPNDOSA-N 0 1 313.445 3.004 20 30 DGEDMN C[C@H](CN(C)CC(=O)Nc1sccc1C#N)c1ccccc1 ZINC000066853990 400125687 /nfs/dbraw/zinc/12/56/87/400125687.db2.gz QWHPIADRQSCJHT-CYBMUJFWSA-N 0 1 313.426 3.294 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1CCC2(CCCCC2)CC1 ZINC000608833575 400127453 /nfs/dbraw/zinc/12/74/53/400127453.db2.gz SUFRRTWIOJUQLT-WMZOPIPTSA-N 0 1 319.493 3.476 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2cccc(C)c2)CC1 ZINC000066921513 400129611 /nfs/dbraw/zinc/12/96/11/400129611.db2.gz DBVOEXSJZZLYNV-LJQANCHMSA-N 0 1 310.441 3.004 20 30 DGEDMN COc1cc([C@@H](C)NCc2ccc(C#N)c(Cl)c2)ccn1 ZINC000585726595 400134392 /nfs/dbraw/zinc/13/43/92/400134392.db2.gz XZOQGLHPDVYEAY-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN Cc1ccc(C[N@@H+]2Cc3ccccc3[C@@H](C(=O)[O-])C2)cc1C#N ZINC000600708074 400134457 /nfs/dbraw/zinc/13/44/57/400134457.db2.gz LJBODFFUJFJSKJ-SFHVURJKSA-N 0 1 306.365 3.051 20 30 DGEDMN Cc1cc([C@H](C)NCc2cnc3c(C#N)cnn3c2)c(C)s1 ZINC000609006628 400135153 /nfs/dbraw/zinc/13/51/53/400135153.db2.gz MUSMEGDHILFLND-NSHDSACASA-N 0 1 311.414 3.130 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(Cc2cccc(C#N)c2F)[C@@H]1C ZINC000595554729 400186524 /nfs/dbraw/zinc/18/65/24/400186524.db2.gz QHNRWCUYJAAOIU-WOSRLPQWSA-N 0 1 318.392 3.249 20 30 DGEDMN Cc1cc([C@H]2CCCN2CCC(=O)Nc2ccc(C#N)cc2)no1 ZINC000067962828 400219811 /nfs/dbraw/zinc/21/98/11/400219811.db2.gz JAYHMJCDQDNRLK-QGZVFWFLSA-N 0 1 324.384 3.020 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2nc3cc(C(=O)OC)ccc3[nH]2)C1 ZINC000595661639 400221058 /nfs/dbraw/zinc/22/10/58/400221058.db2.gz XWCBLJLCZIYVAA-ZDUSSCGKSA-N 0 1 313.401 3.138 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000595658708 400221192 /nfs/dbraw/zinc/22/11/92/400221192.db2.gz HUKDICBROWLUEZ-KGLIPLIRSA-N 0 1 317.389 3.210 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000595658624 400221635 /nfs/dbraw/zinc/22/16/35/400221635.db2.gz GETGQWHGKFXTNR-QGZVFWFLSA-N 0 1 311.429 3.221 20 30 DGEDMN N#Cc1nc(C2CC2)oc1N1CCN(CCC2CCCC2)CC1 ZINC000610212517 400223857 /nfs/dbraw/zinc/22/38/57/400223857.db2.gz BTZSTYQARJHEHB-UHFFFAOYSA-N 0 1 314.433 3.126 20 30 DGEDMN Cc1cccc2c1ncc(C#N)c2N1CCN(C2CCC2)CC1 ZINC000610278313 400231786 /nfs/dbraw/zinc/23/17/86/400231786.db2.gz GFMKFCQZLXOEFZ-UHFFFAOYSA-N 0 1 306.413 3.089 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H]2Cc2ccccc2)cc1 ZINC000025439576 400237483 /nfs/dbraw/zinc/23/74/83/400237483.db2.gz NRJLMZCVCKGLQU-IBGZPJMESA-N 0 1 319.408 3.204 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC([C@@H]2CCCCO2)CC1 ZINC000595781277 400256430 /nfs/dbraw/zinc/25/64/30/400256430.db2.gz CFNWTPBLOPWMJR-IBGZPJMESA-N 0 1 314.429 3.348 20 30 DGEDMN COc1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000610344013 400244194 /nfs/dbraw/zinc/24/41/94/400244194.db2.gz YJXHDRQDPDIGLM-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000610343252 400245006 /nfs/dbraw/zinc/24/50/06/400245006.db2.gz CKBVXPQKSHBQHK-CVEARBPZSA-N 0 1 321.424 3.222 20 30 DGEDMN COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2cccc(C#N)c2)cc1 ZINC000610346301 400245884 /nfs/dbraw/zinc/24/58/84/400245884.db2.gz SHTUBKZXCDNXLT-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](c3ccccc3)[C@H](CO)C2)ccc1F ZINC000595746578 400248700 /nfs/dbraw/zinc/24/87/00/400248700.db2.gz DJYRVDJIWRPYJK-RBUKOAKNSA-N 0 1 324.399 3.295 20 30 DGEDMN N#CC1(NC(=O)CN2CCC(C3CCCC3)CC2)CCCCC1 ZINC000610370835 400252147 /nfs/dbraw/zinc/25/21/47/400252147.db2.gz ODJMDRVRTOUMMS-UHFFFAOYSA-N 0 1 317.477 3.231 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000067708367 400196003 /nfs/dbraw/zinc/19/60/03/400196003.db2.gz QUTDTGWGUPTDGT-HNNXBMFYSA-N 0 1 305.422 3.046 20 30 DGEDMN CC(C)(C)N(CC(=O)N[C@](C)(C#N)C1CC1)Cc1ccsc1 ZINC000595608668 400202551 /nfs/dbraw/zinc/20/25/51/400202551.db2.gz TUINHDVDWRRFNG-QGZVFWFLSA-N 0 1 319.474 3.157 20 30 DGEDMN C[C@]12COC[C@@H]1CN(Cc1ccc(C#N)cc1C(F)(F)F)C2 ZINC000595770993 400254353 /nfs/dbraw/zinc/25/43/53/400254353.db2.gz YNQPNRKJJKFKKS-ZFWWWQNUSA-N 0 1 310.319 3.045 20 30 DGEDMN CN(C)[C@@H](CNC(=O)CCCCCC#N)c1cccc(F)c1 ZINC000588250374 400205405 /nfs/dbraw/zinc/20/54/05/400205405.db2.gz HGFXHULUXYFSBZ-INIZCTEOSA-N 0 1 305.397 3.019 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](C[C@H](O)Cc2ccc3ccccc3c2)C1 ZINC000595622579 400211246 /nfs/dbraw/zinc/21/12/46/400211246.db2.gz BYBIZFLLTBMRMV-OXQOHEQNSA-N 0 1 308.425 3.369 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](C[C@H](O)Cc2ccc3ccccc3c2)C1 ZINC000595622571 400211326 /nfs/dbraw/zinc/21/13/26/400211326.db2.gz BYBIZFLLTBMRMV-OXJNMPFZSA-N 0 1 308.425 3.369 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](CC[C@@H]2Sc3ccccc3NC2=O)C1 ZINC000595623560 400211456 /nfs/dbraw/zinc/21/14/56/400211456.db2.gz TZYFKTXGUWLOGL-CJNGLKHVSA-N 0 1 315.442 3.115 20 30 DGEDMN CC[C@@H](CC(F)F)CN1CCN(c2ccsc2C#N)CC1 ZINC000595639637 400215165 /nfs/dbraw/zinc/21/51/65/400215165.db2.gz ZQDGAUOIJYWAHI-LBPRGKRZSA-N 0 1 313.417 3.423 20 30 DGEDMN C[C@H]1CCCC[C@H]1NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595641128 400216607 /nfs/dbraw/zinc/21/66/07/400216607.db2.gz BQZKVZCBCWGGMY-WMLDXEAASA-N 0 1 313.445 3.078 20 30 DGEDMN C[C@H]1CCCC[C@@H]1NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595641129 400216684 /nfs/dbraw/zinc/21/66/84/400216684.db2.gz BQZKVZCBCWGGMY-YOEHRIQHSA-N 0 1 313.445 3.078 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N(C)C[C@H]2CC2(C)C)c(C#N)c1C ZINC000595909066 400292003 /nfs/dbraw/zinc/29/20/03/400292003.db2.gz LQHFBIIJZVTYDD-DGCLKSJQSA-N 0 1 303.406 3.073 20 30 DGEDMN CC1CCC(N2CCN(c3nc(C#N)c(Cl)s3)CC2)CC1 ZINC000596127954 400324124 /nfs/dbraw/zinc/32/41/24/400324124.db2.gz DJRKOCJKMZNZPJ-UHFFFAOYSA-N 0 1 324.881 3.369 20 30 DGEDMN Cc1cc(NC[C@@H](c2ccco2)N(C)C)cc(C#N)c1[N+](=O)[O-] ZINC000596055109 400304800 /nfs/dbraw/zinc/30/48/00/400304800.db2.gz SDTSMEOTFQCDNI-AWEZNQCLSA-N 0 1 314.345 3.083 20 30 DGEDMN Cc1ccc(CN2CCN(c3cccc(F)c3C#N)CC2)cc1 ZINC000029856582 400316526 /nfs/dbraw/zinc/31/65/26/400316526.db2.gz AIOKDCCLUAYNRG-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN N#Cc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)cc1F ZINC000595781693 400257843 /nfs/dbraw/zinc/25/78/43/400257843.db2.gz FWGNLXVEVKUYRM-SFHVURJKSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC000610437738 400258301 /nfs/dbraw/zinc/25/83/01/400258301.db2.gz LMYCBNLFITYJDV-QMMMGPOBSA-N 0 1 322.290 3.100 20 30 DGEDMN N#Cc1ccc(N2N=C3CCCC[C@H]3C2=O)cc1C(F)(F)F ZINC000601938687 400380905 /nfs/dbraw/zinc/38/09/05/400380905.db2.gz IHLXCNYJJLOVFW-LLVKDONJSA-N 0 1 307.275 3.470 20 30 DGEDMN N#Cc1ccc(-n2[nH]c3c(c2=O)CCCC3)cc1C(F)(F)F ZINC000601938687 400380908 /nfs/dbraw/zinc/38/09/08/400380908.db2.gz IHLXCNYJJLOVFW-LLVKDONJSA-N 0 1 307.275 3.470 20 30 DGEDMN Cc1[nH]n(Cc2cccc(Br)c2)c(=O)c1CCC#N ZINC000601948807 400384944 /nfs/dbraw/zinc/38/49/44/400384944.db2.gz LSZLUVKDAXZQSO-ZDUSSCGKSA-N 0 1 320.190 3.087 20 30 DGEDMN CC(C)N(C(=O)CN[C@@H](C)c1cccc(C#N)c1)C1CCCC1 ZINC000611387016 400388530 /nfs/dbraw/zinc/38/85/30/400388530.db2.gz YKTAAWJLTRDARL-HNNXBMFYSA-N 0 1 313.445 3.388 20 30 DGEDMN C[C@H](NCC(=O)N(C1CC1)C1CCCC1)c1cccc(C#N)c1 ZINC000611388983 400390492 /nfs/dbraw/zinc/39/04/92/400390492.db2.gz VNPAPNHRMCQFBN-AWEZNQCLSA-N 0 1 311.429 3.142 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CC[N@H+](C)C[C@H]2CC)c1 ZINC000578718483 400331639 /nfs/dbraw/zinc/33/16/39/400331639.db2.gz SANAUMIQXOAMIG-MRXNPFEDSA-N 0 1 317.433 3.199 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)C[C@H]2CC)c1 ZINC000578718483 400331644 /nfs/dbraw/zinc/33/16/44/400331644.db2.gz SANAUMIQXOAMIG-MRXNPFEDSA-N 0 1 317.433 3.199 20 30 DGEDMN COc1ccccc1CC1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610992377 400334326 /nfs/dbraw/zinc/33/43/26/400334326.db2.gz ZDORGRYREWJLEP-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN Cc1nn(C(C)C)cc1C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000579256764 400337166 /nfs/dbraw/zinc/33/71/66/400337166.db2.gz FVIQHTXJCOBTMH-ZDUSSCGKSA-N 0 1 321.384 3.447 20 30 DGEDMN CCN(C(=O)CN[C@H](C)c1cccc(C#N)c1)C1CCCCC1 ZINC000611389001 400391281 /nfs/dbraw/zinc/39/12/81/400391281.db2.gz ORRATNSZNNMWBX-OAHLLOKOSA-N 0 1 313.445 3.390 20 30 DGEDMN CCOc1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)cc1 ZINC000611389568 400391367 /nfs/dbraw/zinc/39/13/67/400391367.db2.gz ZOJCADLNCWDSBY-CQSZACIVSA-N 0 1 323.396 3.246 20 30 DGEDMN N#CCCN1CCN(CCCOc2cccc3ccccc32)CC1 ZINC000611175356 400354898 /nfs/dbraw/zinc/35/48/98/400354898.db2.gz XEGLZNBOXDEZOX-UHFFFAOYSA-N 0 1 323.440 3.140 20 30 DGEDMN CCCc1cc(C(=O)N2CC=C(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000611247286 400363919 /nfs/dbraw/zinc/36/39/19/400363919.db2.gz ZWBRYNUQKBGMBJ-UHFFFAOYSA-N 0 1 320.396 3.163 20 30 DGEDMN Cc1cc(CNC(=O)c2sc3ccc(C#N)cc3c2C)n[nH]1 ZINC000590248977 400372614 /nfs/dbraw/zinc/37/26/14/400372614.db2.gz DBRWHFQXGZWFOA-UHFFFAOYSA-N 0 1 310.382 3.043 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)CN(C)CC(C)(C)C ZINC000602084132 400410004 /nfs/dbraw/zinc/41/00/04/400410004.db2.gz QYCSTUPWOGYYDW-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000072878244 400410757 /nfs/dbraw/zinc/41/07/57/400410757.db2.gz RPJJWRUEVOOPRP-QWRGUYRKSA-N 0 1 300.324 3.047 20 30 DGEDMN Cc1occc1CNCc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000611497653 400411259 /nfs/dbraw/zinc/41/12/59/400411259.db2.gz OIYCINSKBVSTEQ-UHFFFAOYSA-N 0 1 306.369 3.150 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(OCc2cccc(C#N)c2)cc1)N(C)C ZINC000602094881 400412464 /nfs/dbraw/zinc/41/24/64/400412464.db2.gz IEBVGDRWQCEHOU-CQSZACIVSA-N 0 1 323.396 3.026 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)N2CCCCC2)c1 ZINC000073598316 400458617 /nfs/dbraw/zinc/45/86/17/400458617.db2.gz MVYNCKRPWCSNLF-KRWDZBQOSA-N 0 1 313.445 3.425 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000617782392 400453630 /nfs/dbraw/zinc/45/36/30/400453630.db2.gz DUCWTJUXBMHGDG-SNVBAGLBSA-N 0 1 301.312 3.165 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2cccc(CC#N)c2)o1 ZINC000590884495 400454190 /nfs/dbraw/zinc/45/41/90/400454190.db2.gz XRNTZEVGRRCSEU-UHFFFAOYSA-N 0 1 311.385 3.440 20 30 DGEDMN CCOC(=O)c1ccc(CN[C@H](CCC#N)c2ccccc2)[nH]1 ZINC000602580388 400502602 /nfs/dbraw/zinc/50/26/02/400502602.db2.gz GDDPHPPBVXZWIX-MRXNPFEDSA-N 0 1 311.385 3.326 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)Nc1cccc(F)c1 ZINC000127520096 400503249 /nfs/dbraw/zinc/50/32/49/400503249.db2.gz UJXZLQOVUDORCE-STQMWFEESA-N 0 1 311.360 3.375 20 30 DGEDMN COc1ccc(CN2CCC(C)(C#N)CC2)cc1Cn1cccn1 ZINC000602606246 400510091 /nfs/dbraw/zinc/51/00/91/400510091.db2.gz NQXVRWLOBCZDPM-UHFFFAOYSA-N 0 1 324.428 3.066 20 30 DGEDMN CO[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000611943758 400466044 /nfs/dbraw/zinc/46/60/44/400466044.db2.gz VUMPIWYWZDKVGR-CQSZACIVSA-N 0 1 310.344 3.161 20 30 DGEDMN C[C@H](NCc1cccc(C#N)n1)c1ccc(NC(=O)C2CC2)cc1 ZINC000602513406 400485086 /nfs/dbraw/zinc/48/50/86/400485086.db2.gz XLYOBJQVQLZJME-ZDUSSCGKSA-N 0 1 320.396 3.153 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N2CCCC(C)(C)[C@@H]2C#N)o1 ZINC000617986010 400497302 /nfs/dbraw/zinc/49/73/02/400497302.db2.gz UOBHIQSJRKJTRH-INIZCTEOSA-N 0 1 317.433 3.276 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2cc(C(F)F)[nH]n2)C2CC2)cc1 ZINC000602785264 400573361 /nfs/dbraw/zinc/57/33/61/400573361.db2.gz OIUAAIQQLDJDIV-UHFFFAOYSA-N 0 1 316.311 3.024 20 30 DGEDMN C=CCc1cc(CN2CCC[C@H](CC#N)C2)cc(OC)c1O ZINC000597173915 400576716 /nfs/dbraw/zinc/57/67/16/400576716.db2.gz NKBYYLGBTMOFPW-CQSZACIVSA-N 0 1 300.402 3.255 20 30 DGEDMN COc1cc2c(cc1CN1CCC[C@H](CC#N)C1)O[C@H](C)C2 ZINC000597175477 400577353 /nfs/dbraw/zinc/57/73/53/400577353.db2.gz ZUCWCFJXODQLPI-ZIAGYGMSSA-N 0 1 300.402 3.144 20 30 DGEDMN CC[C@@](C)(O)CN(Cc1ccccc1)Cc1cccc(C#N)n1 ZINC000602627281 400516260 /nfs/dbraw/zinc/51/62/60/400516260.db2.gz QAMNPTMFMAMZOZ-LJQANCHMSA-N 0 1 309.413 3.116 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2cnn(Cc3ccc(F)cc3)c2)C1 ZINC000597177598 400577574 /nfs/dbraw/zinc/57/75/74/400577574.db2.gz PZSJKSAMILEWPK-OAHLLOKOSA-N 0 1 312.392 3.196 20 30 DGEDMN CN(C)Cc1ccc(NCc2cccc(C#N)n2)cc1Cl ZINC000602639159 400519776 /nfs/dbraw/zinc/51/97/76/400519776.db2.gz VBROVDKDTGWTHB-UHFFFAOYSA-N 0 1 300.793 3.280 20 30 DGEDMN C[C@@]1(C#N)CCCN(C(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC000612368596 400532091 /nfs/dbraw/zinc/53/20/91/400532091.db2.gz CKMCZHHJFKSIPR-AWEZNQCLSA-N 0 1 313.184 3.465 20 30 DGEDMN N#CC1(c2ccc(CN3CCC[C@H]3[C@@H](O)C(F)(F)F)cc2)CC1 ZINC000597011794 400539357 /nfs/dbraw/zinc/53/93/57/400539357.db2.gz MAODTZANSZDSTM-LSDHHAIUSA-N 0 1 324.346 3.129 20 30 DGEDMN Cc1ccccc1C1(NCC(=O)Nc2cccc(C#N)c2)CC1 ZINC000592139882 400613467 /nfs/dbraw/zinc/61/34/67/400613467.db2.gz MIJGXQIUPOODPV-UHFFFAOYSA-N 0 1 305.381 3.084 20 30 DGEDMN C[C@H](CC#N)NCCC(=O)NC(c1ccccc1)c1ccccc1 ZINC000602870778 400596107 /nfs/dbraw/zinc/59/61/07/400596107.db2.gz WTHJLMFSZKZTKQ-MRXNPFEDSA-N 0 1 321.424 3.174 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)COC3CCCC3)n2)cc1 ZINC000076383467 400650977 /nfs/dbraw/zinc/65/09/77/400650977.db2.gz MTXNDGYNVBFOKB-UHFFFAOYSA-N 0 1 300.362 3.327 20 30 DGEDMN Cc1cc(CN2CC[C@@](O)(CC#N)C2)ccc1Oc1cccnc1 ZINC000592522247 400663440 /nfs/dbraw/zinc/66/34/40/400663440.db2.gz JVLRJAPUOVZILJ-IBGZPJMESA-N 0 1 323.396 3.033 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)C(C)(C)C#N ZINC000597726336 400665532 /nfs/dbraw/zinc/66/55/32/400665532.db2.gz SIODHDWJYBVBNK-SECBINFHSA-N 0 1 304.781 3.285 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)CC1(C#N)CC1 ZINC000597726445 400665595 /nfs/dbraw/zinc/66/55/95/400665595.db2.gz UVHIRDBNWUZDDY-SNVBAGLBSA-N 0 1 316.792 3.430 20 30 DGEDMN N#CCCC[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1ccccc1 ZINC000597691824 400661406 /nfs/dbraw/zinc/66/14/06/400661406.db2.gz NVJRCPHSRKTFLH-HZPDHXFCSA-N 0 1 322.412 3.066 20 30 DGEDMN COc1cc2c(cc1-c1nc3ccc(C#N)cc3[nH]1)O[C@H](C)C2 ZINC000603835683 400720775 /nfs/dbraw/zinc/72/07/75/400720775.db2.gz HYJOYGHJLSPVGH-SNVBAGLBSA-N 0 1 305.337 3.434 20 30 DGEDMN CCN(CCOc1ccccc1OC)Cc1ccccc1C#N ZINC000603846821 400722704 /nfs/dbraw/zinc/72/27/04/400722704.db2.gz SQBQGACXWQQURP-UHFFFAOYSA-N 0 1 310.397 3.468 20 30 DGEDMN CC/C=C\CCN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000613477108 400730928 /nfs/dbraw/zinc/73/09/28/400730928.db2.gz CUUODWZJPIMRIT-DDKKJVIOSA-N 0 1 301.409 3.364 20 30 DGEDMN CCCCN1C(=O)N=NC1S[C@H](C#N)Cc1ccccc1 ZINC000604075963 400747637 /nfs/dbraw/zinc/74/76/37/400747637.db2.gz CJOIBVMAFDASOL-ZDUSSCGKSA-N 0 1 302.403 3.011 20 30 DGEDMN Cc1csc([C@H]2CCCCN2C[C@H](O)CC(C)(C)C#N)n1 ZINC000598590552 400838425 /nfs/dbraw/zinc/83/84/25/400838425.db2.gz OLKZUDSSNPYLLG-ZIAGYGMSSA-N 0 1 307.463 3.279 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1cc(CN2CCSCC2)ccc1C ZINC000593445536 400851566 /nfs/dbraw/zinc/85/15/66/400851566.db2.gz ZNPWLAHZRKOIJR-HNNXBMFYSA-N 0 1 317.458 3.032 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(CC(F)(F)F)CC1(C)C ZINC000182226216 400892138 /nfs/dbraw/zinc/89/21/38/400892138.db2.gz MPKDNCOECJRTGF-UHFFFAOYSA-N 0 1 305.388 3.275 20 30 DGEDMN CC(=O)Nc1cccc([C@H](C)NCC#Cc2ccc(F)cc2)c1 ZINC000182530252 400892744 /nfs/dbraw/zinc/89/27/44/400892744.db2.gz TZYMEJCOXQWDIB-AWEZNQCLSA-N 0 1 310.372 3.486 20 30 DGEDMN C[C@@H](C#N)CN[C@@H](C)CC(=O)Nc1ccccc1C(F)(F)F ZINC000183833035 400902072 /nfs/dbraw/zinc/90/20/72/400902072.db2.gz YNPVIRYUJLKVMZ-QWRGUYRKSA-N 0 1 313.323 3.172 20 30 DGEDMN CN(C)c1cccc([C@H]2CCCN2Cc2ccc(C#N)cn2)c1 ZINC000593959087 400927483 /nfs/dbraw/zinc/92/74/83/400927483.db2.gz CCMYWGPVJZEWOQ-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN N#Cc1ccc(CNC/C=C/c2ccccc2[N+](=O)[O-])c(F)c1 ZINC000237708648 400993886 /nfs/dbraw/zinc/99/38/86/400993886.db2.gz PMPRRJJAPLYCPV-HWKANZROSA-N 0 1 311.316 3.409 20 30 DGEDMN C=CCN(Cc1cccc([N+](=O)[O-])c1)C[C@H](OCC)C1CC1 ZINC000599283297 401011902 /nfs/dbraw/zinc/01/19/02/401011902.db2.gz UQDUSAGMMVZCSV-KRWDZBQOSA-N 0 1 304.390 3.398 20 30 DGEDMN Cc1ccc(CN(Cc2cccc(C(N)=O)c2)C2CC2)cc1C#N ZINC000599432684 401049790 /nfs/dbraw/zinc/04/97/90/401049790.db2.gz ZMKWKOMZSXGGSZ-UHFFFAOYSA-N 0 1 319.408 3.130 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)N[C@H](C)C[N@H+]1CCC[C@@H](C)C1 ZINC000058451768 401053775 /nfs/dbraw/zinc/05/37/75/401053775.db2.gz UOCCRMSLNQIOEQ-UKRRQHHQSA-N 0 1 314.433 3.109 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1Cc2ccccc2[C@@H](c2ccccc2)C1 ZINC000058563668 401059842 /nfs/dbraw/zinc/05/98/42/401059842.db2.gz UUPUUAKHMKRTKI-OXQOHEQNSA-N 0 1 320.436 3.325 20 30 DGEDMN Cc1ccc(CN2CCC(Nc3ccccn3)CC2)cc1C#N ZINC000599338794 401026056 /nfs/dbraw/zinc/02/60/56/401026056.db2.gz HDXIZVINBNDNAV-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN C[C@H](NC[C@@H](O)CC1(C#N)CC1)c1ncc(-c2ccccc2)o1 ZINC000599362241 401032947 /nfs/dbraw/zinc/03/29/47/401032947.db2.gz IQMYKPZXFWHFPM-ZFWWWQNUSA-N 0 1 311.385 3.047 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)COc1ccccc1F ZINC000057950415 401037822 /nfs/dbraw/zinc/03/78/22/401037822.db2.gz NIPOKZFUDDWTTM-CQSZACIVSA-N 0 1 321.417 3.315 20 30 DGEDMN COC(=O)c1ccc(CN2CCc3c(CC#N)cccc3C2)cc1 ZINC000599675422 401110819 /nfs/dbraw/zinc/11/08/19/401110819.db2.gz LDUJKMVBXGATKQ-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN COCc1ccc(CN2CCc3c(CC#N)cccc3C2)cc1 ZINC000599678016 401111831 /nfs/dbraw/zinc/11/18/31/401111831.db2.gz NZUPVJMUUWSHEV-UHFFFAOYSA-N 0 1 306.409 3.457 20 30 DGEDMN COC(=O)[C@@H]1C[C@@H](C)N(CC#Cc2cccc(Cl)c2)[C@@H](C)C1 ZINC000599696522 401120351 /nfs/dbraw/zinc/12/03/51/401120351.db2.gz OFSLTAQUSCTEBU-MIFYACCESA-N 0 1 319.832 3.354 20 30 DGEDMN CCCN(CC(=O)N(CCC)CCC)Cc1ccc(C#N)cc1 ZINC000061001619 401143843 /nfs/dbraw/zinc/14/38/43/401143843.db2.gz IDDJBMCQUVDKEN-UHFFFAOYSA-N 0 1 315.461 3.419 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2NCC2(CC#N)CC2)C[C@@H](C)O1 ZINC000594702897 401153985 /nfs/dbraw/zinc/15/39/85/401153985.db2.gz ZNZPDYFZELNGEN-HZPDHXFCSA-N 0 1 313.445 3.402 20 30 DGEDMN C[C@@H]1CN(Cc2cc(C#N)cs2)CC[C@@H]1C(=O)OC(C)(C)C ZINC000600194232 401217049 /nfs/dbraw/zinc/21/70/49/401217049.db2.gz BSSSTUZVECOANW-DOMZBBRYSA-N 0 1 320.458 3.419 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CCN(Cc3ccc(F)cc3)CC2)C1 ZINC000630776128 401326294 /nfs/dbraw/zinc/32/62/94/401326294.db2.gz CGYOMRHQHLTKAN-UHFFFAOYSA-N 0 1 316.420 3.263 20 30 DGEDMN N#Cc1ccc(CN2CCC(Oc3ccc(F)nc3)CC2)cc1 ZINC000191679583 165265128 /nfs/dbraw/zinc/26/51/28/165265128.db2.gz HASANMFMVUUKRY-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)[C@H](c2ccccc2)C1 ZINC000192133429 165283475 /nfs/dbraw/zinc/28/34/75/165283475.db2.gz IXLWIAOMANYNRN-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN COCCOc1ccccc1CN(C)Cc1cccc(C#N)c1 ZINC000130778132 196109036 /nfs/dbraw/zinc/10/90/36/196109036.db2.gz CNMPSFJFVMQBMJ-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1ccc(Cl)cc1O ZINC000132817219 196286932 /nfs/dbraw/zinc/28/69/32/196286932.db2.gz LJZISCFBNJTFAT-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN CC[C@H](O)CN(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000134485289 196395842 /nfs/dbraw/zinc/39/58/42/196395842.db2.gz YPWDCSHKBTVTFU-SFHVURJKSA-N 0 1 312.388 3.470 20 30 DGEDMN Cc1[nH]nc2ncc(CN[C@H](CCC#N)c3ccccc3)cc12 ZINC000344854310 533680215 /nfs/dbraw/zinc/68/02/15/533680215.db2.gz MOHDQFGUJOWBSA-QGZVFWFLSA-N 0 1 305.385 3.401 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccc(C#N)cc2Cl)cc1 ZINC000169248523 228267162 /nfs/dbraw/zinc/26/71/62/228267162.db2.gz WPDAIZMBNYRATD-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN N#CC1CCN(CCOc2ccc(OC(F)(F)F)cc2)CC1 ZINC000173874857 228284896 /nfs/dbraw/zinc/28/48/96/228284896.db2.gz GXGUGZLYOFLQMP-UHFFFAOYSA-N 0 1 314.307 3.200 20 30 DGEDMN C[C@H]1[C@@H](c2ccccc2)CCN1CC(=O)Nc1ccc(C#N)cc1 ZINC000177536012 228331437 /nfs/dbraw/zinc/33/14/37/228331437.db2.gz MJJGUHITCPGSFP-KXBFYZLASA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccnc2OC(C)C)n1 ZINC000342444026 229112679 /nfs/dbraw/zinc/11/26/79/229112679.db2.gz DAQZHJRCNHWDEI-GFCCVEGCSA-N 0 1 301.371 3.124 20 30 DGEDMN N#CCc1ccc(CN2CC[C@@H](n3cc(Cl)cn3)C2)cc1 ZINC000352393054 229251621 /nfs/dbraw/zinc/25/16/21/229251621.db2.gz YASCNQLLFLWTAD-MRXNPFEDSA-N 0 1 300.793 3.050 20 30 DGEDMN N#CC1(CN[C@@]2(Cc3ccc(C(F)(F)F)cc3)CCOC2)CC1 ZINC000353198302 229271140 /nfs/dbraw/zinc/27/11/40/229271140.db2.gz ZGOCKVCEEFYNCH-MRXNPFEDSA-N 0 1 324.346 3.300 20 30 DGEDMN Cc1ccnc2[nH]c([C@H](C#N)C(=O)c3ccc(C(C)C)cn3)nc21 ZINC000355155345 229305665 /nfs/dbraw/zinc/30/56/65/229305665.db2.gz CTKPNDSEOLOSBF-CYBMUJFWSA-N 0 1 319.368 3.275 20 30 DGEDMN N#CC(C(=O)c1ccc2nccnc2c1)c1nc2ccccc2o1 ZINC000355314213 229308796 /nfs/dbraw/zinc/30/87/96/229308796.db2.gz VCIOYINOPPGYMT-LBPRGKRZSA-N 0 1 314.304 3.261 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Nc3ccccc3C)[nH]c21 ZINC000358036925 229356143 /nfs/dbraw/zinc/35/61/43/229356143.db2.gz ACSHBTIATYABAZ-CYBMUJFWSA-N 0 1 304.353 3.426 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cnn(CC(C)C)c3)[nH]c21 ZINC000359489460 229379166 /nfs/dbraw/zinc/37/91/66/229379166.db2.gz BNMOAAVVNYUOFB-AWEZNQCLSA-N 0 1 321.384 3.214 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@H]1CCCC[C@@H]1O ZINC000347203414 264175626 /nfs/dbraw/zinc/17/56/26/264175626.db2.gz PMYLANUVRTYZCM-GJZGRUSLSA-N 0 1 323.234 3.056 20 30 DGEDMN Cc1nccc(N2CCN(c3ccccc3C#N)CC2)c1Cl ZINC000352754269 265152228 /nfs/dbraw/zinc/15/22/28/265152228.db2.gz STMZQJFTTNSMSB-UHFFFAOYSA-N 0 1 312.804 3.242 20 30 DGEDMN CCCNC(=O)CN[C@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000367781588 266057034 /nfs/dbraw/zinc/05/70/34/266057034.db2.gz BAYUQCXMHRXILF-OAHLLOKOSA-N 0 1 321.424 3.402 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000354089067 266173226 /nfs/dbraw/zinc/17/32/26/266173226.db2.gz WTSZVUVGEAKLNJ-UHFFFAOYSA-N 0 1 319.368 3.427 20 30 DGEDMN CCc1cnccc1[C@H](C)N[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000361132062 266446999 /nfs/dbraw/zinc/44/69/99/266446999.db2.gz NEGOKKZENKDDHY-KBPBESRZSA-N 0 1 322.412 3.193 20 30 DGEDMN CN(Cc1cc(C#N)n(C)c1)Cc1ccc(OC(F)(F)F)cc1 ZINC000191226536 432236818 /nfs/dbraw/zinc/23/68/18/432236818.db2.gz ZLGSJJVUXKMDDN-UHFFFAOYSA-N 0 1 323.318 3.427 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000358020802 266968327 /nfs/dbraw/zinc/96/83/27/266968327.db2.gz DVROOQPQWBMZSB-LSDHHAIUSA-N 0 1 319.408 3.014 20 30 DGEDMN C[C@@H]1CCSCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000348153132 267025316 /nfs/dbraw/zinc/02/53/16/267025316.db2.gz RPUYRTPDGCBTQV-LLVKDONJSA-N 0 1 312.385 3.487 20 30 DGEDMN Cc1cc([C@H]2CCCN(C(=O)[C@H](C)c3cccc(C#N)c3)C2)n[nH]1 ZINC000360332698 267363920 /nfs/dbraw/zinc/36/39/20/267363920.db2.gz JUFOYSZEVGLBHB-PBHICJAKSA-N 0 1 322.412 3.100 20 30 DGEDMN CC[C@H](C(=O)Nc1ccccc1S[C@H](C)CC#N)N(C)C ZINC000298883155 273741495 /nfs/dbraw/zinc/74/14/95/273741495.db2.gz LBHIAUZYKRVQSS-TZMCWYRMSA-N 0 1 305.447 3.360 20 30 DGEDMN O[C@H](C[C@@H]1CCCN1CC#Cc1ccc(F)cc1)c1ccco1 ZINC000156902741 275058184 /nfs/dbraw/zinc/05/81/84/275058184.db2.gz CSVMTYKKUWCHJZ-ZWKOTPCHSA-N 0 1 313.372 3.358 20 30 DGEDMN CC(C)CN(CC(C)C)C(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000338361294 275883164 /nfs/dbraw/zinc/88/31/64/275883164.db2.gz QWLUMSKHOIYCFW-MRXNPFEDSA-N 0 1 315.461 3.349 20 30 DGEDMN CCN(Cc1ccc2ccccc2c1)[C@H](C)C(=O)N(C)CCC#N ZINC000343655070 276141240 /nfs/dbraw/zinc/14/12/40/276141240.db2.gz MXOOUGPMBUOQPQ-MRXNPFEDSA-N 0 1 323.440 3.422 20 30 DGEDMN CCSCC[C@H](C)N(C)CC(=O)Nc1sccc1C#N ZINC000072901169 276395314 /nfs/dbraw/zinc/39/53/14/276395314.db2.gz CCJQEMVYUVJXSP-NSHDSACASA-N 0 1 311.476 3.022 20 30 DGEDMN C=C[C@@H](CO)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000353562393 276914538 /nfs/dbraw/zinc/91/45/38/276914538.db2.gz CDHTUOYTYCUPQY-QWHCGFSZSA-N 0 1 305.365 3.246 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000108361571 431137421 /nfs/dbraw/zinc/13/74/21/431137421.db2.gz VWZCUWFOZNEYQR-CYBMUJFWSA-N 0 1 305.447 3.219 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1O[C@@H](C)C#N)N1CCCCCC1 ZINC000182160269 279236986 /nfs/dbraw/zinc/23/69/86/279236986.db2.gz YXFKUZHILNPJEZ-LSDHHAIUSA-N 0 1 315.417 3.180 20 30 DGEDMN CC(C)C[C@H](CNc1ccc(C#N)c(Cl)c1)N1CCOCC1 ZINC000110795470 431191911 /nfs/dbraw/zinc/19/19/11/431191911.db2.gz IYXQLWWXGTWZCU-MRXNPFEDSA-N 0 1 321.852 3.370 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)Nc2ccc(OCC)cc2)CC1 ZINC000111259150 431205107 /nfs/dbraw/zinc/20/51/07/431205107.db2.gz OVZNKAVUQRDSSV-AWEZNQCLSA-N 0 1 302.418 3.310 20 30 DGEDMN CC(C)C1CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000072717863 431345952 /nfs/dbraw/zinc/34/59/52/431345952.db2.gz LKHLJLHPSHRRIS-GOSISDBHSA-N 0 1 311.429 3.032 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccc(F)c(F)c1 ZINC000008248193 431386606 /nfs/dbraw/zinc/38/66/06/431386606.db2.gz LYDZIIDADDWAEZ-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN N#CCCCOc1cccc(CN[C@H](CO)c2ccccc2)c1 ZINC000116345851 431504638 /nfs/dbraw/zinc/50/46/38/431504638.db2.gz PPZJUIRAEBWJJK-LJQANCHMSA-N 0 1 310.397 3.192 20 30 DGEDMN CC[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCc2cnn(C(C)C)c2C1 ZINC000330116291 280239038 /nfs/dbraw/zinc/23/90/38/280239038.db2.gz VERDGEFIPGUTKX-JKSUJKDBSA-N 0 1 318.465 3.500 20 30 DGEDMN N#Cc1cc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)ccc1F ZINC000078478919 431673559 /nfs/dbraw/zinc/67/35/59/431673559.db2.gz WINMLDWZKOHGNR-SFHVURJKSA-N 0 1 323.371 3.300 20 30 DGEDMN C[C@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(C#N)cc1 ZINC000078973438 431713312 /nfs/dbraw/zinc/71/33/12/431713312.db2.gz JFMCGHSCCDMXHJ-ZDUSSCGKSA-N 0 1 303.365 3.251 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)CC(C)(C)O1 ZINC000119539157 431704067 /nfs/dbraw/zinc/70/40/67/431704067.db2.gz OGCIHROZWPTQKR-OAHLLOKOSA-N 0 1 301.434 3.036 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000121481198 431793186 /nfs/dbraw/zinc/79/31/86/431793186.db2.gz ZWYFBPGDGBZKBF-QGZVFWFLSA-N 0 1 304.409 3.313 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)c1cc(C#N)cs1)c1ccco1 ZINC000084183265 431817577 /nfs/dbraw/zinc/81/75/77/431817577.db2.gz XMCFTKOCKGNIBK-CYBMUJFWSA-N 0 1 317.414 3.026 20 30 DGEDMN C=C[C@H](C)NCc1cc(OC)c(OC)cc1Br ZINC000123195979 431858397 /nfs/dbraw/zinc/85/83/97/431858397.db2.gz LBHCNDDFRXAIKX-VIFPVBQESA-N 0 1 300.196 3.130 20 30 DGEDMN CCCN(C)CC(=O)N(CCC#N)c1ccc(Cl)c(C)c1 ZINC000123575361 431868629 /nfs/dbraw/zinc/86/86/29/431868629.db2.gz GUKKTLLMDXNLGX-UHFFFAOYSA-N 0 1 307.825 3.237 20 30 DGEDMN CC(C)Oc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1 ZINC000046072823 431894224 /nfs/dbraw/zinc/89/42/24/431894224.db2.gz DSTBEBKEIALTQK-UHFFFAOYSA-N 0 1 316.382 3.146 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@H]1c1c(C)n[nH]c1C ZINC000091081288 431901318 /nfs/dbraw/zinc/90/13/18/431901318.db2.gz RQTBYBSLVUEUFK-INIZCTEOSA-N 0 1 310.401 3.244 20 30 DGEDMN C=C(C)COc1cc(CN2CCC[C@H](OC)C2)ccc1OC ZINC000091772917 431905507 /nfs/dbraw/zinc/90/55/07/431905507.db2.gz YDFBTGWHWJYLGB-INIZCTEOSA-N 0 1 305.418 3.261 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000127471547 431973480 /nfs/dbraw/zinc/97/34/80/431973480.db2.gz FXTKPHHKCITSKR-RLFYNMQTSA-N 0 1 313.445 3.388 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)c1sccc1C#N)c1ccco1 ZINC000052050510 431955111 /nfs/dbraw/zinc/95/51/11/431955111.db2.gz XQDIVWJUGVBLMX-CYBMUJFWSA-N 0 1 317.414 3.026 20 30 DGEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)[C@@H](C)c1ccc(F)cc1 ZINC000054341034 431970489 /nfs/dbraw/zinc/97/04/89/431970489.db2.gz IIPQPLNTPCVUPY-KBXCAEBGSA-N 0 1 319.424 3.263 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000102039364 431991325 /nfs/dbraw/zinc/99/13/25/431991325.db2.gz UDGBJPQVWLJUFR-MRXNPFEDSA-N 0 1 317.433 3.109 20 30 DGEDMN N#Cc1csc(CN2CC[C@@H](Oc3cccc(F)c3)C2)c1 ZINC000183211286 432269721 /nfs/dbraw/zinc/26/97/21/432269721.db2.gz TYTCZGJYIHJMHA-OAHLLOKOSA-N 0 1 302.374 3.412 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCCc1ccc(C(C)C)cc1 ZINC000248244375 432276974 /nfs/dbraw/zinc/27/69/74/432276974.db2.gz PSXMVZDQLHOQKL-UHFFFAOYSA-N 0 1 302.462 3.367 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000270760109 432288073 /nfs/dbraw/zinc/28/80/73/432288073.db2.gz ONEKNGVBDYQUBI-KBPBESRZSA-N 0 1 312.417 3.465 20 30 DGEDMN CCS[C@H]1CC[C@H](N(C)CC(=O)Nc2sccc2C#N)C1 ZINC000173868089 432303952 /nfs/dbraw/zinc/30/39/52/432303952.db2.gz IXHOCKXJQXJHMT-STQMWFEESA-N 0 1 323.487 3.164 20 30 DGEDMN CCCN(CCC)C(=O)CN(Cc1ccc(C#N)cc1)C(C)C ZINC000058397174 431996653 /nfs/dbraw/zinc/99/66/53/431996653.db2.gz VUUWMMHANXRGPK-UHFFFAOYSA-N 0 1 315.461 3.417 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN(C(C)C)C2CC2)c1 ZINC000061166119 432001275 /nfs/dbraw/zinc/00/12/75/432001275.db2.gz JREJVUXHBRTPAM-UHFFFAOYSA-N 0 1 313.445 3.423 20 30 DGEDMN C#CCN(CC)Cc1ccc(OCc2ccncc2)c(OC)c1 ZINC000271140798 432337348 /nfs/dbraw/zinc/33/73/48/432337348.db2.gz PBFUDHUDABXOOI-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN C=C1CCN(Cc2cn(C)nc2-c2ccc([N+](=O)[O-])cc2)CC1 ZINC000271160965 432341027 /nfs/dbraw/zinc/34/10/27/432341027.db2.gz KTYAKGCRCGAELI-UHFFFAOYSA-N 0 1 312.373 3.147 20 30 DGEDMN CC[C@H](NCCOc1ccccc1C#N)c1nc(C)cs1 ZINC000184391259 432386355 /nfs/dbraw/zinc/38/63/55/432386355.db2.gz KEQKNDDTOZHVPX-AWEZNQCLSA-N 0 1 301.415 3.443 20 30 DGEDMN N#Cc1ccc(CNCc2cc(Cl)cc3c2OCC3)s1 ZINC000184137436 432359623 /nfs/dbraw/zinc/35/96/23/432359623.db2.gz UBLHVSAVNSQOBX-UHFFFAOYSA-N 0 1 304.802 3.498 20 30 DGEDMN C[C@H](NCC(=O)N(C)C1CCCCC1)c1ccc(C#N)c(F)c1 ZINC000271955793 432431759 /nfs/dbraw/zinc/43/17/59/432431759.db2.gz PSWZKNFEBNKECH-ZDUSSCGKSA-N 0 1 317.408 3.139 20 30 DGEDMN C[C@H](c1ccc([S@](C)=O)cc1)N(C)Cc1cccc(C#N)c1 ZINC000175402883 432432193 /nfs/dbraw/zinc/43/21/93/432432193.db2.gz HKAGDMAPXIYXFD-PEBXRYMYSA-N 0 1 312.438 3.489 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2[nH]nc3ccccc32)C2CC2)cc1 ZINC000193055325 432443823 /nfs/dbraw/zinc/44/38/23/432443823.db2.gz LGPKLOBUUOEACY-UHFFFAOYSA-N 0 1 316.364 3.239 20 30 DGEDMN CC(C)(O)CN(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000272127323 432449001 /nfs/dbraw/zinc/44/90/01/432449001.db2.gz VODRZFUMPSJAFB-UHFFFAOYSA-N 0 1 312.388 3.470 20 30 DGEDMN CCc1nc([C@@H](C)NCCOc2ccc(C#N)cc2)cs1 ZINC000184703296 432424742 /nfs/dbraw/zinc/42/47/42/432424742.db2.gz KSOPQASRNIPYGD-GFCCVEGCSA-N 0 1 301.415 3.307 20 30 DGEDMN CC1(C#N)CCN(C(=O)c2c(O)cc(Cl)cc2Cl)CC1 ZINC000272472979 432507239 /nfs/dbraw/zinc/50/72/39/432507239.db2.gz ATIBTNVNQRDBOI-UHFFFAOYSA-N 0 1 313.184 3.465 20 30 DGEDMN CCN(CCc1ccccc1)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000154054876 432467908 /nfs/dbraw/zinc/46/79/08/432467908.db2.gz RQIWZBNYGQZOMU-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN COC(=O)c1cc2cc(CN3CCC(C)(C#N)CC3)ccc2o1 ZINC000272652123 432532722 /nfs/dbraw/zinc/53/27/22/432532722.db2.gz ZNCLKQYOHCVEDK-UHFFFAOYSA-N 0 1 312.369 3.345 20 30 DGEDMN N#CCCCNCc1nc(-c2ccc(Br)cc2)c[nH]1 ZINC000273036985 432541567 /nfs/dbraw/zinc/54/15/67/432541567.db2.gz HLBXETJGAPLFEQ-UHFFFAOYSA-N 0 1 319.206 3.233 20 30 DGEDMN C[C@H](CC(=O)Nc1ccccc1)NCc1ccc(C#N)cc1F ZINC000177308390 432610617 /nfs/dbraw/zinc/61/06/17/432610617.db2.gz BDSPWWTWPMCNAT-CYBMUJFWSA-N 0 1 311.360 3.204 20 30 DGEDMN CCOC(=O)[C@]1(C(C)C)CCN(Cc2cc(F)ccc2C#N)C1 ZINC000194877040 432583769 /nfs/dbraw/zinc/58/37/69/432583769.db2.gz ISKCCSRMKZGXPJ-GOSISDBHSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)c(C#N)c1C ZINC000177556934 432636637 /nfs/dbraw/zinc/63/66/37/432636637.db2.gz ONMJVMVAOIAXOL-AEGPPILISA-N 0 1 315.417 3.217 20 30 DGEDMN N#CC(C(=O)c1noc2c1CCCCC2)c1nc2ccccc2[nH]1 ZINC000158769486 432656634 /nfs/dbraw/zinc/65/66/34/432656634.db2.gz PJXNXCIUPQWFDT-LBPRGKRZSA-N 0 1 320.352 3.310 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OC3CCCC3)nc2)cc1O ZINC000188011672 432698312 /nfs/dbraw/zinc/69/83/12/432698312.db2.gz NFYJTBXKAOYOKA-UHFFFAOYSA-N 0 1 323.352 3.233 20 30 DGEDMN C=C(C)CC[N@H+]1CCCN(C(=O)CCc2ccccc2)CC1 ZINC000274792987 432707890 /nfs/dbraw/zinc/70/78/90/432707890.db2.gz ORCJOKHHGDZYTA-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN Cc1ncc(-c2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2)o1 ZINC000188253102 432714792 /nfs/dbraw/zinc/71/47/92/432714792.db2.gz CAGPNUUICQYNFO-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(OC(F)F)c2)cc1O ZINC000188313968 432717755 /nfs/dbraw/zinc/71/77/55/432717755.db2.gz VXASYUUDNIYRNB-UHFFFAOYSA-N 0 1 304.252 3.118 20 30 DGEDMN C[C@H](C#N)CN(C)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000275127869 432725156 /nfs/dbraw/zinc/72/51/56/432725156.db2.gz KIPVEDMDATUVBL-MRVPVSSYSA-N 0 1 308.794 3.023 20 30 DGEDMN CN(C(=O)c1ccc(C#N)c(O)c1)c1ccc(F)c(Cl)c1 ZINC000188844082 432748331 /nfs/dbraw/zinc/74/83/31/432748331.db2.gz PXBCKWABFQOSIQ-UHFFFAOYSA-N 0 1 304.708 3.333 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)Nc1cc(F)cc(Cl)c1O ZINC000275833405 432754197 /nfs/dbraw/zinc/75/41/97/432754197.db2.gz GGMQAWBCXDOLLT-CQSZACIVSA-N 0 1 301.745 3.352 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc(-c3nnc(C)o3)c2)n1 ZINC000161161463 432762599 /nfs/dbraw/zinc/76/25/99/432762599.db2.gz AZFZLNWRHZNEPN-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN CCC[C@@H](C)N(C)CC(=O)Nc1ccccc1SCC#N ZINC000266701393 432779007 /nfs/dbraw/zinc/77/90/07/432779007.db2.gz XZXIAXXXGZFXES-CYBMUJFWSA-N 0 1 305.447 3.361 20 30 DGEDMN CC(C)Nc1cc(F)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188501537 432728717 /nfs/dbraw/zinc/72/87/17/432728717.db2.gz CFHCDVAEHAFGSW-UHFFFAOYSA-N 0 1 313.332 3.476 20 30 DGEDMN N#Cc1cc(NCCN2CCSCC2)ccc1C(F)(F)F ZINC000231717254 432734601 /nfs/dbraw/zinc/73/46/01/432734601.db2.gz NYZVDLBOTWKSQM-UHFFFAOYSA-N 0 1 315.364 3.038 20 30 DGEDMN Cn1cc(CNCc2cc(Br)ccc2F)cc1C#N ZINC000232314939 432736128 /nfs/dbraw/zinc/73/61/28/432736128.db2.gz SRWQSBJSMAJOJM-UHFFFAOYSA-N 0 1 322.181 3.088 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)CCCN1c1ccc(C#N)cn1 ZINC000265833483 432737205 /nfs/dbraw/zinc/73/72/05/432737205.db2.gz LIGQPIPKSISKNW-INIZCTEOSA-N 0 1 306.413 3.054 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(F)c(C#N)c2)cc1 ZINC000160784593 432739882 /nfs/dbraw/zinc/73/98/82/432739882.db2.gz FLNSMMRDVSBXGX-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN C[C@H]1CN(CC(=O)Nc2ccccc2SCC#N)C(C)(C)C1 ZINC000266907438 432798162 /nfs/dbraw/zinc/79/81/62/432798162.db2.gz BVKINCCXGNLNNF-CYBMUJFWSA-N 0 1 317.458 3.361 20 30 DGEDMN CN(CCCCC(C)(C)C#N)Cc1ccc(S(C)(=O)=O)cc1 ZINC000277716072 432871633 /nfs/dbraw/zinc/87/16/33/432871633.db2.gz NAYIDHWUUIIVSA-UHFFFAOYSA-N 0 1 322.474 3.242 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCS[C@@H]2CCCC[C@H]21 ZINC000245953538 432872504 /nfs/dbraw/zinc/87/25/04/432872504.db2.gz SVSUYVSHPXFONF-NVXWUHKLSA-N 0 1 302.443 3.427 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc(Cl)s3)no2)CC1 ZINC000277899227 432877854 /nfs/dbraw/zinc/87/78/54/432877854.db2.gz LFGXSVXFPKOFLP-UHFFFAOYSA-N 0 1 307.806 3.264 20 30 DGEDMN C[C@H]1C[C@H](NCCSCc2ccccc2C#N)c2nccn21 ZINC000296186261 432882111 /nfs/dbraw/zinc/88/21/11/432882111.db2.gz YHZXJDRYTYFUHG-BBRMVZONSA-N 0 1 312.442 3.284 20 30 DGEDMN C=CCCCC(=O)Nc1cccc(NC(=O)CN(CC)CC)c1 ZINC000288013105 432882642 /nfs/dbraw/zinc/88/26/42/432882642.db2.gz BLOQMCOQLMXHMX-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN C[C@H](C(=O)NC1CCCCC1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000329950676 432884656 /nfs/dbraw/zinc/88/46/56/432884656.db2.gz MLJPRAZNIVZMNF-ZIAGYGMSSA-N 0 1 304.438 3.267 20 30 DGEDMN Cc1nc(CC2CC2)oc1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330020436 432888905 /nfs/dbraw/zinc/88/89/05/432888905.db2.gz WOGJVFUVWWCHER-LBPRGKRZSA-N 0 1 300.362 3.041 20 30 DGEDMN COc1cccc([C@H](C)N2CCN([C@H]3CC[C@@H](C#N)C3)CC2)c1 ZINC000278585819 432896228 /nfs/dbraw/zinc/89/62/28/432896228.db2.gz KQGFDSAHMISCCK-JZXOWHBKSA-N 0 1 313.445 3.066 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2C#N)n[nH]1 ZINC000277467176 432852894 /nfs/dbraw/zinc/85/28/94/432852894.db2.gz REGDQESCTFHTHK-VHSXEESVSA-N 0 1 314.349 3.271 20 30 DGEDMN Fc1cc(F)c(CNCCOCC(F)(F)C(F)F)cc1F ZINC000277502446 432855801 /nfs/dbraw/zinc/85/58/01/432855801.db2.gz PNFSHLKSEOINGV-UHFFFAOYSA-N 0 1 319.220 3.111 20 30 DGEDMN CN(Cc1ccc(F)c(C#N)c1)C[C@H]1OCCc2ccccc21 ZINC000268227247 432856844 /nfs/dbraw/zinc/85/68/44/432856844.db2.gz NXMGVVDGDJQYEJ-LJQANCHMSA-N 0 1 310.372 3.443 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2ccccc2O)CC1 ZINC000277542724 432859711 /nfs/dbraw/zinc/85/97/11/432859711.db2.gz BJUDFFOYGCSPHM-UHFFFAOYSA-N 0 1 301.434 3.234 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N(C)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000289258128 432939389 /nfs/dbraw/zinc/93/93/89/432939389.db2.gz QGCAHSKBCXQIBE-MJGOQNOKSA-N 0 1 313.445 3.049 20 30 DGEDMN CC(C)c1ccsc1C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000331361132 432939997 /nfs/dbraw/zinc/93/99/97/432939997.db2.gz YQXGYBCXNXBITG-CYBMUJFWSA-N 0 1 310.463 3.023 20 30 DGEDMN COc1cccc([C@H](C)N2CCN([C@@H]3CC[C@@H](C#N)C3)CC2)c1 ZINC000278585820 432896695 /nfs/dbraw/zinc/89/66/95/432896695.db2.gz KQGFDSAHMISCCK-LZLYRXPVSA-N 0 1 313.445 3.066 20 30 DGEDMN C[C@H](c1ccc(F)cc1F)N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000278755606 432899712 /nfs/dbraw/zinc/89/97/12/432899712.db2.gz XMXNNFXOYRSQCZ-IIAWOOMASA-N 0 1 319.399 3.336 20 30 DGEDMN CCC[C@]1(C)CCCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000330279202 432902010 /nfs/dbraw/zinc/90/20/10/432902010.db2.gz QQMIGYUCTFQHSX-RHSMWYFYSA-N 0 1 304.438 3.014 20 30 DGEDMN [O-]C(=[NH+][C@@H]1C[C@H]1c1ccccc1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000330310493 432903366 /nfs/dbraw/zinc/90/33/66/432903366.db2.gz UZVPHWNJNIDQKQ-HRCADAONSA-N 0 1 310.401 3.059 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)CC1 ZINC000330299511 432903556 /nfs/dbraw/zinc/90/35/56/432903556.db2.gz MJGWWIBBQXIZIN-CABCVRRESA-N 0 1 318.465 3.260 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289952779 432980142 /nfs/dbraw/zinc/98/01/42/432980142.db2.gz QFYOPJJRVPJREK-MLGOLLRUSA-N 0 1 300.793 3.416 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N[C@H](C)c1n[nH]c([C@H](C)CC)n1 ZINC000297476702 432995296 /nfs/dbraw/zinc/99/52/96/432995296.db2.gz SIWJYDSVYGCPOM-VXGBXAGGSA-N 0 1 307.442 3.281 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCC(C)(C)CC1 ZINC000339254589 289257647 /nfs/dbraw/zinc/25/76/47/289257647.db2.gz OIBWCSNSVINLNZ-MRXNPFEDSA-N 0 1 313.445 3.444 20 30 DGEDMN N#Cc1cccc(CCNCc2ncc(Br)s2)c1 ZINC000341492492 433089710 /nfs/dbraw/zinc/08/97/10/433089710.db2.gz VJPOEHIVHFTNLC-UHFFFAOYSA-N 0 1 322.231 3.110 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccccc1OC(F)F ZINC000298481946 433152674 /nfs/dbraw/zinc/15/26/74/433152674.db2.gz GPOXSJUWBBNLJT-ZDUSSCGKSA-N 0 1 324.371 3.139 20 30 DGEDMN C[C@H]1CN(Cc2cccc(OCCCC#N)c2)C[C@H](C2CC2)O1 ZINC000373474295 433153985 /nfs/dbraw/zinc/15/39/85/433153985.db2.gz MAGNGFDNQTTXEB-HNAYVOBHSA-N 0 1 314.429 3.368 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCCC[C@@H]2c2ccc(C)o2)C1=O ZINC000337175117 433209580 /nfs/dbraw/zinc/20/95/80/433209580.db2.gz IKJDTZOGTIWOQS-HZPDHXFCSA-N 0 1 302.418 3.292 20 30 DGEDMN Cc1cccc(C(C)C)c1NS(=O)(=O)c1cncc(C#N)c1 ZINC000290900823 433204551 /nfs/dbraw/zinc/20/45/51/433204551.db2.gz FASRSDUYTGBJNX-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)Cc1cccs1)c1ccccc1 ZINC000298879691 433272315 /nfs/dbraw/zinc/27/23/15/433272315.db2.gz KAZHPGQNEWFEJT-QGZVFWFLSA-N 0 1 312.438 3.061 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](C)c2ccc(C(F)(F)F)cc2)C1=O ZINC000281231024 433274051 /nfs/dbraw/zinc/27/40/51/433274051.db2.gz OZGBRCWCYYSQBF-BXUZGUMPSA-N 0 1 312.335 3.143 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](C)c2ccc(C(F)(F)F)cc2)C1=O ZINC000281231028 433275461 /nfs/dbraw/zinc/27/54/61/433275461.db2.gz OZGBRCWCYYSQBF-RISCZKNCSA-N 0 1 312.335 3.143 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC(C)(C)O[C@]2(CCCOC2)C1 ZINC000361610206 433286122 /nfs/dbraw/zinc/28/61/22/433286122.db2.gz LNGYMLDYDPUDHM-DNVCBOLYSA-N 0 1 314.429 3.279 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C)c1ccc(SC)cc1 ZINC000281347204 433290070 /nfs/dbraw/zinc/29/00/70/433290070.db2.gz KGTRUUAUUKJXHQ-ZIAGYGMSSA-N 0 1 319.474 3.067 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)Cc2ccc3ccccc3c2)CC1 ZINC000299035778 433301613 /nfs/dbraw/zinc/30/16/13/433301613.db2.gz KOLSCYZMWWNZFW-UHFFFAOYSA-N 0 1 320.436 3.143 20 30 DGEDMN C=CCN(CCOC)Cc1cn(C)nc1-c1ccccc1Cl ZINC000361645539 433310925 /nfs/dbraw/zinc/31/09/25/433310925.db2.gz DWTWFXWCJKTENU-UHFFFAOYSA-N 0 1 319.836 3.375 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(F)c(Br)c1 ZINC000361642124 433312979 /nfs/dbraw/zinc/31/29/79/433312979.db2.gz HJNOGWMFEDITAE-UHFFFAOYSA-N 0 1 302.187 3.223 20 30 DGEDMN FC(F)(F)c1ccc(C#CCN2CC[C@H](n3cccn3)C2)cc1 ZINC000291785852 433352293 /nfs/dbraw/zinc/35/22/93/433352293.db2.gz MMJUHUHBYMQPBP-INIZCTEOSA-N 0 1 319.330 3.200 20 30 DGEDMN CN(Cc1ccc(C#N)c(F)c1)C[C@@H]1OCCc2ccccc21 ZINC000361708121 433355201 /nfs/dbraw/zinc/35/52/01/433355201.db2.gz XGIAKVRRGCAKSZ-IBGZPJMESA-N 0 1 310.372 3.443 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)c2cccc(Cl)c2)CC1 ZINC000299363015 433361035 /nfs/dbraw/zinc/36/10/35/433361035.db2.gz RUZSPWXHZUCXIX-UHFFFAOYSA-N 0 1 304.821 3.038 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000454231619 433333126 /nfs/dbraw/zinc/33/31/26/433333126.db2.gz UQJPFGGLQKNLAW-CQSZACIVSA-N 0 1 320.824 3.160 20 30 DGEDMN CC[C@H]1CN(CC)CCN1C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000454231616 433334418 /nfs/dbraw/zinc/33/44/18/433334418.db2.gz UQJPFGGLQKNLAW-AWEZNQCLSA-N 0 1 320.824 3.160 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)C1CC(OC(C)(C)C)C1 ZINC000421020085 433390877 /nfs/dbraw/zinc/39/08/77/433390877.db2.gz YUVRBFDBSKLBGJ-UHFFFAOYSA-N 0 1 302.418 3.345 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCc2cc(C)ccc2C1 ZINC000361841518 433417061 /nfs/dbraw/zinc/41/70/61/433417061.db2.gz DTPQLAGBTBSLCT-MRXNPFEDSA-N 0 1 300.446 3.166 20 30 DGEDMN C[C@@H]1CN(CCCNc2cc(Cl)ccc2C#N)C[C@@H](C)O1 ZINC000301198599 433446803 /nfs/dbraw/zinc/44/68/03/433446803.db2.gz LLSHJMMLLIAQCB-CHWSQXEVSA-N 0 1 307.825 3.123 20 30 DGEDMN CCn1cc(CCN2CCC[C@@H]2[C@H](C#N)c2ccccc2)cn1 ZINC000375696313 433531953 /nfs/dbraw/zinc/53/19/53/433531953.db2.gz GEMYYVURFWNNOR-RTBURBONSA-N 0 1 308.429 3.217 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1ccc(OCCOC)c(OC)c1 ZINC000426557495 433533662 /nfs/dbraw/zinc/53/36/62/433533662.db2.gz RNUHCGCXWSCPGP-INIZCTEOSA-N 0 1 305.418 3.261 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(CC)C[C@H]2CC)c1 ZINC000454579458 433493601 /nfs/dbraw/zinc/49/36/01/433493601.db2.gz NKNFUWMJYZUHSU-MRXNPFEDSA-N 0 1 317.433 3.199 20 30 DGEDMN CC(C)CCN(CCC#N)CCCOc1ccc2c(c1)OCO2 ZINC000362174126 433563921 /nfs/dbraw/zinc/56/39/21/433563921.db2.gz UDWRCXWFOVPEHL-UHFFFAOYSA-N 0 1 318.417 3.446 20 30 DGEDMN CC(C)n1nccc1C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000362178548 433568051 /nfs/dbraw/zinc/56/80/51/433568051.db2.gz DGATWPNGODEGCX-NSHDSACASA-N 0 1 322.290 3.368 20 30 DGEDMN CCN(CCC(=O)Nc1ccc(OC)c(Cl)c1)C[C@H](C)C#N ZINC000362212348 433588043 /nfs/dbraw/zinc/58/80/43/433588043.db2.gz FJZCOXSWVZXIRK-GFCCVEGCSA-N 0 1 323.824 3.159 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1c1ccc(C#N)cc1F ZINC000301543692 433603541 /nfs/dbraw/zinc/60/35/41/433603541.db2.gz OCDYCHOESSWJNC-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCCN(Cc2ccccc2)C[C@H]1C ZINC000293261821 433676865 /nfs/dbraw/zinc/67/68/65/433676865.db2.gz SXBRQFPMNNXJFJ-OAHLLOKOSA-N 0 1 322.399 3.321 20 30 DGEDMN C=CCOc1cccc(CN2CCC[C@H](c3noc(C)n3)C2)c1 ZINC000362386348 433683945 /nfs/dbraw/zinc/68/39/45/433683945.db2.gz RDSMIMWEUAUTFN-INIZCTEOSA-N 0 1 313.401 3.322 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN1CC[C@H](C2CCOCC2)C1 ZINC000373462356 293277904 /nfs/dbraw/zinc/27/79/04/293277904.db2.gz ZWTLCYHPDZMPDN-HNNXBMFYSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CC1CCN(C(=O)[C@H]2CCCCN2Cc2ccccc2)CC1 ZINC000342471402 433714663 /nfs/dbraw/zinc/71/46/63/433714663.db2.gz JCDHOGPILQTRMJ-LJQANCHMSA-N 0 1 312.457 3.466 20 30 DGEDMN N#CCc1cccc(-c2nc(-c3ccc4[nH]cnc4c3)no2)c1 ZINC000356972542 433790652 /nfs/dbraw/zinc/79/06/52/433790652.db2.gz GHRXMCATRRZKDU-UHFFFAOYSA-N 0 1 301.309 3.346 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC000356975938 433799144 /nfs/dbraw/zinc/79/91/44/433799144.db2.gz AGQBPUDARKMUTK-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN CC(C)c1nc2c([nH]1)CC[C@@H](C(=O)NCC(C)(C)CCC#N)C2 ZINC000377166945 433851558 /nfs/dbraw/zinc/85/15/58/433851558.db2.gz CFCXPLQWESYUGO-CYBMUJFWSA-N 0 1 316.449 3.084 20 30 DGEDMN CC(C)(CCC#N)CNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000377170274 433851827 /nfs/dbraw/zinc/85/18/27/433851827.db2.gz SOQZKMFDBMSIDX-KRWDZBQOSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000362965771 433934441 /nfs/dbraw/zinc/93/44/41/433934441.db2.gz FDGFZWXFMSRULR-UHFFFAOYSA-N 0 1 322.399 3.469 20 30 DGEDMN CCOC1CC2(C1)CC[N@@H+](Cc1ccc(O[C@H](C)C#N)cc1)C2 ZINC000377692266 433959451 /nfs/dbraw/zinc/95/94/51/433959451.db2.gz BLXHHVNMAWLETK-VNCLNFNDSA-N 0 1 314.429 3.368 20 30 DGEDMN C=CCC[C@@H](NCC1(S(C)(=O)=O)CCCC1)c1ccco1 ZINC000285540175 433983495 /nfs/dbraw/zinc/98/34/95/433983495.db2.gz DFORAKRLJMZOTP-CQSZACIVSA-N 0 1 311.447 3.234 20 30 DGEDMN COc1cc(C)c(NC(=O)c2ccc(C#N)c(O)c2)c(C)c1C ZINC000345907839 433987811 /nfs/dbraw/zinc/98/78/11/433987811.db2.gz PQCZFHUAZCLBHD-UHFFFAOYSA-N 0 1 310.353 3.450 20 30 DGEDMN N#Cc1ccc(OC2CCN(Cc3cccnc3)CC2)c(F)c1 ZINC000285403183 433936630 /nfs/dbraw/zinc/93/66/30/433936630.db2.gz FDTBWNHAQCNAFA-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)c3ccc(C#N)o3)[nH]c2c1 ZINC000340254284 434015237 /nfs/dbraw/zinc/01/52/37/434015237.db2.gz ZJJSPCOUWYTODB-OAHLLOKOSA-N 0 1 320.352 3.313 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H]1CCOc2cc(OC)ccc21 ZINC000363414533 434047716 /nfs/dbraw/zinc/04/77/16/434047716.db2.gz OICZZBJKJUQTGU-GOSISDBHSA-N 0 1 323.392 3.321 20 30 DGEDMN CN(CCC(F)(F)F)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000352690332 434048806 /nfs/dbraw/zinc/04/88/06/434048806.db2.gz DDXBGTOGTWZSNI-UHFFFAOYSA-N 0 1 322.334 3.343 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](c1ccc(OC)cc1)N1CCCC1 ZINC000294433194 434112021 /nfs/dbraw/zinc/11/20/21/434112021.db2.gz RNJFOGJJPONIKZ-KRWDZBQOSA-N 0 1 316.445 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](c1cccc(OC)c1)N1CCCC1 ZINC000294481297 434117347 /nfs/dbraw/zinc/11/73/47/434117347.db2.gz VSMIWZHMYPRNAV-KRWDZBQOSA-N 0 1 316.445 3.161 20 30 DGEDMN C[C@@H]1CN([C@@H]2CC[C@H](C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC000495796507 297072027 /nfs/dbraw/zinc/07/20/27/297072027.db2.gz VZGRSHWHWFHXCF-APIJFGDWSA-N 0 1 307.438 3.008 20 30 DGEDMN CCCCCCCCCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000286007215 434134825 /nfs/dbraw/zinc/13/48/25/434134825.db2.gz RBYQIMXUZGCPIS-HNNXBMFYSA-N 0 1 320.437 3.013 20 30 DGEDMN C=C(CC)CNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000457455524 434182967 /nfs/dbraw/zinc/18/29/67/434182967.db2.gz UDKDUMDTXPARBU-OAHLLOKOSA-N 0 1 305.422 3.072 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CCC(CF)CC1 ZINC000295095396 434194723 /nfs/dbraw/zinc/19/47/23/434194723.db2.gz GJMSJCKAKPNDIT-CQSZACIVSA-N 0 1 324.465 3.333 20 30 DGEDMN C=CCC[C@@H](CO)NCc1c(F)cccc1Br ZINC000320801060 434243018 /nfs/dbraw/zinc/24/30/18/434243018.db2.gz VSTWHNTYVFNBAI-JTQLQIEISA-N 0 1 302.187 3.005 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccc2nc(C(C)C)sc2c1 ZINC000416933097 434255754 /nfs/dbraw/zinc/25/57/54/434255754.db2.gz AIBQVLNMJQZTNC-NSHDSACASA-N 0 1 323.443 3.464 20 30 DGEDMN CC[C@H](O)[C@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000437151977 434225319 /nfs/dbraw/zinc/22/53/19/434225319.db2.gz DYUODTAAHPGLNU-CABCVRRESA-N 0 1 324.371 3.285 20 30 DGEDMN O=C(Nc1scnc1C1CC1)N[C@@H]1CCCc2cn[nH]c21 ZINC000328947088 434333305 /nfs/dbraw/zinc/33/33/05/434333305.db2.gz VSQAMQHFEXHVFN-SNVBAGLBSA-N 0 1 303.391 3.147 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000348239879 434290259 /nfs/dbraw/zinc/29/02/59/434290259.db2.gz AFNZYTQRAFQEGV-FRRDWIJNSA-N 0 1 306.372 3.072 20 30 DGEDMN CCCCOC1CCN(Cc2cc(C#N)ccc2OC)CC1 ZINC000437582276 434292943 /nfs/dbraw/zinc/29/29/43/434292943.db2.gz VTLXKNIWWBVIFW-UHFFFAOYSA-N 0 1 302.418 3.348 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)Nc1ccc2nc(N3CCOCC3)[nH]c2c1 ZINC000328734031 434307122 /nfs/dbraw/zinc/30/71/22/434307122.db2.gz JXCROOZGPHOMJN-GFCCVEGCSA-N 0 1 314.389 3.034 20 30 DGEDMN C[C@H](C1CCCCC1)N(C)C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000328759295 434311161 /nfs/dbraw/zinc/31/11/61/434311161.db2.gz WHSDTCGKDUNPGJ-HIFRSBDPSA-N 0 1 304.438 3.013 20 30 DGEDMN CC1(C)C[C@@H]1[NH+]=C([O-])N1CCC[C@H]1Cn1cnc2ccccc21 ZINC000328793466 434313931 /nfs/dbraw/zinc/31/39/31/434313931.db2.gz RXFARCFVZSJXRB-BBRMVZONSA-N 0 1 312.417 3.213 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)c1cccc([C@@H]2CCOC2)c1 ZINC000328862970 434321659 /nfs/dbraw/zinc/32/16/59/434321659.db2.gz BBDRSFADDBAUOM-HZPDHXFCSA-N 0 1 311.385 3.296 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)c2[nH]1 ZINC000329121531 434361660 /nfs/dbraw/zinc/36/16/60/434361660.db2.gz QNINKEPMRBUTSP-HIFRSBDPSA-N 0 1 312.373 3.073 20 30 DGEDMN CC(C)[C@H](O)C1(CNC(=O)[C@@H]2CCC[N@H+]2C2CCCC2)CC1 ZINC000329212705 434373636 /nfs/dbraw/zinc/37/36/36/434373636.db2.gz IEFOMRXCZBOEMZ-HOTGVXAUSA-N 0 1 308.466 3.147 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2C(=O)N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000329613516 434442501 /nfs/dbraw/zinc/44/25/01/434442501.db2.gz OWXXNJXMUYSQGB-QAPCUYQASA-N 0 1 324.428 3.327 20 30 DGEDMN C[C@]1(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)CCOC1 ZINC000329620790 434442582 /nfs/dbraw/zinc/44/25/82/434442582.db2.gz UHPWVHNOLGSADI-PBHICJAKSA-N 0 1 315.373 3.429 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@H]3CC34CCCCC4)C2)c1 ZINC000329617561 434442886 /nfs/dbraw/zinc/44/28/86/434442886.db2.gz QEFRTDZCJUQXEX-CABCVRRESA-N 0 1 302.422 3.075 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2C(=O)N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000329613517 434443780 /nfs/dbraw/zinc/44/37/80/434443780.db2.gz OWXXNJXMUYSQGB-YJBOKZPZSA-N 0 1 324.428 3.327 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCCCC3(C)C)C2)c1 ZINC000329621604 434443980 /nfs/dbraw/zinc/44/39/80/434443980.db2.gz ROFCNOOEXCMZHI-HUUCEWRRSA-N 0 1 304.438 3.321 20 30 DGEDMN C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329609215 434445110 /nfs/dbraw/zinc/44/51/10/434445110.db2.gz NIIIIPNNYIERDB-RVZJWNSFSA-N 0 1 324.428 3.094 20 30 DGEDMN CCO[C@H]1C[C@@H](N(C)Cc2cccc(C#N)c2F)C12CCC2 ZINC000340877465 434406675 /nfs/dbraw/zinc/40/66/75/434406675.db2.gz BRFXNTMREJZYER-CVEARBPZSA-N 0 1 302.393 3.477 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)N1Cc2ccccc2C1 ZINC000359052073 434411396 /nfs/dbraw/zinc/41/13/96/434411396.db2.gz WPMDOXAAPFEUBS-HUUCEWRRSA-N 0 1 319.408 3.140 20 30 DGEDMN CC(=O)Nc1nc(CN2CCC[C@H]2C2CCOCC2)cs1 ZINC000329490532 434420549 /nfs/dbraw/zinc/42/05/49/434420549.db2.gz VCXRHGHCPCSSGL-AWEZNQCLSA-N 0 1 309.435 3.142 20 30 DGEDMN CC[C@H](O)CN(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000340903747 434423657 /nfs/dbraw/zinc/42/36/57/434423657.db2.gz WPAVDHQSAWTOBO-SFHVURJKSA-N 0 1 312.388 3.470 20 30 DGEDMN CC[C@H]1c2ccsc2CCN1C(=O)Nc1ccnnc1C ZINC000329537882 434427479 /nfs/dbraw/zinc/42/74/79/434427479.db2.gz BUNMJIROBANWGA-ZDUSSCGKSA-N 0 1 302.403 3.401 20 30 DGEDMN NC(=O)[C@@H]1CCCCC[C@H]1NC([O-])=[NH+]C1Cc2ccccc2C1 ZINC000329600965 434440349 /nfs/dbraw/zinc/44/03/49/434440349.db2.gz KIOBUXPBGUHNJV-HZPDHXFCSA-N 0 1 315.417 3.142 20 30 DGEDMN NC(=O)[C@@H]1CCCCC[C@H]1[NH+]=C([O-])NC1Cc2ccccc2C1 ZINC000329600965 434440358 /nfs/dbraw/zinc/44/03/58/434440358.db2.gz KIOBUXPBGUHNJV-HZPDHXFCSA-N 0 1 315.417 3.142 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ncn(C2CCCCC2)n1 ZINC000329751135 434471945 /nfs/dbraw/zinc/47/19/45/434471945.db2.gz IQXNKLVCKJWWEA-BETUJISGSA-N 0 1 305.426 3.244 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000458080788 434473553 /nfs/dbraw/zinc/47/35/53/434473553.db2.gz VGUTYYLUIMCYFK-CQSZACIVSA-N 0 1 307.438 3.174 20 30 DGEDMN Cc1cnn([C@@H]2CCN([C@H](C)C(=O)N[C@@H]3CCCC[C@@H]3C)C2)c1 ZINC000329778204 434479020 /nfs/dbraw/zinc/47/90/20/434479020.db2.gz KYNQIGFAYUNZOW-YLFCFFPRSA-N 0 1 318.465 3.362 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2nc(Cl)c(C#N)s2)n[nH]1 ZINC000287927205 434481197 /nfs/dbraw/zinc/48/11/97/434481197.db2.gz WXRNERZCVOSQHM-NKWVEPMBSA-N 0 1 310.814 3.473 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000329824663 434486696 /nfs/dbraw/zinc/48/66/96/434486696.db2.gz HWPLVPRSHNRNDR-GHMZBOCLSA-N 0 1 303.362 3.128 20 30 DGEDMN CCC1(CC)CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000438504909 434499029 /nfs/dbraw/zinc/49/90/29/434499029.db2.gz LSNFYPNMDOLTQC-CQSZACIVSA-N 0 1 317.458 3.237 20 30 DGEDMN C[C@H]1CN(CCC(=O)Nc2cccc(C#N)c2)C[C@@H](C2CC2)O1 ZINC000329892249 434499959 /nfs/dbraw/zinc/49/99/59/434499959.db2.gz ADKZBMJHVVHIFG-GUYCJALGSA-N 0 1 313.401 3.036 20 30 DGEDMN COc1ccc2c(c1)OCC[C@H]2NCc1ccc(C#N)c(OC)c1 ZINC000366920629 434532020 /nfs/dbraw/zinc/53/20/20/434532020.db2.gz HPWFKOUKJQXGIC-QGZVFWFLSA-N 0 1 324.380 3.189 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](c1ccccc1)C(C)(C)CO ZINC000438998230 434555364 /nfs/dbraw/zinc/55/53/64/434555364.db2.gz KFDKUILCHSBHKN-LJQANCHMSA-N 0 1 324.424 3.416 20 30 DGEDMN Cc1cccc(CN2CCCC[C@H]2C(=O)NCCCCC#N)c1 ZINC000368354511 434573146 /nfs/dbraw/zinc/57/31/46/434573146.db2.gz OVIHOHGAOIDYFZ-SFHVURJKSA-N 0 1 313.445 3.160 20 30 DGEDMN C=CCOc1ccc(CNCc2ccccc2C(=O)N(C)C)cc1 ZINC000418052608 434573340 /nfs/dbraw/zinc/57/33/40/434573340.db2.gz WXOLTIRGLXQXEU-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN Cc1ccc2nc(CNC(=O)[C@H](C)c3cccc(C#N)c3)[nH]c2c1 ZINC000360334270 434581968 /nfs/dbraw/zinc/58/19/68/434581968.db2.gz ONBVOVUHUUJPQF-CYBMUJFWSA-N 0 1 318.380 3.163 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2c(C)cc(F)cc2C)C1 ZINC001671152558 1194404879 /nfs/dbraw/zinc/40/48/79/1194404879.db2.gz AUDNAZYBPQTRAA-UHFFFAOYSA-N 0 1 304.409 3.165 20 30 DGEDMN C#CCOc1ccc(CN[C@@H]2CCOc3c(OC)cccc32)cc1 ZINC000369292915 434591197 /nfs/dbraw/zinc/59/11/97/434591197.db2.gz JPVNHRXFAQDUKJ-GOSISDBHSA-N 0 1 323.392 3.321 20 30 DGEDMN O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)N1CCc2ccc(O)cc2C1 ZINC000330073418 295386043 /nfs/dbraw/zinc/38/60/43/295386043.db2.gz ZWOJSPMVJBLBSA-CEXWTWQISA-N 0 1 320.392 3.003 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](c2ccccc2)c2ccc(C)cc2)C1=O ZINC000410419110 434644666 /nfs/dbraw/zinc/64/46/66/434644666.db2.gz PJYVEZBXIGCQAT-PMACEKPBSA-N 0 1 320.436 3.461 20 30 DGEDMN C[C@H](Oc1ccc(C#N)cc1)c1nc(C2(N)CCCCC2)no1 ZINC000424349790 434709217 /nfs/dbraw/zinc/70/92/17/434709217.db2.gz WMVIQSAJTWQINW-LBPRGKRZSA-N 0 1 312.373 3.199 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)NCCC(C)C ZINC000411170847 434736386 /nfs/dbraw/zinc/73/63/86/434736386.db2.gz YBWCQRHNWOYIKK-MRXNPFEDSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H](C)C(=O)NC1CCCC1 ZINC000411202208 434744318 /nfs/dbraw/zinc/74/43/18/434744318.db2.gz UJQJNOGFJUWHTR-INIZCTEOSA-N 0 1 300.446 3.164 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000361123079 434804241 /nfs/dbraw/zinc/80/42/41/434804241.db2.gz MKLHLUZFEYFZCQ-GOSISDBHSA-N 0 1 324.509 3.308 20 30 DGEDMN Cc1csc([C@H](C)NCCC(=O)Nc2ccccc2C#N)n1 ZINC000411517843 434794123 /nfs/dbraw/zinc/79/41/23/434794123.db2.gz HBYBYYJFFKKAOW-LBPRGKRZSA-N 0 1 314.414 3.003 20 30 DGEDMN Cc1cc([C@@H](C)N[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)c(C)o1 ZINC000411523257 434794745 /nfs/dbraw/zinc/79/47/45/434794745.db2.gz YCLXDHMHXLRWHM-FZKQIMNGSA-N 0 1 323.396 3.224 20 30 DGEDMN CCc1cnccc1[C@@H](C)N[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000361136637 434807449 /nfs/dbraw/zinc/80/74/49/434807449.db2.gz RNPDIAGECUABGB-KGLIPLIRSA-N 0 1 322.412 3.193 20 30 DGEDMN CC1(C)CCCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000446077054 434849144 /nfs/dbraw/zinc/84/91/44/434849144.db2.gz AVUFZFZHSPZOQA-UHFFFAOYSA-N 0 1 313.445 3.445 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@]1(OC)CCOC1 ZINC000637869847 434895923 /nfs/dbraw/zinc/89/59/23/434895923.db2.gz ZMADGGJUIFUDKD-CQSZACIVSA-N 0 1 301.839 3.195 20 30 DGEDMN CC[N@@H+](CC(C)(C)C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000463370623 434956049 /nfs/dbraw/zinc/95/60/49/434956049.db2.gz SIXGSSWPDJTZSN-KRWDZBQOSA-N 0 1 313.445 3.422 20 30 DGEDMN CC(C)c1ccc(CCN[C@@H](C(N)=O)c2ccc(C#N)cc2)cc1 ZINC000522373328 434922111 /nfs/dbraw/zinc/92/21/11/434922111.db2.gz UIMYTJVCWIIVQC-LJQANCHMSA-N 0 1 321.424 3.040 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cccc(C3CCC3)c2)cn1 ZINC000573891325 434934447 /nfs/dbraw/zinc/93/44/47/434934447.db2.gz IQWYSMGDCHNZJO-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN Cc1cc(CN2CCC(Oc3cccc(C#N)c3)CC2)ccn1 ZINC000527534713 435013256 /nfs/dbraw/zinc/01/32/56/435013256.db2.gz PLQLROOKBGKBSL-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000464728116 434995937 /nfs/dbraw/zinc/99/59/37/434995937.db2.gz XIAFSSVVJWDFSP-MAUKXSAKSA-N 0 1 316.420 3.409 20 30 DGEDMN CC1(CN2CCN([C@@H](C#N)c3cccc(Cl)c3)CC2)CC1 ZINC000527241179 435012305 /nfs/dbraw/zinc/01/23/05/435012305.db2.gz SXHKYDBQYUWZGU-INIZCTEOSA-N 0 1 303.837 3.322 20 30 DGEDMN CC[C@H](CC#N)NCCC(=O)Nc1cccc(Br)c1 ZINC000576119116 435053934 /nfs/dbraw/zinc/05/39/34/435053934.db2.gz DDKQIXWZGODHBQ-GFCCVEGCSA-N 0 1 324.222 3.060 20 30 DGEDMN CC(C)CN(C)CC(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000533247608 435218951 /nfs/dbraw/zinc/21/89/51/435218951.db2.gz FODKIENNOKWKGR-AWEZNQCLSA-N 0 1 319.474 3.465 20 30 DGEDMN CC[C@@H](Cc1ccccc1)N(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000533299817 435224590 /nfs/dbraw/zinc/22/45/90/435224590.db2.gz XIDBXWMCUKVJQE-IBGZPJMESA-N 0 1 321.424 3.450 20 30 DGEDMN C[C@H]1CC2(CN1CCC#N)CCN(C(=O)OC(C)(C)C)CC2 ZINC000496176195 435209946 /nfs/dbraw/zinc/20/99/46/435209946.db2.gz SOIFSJNFNOKOCX-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN CCCn1nccc1C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000563448142 435268683 /nfs/dbraw/zinc/26/86/83/435268683.db2.gz GVZHXFGAQHVVLV-LLVKDONJSA-N 0 1 322.290 3.197 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cn(C)nc2C2CC2)n1 ZINC000564511625 435272646 /nfs/dbraw/zinc/27/26/46/435272646.db2.gz YKRJPTWOFULUDT-NSHDSACASA-N 0 1 314.414 3.367 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCNC[C@H]1c1ccc(CC)cc1 ZINC000565151163 435278099 /nfs/dbraw/zinc/27/80/99/435278099.db2.gz JGZUCFNYTGZWQC-QAPCUYQASA-N 0 1 300.446 3.324 20 30 DGEDMN CCN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)C[C@@H](C)C#N ZINC000497041399 435289406 /nfs/dbraw/zinc/28/94/06/435289406.db2.gz UQYJXPIYLHRIKA-LSDHHAIUSA-N 0 1 309.454 3.115 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2nc3c(cc2C#N)CCCC3)C1 ZINC000535035627 435312530 /nfs/dbraw/zinc/31/25/30/435312530.db2.gz KOGAFBBKYWPHGV-IBGZPJMESA-N 0 1 318.424 3.431 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2nc3c(cc2C#N)CCCC3)C1 ZINC000535035643 435313517 /nfs/dbraw/zinc/31/35/17/435313517.db2.gz KOGAFBBKYWPHGV-LJQANCHMSA-N 0 1 318.424 3.431 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(C)Cc1cc(Cl)cc(Cl)c1 ZINC000481310445 435418967 /nfs/dbraw/zinc/41/89/67/435418967.db2.gz CVIIFVQYRCYOPG-UHFFFAOYSA-N 0 1 315.244 3.460 20 30 DGEDMN C=C(C)CN(C)CC(=O)NCCc1ccc(C(C)(C)C)cc1 ZINC000481333770 435422066 /nfs/dbraw/zinc/42/20/66/435422066.db2.gz YTOYRJKEMJIJEY-UHFFFAOYSA-N 0 1 302.462 3.151 20 30 DGEDMN C=CC(C)(C)CCNC(=O)N[C@@H](C)c1n[nH]c([C@@H](C)CC)n1 ZINC000637324115 435425345 /nfs/dbraw/zinc/42/53/45/435425345.db2.gz PMTMMHIWKTVJPN-RYUDHWBXSA-N 0 1 307.442 3.281 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2cc(C)nc(C)n2)nn1[C@@H](C)C1CC1 ZINC000538580183 435505661 /nfs/dbraw/zinc/50/56/61/435505661.db2.gz PTGCGUNYHNPEFY-WFASDCNBSA-N 0 1 323.400 3.059 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)nn1[C@@H](C)C1CC1 ZINC000538580183 435505670 /nfs/dbraw/zinc/50/56/70/435505670.db2.gz PTGCGUNYHNPEFY-WFASDCNBSA-N 0 1 323.400 3.059 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(C)C(C)(C)C1 ZINC000640119954 435520606 /nfs/dbraw/zinc/52/06/06/435520606.db2.gz QOLNOOMCXDXVJN-UHFFFAOYSA-N 0 1 301.434 3.363 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1CCC(c2cccc(Cl)c2)CC1 ZINC000483119614 435499664 /nfs/dbraw/zinc/49/96/64/435499664.db2.gz KSYSYSGSNQEVIX-CYBMUJFWSA-N 0 1 306.837 3.210 20 30 DGEDMN Cc1ccccc1[C@H](C)NC(=O)[C@@H](C)N1CCC(CC#N)CC1 ZINC000541024609 435634576 /nfs/dbraw/zinc/63/45/76/435634576.db2.gz OPYGAUFQXYBZSX-JKSUJKDBSA-N 0 1 313.445 3.186 20 30 DGEDMN COc1ccccc1[C@@H](CO)NCc1ccccc1C(C)(C)C#N ZINC000541984336 435646853 /nfs/dbraw/zinc/64/68/53/435646853.db2.gz WAYBZARXEFQOBF-GOSISDBHSA-N 0 1 324.424 3.320 20 30 DGEDMN C#CCN1CCC(C(=O)N(C2CC2)[C@H]2CCc3ccccc32)CC1 ZINC000490594672 435664549 /nfs/dbraw/zinc/66/45/49/435664549.db2.gz UUDAPJCLGVHOTE-FQEVSTJZSA-N 0 1 322.452 3.010 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2CCC2CCCC2)CC1 ZINC000490831546 435682164 /nfs/dbraw/zinc/68/21/64/435682164.db2.gz VMZYOJGZBLNQKK-IBGZPJMESA-N 0 1 316.489 3.293 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001632936561 1194412901 /nfs/dbraw/zinc/41/29/01/1194412901.db2.gz WHYHTZLBTDNXSX-UHFFFAOYSA-N 0 1 316.327 3.221 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccccc2OC)nc1)C(C)(C)C ZINC000491633138 435783119 /nfs/dbraw/zinc/78/31/19/435783119.db2.gz INEQIVLMSLLLQN-KRWDZBQOSA-N 0 1 309.413 3.290 20 30 DGEDMN C#C[C@H](NC1CCC(NC(C)=O)CC1)c1ccc(Cl)cc1F ZINC000491647591 435785750 /nfs/dbraw/zinc/78/57/50/435785750.db2.gz YKMQBQHRJOPLIM-KVULBXGLSA-N 0 1 322.811 3.190 20 30 DGEDMN C=CCCC1(CNC(=O)NCc2n[nH]c(C(C)C)n2)CCCC1 ZINC000664989235 435862317 /nfs/dbraw/zinc/86/23/17/435862317.db2.gz BRHBMTWTEDCHLX-UHFFFAOYSA-N 0 1 319.453 3.254 20 30 DGEDMN C=CCN(Cc1ccc(OCC(F)(F)F)nc1)[C@H](C)COC ZINC000661241405 436002890 /nfs/dbraw/zinc/00/28/90/436002890.db2.gz XKFXKWKFARGUHJ-GFCCVEGCSA-N 0 1 318.339 3.046 20 30 DGEDMN N#CC(C(=O)c1cnn2c1CCCC2)c1nc(C2CC2)cs1 ZINC000647378619 436036155 /nfs/dbraw/zinc/03/61/55/436036155.db2.gz LZGBRXLTBBQYIB-NSHDSACASA-N 0 1 312.398 3.043 20 30 DGEDMN CC(C)Cn1nccc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000647380416 436037182 /nfs/dbraw/zinc/03/71/82/436037182.db2.gz PVIDYNDEAPIASI-GFCCVEGCSA-N 0 1 314.414 3.363 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@H](C)C1O ZINC000661630044 436126784 /nfs/dbraw/zinc/12/67/84/436126784.db2.gz JLLHAYJEMSAJMF-STQMWFEESA-N 0 1 311.347 3.006 20 30 DGEDMN C=CCCn1cc(CNC[C@H](CC)Oc2ccccc2C)nn1 ZINC000657388850 436173108 /nfs/dbraw/zinc/17/31/08/436173108.db2.gz HDEHIVPMXUJQFN-KRWDZBQOSA-N 0 1 314.433 3.110 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](c3ccc(C)cc3C)C2)nn1 ZINC000653651165 436234387 /nfs/dbraw/zinc/23/43/87/436234387.db2.gz ZTARVURULDCOQV-KRWDZBQOSA-N 0 1 310.445 3.461 20 30 DGEDMN C=CCOc1ccc(CNCc2cnc(C(F)(F)F)nc2)cc1 ZINC000657551208 436242296 /nfs/dbraw/zinc/24/22/96/436242296.db2.gz YASFZTICUSWXKC-UHFFFAOYSA-N 0 1 323.318 3.350 20 30 DGEDMN C=CCOc1cccc(CNCc2cnc(C(F)(F)F)nc2)c1 ZINC000657549464 436242600 /nfs/dbraw/zinc/24/26/00/436242600.db2.gz FCDFCTJFZLADOK-UHFFFAOYSA-N 0 1 323.318 3.350 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](Cc3ccc(F)cc3)C2)nn1 ZINC000653558031 436203537 /nfs/dbraw/zinc/20/35/37/436203537.db2.gz RNWRBEFVIGPHNZ-MRXNPFEDSA-N 0 1 314.408 3.058 20 30 DGEDMN C=CCCn1cc(CN(CCC)CCc2cccs2)nn1 ZINC000653617982 436225822 /nfs/dbraw/zinc/22/58/22/436225822.db2.gz PSNKWAISJRODGL-UHFFFAOYSA-N 0 1 304.463 3.370 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000662077953 436266121 /nfs/dbraw/zinc/26/61/21/436266121.db2.gz GLDWLGZRGZABRX-FJIDUMEYSA-N 0 1 302.462 3.351 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1ccccc1OC ZINC000662079216 436268012 /nfs/dbraw/zinc/26/80/12/436268012.db2.gz LNLKHXZQMNVGNS-SOUVJXGZSA-N 0 1 300.402 3.205 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2ccc(OC)cc2)o1 ZINC000662079570 436268516 /nfs/dbraw/zinc/26/85/16/436268516.db2.gz HOQHRQFIGULRAI-GASCZTMLSA-N 0 1 311.385 3.428 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccnn1C1CCCC1 ZINC000662086299 436270577 /nfs/dbraw/zinc/27/05/77/436270577.db2.gz QMLKOUMSIPCMQP-IYBDPMFKSA-N 0 1 314.433 3.120 20 30 DGEDMN C=CCOCCCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000658210092 436400325 /nfs/dbraw/zinc/40/03/25/436400325.db2.gz NXVZJUCMWANXIZ-SFHVURJKSA-N 0 1 300.377 3.492 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NCc1nn(C)cc1Br ZINC000658027944 436355225 /nfs/dbraw/zinc/35/52/25/436355225.db2.gz ANQQXJIUYUMOTL-AAEUAGOBSA-N 0 1 312.255 3.407 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)c2c(Cl)cnn2C)n1 ZINC000659115235 436462558 /nfs/dbraw/zinc/46/25/58/436462558.db2.gz SYJTXJCBDDTDSR-QMMMGPOBSA-N 0 1 308.794 3.143 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2c(Cl)cnn2C)n1 ZINC000659115235 436462562 /nfs/dbraw/zinc/46/25/62/436462562.db2.gz SYJTXJCBDDTDSR-QMMMGPOBSA-N 0 1 308.794 3.143 20 30 DGEDMN N#Cc1cccc(CN(C[C@@H]2CCCO2)[C@H]2CCSC2)c1F ZINC000340945115 303203488 /nfs/dbraw/zinc/20/34/88/303203488.db2.gz CIDNRZUUXFVBEG-HOTGVXAUSA-N 0 1 320.433 3.184 20 30 DGEDMN CN(C)c1cc(CNCc2ccc(C#N)o2)c2ccccc2n1 ZINC000174438751 312763384 /nfs/dbraw/zinc/76/33/84/312763384.db2.gz RNXPPZVYZXKVMF-UHFFFAOYSA-N 0 1 306.369 3.055 20 30 DGEDMN CC(C)(NCC(=O)Nc1ccc(C#N)cc1)c1cccc(F)c1 ZINC000179574888 312809710 /nfs/dbraw/zinc/80/97/10/312809710.db2.gz LNQAMZGRAPTCDK-UHFFFAOYSA-N 0 1 311.360 3.161 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(Nc2ccccn2)CC1 ZINC000535044656 313695036 /nfs/dbraw/zinc/69/50/36/313695036.db2.gz QLOHGOJOASXGAJ-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN C[C@H](Cc1ccsc1)N1CCN(c2ccccc2C#N)CC1 ZINC000543059029 314111796 /nfs/dbraw/zinc/11/17/96/314111796.db2.gz MOMQYSXLPAFDPY-OAHLLOKOSA-N 0 1 311.454 3.373 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1CCN(c2cnccc2C#N)CC1 ZINC000559259260 314878323 /nfs/dbraw/zinc/87/83/23/314878323.db2.gz VOOGREOFZSTYOU-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN CC(C)N(Cc1cccc(F)c1)Cc1cnc2c(C#N)cnn2c1 ZINC000564989673 315217881 /nfs/dbraw/zinc/21/78/81/315217881.db2.gz WTORAWITRICCRP-UHFFFAOYSA-N 0 1 323.375 3.151 20 30 DGEDMN N#Cc1nccc(N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000565842979 315291484 /nfs/dbraw/zinc/29/14/84/315291484.db2.gz KWQPSTSNEYVCLW-CYBMUJFWSA-N 0 1 324.775 3.219 20 30 DGEDMN CC(C)[C@H](NCc1ccc(C#N)cn1)c1ccc2c(c1)OCCO2 ZINC000565959813 315300833 /nfs/dbraw/zinc/30/08/33/315300833.db2.gz SQEYDQWSWHPMCP-IBGZPJMESA-N 0 1 323.396 3.211 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2c3ccccc3CC23CCOCC3)nc1 ZINC000570824917 315677725 /nfs/dbraw/zinc/67/77/25/315677725.db2.gz UTUGECAHGHCMSC-LJQANCHMSA-N 0 1 319.408 3.137 20 30 DGEDMN C[C@@H](O)C[C@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000576222213 316107557 /nfs/dbraw/zinc/10/75/57/316107557.db2.gz RTLUXKXQKVFXLQ-IUODEOHRSA-N 0 1 324.371 3.285 20 30 DGEDMN Cn1cc([C@H]2CN(Cc3ccc(F)cc3C#N)CC2(C)C)cn1 ZINC000577409170 316233602 /nfs/dbraw/zinc/23/36/02/316233602.db2.gz RKZKILIFUPAMEB-QGZVFWFLSA-N 0 1 312.392 3.056 20 30 DGEDMN C[C@H](N[C@H]1C[C@H](C)N(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000181995804 323279123 /nfs/dbraw/zinc/27/91/23/323279123.db2.gz RRZXDYFSUKBBFT-DOXZYTNZSA-N 0 1 319.408 3.403 20 30 DGEDMN COC[C@@H](Cc1ccccc1)N(C)Cc1cc(C#N)ccc1F ZINC000182026068 323280636 /nfs/dbraw/zinc/28/06/36/323280636.db2.gz ZHKIKRLPMDXCSI-GOSISDBHSA-N 0 1 312.388 3.387 20 30 DGEDMN Cc1c([C@H](C)NCc2cccc(OCCCC#N)c2)cnn1C ZINC000171246326 332903013 /nfs/dbraw/zinc/90/30/13/332903013.db2.gz BXVDMKSCLXZJKN-AWEZNQCLSA-N 0 1 312.417 3.262 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2ccncc2OCc2ccccc2)C1 ZINC000556912391 331752932 /nfs/dbraw/zinc/75/29/32/331752932.db2.gz RPFSEXMXBPMPTK-SJLPKXTDSA-N 0 1 307.397 3.442 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000170985422 333043542 /nfs/dbraw/zinc/04/35/42/333043542.db2.gz OYMBIQSTMORHLD-CYBMUJFWSA-N 0 1 305.447 3.361 20 30 DGEDMN C[C@@H](NCC1(C#N)CC1)[C@@H]1CCCCN1C(=O)OC(C)(C)C ZINC000497286145 333231682 /nfs/dbraw/zinc/23/16/82/333231682.db2.gz PHCNLXQFTYUGIH-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@@H](CC#N)NCCOc1ccc(OC(F)(F)F)cc1 ZINC000565173174 333638431 /nfs/dbraw/zinc/63/84/31/333638431.db2.gz SCRIPUMWVQWMMW-NSHDSACASA-N 0 1 302.296 3.246 20 30 DGEDMN C=CC1CCN(CC(=O)Nc2ccc(OC(F)F)cc2)CC1 ZINC000111258905 334314358 /nfs/dbraw/zinc/31/43/58/334314358.db2.gz BJHKEDFVFZFXGI-UHFFFAOYSA-N 0 1 310.344 3.125 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@H](c2ccc(F)cc2)N(C)C)CC1 ZINC000111834433 334358214 /nfs/dbraw/zinc/35/82/14/334358214.db2.gz ITFRJDUIVJHOMD-QGZVFWFLSA-N 0 1 319.424 3.036 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)CC1 ZINC000111800374 334358803 /nfs/dbraw/zinc/35/88/03/334358803.db2.gz HCOMEFMOWBRKQQ-KRWDZBQOSA-N 0 1 319.424 3.036 20 30 DGEDMN CC[C@H](CC#N)NCCc1cc(Cl)c2c(c1)OCCCO2 ZINC000583508774 335637823 /nfs/dbraw/zinc/63/78/23/335637823.db2.gz TTYHYCCBEFHQMC-CYBMUJFWSA-N 0 1 308.809 3.326 20 30 DGEDMN N#CC1(NC(=O)CN2CCCCC[C@H]2c2ccccc2)CCC1 ZINC000526135877 336231480 /nfs/dbraw/zinc/23/14/80/336231480.db2.gz OMGOYINVICOGKU-KRWDZBQOSA-N 0 1 311.429 3.166 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1ccc(CC)cc1 ZINC000532760974 336270097 /nfs/dbraw/zinc/27/00/97/336270097.db2.gz FSHLONSHTMSLAI-INIZCTEOSA-N 0 1 300.446 3.270 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1n[nH]c(C)c1-c1ccccc1 ZINC000582479736 337096413 /nfs/dbraw/zinc/09/64/13/337096413.db2.gz JMMHRXWPZZAIQP-UHFFFAOYSA-N 0 1 305.403 3.093 20 30 DGEDMN C=CCC[C@H](CO)NCc1cccc(F)c1Br ZINC000321410978 337262441 /nfs/dbraw/zinc/26/24/41/337262441.db2.gz JGPWIBJPFLKDGB-LLVKDONJSA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1C2CCC1CC2 ZINC000532915570 337301035 /nfs/dbraw/zinc/30/10/35/337301035.db2.gz YRAMBVJLBRHJPP-WLYUNCDWSA-N 0 1 304.459 3.278 20 30 DGEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC000516084397 338004157 /nfs/dbraw/zinc/00/41/57/338004157.db2.gz AAGDPUQMPNRFFN-VNSJNIRKSA-N 0 1 316.449 3.203 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000499763392 340044351 /nfs/dbraw/zinc/04/43/51/340044351.db2.gz OOQDVTRFBRCKOJ-YESZJQIVSA-N 0 1 315.461 3.255 20 30 DGEDMN Cc1cccc(NC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000505444681 340168705 /nfs/dbraw/zinc/16/87/05/340168705.db2.gz SMGNLVTYFDNVIZ-AWEZNQCLSA-N 0 1 304.353 3.426 20 30 DGEDMN C=C(C)CNCc1c(F)ccc(I)c1F ZINC000309069002 340326038 /nfs/dbraw/zinc/32/60/38/340326038.db2.gz BVHAOODTHCBHTL-UHFFFAOYSA-N 0 1 323.124 3.235 20 30 DGEDMN Cc1ccc(Cl)cc1N1CCN(CCCSCC#N)CC1 ZINC000173859467 340376154 /nfs/dbraw/zinc/37/61/54/340376154.db2.gz BOYHLIIBRVDTMJ-UHFFFAOYSA-N 0 1 323.893 3.417 20 30 DGEDMN COc1cc(CN2CCC(CC#N)CC2)cc(Cl)c1OC ZINC000541919417 340989411 /nfs/dbraw/zinc/98/94/11/340989411.db2.gz KFUCMEVVDMMXNW-UHFFFAOYSA-N 0 1 308.809 3.483 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(C(=O)CCC2CCCC2)CC1 ZINC000130332449 341017292 /nfs/dbraw/zinc/01/72/92/341017292.db2.gz STZSNXOHAFHMNP-UHFFFAOYSA-N 0 1 319.493 3.431 20 30 DGEDMN C=CC[C@@H](C)NC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000543698983 341053960 /nfs/dbraw/zinc/05/39/60/341053960.db2.gz HLTDBFXXSDYZKX-SJLPKXTDSA-N 0 1 315.461 3.257 20 30 DGEDMN Cc1cncc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)c1 ZINC000131935089 341113800 /nfs/dbraw/zinc/11/38/00/341113800.db2.gz UAWYTGKUBSFVOM-LBPRGKRZSA-N 0 1 305.259 3.294 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C1CC1)C1CCC(C)CC1 ZINC000077194244 341220387 /nfs/dbraw/zinc/22/03/87/341220387.db2.gz JSYVHPXUILLNBG-PCKAHOCUSA-N 0 1 305.466 3.038 20 30 DGEDMN C=C(C)CNC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000547997981 341242716 /nfs/dbraw/zinc/24/27/16/341242716.db2.gz FHKBBJQQMRPSCJ-INIZCTEOSA-N 0 1 321.852 3.352 20 30 DGEDMN CCN(C[C@@H](C)C#N)C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000248666525 341383678 /nfs/dbraw/zinc/38/36/78/341383678.db2.gz ODIGNMFCZMHZDU-WMLDXEAASA-N 0 1 309.454 3.115 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(CC#N)CC2)cc1Cl ZINC000574269506 341753824 /nfs/dbraw/zinc/75/38/24/341753824.db2.gz RMCRJYONXRJBCE-UHFFFAOYSA-N 0 1 306.793 3.252 20 30 DGEDMN CC(C)[C@H]1CCCCCN1CC(=O)Nc1sccc1C#N ZINC001657971051 1196197413 /nfs/dbraw/zinc/19/74/13/1196197413.db2.gz CURABJBPQYTJTP-CQSZACIVSA-N 0 1 305.447 3.459 20 30 DGEDMN Cc1ccc(-c2ncco2)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000442671043 534221607 /nfs/dbraw/zinc/22/16/07/534221607.db2.gz KKKHTGJIZXZXAZ-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(-c2ccc(F)c(F)c2)n1 ZINC000451678160 534575719 /nfs/dbraw/zinc/57/57/19/534575719.db2.gz WHURNJMCRJHSLT-CVEARBPZSA-N 0 1 320.339 3.443 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)NCCc1ccc(Cl)cc1 ZINC000489502894 534693554 /nfs/dbraw/zinc/69/35/54/534693554.db2.gz ZGAMSPKXKZOLAG-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)N[C@H](C)c1n[nH]c([C@H](C)CC)n1 ZINC000487558703 534759083 /nfs/dbraw/zinc/75/90/83/534759083.db2.gz ZFXGGPQDHWSMKI-FRRDWIJNSA-N 0 1 307.442 3.423 20 30 DGEDMN N#Cc1cccc(-c2csc([C@@H]3CN4CCC[C@@H]4CO3)n2)c1 ZINC000317795273 534800454 /nfs/dbraw/zinc/80/04/54/534800454.db2.gz ZQLZIKHNGKSWMR-ZBFHGGJFSA-N 0 1 311.410 3.217 20 30 DGEDMN Cc1nc(-c2cccc(C(=O)C(C#N)c3ccncn3)c2)cs1 ZINC000354469254 534859077 /nfs/dbraw/zinc/85/90/77/534859077.db2.gz MYGHALUSYAQPIP-AWEZNQCLSA-N 0 1 320.377 3.399 20 30 DGEDMN CC(C)(C)OC(=O)NCC[C@@H]1CCCCN1CC1(C#N)CC1 ZINC000495642272 534888149 /nfs/dbraw/zinc/88/81/49/534888149.db2.gz SAQADSNKEIDCSO-AWEZNQCLSA-N 0 1 307.438 3.059 20 30 DGEDMN Cc1c(Nc2nccc(C#N)c2[N+](=O)[O-])cnn1-c1ccccc1 ZINC000154341345 525878962 /nfs/dbraw/zinc/87/89/62/525878962.db2.gz ABQVPVJIORMBPV-UHFFFAOYSA-N 0 1 320.312 3.099 20 30 DGEDMN C=CCN(CCC(=O)NC1CCCC1)Cc1ccccc1F ZINC000345099630 526272459 /nfs/dbraw/zinc/27/24/59/526272459.db2.gz ZUGUBYDHIKGTJF-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCN(Cc1c[nH]c2nccnc12)Cc1ccc(OC)cc1 ZINC000356048329 526378951 /nfs/dbraw/zinc/37/89/51/526378951.db2.gz CELSXCGMSDHWGN-UHFFFAOYSA-N 0 1 308.385 3.106 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(C)[C@H](C)c1cc2ccccc2o1 ZINC000181256189 526499939 /nfs/dbraw/zinc/49/99/39/526499939.db2.gz VPPTXBQPCVAYJE-CQSZACIVSA-N 0 1 300.402 3.460 20 30 DGEDMN C=C(C)CN(C)[C@H](C)C(=O)N(C)Cc1c(F)cccc1Cl ZINC000181289410 526505527 /nfs/dbraw/zinc/50/55/27/526505527.db2.gz PIVNOMWXONJQCD-GFCCVEGCSA-N 0 1 312.816 3.334 20 30 DGEDMN C=CCN1CC[C@H](N2CCC[C@@H]2Cc2ccccc2Cl)C1=O ZINC000337127201 526508344 /nfs/dbraw/zinc/50/83/44/526508344.db2.gz GNEVSSCVEVKXHX-WBVHZDCISA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CC1(c2ccccc2)CC1 ZINC000444687820 526540001 /nfs/dbraw/zinc/54/00/01/526540001.db2.gz WTNIVBMHEZQQAJ-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000337560132 526566616 /nfs/dbraw/zinc/56/66/16/526566616.db2.gz MGBCCXZURFJDDE-UHFFFAOYSA-N 0 1 324.359 3.316 20 30 DGEDMN C=C(C)COCCNCc1cccc(F)c1Br ZINC000163834984 526653808 /nfs/dbraw/zinc/65/38/08/526653808.db2.gz XVALOAAYDOQMKJ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CCCCc2cn[nH]n2)c1 ZINC000139267989 526667252 /nfs/dbraw/zinc/66/72/52/526667252.db2.gz MDGOLZXQIOAWKA-UHFFFAOYSA-N 0 1 314.389 3.111 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000185490712 526669223 /nfs/dbraw/zinc/66/92/23/526669223.db2.gz JLGLSXMURBNSTE-OAHLLOKOSA-N 0 1 311.385 3.402 20 30 DGEDMN C=CCOCCCN1CCC[C@H]1c1nc2c(n1C)CCCC2 ZINC000344360516 526755644 /nfs/dbraw/zinc/75/56/44/526755644.db2.gz ATDWJYPTXPVMEB-KRWDZBQOSA-N 0 1 303.450 3.029 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@H]1CN=c1nc(-c2ccccc2)[nH]s1 ZINC000435600931 526791092 /nfs/dbraw/zinc/79/10/92/526791092.db2.gz HKVBDJTWPCQSAU-KBPBESRZSA-N 0 1 301.415 3.020 20 30 DGEDMN C=C(CC)CN1CCN(Cc2csc([C@@H](C)OCC)n2)CC1 ZINC000358510241 526853472 /nfs/dbraw/zinc/85/34/72/526853472.db2.gz PBRPMZMRUUCRRN-OAHLLOKOSA-N 0 1 323.506 3.324 20 30 DGEDMN C=C(CNC(=O)NCc1nc2ccc(C)cc2[nH]1)c1ccccc1 ZINC000354012525 526872340 /nfs/dbraw/zinc/87/23/40/526872340.db2.gz ZMWMURCHNMLWFN-UHFFFAOYSA-N 0 1 320.396 3.384 20 30 DGEDMN C=CCOc1ccc(CNCc2ccc3cc[nH]c3n2)cc1OC ZINC000353230800 526887673 /nfs/dbraw/zinc/88/76/73/526887673.db2.gz SZRWXZYSIZRDMM-UHFFFAOYSA-N 0 1 323.396 3.426 20 30 DGEDMN C=CCOc1cccc(CN2CCC(c3noc(C)n3)CC2)c1 ZINC000127551737 526897776 /nfs/dbraw/zinc/89/77/76/526897776.db2.gz YNULBXDCRVVCPR-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN C=CCOc1cccc(C[NH2+][C@@H](C(=O)[O-])c2ccsc2)c1 ZINC000426832830 526903101 /nfs/dbraw/zinc/90/31/01/526903101.db2.gz KDTUMODPKZJTQT-OAHLLOKOSA-N 0 1 303.383 3.228 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc(C#N)c(OC)c1 ZINC000353198533 526951221 /nfs/dbraw/zinc/95/12/21/526951221.db2.gz ZYHLMSNXQJZAPZ-UHFFFAOYSA-N 0 1 308.381 3.421 20 30 DGEDMN C=CCSCCNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000342404305 526999297 /nfs/dbraw/zinc/99/92/97/526999297.db2.gz RBVILDFSROWPMW-KRWDZBQOSA-N 0 1 318.486 3.077 20 30 DGEDMN C=CCSCCN[C@@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000180219731 527005382 /nfs/dbraw/zinc/00/53/82/527005382.db2.gz MDNHGMXYEWXBCA-QGZVFWFLSA-N 0 1 318.486 3.249 20 30 DGEDMN C=CC[C@@H](CO)CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000346622469 527082153 /nfs/dbraw/zinc/08/21/53/527082153.db2.gz LYOZJICZTNQONW-FZKQIMNGSA-N 0 1 318.367 3.223 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N1CCN(CCC(C)(C)C)CC1 ZINC000302095426 527229612 /nfs/dbraw/zinc/22/96/12/527229612.db2.gz IVLKTZMIYLWGAW-UHFFFAOYSA-N 0 1 313.445 3.319 20 30 DGEDMN C=CCc1cc(CNCc2ccc3cc[nH]c3n2)cc(OC)c1O ZINC000353217135 527243987 /nfs/dbraw/zinc/24/39/87/527243987.db2.gz YOURPDCZNPTAHN-UHFFFAOYSA-N 0 1 323.396 3.295 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN2CCCC[C@H](COC)C2)c1C ZINC000342746947 527293890 /nfs/dbraw/zinc/29/38/90/527293890.db2.gz GZFNOQFGRQBLND-KRWDZBQOSA-N 0 1 318.461 3.222 20 30 DGEDMN C=CCCN(C)Cc1coc(-c2ccc(C(=O)OC)cc2)n1 ZINC000342743337 527322426 /nfs/dbraw/zinc/32/24/26/527322426.db2.gz ZRJCWSSIVAFMFM-UHFFFAOYSA-N 0 1 300.358 3.136 20 30 DGEDMN C=CCCN(C)[C@H]1CCN(c2ccccc2C(F)(F)F)C1=O ZINC000342741931 527324403 /nfs/dbraw/zinc/32/44/03/527324403.db2.gz ZNTLBCQXXIUZLH-AWEZNQCLSA-N 0 1 312.335 3.319 20 30 DGEDMN C=CCCOCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000346623161 527368633 /nfs/dbraw/zinc/36/86/33/527368633.db2.gz CFMOLNKXTJVHOP-SFHVURJKSA-N 0 1 300.377 3.492 20 30 DGEDMN C=C[C@@H](CO)N[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000353566603 527444433 /nfs/dbraw/zinc/44/44/33/527444433.db2.gz MPQFNEXJKFXAMA-RISCZKNCSA-N 0 1 305.809 3.032 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000428029937 527455427 /nfs/dbraw/zinc/45/54/27/527455427.db2.gz BTKBKWQRMURKCH-GOSISDBHSA-N 0 1 307.397 3.428 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000354420995 527460742 /nfs/dbraw/zinc/46/07/42/527460742.db2.gz MSLWAZCRLNBSIM-UHFFFAOYSA-N 0 1 321.178 3.204 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C)[C@H](C)[C@@H]1C)c1ccccc1 ZINC000428026034 527462561 /nfs/dbraw/zinc/46/25/61/527462561.db2.gz XILPVPXGNJHFSM-RYRKJORJSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C)[C@H](C)[C@H]1C)c1ccccc1 ZINC000428026033 527462585 /nfs/dbraw/zinc/46/25/85/527462585.db2.gz XILPVPXGNJHFSM-NUJGCVRESA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCCc1ccccc1NS(=O)(=O)c1ccccc1C#N ZINC000443419365 527512137 /nfs/dbraw/zinc/51/21/37/527512137.db2.gz QXTVDCDTICVRSM-UHFFFAOYSA-N 0 1 312.394 3.478 20 30 DGEDMN C=C[C@H](C)NC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000128469131 527561053 /nfs/dbraw/zinc/56/10/53/527561053.db2.gz HOWCWHUACIHHNL-XJKSGUPXSA-N 0 1 321.852 3.351 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)CC(C)(C)c1ccc(C)cc1 ZINC000441325289 527606538 /nfs/dbraw/zinc/60/65/38/527606538.db2.gz BNAZPILLVOUYGW-UHFFFAOYSA-N 0 1 314.473 3.405 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@H]1C ZINC000356627373 527927813 /nfs/dbraw/zinc/92/78/13/527927813.db2.gz SJYTZTRMUMVEKN-HUUCEWRRSA-N 0 1 313.445 3.042 20 30 DGEDMN CC(C)c1nc(CN2CCC[C@@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000428259356 528246172 /nfs/dbraw/zinc/24/61/72/528246172.db2.gz ZURVAZCBARDALN-HZPDHXFCSA-N 0 1 309.417 3.200 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cc(F)ccc1O ZINC000179468204 528381166 /nfs/dbraw/zinc/38/11/66/528381166.db2.gz ZVNFUNBVHPVJGL-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000182646041 528626357 /nfs/dbraw/zinc/62/63/57/528626357.db2.gz GGTOSFBCFIFBHU-KBPBESRZSA-N 0 1 313.401 3.092 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCC[C@@H](C)[C@@H]1C)CC2 ZINC000330109396 528654322 /nfs/dbraw/zinc/65/43/22/528654322.db2.gz PCEOTRZSPAJZDZ-IJEWVQPXSA-N 0 1 318.465 3.356 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CNC(C)(C)c1cccc(Cl)c1 ZINC000179601828 528695734 /nfs/dbraw/zinc/69/57/34/528695734.db2.gz LEBMTLFNSSQFFS-CYBMUJFWSA-N 0 1 321.852 3.173 20 30 DGEDMN CCC(CC)n1nccc1C(=O)C(C#N)c1nc(C)cs1 ZINC000431270083 528917128 /nfs/dbraw/zinc/91/71/28/528917128.db2.gz PKYGBTJZBQRXQG-LBPRGKRZSA-N 0 1 302.403 3.499 20 30 DGEDMN CC[C@@H](C(=O)N(C[C@@H](C)C#N)C1CC1)N(C)Cc1ccccc1 ZINC000355351950 529274065 /nfs/dbraw/zinc/27/40/65/529274065.db2.gz UYQSWNDYFKBPCA-YJBOKZPZSA-N 0 1 313.445 3.048 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)c2nc(C)c(C)nc2C)n[nH]1 ZINC000331187843 529276347 /nfs/dbraw/zinc/27/63/47/529276347.db2.gz ZIACWEKWEJIDCC-UFBFGSQYSA-N 0 1 316.409 3.099 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)[C@@]2(C#N)CC23CCCC3)c1 ZINC000451888084 535340382 /nfs/dbraw/zinc/34/03/82/535340382.db2.gz KNARHHJKKGHBEV-SFHVURJKSA-N 0 1 312.369 3.397 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@H](CCO)C2)cc1Cl ZINC000093105766 545853353 /nfs/dbraw/zinc/85/33/53/545853353.db2.gz YTBDZLZFDLBFPI-CQSZACIVSA-N 0 1 309.837 3.499 20 30 DGEDMN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCC[C@H](C2CC2)C1 ZINC000329673019 546489576 /nfs/dbraw/zinc/48/95/76/546489576.db2.gz UVBLHTVNIKSECC-ZFWWWQNUSA-N 0 1 304.438 3.135 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCc2ccccc2C1)N1CCC(c2cc[nH]n2)CC1 ZINC000329836410 546492352 /nfs/dbraw/zinc/49/23/52/546492352.db2.gz LSFGQFNOQKHBNP-QGZVFWFLSA-N 0 1 324.428 3.061 20 30 DGEDMN N#C[C@H]1CCC[C@H]1NC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000333010941 546501923 /nfs/dbraw/zinc/50/19/23/546501923.db2.gz SDNDTDYFNGMDGS-PSASIEDQSA-N 0 1 320.805 3.214 20 30 DGEDMN CCC#C[C@H](C)Sc1nc(-c2ccc(OC)cc2OC)n[nH]1 ZINC000671163410 547772996 /nfs/dbraw/zinc/77/29/96/547772996.db2.gz SHXKVPNQQHRUSR-NSHDSACASA-N 0 1 317.414 3.383 20 30 DGEDMN C=C[C@@H](C)NC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000674972340 548143673 /nfs/dbraw/zinc/14/36/73/548143673.db2.gz QYSUZPHOZONCLQ-CZUORRHYSA-N 0 1 321.852 3.351 20 30 DGEDMN COCC#CCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000677819066 548560988 /nfs/dbraw/zinc/56/09/88/548560988.db2.gz PXKVYCMXRWISSW-CQSZACIVSA-N 0 1 300.427 3.126 20 30 DGEDMN C=CCOCCN[C@@H]1CCc2c1c(Br)ccc2F ZINC000679575886 548920114 /nfs/dbraw/zinc/92/01/14/548920114.db2.gz DAQDVQJBUSLENP-CYBMUJFWSA-N 0 1 314.198 3.368 20 30 DGEDMN N#CC(C(=O)c1ccccc1Oc1cccnc1)c1ccncn1 ZINC000680618122 549076071 /nfs/dbraw/zinc/07/60/71/549076071.db2.gz MUFGOAQFYQJGAT-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN N#C[C@H](C(=O)c1cn2ccnc2s1)c1ccc2ccccc2n1 ZINC000682401657 549316503 /nfs/dbraw/zinc/31/65/03/549316503.db2.gz RRHIWJIUIPXXIT-LBPRGKRZSA-N 0 1 318.361 3.434 20 30 DGEDMN N#CC(C(=O)c1cn2ccnc2s1)c1ccc2ccccc2n1 ZINC000682401657 549316507 /nfs/dbraw/zinc/31/65/07/549316507.db2.gz RRHIWJIUIPXXIT-LBPRGKRZSA-N 0 1 318.361 3.434 20 30 DGEDMN C=CCNC(=O)c1ccc(NCc2ccc3nc[nH]c3c2)cc1 ZINC000683723652 549526756 /nfs/dbraw/zinc/52/67/56/549526756.db2.gz MLNMKDGDHYJHGF-UHFFFAOYSA-N 0 1 306.369 3.091 20 30 DGEDMN C=CCOCCCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000684204914 549594468 /nfs/dbraw/zinc/59/44/68/549594468.db2.gz RWJHGTOPUAGGAM-SFHVURJKSA-N 0 1 300.377 3.492 20 30 DGEDMN C=CCCC1(c2nc(Cc3nnc(-c4ccccc4)[nH]3)no2)CC1 ZINC000685286634 549747339 /nfs/dbraw/zinc/74/73/39/549747339.db2.gz CDGYVINDZXTGKV-UHFFFAOYSA-N 0 1 321.384 3.443 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001659142243 1196351088 /nfs/dbraw/zinc/35/10/88/1196351088.db2.gz FWLOJODRYKALHZ-KGLIPLIRSA-N 0 1 304.821 3.402 20 30 DGEDMN COc1ccc(CN=Nc2ccc(F)cn2)c(Cl)c1OC ZINC000731319458 574546771 /nfs/dbraw/zinc/54/67/71/574546771.db2.gz VBKPUYJTJQHLCT-UHFFFAOYSA-N 0 1 309.728 3.337 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CCOC[C@H]1CCOC1 ZINC000731562602 574551798 /nfs/dbraw/zinc/55/17/98/574551798.db2.gz DNLLDGWGLPIKNQ-XCBDWFPESA-N 0 1 318.200 3.171 20 30 DGEDMN Fc1ccc2c(c1)C(=NN=c1nc[nH]c3sccc31)CCO2 ZINC000731559222 574552175 /nfs/dbraw/zinc/55/21/75/574552175.db2.gz VHBKHHDMTBOTJA-UHFFFAOYSA-N 0 1 314.345 3.429 20 30 DGEDMN C=CC1CCN(Cc2cc3c(C)nn(C)c3nc2Cl)CC1 ZINC000732206065 574568306 /nfs/dbraw/zinc/56/83/06/574568306.db2.gz LNRNCJZRIPLJGR-UHFFFAOYSA-N 0 1 304.825 3.328 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000732547404 574575849 /nfs/dbraw/zinc/57/58/49/574575849.db2.gz SKMLCZALDDPIFD-GHMZBOCLSA-N 0 1 321.808 3.375 20 30 DGEDMN N#Cc1cnc(NCc2n[nH]c(-c3ccccc3)n2)c(Cl)c1 ZINC000732567880 574576026 /nfs/dbraw/zinc/57/60/26/574576026.db2.gz FFZVWDXKEJCARA-UHFFFAOYSA-N 0 1 310.748 3.004 20 30 DGEDMN N#Cc1cnc(NCc2nc(-c3ccccc3)n[nH]2)c(Cl)c1 ZINC000732567880 574576027 /nfs/dbraw/zinc/57/60/27/574576027.db2.gz FFZVWDXKEJCARA-UHFFFAOYSA-N 0 1 310.748 3.004 20 30 DGEDMN CO[C@H](CNCc1cccc(OCCCC#N)c1)C(F)(F)F ZINC000733330372 574616992 /nfs/dbraw/zinc/61/69/92/574616992.db2.gz VPKMYYZITJYEAM-CQSZACIVSA-N 0 1 316.323 3.036 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c[nH]c3ccc(F)cc23)nc(C)n1 ZINC000734705962 574674160 /nfs/dbraw/zinc/67/41/60/574674160.db2.gz XUUNOBFXLJOKQO-OAHLLOKOSA-N 0 1 322.343 3.133 20 30 DGEDMN CCc1nn(C)c(Cl)c1C=NN=c1nc[nH]c2sccc21 ZINC000734744784 574675271 /nfs/dbraw/zinc/67/52/71/574675271.db2.gz DJPRTLKKJRBYIW-UHFFFAOYSA-N 0 1 320.809 3.087 20 30 DGEDMN COc1ccc(CN=Nc2c(F)cccc2F)cc1[N+](=O)[O-] ZINC000735615479 574709534 /nfs/dbraw/zinc/70/95/34/574709534.db2.gz PWWYKKHBGZUZSG-UHFFFAOYSA-N 0 1 307.256 3.328 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1cc(C#N)ccc1OC ZINC000735656935 574711252 /nfs/dbraw/zinc/71/12/52/574711252.db2.gz VEWPFGWISJFMJV-UHFFFAOYSA-N 0 1 303.406 3.017 20 30 DGEDMN Cc1ccc(OCC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000735791452 574715080 /nfs/dbraw/zinc/71/50/80/574715080.db2.gz YWUAEQVXUVNZAQ-HNNXBMFYSA-N 0 1 319.364 3.435 20 30 DGEDMN CCc1nc(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cs1 ZINC000735791880 574715601 /nfs/dbraw/zinc/71/56/01/574715601.db2.gz DBHMIXGQOUONCR-GFCCVEGCSA-N 0 1 324.409 3.309 20 30 DGEDMN COc1ccc(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000735793293 574715738 /nfs/dbraw/zinc/71/57/38/574715738.db2.gz SASKGNOQTDAWIF-HNNXBMFYSA-N 0 1 319.364 3.299 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)[C@H](C(=O)[O-])c1cccc(Cl)c1 ZINC000737452043 574844403 /nfs/dbraw/zinc/84/44/03/574844403.db2.gz WNTZWVBPONFALU-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC2CCC[C@@H]2CCC(C)C)o1 ZINC000737467479 574846320 /nfs/dbraw/zinc/84/63/20/574846320.db2.gz QCVDWUROGNFXBL-LLVKDONJSA-N 0 1 317.397 3.167 20 30 DGEDMN C[N@@H+](Cc1cn2ccccc2c1C#N)[C@@H](C(=O)[O-])c1ccccc1 ZINC000737496055 574847827 /nfs/dbraw/zinc/84/78/27/574847827.db2.gz OPRYGSRXFJVWPY-GOSISDBHSA-N 0 1 319.364 3.069 20 30 DGEDMN N#Cc1ccc(-c2cccc(CNC(=O)C(F)(F)F)c2)s1 ZINC000739751533 574911834 /nfs/dbraw/zinc/91/18/34/574911834.db2.gz OCGBDDHNCBQQOZ-UHFFFAOYSA-N 0 1 310.300 3.465 20 30 DGEDMN C#CCSCCNCc1csc(-c2ccc(OC)cc2)n1 ZINC000740015316 574918678 /nfs/dbraw/zinc/91/86/78/574918678.db2.gz ZPNJUCAAVQHXHN-UHFFFAOYSA-N 0 1 318.467 3.275 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccccc2OC)CC1 ZINC000740874905 574944452 /nfs/dbraw/zinc/94/44/52/574944452.db2.gz QHTSETQHWDUYPS-UHFFFAOYSA-N 0 1 318.461 3.423 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1cc(C#N)ccc1F)N1CCCCC1 ZINC000741010311 574948071 /nfs/dbraw/zinc/94/80/71/574948071.db2.gz CUULFCURIYYGEN-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN C=C(Br)CN(CCC)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000741054453 574950247 /nfs/dbraw/zinc/95/02/47/574950247.db2.gz OMQGKQXSIXOREN-YNEHKIRRSA-N 0 1 302.256 3.421 20 30 DGEDMN C[C@H](CN1CCN(c2ccccc2)CC1)/N=C/c1ccccc1O ZINC000741466536 574958649 /nfs/dbraw/zinc/95/86/49/574958649.db2.gz UVACITCVFPEJML-WNSCPLKPSA-N 0 1 323.440 3.022 20 30 DGEDMN CCO[C@@H]1CC(=NNCCN2CCCCC2)C12CCCCC2 ZINC000741461633 574958655 /nfs/dbraw/zinc/95/86/55/574958655.db2.gz MYWBVRSEWIXEPA-QGZVFWFLSA-N 0 1 307.482 3.177 20 30 DGEDMN C#CCCOc1ccc(CNCc2cc(C#N)ccc2F)cc1 ZINC000742381636 574994511 /nfs/dbraw/zinc/99/45/11/574994511.db2.gz AKQVYBXAMKKVBN-UHFFFAOYSA-N 0 1 308.356 3.389 20 30 DGEDMN Cc1ccc(N=NCc2ccc(Oc3cnccn3)cc2)nc1 ZINC000743439401 575051423 /nfs/dbraw/zinc/05/14/23/575051423.db2.gz ZEXCYJFCIIXOBF-UHFFFAOYSA-N 0 1 305.341 3.418 20 30 DGEDMN N#CCSc1ccccc1C(=O)Nc1ccc2nc[nH]c2c1 ZINC000743940120 575067931 /nfs/dbraw/zinc/06/79/31/575067931.db2.gz BUZNPLJHGCYOHU-UHFFFAOYSA-N 0 1 308.366 3.431 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCC3CCOCC3)[nH]c21 ZINC000744160674 575075396 /nfs/dbraw/zinc/07/53/96/575075396.db2.gz OMEUGEDXGNYZDC-CQSZACIVSA-N 0 1 311.385 3.254 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@@H]2CCCC[C@@H]21)C1CCCCC1 ZINC000744212630 575078743 /nfs/dbraw/zinc/07/87/43/575078743.db2.gz ZZYFXQHDHACKJA-HKUYNNGSSA-N 0 1 316.489 3.436 20 30 DGEDMN Cc1ccc(NN=Cc2csc(-c3cccs3)n2)nn1 ZINC000729938251 575267385 /nfs/dbraw/zinc/26/73/85/575267385.db2.gz RLNUYMXTIJCJJK-UHFFFAOYSA-N 0 1 301.400 3.416 20 30 DGEDMN CC[C@@H]1CCCCCN1Cc1nc2ccccc2c(=O)n1CC#N ZINC000744403956 575339956 /nfs/dbraw/zinc/33/99/56/575339956.db2.gz BXWWHSZLGJSMGV-OAHLLOKOSA-N 0 1 324.428 3.075 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1ccccc1N(C)C ZINC000744397716 575340043 /nfs/dbraw/zinc/34/00/43/575340043.db2.gz DGSQJFFGHOYKLP-UHFFFAOYSA-N 0 1 308.425 3.397 20 30 DGEDMN CCCCCCCN(CC(=O)N1CCCC1)C[C@@H]1CCCO1 ZINC000744425016 575341501 /nfs/dbraw/zinc/34/15/01/575341501.db2.gz YWLIQVMMLJEYAC-KRWDZBQOSA-N 0 1 310.482 3.060 20 30 DGEDMN CC(N=Nc1cccc([N+](=O)[O-])c1)c1nc2ccccc2n1C ZINC000744578570 575349408 /nfs/dbraw/zinc/34/94/08/575349408.db2.gz NGURVJCIEUHAFA-UHFFFAOYSA-N 0 1 309.329 3.318 20 30 DGEDMN N#CCOc1ccc(C=NNc2ccc(C(F)(F)F)cn2)cc1 ZINC000744661307 575351271 /nfs/dbraw/zinc/35/12/71/575351271.db2.gz CEGYRJCTTCQFDY-UHFFFAOYSA-N 0 1 320.274 3.449 20 30 DGEDMN C(=NN=c1nc[nH]c2sccc21)c1cnc2ccccc2n1 ZINC000744755328 575354769 /nfs/dbraw/zinc/35/47/69/575354769.db2.gz PKMAWBPQRUJSEC-UHFFFAOYSA-N 0 1 306.354 3.081 20 30 DGEDMN Cc1nc(CN=Nc2oc(C(C)(C)C)nc2C#N)c2ccccn12 ZINC000746498216 575456235 /nfs/dbraw/zinc/45/62/35/575456235.db2.gz KUINEKKZSKXRDI-UHFFFAOYSA-N 0 1 322.372 3.246 20 30 DGEDMN CN1CCCc2cc(C=[NH+]Nc3cccc(C(=O)[O-])c3)ccc21 ZINC000748036448 575557526 /nfs/dbraw/zinc/55/75/26/575557526.db2.gz NVWGJTHNQGHPCS-UHFFFAOYSA-N 0 1 309.369 3.213 20 30 DGEDMN N#Cc1csc(C=NNc2nc3cc(F)c(F)cc3[nH]2)c1 ZINC000748076190 575559848 /nfs/dbraw/zinc/55/98/48/575559848.db2.gz NOKVZUQPEZCKMZ-UHFFFAOYSA-N 0 1 303.297 3.220 20 30 DGEDMN N#Cc1csc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)c1 ZINC000748076190 575559852 /nfs/dbraw/zinc/55/98/52/575559852.db2.gz NOKVZUQPEZCKMZ-UHFFFAOYSA-N 0 1 303.297 3.220 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@@H]2CCc3ccccc3C2)c1 ZINC000748411749 575585412 /nfs/dbraw/zinc/58/54/12/575585412.db2.gz YDWMNNYTHULMQP-CYBMUJFWSA-N 0 1 306.365 3.398 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccccc2OC(C)C)nc(C)n1 ZINC000749046804 575623561 /nfs/dbraw/zinc/62/35/61/575623561.db2.gz AGZZMEMMKHUZOV-MRXNPFEDSA-N 0 1 323.396 3.300 20 30 DGEDMN C[C@@H](CC(=O)Nc1ccccc1)NCc1cc(C#N)ccc1F ZINC000749130534 575628937 /nfs/dbraw/zinc/62/89/37/575628937.db2.gz CEZJQPYDUVGOQL-ZDUSSCGKSA-N 0 1 311.360 3.204 20 30 DGEDMN Cc1cc(C)n(-c2nncn2N=C[C@@H](C)CCc2ccccc2)n1 ZINC000749158999 575632260 /nfs/dbraw/zinc/63/22/60/575632260.db2.gz WNIYOTFWVWFFFF-AWEZNQCLSA-N 0 1 322.416 3.183 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000749507743 575662118 /nfs/dbraw/zinc/66/21/18/575662118.db2.gz DBVAFWHYWKGRLE-SFHVURJKSA-N 0 1 324.509 3.308 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1cc(F)c(O)c(F)c1 ZINC000749857763 575683361 /nfs/dbraw/zinc/68/33/61/575683361.db2.gz HFXMTETZVIAVNH-UHFFFAOYSA-N 0 1 302.284 3.003 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ccc(C#N)s2)cc1 ZINC000750684210 575745059 /nfs/dbraw/zinc/74/50/59/575745059.db2.gz NMDQPEUQEYASNP-CQSZACIVSA-N 0 1 323.377 3.143 20 30 DGEDMN CS(=O)(=O)c1ccccc1NN=Cc1ccccc1C1CC1 ZINC000751133014 575771395 /nfs/dbraw/zinc/77/13/95/575771395.db2.gz KQFJJSWEXLCMIZ-UHFFFAOYSA-N 0 1 314.410 3.414 20 30 DGEDMN CC(C)CN(CCC#N)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000751380945 575782834 /nfs/dbraw/zinc/78/28/34/575782834.db2.gz SPMGCGWWLMXXGQ-UHFFFAOYSA-N 0 1 302.403 3.150 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)CC1N=Nc1ccc(C(=O)[O-])cc1 ZINC000751515884 575788067 /nfs/dbraw/zinc/78/80/67/575788067.db2.gz AKGXUXYAFMNGEW-AWEZNQCLSA-N 0 1 323.396 3.305 20 30 DGEDMN N#Cc1ccc([C@H]([NH2+]Cc2c(F)cccc2Cl)C(=O)[O-])cc1 ZINC000739104456 575958025 /nfs/dbraw/zinc/95/80/25/575958025.db2.gz AZWMDCHSPBSANL-HNNXBMFYSA-N 0 1 318.735 3.266 20 30 DGEDMN O=[N+]([O-])c1cc(C=NNC2CCCC2)ccc1N1CCCCC1 ZINC000727651863 576136665 /nfs/dbraw/zinc/13/66/65/576136665.db2.gz CGNNOSKDMVRUMV-UHFFFAOYSA-N 0 1 316.405 3.451 20 30 DGEDMN O=C(/C=C\c1cn(-c2ccccc2)nn1)c1ccc(O)c(F)c1 ZINC000728699087 576195571 /nfs/dbraw/zinc/19/55/71/576195571.db2.gz RIGZZCLMNWKAQN-CLFYSBASSA-N 0 1 309.300 3.008 20 30 DGEDMN COc1ccc(C=NN=c2[nH]c3ccccc3n2C)c(OC)c1 ZINC000728777152 576201065 /nfs/dbraw/zinc/20/10/65/576201065.db2.gz ZTTLRCOJRNSSFT-UHFFFAOYSA-N 0 1 310.357 3.037 20 30 DGEDMN COc1ccc(CN=Nc2nc3ccccc3n2C)c(OC)c1 ZINC000728777152 576201069 /nfs/dbraw/zinc/20/10/69/576201069.db2.gz ZTTLRCOJRNSSFT-UHFFFAOYSA-N 0 1 310.357 3.037 20 30 DGEDMN C[C@@H]1COC2(CCCC2)CN1Cc1ccc(OCC#N)cc1 ZINC000852638886 620636833 /nfs/dbraw/zinc/63/68/33/620636833.db2.gz PZAHZQQQTYWWEC-OAHLLOKOSA-N 0 1 300.402 3.122 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000852686892 620641275 /nfs/dbraw/zinc/64/12/75/620641275.db2.gz BLPXMGAZPLPBNB-BETUJISGSA-N 0 1 303.318 3.186 20 30 DGEDMN CC(C)[C@H](NC[C@@H](C#N)CCC#N)[C@H](O)c1ccc(Cl)cc1 ZINC000852732608 620644236 /nfs/dbraw/zinc/64/42/36/620644236.db2.gz VBDRUBKJIJSUDC-XOKHGSTOSA-N 0 1 319.836 3.431 20 30 DGEDMN C#CCCN(CCOC)Cc1c(Cl)ccc2cccnc21 ZINC000852752102 620646034 /nfs/dbraw/zinc/64/60/34/620646034.db2.gz SPZUDBMGZUMMGL-UHFFFAOYSA-N 0 1 302.805 3.360 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC(C2CCCCC2)CC1 ZINC000917060635 620654090 /nfs/dbraw/zinc/65/40/90/620654090.db2.gz ZAYDFNUPGZJCIB-LJQANCHMSA-N 0 1 319.493 3.333 20 30 DGEDMN C=CCN(CC(=O)N(C)[C@H]1CCCc2ccccc21)C(C)C ZINC000917068762 620655051 /nfs/dbraw/zinc/65/50/51/620655051.db2.gz AVJYONYKQLLCLO-SFHVURJKSA-N 0 1 300.446 3.419 20 30 DGEDMN C=CCN(CC(=O)NCCc1ccc(C(C)C)cc1)C(C)C ZINC000917070947 620655372 /nfs/dbraw/zinc/65/53/72/620655372.db2.gz KMFRGDHCCRUXSS-UHFFFAOYSA-N 0 1 302.462 3.365 20 30 DGEDMN C=CCN(CC(=O)NC[C@@H](c1ccccc1)C(C)C)C(C)C ZINC000917168450 620658929 /nfs/dbraw/zinc/65/89/29/620658929.db2.gz SEGCLZYPQPTZBF-GOSISDBHSA-N 0 1 302.462 3.439 20 30 DGEDMN O=[N+]([O-])c1ccc2c(c1)C(N=Nc1ccncc1F)CCCC2 ZINC000853403540 620693315 /nfs/dbraw/zinc/69/33/15/620693315.db2.gz ZQJGRQYTJNXNDF-UHFFFAOYSA-N 0 1 314.320 3.093 20 30 DGEDMN Cc1nnc(NN=Cc2cc(Cl)cc(C(F)(F)F)c2)n1C ZINC000853431685 620694170 /nfs/dbraw/zinc/69/41/70/620694170.db2.gz FRCAPUOMCOODRF-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1cccc(F)c1)N(C)C)C(C)C ZINC000918057746 620695722 /nfs/dbraw/zinc/69/57/22/620695722.db2.gz VVQNUIKDLYSEAA-MRXNPFEDSA-N 0 1 307.413 3.034 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)C2(CCC2)[C@H]1c1cccnc1 ZINC000853597852 620714164 /nfs/dbraw/zinc/71/41/64/620714164.db2.gz HHQAZTMVUNDPFQ-FGTMMUONSA-N 0 1 323.440 3.276 20 30 DGEDMN C=CC[C@@H]1CCN1C(=O)c1[nH]nc2ccc(Br)cc21 ZINC000890558220 617611226 /nfs/dbraw/zinc/61/12/26/617611226.db2.gz PFGGQIXXUYYHIZ-SNVBAGLBSA-N 0 1 320.190 3.116 20 30 DGEDMN CCCCCCC(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000919228778 620741250 /nfs/dbraw/zinc/74/12/50/620741250.db2.gz ORQOLAIPYWZRDY-LBPRGKRZSA-N 0 1 308.403 3.141 20 30 DGEDMN CCCCCCC(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000919228778 620741253 /nfs/dbraw/zinc/74/12/53/620741253.db2.gz ORQOLAIPYWZRDY-LBPRGKRZSA-N 0 1 308.403 3.141 20 30 DGEDMN C=C(C)c1cccc(NC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)c1 ZINC000890891024 617698127 /nfs/dbraw/zinc/69/81/27/617698127.db2.gz ISAIHNUZAYICBM-UHFFFAOYSA-N 0 1 313.401 3.047 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H]3CC(C)(C)CO3)[nH]c21 ZINC000892706253 618143865 /nfs/dbraw/zinc/14/38/65/618143865.db2.gz UDKKLPRJRVUMPU-QWHCGFSZSA-N 0 1 311.385 3.253 20 30 DGEDMN N#CC(C(=O)Cc1cc2ccccc2o1)C(=O)NC1CCCCC1 ZINC000920109901 620783916 /nfs/dbraw/zinc/78/39/16/620783916.db2.gz VORVUMNJNSNQEJ-INIZCTEOSA-N 0 1 324.380 3.133 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000854294892 620791805 /nfs/dbraw/zinc/79/18/05/620791805.db2.gz OXOXPSYDFTWVTR-UHFFFAOYSA-N 0 1 318.848 3.080 20 30 DGEDMN COCCCOc1ccc(CNCC#Cc2ccccc2)cc1 ZINC000894388807 618301899 /nfs/dbraw/zinc/30/18/99/618301899.db2.gz HSFNBAZWZVOIPH-UHFFFAOYSA-N 0 1 309.409 3.243 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@H]3CCC4(CCC4)CO3)[nH]c2c1 ZINC000893150371 618445964 /nfs/dbraw/zinc/44/59/64/618445964.db2.gz IJBCJGLSXUKPMO-CYBMUJFWSA-N 0 1 324.384 3.112 20 30 DGEDMN C#CCCOc1ccc(CNCc2cnc(Cl)s2)cc1 ZINC000895155461 618557228 /nfs/dbraw/zinc/55/72/28/618557228.db2.gz HGEWLOVONQKPOK-UHFFFAOYSA-N 0 1 306.818 3.488 20 30 DGEDMN CC(C)[N@H+](CCC#N)Cc1cc(Br)cc(F)c1[O-] ZINC000893959619 618683487 /nfs/dbraw/zinc/68/34/87/618683487.db2.gz MBJPYZLCYPIPRX-UHFFFAOYSA-N 0 1 315.186 3.418 20 30 DGEDMN CC(C)[N@@H+](CCC#N)Cc1cc(Br)cc(F)c1[O-] ZINC000893959619 618683490 /nfs/dbraw/zinc/68/34/90/618683490.db2.gz MBJPYZLCYPIPRX-UHFFFAOYSA-N 0 1 315.186 3.418 20 30 DGEDMN CC[C@H](N[C@H](C)CC(C)(C)C#N)c1nnc2n1CCCCC2 ZINC000924905230 618734450 /nfs/dbraw/zinc/73/44/50/618734450.db2.gz GZAWKSAAYLFGJK-KGLIPLIRSA-N 0 1 303.454 3.374 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](c2cccc(OC)c2)N(C)C)CC1 ZINC000895462207 618787469 /nfs/dbraw/zinc/78/74/69/618787469.db2.gz UNXANSFTDGMSLG-GOSISDBHSA-N 0 1 316.445 3.161 20 30 DGEDMN C=CCC1(O)CCN(Cc2c[nH]nc2-c2ccccc2F)CC1 ZINC000895835731 618839977 /nfs/dbraw/zinc/83/99/77/618839977.db2.gz MZAJSXJUOGQDQG-UHFFFAOYSA-N 0 1 315.392 3.119 20 30 DGEDMN CSc1ccc(CNCc2cnn(C)c2C2CC2)cc1C#N ZINC000896045707 618865500 /nfs/dbraw/zinc/86/55/00/618865500.db2.gz LANCBBKSVRGMAI-UHFFFAOYSA-N 0 1 312.442 3.181 20 30 DGEDMN C=C(C)COc1cc(CN2CCO[C@@H](C)CC2)ccc1OC ZINC000896584358 618930720 /nfs/dbraw/zinc/93/07/20/618930720.db2.gz NYXOZQFJPJHLKK-HNNXBMFYSA-N 0 1 305.418 3.261 20 30 DGEDMN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1ccc(C#N)cn1 ZINC000788980242 625311402 /nfs/dbraw/zinc/31/14/02/625311402.db2.gz LWOWQYWFRYVWMI-GOSISDBHSA-N 0 1 307.397 3.474 20 30 DGEDMN Cc1csc(C[C@H]2CCN(Cc3csc(C#N)c3)C2)n1 ZINC000897301716 619049135 /nfs/dbraw/zinc/04/91/35/619049135.db2.gz RXDBHJRYNOUHCV-GFCCVEGCSA-N 0 1 303.456 3.449 20 30 DGEDMN CC[C@@H]1C[C@@H](CN2CCO[C@H](c3ccc(C#N)cc3)C2)CCO1 ZINC000897596127 619164898 /nfs/dbraw/zinc/16/48/98/619164898.db2.gz MAAFPOBDTQWZRG-UHOSZYNNSA-N 0 1 314.429 3.137 20 30 DGEDMN CN(C)c1ccc(CN(C)Cc2cc(C#N)cs2)cc1F ZINC000897625964 619168009 /nfs/dbraw/zinc/16/80/09/619168009.db2.gz QXCUSCLGIVJWEC-UHFFFAOYSA-N 0 1 303.406 3.457 20 30 DGEDMN C=CCOc1ccc(CNCc2cnn(CCF)c2)cc1Cl ZINC000897737173 619180463 /nfs/dbraw/zinc/18/04/63/619180463.db2.gz IVNXJSCPEQKMGQ-UHFFFAOYSA-N 0 1 323.799 3.361 20 30 DGEDMN C#Cc1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)cc1 ZINC000897862635 619189004 /nfs/dbraw/zinc/18/90/04/619189004.db2.gz MSKJYCGDRCSORQ-UHFFFAOYSA-N 0 1 305.377 3.218 20 30 DGEDMN C[C@H](NCc1ccc(N(C)CCC#N)cc1)c1cncs1 ZINC000897933880 619194487 /nfs/dbraw/zinc/19/44/87/619194487.db2.gz AGJUCTZYKSTKKH-ZDUSSCGKSA-N 0 1 300.431 3.344 20 30 DGEDMN N#Cc1cnc(Cl)c(C[N@H+]2CCCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000898513337 619282400 /nfs/dbraw/zinc/28/24/00/619282400.db2.gz ROXTZRGEATZEOT-LSDHHAIUSA-N 0 1 319.836 3.245 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000898513337 619282401 /nfs/dbraw/zinc/28/24/01/619282401.db2.gz ROXTZRGEATZEOT-LSDHHAIUSA-N 0 1 319.836 3.245 20 30 DGEDMN O=C(/C=C\c1cnn(CC2CCC2)c1)c1ccc(O)c(F)c1 ZINC000899353480 619327921 /nfs/dbraw/zinc/32/79/21/619327921.db2.gz OSPSXIFGGLSZDD-XQRVVYSFSA-N 0 1 300.333 3.424 20 30 DGEDMN Cc1sc([C@H](C)[NH2+]Cc2ccc(C#N)c(C)c2)nc1C(=O)[O-] ZINC000900079471 619401039 /nfs/dbraw/zinc/40/10/39/619401039.db2.gz SPZHUIZQMKTDGD-JTQLQIEISA-N 0 1 315.398 3.181 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)cc1 ZINC000900418627 619428026 /nfs/dbraw/zinc/42/80/26/619428026.db2.gz QHWZVHIYMGKYEM-PBHICJAKSA-N 0 1 322.412 3.331 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)c1 ZINC000900563366 619437064 /nfs/dbraw/zinc/43/70/64/619437064.db2.gz SRZMTWXRSISZSR-SUMWQHHRSA-N 0 1 307.397 3.110 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H](NC(C)C)c2ccc(OC)cc2)cc1 ZINC000900872693 619457124 /nfs/dbraw/zinc/45/71/24/619457124.db2.gz VZDOGAYVNGGISC-IBGZPJMESA-N 0 1 322.408 3.354 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC000901040611 619468619 /nfs/dbraw/zinc/46/86/19/619468619.db2.gz SAZYGQKQXWBCSE-SMDDNHRTSA-N 0 1 323.400 3.018 20 30 DGEDMN COc1ccc(Cl)c2c1CCCC2=NNc1cncnc1 ZINC000901237701 619479316 /nfs/dbraw/zinc/47/93/16/619479316.db2.gz XYEUWOAZJTZAOA-UHFFFAOYSA-N 0 1 302.765 3.291 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2cnn(C3CCC3)c2)c(F)c1 ZINC000901262385 619482095 /nfs/dbraw/zinc/48/20/95/619482095.db2.gz GQGFDIQYZDSVPQ-UHFFFAOYSA-N 0 1 303.297 3.101 20 30 DGEDMN FC1(F)CCC(NN=Cc2ccc(N3CCOCC3)cc2)CC1 ZINC000901345375 619491018 /nfs/dbraw/zinc/49/10/18/619491018.db2.gz SAXNOGKSYXXYKO-UHFFFAOYSA-N 0 1 323.387 3.025 20 30 DGEDMN CC(N=Nc1ccc2c(cc[nH]c2=O)c1)c1cn2ccccc2n1 ZINC000789070472 625351571 /nfs/dbraw/zinc/35/15/71/625351571.db2.gz JVAGVWCYCUOJOJ-UHFFFAOYSA-N 0 1 317.352 3.012 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1cc(Cl)cc(Cl)c1OC ZINC000901587468 619518978 /nfs/dbraw/zinc/51/89/78/619518978.db2.gz WGXKPOOEJANGOI-UHFFFAOYSA-N 0 1 304.173 3.075 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000901702198 619534962 /nfs/dbraw/zinc/53/49/62/619534962.db2.gz XNTVRKXLXKLZKR-QGZVFWFLSA-N 0 1 321.804 3.039 20 30 DGEDMN C=C(C)COc1cc(C[N@H+](CC(=O)[O-])[C@H](C)CC)ccc1OC ZINC000901989013 619571197 /nfs/dbraw/zinc/57/11/97/619571197.db2.gz OSGPKQLMANNUAM-CQSZACIVSA-N 0 1 321.417 3.335 20 30 DGEDMN C[C@H]1CC(N=NCCc2ccncc2)CN(Cc2ccccc2)C1 ZINC000789096445 625359687 /nfs/dbraw/zinc/35/96/87/625359687.db2.gz DYTRVFAGRUPAAP-KRWDZBQOSA-N 0 1 322.456 3.112 20 30 DGEDMN C[C@@H](NC[C@@H](O)c1cc(F)cc(Cl)c1)c1cc(C#N)ccn1 ZINC000902975024 619701472 /nfs/dbraw/zinc/70/14/72/619701472.db2.gz DEYBULRFNWVKAN-QLJPJBMISA-N 0 1 319.767 3.130 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cc(C#N)ccn1)[C@@H]1OCCc2sccc21 ZINC000902999870 619702927 /nfs/dbraw/zinc/70/29/27/619702927.db2.gz QYCCBJGTRYBLDW-JKDFXYPNSA-N 0 1 313.426 3.368 20 30 DGEDMN C[C@H](NCC1(C#N)CCC1)c1cc(Br)ccc1O ZINC000903032192 619704801 /nfs/dbraw/zinc/70/48/01/619704801.db2.gz FYWVRNZTUNTFOA-JTQLQIEISA-N 0 1 309.207 3.499 20 30 DGEDMN C[C@@H](N[C@@H](C)COc1c(F)cccc1F)c1cc(C#N)ccn1 ZINC000903050233 619710641 /nfs/dbraw/zinc/71/06/41/619710641.db2.gz VTHFTXYLMMDQAZ-NWDGAFQWSA-N 0 1 317.339 3.350 20 30 DGEDMN N#CC1(CN[C@H](Cc2ccccc2Cl)[C@H]2CCCO2)CC1 ZINC000903105419 619717499 /nfs/dbraw/zinc/71/74/99/619717499.db2.gz CGSXBWYISFKZKS-HZPDHXFCSA-N 0 1 304.821 3.323 20 30 DGEDMN CC[C@@H](NCC1(C#N)CC1)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000903106060 619717533 /nfs/dbraw/zinc/71/75/33/619717533.db2.gz MBIVWHBXZFNUJU-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN C[C@@H](CC(=O)Nc1cccnc1Cl)NCC1(C#N)CCCC1 ZINC000903108203 619718298 /nfs/dbraw/zinc/71/82/98/619718298.db2.gz ORKSIFOHFVQUEA-LBPRGKRZSA-N 0 1 320.824 3.126 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+]2CCC[C@H](CCC(=O)[O-])C2)c1 ZINC000903405027 619771487 /nfs/dbraw/zinc/77/14/87/619771487.db2.gz NBQJPEFJCQVOLI-GFCCVEGCSA-N 0 1 306.793 3.288 20 30 DGEDMN CC(C)CC(=Nn1cc(Br)cn1)C1CCOCC1 ZINC000905457471 620001091 /nfs/dbraw/zinc/00/10/91/620001091.db2.gz WXLVWNMANXICMW-UHFFFAOYSA-N 0 1 314.227 3.322 20 30 DGEDMN C#CCCCCCC[N@@H+]1CCOc2c(cccc2C(=O)[O-])C1 ZINC000905736887 620024303 /nfs/dbraw/zinc/02/43/03/620024303.db2.gz AYNPBMJAWWBMGG-UHFFFAOYSA-N 0 1 301.386 3.163 20 30 DGEDMN CN(Cc1cn(Cc2ccc(Cl)cc2C#N)nn1)C(C)(C)C ZINC000905806168 620031128 /nfs/dbraw/zinc/03/11/28/620031128.db2.gz BLALIBAHTTZLSS-UHFFFAOYSA-N 0 1 317.824 3.082 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)N(c1ccc(C#N)cc1)C1CCC1 ZINC000906052316 620053786 /nfs/dbraw/zinc/05/37/86/620053786.db2.gz JJCUZBOHXRYNQB-SFHVURJKSA-N 0 1 311.429 3.317 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)N(C)c1ccc(C#N)c(Cl)c1 ZINC000906090996 620056097 /nfs/dbraw/zinc/05/60/97/620056097.db2.gz YTISAJASROTSNN-HNNXBMFYSA-N 0 1 305.809 3.047 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)c1ccsc1C#N)c1ccco1 ZINC000906429963 620097451 /nfs/dbraw/zinc/09/74/51/620097451.db2.gz QCFNBZQPMIOYRD-CYBMUJFWSA-N 0 1 317.414 3.026 20 30 DGEDMN CC(C)[C@H](NC(=O)C(F)(F)c1ccccc1C#N)C(F)(F)F ZINC000907657488 620165886 /nfs/dbraw/zinc/16/58/86/620165886.db2.gz KQWPZUPNCICWKI-NSHDSACASA-N 0 1 320.261 3.353 20 30 DGEDMN C#CCSCC(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000908851918 620228567 /nfs/dbraw/zinc/22/85/67/620228567.db2.gz RUJRGEACLJZZBG-SNVBAGLBSA-N 0 1 321.833 3.102 20 30 DGEDMN CC1(C)CCCC[C@@H]1CC(=O)[C@@H](C#N)C(=O)NC1CCCC1 ZINC000152458251 620508019 /nfs/dbraw/zinc/50/80/19/620508019.db2.gz RVTGNSWQJUOGEV-UKRRQHHQSA-N 0 1 304.434 3.361 20 30 DGEDMN COc1ccc(CN=Nc2ccc(C(F)(F)F)cn2)c(O)c1 ZINC000914691933 620547995 /nfs/dbraw/zinc/54/79/95/620547995.db2.gz JXJHVPCTGAMHHX-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN CCC(=NNc1ccc(S(C)(=O)=O)cc1)c1ccc(O)cc1 ZINC000914834777 620556455 /nfs/dbraw/zinc/55/64/55/620556455.db2.gz SKCCEYSCRNRUCD-UHFFFAOYSA-N 0 1 318.398 3.022 20 30 DGEDMN COc1cc(C=NN=c2[nH]c3ccccc3n2C)cc(OC)c1 ZINC000915968127 620609517 /nfs/dbraw/zinc/60/95/17/620609517.db2.gz UFOGGTYKOATPGA-UHFFFAOYSA-N 0 1 310.357 3.037 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CC1 ZINC000920789973 620822921 /nfs/dbraw/zinc/82/29/21/620822921.db2.gz KIAZSNNOPWQRSW-QMTHXVAHSA-N 0 1 304.777 3.424 20 30 DGEDMN CCC1CC(=O)C(=CNc2cc(-c3ccccc3)[nH]n2)C(=O)C1 ZINC000920979937 620831958 /nfs/dbraw/zinc/83/19/58/620831958.db2.gz MGZNTJPBHBQRGG-UHFFFAOYSA-N 0 1 309.369 3.331 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1nnc2ccccc2c1O ZINC000855169686 620876239 /nfs/dbraw/zinc/87/62/39/620876239.db2.gz BHFDCQFJEDHJRD-OCCSQVGLSA-N 0 1 311.385 3.200 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2c(C)cccc2C)CC1 ZINC000855214051 620880609 /nfs/dbraw/zinc/88/06/09/620880609.db2.gz IQWXUWYOUULRGX-IBGZPJMESA-N 0 1 324.468 3.312 20 30 DGEDMN CC[C@@H](C#N)Oc1cc(CNCc2ccccn2)ccc1OC ZINC000113347762 620905133 /nfs/dbraw/zinc/90/51/33/620905133.db2.gz GXBXCRFLMYYOCU-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN CC(=NNCC(C)C)c1ccc(N2CCOCC2)cc1Cl ZINC000771566763 620906323 /nfs/dbraw/zinc/90/63/23/620906323.db2.gz IJKNRKMZUQURTO-UHFFFAOYSA-N 0 1 309.841 3.146 20 30 DGEDMN C[C@H](NCc1csc(C#N)c1)c1ccc2c(c1)CCC(=O)N2 ZINC000921546668 620906854 /nfs/dbraw/zinc/90/68/54/620906854.db2.gz OHRBGQGNQMMPBL-NSHDSACASA-N 0 1 311.410 3.355 20 30 DGEDMN C[C@@H](NCc1cnn(CC2CCC2)c1)c1ccc(OCC#N)cc1 ZINC000921693120 620935875 /nfs/dbraw/zinc/93/58/75/620935875.db2.gz IFHGCRSSCHOZSE-OAHLLOKOSA-N 0 1 324.428 3.436 20 30 DGEDMN CN(C)C(=O)c1cccc(CN[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000922074191 620985636 /nfs/dbraw/zinc/98/56/36/620985636.db2.gz YNYCCXKLPSSWHR-LJQANCHMSA-N 0 1 319.408 3.037 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCN2Cc2ccccc2)CCCCC1 ZINC000856356744 620988284 /nfs/dbraw/zinc/98/82/84/620988284.db2.gz JAUBEXHHNSPQNB-IBGZPJMESA-N 0 1 324.468 3.351 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2C2CCC2)cc1Cl ZINC000922108453 620989778 /nfs/dbraw/zinc/98/97/78/620989778.db2.gz HTDZPNSNPLADMP-UHFFFAOYSA-N 0 1 300.793 3.423 20 30 DGEDMN N#Cc1cc(Cl)cc(COC(=O)[C@H]2CCCc3[nH]ncc32)c1 ZINC000922524927 621050839 /nfs/dbraw/zinc/05/08/39/621050839.db2.gz ZTYXIJFXHZRCOP-ZDUSSCGKSA-N 0 1 315.760 3.098 20 30 DGEDMN CCCn1nc(C)c(C=[NH+][N-]c2nc3cccnc3s2)c1C ZINC000857219032 621069971 /nfs/dbraw/zinc/06/99/71/621069971.db2.gz UUDXJXLOAZCVSG-UHFFFAOYSA-N 0 1 314.418 3.361 20 30 DGEDMN CC[C@@H](NCc1ccc(OCC#N)cc1)c1cccc(OC)n1 ZINC000925367946 621118620 /nfs/dbraw/zinc/11/86/20/621118620.db2.gz OKKWJDVWQAUDDQ-MRXNPFEDSA-N 0 1 311.385 3.233 20 30 DGEDMN N#CCOc1ccc(CN[C@@H]2CCc3c2nccc3Cl)cc1 ZINC000925367884 621118625 /nfs/dbraw/zinc/11/86/25/621118625.db2.gz NPNLXEIKACQTEJ-MRXNPFEDSA-N 0 1 313.788 3.414 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000922943986 621134669 /nfs/dbraw/zinc/13/46/69/621134669.db2.gz HWGDAJHXCVJITJ-VOJFVSQTSA-N 0 1 323.396 3.308 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc([C@H](C)CC)cc1 ZINC000858403337 621186280 /nfs/dbraw/zinc/18/62/80/621186280.db2.gz CLGKTAQGNLBHEF-HUUCEWRRSA-N 0 1 309.475 3.452 20 30 DGEDMN C#CC[C@@H](CCOC)Nc1ccnc2cc(F)c(OC)cc21 ZINC000858856622 621226052 /nfs/dbraw/zinc/22/60/52/621226052.db2.gz LALNNLYGKSZJEW-LBPRGKRZSA-N 0 1 302.349 3.223 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(F)ccc2Cl)c1 ZINC000103058142 621242065 /nfs/dbraw/zinc/24/20/65/621242065.db2.gz CABBIGRZXHFMKR-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2)c1nccc2ccccc21 ZINC000838868999 621294029 /nfs/dbraw/zinc/29/40/29/621294029.db2.gz SBKWENKWHIDWOA-BJKJVOPESA-N 0 1 304.349 3.026 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CC(CC(F)(F)F)C2)cc1 ZINC000839137929 621339689 /nfs/dbraw/zinc/33/96/89/621339689.db2.gz JMEKNOOQZUFCQW-UHFFFAOYSA-N 0 1 311.351 3.421 20 30 DGEDMN CN1CCc2cc(CN=Nc3nncc4ccccc43)ccc21 ZINC000752719146 621405553 /nfs/dbraw/zinc/40/55/53/621405553.db2.gz QNGAROSRUNZZJC-UHFFFAOYSA-N 0 1 303.369 3.068 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N[C@H]1CCN(Cc2ccccc2)C1 ZINC000753997930 621477701 /nfs/dbraw/zinc/47/77/01/621477701.db2.gz IECHIEZDSRWSSS-SFHVURJKSA-N 0 1 319.408 3.447 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000754118575 621489062 /nfs/dbraw/zinc/48/90/62/621489062.db2.gz MHLWZIYIGCWQHU-INIZCTEOSA-N 0 1 316.408 3.313 20 30 DGEDMN OCC[C@@H](NCC#Cc1cccc(Cl)c1)c1cccs1 ZINC000754389538 621507648 /nfs/dbraw/zinc/50/76/48/621507648.db2.gz TWIUTEBCKDWZSQ-OAHLLOKOSA-N 0 1 305.830 3.466 20 30 DGEDMN OCC[C@@H](NCC#Cc1ccc(Cl)cc1)c1cccs1 ZINC000754388680 621507798 /nfs/dbraw/zinc/50/77/98/621507798.db2.gz QHYHFDUTLHJWRS-OAHLLOKOSA-N 0 1 305.830 3.466 20 30 DGEDMN Cc1noc(C)c1[C@H](C)CC(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000754530865 621515262 /nfs/dbraw/zinc/51/52/62/621515262.db2.gz FPLDYECAXKIVFU-YMTOWFKASA-N 0 1 312.373 3.068 20 30 DGEDMN C=CCc1cc(CN2CCOC(C)(C)[C@@H]2C)c(O)c(OC)c1 ZINC000754742138 621530161 /nfs/dbraw/zinc/53/01/61/621530161.db2.gz ZFNIHOOOSVQJHC-ZDUSSCGKSA-N 0 1 305.418 3.129 20 30 DGEDMN N#CCCCCNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805071399 621534435 /nfs/dbraw/zinc/53/44/35/621534435.db2.gz QYJHNDLXPLMUDX-UHFFFAOYSA-N 0 1 316.188 3.192 20 30 DGEDMN Cn1c(=O)oc2cc(C=NNc3nccc4sccc43)ccc21 ZINC000754931913 621541929 /nfs/dbraw/zinc/54/19/29/621541929.db2.gz GWQAYOSFFDFWBJ-UHFFFAOYSA-N 0 1 324.365 3.187 20 30 DGEDMN N#CCC1CC[NH+](Cc2c([O-])cccc2Br)CC1 ZINC000862313327 621573726 /nfs/dbraw/zinc/57/37/26/621573726.db2.gz ZVVKKASYXPUZEJ-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN Cc1nc(=NN=Cc2cc[nH]n2)c2c3c(sc2[nH]1)CCCC3 ZINC000755520363 621577334 /nfs/dbraw/zinc/57/73/34/621577334.db2.gz CZQWDKPQIMPRGP-UHFFFAOYSA-N 0 1 312.402 3.048 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@@H]1C[C@H]1c1ccccc1 ZINC000755616704 621580896 /nfs/dbraw/zinc/58/08/96/621580896.db2.gz FUZJPHVSGOEMFQ-ZFWWWQNUSA-N 0 1 321.405 3.323 20 30 DGEDMN CCn1ccnc1C=NNc1ccc(Br)cc1F ZINC000755700460 621586577 /nfs/dbraw/zinc/58/65/77/621586577.db2.gz XQHSWOFOANRIAJ-UHFFFAOYSA-N 0 1 311.158 3.251 20 30 DGEDMN C#CC[C@H]1CCN(Cc2c(Br)cccc2[N+](=O)[O-])C1 ZINC000862445335 621590915 /nfs/dbraw/zinc/59/09/15/621590915.db2.gz QQLCZJKFQASICA-NSHDSACASA-N 0 1 323.190 3.203 20 30 DGEDMN CCCCCC[C@H](C)NN=Cc1cnc(N2CCOCC2)s1 ZINC000755753097 621591360 /nfs/dbraw/zinc/59/13/60/621591360.db2.gz GHYQLAQTKVBJBH-AWEZNQCLSA-N 0 1 324.494 3.262 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2c(C)nn(-c3ccccn3)c2Cl)C1 ZINC000862446748 621591587 /nfs/dbraw/zinc/59/15/87/621591587.db2.gz CVUXNWGHYAFIPA-CQSZACIVSA-N 0 1 314.820 3.074 20 30 DGEDMN CC(=O)CC(C)(C)CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000755786320 621593733 /nfs/dbraw/zinc/59/37/33/621593733.db2.gz PXFGSQXMKFRZCE-ZDUSSCGKSA-N 0 1 311.385 3.443 20 30 DGEDMN Cc1ccnc(NN=C2c3ccccc3N(CC(C)C)C2=O)c1 ZINC000755900956 621601151 /nfs/dbraw/zinc/60/11/51/621601151.db2.gz SFXVRKRFWDOOGR-UHFFFAOYSA-N 0 1 308.385 3.209 20 30 DGEDMN C[C@@H](N[C@@H](C)CNC(=O)OC(C)(C)C)c1ccc(C#N)cc1F ZINC000756073106 621613555 /nfs/dbraw/zinc/61/35/55/621613555.db2.gz VPGYJKMJQLUDRR-NWDGAFQWSA-N 0 1 321.396 3.261 20 30 DGEDMN C=CCC[C@H]([NH2+]Cc1ncc(-c2ccccc2)s1)C(=O)[O-] ZINC000756386315 621633831 /nfs/dbraw/zinc/63/38/31/621633831.db2.gz HQVZSPZVTOTBJU-ZDUSSCGKSA-N 0 1 302.399 3.319 20 30 DGEDMN COc1cc(C(C)=NNc2ccncc2C)ccc1SC ZINC000863158005 621643326 /nfs/dbraw/zinc/64/33/26/621643326.db2.gz YUSVDVVOMMZYNH-UHFFFAOYSA-N 0 1 301.415 3.379 20 30 DGEDMN c1ccc(COCCCNN=C2CCCN3CCCC[C@H]23)cc1 ZINC000863176391 621644693 /nfs/dbraw/zinc/64/46/93/621644693.db2.gz PKSHVCJPAIDEMB-LJQANCHMSA-N 0 1 315.461 3.187 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)c1cc(C)c(F)cc1Cl ZINC000756550539 621646966 /nfs/dbraw/zinc/64/69/66/621646966.db2.gz LFAZAPUKOARMAW-GFCCVEGCSA-N 0 1 309.768 3.042 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)C1(c2ccc(Cl)cc2)CC1 ZINC000756551484 621646989 /nfs/dbraw/zinc/64/69/89/621646989.db2.gz NJXIAKFKRQLBSF-MRXNPFEDSA-N 0 1 317.816 3.012 20 30 DGEDMN C=C(C)[C@@H](CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)OCC ZINC000756562936 621649324 /nfs/dbraw/zinc/64/93/24/621649324.db2.gz RVGRGKFPSSAXIJ-CZUORRHYSA-N 0 1 311.385 3.419 20 30 DGEDMN CCn1c2ccccc2nc1C(C)=NNc1ccncc1Cl ZINC000756842399 621667489 /nfs/dbraw/zinc/66/74/89/621667489.db2.gz QEBAPFSELHZHGL-UHFFFAOYSA-N 0 1 313.792 3.363 20 30 DGEDMN CCn1c2ccccc2nc1C(C)=NN=c1cc[nH]cc1Cl ZINC000756842399 621667490 /nfs/dbraw/zinc/66/74/90/621667490.db2.gz QEBAPFSELHZHGL-UHFFFAOYSA-N 0 1 313.792 3.363 20 30 DGEDMN C=C(Cl)CNC(C)(C)COc1ncccc1Br ZINC000839536313 621692458 /nfs/dbraw/zinc/69/24/58/621692458.db2.gz MRVXXSCQALIIBS-UHFFFAOYSA-N 0 1 319.630 3.344 20 30 DGEDMN O=C(/C=C/C=C\c1ccccc1[N+](=O)[O-])c1ccc(O)cc1O ZINC000757471389 621715038 /nfs/dbraw/zinc/71/50/38/621715038.db2.gz DEFSZXYDPOHSNR-VKDZAETISA-N 0 1 311.293 3.458 20 30 DGEDMN COc1ccccc1CC=CN=Nc1nc2ccccc2c(=O)[nH]1 ZINC000757582461 621726145 /nfs/dbraw/zinc/72/61/45/621726145.db2.gz APJHKODPNKYBAZ-DXYUJPSXSA-N 0 1 320.352 3.455 20 30 DGEDMN C#CC[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccccc1 ZINC000757602257 621728043 /nfs/dbraw/zinc/72/80/43/621728043.db2.gz BJGQSKPYXJQBLQ-HNNXBMFYSA-N 0 1 307.328 3.196 20 30 DGEDMN CCC(N=Nc1ccc(C(=O)OC)c(Cl)c1)c1ccn(C)n1 ZINC000758125351 621759632 /nfs/dbraw/zinc/75/96/32/621759632.db2.gz CYAWBWLRQXZMEE-UHFFFAOYSA-N 0 1 320.780 3.086 20 30 DGEDMN COC(=O)c1ccc(N=NCc2c(C)oc3ccccc32)nc1 ZINC000758141909 621760995 /nfs/dbraw/zinc/76/09/95/621760995.db2.gz XOEFNCCMNBSVAW-UHFFFAOYSA-N 0 1 309.325 3.369 20 30 DGEDMN CN(Cn1cccc(C#N)c1=O)C1CCC(C(C)(C)C)CC1 ZINC000758191275 621764796 /nfs/dbraw/zinc/76/47/96/621764796.db2.gz IKZQSOAPALZITL-UHFFFAOYSA-N 0 1 301.434 3.214 20 30 DGEDMN Cc1ccn(CN(CCc2ccccc2)CC(C)C)c(=O)c1C#N ZINC000758198081 621765745 /nfs/dbraw/zinc/76/57/45/621765745.db2.gz RGHVIWQUUKGURT-UHFFFAOYSA-N 0 1 323.440 3.187 20 30 DGEDMN C=CCCCCCN(C)CN1C[C@](C)(c2ccccn2)CC1=O ZINC000758223550 621768118 /nfs/dbraw/zinc/76/81/18/621768118.db2.gz LJZJFYKYHXMHFZ-LJQANCHMSA-N 0 1 315.461 3.207 20 30 DGEDMN COc1cc2c(cc1/C=C/C(=O)c1cc(F)ccc1O)OCO2 ZINC000758929922 621811885 /nfs/dbraw/zinc/81/18/85/621811885.db2.gz FZZAGOHRWWXAHH-DUXPYHPUSA-N 0 1 316.284 3.165 20 30 DGEDMN COc1cc2c(cc1/C=C/C(=O)c1ccc(C)cc1O)OCO2 ZINC000758931440 621812305 /nfs/dbraw/zinc/81/23/05/621812305.db2.gz NISUAOVAMQFANW-GQCTYLIASA-N 0 1 312.321 3.334 20 30 DGEDMN C#CC[C@@H](NCc1cc2c(cc1OC)OCO2)c1ccccc1 ZINC000759161625 621830753 /nfs/dbraw/zinc/83/07/53/621830753.db2.gz STNKJTBDQFKPPX-MRXNPFEDSA-N 0 1 309.365 3.278 20 30 DGEDMN C#CCCOc1ccc(CNCc2nn(C)c3ccccc23)cc1 ZINC000759191135 621833945 /nfs/dbraw/zinc/83/39/45/621833945.db2.gz ORXVBOSLSJNEAV-UHFFFAOYSA-N 0 1 319.408 3.265 20 30 DGEDMN C[C@H](C(=O)OCc1cc(F)ccc1C#N)N1[C@H](C)CC[C@H]1C ZINC000759363933 621850037 /nfs/dbraw/zinc/85/00/37/621850037.db2.gz ZUUVWYAWORKRBM-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN N#Cc1cc(C(=O)N[C@H](CN2CCCCC2)c2ccccc2)co1 ZINC000865137616 621864764 /nfs/dbraw/zinc/86/47/64/621864764.db2.gz HIJDKJUACIJQMI-GOSISDBHSA-N 0 1 323.396 3.108 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccccc2OC2CCC2)c1 ZINC000759714086 621884870 /nfs/dbraw/zinc/88/48/70/621884870.db2.gz UPXIUEDUIBPEPK-UHFFFAOYSA-N 0 1 308.337 3.447 20 30 DGEDMN Cc1c2ccccc2[nH]c(=O)c1C(=O)Nc1cc(C#N)ccc1O ZINC000759714344 621885213 /nfs/dbraw/zinc/88/52/13/621885213.db2.gz ZSWSBKPDBSSIRZ-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN CC(C)N(C)c1ccc(CNc2nc3ccc(C#N)cc3[nH]2)cn1 ZINC000865295601 621892136 /nfs/dbraw/zinc/89/21/36/621892136.db2.gz ITIGMHLDAFHIBG-UHFFFAOYSA-N 0 1 320.400 3.286 20 30 DGEDMN C=CCCC[C@@H](C)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000759992423 621909093 /nfs/dbraw/zinc/90/90/93/621909093.db2.gz ILUMOJDDKGJRRD-GFCCVEGCSA-N 0 1 313.367 3.366 20 30 DGEDMN Clc1ccc(C=NNCCN2CCCCC2)c2ncccc12 ZINC000760243084 621923761 /nfs/dbraw/zinc/92/37/61/621923761.db2.gz NCBWGWLQEUSMLH-UHFFFAOYSA-N 0 1 316.836 3.298 20 30 DGEDMN COc1cc(F)c(C(C)N=Nc2ccc(F)cn2)cc1OC ZINC000760252562 621924839 /nfs/dbraw/zinc/92/48/39/621924839.db2.gz HQLDXHGUEZKDMZ-UHFFFAOYSA-N 0 1 307.300 3.213 20 30 DGEDMN CC(=NNc1ccc(F)cn1)c1cnn(Cc2ccccc2)c1 ZINC000760253967 621925027 /nfs/dbraw/zinc/92/50/27/621925027.db2.gz YOHHRBXGWDLBKG-UHFFFAOYSA-N 0 1 309.348 3.302 20 30 DGEDMN N#C[C@H](C(=O)Cc1coc2cc3c(cc12)CCC3)c1ccncn1 ZINC000760340096 621933102 /nfs/dbraw/zinc/93/31/02/621933102.db2.gz LFZJBCCFYQVKDI-INIZCTEOSA-N 0 1 317.348 3.130 20 30 DGEDMN CCCCN(C)c1ccc(C(O)=C(C#N)c2ccncn2)cc1 ZINC000760339412 621933278 /nfs/dbraw/zinc/93/32/78/621933278.db2.gz JSGOQXILCORMBA-INIZCTEOSA-N 0 1 308.385 3.203 20 30 DGEDMN C[C@@H](Oc1cccc(C(C)(C)C)c1)C(=O)C(C#N)c1ccncn1 ZINC000760339579 621933413 /nfs/dbraw/zinc/93/34/13/621933413.db2.gz KFYWIDQNDISRMR-CJNGLKHVSA-N 0 1 323.396 3.418 20 30 DGEDMN N#C[C@@H](C(=O)Cc1c[nH]c2cc(Cl)ccc12)c1ccncn1 ZINC000760342803 621934033 /nfs/dbraw/zinc/93/40/33/621934033.db2.gz ZUSJSLFVHQCNDP-CYBMUJFWSA-N 0 1 310.744 3.030 20 30 DGEDMN C#CCCN1CCN(Cc2csc(-c3ccsc3)n2)CC1 ZINC000760808813 621966865 /nfs/dbraw/zinc/96/68/65/621966865.db2.gz SGGMEWPROUDQNE-UHFFFAOYSA-N 0 1 317.483 3.013 20 30 DGEDMN C#CCCN1CCN([C@@H](C)c2ccc(Br)cc2)CC1 ZINC000760846003 621968082 /nfs/dbraw/zinc/96/80/82/621968082.db2.gz YPGZQOKSDWVKKI-AWEZNQCLSA-N 0 1 321.262 3.151 20 30 DGEDMN CCCC[C@H](/N=C/c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000761015005 621979521 /nfs/dbraw/zinc/97/95/21/621979521.db2.gz JBRMDOFVXQNQGO-HUCUOGIVSA-N 0 1 320.433 3.389 20 30 DGEDMN COc1ccc(CN=Nc2ccccn2)c(Br)c1O ZINC000761013885 621979640 /nfs/dbraw/zinc/97/96/40/621979640.db2.gz CDWKNXDESXWWKA-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc3c(c2)ncn3C)c(O)c1 ZINC000761205519 621994338 /nfs/dbraw/zinc/99/43/38/621994338.db2.gz OBBSIIGGJGSFGX-YWEYNIOJSA-N 0 1 308.337 3.184 20 30 DGEDMN CSCc1cnc(CN[C@@H]2Cc3ccc(C#N)cc3C2)s1 ZINC000865847820 622007249 /nfs/dbraw/zinc/00/72/49/622007249.db2.gz PBETZDSBCDOBRO-CQSZACIVSA-N 0 1 315.467 3.135 20 30 DGEDMN Cc1c(C(C)N=Nc2cnn(C)c2)cnn1-c1cc(C)ccc1C ZINC000761865800 622035743 /nfs/dbraw/zinc/03/57/43/622035743.db2.gz NNWSIQIQUNFUQG-UHFFFAOYSA-N 0 1 322.416 3.367 20 30 DGEDMN C#CCCCCCc1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000761934100 622039902 /nfs/dbraw/zinc/03/99/02/622039902.db2.gz SCIHFQSEXGIQAV-UHFFFAOYSA-N 0 1 321.384 3.182 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000762027016 622046858 /nfs/dbraw/zinc/04/68/58/622046858.db2.gz UUIYRYXPUTTYRW-MLGOLLRUSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1ccc(COC(=O)c2ccc(O)c(Cl)c2)cc1C#N ZINC000762825953 622102192 /nfs/dbraw/zinc/10/21/92/622102192.db2.gz XDCOLMCUHJQGJB-UHFFFAOYSA-N 0 1 317.728 3.283 20 30 DGEDMN CC(C)(C)OC(=O)c1ccccc1CN[C@H]1CC[C@@H](C#N)C1 ZINC000866483808 622125954 /nfs/dbraw/zinc/12/59/54/622125954.db2.gz NVNROICVIFNDAK-HIFRSBDPSA-N 0 1 300.402 3.424 20 30 DGEDMN Clc1cccc(N2CCCC2)c1CN=Nc1cnccn1 ZINC000763354722 622140246 /nfs/dbraw/zinc/14/02/46/622140246.db2.gz UOSWYDHSKHKZAJ-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN C=C(C)[C@@H](NC[C@H]1CC2(CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000763777400 622162027 /nfs/dbraw/zinc/16/20/27/622162027.db2.gz CZEOHJLGISQNID-IUODEOHRSA-N 0 1 307.340 3.267 20 30 DGEDMN Brc1cc2c(c(C=NNc3ccccn3)c1)OCC2 ZINC000764894464 622230953 /nfs/dbraw/zinc/23/09/53/622230953.db2.gz LJCPWCLSSSIFAQ-UHFFFAOYSA-N 0 1 318.174 3.225 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2c[nH]cc2-c2ccc(Cl)s2)NO1 ZINC000765386252 622262421 /nfs/dbraw/zinc/26/24/21/622262421.db2.gz XRWHDEYTTQYZKD-SSDOTTSWSA-N 0 1 309.778 3.249 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)/C=C/c2ccc3[nH]ccc3c2)c1 ZINC000765882837 622292855 /nfs/dbraw/zinc/29/28/55/622292855.db2.gz IWUAUWXJNJMZEX-ZZXKWVIFSA-N 0 1 303.321 3.397 20 30 DGEDMN CCC[C@H]1[C@@H](C)CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766637830 622344038 /nfs/dbraw/zinc/34/40/38/622344038.db2.gz RPCQVCNOBBUPJV-KSSFIOAISA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCC(C)(C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000767091931 622374789 /nfs/dbraw/zinc/37/47/89/622374789.db2.gz WNSQYRUAIRWRHZ-OAHLLOKOSA-N 0 1 306.837 3.108 20 30 DGEDMN C#CCC(C)(C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000767091930 622375058 /nfs/dbraw/zinc/37/50/58/622375058.db2.gz WNSQYRUAIRWRHZ-HNNXBMFYSA-N 0 1 306.837 3.108 20 30 DGEDMN Cc1cncc(C(C)N=Nc2nc3cc(F)c(F)cc3[nH]2)c1 ZINC000767355847 622392738 /nfs/dbraw/zinc/39/27/38/622392738.db2.gz KGAAFMJVRZHLER-UHFFFAOYSA-N 0 1 301.300 3.381 20 30 DGEDMN C=CCOc1ccc(OC(=O)c2cccc(-c3nnc[nH]3)c2)cc1 ZINC000767624094 622409243 /nfs/dbraw/zinc/40/92/43/622409243.db2.gz XNHNTGVOPTVEKV-UHFFFAOYSA-N 0 1 321.336 3.256 20 30 DGEDMN COc1ccc(C=NNc2cc(C#N)cc(Cl)n2)cc1F ZINC000768376210 622453131 /nfs/dbraw/zinc/45/31/31/622453131.db2.gz QTHCVSPBJQSRNB-UHFFFAOYSA-N 0 1 304.712 3.200 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C1CCOCC1)c1nccc2ccccc21 ZINC000870314560 622455979 /nfs/dbraw/zinc/45/59/79/622455979.db2.gz NAUYDFYCHZBHFR-RCCFBDPRSA-N 0 1 320.392 3.474 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cccc(-c2cccnc2)c1 ZINC000768485028 622460078 /nfs/dbraw/zinc/46/00/78/622460078.db2.gz ZKEMVIKYPZKFBM-UHFFFAOYSA-N 0 1 308.429 3.158 20 30 DGEDMN Cc1cccc(CN(C)Cc2ccc(N(C)CCC#N)cc2)n1 ZINC000769479968 622532432 /nfs/dbraw/zinc/53/24/32/622532432.db2.gz LJGIJPRNCNCSFL-UHFFFAOYSA-N 0 1 308.429 3.372 20 30 DGEDMN CCS[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)C(C)C ZINC000871071061 622543491 /nfs/dbraw/zinc/54/34/91/622543491.db2.gz QNJNEMYMWQYREP-ZDUSSCGKSA-N 0 1 302.403 3.151 20 30 DGEDMN C[C@H]1C(=O)CC[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1(C)C ZINC000871071234 622543615 /nfs/dbraw/zinc/54/36/15/622543615.db2.gz BQZDLZKFCMISFR-JQWIXIFHSA-N 0 1 324.384 3.014 20 30 DGEDMN CCCCSCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071394 622543670 /nfs/dbraw/zinc/54/36/70/622543670.db2.gz VWISNZLLIBLHSK-UHFFFAOYSA-N 0 1 302.403 3.296 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CC[C@H](C(F)(F)F)C3)[nH]c2c1 ZINC000871073068 622544261 /nfs/dbraw/zinc/54/42/61/622544261.db2.gz RLMMKJOPDZOQAP-UWVGGRQHSA-N 0 1 322.290 3.352 20 30 DGEDMN CCC(N=Nc1ccc(F)c([N+](=O)[O-])c1)c1c(C)nn(C)c1C ZINC000769823107 622565396 /nfs/dbraw/zinc/56/53/96/622565396.db2.gz SXFKOGSHOJRGGE-UHFFFAOYSA-N 0 1 319.340 3.310 20 30 DGEDMN Cc1sc(CC(=O)Nc2cc(C#N)ccc2O)nc1C(C)C ZINC000790007254 625592686 /nfs/dbraw/zinc/59/26/86/625592686.db2.gz PIZWZIHSLQBYQS-UHFFFAOYSA-N 0 1 315.398 3.333 20 30 DGEDMN Cc1ccoc1C(=O)/C=C/c1ccc(N2CCN(C)CC2)cc1 ZINC000771528264 622773052 /nfs/dbraw/zinc/77/30/52/622773052.db2.gz KZRCCVHFESFGPK-VMPITWQZSA-N 0 1 310.397 3.236 20 30 DGEDMN COc1ccc(NN=C(C)c2cnccc2C)c([N+](=O)[O-])c1 ZINC000771558221 622775415 /nfs/dbraw/zinc/77/54/15/622775415.db2.gz XKIZCFVGUCTYSS-UHFFFAOYSA-N 0 1 300.318 3.143 20 30 DGEDMN CC(C)N(C)c1ccc(C=[NH+]Nc2cccc(C(=O)[O-])c2)cn1 ZINC000790141499 625608427 /nfs/dbraw/zinc/60/84/27/625608427.db2.gz KHYSIIDWGMEXNZ-UHFFFAOYSA-N 0 1 312.373 3.070 20 30 DGEDMN COc1c(C)cc(C(C)=NN=c2cc(C)[nH]c(N)n2)cc1Cl ZINC000872420443 622911933 /nfs/dbraw/zinc/91/19/33/622911933.db2.gz GLVCPNHBBBGSRA-UHFFFAOYSA-N 0 1 319.796 3.174 20 30 DGEDMN CN(CC(=O)C(C#N)c1nc2ccccc2o1)c1ccccc1 ZINC000772651578 622923140 /nfs/dbraw/zinc/92/31/40/622923140.db2.gz RMYAOQSIBQPQFH-AWEZNQCLSA-N 0 1 305.337 3.140 20 30 DGEDMN Cc1cc2ccccc2nc1NN=Cc1cnc([C@H](C)O)s1 ZINC000773080264 622979560 /nfs/dbraw/zinc/97/95/60/622979560.db2.gz SUGJVFWWBKVCSH-NSHDSACASA-N 0 1 312.398 3.499 20 30 DGEDMN CC(=NNc1nccnc1C(C)C)c1ccc(F)cc1[N+](=O)[O-] ZINC000773091458 622982698 /nfs/dbraw/zinc/98/26/98/622982698.db2.gz PTLMCJCQVKOQHG-UHFFFAOYSA-N 0 1 317.324 3.483 20 30 DGEDMN COCC(=NNc1ccc(Br)cn1)c1ccccc1 ZINC000790162705 625611287 /nfs/dbraw/zinc/61/12/87/625611287.db2.gz VUOUPHCQHAFIEC-UHFFFAOYSA-N 0 1 320.190 3.307 20 30 DGEDMN O=C(OCC#Cc1cccc(Cl)c1)c1[nH]nc2c1CCCC2 ZINC000773133304 622989688 /nfs/dbraw/zinc/98/96/88/622989688.db2.gz YAJKOIQBDIJSEV-UHFFFAOYSA-N 0 1 314.772 3.150 20 30 DGEDMN CCC[C@@H](/N=C\c1cc(Cl)cc(Cl)c1O)C(=O)OC ZINC000773146667 622991683 /nfs/dbraw/zinc/99/16/83/622991683.db2.gz BUNUFRJUFHGZBB-CRZGJZTJSA-N 0 1 304.173 3.460 20 30 DGEDMN COCC1(C)CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1 ZINC000773272488 623006828 /nfs/dbraw/zinc/00/68/28/623006828.db2.gz QSGGONGKLXNNBQ-UHFFFAOYSA-N 0 1 324.428 3.128 20 30 DGEDMN C=C(CC)CNC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000873037042 623023010 /nfs/dbraw/zinc/02/30/10/623023010.db2.gz KBXFQKGWWGTIBJ-CQSZACIVSA-N 0 1 304.438 3.388 20 30 DGEDMN FC(F)(F)CC(=NNc1nc2ccccn2n1)c1ccccc1 ZINC000790197989 625616189 /nfs/dbraw/zinc/61/61/89/625616189.db2.gz NUCMHUUPCWLGHW-UHFFFAOYSA-N 0 1 319.290 3.498 20 30 DGEDMN FC(F)(F)CC(=[NH+][N-]c1nc2ccccn2n1)c1ccccc1 ZINC000790197989 625616193 /nfs/dbraw/zinc/61/61/93/625616193.db2.gz NUCMHUUPCWLGHW-UHFFFAOYSA-N 0 1 319.290 3.498 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC2(C)C)c1 ZINC000773977306 623094849 /nfs/dbraw/zinc/09/48/49/623094849.db2.gz XDTTYBYHEGIWCG-GJZGRUSLSA-N 0 1 314.385 3.169 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C1(F)CCCC1 ZINC000773981080 623095711 /nfs/dbraw/zinc/09/57/11/623095711.db2.gz WWPQGLBFEIDXFC-GFCCVEGCSA-N 0 1 318.348 3.015 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)C2(F)CCCC2)cc1 ZINC000773980191 623095918 /nfs/dbraw/zinc/09/59/18/623095918.db2.gz OGVQDBSNVYPWFU-CQSZACIVSA-N 0 1 302.349 3.179 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1ccc(Cl)cn1 ZINC000774377619 623137677 /nfs/dbraw/zinc/13/76/77/623137677.db2.gz CDEWGWDTVKZIGQ-UHFFFAOYSA-N 0 1 320.736 3.488 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3c3cc(C#N)nc(C)n3)[nH]c2c1 ZINC000882082355 625629097 /nfs/dbraw/zinc/62/90/97/625629097.db2.gz MTTKRJKGLVMQKX-MRXNPFEDSA-N 0 1 318.384 3.183 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1coc(C(C)(C)C)n1 ZINC000873495198 623159762 /nfs/dbraw/zinc/15/97/62/623159762.db2.gz FTMNCUMWZRLZLV-LLVKDONJSA-N 0 1 322.368 3.349 20 30 DGEDMN C#CCN([C@H]1CCc2ccccc21)[C@@H]1CCCN(C(C)C)C1=O ZINC000790271061 625625850 /nfs/dbraw/zinc/62/58/50/625625850.db2.gz FWNQKQBLYGCTSO-RBUKOAKNSA-N 0 1 310.441 3.009 20 30 DGEDMN CCCCCCCC[N@H+]1C[C@H](C(=O)[O-])C[C@H](c2cnn(C)c2)C1 ZINC000873643261 623203749 /nfs/dbraw/zinc/20/37/49/623203749.db2.gz GLGYSXQKJSPQCT-JKSUJKDBSA-N 0 1 321.465 3.271 20 30 DGEDMN Cn1ccnc1NN=Cc1ccc(-n2cnc3ccccc32)cc1 ZINC000790300668 625631122 /nfs/dbraw/zinc/63/11/22/625631122.db2.gz XSHXVOQLELHDRK-UHFFFAOYSA-N 0 1 316.368 3.205 20 30 DGEDMN N#C[C@H](c1ccccc1)c1ccc(NC(=O)CN2CCCC2)cc1 ZINC000874007049 623228588 /nfs/dbraw/zinc/22/85/88/623228588.db2.gz SOFSOOZIUPJSCB-LJQANCHMSA-N 0 1 319.408 3.376 20 30 DGEDMN Cn1ccnc1NN=Cc1c[nH]c2cccc(Br)c12 ZINC000790299693 625631707 /nfs/dbraw/zinc/63/17/07/625631707.db2.gz HBRAJYMTWQCLIP-UHFFFAOYSA-N 0 1 318.178 3.110 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1c[nH]c2cccc(Br)c12 ZINC000790299693 625631710 /nfs/dbraw/zinc/63/17/10/625631710.db2.gz HBRAJYMTWQCLIP-UHFFFAOYSA-N 0 1 318.178 3.110 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cccc2c1N(C(=O)OC(C)(C)C)CC2 ZINC000775183056 623238382 /nfs/dbraw/zinc/23/83/82/623238382.db2.gz HDSIEHCEXDQMJL-CQSZACIVSA-N 0 1 314.429 3.438 20 30 DGEDMN Cc1cc(Cl)cc2c1OCCCC2=N[N-]c1[nH+]ccn1C ZINC000790302252 625632640 /nfs/dbraw/zinc/63/26/40/625632640.db2.gz KOXWLNCWDPKAAW-UHFFFAOYSA-N 0 1 304.781 3.371 20 30 DGEDMN Cc1cc(Cl)cc2c1OCCCC2=NNc1nccn1C ZINC000790302252 625632645 /nfs/dbraw/zinc/63/26/45/625632645.db2.gz KOXWLNCWDPKAAW-UHFFFAOYSA-N 0 1 304.781 3.371 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2cccc(F)c2[N+](=O)[O-])c(F)c1 ZINC000790306473 625633403 /nfs/dbraw/zinc/63/34/03/625633403.db2.gz JRLQWORCLAZDQI-UHFFFAOYSA-N 0 1 322.227 3.227 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(OCCCC)c1)[C@@H]1CCCO1 ZINC000775340761 623256241 /nfs/dbraw/zinc/25/62/41/623256241.db2.gz IEXOMIOMKFKDEU-SJORKVTESA-N 0 1 317.429 3.145 20 30 DGEDMN C#C[C@H](NCc1ccc(Br)c(C)c1)[C@@H]1CCCO1 ZINC000775341392 623256481 /nfs/dbraw/zinc/25/64/81/623256481.db2.gz MFXSJTWJSJAZQJ-GJZGRUSLSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@H]1CCCO1 ZINC000775342235 623256614 /nfs/dbraw/zinc/25/66/14/623256614.db2.gz PRHCGPZBHUOIAH-UONOGXRCSA-N 0 1 301.283 3.115 20 30 DGEDMN C#C[C@@H](NCc1ccc(Br)c(C)c1)[C@@H]1CCCO1 ZINC000775341390 623256640 /nfs/dbraw/zinc/25/66/40/623256640.db2.gz MFXSJTWJSJAZQJ-CABCVRRESA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC(F)F)c(Cl)c1)[C@@H]1CCCO1 ZINC000775343271 623257046 /nfs/dbraw/zinc/25/70/46/623257046.db2.gz VTJYBYWFAWXBHE-OCCSQVGLSA-N 0 1 315.747 3.212 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@@H]1C)c1ccccc1 ZINC000775399786 623268843 /nfs/dbraw/zinc/26/88/43/623268843.db2.gz JQAGTOQBMUAKOY-YTQUADARSA-N 0 1 312.457 3.378 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(Br)cc1)[C@@H]1CCCO1 ZINC000775573598 623291383 /nfs/dbraw/zinc/29/13/83/623291383.db2.gz ICYCVDBEAMJHAU-UGFHNGPFSA-N 0 1 308.219 3.281 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cnn(-c2ccccc2)c1C)[C@@H]1CCCO1 ZINC000775572062 623291874 /nfs/dbraw/zinc/29/18/74/623291874.db2.gz OPKFQRQVRCOLRX-JVPBZIDWSA-N 0 1 309.413 3.012 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc(Cl)c(N)c(Cl)c1)[C@@H]1CCCO1 ZINC000775575507 623292799 /nfs/dbraw/zinc/29/27/99/623292799.db2.gz YCNUNDFMWZZDSZ-HERUPUMHSA-N 0 1 313.228 3.407 20 30 DGEDMN C#C[C@@H](NCCOc1c(Cl)cccc1Cl)[C@@H]1CCCO1 ZINC000775791819 623333305 /nfs/dbraw/zinc/33/33/05/623333305.db2.gz XFUFRHMQTMDKTE-KGLIPLIRSA-N 0 1 314.212 3.143 20 30 DGEDMN C#C[C@H](NCCOc1cc(Cl)ccc1Cl)[C@@H]1CCCO1 ZINC000775802207 623334383 /nfs/dbraw/zinc/33/43/83/623334383.db2.gz ZIYPGCJYPDHYIO-KBPBESRZSA-N 0 1 314.212 3.143 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](C)c1ccc(N(C)C)cc1 ZINC000775833869 623339322 /nfs/dbraw/zinc/33/93/22/623339322.db2.gz GQJNDXMQACAYTN-AWEZNQCLSA-N 0 1 309.413 3.484 20 30 DGEDMN CCN(Cc1cc(Br)ccc1O)CC1(C#N)CC1 ZINC000775974081 623358910 /nfs/dbraw/zinc/35/89/10/623358910.db2.gz XZGWLBMFESKYLA-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN C[C@@H]1CCN(Cc2ccccc2)CC1=NOCc1cccnc1 ZINC000776188328 623392278 /nfs/dbraw/zinc/39/22/78/623392278.db2.gz ZQGDNSHXDAAZSD-MRXNPFEDSA-N 0 1 309.413 3.496 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000882138005 625645860 /nfs/dbraw/zinc/64/58/60/625645860.db2.gz BATOAIQUHIRXTJ-ZIAGYGMSSA-N 0 1 317.414 3.182 20 30 DGEDMN CC[C@@H]1CC[N@H+]([C@@H](C)C(=O)N(CCC#N)c2ccc(C)cc2)C1 ZINC000125353256 623431667 /nfs/dbraw/zinc/43/16/67/623431667.db2.gz BPCOOAAPUSGGTQ-DLBZAZTESA-N 0 1 313.445 3.362 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CC[C@@H](C)C1 ZINC000776517330 623436778 /nfs/dbraw/zinc/43/67/78/623436778.db2.gz QHGJQNUJLVBXAO-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cc2ccccc2nc1N=NCc1ccc(C(N)=O)cc1 ZINC000776782956 623462337 /nfs/dbraw/zinc/46/23/37/623462337.db2.gz PTGWCXIYTWREGA-UHFFFAOYSA-N 0 1 304.353 3.088 20 30 DGEDMN C[C@H](O)C[C@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000790438884 625653282 /nfs/dbraw/zinc/65/32/82/625653282.db2.gz XAKICFQKMYEBCH-XJKSGUPXSA-N 0 1 322.836 3.368 20 30 DGEDMN Cc1ccccc1COc1ccccc1C(=O)NC1=NO[C@H](C)C1 ZINC000777436321 623524168 /nfs/dbraw/zinc/52/41/68/623524168.db2.gz RGNWPHJIOBZASA-CQSZACIVSA-N 0 1 324.380 3.426 20 30 DGEDMN Cc1ccc(F)cc1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000777882115 623579992 /nfs/dbraw/zinc/57/99/92/623579992.db2.gz NOHDPRPSUFKICO-UHFFFAOYSA-N 0 1 313.357 3.015 20 30 DGEDMN COc1cc(C=NNc2cc(Cl)ccn2)cc(OC)c1OC ZINC000777907108 623583516 /nfs/dbraw/zinc/58/35/16/623583516.db2.gz NADKVODUIASNAX-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN COc1cccc2c1OCCC2=NNc1cc(Cl)ccn1 ZINC000777907634 623583611 /nfs/dbraw/zinc/58/36/11/623583611.db2.gz YEEQFRZNNPOJGZ-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN Clc1ccnc(N=NCc2ccc(Br)nc2)c1 ZINC000777906799 623583623 /nfs/dbraw/zinc/58/36/23/623583623.db2.gz NXFZPCSYAXXOOH-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN N#CC1(NC(=O)C=C2CCCC2)CCN(Cc2ccccc2)CC1 ZINC000778109889 623612034 /nfs/dbraw/zinc/61/20/34/623612034.db2.gz DCQHYSSYADDSGM-UHFFFAOYSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H](O)c1ccccc1 ZINC000778151390 623616253 /nfs/dbraw/zinc/61/62/53/623616253.db2.gz NMLXGWSJDFXZEL-KRWDZBQOSA-N 0 1 315.800 3.175 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCc1ccccc1O ZINC000778162883 623618461 /nfs/dbraw/zinc/61/84/61/623618461.db2.gz XJYKINBYAROQPV-UHFFFAOYSA-N 0 1 315.800 3.390 20 30 DGEDMN Cc1ccc(CN2CCN([C@@H](C#N)c3ccccc3)CC2)c(O)c1 ZINC000876511573 623631349 /nfs/dbraw/zinc/63/13/49/623631349.db2.gz MYUOAKMTLJBICH-IBGZPJMESA-N 0 1 321.424 3.083 20 30 DGEDMN CC(C)(C)OCC(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000778307227 623638264 /nfs/dbraw/zinc/63/82/64/623638264.db2.gz OYRHULDTHDUCAG-SNVBAGLBSA-N 0 1 306.362 3.427 20 30 DGEDMN C[C@H]1CC(NC(=O)c2ccccc2Oc2ccccc2C#N)=NO1 ZINC000778405638 623654426 /nfs/dbraw/zinc/65/44/26/623654426.db2.gz PONVSWVDJKCPLH-LBPRGKRZSA-N 0 1 321.336 3.203 20 30 DGEDMN CCC/C=C\C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000778446897 623657508 /nfs/dbraw/zinc/65/75/08/623657508.db2.gz JPLJHZLUOBNQPC-YHYXMXQVSA-N 0 1 311.429 3.017 20 30 DGEDMN Cc1cc(CN[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)c(C)o1 ZINC000778549714 623667723 /nfs/dbraw/zinc/66/77/23/623667723.db2.gz BGEQHLOBAXLJCO-GOSISDBHSA-N 0 1 323.396 3.053 20 30 DGEDMN Cc1cc2ccc(Cl)cc2nc1NN=Cc1csnn1 ZINC000778551379 623668315 /nfs/dbraw/zinc/66/83/15/623668315.db2.gz KNJBFAHVXKBXPZ-UHFFFAOYSA-N 0 1 303.778 3.494 20 30 DGEDMN CC[C@H](C(=O)OC[C@@H](C#N)Cc1ccccc1)N(CC)CC ZINC000778666241 623680602 /nfs/dbraw/zinc/68/06/02/623680602.db2.gz UMRVJNQASGBGMJ-IAGOWNOFSA-N 0 1 302.418 3.032 20 30 DGEDMN C[C@H](Cc1ccc(O)cc1)N(C)Cc1cc(C#N)cnc1Cl ZINC000876723596 623689681 /nfs/dbraw/zinc/68/96/81/623689681.db2.gz FRZPITIHUMEQIQ-GFCCVEGCSA-N 0 1 315.804 3.375 20 30 DGEDMN C#CCCCCCCN1CCN(CC(F)(F)C(F)F)CC1 ZINC000876736125 623692088 /nfs/dbraw/zinc/69/20/88/623692088.db2.gz HBGVEYWVFOHJNR-UHFFFAOYSA-N 0 1 308.363 3.088 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H](COC)C1 ZINC000779156817 623736260 /nfs/dbraw/zinc/73/62/60/623736260.db2.gz JSGBSWDXKKLENR-AWEZNQCLSA-N 0 1 307.821 3.210 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)C[C@@H]1CCCOC1 ZINC000779157538 623736764 /nfs/dbraw/zinc/73/67/64/623736764.db2.gz HGQDDXIXVPVRLK-AWEZNQCLSA-N 0 1 307.821 3.210 20 30 DGEDMN CC[C@@H](C#N)Oc1cc(CNCc2ccns2)ccc1OC ZINC000877029493 623769671 /nfs/dbraw/zinc/76/96/71/623769671.db2.gz DUGDJIJYRHDVRT-ZDUSSCGKSA-N 0 1 317.414 3.122 20 30 DGEDMN N#Cc1ccc(CNN=C2CCN(CCC(F)(F)F)CC2)cc1 ZINC000779786313 623804110 /nfs/dbraw/zinc/80/41/10/623804110.db2.gz YNDABVZRIDADBQ-UHFFFAOYSA-N 0 1 324.350 3.052 20 30 DGEDMN C[C@@H]1CN=C(NN=C(CC(C)(C)C)c2ccc(Cl)cc2)N1 ZINC000779805967 623809539 /nfs/dbraw/zinc/80/95/39/623809539.db2.gz XCCTUIOTPSNLAL-LLVKDONJSA-N 0 1 306.841 3.418 20 30 DGEDMN COc1ccc(Cl)cc1C(CC(C)C)=NNC1=NC[C@@H](C)N1 ZINC000779805206 623809964 /nfs/dbraw/zinc/80/99/64/623809964.db2.gz KSSOZMQQRXICAB-LLVKDONJSA-N 0 1 322.840 3.036 20 30 DGEDMN COc1cccc(N=NCc2cc3ccccc3nc2OC)n1 ZINC000779816727 623812246 /nfs/dbraw/zinc/81/22/46/623812246.db2.gz FQGHOFQCTPYALB-UHFFFAOYSA-N 0 1 308.341 3.093 20 30 DGEDMN C=CCOc1ccc(C=NNc2cccc(OC)n2)cc1OC ZINC000779817541 623813487 /nfs/dbraw/zinc/81/34/87/623813487.db2.gz RJKDYHSDQMKXGO-UHFFFAOYSA-N 0 1 313.357 3.110 20 30 DGEDMN COCC[C@H](NCc1ccc(O[C@@H](C)C#N)cc1)c1ccco1 ZINC000779996098 623846647 /nfs/dbraw/zinc/84/66/47/623846647.db2.gz YMOGHMOCXRHXID-YOEHRIQHSA-N 0 1 314.385 3.438 20 30 DGEDMN COCC[C@H]1CCCCN(Cc2cc(C#N)cnc2Cl)C1 ZINC000877401050 623869480 /nfs/dbraw/zinc/86/94/80/623869480.db2.gz YYGHUWFHRLJQHL-CYBMUJFWSA-N 0 1 307.825 3.245 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NCc2cc(C#N)cnc2Cl)n[nH]1 ZINC000877475196 623899964 /nfs/dbraw/zinc/89/99/64/623899964.db2.gz NSYZYRIKGQMOGG-ZJUUUORDSA-N 0 1 318.812 3.089 20 30 DGEDMN COc1ccc(NN=Cc2nc3ccc(Cl)cc3n2C)nc1 ZINC000780268100 623900717 /nfs/dbraw/zinc/90/07/17/623900717.db2.gz FIOQNEPYIHBRKC-UHFFFAOYSA-N 0 1 315.764 3.076 20 30 DGEDMN COc1ccc(NN=Cc2cccc(C)c2OC(F)F)nc1 ZINC000780271195 623901598 /nfs/dbraw/zinc/90/15/98/623901598.db2.gz QKJWQGJSKFXXDF-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc3c(c2)C(C)(C)C(=O)N3)nc1 ZINC000780275588 623902635 /nfs/dbraw/zinc/90/26/35/623902635.db2.gz AQFIYCLZQUKYHA-UHFFFAOYSA-N 0 1 324.384 3.156 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1ncncc1Cl ZINC000780280511 623903297 /nfs/dbraw/zinc/90/32/97/623903297.db2.gz XSBZZZZQSRCULQ-LBPRGKRZSA-N 0 1 315.808 3.050 20 30 DGEDMN O=[N+]([O-])c1ccc(Cl)cc1C=NNc1ncncc1Cl ZINC000780280371 623903488 /nfs/dbraw/zinc/90/34/88/623903488.db2.gz WUWZNQVKPNXFND-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN C#C[C@H](N[C@@H](C)C[C@H]1CCCC(=O)N1)c1ccc(Cl)cc1F ZINC000877516045 623919811 /nfs/dbraw/zinc/91/98/11/623919811.db2.gz AYDIDBFFEYXTOL-GHJWDPDVSA-N 0 1 322.811 3.190 20 30 DGEDMN C=CCCCN(C)CN1CC[C@@](C)(Cc2ccccc2)C1=O ZINC000780442395 623930071 /nfs/dbraw/zinc/93/00/71/623930071.db2.gz IENWFSHHHXKYCO-IBGZPJMESA-N 0 1 300.446 3.323 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2c[nH]nc2-c2ccsc2)cc1 ZINC000780632265 623962054 /nfs/dbraw/zinc/96/20/54/623962054.db2.gz XUQHNNBNMSREHQ-UHFFFAOYSA-N 0 1 323.377 3.403 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@@H]2CCC3(CCCC3)O2)c1 ZINC000877621824 623965811 /nfs/dbraw/zinc/96/58/11/623965811.db2.gz XCOOVCCMXDWXPP-AWEZNQCLSA-N 0 1 305.809 3.188 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000780750360 623978610 /nfs/dbraw/zinc/97/86/10/623978610.db2.gz WGQPELZIIBNRMJ-NSHDSACASA-N 0 1 322.324 3.213 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cc(-c2ccoc2)n[nH]1 ZINC000780748956 623978789 /nfs/dbraw/zinc/97/87/89/623978789.db2.gz KVSYPGPIKFEXLR-NSHDSACASA-N 0 1 322.324 3.213 20 30 DGEDMN C[C@@H](CCC#N)C[NH+]1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000877705525 624000091 /nfs/dbraw/zinc/00/00/91/624000091.db2.gz IABIXGHMCVFCGS-AWEZNQCLSA-N 0 1 321.852 3.103 20 30 DGEDMN Cc1cc(CN=Nc2ccnc(F)c2)c(C)n1C[C@H]1CCCO1 ZINC000781133950 624027265 /nfs/dbraw/zinc/02/72/65/624027265.db2.gz XZXABTLEKQVIAC-MRXNPFEDSA-N 0 1 316.380 3.264 20 30 DGEDMN Cc1cc(CN=Nc2ccnc(F)c2)c(C)n1C[C@@H]1CCCO1 ZINC000781133948 624027278 /nfs/dbraw/zinc/02/72/78/624027278.db2.gz XZXABTLEKQVIAC-INIZCTEOSA-N 0 1 316.380 3.264 20 30 DGEDMN CCN1CCN(c2ccc(N[C@H]3CC[C@@H](C#N)C3)cc2F)CC1 ZINC000877767334 624028015 /nfs/dbraw/zinc/02/80/15/624028015.db2.gz ZQOHAORKNCHUAP-CABCVRRESA-N 0 1 316.424 3.072 20 30 DGEDMN Cc1cc(CN2CC[C@H](C(F)(F)F)O[C@H](C)C2)ccc1C#N ZINC000877819403 624049863 /nfs/dbraw/zinc/04/98/63/624049863.db2.gz BQUNOKNOIZMRIP-IUODEOHRSA-N 0 1 312.335 3.408 20 30 DGEDMN N#CC1(c2ccc(CN3CCO[C@@H](C(F)(F)F)CC3)cc2)CC1 ZINC000877819976 624052055 /nfs/dbraw/zinc/05/20/55/624052055.db2.gz BWKBXRXIZWHJKD-OAHLLOKOSA-N 0 1 324.346 3.395 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(C(F)F)c2)nc(C)n1 ZINC000790769565 625695531 /nfs/dbraw/zinc/69/55/31/625695531.db2.gz ZNDQZCSIXHFECO-AWEZNQCLSA-N 0 1 315.323 3.450 20 30 DGEDMN Cc1cc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)co1 ZINC000781448972 624072005 /nfs/dbraw/zinc/07/20/05/624072005.db2.gz UKZRUQKSHCQQJO-NSHDSACASA-N 0 1 302.717 3.203 20 30 DGEDMN N#CC(C(=O)C=Cc1ccncn1)c1nc2cc(F)ccc2s1 ZINC000781471808 624076827 /nfs/dbraw/zinc/07/68/27/624076827.db2.gz XFLWLVUBBOIZSY-JDGPPOGSSA-N 0 1 324.340 3.115 20 30 DGEDMN CC(=O)[C@@H]1C[C@H](C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)C1(C)C ZINC000781478612 624077831 /nfs/dbraw/zinc/07/78/31/624077831.db2.gz BKYJIYHJIWPSAI-MELADBBJSA-N 0 1 323.396 3.299 20 30 DGEDMN C=CC[C@H](C(=O)OC)N(C)C[C@@H]1CCCO[C@H]1c1ccccc1 ZINC000878018877 624137061 /nfs/dbraw/zinc/13/70/61/624137061.db2.gz SSEGKAXNNQERHK-KSZLIROESA-N 0 1 317.429 3.204 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(Cl)ccc2OC)c1 ZINC000782461796 624254437 /nfs/dbraw/zinc/25/44/37/624254437.db2.gz HWVHDZUSWZZAAY-UHFFFAOYSA-N 0 1 321.785 3.131 20 30 DGEDMN CCCOc1ccc(C(=O)NC2=NO[C@@H](C)C2)c(OC(C)C)c1 ZINC000790827131 625704557 /nfs/dbraw/zinc/70/45/57/625704557.db2.gz VJGWFKKGKJLFJD-LBPRGKRZSA-N 0 1 320.389 3.115 20 30 DGEDMN CC(=[NH+]Nc1ccc(C(=O)[O-])cc1)c1ccc(N)cc1Cl ZINC000782892684 624287741 /nfs/dbraw/zinc/28/77/41/624287741.db2.gz CVYJGRPFFIHISN-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000878491743 624289960 /nfs/dbraw/zinc/28/99/60/624289960.db2.gz RUFVTBSQRVYSPL-DNVCBOLYSA-N 0 1 323.440 3.333 20 30 DGEDMN CCOCOc1ccc(CNCc2cc(C#N)ccc2F)cc1 ZINC000782963374 624296655 /nfs/dbraw/zinc/29/66/55/624296655.db2.gz XMNXCDYMHXWMSA-UHFFFAOYSA-N 0 1 314.360 3.360 20 30 DGEDMN N#CC1(c2ccccn2)CCN(C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000878557416 624307196 /nfs/dbraw/zinc/30/71/96/624307196.db2.gz HACALTKUEGMEFX-INIZCTEOSA-N 0 1 311.429 3.040 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CC2(CCC2)CO1 ZINC000878606440 624320062 /nfs/dbraw/zinc/32/00/62/624320062.db2.gz MTFXVTVKCWYVGH-KRWDZBQOSA-N 0 1 305.418 3.109 20 30 DGEDMN CCN(CCSC)CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000878771342 624356972 /nfs/dbraw/zinc/35/69/72/624356972.db2.gz JJETYFVGNPSVRY-KRWDZBQOSA-N 0 1 304.459 3.112 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@@H](C)c2ccc(F)cc2)c1 ZINC000783535920 624363527 /nfs/dbraw/zinc/36/35/27/624363527.db2.gz XSKDCDFWGIBWBD-OAHLLOKOSA-N 0 1 324.399 3.481 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)O[C@@H](C)[C@H]2C)c(O)c(OC)c1 ZINC000783541263 624363558 /nfs/dbraw/zinc/36/35/58/624363558.db2.gz GDNOYRLSVJPCFC-MJBXVCDLSA-N 0 1 305.418 3.127 20 30 DGEDMN N#Cc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 ZINC000783595345 624372604 /nfs/dbraw/zinc/37/26/04/624372604.db2.gz PWKRAZKDUUHITL-UHFFFAOYSA-N 0 1 301.733 3.410 20 30 DGEDMN C[C@H](OC(=O)c1c(F)cc(O)cc1F)c1cccc(C#N)c1 ZINC000783716426 624387092 /nfs/dbraw/zinc/38/70/92/624387092.db2.gz PZFLETVJCKSFPL-VIFPVBQESA-N 0 1 303.264 3.460 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@@H](C)[C@@H](C)O1 ZINC000783737573 624389851 /nfs/dbraw/zinc/38/98/51/624389851.db2.gz NTVDNOMYUWXYSS-OWCLPIDISA-N 0 1 301.434 3.034 20 30 DGEDMN CC(N=Nc1ccccc1[N+](=O)[O-])c1ccc(F)cc1[N+](=O)[O-] ZINC000783773804 624394342 /nfs/dbraw/zinc/39/43/42/624394342.db2.gz SVVPNRLZGKDVGU-UHFFFAOYSA-N 0 1 318.264 3.478 20 30 DGEDMN Cc1cc(COC(=O)[C@H](C(C)C)N2CCCC2)ccc1C#N ZINC000783777709 624395306 /nfs/dbraw/zinc/39/53/06/624395306.db2.gz PORZVHRSFZDZBW-KRWDZBQOSA-N 0 1 300.402 3.030 20 30 DGEDMN C#C[C@@](C)(CC)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000879034345 624412909 /nfs/dbraw/zinc/41/29/09/624412909.db2.gz APCLVNLGCMWMHP-HNNXBMFYSA-N 0 1 306.316 3.424 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@H](CCC#N)c1ccccc1 ZINC000879255851 624466688 /nfs/dbraw/zinc/46/66/88/624466688.db2.gz RNBBZLDIUDOSQY-MZMPZRCHSA-N 0 1 322.412 3.237 20 30 DGEDMN C=C(Br)CNC1(C(=O)NCCCC)CCCCC1 ZINC000127612644 624468052 /nfs/dbraw/zinc/46/80/52/624468052.db2.gz JHXZCVYQXFVCIY-UHFFFAOYSA-N 0 1 317.271 3.104 20 30 DGEDMN CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)[C@@H]1CCC[C@H]1C#N ZINC000879308606 624494127 /nfs/dbraw/zinc/49/41/27/624494127.db2.gz DSAIDEOLUINGSC-OWCLPIDISA-N 0 1 321.465 3.258 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2cc(-n3ccnc3)cs2)c1 ZINC000784569689 624550430 /nfs/dbraw/zinc/55/04/30/624550430.db2.gz GFUSZYXWRXXGEY-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879498858 624568015 /nfs/dbraw/zinc/56/80/15/624568015.db2.gz BVZHIKWKNAOKRT-DNVCBOLYSA-N 0 1 318.424 3.499 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3cnccc3C)[nH]c21 ZINC000784874335 624590811 /nfs/dbraw/zinc/59/08/11/624590811.db2.gz DQWIJMKCHYSGLC-XHPSBEMXSA-N 0 1 316.364 3.464 20 30 DGEDMN COC[C@H](NCC1(CC#N)CC1)c1cccc(Br)c1 ZINC000879664475 624632151 /nfs/dbraw/zinc/63/21/51/624632151.db2.gz YHLGGCNXIOZWPB-AWEZNQCLSA-N 0 1 323.234 3.420 20 30 DGEDMN CC(C)CC(=O)N1CCCN([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000879760375 624669257 /nfs/dbraw/zinc/66/92/57/624669257.db2.gz ZVMWCTSQGMNXMX-INIZCTEOSA-N 0 1 313.445 3.200 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)OCC#Cc1ccc(Cl)cc1 ZINC000785744478 624722763 /nfs/dbraw/zinc/72/27/63/624722763.db2.gz VSJVRAKYMJEGDT-KBPBESRZSA-N 0 1 305.805 3.108 20 30 DGEDMN N#Cc1ccccc1C=CC(=O)Nc1nc2ccc(F)cc2[nH]1 ZINC000785877900 624748085 /nfs/dbraw/zinc/74/80/85/624748085.db2.gz XCCQNZKHCMBZQB-VMPITWQZSA-N 0 1 306.300 3.226 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NNC1CCCCC1 ZINC000786042308 624785177 /nfs/dbraw/zinc/78/51/77/624785177.db2.gz QNLWVQOCXOVPFN-HNNXBMFYSA-N 0 1 300.450 3.202 20 30 DGEDMN C=CCCC[C@@H](C(=O)NCc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC000880171204 624817109 /nfs/dbraw/zinc/81/71/09/624817109.db2.gz VOYSLQVCSYXVDV-MRXNPFEDSA-N 0 1 324.428 3.438 20 30 DGEDMN CC(C)(C#N)CC(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000786305790 624846325 /nfs/dbraw/zinc/84/63/25/624846325.db2.gz CUGLLRQSNIVAOA-JTQLQIEISA-N 0 1 321.739 3.066 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1ccc(F)c(C#N)c1 ZINC000786341258 624856777 /nfs/dbraw/zinc/85/67/77/624856777.db2.gz FYIJPTQKAXSERR-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CCC[C@H](CF)C1 ZINC000880234582 624859474 /nfs/dbraw/zinc/85/94/74/624859474.db2.gz GVKFOORHWUQQCL-LSDHHAIUSA-N 0 1 324.465 3.333 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCCC2(C)C)c1 ZINC000786540993 624915180 /nfs/dbraw/zinc/91/51/80/624915180.db2.gz NTBFGIJZLRZRRT-CVEARBPZSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCC[C@H](C)C2)c1 ZINC000786545261 624916841 /nfs/dbraw/zinc/91/68/41/624916841.db2.gz FFTJWSTYLJTHIA-USXIJHARSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786561989 624922734 /nfs/dbraw/zinc/92/27/34/624922734.db2.gz QVQQATGQRHNFMB-SMDDNHRTSA-N 0 1 322.355 3.116 20 30 DGEDMN C=C(Br)CNC/C(C)=C/c1cccc([N+](=O)[O-])c1 ZINC000786817566 624955946 /nfs/dbraw/zinc/95/59/46/624955946.db2.gz ZLHXIZUVHFIGGL-UXBLZVDNSA-N 0 1 311.179 3.496 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@@H](C)C1CC1)c1ccccc1 ZINC000787039647 624973369 /nfs/dbraw/zinc/97/33/69/624973369.db2.gz SLSZXBXSFYEQPI-COXVUDFISA-N 0 1 312.413 3.399 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@H](C)C1CC1)c1ccccc1 ZINC000787039649 624973488 /nfs/dbraw/zinc/97/34/88/624973488.db2.gz SLSZXBXSFYEQPI-XKQJLSEDSA-N 0 1 312.413 3.399 20 30 DGEDMN CCCC(C)(C)CC(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000787082695 624977330 /nfs/dbraw/zinc/97/73/30/624977330.db2.gz GBNXFAUDPBLPTP-GFCCVEGCSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC(C)(C)CC(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000787082695 624977336 /nfs/dbraw/zinc/97/73/36/624977336.db2.gz GBNXFAUDPBLPTP-GFCCVEGCSA-N 0 1 322.430 3.387 20 30 DGEDMN CC[C@@H](CC#N)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000787086904 624977993 /nfs/dbraw/zinc/97/79/93/624977993.db2.gz GWHPYWLNCCWIEU-AWEZNQCLSA-N 0 1 310.357 3.198 20 30 DGEDMN COc1ccc(NN=C(C)c2cnn(C(C)(C)C)c2C)nc1 ZINC000787163100 624984363 /nfs/dbraw/zinc/98/43/63/624984363.db2.gz RGGRBTQPAKWXQD-UHFFFAOYSA-N 0 1 301.394 3.186 20 30 DGEDMN C#CC[C@H](NC(=O)Nc1cc(CN(C)C)ccn1)c1ccccc1 ZINC000880599069 624985368 /nfs/dbraw/zinc/98/53/68/624985368.db2.gz SVIUJDMAKIDMSW-KRWDZBQOSA-N 0 1 322.412 3.029 20 30 DGEDMN N#CCCCCCC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000805853963 625056727 /nfs/dbraw/zinc/05/67/27/625056727.db2.gz OABYOCUTAHVLRU-AWEZNQCLSA-N 0 1 317.776 3.461 20 30 DGEDMN CCN(CC)[C@@H](C(=O)Nc1cccc(CC#N)n1)c1ccccc1 ZINC000882475804 625736700 /nfs/dbraw/zinc/73/67/00/625736700.db2.gz XULOHHTUPSRZPF-GOSISDBHSA-N 0 1 322.412 3.169 20 30 DGEDMN CC(C)(C)N1CC[C@H](Nc2ncc(C#N)cc2Br)C1 ZINC000882578489 625759358 /nfs/dbraw/zinc/75/93/58/625759358.db2.gz MXXGKDUBOIHCOP-NSHDSACASA-N 0 1 323.238 3.000 20 30 DGEDMN CN1CCN(c2ccc(CNc3cccc(F)c3C#N)cc2)CC1 ZINC000882880065 625842441 /nfs/dbraw/zinc/84/24/41/625842441.db2.gz AGUTZPWSCFBOQV-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN CC(C)(C)c1[nH]n(CCCOC(F)(F)F)c(=O)c1CCC#N ZINC000882983314 625883975 /nfs/dbraw/zinc/88/39/75/625883975.db2.gz FFHKOWRJEALYON-UHFFFAOYSA-N 0 1 319.327 3.269 20 30 DGEDMN C=CCC[C@H](NCc1nccn1CC(F)(F)F)[C@@H]1CCCO1 ZINC000883185110 625958792 /nfs/dbraw/zinc/95/87/92/625958792.db2.gz OBLQAEAKSQSGAL-STQMWFEESA-N 0 1 317.355 3.049 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)c1ccccc1C ZINC000796383900 626044898 /nfs/dbraw/zinc/04/48/98/626044898.db2.gz KXEUCYMFOHWBRO-IBGZPJMESA-N 0 1 315.413 3.192 20 30 DGEDMN C[C@@H]1CCCN(C(=O)OC[C@@H]2CCCCN2CCCC#N)C1 ZINC000796554128 626061894 /nfs/dbraw/zinc/06/18/94/626061894.db2.gz MPQSBCXAGYGPRI-CVEARBPZSA-N 0 1 307.438 3.013 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@H]1Cc2ccc(F)cc21 ZINC000796618533 626066470 /nfs/dbraw/zinc/06/64/70/626066470.db2.gz JIZATFYERFWYSR-HUUCEWRRSA-N 0 1 319.339 3.229 20 30 DGEDMN C[C@@H](NCCCOc1ccc(C#N)cc1)c1c(F)cncc1F ZINC000796623269 626066770 /nfs/dbraw/zinc/06/67/70/626066770.db2.gz GYCIHOLHYCREDB-GFCCVEGCSA-N 0 1 317.339 3.351 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@H]2CCc3cc(C)ccc3O2)n1 ZINC000796620091 626067097 /nfs/dbraw/zinc/06/70/97/626067097.db2.gz STOQTAIQEXVNRH-UKRRQHHQSA-N 0 1 312.394 3.330 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H](CC#N)c2ccccc2)nc(C)n1 ZINC000796632795 626067862 /nfs/dbraw/zinc/06/78/62/626067862.db2.gz NUZNDUXVRPATNW-DLBZAZTESA-N 0 1 318.380 3.357 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCC(F)(F)C3)[nH]c21 ZINC000796717503 626075988 /nfs/dbraw/zinc/07/59/88/626075988.db2.gz CGYVAZGOWXSDGW-WDEREUQCSA-N 0 1 303.312 3.483 20 30 DGEDMN C=C(C)COC(=O)[C@@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000796819341 626086582 /nfs/dbraw/zinc/08/65/82/626086582.db2.gz SGJFDLRHOBMWPH-HNNXBMFYSA-N 0 1 309.356 3.263 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc(C)c(OC)c(Cl)c1)[C@@H]1CCCO1 ZINC000796950721 626099121 /nfs/dbraw/zinc/09/91/21/626099121.db2.gz UAODNYYBBBDOHJ-KCXAZCMYSA-N 0 1 307.821 3.488 20 30 DGEDMN COc1cccc2c1CCCC2=NNc1ccncc1Cl ZINC000799481992 626304499 /nfs/dbraw/zinc/30/44/99/626304499.db2.gz MZEKDTXRZJMFCU-UHFFFAOYSA-N 0 1 301.777 3.318 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(C#N)c(OC)c2)c(O)c1 ZINC000799497274 626306171 /nfs/dbraw/zinc/30/61/71/626306171.db2.gz MNZUNNJZZHIRJY-XBXARRHUSA-N 0 1 309.321 3.177 20 30 DGEDMN CC(=CN=NC1=NC[C@H](C)N1)Cc1ccccc1C(F)(F)F ZINC000799884144 626333526 /nfs/dbraw/zinc/33/35/26/626333526.db2.gz FTXHDSJMZZNGFS-OCJWDDTGSA-N 0 1 310.323 3.032 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC000800385037 626355167 /nfs/dbraw/zinc/35/51/67/626355167.db2.gz QEFBYTDQPJGZAM-CHWSQXEVSA-N 0 1 314.376 3.217 20 30 DGEDMN COCc1cccc(CN=Nc2cccc(F)c2C(=O)OC)c1 ZINC000800817007 626376637 /nfs/dbraw/zinc/37/66/37/626376637.db2.gz LOQBZTJJMCQURG-UHFFFAOYSA-N 0 1 316.332 3.205 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)C1SC=CC1=O ZINC000800818345 626376897 /nfs/dbraw/zinc/37/68/97/626376897.db2.gz SRSBLEFTNPYSCW-UHFFFAOYSA-N 0 1 308.334 3.216 20 30 DGEDMN C#CC[C@@H](NC(=O)c1cccc2nc(C)[nH]c21)c1ccccc1 ZINC000801931914 626426458 /nfs/dbraw/zinc/42/64/58/626426458.db2.gz QKFNRIYVLWGSEC-MRXNPFEDSA-N 0 1 303.365 3.366 20 30 DGEDMN COc1cnc2ccccc2c1C(=O)Nc1cc(C#N)ccc1O ZINC000801931968 626426711 /nfs/dbraw/zinc/42/67/11/626426711.db2.gz FEDOSCZOUXIBQL-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C[C@H](C#N)OCCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000801942104 626427513 /nfs/dbraw/zinc/42/75/13/626427513.db2.gz IIDQKCXPGPSHOO-CYBMUJFWSA-N 0 1 306.356 3.373 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1/C=C\C(=O)c1ccccc1O ZINC000802705236 626567039 /nfs/dbraw/zinc/56/70/39/626567039.db2.gz DFXOXXHYYNPYNK-KHPPLWFESA-N 0 1 319.364 3.486 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1cc(C#N)ccc1NC ZINC000803302238 626603632 /nfs/dbraw/zinc/60/36/32/626603632.db2.gz KAFKJDNEANWKAD-UHFFFAOYSA-N 0 1 302.422 3.051 20 30 DGEDMN C=C(C)C[C@H](CO)[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000883391123 626670725 /nfs/dbraw/zinc/67/07/25/626670725.db2.gz CRNXXTZQKYQLRN-CMPLNLGQSA-N 0 1 314.223 3.132 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCCCN1CC)c1ccc(Cl)cc1F ZINC000804415208 626677237 /nfs/dbraw/zinc/67/72/37/626677237.db2.gz DPKLAQBIIAKXJU-HOTGVXAUSA-N 0 1 322.811 3.144 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1cccc(-n2ccnn2)c1 ZINC000806147566 626702444 /nfs/dbraw/zinc/70/24/44/626702444.db2.gz KSCMSKMZPHWGCC-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN Cc1ccccc1-n1cc(/C=C\C(=O)c2ccccc2O)nn1 ZINC000806573680 626723129 /nfs/dbraw/zinc/72/31/29/626723129.db2.gz PNJFVCIROPPEPQ-KHPPLWFESA-N 0 1 305.337 3.177 20 30 DGEDMN COc1ccc(/C=C/C(=O)c2ccc(O)cc2O)c(C)c1OC ZINC000806573857 626723415 /nfs/dbraw/zinc/72/34/15/626723415.db2.gz UTVHFPXGUKLTES-XBXARRHUSA-N 0 1 314.337 3.320 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H](c1ccccc1)N(CC)CC)C(C)(C)C ZINC000807879788 626774550 /nfs/dbraw/zinc/77/45/50/626774550.db2.gz KKEQDFOAQKTNDI-DLBZAZTESA-N 0 1 300.446 3.234 20 30 DGEDMN C[C@H]1CC(=NNc2ccccc2S(C)(=O)=O)c2ccccc21 ZINC000807971379 626781291 /nfs/dbraw/zinc/78/12/91/626781291.db2.gz SOIPXSGNTGYICZ-LBPRGKRZSA-N 0 1 314.410 3.414 20 30 DGEDMN CC(=NNc1cc(Cl)ccn1)c1cnnn1-c1ccccc1 ZINC000807981091 626782016 /nfs/dbraw/zinc/78/20/16/626782016.db2.gz HADMREQSONUBKT-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1ccc(C(C)(C)O)cc1 ZINC000807980266 626782219 /nfs/dbraw/zinc/78/22/19/626782219.db2.gz MVUHBOSCONBLBY-UHFFFAOYSA-N 0 1 312.369 3.147 20 30 DGEDMN Cc1cc(C#N)nc(NCc2cc(Cl)cc(Cl)c2O)n1 ZINC000808090005 626792035 /nfs/dbraw/zinc/79/20/35/626792035.db2.gz OOZVFNGLWYNEPL-UHFFFAOYSA-N 0 1 309.156 3.281 20 30 DGEDMN C=CCC1(NC(=O)[C@@H](N)Cc2cc3ccccc3o2)CCCC1 ZINC000884410989 626877013 /nfs/dbraw/zinc/87/70/13/626877013.db2.gz ZLOXLGZDNLXTCN-INIZCTEOSA-N 0 1 312.413 3.308 20 30 DGEDMN CC(=[NH+][N-]c1cc(Cl)nc(C)n1)c1c(C)cc(N)cc1C ZINC000884431744 626879352 /nfs/dbraw/zinc/87/93/52/626879352.db2.gz FPBHYINBDCDSMZ-UHFFFAOYSA-N 0 1 303.797 3.474 20 30 DGEDMN C=C(C)COCCNCc1cc2cnn(C(C)C)c2nc1Cl ZINC000134500061 626879684 /nfs/dbraw/zinc/87/96/84/626879684.db2.gz PUEDYZSZSZVCBA-UHFFFAOYSA-N 0 1 322.840 3.348 20 30 DGEDMN C#Cc1ccc(C[NH+]2CCC(C(=O)c3ccc([O-])cc3)CC2)cc1 ZINC000809542211 626917829 /nfs/dbraw/zinc/91/78/29/626917829.db2.gz DBKHQVHEFNUGFV-UHFFFAOYSA-N 0 1 319.404 3.468 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(C)nc2N2CCCC2)cc1 ZINC000809636432 626930575 /nfs/dbraw/zinc/93/05/75/626930575.db2.gz BEHVOZGHTUTLHV-UHFFFAOYSA-N 0 1 305.425 3.261 20 30 DGEDMN C#C[C@@H](CC)NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000809686449 626936073 /nfs/dbraw/zinc/93/60/73/626936073.db2.gz SEQKJWCKZBGNLE-LBPRGKRZSA-N 0 1 314.341 3.365 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)cc1OCc1cccnc1)C(C)C ZINC000809685926 626936103 /nfs/dbraw/zinc/93/61/03/626936103.db2.gz ZZWZWTNXLLABLZ-IBGZPJMESA-N 0 1 324.424 3.417 20 30 DGEDMN C#C[C@@H](NCc1nc2cc(C(F)(F)F)ccc2n1C)C(C)C ZINC000809685461 626936184 /nfs/dbraw/zinc/93/61/84/626936184.db2.gz VFHFEJQNEHWONV-GFCCVEGCSA-N 0 1 309.335 3.340 20 30 DGEDMN C#Cc1ccc(C[NH2+]Cc2cc(Br)ccc2[O-])cc1 ZINC000809712851 626939902 /nfs/dbraw/zinc/93/99/02/626939902.db2.gz FWSVAWOUTZAQIA-UHFFFAOYSA-N 0 1 316.198 3.426 20 30 DGEDMN Cc1cn[nH]c1CNc1ccn(-c2ccc(Cl)cc2C#N)n1 ZINC000810151007 626981701 /nfs/dbraw/zinc/98/17/01/626981701.db2.gz AUXXDNYBQGAGRF-UHFFFAOYSA-N 0 1 312.764 3.041 20 30 DGEDMN C[C@H](OC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C)c1cccc(C#N)c1 ZINC000885261962 626995572 /nfs/dbraw/zinc/99/55/72/626995572.db2.gz YCFXUSPXYIQTEA-BWACUDIHSA-N 0 1 309.369 3.252 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)OCCCCC(C)(C)C#N ZINC000885261119 626995833 /nfs/dbraw/zinc/99/58/33/626995833.db2.gz SXPKPKCUZQBISH-SWLSCSKDSA-N 0 1 303.406 3.339 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)[C@H]3CCN3C3CCCC3)cc21 ZINC000885572515 627027234 /nfs/dbraw/zinc/02/72/34/627027234.db2.gz KAMTWSMCWULWCM-QGZVFWFLSA-N 0 1 324.428 3.178 20 30 DGEDMN C[C@@H]1c2c(F)cccc2CCN1C[C@H](O)CC1(C#N)CCC1 ZINC000886016987 627084973 /nfs/dbraw/zinc/08/49/73/627084973.db2.gz PVWAKBNKFBWQDP-UKRRQHHQSA-N 0 1 302.393 3.190 20 30 DGEDMN C#CCN(C/C=C/Cl)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC000886427712 627147855 /nfs/dbraw/zinc/14/78/55/627147855.db2.gz URBVPCJGSDEXQO-RMKNXTFCSA-N 0 1 312.841 3.074 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3CSC[C@@H]3C2)c(Br)c1 ZINC000886568766 627165281 /nfs/dbraw/zinc/16/52/81/627165281.db2.gz VQPCDICLIPSLET-BETUJISGSA-N 0 1 323.259 3.116 20 30 DGEDMN CSc1ccc(CN[C@@H]2CCCc3nn(C)cc32)cc1C#N ZINC000886690354 627190905 /nfs/dbraw/zinc/19/09/05/627190905.db2.gz UTXSJJWZMBEEMN-OAHLLOKOSA-N 0 1 312.442 3.181 20 30 DGEDMN Cc1cc(CN2CCC(C(=O)OC(C)C)CC2)ccc1C#N ZINC000886730569 627198240 /nfs/dbraw/zinc/19/82/40/627198240.db2.gz HAIXGKQKOGNWRO-UHFFFAOYSA-N 0 1 300.402 3.030 20 30 DGEDMN N#Cc1ccc(OCCNCc2ccnc(Cl)c2Cl)cc1 ZINC000811481778 627279695 /nfs/dbraw/zinc/27/96/95/627279695.db2.gz MGNYCOHCTOKFPX-UHFFFAOYSA-N 0 1 322.195 3.429 20 30 DGEDMN Cn1c2ccccc2[nH]c1=NN=C1CCc2ccc(C#N)cc21 ZINC000811638285 627297137 /nfs/dbraw/zinc/29/71/37/627297137.db2.gz VVMXBVSKPROEIJ-UHFFFAOYSA-N 0 1 301.353 3.207 20 30 DGEDMN Cc1cc(NN=Cc2ccc(C#N)cc2C(F)(F)F)ncn1 ZINC000811642249 627297786 /nfs/dbraw/zinc/29/77/86/627297786.db2.gz JYFKADKMMGPGKJ-UHFFFAOYSA-N 0 1 305.263 3.122 20 30 DGEDMN C[C@H]1C(N=Nc2ncncc2Cl)CCN1Cc1ccccc1 ZINC000811647974 627299589 /nfs/dbraw/zinc/29/95/89/627299589.db2.gz BMSSPVMOENPNOF-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@H](COc1ccccc1)NN=Cc1cc(F)c(O)c(F)c1 ZINC000811666505 627303669 /nfs/dbraw/zinc/30/36/69/627303669.db2.gz FRCZMOWUNBSCSH-LLVKDONJSA-N 0 1 306.312 3.061 20 30 DGEDMN CCCc1cc(C(=O)N2CCC(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000887706192 627309760 /nfs/dbraw/zinc/30/97/60/627309760.db2.gz PUXLKLSLTAFXCX-UHFFFAOYSA-N 0 1 322.412 3.254 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)N[C@H](CC)c1ccccc1 ZINC000813091048 627447846 /nfs/dbraw/zinc/44/78/46/627447846.db2.gz TVTSZWZLRNTFQF-QBPKDAKJSA-N 0 1 312.413 3.399 20 30 DGEDMN N#C[C@@H](C(=O)CCOCC1CC1)c1cc(C(F)(F)F)ccn1 ZINC000813213578 627467635 /nfs/dbraw/zinc/46/76/35/627467635.db2.gz NVESWBBASXHZMD-GFCCVEGCSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1cc(C(F)(F)F)ccn1 ZINC000813216409 627468130 /nfs/dbraw/zinc/46/81/30/627468130.db2.gz QYOSBRPGXFKALS-VSQXVHSFSA-N 0 1 305.259 3.318 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813218190 627468726 /nfs/dbraw/zinc/46/87/26/627468726.db2.gz SUTNIJABHCBQHQ-SCZZXKLOSA-N 0 1 300.305 3.030 20 30 DGEDMN N#CC(C(=O)CC[C@H]1CCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813216974 627468875 /nfs/dbraw/zinc/46/88/75/627468875.db2.gz ACLJYCJUJDEWJN-NEPJUHHUSA-N 0 1 312.291 3.236 20 30 DGEDMN N#CC1(C(=O)NCc2cc(Cl)cc(Cl)c2O)CCCC1 ZINC000813682290 627507135 /nfs/dbraw/zinc/50/71/35/627507135.db2.gz AWUVINPXYMBKNO-UHFFFAOYSA-N 0 1 313.184 3.399 20 30 DGEDMN Cc1cc([N-][NH+]=Cc2cn(C)[nH]c2=O)nc2ccc(Cl)cc12 ZINC000814216498 627551744 /nfs/dbraw/zinc/55/17/44/627551744.db2.gz OKVJUTQVRKKKHT-UHFFFAOYSA-N 0 1 315.764 3.082 20 30 DGEDMN Cc1cc(NN=Cc2cn(C)[nH]c2=O)nc2ccc(Cl)cc12 ZINC000814216498 627551746 /nfs/dbraw/zinc/55/17/46/627551746.db2.gz OKVJUTQVRKKKHT-UHFFFAOYSA-N 0 1 315.764 3.082 20 30 DGEDMN C(=NNc1nc2ccccc2s1)c1ccccc1-n1cncn1 ZINC000814685575 627583461 /nfs/dbraw/zinc/58/34/61/627583461.db2.gz SIUBQHGBJYYPQR-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN CC(N=Nc1ccc([N+](=O)[O-])cc1)c1cccc(-n2cnnc2)c1 ZINC000814683668 627583470 /nfs/dbraw/zinc/58/34/70/627583470.db2.gz KXARYNBRINNBDP-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN CC(C)c1cnc(C=Nn2c(=S)[nH]nc2C(F)(F)F)s1 ZINC000814723440 627586509 /nfs/dbraw/zinc/58/65/09/627586509.db2.gz FTBKFASPBCNULW-UHFFFAOYSA-N 0 1 321.353 3.048 20 30 DGEDMN Clc1cc(Cl)c(NN=Cc2cncnc2)nc1Cl ZINC000814741102 627587964 /nfs/dbraw/zinc/58/79/64/627587964.db2.gz MDWVAAOYRUVLDJ-UHFFFAOYSA-N 0 1 302.552 3.278 20 30 DGEDMN Cn1ncc(CN=Nc2ccc(Cl)cc2[N+](=O)[O-])c1Cl ZINC000814809510 627593820 /nfs/dbraw/zinc/59/38/20/627593820.db2.gz DMUUNWGXRVKHDX-UHFFFAOYSA-N 0 1 314.132 3.081 20 30 DGEDMN CC(C)c1nc(C#N)c(NNC2=C[C@H](C(F)(F)F)OCC2)o1 ZINC000814820931 627595209 /nfs/dbraw/zinc/59/52/09/627595209.db2.gz RDAYZXTUYRCIFF-SNVBAGLBSA-N 0 1 316.283 3.179 20 30 DGEDMN Clc1nccnc1N=NCc1conc1Cc1ccccc1 ZINC000814850263 627598510 /nfs/dbraw/zinc/59/85/10/627598510.db2.gz GNRRYQQMWLQTBZ-UHFFFAOYSA-N 0 1 313.748 3.155 20 30 DGEDMN COc1ccc2nc(N=NC(C)c3c[nH]nc3C)c(C)cc2c1 ZINC000814899201 627604863 /nfs/dbraw/zinc/60/48/63/627604863.db2.gz KTOZRPXRIZUYIM-UHFFFAOYSA-N 0 1 309.373 3.419 20 30 DGEDMN COc1ccc2[nH]c(=NN=C(C)c3c[nH]nc3C)c(C)cc2c1 ZINC000814899201 627604865 /nfs/dbraw/zinc/60/48/65/627604865.db2.gz KTOZRPXRIZUYIM-UHFFFAOYSA-N 0 1 309.373 3.419 20 30 DGEDMN COC(=O)c1ccc(CN=Nc2ccc(F)c(F)c2F)cc1 ZINC000814946568 627612726 /nfs/dbraw/zinc/61/27/26/627612726.db2.gz RPMSURYPEGCWAV-UHFFFAOYSA-N 0 1 308.259 3.337 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2ccc(CN(C)C)c(F)c2)cc1 ZINC000815752754 627702282 /nfs/dbraw/zinc/70/22/82/627702282.db2.gz FOFJLWWDSIBCHF-UHFFFAOYSA-N 0 1 324.399 3.440 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1CC2CCC1CC2 ZINC000815904595 627711196 /nfs/dbraw/zinc/71/11/96/627711196.db2.gz KTKXCUYYWZVOSJ-QDIHITRGSA-N 0 1 304.434 3.218 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC(C)(C)C2CC2)c1 ZINC000815907032 627711352 /nfs/dbraw/zinc/71/13/52/627711352.db2.gz PXZGDUZRHSWCEV-HNNXBMFYSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000815907628 627711453 /nfs/dbraw/zinc/71/14/53/627711453.db2.gz GHQFJTWAIGKDBZ-GRYCIOLGSA-N 0 1 302.761 3.033 20 30 DGEDMN N#CC(C(=O)CC1(C#N)CC1)c1nc2ccccc2n1C(F)F ZINC000815913029 627712177 /nfs/dbraw/zinc/71/21/77/627712177.db2.gz GFJIIIDJVKGEEY-JTQLQIEISA-N 0 1 314.295 3.302 20 30 DGEDMN C=CCC(F)(F)C(=O)O[C@H]1CCCN(Cc2ccccc2)C1 ZINC000816012659 627725260 /nfs/dbraw/zinc/72/52/60/627725260.db2.gz UGDSWWZLOTUXKF-HNNXBMFYSA-N 0 1 309.356 3.406 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F)c1ccccc1 ZINC000816039313 627729465 /nfs/dbraw/zinc/72/94/65/627729465.db2.gz TUMGGDUMTULTDW-XBFCOCLRSA-N 0 1 322.355 3.254 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3ccc(C#N)c(Cl)n3)[nH]c2c1 ZINC000817018341 627846765 /nfs/dbraw/zinc/84/67/65/627846765.db2.gz ZATGAUMWMYDXRX-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN C=CCC(F)(F)C(=O)NC(C)(C)c1cc(C(F)(F)F)on1 ZINC000817041937 627848192 /nfs/dbraw/zinc/84/81/92/627848192.db2.gz OLPVIESSNJAEOM-UHFFFAOYSA-N 0 1 312.238 3.256 20 30 DGEDMN C#CCN1CCC[C@@H](N[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000926868098 627966370 /nfs/dbraw/zinc/96/63/70/627966370.db2.gz MRFSXXSQHQKKMH-CTNGQTDRSA-N 0 1 319.452 3.167 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cncn2C(C)C)c1 ZINC000818188095 628001785 /nfs/dbraw/zinc/00/17/85/628001785.db2.gz UHBSOHATQGNGJH-QGZVFWFLSA-N 0 1 312.417 3.435 20 30 DGEDMN N#CCC[C@H](C#N)CNCCSCc1ccnc(Cl)c1 ZINC000819202037 628112081 /nfs/dbraw/zinc/11/20/81/628112081.db2.gz ASHOUQAZFWVKTD-CYBMUJFWSA-N 0 1 308.838 3.001 20 30 DGEDMN C#CCN1CCC(NC(=O)c2oc3c(c2C)c(C)ccc3C)CC1 ZINC000928657682 628172837 /nfs/dbraw/zinc/17/28/37/628172837.db2.gz TWUKSXJLCYBSFY-UHFFFAOYSA-N 0 1 324.424 3.185 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](c2ccccc2)[C@@H](C)CC)CC1 ZINC000928655034 628172871 /nfs/dbraw/zinc/17/28/71/628172871.db2.gz IUNGIXDFUGMOHT-LPHOPBHVSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@H](c2ccccc2)C(C)C)CC1 ZINC000928658671 628173204 /nfs/dbraw/zinc/17/32/04/628173204.db2.gz XXWCQKTYIOAXBM-IBGZPJMESA-N 0 1 312.457 3.030 20 30 DGEDMN O=C(NCCC(F)(F)F)C(F)(F)c1cc(F)cc(F)c1 ZINC000820401474 628253127 /nfs/dbraw/zinc/25/31/27/628253127.db2.gz AYXNXLORORKBLP-UHFFFAOYSA-N 0 1 303.177 3.125 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1C2CCC(CC2)[C@@H]1C(F)(F)F)c1ccncn1 ZINC000820622554 628279278 /nfs/dbraw/zinc/27/92/78/628279278.db2.gz QVFWXCFENUDTFY-KIRDJQLVSA-N 0 1 323.318 3.268 20 30 DGEDMN COC(=O)c1coc(/C=C/C(=O)c2cccc(CN(C)C)c2)c1 ZINC000821017969 628327018 /nfs/dbraw/zinc/32/70/18/628327018.db2.gz UPTUSKCVFRPHQP-BQYQJAHWSA-N 0 1 313.353 3.024 20 30 DGEDMN CCN(C[C@@H](C)C#N)C[C@H](CNC(=O)OC(C)(C)C)C(C)C ZINC000823409032 628557858 /nfs/dbraw/zinc/55/78/58/628557858.db2.gz TZMGGHSPCYJEHJ-GJZGRUSLSA-N 0 1 311.470 3.265 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC[C@H](C)[C@@H]2C)o1 ZINC000824352756 628654199 /nfs/dbraw/zinc/65/41/99/628654199.db2.gz LTFTVXJBDYZHTI-UWVGGRQHSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCC[C@H](NCc1c(O)ccc(Cl)c1F)C(=O)OCC ZINC000825117411 628729991 /nfs/dbraw/zinc/72/99/91/628729991.db2.gz CKXZFOZKSQIIEG-LBPRGKRZSA-N 0 1 315.772 3.172 20 30 DGEDMN CCCC(CC)N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC000825231868 628740434 /nfs/dbraw/zinc/74/04/34/628740434.db2.gz BMPSBINUODHWPT-UHFFFAOYSA-N 0 1 305.386 3.031 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2Cc3ccccc3C[C@H]2C(=O)[O-])cc1 ZINC000825699370 628786799 /nfs/dbraw/zinc/78/67/99/628786799.db2.gz YEXSWHHYXLLEOQ-IBGZPJMESA-N 0 1 323.392 3.263 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](Cc2cccc(Br)c2[O-])C1 ZINC000826861855 628896417 /nfs/dbraw/zinc/89/64/17/628896417.db2.gz LQKGZZBPYKGKFU-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN C#C[C@H](NCc1sccc1Br)C1CCOCC1 ZINC000827559374 628970690 /nfs/dbraw/zinc/97/06/90/628970690.db2.gz DXLPLOYYZVQHHW-LBPRGKRZSA-N 0 1 314.248 3.029 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1cc(F)cc(C(F)(F)F)c1 ZINC000827823823 628994451 /nfs/dbraw/zinc/99/44/51/628994451.db2.gz VHGFYEWJPLVBII-UHFFFAOYSA-N 0 1 303.299 3.316 20 30 DGEDMN C#CCCN(CCOC)Cc1cc(F)cc(C(F)(F)F)c1 ZINC000827823823 628994455 /nfs/dbraw/zinc/99/44/55/628994455.db2.gz VHGFYEWJPLVBII-UHFFFAOYSA-N 0 1 303.299 3.316 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)[C@H]1c1ccccc1 ZINC000828411116 629051847 /nfs/dbraw/zinc/05/18/47/629051847.db2.gz DPYRCAWFWRWXTM-FSPWUOQZSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)[C@H]1c1ccccc1 ZINC000828411116 629051849 /nfs/dbraw/zinc/05/18/49/629051849.db2.gz DPYRCAWFWRWXTM-FSPWUOQZSA-N 0 1 306.365 3.377 20 30 DGEDMN CC/C(C)=C/C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000828686916 629082307 /nfs/dbraw/zinc/08/23/07/629082307.db2.gz BEPBNEREEDDOPZ-DTQAZKPQSA-N 0 1 311.429 3.017 20 30 DGEDMN CC[N@H+](CCC#N)[C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000829692237 629182954 /nfs/dbraw/zinc/18/29/54/629182954.db2.gz CTSVMBDTKGJSNL-GFCCVEGCSA-N 0 1 301.173 3.355 20 30 DGEDMN CC[N@@H+](CCC#N)[C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000829692237 629182956 /nfs/dbraw/zinc/18/29/56/629182956.db2.gz CTSVMBDTKGJSNL-GFCCVEGCSA-N 0 1 301.173 3.355 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000829774388 629190927 /nfs/dbraw/zinc/19/09/27/629190927.db2.gz PUGIPBWOYQHFCH-HUUCEWRRSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000829774681 629190999 /nfs/dbraw/zinc/19/09/99/629190999.db2.gz RYFIKEHVBRPWHU-LSDHHAIUSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000829785834 629192126 /nfs/dbraw/zinc/19/21/26/629192126.db2.gz ZHCZZHBGBDMABB-CQSZACIVSA-N 0 1 302.805 3.478 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC000829798620 629194251 /nfs/dbraw/zinc/19/42/51/629194251.db2.gz BEJCGDZPAQMENJ-CYBMUJFWSA-N 0 1 301.821 3.462 20 30 DGEDMN CCOc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2cccc(C#N)c2)cc1 ZINC000830075455 629222292 /nfs/dbraw/zinc/22/22/92/629222292.db2.gz WAQLKRDGVJSGQN-GOSISDBHSA-N 0 1 324.380 3.215 20 30 DGEDMN CCOc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)cc1 ZINC000830075456 629222327 /nfs/dbraw/zinc/22/23/27/629222327.db2.gz WAQLKRDGVJSGQN-SFHVURJKSA-N 0 1 324.380 3.215 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)N[C@H](C)c2cc3ccccc3o2)CC1 ZINC000830326127 629253288 /nfs/dbraw/zinc/25/32/88/629253288.db2.gz CPBBZTDRVYUCAD-HUUCEWRRSA-N 0 1 324.424 3.344 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)CC1 ZINC000830338011 629255144 /nfs/dbraw/zinc/25/51/44/629255144.db2.gz NUSMFEVGUPNUJL-ZDUSSCGKSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC1CCN(CC(=O)N(C)[C@H](C)c2cc3ccccc3o2)CC1 ZINC000830343515 629256158 /nfs/dbraw/zinc/25/61/58/629256158.db2.gz XZKVMDIZHAAKPX-OAHLLOKOSA-N 0 1 324.424 3.297 20 30 DGEDMN C#CC1CCN(Cc2nc3cc(Br)ccc3o2)CC1 ZINC000830350997 629256956 /nfs/dbraw/zinc/25/69/56/629256956.db2.gz LAEHXYUNBWDICD-UHFFFAOYSA-N 0 1 319.202 3.436 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000830906402 629319067 /nfs/dbraw/zinc/31/90/67/629319067.db2.gz LCOQJTKYHYBKEG-CABCVRRESA-N 0 1 321.469 3.292 20 30 DGEDMN C[C@@H](C#N)OCCN1CC[C@H](c2cccc(Br)c2)C1 ZINC000831597589 629395570 /nfs/dbraw/zinc/39/55/70/629395570.db2.gz MECBPZZHGWDALT-JSGCOSHPSA-N 0 1 323.234 3.167 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC000831679142 629403757 /nfs/dbraw/zinc/40/37/57/629403757.db2.gz QWSGURGITMFHLR-MOPGFXCFSA-N 0 1 322.408 3.225 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)C3(C#N)CC(C)C3)C2)n[nH]1 ZINC000831905037 629426497 /nfs/dbraw/zinc/42/64/97/629426497.db2.gz OXMHEYFTRPYREC-TXKKKEFDSA-N 0 1 314.433 3.179 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000832721153 629506951 /nfs/dbraw/zinc/50/69/51/629506951.db2.gz BJYWYYTVPWHBLI-UHFFFAOYSA-N 0 1 317.505 3.028 20 30 DGEDMN N#CC1CC[NH+]([C@H](C(=O)[O-])c2ccc(Cl)cc2Cl)CC1 ZINC000833061592 629553456 /nfs/dbraw/zinc/55/34/56/629553456.db2.gz DUPAEASBBQTNHA-ZDUSSCGKSA-N 0 1 313.184 3.355 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1occc1C(=O)[O-] ZINC000833064775 629554399 /nfs/dbraw/zinc/55/43/99/629554399.db2.gz NKWPCDKHJRCPCG-CVEARBPZSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1occc1C(=O)[O-] ZINC000833064775 629554401 /nfs/dbraw/zinc/55/44/01/629554401.db2.gz NKWPCDKHJRCPCG-CVEARBPZSA-N 0 1 310.353 3.250 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC000833075125 629555292 /nfs/dbraw/zinc/55/52/92/629555292.db2.gz LZGJNQAXNHJJSB-IBGZPJMESA-N 0 1 324.355 3.224 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3)C2)c1 ZINC000833073806 629555468 /nfs/dbraw/zinc/55/54/68/629555468.db2.gz IKDLXXHTGCRAPH-ZWKOTPCHSA-N 0 1 306.365 3.173 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3)C2)c1 ZINC000833073795 629555562 /nfs/dbraw/zinc/55/55/62/629555562.db2.gz IKDLXXHTGCRAPH-MSOLQXFVSA-N 0 1 306.365 3.173 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000833547201 629619578 /nfs/dbraw/zinc/61/95/78/629619578.db2.gz RGBKLKGBUGXIPM-ZDUSSCGKSA-N 0 1 306.365 3.376 20 30 DGEDMN CC1(C)C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)Cc2ccccc21 ZINC000833560945 629621140 /nfs/dbraw/zinc/62/11/40/629621140.db2.gz ZFPOTZRMSZXFLS-SFHVURJKSA-N 0 1 320.392 3.477 20 30 DGEDMN N#C[C@@H](C(=O)CC1CC(F)(F)C1)c1cnc2ccccc2n1 ZINC000835348087 629912055 /nfs/dbraw/zinc/91/20/55/629912055.db2.gz JSACTZDIBQCCNR-LLVKDONJSA-N 0 1 301.296 3.241 20 30 DGEDMN C[C@@H](CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)C1CCCCC1 ZINC000102122251 629951360 /nfs/dbraw/zinc/95/13/60/629951360.db2.gz RGFSCLWVPLPYOQ-XJKSGUPXSA-N 0 1 304.434 3.361 20 30 DGEDMN CC(C)(C)c1ccc(-c2n[nH]c(NC(=O)C#CC3CC3)n2)cc1 ZINC000837373405 630249395 /nfs/dbraw/zinc/24/93/95/630249395.db2.gz WWFFHXKILJIABT-UHFFFAOYSA-N 0 1 308.385 3.121 20 30 DGEDMN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841003330 630394538 /nfs/dbraw/zinc/39/45/38/630394538.db2.gz SDVJIWYLFKQERX-CJNGLKHVSA-N 0 1 314.433 3.166 20 30 DGEDMN CC(C)c1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c(C(C)C)c1 ZINC000841032284 630399042 /nfs/dbraw/zinc/39/90/42/630399042.db2.gz BZTGTANUCIPWMR-PBHICJAKSA-N 0 1 314.433 3.166 20 30 DGEDMN Cc1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)ccc1C(F)(F)F ZINC000841634043 630449969 /nfs/dbraw/zinc/44/99/69/630449969.db2.gz UWKFEJKYSSJCCS-KBPBESRZSA-N 0 1 324.350 3.208 20 30 DGEDMN C[C@@H](O)c1ncc(CN[C@@H]2CCCc3cc(C#N)ccc32)s1 ZINC000841894070 630473929 /nfs/dbraw/zinc/47/39/29/630473929.db2.gz MLXNLYHIVOIQQN-BDJLRTHQSA-N 0 1 313.426 3.235 20 30 DGEDMN C[C@H](O)c1ncc(CN[C@@H]2CCCc3cc(C#N)ccc32)s1 ZINC000841894072 630474289 /nfs/dbraw/zinc/47/42/89/630474289.db2.gz MLXNLYHIVOIQQN-MEDUHNTESA-N 0 1 313.426 3.235 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCCC2(C#N)CCCCC2)cc1 ZINC000842023540 630479689 /nfs/dbraw/zinc/47/96/89/630479689.db2.gz WYYYKXNVDFFJJN-UHFFFAOYSA-N 0 1 314.433 3.254 20 30 DGEDMN C[C@H](ON=C(N)CN1[C@@H](C)CCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000842048303 630482713 /nfs/dbraw/zinc/48/27/13/630482713.db2.gz CRFLOMAHOJZCMY-KKUMJFAQSA-N 0 1 314.433 3.381 20 30 DGEDMN CCCCCCO[C@H](C)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000842290257 630511886 /nfs/dbraw/zinc/51/18/86/630511886.db2.gz WDQOANCEUHIJGN-GDBMZVCRSA-N 0 1 322.449 3.130 20 30 DGEDMN CC[C@H](CC1CCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000842293387 630511949 /nfs/dbraw/zinc/51/19/49/630511949.db2.gz JTADXNTVUZTMOY-CABCVRRESA-N 0 1 313.401 3.335 20 30 DGEDMN N#CC(C(=O)[C@@H]1COCC[C@@H]1c1ccccc1)c1ccccn1 ZINC000842289833 630512006 /nfs/dbraw/zinc/51/20/06/630512006.db2.gz UGLFVZOWTNKGPX-BRWVUGGUSA-N 0 1 306.365 3.078 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](CN2C[C@@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628361 630554982 /nfs/dbraw/zinc/55/49/82/630554982.db2.gz BIMYSHQTJLQDSF-MJGOQNOKSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628361 630554988 /nfs/dbraw/zinc/55/49/88/630554988.db2.gz BIMYSHQTJLQDSF-MJGOQNOKSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C(=O)C3(CCC3)[C@H]2c2ccccc2)C1 ZINC000842628808 630556381 /nfs/dbraw/zinc/55/63/81/630556381.db2.gz GVAUHIBOHVJKOG-IEBWSBKVSA-N 0 1 322.452 3.433 20 30 DGEDMN C=CCc1ccc(OCc2nc([C@@H](N)C(C)C)no2)c(OC)c1 ZINC000843151037 630620992 /nfs/dbraw/zinc/62/09/92/630620992.db2.gz MDKSJUPDHLVKOZ-INIZCTEOSA-N 0 1 317.389 3.042 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2cc(-c3ccc(C)cc3)[nH]n2)C1 ZINC000844003116 630706321 /nfs/dbraw/zinc/70/63/21/630706321.db2.gz MDQGMHREJUCTOE-OAHLLOKOSA-N 0 1 307.397 3.261 20 30 DGEDMN C[C@@H](c1nc2ccccc2o1)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000844366817 630721510 /nfs/dbraw/zinc/72/15/10/630721510.db2.gz OSWGYYIPLRBCSE-GUYCJALGSA-N 0 1 321.380 3.426 20 30 DGEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C[C@@]1(C)CC1(Cl)Cl ZINC000844370479 630722075 /nfs/dbraw/zinc/72/20/75/630722075.db2.gz HIDOUCJLICKWNW-ZIAGYGMSSA-N 0 1 313.228 3.107 20 30 DGEDMN N#Cc1c(=O)[nH]cc2c1CCC(=Cc1cccc3c1CCC3)C2=O ZINC000844554928 630738833 /nfs/dbraw/zinc/73/88/33/630738833.db2.gz WZLCGDNZLMKYCB-NTEUORMPSA-N 0 1 316.360 3.360 20 30 DGEDMN C#CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC000844714706 630801339 /nfs/dbraw/zinc/80/13/39/630801339.db2.gz WODSKZCDLNDTMS-KSZLIROESA-N 0 1 312.457 3.300 20 30 DGEDMN C#CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC000844714705 630801460 /nfs/dbraw/zinc/80/14/60/630801460.db2.gz WODSKZCDLNDTMS-BZSNNMDCSA-N 0 1 312.457 3.300 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000105756530 631057328 /nfs/dbraw/zinc/05/73/28/631057328.db2.gz XRCOXZUBHNRNHH-CVEARBPZSA-N 0 1 300.402 3.084 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845440619 631175024 /nfs/dbraw/zinc/17/50/24/631175024.db2.gz IFXKUJGZSFFHRX-RRFJBIMHSA-N 0 1 316.788 3.424 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)c1cnc2ccccc2n1 ZINC000845530363 631180781 /nfs/dbraw/zinc/18/07/81/631180781.db2.gz GESMLXHJUCFKPH-LXTVHRRPSA-N 0 1 303.365 3.408 20 30 DGEDMN N#CC(C(=O)C1CCSCC1)=C(O)C1(c2ccccc2)CC1 ZINC000845838708 631198326 /nfs/dbraw/zinc/19/83/26/631198326.db2.gz OSURLWNEARVVJR-HNNXBMFYSA-N 0 1 313.422 3.139 20 30 DGEDMN N#CC(C(=O)CCc1ccoc1)=C(O)C1(c2ccccc2)CC1 ZINC000845840444 631198562 /nfs/dbraw/zinc/19/85/62/631198562.db2.gz BORWKPLMZFGBFK-INIZCTEOSA-N 0 1 307.349 3.222 20 30 DGEDMN CS[C@H](C)CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842858 631198606 /nfs/dbraw/zinc/19/86/06/631198606.db2.gz WLQSALBJFRYSSF-TZMCWYRMSA-N 0 1 301.411 3.138 20 30 DGEDMN CC(C)SCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845843849 631198831 /nfs/dbraw/zinc/19/88/31/631198831.db2.gz ZSLSNYYCVCOYLS-CQSZACIVSA-N 0 1 301.411 3.138 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)=C(O)C1(c2ccccc2)CC1 ZINC000845843666 631198893 /nfs/dbraw/zinc/19/88/93/631198893.db2.gz LYQBZJGDEMZZBU-KCYZZUKISA-N 0 1 307.393 3.432 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C1CCC1)=C(O)C1(c2ccccc2)CC1 ZINC000845843086 631198921 /nfs/dbraw/zinc/19/89/21/631198921.db2.gz JNVPAOLKDCAEAW-BBWFWOEESA-N 0 1 307.393 3.432 20 30 DGEDMN C=CCOc1ccccc1CN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000846005788 631205287 /nfs/dbraw/zinc/20/52/87/631205287.db2.gz HHMBLCBTMRHWSO-LJQANCHMSA-N 0 1 322.408 3.288 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N2CCC[C@H]2c2cccs2)C1 ZINC000847031401 631385826 /nfs/dbraw/zinc/38/58/26/631385826.db2.gz QAWXXUNOVCXTJP-OAGGEKHMSA-N 0 1 316.470 3.145 20 30 DGEDMN C#CCN(C(=O)c1cccc(C(F)(F)F)c1O)C1CCCC1 ZINC000847566066 631490304 /nfs/dbraw/zinc/49/03/04/631490304.db2.gz SLPRHELELBJZHA-UHFFFAOYSA-N 0 1 311.303 3.429 20 30 DGEDMN C=CCOc1ccc(CC(=O)OCc2cc(C3CC3)[nH]n2)cc1 ZINC000848010760 631595317 /nfs/dbraw/zinc/59/53/17/631595317.db2.gz UQFYXNVLFYASFY-UHFFFAOYSA-N 0 1 312.369 3.138 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2cccc(C(F)(F)F)c2O)C1 ZINC000848237362 631631897 /nfs/dbraw/zinc/63/18/97/631631897.db2.gz ZRTUIKGOROIBJO-NSHDSACASA-N 0 1 311.303 3.287 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cc(-c3ccc(C)cc3C)[nH]n2)C1 ZINC000848279651 631638534 /nfs/dbraw/zinc/63/85/34/631638534.db2.gz WGWKDLHDMUGDPK-HNNXBMFYSA-N 0 1 307.397 3.179 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2cncnc2C)c1 ZINC000848533252 631682127 /nfs/dbraw/zinc/68/21/27/631682127.db2.gz FVPFTWHZOPWXPT-XJKSGUPXSA-N 0 1 310.401 3.317 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC000849344516 631897475 /nfs/dbraw/zinc/89/74/75/631897475.db2.gz NXMZAQSBADJBQO-ABAIWWIYSA-N 0 1 309.768 3.340 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2scnc2C)cc1)[C@@H]1CCCO1 ZINC000850251126 632093993 /nfs/dbraw/zinc/09/39/93/632093993.db2.gz MMDAQFPLDDIPHN-IRXDYDNUSA-N 0 1 312.438 3.389 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850372570 632130239 /nfs/dbraw/zinc/13/02/39/632130239.db2.gz WIRUYXJDIBAPHQ-ZNOIYHFQSA-N 0 1 308.381 3.245 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H]2CCCn3nccc32)c1 ZINC000850441755 632154359 /nfs/dbraw/zinc/15/43/59/632154359.db2.gz BRUBZDIGWHWHKN-NVXWUHKLSA-N 0 1 310.401 3.189 20 30 DGEDMN C=CCOCCCN[C@H](C)c1nc(Br)cs1 ZINC000850532330 632183129 /nfs/dbraw/zinc/18/31/29/632183129.db2.gz SQLWTRVFNKFBCL-SECBINFHSA-N 0 1 305.241 3.149 20 30 DGEDMN N#CC(C(=O)CC1(C#N)CCCCC1)C(=O)NC1CCCCC1 ZINC000850757738 632217148 /nfs/dbraw/zinc/21/71/48/632217148.db2.gz PWQXGAUWTLDYEO-HNNXBMFYSA-N 0 1 315.417 3.008 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000850760495 632217713 /nfs/dbraw/zinc/21/77/13/632217713.db2.gz SOVZENJBYUAHPC-NDBYEHHHSA-N 0 1 316.788 3.424 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(Br)cc1 ZINC000852100363 632553841 /nfs/dbraw/zinc/55/38/41/632553841.db2.gz HFMUVRLJTYHZSR-XHDPSFHLSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000852099776 632554658 /nfs/dbraw/zinc/55/46/58/632554658.db2.gz ASTVBISSWQRQFA-KXBFYZLASA-N 0 1 313.445 3.005 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000852101889 632555120 /nfs/dbraw/zinc/55/51/20/632555120.db2.gz UCPWTRKHGPXEBR-LJQANCHMSA-N 0 1 313.445 3.007 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1nc2ccccc2s1 ZINC000852102076 632555354 /nfs/dbraw/zinc/55/53/54/632555354.db2.gz VIYKMGGAOIIPIJ-MEDUHNTESA-N 0 1 301.415 3.015 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccc(Br)cc1C ZINC000852101613 632555392 /nfs/dbraw/zinc/55/53/92/632555392.db2.gz SPSOTSIRBLQXLH-HNNXBMFYSA-N 0 1 323.234 3.088 20 30 DGEDMN C=CCN(C[C@@H](O)c1ccc(F)cc1Cl)[C@H](C)COC ZINC000852357278 632594464 /nfs/dbraw/zinc/59/44/64/632594464.db2.gz ZVHNGYDIMZCMDG-IAQYHMDHSA-N 0 1 301.789 3.035 20 30 DGEDMN CCCCC[C@@H](C)NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000852354698 632594630 /nfs/dbraw/zinc/59/46/30/632594630.db2.gz SIDVHSGFJWRQME-OAHLLOKOSA-N 0 1 315.461 3.468 20 30 DGEDMN C=CCN(Cc1cc(Cl)ccc1OCC#N)[C@@H](C)COC ZINC000852358310 632594837 /nfs/dbraw/zinc/59/48/37/632594837.db2.gz PRPWCZSFVRCIHQ-ZDUSSCGKSA-N 0 1 308.809 3.265 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001032149025 660208036 /nfs/dbraw/zinc/20/80/36/660208036.db2.gz OLRFUNGRWGGPJB-UHFFFAOYSA-N 0 1 313.228 3.231 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@@H](C)C1 ZINC000944193320 660215082 /nfs/dbraw/zinc/21/50/82/660215082.db2.gz UZTYHHJRGNFOPX-OXJNMPFZSA-N 0 1 322.452 3.110 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1(C)C ZINC000995615835 660231398 /nfs/dbraw/zinc/23/13/98/660231398.db2.gz USDSZGJCUKKKGB-BARDWOONSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001032235161 660241722 /nfs/dbraw/zinc/24/17/22/660241722.db2.gz YTYVEQXSUWXCLW-UHFFFAOYSA-N 0 1 310.441 3.492 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3c(cccc3C)c2C)[C@@H](C)C1 ZINC000945182791 660242979 /nfs/dbraw/zinc/24/29/79/660242979.db2.gz QHDGTDQCIYGXOJ-WMLDXEAASA-N 0 1 324.424 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]2(C)CC=CCC2)CC1(C)C ZINC000995845501 660271614 /nfs/dbraw/zinc/27/16/14/660271614.db2.gz CELLFOPNMODACN-RHSMWYFYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2cccc(C)c2)CC1(C)C ZINC000996238377 660278574 /nfs/dbraw/zinc/27/85/74/660278574.db2.gz LDHYINIDXCZVIX-MRXNPFEDSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CCC(C)(C)C2)CC1(C)C ZINC000996250821 660278598 /nfs/dbraw/zinc/27/85/98/660278598.db2.gz YYGRLUREXNVHPW-KBPBESRZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@H]2CC=CCC2)CC1(C)C ZINC000996126143 660275767 /nfs/dbraw/zinc/27/57/67/660275767.db2.gz WZUAFLOJQBEVPS-GJZGRUSLSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](C)Cc2ccco2)CC1(C)C ZINC000996400815 660282034 /nfs/dbraw/zinc/28/20/34/660282034.db2.gz WYVLVYDTWYZXTR-DOMZBBRYSA-N 0 1 324.852 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2CCC)CC1(C)C ZINC000996521831 660284756 /nfs/dbraw/zinc/28/47/56/660284756.db2.gz WPWZYPPOWBJGEJ-OAHLLOKOSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](C)c2ccco2)CC1(C)C ZINC000996520165 660284836 /nfs/dbraw/zinc/28/48/36/660284836.db2.gz RPAPDLHGBXESLY-IUODEOHRSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(C)c2C)CC1(C)C ZINC000996573660 660286890 /nfs/dbraw/zinc/28/68/90/660286890.db2.gz LNRDVBRFVFWHMY-INIZCTEOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCc2ccc(C)o2)CC1(C)C ZINC000996671868 660289797 /nfs/dbraw/zinc/28/97/97/660289797.db2.gz OVDLNUZRNOZKKI-HNNXBMFYSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C2CC2)C2CC2)CC1(C)C ZINC000996681718 660290334 /nfs/dbraw/zinc/29/03/34/660290334.db2.gz SNKVRAGWHKLRBO-MRXNPFEDSA-N 0 1 324.896 3.392 20 30 DGEDMN C[C@H](NC(=O)C1CCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC000997455482 660326076 /nfs/dbraw/zinc/32/60/76/660326076.db2.gz NZEAQZOJXKBDFO-KRWDZBQOSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)oc(C)c1C ZINC001032508165 660333689 /nfs/dbraw/zinc/33/36/89/660333689.db2.gz XJLYDYBLOBBDLT-HOTGVXAUSA-N 0 1 302.418 3.070 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C[C@@H]1C ZINC000947933456 660339019 /nfs/dbraw/zinc/33/90/19/660339019.db2.gz AUSDLOIIJHQBPP-DOTOQJQBSA-N 0 1 324.424 3.266 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)c2cccn2C)CC1 ZINC000997930291 660357169 /nfs/dbraw/zinc/35/71/69/660357169.db2.gz VGTLILOFAPRRFD-HNNXBMFYSA-N 0 1 323.868 3.094 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2coc3ccccc32)CC1 ZINC000998202922 660376022 /nfs/dbraw/zinc/37/60/22/660376022.db2.gz LEUUIKYUMMSANF-MRXNPFEDSA-N 0 1 324.424 3.383 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2occc2CC)CC1 ZINC000998111794 660370748 /nfs/dbraw/zinc/37/07/48/660370748.db2.gz QTFRKSWLEVXFAY-KRWDZBQOSA-N 0 1 316.445 3.182 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2ccccc2C)C1 ZINC001032614132 660378540 /nfs/dbraw/zinc/37/85/40/660378540.db2.gz HZJYITDQOFYSGU-ROUUACIJSA-N 0 1 310.441 3.136 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2ccc(C)cc2C)C1 ZINC001032614205 660378777 /nfs/dbraw/zinc/37/87/77/660378777.db2.gz JZRDXBNKNWYMGW-OALUTQOASA-N 0 1 324.468 3.445 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3[C@@H](C)c2ccccc2)C1 ZINC001032614043 660378810 /nfs/dbraw/zinc/37/88/10/660378810.db2.gz GMSIUMUZRMWYBL-SZMVWBNQSA-N 0 1 310.441 3.389 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](c1ccccc1)C(C)C ZINC001032651979 660406768 /nfs/dbraw/zinc/40/67/68/660406768.db2.gz LAAFNSOXUDDBTK-GBESFXJTSA-N 0 1 312.457 3.287 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](c1ccccc1)C(C)C ZINC001032652552 660407681 /nfs/dbraw/zinc/40/76/81/660407681.db2.gz XTHSUIQRAFBMKJ-GBESFXJTSA-N 0 1 312.457 3.287 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1ccccc1F ZINC001032686346 660414013 /nfs/dbraw/zinc/41/40/13/660414013.db2.gz PRYQPVLTTWKQBM-PMPSAXMXSA-N 0 1 316.420 3.181 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccn1C1CCCC1 ZINC001032702926 660414404 /nfs/dbraw/zinc/41/44/04/660414404.db2.gz FBWUHXXUHCTGKF-IRXDYDNUSA-N 0 1 313.445 3.078 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001032749016 660438564 /nfs/dbraw/zinc/43/85/64/660438564.db2.gz NNCMLTCEBGMXTO-XOUIXPDISA-N 0 1 314.473 3.064 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc2c1CCCC2 ZINC001032771094 660442217 /nfs/dbraw/zinc/44/22/17/660442217.db2.gz QWKBZUUAUNTKHJ-KBPBESRZSA-N 0 1 316.470 3.102 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cccc(C)c2o1 ZINC001032806174 660451326 /nfs/dbraw/zinc/45/13/26/660451326.db2.gz NSLWZGGTQVFOEP-HOTGVXAUSA-N 0 1 310.397 3.216 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001032810444 660453042 /nfs/dbraw/zinc/45/30/42/660453042.db2.gz FXHXGCNVMRPPPX-JENIJYKNSA-N 0 1 302.462 3.374 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)CC1 ZINC001002835004 660478952 /nfs/dbraw/zinc/47/89/52/660478952.db2.gz VWNXELLMPCHIQD-MOPGFXCFSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3sccc3s2)CC1 ZINC001003161095 660488626 /nfs/dbraw/zinc/48/86/26/660488626.db2.gz STSVNRVIVUBJMD-UHFFFAOYSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(c3ccccc3)CCCC2)CC1 ZINC001004102000 660529074 /nfs/dbraw/zinc/52/90/74/660529074.db2.gz GYGMEFRGMAGXGS-UHFFFAOYSA-N 0 1 324.468 3.102 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001033085128 660537617 /nfs/dbraw/zinc/53/76/17/660537617.db2.gz PYSZUKFBYNDXSB-KSZLIROESA-N 0 1 312.457 3.145 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C(F)F)ccc2F)C1 ZINC001033089865 660537508 /nfs/dbraw/zinc/53/75/08/660537508.db2.gz DUXBNSMCEQNZSZ-LBPRGKRZSA-N 0 1 312.335 3.096 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001033148175 660560313 /nfs/dbraw/zinc/56/03/13/660560313.db2.gz BKEPMTBCHLWOKQ-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001033148175 660560314 /nfs/dbraw/zinc/56/03/14/660560314.db2.gz BKEPMTBCHLWOKQ-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2C(C)(C)C)C1 ZINC001033177303 660572768 /nfs/dbraw/zinc/57/27/68/660572768.db2.gz ORWJCHBNNSVTNG-HNNXBMFYSA-N 0 1 300.446 3.316 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033242527 660598417 /nfs/dbraw/zinc/59/84/17/660598417.db2.gz LBXTWAQYXFYWBA-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN CN(C(=O)C1CCCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005047533 660598508 /nfs/dbraw/zinc/59/85/08/660598508.db2.gz ZCCYPVVWBDZCCO-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3c2cccc3Cl)C1 ZINC001033269943 660601864 /nfs/dbraw/zinc/60/18/64/660601864.db2.gz WLGBQXXGZQDXCX-CJNGLKHVSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(Cc3ccc(F)cc3)C2)C1 ZINC001033400343 660620060 /nfs/dbraw/zinc/62/00/60/660620060.db2.gz UODOSFPSWDHWQS-QGZVFWFLSA-N 0 1 316.420 3.215 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C)c3ccccc23)C1 ZINC001033456867 660624438 /nfs/dbraw/zinc/62/44/38/660624438.db2.gz BUGOAJPZCIHPAV-INIZCTEOSA-N 0 1 308.425 3.481 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001033521470 660630514 /nfs/dbraw/zinc/63/05/14/660630514.db2.gz KXEIJGDLNWTWPI-SFHVURJKSA-N 0 1 321.424 3.081 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001033579500 660633402 /nfs/dbraw/zinc/63/34/02/660633402.db2.gz ORCBVOPRNRGKTE-INIZCTEOSA-N 0 1 309.413 3.014 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001033583496 660633484 /nfs/dbraw/zinc/63/34/84/660633484.db2.gz QTQFKUTVMKWSSE-AWEZNQCLSA-N 0 1 306.837 3.289 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(Cl)c3occc32)C1 ZINC001033575477 660633500 /nfs/dbraw/zinc/63/35/00/660633500.db2.gz JYWSXTNWXFOFJS-GFCCVEGCSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(Cl)c2Cl)C1 ZINC001033611666 660639604 /nfs/dbraw/zinc/63/96/04/660639604.db2.gz ZUUKQIBIWZSYBO-NSHDSACASA-N 0 1 313.228 3.326 20 30 DGEDMN CN(C(=O)[C@@H]1CC1(C)C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005266367 660648095 /nfs/dbraw/zinc/64/80/95/660648095.db2.gz QFZKMTHTGILPIQ-IBGZPJMESA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2oc3ccccc3c2C)C1 ZINC001033636443 660642948 /nfs/dbraw/zinc/64/29/48/660642948.db2.gz YZVJDTRWXCKPHM-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3c(s2)CCCCC3)C1 ZINC001033637770 660643381 /nfs/dbraw/zinc/64/33/81/660643381.db2.gz QNLTVBXUXDEGQJ-OAHLLOKOSA-N 0 1 318.486 3.349 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc(CCC)c(C)s2)C1 ZINC001033642311 660645204 /nfs/dbraw/zinc/64/52/04/660645204.db2.gz KTHGKFKWIAFOJM-MRXNPFEDSA-N 0 1 318.486 3.179 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001033692515 660650017 /nfs/dbraw/zinc/65/00/17/660650017.db2.gz JCTLQSMWCACMKU-PKOBYXMFSA-N 0 1 312.457 3.215 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2sccc2C(C)C)C1 ZINC001033685892 660650077 /nfs/dbraw/zinc/65/00/77/660650077.db2.gz WWPDMDIKKZALFP-AWEZNQCLSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001033719313 660655724 /nfs/dbraw/zinc/65/57/24/660655724.db2.gz NAZUEQVDMQDCGM-QGZVFWFLSA-N 0 1 310.397 3.279 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC2CCC(C)(C)CC2)C1 ZINC001033723979 660655782 /nfs/dbraw/zinc/65/57/82/660655782.db2.gz RGSCSGAKZILRPZ-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001033854621 660669383 /nfs/dbraw/zinc/66/93/83/660669383.db2.gz UGWPGLOCKYRRTJ-MRXNPFEDSA-N 0 1 316.445 3.271 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001033850569 660670491 /nfs/dbraw/zinc/67/04/91/660670491.db2.gz NZQOPKNOLSXFTQ-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2coc3c2cccc3C)C1 ZINC001033853376 660671099 /nfs/dbraw/zinc/67/10/99/660671099.db2.gz AAAJNIJVVFTKTQ-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(C)c(OC)c2)C1 ZINC001033890481 660674854 /nfs/dbraw/zinc/67/48/54/660674854.db2.gz PKUWRRDHPLQWCB-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc(COC)cs2)C1 ZINC001033885404 660675498 /nfs/dbraw/zinc/67/54/98/660675498.db2.gz SKXNXTAYGOWJHI-OAHLLOKOSA-N 0 1 322.474 3.007 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ccoc2C2CC2)C1 ZINC001033934345 660682778 /nfs/dbraw/zinc/68/27/78/660682778.db2.gz RVNHSFZPUSIVSI-HNNXBMFYSA-N 0 1 302.418 3.270 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001033971558 660687089 /nfs/dbraw/zinc/68/70/89/660687089.db2.gz HCCCZAUOTYZWLH-INDMIFKZSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001033985237 660689479 /nfs/dbraw/zinc/68/94/79/660689479.db2.gz KRWBAHHQHNXHGT-HYVNUMGLSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2oc(C(C)C)nc2C)C1 ZINC001033979123 660689504 /nfs/dbraw/zinc/68/95/04/660689504.db2.gz GTSNLZREKWSMLG-HNNXBMFYSA-N 0 1 319.449 3.219 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033991550 660690944 /nfs/dbraw/zinc/69/09/44/660690944.db2.gz XAOSKWKHFDBGNI-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C(F)F)cc2)C1 ZINC001033992813 660690975 /nfs/dbraw/zinc/69/09/75/660690975.db2.gz YQJFNJXCYGZTAU-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001033998519 660692593 /nfs/dbraw/zinc/69/25/93/660692593.db2.gz HWTBLKWYFFTDKO-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc(C(C)C)c2)C1 ZINC001034005186 660695019 /nfs/dbraw/zinc/69/50/19/660695019.db2.gz HASOKNYSTGDUIV-LJQANCHMSA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc3ccccc3c2)C1 ZINC001034022936 660698482 /nfs/dbraw/zinc/69/84/82/660698482.db2.gz JAKUEXFYFSXGJH-FQEVSTJZSA-N 0 1 320.436 3.400 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc3ccccc3c2)C1 ZINC001034022938 660698495 /nfs/dbraw/zinc/69/84/95/660698495.db2.gz JAKUEXFYFSXGJH-HXUWFJFHSA-N 0 1 320.436 3.400 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(C(C)C)cc2)C1 ZINC001034023515 660698929 /nfs/dbraw/zinc/69/89/29/660698929.db2.gz PTGVXNVEOHGPFY-IBGZPJMESA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001034051861 660699609 /nfs/dbraw/zinc/69/96/09/660699609.db2.gz BTBCNLRSEZTJCQ-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001034047920 660701301 /nfs/dbraw/zinc/70/13/01/660701301.db2.gz RBYNTXQHHZPCCS-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc(C3CC3)c2)C1 ZINC001034047896 660701349 /nfs/dbraw/zinc/70/13/49/660701349.db2.gz POTBHGGQZSLODZ-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2CC(c3ccccc3)C2)C1 ZINC001034051005 660702236 /nfs/dbraw/zinc/70/22/36/660702236.db2.gz KYJXIQBTELMQNT-CTWPCTMYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001034033867 660697068 /nfs/dbraw/zinc/69/70/68/660697068.db2.gz CWWBMHDVMPIYPC-QGZVFWFLSA-N 0 1 317.477 3.408 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001034032543 660697134 /nfs/dbraw/zinc/69/71/34/660697134.db2.gz RSPUIBGXZPJECJ-LBPRGKRZSA-N 0 1 312.360 3.330 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2CCC(C(F)F)CC2)C1 ZINC001034034516 660697569 /nfs/dbraw/zinc/69/75/69/660697569.db2.gz FSUPWEWVVVGYEP-YMAMQOFZSA-N 0 1 314.420 3.167 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001034224620 660726947 /nfs/dbraw/zinc/72/69/47/660726947.db2.gz ZVVAIEDSXINXHK-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCCCN(C/C=C\Cl)C1 ZINC001034248223 660727814 /nfs/dbraw/zinc/72/78/14/660727814.db2.gz XGAIMBNWJCHKQK-AJHXJQRKSA-N 0 1 321.852 3.011 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CCCCN(CC=C)C1 ZINC001034276123 660730162 /nfs/dbraw/zinc/73/01/62/660730162.db2.gz MFXKREAZBMRHRC-MRXNPFEDSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CCCCN(CC=C)C1 ZINC001034276122 660730293 /nfs/dbraw/zinc/73/02/93/660730293.db2.gz MFXKREAZBMRHRC-INIZCTEOSA-N 0 1 314.429 3.022 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC001034301127 660734478 /nfs/dbraw/zinc/73/44/78/660734478.db2.gz YUICPKUWFIYQFR-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC001034326498 660737263 /nfs/dbraw/zinc/73/72/63/660737263.db2.gz JWOAFJPXWPJSEB-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCCN(C[C@H](F)CC)C2)cc1 ZINC001034344500 660740648 /nfs/dbraw/zinc/74/06/48/660740648.db2.gz JGGHMGRFWJNWKG-QZTJIDSGSA-N 0 1 316.420 3.000 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC001034373157 660742980 /nfs/dbraw/zinc/74/29/80/660742980.db2.gz RFVBBKMNWUKBCW-IBGZPJMESA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001034373793 660742992 /nfs/dbraw/zinc/74/29/92/660742992.db2.gz VQERCUQETWVQFZ-GOSISDBHSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001034428313 660748837 /nfs/dbraw/zinc/74/88/37/660748837.db2.gz MOWUTSJAGIGGAX-GJZGRUSLSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2cc([C@H](C)CC)no2)C1 ZINC001034428315 660748978 /nfs/dbraw/zinc/74/89/78/660748978.db2.gz MOWUTSJAGIGGAX-HUUCEWRRSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)c2ccc(OC)c(C)c2)C1 ZINC001034448262 660752679 /nfs/dbraw/zinc/75/26/79/660752679.db2.gz MNDMZESIAASNLV-KRWDZBQOSA-N 0 1 316.445 3.164 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CCCCN(Cc2cccc(C#N)c2)C1 ZINC001034496222 660760181 /nfs/dbraw/zinc/76/01/81/660760181.db2.gz BWDKPLVEXSOYNX-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC001034483257 660761448 /nfs/dbraw/zinc/76/14/48/660761448.db2.gz CLQPUDUKHJFTAA-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2sccc2COC)C1 ZINC001034488921 660762324 /nfs/dbraw/zinc/76/23/24/660762324.db2.gz ZJDZKFICUAJASH-HNNXBMFYSA-N 0 1 322.474 3.055 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001034511256 660764328 /nfs/dbraw/zinc/76/43/28/660764328.db2.gz NZPQOVCQLJZDQF-CYBMUJFWSA-N 0 1 324.877 3.318 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001034514898 660765451 /nfs/dbraw/zinc/76/54/51/660765451.db2.gz ODUNIXPLZGHVTI-FQEVSTJZSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC001034517750 660766269 /nfs/dbraw/zinc/76/62/69/660766269.db2.gz CSSTXMYMJNIZIV-SFHVURJKSA-N 0 1 320.436 3.447 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2ccccc2C)C1 ZINC001034537203 660769178 /nfs/dbraw/zinc/76/91/78/660769178.db2.gz LLGWFZUHBFZYHW-ROUUACIJSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001034543477 660771048 /nfs/dbraw/zinc/77/10/48/660771048.db2.gz ISRKIAXCNOKLRO-LPHOPBHVSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001034543591 660771094 /nfs/dbraw/zinc/77/10/94/660771094.db2.gz YKCBFLQQCNYLSW-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001035012172 660808902 /nfs/dbraw/zinc/80/89/02/660808902.db2.gz UKVCKANUIRTQIX-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)Cc2cccc(C3CC3)c2)CC1 ZINC001005768548 660812494 /nfs/dbraw/zinc/81/24/94/660812494.db2.gz KQMKPRFCGYZRJJ-UHFFFAOYSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc3ccc(C)cc3[nH]2)CC1 ZINC001005788912 660815793 /nfs/dbraw/zinc/81/57/93/660815793.db2.gz KPVOIQVTEXUHLP-UHFFFAOYSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCC[C@H]1CC)CC2 ZINC001035733430 660848153 /nfs/dbraw/zinc/84/81/53/660848153.db2.gz CEJGOPGXXMFNNK-MJGOQNOKSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@]1(C)c1ccccc1)CC2 ZINC001035753556 660848730 /nfs/dbraw/zinc/84/87/30/660848730.db2.gz ZMVRDHAGZILJLI-AZUAARDMSA-N 0 1 324.468 3.075 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1csc3ccccc13)CC2 ZINC001035763640 660849473 /nfs/dbraw/zinc/84/94/73/660849473.db2.gz LEBCGVKSHDJUNK-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCc3ccccc31)CC2 ZINC001035822869 660853865 /nfs/dbraw/zinc/85/38/65/660853865.db2.gz XTGKSLJTCXPTMJ-SFHVURJKSA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1cc(C)oc1C)CC2 ZINC001035817237 660853879 /nfs/dbraw/zinc/85/38/79/660853879.db2.gz CGEMMRXLOUPXHT-HNNXBMFYSA-N 0 1 316.445 3.110 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](C)C1CCCCC1)CC2 ZINC001035817251 660854032 /nfs/dbraw/zinc/85/40/32/660854032.db2.gz ITNAIUKWQIFLIM-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(C(C)C)oc1C)CC2 ZINC001035825694 660854301 /nfs/dbraw/zinc/85/43/01/660854301.db2.gz CFECEPMOPNXKIR-UHFFFAOYSA-N 0 1 316.445 3.435 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc3sccc3c1)CC2 ZINC001035825646 660854412 /nfs/dbraw/zinc/85/44/12/660854412.db2.gz AEDLSRISDXIQDD-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)C[C@@H]1c1ccccc1)CC2 ZINC001035814937 660855445 /nfs/dbraw/zinc/85/54/45/660855445.db2.gz VRIOHVFMOMGPEM-QUCCMNQESA-N 0 1 324.468 3.291 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3ccccc3o1)CC2 ZINC001035847230 660855871 /nfs/dbraw/zinc/85/58/71/660855871.db2.gz HUZGNSIMSBZOGY-UHFFFAOYSA-N 0 1 310.397 3.157 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@]1(CC)C(C)C)CC2 ZINC001035827336 660856155 /nfs/dbraw/zinc/85/61/55/660856155.db2.gz MWYCJJPTJZYKDH-PXNSSMCTSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC[C@@H]1CC)CC2 ZINC001035829203 660856612 /nfs/dbraw/zinc/85/66/12/660856612.db2.gz WXJGCVHYXOMUAN-IRXDYDNUSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC[C@@H]1CC)CC2 ZINC001035829201 660856619 /nfs/dbraw/zinc/85/66/19/660856619.db2.gz WXJGCVHYXOMUAN-DLBZAZTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@]1(CC)C(C)C)CC2 ZINC001035828377 660856675 /nfs/dbraw/zinc/85/66/75/660856675.db2.gz QVDXJTLBRKWUKO-APWZRJJASA-N 0 1 304.478 3.169 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCCC1(C)C)CC2 ZINC001035861007 660861208 /nfs/dbraw/zinc/86/12/08/660861208.db2.gz ZAMKOAOVHRJERN-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN CCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1ccccc1C#N ZINC001036495277 660912328 /nfs/dbraw/zinc/91/23/28/660912328.db2.gz OGBWWCUGGSGACE-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCCC(C)(C)C2)CC1 ZINC001006293314 660973426 /nfs/dbraw/zinc/97/34/26/660973426.db2.gz GDYVPZOGGGMPPA-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN O=C(/C=C\c1cnnn1Cc1ccccc1)c1ccc(O)c(F)c1 ZINC000792883146 660991458 /nfs/dbraw/zinc/99/14/58/660991458.db2.gz KIPWCFLNPWECEZ-CLFYSBASSA-N 0 1 323.327 3.067 20 30 DGEDMN O=C(/C=C\c1cnnn1Cc1ccccc1)c1cc(F)ccc1O ZINC000792882718 660991622 /nfs/dbraw/zinc/99/16/22/660991622.db2.gz ADWHBGZLXKYENC-CLFYSBASSA-N 0 1 323.327 3.067 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccnn2C(C)C)c1 ZINC000792983333 660998409 /nfs/dbraw/zinc/99/84/09/660998409.db2.gz AFZLQUMOWUESMP-KRWDZBQOSA-N 0 1 312.417 3.435 20 30 DGEDMN CC(N=Nc1ncncc1F)c1ccc(Cl)c(Cl)c1O ZINC000793209895 661013249 /nfs/dbraw/zinc/01/32/49/661013249.db2.gz BTXSIWOUMVVTFS-UHFFFAOYSA-N 0 1 315.135 3.464 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)OC(=O)c1ccc2cncn2c1 ZINC000793371183 661027813 /nfs/dbraw/zinc/02/78/13/661027813.db2.gz JHGAGXHJBAUIGH-SFHVURJKSA-N 0 1 304.349 3.126 20 30 DGEDMN C[C@H]1CCC[C@H](C)N(Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793505294 661039721 /nfs/dbraw/zinc/03/97/21/661039721.db2.gz QDMXHEUJWBQSRJ-GJZGRUSLSA-N 0 1 313.445 3.067 20 30 DGEDMN C#CC[C@H](NCc1cnc2ccc(C#N)cn12)c1ccccc1 ZINC000793585468 661047841 /nfs/dbraw/zinc/04/78/41/661047841.db2.gz BNAWABHUZQYHBA-SFHVURJKSA-N 0 1 300.365 3.060 20 30 DGEDMN CCc1cccc(F)c1C=NNc1ccc(C(=O)OC)cn1 ZINC000794524455 661088762 /nfs/dbraw/zinc/08/87/62/661088762.db2.gz SSZJAZVSKVRQNB-UHFFFAOYSA-N 0 1 301.321 3.016 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1ccc2c(c1)OCC(=O)N2 ZINC000794856437 661109734 /nfs/dbraw/zinc/10/97/34/661109734.db2.gz KSLSJLKIPIYYRH-UHFFFAOYSA-N 0 1 317.295 3.132 20 30 DGEDMN CC(=NNc1cncnc1)c1ccc(F)c(Br)c1 ZINC000794976240 661118152 /nfs/dbraw/zinc/11/81/52/661118152.db2.gz HIBWBZSXSRHMHZ-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN CC(C)(C)c1nn(-c2ccccc2)cc1CN=Nc1cncnc1 ZINC000794977076 661118516 /nfs/dbraw/zinc/11/85/16/661118516.db2.gz XFJDZICTVFTZQF-UHFFFAOYSA-N 0 1 320.400 3.406 20 30 DGEDMN O=C1Nc2cccc(C(F)(F)F)c2C1=NNc1ncccc1F ZINC000794980504 661118956 /nfs/dbraw/zinc/11/89/56/661118956.db2.gz MXCPQEMELMVKQF-UHFFFAOYSA-N 0 1 324.237 3.008 20 30 DGEDMN COc1ccc(Br)cc1C=NNc1cccc(F)n1 ZINC000795007500 661121349 /nfs/dbraw/zinc/12/13/49/661121349.db2.gz IEGIOOBRNKYQPV-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN C=CCOCCCOC(=O)c1[nH]c(-c2ccccc2)nc1C ZINC000795442717 661150727 /nfs/dbraw/zinc/15/07/27/661150727.db2.gz COSGYMKWTPKBDS-UHFFFAOYSA-N 0 1 300.358 3.135 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC000969118722 655525029 /nfs/dbraw/zinc/52/50/29/655525029.db2.gz XKLSYQDYSVFVCA-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC000969139503 655527335 /nfs/dbraw/zinc/52/73/35/655527335.db2.gz NHWJMQFSEXPWFX-HXUWFJFHSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC000969160915 655529545 /nfs/dbraw/zinc/52/95/45/655529545.db2.gz NRUGHNNLUYGVJS-DZIBYMRMSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)C[N@H+]1CCCCC[C@@H]1CNC(=O)c1ccc(F)s1 ZINC000969164651 655530076 /nfs/dbraw/zinc/53/00/76/655530076.db2.gz MOJZTANKFOOXAJ-CYBMUJFWSA-N 0 1 310.438 3.438 20 30 DGEDMN C=C(C)CN1CCCCC[C@@H]1CNC(=O)c1ccc(F)s1 ZINC000969164651 655530077 /nfs/dbraw/zinc/53/00/77/655530077.db2.gz MOJZTANKFOOXAJ-CYBMUJFWSA-N 0 1 310.438 3.438 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1coc2ccccc12 ZINC000969167783 655530265 /nfs/dbraw/zinc/53/02/65/655530265.db2.gz OOJCLLVWFLDFDK-HNNXBMFYSA-N 0 1 310.397 3.040 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C2=CCCC2)CCN1CC#Cc1ccccc1 ZINC000947190941 655542058 /nfs/dbraw/zinc/54/20/58/655542058.db2.gz KNDPOTJWDNICME-PXNSSMCTSA-N 0 1 322.452 3.118 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2coc3c2cccc3C)C1 ZINC000969847795 655560276 /nfs/dbraw/zinc/56/02/76/655560276.db2.gz OCRDLBHSQVJQPL-AWEZNQCLSA-N 0 1 312.413 3.367 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C2=CCCC2)CCN1Cc1ccccc1C#N ZINC000947191632 655561375 /nfs/dbraw/zinc/56/13/75/655561375.db2.gz VRACZYNFBAUWQM-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc3c2CCCCC3)C1 ZINC000969910093 655563191 /nfs/dbraw/zinc/56/31/91/655563191.db2.gz CTDGVVHHZOQVKP-INIZCTEOSA-N 0 1 324.468 3.029 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1ccc([N+](=O)[O-])cc1 ZINC000255042648 655571731 /nfs/dbraw/zinc/57/17/31/655571731.db2.gz RSKRMUPONAIJJR-BHQIHCQQSA-N 0 1 305.236 3.475 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2occc2-c2ccccc2)C1 ZINC000970104146 655575637 /nfs/dbraw/zinc/57/56/37/655575637.db2.gz HIQHYBGJZCZIBY-CQSZACIVSA-N 0 1 310.397 3.183 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC000970149510 655581921 /nfs/dbraw/zinc/58/19/21/655581921.db2.gz UOCRBMXKVZIEIE-DLBZAZTESA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968683053 655585271 /nfs/dbraw/zinc/58/52/71/655585271.db2.gz XSTRHLCZXXJOCV-CZUORRHYSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)c2ccccc2C)C1 ZINC000970170129 655586548 /nfs/dbraw/zinc/58/65/48/655586548.db2.gz WKSQWISUGRPRAA-GOEBONIOSA-N 0 1 320.864 3.432 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC000970271549 655591251 /nfs/dbraw/zinc/59/12/51/655591251.db2.gz RUFNPBQAJZQHPM-ZDUSSCGKSA-N 0 1 306.837 3.193 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC000970627713 655610109 /nfs/dbraw/zinc/61/01/09/655610109.db2.gz IDNHKOCQOUXZFI-HNNXBMFYSA-N 0 1 312.457 3.058 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(CC)s2)C1 ZINC000970661584 655611276 /nfs/dbraw/zinc/61/12/76/655611276.db2.gz KXQZVFGGHBDTQQ-GFCCVEGCSA-N 0 1 312.866 3.176 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)C[C@@H]1C ZINC000947400464 655618639 /nfs/dbraw/zinc/61/86/39/655618639.db2.gz XNJORXHHOWFMDM-GJZGRUSLSA-N 0 1 318.486 3.163 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccsc2C(F)(F)F)C1 ZINC000970803537 655622771 /nfs/dbraw/zinc/62/27/71/655622771.db2.gz LEGZQCYBYFPEMW-VIFPVBQESA-N 0 1 318.364 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC000970817796 655625766 /nfs/dbraw/zinc/62/57/66/655625766.db2.gz QHZQWZYAWKCHPQ-WMLDXEAASA-N 0 1 320.864 3.117 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC000970835999 655628102 /nfs/dbraw/zinc/62/81/02/655628102.db2.gz MGMALLONMPPLPD-OLZOCXBDSA-N 0 1 318.486 3.109 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC000970857269 655630557 /nfs/dbraw/zinc/63/05/57/655630557.db2.gz ANKZHIWGZFXVPO-CQSZACIVSA-N 0 1 311.429 3.021 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@@H]2[C@@H]2CCN(CCF)C2)CC1 ZINC001039228516 655632003 /nfs/dbraw/zinc/63/20/03/655632003.db2.gz ISRQGMNKSHNGPZ-QZTJIDSGSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2sc(CCC)nc2C)C1 ZINC000970872599 655632038 /nfs/dbraw/zinc/63/20/38/655632038.db2.gz PUTVXJQSTKOGGD-LBPRGKRZSA-N 0 1 321.490 3.030 20 30 DGEDMN C[C@@H](CCC(=O)C(C#N)C(=O)NC1CCCC1)c1ccccc1 ZINC000160433742 655632548 /nfs/dbraw/zinc/63/25/48/655632548.db2.gz APNYFJUVWFGVCV-YOEHRIQHSA-N 0 1 312.413 3.338 20 30 DGEDMN C[C@H]1CC[C@H](c2ccccc2)N(Cn2cccc(C#N)c2=O)C1 ZINC000795696364 661168424 /nfs/dbraw/zinc/16/84/24/661168424.db2.gz BIXGBZYBAPNAPO-MAUKXSAKSA-N 0 1 307.397 3.151 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC000970990034 655647914 /nfs/dbraw/zinc/64/79/14/655647914.db2.gz TVJVJJMNNKCREF-NSHDSACASA-N 0 1 318.804 3.322 20 30 DGEDMN C[C@H](C#N)OCCN1CCN(c2ccc(Cl)cc2)CC1(C)C ZINC000795722376 661169970 /nfs/dbraw/zinc/16/99/70/661169970.db2.gz ILQZIVAZPQINMC-CQSZACIVSA-N 0 1 321.852 3.169 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCCC[C@H]2C2CC2)CC1 ZINC000949465352 655660034 /nfs/dbraw/zinc/66/00/34/655660034.db2.gz VVQSSPOPVCQESF-ROUUACIJSA-N 0 1 304.478 3.313 20 30 DGEDMN CC(C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1)=C1CCCC1 ZINC001021957373 655696107 /nfs/dbraw/zinc/69/61/07/655696107.db2.gz QACBGFOPOPKCFD-KDURUIRLSA-N 0 1 323.440 3.186 20 30 DGEDMN C#CCC1(NCc2c(Cl)n(C)nc2C(F)(F)F)CCC1 ZINC000933296793 655697864 /nfs/dbraw/zinc/69/78/64/655697864.db2.gz OHPNMHBQNATYDO-UHFFFAOYSA-N 0 1 305.731 3.128 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ncccc2c1 ZINC001039428570 655719809 /nfs/dbraw/zinc/71/98/09/655719809.db2.gz SLMYRQHKXSOTNJ-MSOLQXFVSA-N 0 1 321.424 3.100 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccccc2C(C)(C)CC)C1 ZINC000971756378 655724735 /nfs/dbraw/zinc/72/47/35/655724735.db2.gz LKRDCWVPCZVULB-MRXNPFEDSA-N 0 1 312.457 3.154 20 30 DGEDMN CN(CCOCCOc1ccccc1)Cc1cc(C#N)cs1 ZINC000933776141 655732421 /nfs/dbraw/zinc/73/24/21/655732421.db2.gz UCDJCKOIBSWCOK-UHFFFAOYSA-N 0 1 316.426 3.147 20 30 DGEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000972014730 655754420 /nfs/dbraw/zinc/75/44/20/655754420.db2.gz OLWXOTFYGMOLFN-MRXNPFEDSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000972014730 655754422 /nfs/dbraw/zinc/75/44/22/655754422.db2.gz OLWXOTFYGMOLFN-MRXNPFEDSA-N 0 1 304.478 3.005 20 30 DGEDMN CON=Cc1ccc(C(=O)Nc2cccc(Cl)c2O)cc1 ZINC000255475834 655759950 /nfs/dbraw/zinc/75/99/50/655759950.db2.gz YEMGHEMIYMNCJL-UHFFFAOYSA-N 0 1 304.733 3.278 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC000972071779 655762007 /nfs/dbraw/zinc/76/20/07/655762007.db2.gz BFJCCXZHGAWKBU-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cc(C)ccc2F)C1 ZINC000972080144 655763040 /nfs/dbraw/zinc/76/30/40/655763040.db2.gz RLMOSQZMDVNZBQ-MRXNPFEDSA-N 0 1 318.436 3.379 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC000972079570 655763099 /nfs/dbraw/zinc/76/30/99/655763099.db2.gz PDEGFNCJBDPHQF-CQSZACIVSA-N 0 1 322.399 3.210 20 30 DGEDMN C=CC[C@@H]1CC[N@H+]1Cc1cc(=O)oc2cc([O-])c(Cl)cc12 ZINC000934464081 655776839 /nfs/dbraw/zinc/77/68/39/655776839.db2.gz MDFPSTVHYGBAOH-LLVKDONJSA-N 0 1 305.761 3.302 20 30 DGEDMN C=CC[C@@H]1CC[N@@H+]1Cc1cc(=O)oc2cc([O-])c(Cl)cc12 ZINC000934464081 655776841 /nfs/dbraw/zinc/77/68/41/655776841.db2.gz MDFPSTVHYGBAOH-LLVKDONJSA-N 0 1 305.761 3.302 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC000972245097 655782126 /nfs/dbraw/zinc/78/21/26/655782126.db2.gz JSIPNWAEHJKMTL-MJGOQNOKSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC000972244246 655782305 /nfs/dbraw/zinc/78/23/05/655782305.db2.gz BMDPBQXFIHFCNL-IEBWSBKVSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC000972244836 655782687 /nfs/dbraw/zinc/78/26/87/655782687.db2.gz IBDWLJBOWMQCIT-WBVHZDCISA-N 0 1 306.475 3.383 20 30 DGEDMN O=C(/C=C\c1c(Cl)nc2ccccn12)c1ccc(O)cc1O ZINC000255522515 655790391 /nfs/dbraw/zinc/79/03/91/655790391.db2.gz YJIPYQTYLQIVMO-SREVYHEPSA-N 0 1 314.728 3.295 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC000972472467 655803916 /nfs/dbraw/zinc/80/39/16/655803916.db2.gz OJAPQUKJCHRLLA-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC000972472462 655803925 /nfs/dbraw/zinc/80/39/25/655803925.db2.gz OHIMOXBBFOVFCH-RBUKOAKNSA-N 0 1 318.505 3.395 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3cccs3)on2)c1 ZINC000176816356 655847598 /nfs/dbraw/zinc/84/75/98/655847598.db2.gz JOKXWFDLWPRLJO-UHFFFAOYSA-N 0 1 311.322 3.233 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCOc2ccc(F)cc2)c1 ZINC000176820808 655848260 /nfs/dbraw/zinc/84/82/60/655848260.db2.gz GHUXIVQFUDFSIO-UHFFFAOYSA-N 0 1 314.316 3.201 20 30 DGEDMN C#CCN1CCC[C@@H](CNC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001023786585 655865748 /nfs/dbraw/zinc/86/57/48/655865748.db2.gz ZQQWNRHUTRQIDC-INIZCTEOSA-N 0 1 322.408 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001024090813 655884297 /nfs/dbraw/zinc/88/42/97/655884297.db2.gz RAULMCBTCUBQSC-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN CC1(C)CCC[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C1 ZINC000179382136 655891557 /nfs/dbraw/zinc/89/15/57/655891557.db2.gz DNERTLIYRIBXNS-ZFWWWQNUSA-N 0 1 304.434 3.361 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1nc(C(C)C)oc1C ZINC001024437826 655905947 /nfs/dbraw/zinc/90/59/47/655905947.db2.gz WVGYQPBHHFBIBW-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2nccc(C)c2c1 ZINC001024455769 655907124 /nfs/dbraw/zinc/90/71/24/655907124.db2.gz OUCKBGGPBYCWMZ-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2ccccc2nc1C ZINC001024468405 655908283 /nfs/dbraw/zinc/90/82/83/655908283.db2.gz ORRHEHRQUDVWMC-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001024480392 655909829 /nfs/dbraw/zinc/90/98/29/655909829.db2.gz IUGNSERWQCFFPF-CQSZACIVSA-N 0 1 308.372 3.125 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001024480392 655909830 /nfs/dbraw/zinc/90/98/30/655909830.db2.gz IUGNSERWQCFFPF-CQSZACIVSA-N 0 1 308.372 3.125 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1nc2ccccc2cc1C ZINC001024563409 655917493 /nfs/dbraw/zinc/91/74/93/655917493.db2.gz ZMJWALOQVPXJBZ-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2ncccc2c1 ZINC001024632287 655926164 /nfs/dbraw/zinc/92/61/64/655926164.db2.gz WFAXZUODAGHXBU-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)C)nc1C ZINC001024652368 655927813 /nfs/dbraw/zinc/92/78/13/655927813.db2.gz KIWOWEJZZDUSCL-MRXNPFEDSA-N 0 1 315.461 3.284 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001024687762 655930760 /nfs/dbraw/zinc/93/07/60/655930760.db2.gz VNASYVGQKMJYSW-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccn(C(CC)CC)n1 ZINC001024691755 655931041 /nfs/dbraw/zinc/93/10/41/655931041.db2.gz BIMYKJKXKCMYQM-MRXNPFEDSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C12CCC(CC1)C2(C)C ZINC001024697141 655931546 /nfs/dbraw/zinc/93/15/46/655931546.db2.gz QZIOAXJGOWKKQX-KOHRHEQBSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1oc(C2CC2)nc1C ZINC001024698477 655931649 /nfs/dbraw/zinc/93/16/49/655931649.db2.gz IZPFCYFBSIRSTC-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)s1 ZINC001024702051 655932155 /nfs/dbraw/zinc/93/21/55/655932155.db2.gz XQZDTDVNJDUWFD-BZUAXINKSA-N 0 1 318.486 3.317 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(C)c1Cl ZINC001024716500 655932870 /nfs/dbraw/zinc/93/28/70/655932870.db2.gz XBPLRAZOVGKBDX-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2cnccc2c1 ZINC001024745110 655934967 /nfs/dbraw/zinc/93/49/67/655934967.db2.gz OGNMFRMLEAJGDF-GOSISDBHSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC001024743040 655935261 /nfs/dbraw/zinc/93/52/61/655935261.db2.gz ZFTMJVYFWKOLJT-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC001024743040 655935263 /nfs/dbraw/zinc/93/52/63/655935263.db2.gz ZFTMJVYFWKOLJT-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)C)cc1 ZINC001024775306 655937940 /nfs/dbraw/zinc/93/79/40/655937940.db2.gz ZJMQRPPRZQWWQB-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001024775878 655938015 /nfs/dbraw/zinc/93/80/15/655938015.db2.gz KWHDQTZTPBDRCI-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1occ2c1CCCC2 ZINC001024780390 655938021 /nfs/dbraw/zinc/93/80/21/655938021.db2.gz SVVMSKXWYGPJCP-INIZCTEOSA-N 0 1 316.445 3.319 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001024793515 655939115 /nfs/dbraw/zinc/93/91/15/655939115.db2.gz YTFBGSKGARCGDG-INIZCTEOSA-N 0 1 318.486 3.227 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001024789619 655939601 /nfs/dbraw/zinc/93/96/01/655939601.db2.gz WVDCWCIYUCUXGD-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001024809500 655940784 /nfs/dbraw/zinc/94/07/84/655940784.db2.gz ULFNODYOCAHEGT-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001024809500 655940785 /nfs/dbraw/zinc/94/07/85/655940785.db2.gz ULFNODYOCAHEGT-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001024814166 655941117 /nfs/dbraw/zinc/94/11/17/655941117.db2.gz OZLINRPFPGTMMN-APWZRJJASA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1csc(CC(C)C)n1 ZINC001024824265 655943144 /nfs/dbraw/zinc/94/31/44/655943144.db2.gz FCBURPVYOSCIHI-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(O)c(F)c2)cc(OC)c1O ZINC000255888675 655955690 /nfs/dbraw/zinc/95/56/90/655955690.db2.gz YOWKULLLLNCUOU-HYXAFXHYSA-N 0 1 318.300 3.150 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001025070241 655963696 /nfs/dbraw/zinc/96/36/96/655963696.db2.gz VTKULKZGNMPFHT-SJLPKXTDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC001007397330 655969723 /nfs/dbraw/zinc/96/97/23/655969723.db2.gz ROLSDPONCUBEOE-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN CC#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1cccs1 ZINC001025195882 655975480 /nfs/dbraw/zinc/97/54/80/655975480.db2.gz ODDBCEMOJXNKRD-CVEARBPZSA-N 0 1 316.470 3.231 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001025202129 655978318 /nfs/dbraw/zinc/97/83/18/655978318.db2.gz VMGFQARLNKIDCG-HOTGVXAUSA-N 0 1 321.852 3.226 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ccoc1Cl ZINC001025224325 655981245 /nfs/dbraw/zinc/98/12/45/655981245.db2.gz OINZIKIUWRTJLU-GJZGRUSLSA-N 0 1 320.820 3.025 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1(F)CCCCC1 ZINC001025284394 655989054 /nfs/dbraw/zinc/98/90/54/655989054.db2.gz GRWBUTTVWDFCOY-IAGOWNOFSA-N 0 1 320.452 3.138 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC[C@@H](C(F)(F)F)C2)CC1 ZINC000949892613 656002226 /nfs/dbraw/zinc/00/22/26/656002226.db2.gz YPQMXCOZUWCNAU-UONOGXRCSA-N 0 1 318.383 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2ccoc2CC)C1 ZINC001025405524 656006078 /nfs/dbraw/zinc/00/60/78/656006078.db2.gz URWUDLPRUJTEPM-KGLIPLIRSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001025515475 656026931 /nfs/dbraw/zinc/02/69/31/656026931.db2.gz LLILBECNLMFHHO-RFBLXINOSA-N 0 1 310.869 3.002 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2ccc(C(F)F)o2)C1 ZINC001025606493 656045176 /nfs/dbraw/zinc/04/51/76/656045176.db2.gz OJLXNOAHQOVHRG-CHWSQXEVSA-N 0 1 324.371 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2cccn2CC)C1 ZINC001025618565 656049366 /nfs/dbraw/zinc/04/93/66/656049366.db2.gz CDNPWTUMQCCKHO-LSDHHAIUSA-N 0 1 323.868 3.091 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001019845952 656065125 /nfs/dbraw/zinc/06/51/25/656065125.db2.gz JPBINELMIOJDHT-DOTOQJQBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CCC=CCC3)CC[C@@H]21 ZINC001036899202 661225767 /nfs/dbraw/zinc/22/57/67/661225767.db2.gz JNQAMAVTYVKULG-SJORKVTESA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2sccc2C)C(C)(C)C1 ZINC000974578487 656215224 /nfs/dbraw/zinc/21/52/24/656215224.db2.gz PLVQKEFHBJTPTK-RBSFLKMASA-N 0 1 318.486 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H]2C[C@H]2c2ccccc2)C(C)(C)C1 ZINC000974590902 656215273 /nfs/dbraw/zinc/21/52/73/656215273.db2.gz YGWOJVGWMBTXAS-GBESFXJTSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CC1CCN(C(=O)c2cc(-c3ccc(C)c(C)c3)[nH]n2)CC1 ZINC000844047904 661237696 /nfs/dbraw/zinc/23/76/96/661237696.db2.gz YEUFMUZAFSJIEG-UHFFFAOYSA-N 0 1 307.397 3.179 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(Cl)c2C)C(C)(C)C1 ZINC000974620715 656217713 /nfs/dbraw/zinc/21/77/13/656217713.db2.gz NIFFUTRDGNVVCB-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3nccc(C)c3c2)C(C)(C)C1 ZINC000974656175 656219908 /nfs/dbraw/zinc/21/99/08/656219908.db2.gz KIPNGSUBJFDHHQ-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000974678202 656221743 /nfs/dbraw/zinc/22/17/43/656221743.db2.gz AGVGIZXILGOLNP-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000974684951 656222370 /nfs/dbraw/zinc/22/23/70/656222370.db2.gz RPHDQVBDWOKQGB-INIZCTEOSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3ccc(C)nc32)C(C)(C)C1 ZINC000974711911 656223923 /nfs/dbraw/zinc/22/39/23/656223923.db2.gz NQAUHDSFIAIECI-QGZVFWFLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974827329 656233094 /nfs/dbraw/zinc/23/30/94/656233094.db2.gz MYFJNMBUBGQTMV-ROUUACIJSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000975111110 656256670 /nfs/dbraw/zinc/25/66/70/656256670.db2.gz ONEISKIHCYQLQE-CYBMUJFWSA-N 0 1 312.866 3.418 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027306023 656284580 /nfs/dbraw/zinc/28/45/80/656284580.db2.gz XLLXWQXVRZQFDR-KBPBESRZSA-N 0 1 319.474 3.089 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027306024 656284785 /nfs/dbraw/zinc/28/47/85/656284785.db2.gz XLLXWQXVRZQFDR-KGLIPLIRSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001009576777 656294067 /nfs/dbraw/zinc/29/40/67/656294067.db2.gz IJBXNFYBOCAQIY-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027356128 656295144 /nfs/dbraw/zinc/29/51/44/656295144.db2.gz FWOXVLBXUQFAGW-HNFXJGKRSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001009700454 656313650 /nfs/dbraw/zinc/31/36/50/656313650.db2.gz AYGBNTCRLGVCJI-MNNVXMFVSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc([C@@H](C)CC)no2)C(C)(C)C1 ZINC000977245309 656318304 /nfs/dbraw/zinc/31/83/04/656318304.db2.gz XUZCZMHKPAFBKI-BBRMVZONSA-N 0 1 319.449 3.204 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000977358051 656324603 /nfs/dbraw/zinc/32/46/03/656324603.db2.gz WAELJYRPRYQXEO-OAHLLOKOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2OC(C)C)C(C)(C)C1 ZINC000977504405 656337036 /nfs/dbraw/zinc/33/70/36/656337036.db2.gz UEBAJKBUYKSKSO-KRWDZBQOSA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C(F)F)c2)C(C)(C)C1 ZINC000977523906 656338461 /nfs/dbraw/zinc/33/84/61/656338461.db2.gz LBARFCXOGPSFEO-CQSZACIVSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C(C)(C)C1 ZINC000977524310 656338767 /nfs/dbraw/zinc/33/87/67/656338767.db2.gz HRUWKJIWNCBWLF-OAHLLOKOSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2CCC(C(F)F)CC2)C(C)(C)C1 ZINC000977536217 656339960 /nfs/dbraw/zinc/33/99/60/656339960.db2.gz CEFYGZLJLQQQIW-JXQTWKCFSA-N 0 1 314.420 3.071 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCC(C3CC3)CC2)C(C)(C)C1 ZINC000977549510 656341539 /nfs/dbraw/zinc/34/15/39/656341539.db2.gz ZPHUQMYIGNWZFV-ABHNRTSZSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(CC)c(C)s2)C(C)(C)C1 ZINC000977580662 656346659 /nfs/dbraw/zinc/34/66/59/656346659.db2.gz VLBXSSPHMYQSKC-INIZCTEOSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)c3occc32)C(C)(C)C1 ZINC000977620145 656349526 /nfs/dbraw/zinc/34/95/26/656349526.db2.gz VWOCTRFDKIAFIA-OAHLLOKOSA-N 0 1 316.376 3.198 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000977639847 656350510 /nfs/dbraw/zinc/35/05/10/656350510.db2.gz LIXMZHIGGJEQLJ-CTWPCTMYSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2C(C)C)C(C)(C)C1 ZINC000977643243 656351531 /nfs/dbraw/zinc/35/15/31/656351531.db2.gz QORJDQWDNWHJCP-HNNXBMFYSA-N 0 1 318.486 3.335 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3CCCCCC3)[C@@H]2C1 ZINC000977858638 656361552 /nfs/dbraw/zinc/36/15/52/656361552.db2.gz DYKOFXBPFZLKPF-PHZGNYQRSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CC[C@H](CC)CC3)[C@@H]2C1 ZINC000979015506 656405928 /nfs/dbraw/zinc/40/59/28/656405928.db2.gz QUDXPRDIBNHVMH-YQLLOFTFSA-N 0 1 324.896 3.249 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc(F)ccc2C)CC1 ZINC001052602036 656448666 /nfs/dbraw/zinc/44/86/66/656448666.db2.gz ZTJKITPVZYSTHA-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001027885367 656459260 /nfs/dbraw/zinc/45/92/60/656459260.db2.gz DGAZTNMBEXQFEK-OTWHNJEPSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1Cl ZINC001039365556 656488759 /nfs/dbraw/zinc/48/87/59/656488759.db2.gz NORBVDYCKKZGDE-OLZOCXBDSA-N 0 1 308.809 3.188 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CCC(CCCC)CC2)C1 ZINC000980334553 656488925 /nfs/dbraw/zinc/48/89/25/656488925.db2.gz XDDGUEGFZDPMAK-ABHNRTSZSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1oc(C(F)F)cc1C ZINC001039388748 656492114 /nfs/dbraw/zinc/49/21/14/656492114.db2.gz HTFROKBBKWQKEQ-OLZOCXBDSA-N 0 1 324.371 3.391 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2ccccc21 ZINC001039394762 656493152 /nfs/dbraw/zinc/49/31/52/656493152.db2.gz IGHRBKSUULNNPB-HBFSDRIKSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)o1 ZINC001039395644 656493197 /nfs/dbraw/zinc/49/31/97/656493197.db2.gz CXZYYJXKELVFEI-CABCVRRESA-N 0 1 302.418 3.097 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001039407651 656494738 /nfs/dbraw/zinc/49/47/38/656494738.db2.gz AKFBZKRBLYAFRZ-XODKDNOYSA-N 0 1 324.468 3.216 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cccs1)C2 ZINC001048498342 656501850 /nfs/dbraw/zinc/50/18/50/656501850.db2.gz GAZQUASUGHZDNG-BTTYYORXSA-N 0 1 324.877 3.473 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ccc(C)o1)C2 ZINC001048500520 656502500 /nfs/dbraw/zinc/50/25/00/656502500.db2.gz FBUXZJZSDVOBAX-QDMKHBRRSA-N 0 1 322.836 3.313 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(Cl)sc1Cl ZINC001027931732 656502976 /nfs/dbraw/zinc/50/29/76/656502976.db2.gz JICVKYCEUPOJEF-VIFPVBQESA-N 0 1 319.257 3.435 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](c1ccccc1)C(C)C ZINC001039444836 656503188 /nfs/dbraw/zinc/50/31/88/656503188.db2.gz UYYQUQMWOQMZAH-HSALFYBXSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cc(C)oc1C ZINC001039453688 656505381 /nfs/dbraw/zinc/50/53/81/656505381.db2.gz NFGWAYZCNOAGNO-OIISXLGYSA-N 0 1 316.445 3.251 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1ccccc1 ZINC001039467440 656508658 /nfs/dbraw/zinc/50/86/58/656508658.db2.gz KUNHSCMSRWVAPW-MSOLQXFVSA-N 0 1 312.457 3.216 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1C[C@H]1CC)C2 ZINC001048567174 656514434 /nfs/dbraw/zinc/51/44/34/656514434.db2.gz YMWLCXARPLHMPS-IBEHDNSVSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001048574142 656516317 /nfs/dbraw/zinc/51/63/17/656516317.db2.gz PTQXOUOQMKFGLP-PYIOCPRISA-N 0 1 322.880 3.144 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1c[nH]cc1C)C2 ZINC001048590263 656519951 /nfs/dbraw/zinc/51/99/51/656519951.db2.gz TTXAKHRHOOHGLD-QDMKHBRRSA-N 0 1 321.852 3.048 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001027951806 656525394 /nfs/dbraw/zinc/52/53/94/656525394.db2.gz SSJJWCHIHCTZPS-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001027954468 656530974 /nfs/dbraw/zinc/53/09/74/656530974.db2.gz OHXOOJQDIGUNDR-MRXNPFEDSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC3CCCCC3)C[C@@H]2C1 ZINC001048667403 656531019 /nfs/dbraw/zinc/53/10/19/656531019.db2.gz AZBGFJMTMBPQJR-IYBDPMFKSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccccc1C(C)(C)CC ZINC001027957050 656535565 /nfs/dbraw/zinc/53/55/65/656535565.db2.gz QHVOSOWAAWGCAS-MRXNPFEDSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@]3(C2)CCCN(CCF)C3)CC1 ZINC001040370210 656582659 /nfs/dbraw/zinc/58/26/59/656582659.db2.gz ZBUVCKIODVCKCZ-SFHVURJKSA-N 0 1 308.441 3.017 20 30 DGEDMN CCCC(=O)N1CCC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001040577564 656597727 /nfs/dbraw/zinc/59/77/27/656597727.db2.gz XSPCKMQYPQNHSA-UHFFFAOYSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3=CCCC3)C2)CC1 ZINC001040668550 656602038 /nfs/dbraw/zinc/60/20/38/656602038.db2.gz CLVXCEPIYPDCOV-UHFFFAOYSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3[nH]ccc3C)C2)CC1 ZINC001040689198 656602645 /nfs/dbraw/zinc/60/26/45/656602645.db2.gz VHZWLRTXCBMQJQ-UHFFFAOYSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)CC1 ZINC001040814852 656608004 /nfs/dbraw/zinc/60/80/04/656608004.db2.gz HPJMYAMZNKFRKM-ZSHCYNCHSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@H]3C(C)C)C2)CC1 ZINC001040899098 656613763 /nfs/dbraw/zinc/61/37/63/656613763.db2.gz JKCCUGGFVJXONP-JKSUJKDBSA-N 0 1 324.896 3.346 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1c(C)oc2ccccc21 ZINC001049496667 656630921 /nfs/dbraw/zinc/63/09/21/656630921.db2.gz CWFJNSJEIGCXLY-IAGOWNOFSA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1c(C)oc2ccccc21 ZINC001049496666 656630933 /nfs/dbraw/zinc/63/09/33/656630933.db2.gz CWFJNSJEIGCXLY-DLBZAZTESA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(CCC)s1 ZINC001049498153 656631074 /nfs/dbraw/zinc/63/10/74/656631074.db2.gz MHOOZPLIINHREJ-CVEARBPZSA-N 0 1 316.470 3.013 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2c(o1)CCCC2 ZINC001049515059 656634208 /nfs/dbraw/zinc/63/42/08/656634208.db2.gz LQYNJULCJZFLBT-HZPDHXFCSA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1C(C)C ZINC001049513033 656634439 /nfs/dbraw/zinc/63/44/39/656634439.db2.gz VJMHFZGXYZUURF-MOPGFXCFSA-N 0 1 310.441 3.122 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522141 656634893 /nfs/dbraw/zinc/63/48/93/656634893.db2.gz DHOPUZMKLDFPCZ-IRXDYDNUSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1coc2c1cccc2C ZINC001049521367 656634965 /nfs/dbraw/zinc/63/49/65/656634965.db2.gz HKFAPMHLXNXUSF-ZWKOTPCHSA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522140 656635535 /nfs/dbraw/zinc/63/55/35/656635535.db2.gz DHOPUZMKLDFPCZ-IAGOWNOFSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581031 656644971 /nfs/dbraw/zinc/64/49/71/656644971.db2.gz XPFZDWSVLLLFSW-HUUCEWRRSA-N 0 1 304.459 3.175 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(C)oc3ccccc32)CC1 ZINC000981233183 656653980 /nfs/dbraw/zinc/65/39/80/656653980.db2.gz NKBBWTSTTQGQKS-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662117 656659776 /nfs/dbraw/zinc/65/97/76/656659776.db2.gz DRPGRNRVYHOSDZ-IAGOWNOFSA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662117 656659782 /nfs/dbraw/zinc/65/97/82/656659782.db2.gz DRPGRNRVYHOSDZ-IAGOWNOFSA-N 0 1 314.429 3.105 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2ncccc2s1 ZINC001028067729 656660235 /nfs/dbraw/zinc/66/02/35/656660235.db2.gz AWZCVXZGPKTARL-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2ccoc2C(F)(F)F)CC1 ZINC000981247456 656660523 /nfs/dbraw/zinc/66/05/23/656660523.db2.gz YXRHWZPBWAHXLI-UHFFFAOYSA-N 0 1 316.323 3.022 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccoc2C(F)(F)F)CC1 ZINC000981247456 656660527 /nfs/dbraw/zinc/66/05/27/656660527.db2.gz YXRHWZPBWAHXLI-UHFFFAOYSA-N 0 1 316.323 3.022 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C)CCCCC1 ZINC001049689631 656665630 /nfs/dbraw/zinc/66/56/30/656665630.db2.gz AXSQJYKTUCZXGW-IAGOWNOFSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@@H]2CCN3C[C@@H](F)CC)C1 ZINC001049702441 656669829 /nfs/dbraw/zinc/66/98/29/656669829.db2.gz LKSVKGOUGGVJPU-XHSDSOJGSA-N 0 1 308.441 3.156 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@@H]2CCN3C[C@H](F)CC)C1 ZINC001049702440 656670202 /nfs/dbraw/zinc/67/02/02/656670202.db2.gz LKSVKGOUGGVJPU-OAGGEKHMSA-N 0 1 308.441 3.156 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001049763168 656682770 /nfs/dbraw/zinc/68/27/70/656682770.db2.gz UQUCDWHNODQGQT-XLSPSMHOSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccccc2C(C)(C)C)CC1 ZINC000981411250 656693510 /nfs/dbraw/zinc/69/35/10/656693510.db2.gz TWGNYXYCNHGCGA-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2[nH]ccc2s1 ZINC001049868782 656705918 /nfs/dbraw/zinc/70/59/18/656705918.db2.gz XPILNXWOCZVQIX-UONOGXRCSA-N 0 1 315.442 3.094 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(C(F)F)o1 ZINC001049870842 656707004 /nfs/dbraw/zinc/70/70/04/656707004.db2.gz OGWKGCSWJZFGQI-NWDGAFQWSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049873661 656708114 /nfs/dbraw/zinc/70/81/14/656708114.db2.gz XZWMJAMQOGMVMM-NEPJUHHUSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(CC)s1 ZINC001049882285 656712305 /nfs/dbraw/zinc/71/23/05/656712305.db2.gz WHKMSHIMVDOMEP-GJZGRUSLSA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(CCC)s3)C[C@@H]21 ZINC001042079503 656720613 /nfs/dbraw/zinc/72/06/13/656720613.db2.gz DQRLIPBFYJVEOM-ZBFHGGJFSA-N 0 1 318.486 3.423 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2ccc(CCCC)cc2)CC1 ZINC000981565573 656724762 /nfs/dbraw/zinc/72/47/62/656724762.db2.gz HLXNOOQULUBFGC-UHFFFAOYSA-N 0 1 314.473 3.292 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001049923333 656725006 /nfs/dbraw/zinc/72/50/06/656725006.db2.gz KPCNVSYBPOLKRL-NLWGTHIKSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(Cl)cc2F)CC1 ZINC000981578078 656727641 /nfs/dbraw/zinc/72/76/41/656727641.db2.gz ADUQAEUDAAEGME-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C)C(C)(C)C1(C)C ZINC001049936280 656727814 /nfs/dbraw/zinc/72/78/14/656727814.db2.gz ILUPTOAZLZBIRH-JKSUJKDBSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc3c4c(cccc42)CC3)CC1 ZINC000981588928 656730136 /nfs/dbraw/zinc/73/01/36/656730136.db2.gz FQKHXIQDHUUQAU-UHFFFAOYSA-N 0 1 320.436 3.272 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@]34C[C@H]3CCCC4)cccc2C1 ZINC001051299227 656742479 /nfs/dbraw/zinc/74/24/79/656742479.db2.gz ZUGIPANJESDEIC-WIYYLYMNSA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccc(C4CCC4)c3)C[C@H]21 ZINC001042314525 656750424 /nfs/dbraw/zinc/75/04/24/656750424.db2.gz RQAUVHRDSWFJDT-FXAWDEMLSA-N 0 1 322.452 3.124 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](C)c2ccco2)CC1 ZINC001052704595 656751893 /nfs/dbraw/zinc/75/18/93/656751893.db2.gz DFJSZUYIVDQAGY-UONOGXRCSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3ccc(C)cc3[nH]2)CC1 ZINC000981708432 656752664 /nfs/dbraw/zinc/75/26/64/656752664.db2.gz RDCOOQWNULRKOT-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(C)cc(F)cc2C)CC1 ZINC000981737727 656755530 /nfs/dbraw/zinc/75/55/30/656755530.db2.gz HJQGOVWYLQFFLF-UHFFFAOYSA-N 0 1 304.409 3.167 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3sccc3C3CC3)C[C@@H]21 ZINC001042340892 656760298 /nfs/dbraw/zinc/76/02/98/656760298.db2.gz JECBLXWSLFHKNR-ZBFHGGJFSA-N 0 1 316.470 3.348 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(C)c(C)s3)C[C@H]21 ZINC001042345242 656761803 /nfs/dbraw/zinc/76/18/03/656761803.db2.gz KHEXUTFAQYALNW-HUUCEWRRSA-N 0 1 304.459 3.087 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(CC)c(C)s3)C[C@H]21 ZINC001042345454 656761863 /nfs/dbraw/zinc/76/18/63/656761863.db2.gz LMIOGTCQHHPMHC-HZPDHXFCSA-N 0 1 318.486 3.341 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccccc3CC(C)C)C[C@H]21 ZINC001042376045 656768693 /nfs/dbraw/zinc/76/86/93/656768693.db2.gz NSFNJRYUMGADRD-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CC[C@@H](C(F)(F)F)C2)CC1 ZINC000981834083 656779938 /nfs/dbraw/zinc/77/99/38/656779938.db2.gz QJWFJCBUYZSSQT-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(C)cc2)CC1 ZINC001052891647 656785181 /nfs/dbraw/zinc/78/51/81/656785181.db2.gz YGAMWLICBFJUJU-INIZCTEOSA-N 0 1 306.837 3.332 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000981869808 656790736 /nfs/dbraw/zinc/79/07/36/656790736.db2.gz ZDEYSYVGQJPNDT-AWEZNQCLSA-N 0 1 306.837 3.164 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC001053000494 656811138 /nfs/dbraw/zinc/81/11/38/656811138.db2.gz SLGYSYQCDJVMMH-SJORKVTESA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C(C)(C)C(C)(C)C)C2)C1 ZINC000981937850 656813320 /nfs/dbraw/zinc/81/33/20/656813320.db2.gz OPYJIZWOYCVZQF-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCOc1ccccc1C(=O)N(C)C1CN(C[C@H](C)CC)C1 ZINC001043117057 656813510 /nfs/dbraw/zinc/81/35/10/656813510.db2.gz DFDFSCQZBIEDCA-OAHLLOKOSA-N 0 1 316.445 3.054 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001028160382 656819688 /nfs/dbraw/zinc/81/96/88/656819688.db2.gz SWVKVZSWOKPFAU-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc(-n3cccc3)c2)C1 ZINC001043246071 656820218 /nfs/dbraw/zinc/82/02/18/656820218.db2.gz HCWXXFPGVKZACK-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(c3ccccc3)CCC2)C1 ZINC001043270487 656821229 /nfs/dbraw/zinc/82/12/29/656821229.db2.gz NRAMDLRZOYVDTH-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001043348435 656826594 /nfs/dbraw/zinc/82/65/94/656826594.db2.gz VLUYGAACTSZHDY-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CC3CCC(C)CC3)C2)cc1 ZINC001043505161 656834148 /nfs/dbraw/zinc/83/41/48/656834148.db2.gz DHGUAQSXWAAZAD-UHFFFAOYSA-N 0 1 324.468 3.250 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CN(CCC3CCCCC3)C2)C1 ZINC001043813291 656858832 /nfs/dbraw/zinc/85/88/32/656858832.db2.gz JRAZAMJXOKQLGS-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001044033990 656874800 /nfs/dbraw/zinc/87/48/00/656874800.db2.gz CTMGXMRMLYSOIW-RBUKOAKNSA-N 0 1 312.457 3.207 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001044041454 656874910 /nfs/dbraw/zinc/87/49/10/656874910.db2.gz JMJVFJBTIMYLTO-UHFFFAOYSA-N 0 1 310.441 3.368 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CC)CC3CCCC3)C2)C1 ZINC000982051618 656876919 /nfs/dbraw/zinc/87/69/19/656876919.db2.gz SSGSHQTVLVXKGL-GOSISDBHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1cocc1C ZINC001054051131 656891760 /nfs/dbraw/zinc/89/17/60/656891760.db2.gz VMRFUWBBOHXUIU-GOSISDBHSA-N 0 1 324.424 3.321 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCCCC3(C)C)C2)C1 ZINC000982167303 656909093 /nfs/dbraw/zinc/90/90/93/656909093.db2.gz HMNZHQUAZSRIEZ-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001044354255 656911071 /nfs/dbraw/zinc/91/10/71/656911071.db2.gz CVUHKWOFIVGRBP-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H]3CCCCC3(C)C)C2)C1 ZINC000982174923 656913095 /nfs/dbraw/zinc/91/30/95/656913095.db2.gz LMVDSQZXRKPWLQ-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1CC(C)(C)C1)C2 ZINC001054126810 656916198 /nfs/dbraw/zinc/91/61/98/656916198.db2.gz OJPHMPAJZKQOJJ-SFHVURJKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2cc(C)oc2C)CC1 ZINC000982222353 656920091 /nfs/dbraw/zinc/92/00/91/656920091.db2.gz RUDCNMIHZORTKO-OAHLLOKOSA-N 0 1 304.434 3.110 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@]2(C)CCCc3ccccc32)CC1 ZINC000982221931 656920298 /nfs/dbraw/zinc/92/02/98/656920298.db2.gz KJTNXDJACXZJHV-HXUWFJFHSA-N 0 1 312.457 3.001 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001028226287 656925364 /nfs/dbraw/zinc/92/53/64/656925364.db2.gz JDVKPTKBPWEJKJ-KZNAEPCWSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001028226287 656925368 /nfs/dbraw/zinc/92/53/68/656925368.db2.gz JDVKPTKBPWEJKJ-KZNAEPCWSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C(C)(C)C1CC1)C2 ZINC001054182695 656928620 /nfs/dbraw/zinc/92/86/20/656928620.db2.gz PVAYSEBDPCSILW-SFHVURJKSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc2sccc2c1 ZINC001028236709 656932698 /nfs/dbraw/zinc/93/26/98/656932698.db2.gz NZJNGZSNBPZOQR-MRXNPFEDSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1[nH]c2ccc(C)cc2c1C ZINC001028236756 656933252 /nfs/dbraw/zinc/93/32/52/656933252.db2.gz OUJABBQQYXUWHV-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1CC3(CC3)C1)C2 ZINC001054196088 656934316 /nfs/dbraw/zinc/93/43/16/656934316.db2.gz RUXOPFFTYHWSAI-SFHVURJKSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCCC[C@@H]3C)cc2C1 ZINC001054279146 656959260 /nfs/dbraw/zinc/95/92/60/656959260.db2.gz VOCGMSSOXQYHOZ-JXFKEZNVSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3C3CC3)cc2C1 ZINC001054284954 656961987 /nfs/dbraw/zinc/96/19/87/656961987.db2.gz MMLGOUUIIOXYER-RBUKOAKNSA-N 0 1 310.441 3.241 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccnc(C)c3)cc2C1 ZINC001054286456 656962459 /nfs/dbraw/zinc/96/24/59/656962459.db2.gz FYVILCASYGSSPU-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(-c2cccs2)o1 ZINC001028294199 656979740 /nfs/dbraw/zinc/97/97/40/656979740.db2.gz WNCGQBVBXGOOMU-CYBMUJFWSA-N 0 1 316.426 3.388 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1ccccc1CC(C)C ZINC001028341278 656999459 /nfs/dbraw/zinc/99/94/59/656999459.db2.gz HLQOSYFHHCVWCW-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccccc1CC(C)C ZINC001028341278 656999461 /nfs/dbraw/zinc/99/94/61/656999461.db2.gz HLQOSYFHHCVWCW-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C2CCCC2)C[C@@H]1C ZINC001054740873 657005163 /nfs/dbraw/zinc/00/51/63/657005163.db2.gz XBIQNQJXRQXRMR-WFASDCNBSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(CC)c(CC)c1 ZINC001028359474 657007169 /nfs/dbraw/zinc/00/71/69/657007169.db2.gz QVGQGFMOAZYLRO-IBGZPJMESA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CC)s2)C[C@H]1C ZINC001054879496 657018229 /nfs/dbraw/zinc/01/82/29/657018229.db2.gz QTBNNWLINLVVPW-ZWNOBZJWSA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2CCC)C[C@@H]1C ZINC001054889402 657018748 /nfs/dbraw/zinc/01/87/48/657018748.db2.gz XZJQPYVCNBVNFI-SUMWQHHRSA-N 0 1 320.864 3.442 20 30 DGEDMN CC1(C)C[C@H]1C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001045411115 657020902 /nfs/dbraw/zinc/02/09/02/657020902.db2.gz SMIASBTZYRIDRR-SFHVURJKSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](NCc2ccc(F)cc2F)[C@@H](C)C1 ZINC001054976920 657027658 /nfs/dbraw/zinc/02/76/58/657027658.db2.gz QUXRWEWEQNJQJN-LRDDRELGSA-N 0 1 322.399 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2cccc(F)c2F)C1 ZINC001054977465 657027981 /nfs/dbraw/zinc/02/79/81/657027981.db2.gz YDUCLOZZKZCRPR-DOMZBBRYSA-N 0 1 322.399 3.114 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2occc2C(C)C)C[C@@H]1C ZINC001055002242 657030528 /nfs/dbraw/zinc/03/05/28/657030528.db2.gz UKMHNNDFBXHEAX-FZMZJTMJSA-N 0 1 310.825 3.206 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C2CCC2)C2CCC2)C[C@@H]1C ZINC001055019455 657032336 /nfs/dbraw/zinc/03/23/36/657032336.db2.gz ZCGQWUIIUUSZDT-LRDDRELGSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C2CCC2)C2CCC2)C[C@H]1C ZINC001055019456 657032353 /nfs/dbraw/zinc/03/23/53/657032353.db2.gz ZCGQWUIIUUSZDT-MLGOLLRUSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2CC)C[C@@H]1C ZINC001055020967 657032758 /nfs/dbraw/zinc/03/27/58/657032758.db2.gz VYBOBTZWBAWBQT-BLLLJJGKSA-N 0 1 306.837 3.052 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(F)c3ccccc23)CC1 ZINC001045566522 657036824 /nfs/dbraw/zinc/03/68/24/657036824.db2.gz DXABKSSCMIUCEV-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(-c3ccccc3)co2)CC1 ZINC001045658907 657050575 /nfs/dbraw/zinc/05/05/75/657050575.db2.gz USOJKGTZMHQTGZ-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C[C@@H](F)CC ZINC001045825083 657069137 /nfs/dbraw/zinc/06/91/37/657069137.db2.gz NZVYVKCYVKAPOH-XHSDSOJGSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)CC(C)C)C2 ZINC001045910946 657087270 /nfs/dbraw/zinc/08/72/70/657087270.db2.gz VYABUMMNSVKKOQ-KRWDZBQOSA-N 0 1 312.885 3.490 20 30 DGEDMN COc1ncccc1CNCc1cccc(OCCCC#N)c1 ZINC000237123102 657100062 /nfs/dbraw/zinc/10/00/62/657100062.db2.gz NGWMJEXWNVCCQS-UHFFFAOYSA-N 0 1 311.385 3.063 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C1(C)CC1)C2 ZINC001045940104 657101036 /nfs/dbraw/zinc/10/10/36/657101036.db2.gz QCKSKGZMEVJEQD-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001046320130 657147042 /nfs/dbraw/zinc/14/70/42/657147042.db2.gz PISOPDNJORHWGZ-MRXNPFEDSA-N 0 1 312.335 3.144 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc(CCCC)cc2)CC1 ZINC001000919538 657163708 /nfs/dbraw/zinc/16/37/08/657163708.db2.gz AKDLXBDFYBSDOG-UHFFFAOYSA-N 0 1 310.441 3.024 20 30 DGEDMN C#CCCN1CC[C@](C)(NC(=O)c2cc3ccccc3s2)C1 ZINC001046458969 657163810 /nfs/dbraw/zinc/16/38/10/657163810.db2.gz UWWSVZDETLJNAS-SFHVURJKSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc3ccccc3cc2F)C1 ZINC001046476945 657168154 /nfs/dbraw/zinc/16/81/54/657168154.db2.gz LCCKLWJFIMFYSI-FQEVSTJZSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2cc(C3CC3)oc2C2CC2)CC1 ZINC001000975517 657170114 /nfs/dbraw/zinc/17/01/14/657170114.db2.gz IRNDEGTYVWHUDJ-UHFFFAOYSA-N 0 1 324.424 3.030 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3ncccc3s2)C1 ZINC001046528143 657172635 /nfs/dbraw/zinc/17/26/35/657172635.db2.gz RVOIOJMUJGHHPT-QGZVFWFLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C1CCC(C(=O)N[C@@]2(C)CCN(CCC(F)(F)F)C2)CC1 ZINC001046594910 657185298 /nfs/dbraw/zinc/18/52/98/657185298.db2.gz ROHATGHFFVJSLT-HNNXBMFYSA-N 0 1 318.383 3.266 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc3ccsc32)C1 ZINC001046748058 657210595 /nfs/dbraw/zinc/21/05/95/657210595.db2.gz DUKUEMTUZPQYNK-GOSISDBHSA-N 0 1 312.438 3.119 20 30 DGEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046758917 657213392 /nfs/dbraw/zinc/21/33/92/657213392.db2.gz UGRHTJFWXMCUCJ-LJQANCHMSA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046758917 657213395 /nfs/dbraw/zinc/21/33/95/657213395.db2.gz UGRHTJFWXMCUCJ-LJQANCHMSA-N 0 1 310.397 3.327 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC001001395933 657213695 /nfs/dbraw/zinc/21/36/95/657213695.db2.gz AELSEMNFRZWAKR-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001046769593 657216010 /nfs/dbraw/zinc/21/60/10/657216010.db2.gz YRSJQLJLAQSBES-KRWDZBQOSA-N 0 1 306.837 3.337 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc(C3CCC3)c2)C1 ZINC001046774554 657216921 /nfs/dbraw/zinc/21/69/21/657216921.db2.gz UNDZSMKUHWCUPQ-FQEVSTJZSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc(C)c(CC)s2)CC1 ZINC001001434209 657220351 /nfs/dbraw/zinc/22/03/51/657220351.db2.gz RDVYVMJABOTPTF-UHFFFAOYSA-N 0 1 316.470 3.004 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc([C@H](C)CC)cc2)CC1 ZINC001001471631 657224724 /nfs/dbraw/zinc/22/47/24/657224724.db2.gz LEPQGPUADGPQFM-MRXNPFEDSA-N 0 1 310.441 3.195 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)C2CCC(C(F)F)CC2)C1 ZINC001046812479 657225754 /nfs/dbraw/zinc/22/57/54/657225754.db2.gz XVPQISUTACLZKW-MQBCKMQZSA-N 0 1 314.420 3.215 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001046816859 657227180 /nfs/dbraw/zinc/22/71/80/657227180.db2.gz KRPHWSDWZMDHFL-UHYCVJNDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001046879485 657242579 /nfs/dbraw/zinc/24/25/79/657242579.db2.gz FHDSKMUEIMCCDA-KRWDZBQOSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)c2ccccc2C)C1 ZINC001046908907 657244151 /nfs/dbraw/zinc/24/41/51/657244151.db2.gz RMVMRHCKPMAHHU-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C2CCCCC2)C1 ZINC001046901846 657244441 /nfs/dbraw/zinc/24/44/41/657244441.db2.gz KAVYDZGGHRAOAX-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2cc(C)ccc2C)C1 ZINC001046991288 657250146 /nfs/dbraw/zinc/25/01/46/657250146.db2.gz DBICWMURNIBJNF-OAHLLOKOSA-N 0 1 322.399 3.176 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc4sccc4c3)[C@@H]2C1 ZINC001050175442 657264702 /nfs/dbraw/zinc/26/47/02/657264702.db2.gz QPEGDFCMAOLGSN-DLBZAZTESA-N 0 1 324.449 3.071 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccsc3)C2)CC1 ZINC001050425624 657305305 /nfs/dbraw/zinc/30/53/05/657305305.db2.gz UGOVKHSEGIVCPV-UHFFFAOYSA-N 0 1 310.850 3.039 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001050508176 657317957 /nfs/dbraw/zinc/31/79/57/657317957.db2.gz RNHZMRVWWPEXNA-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CC[C@H](C)C3)C2)CC1 ZINC001050686497 657343215 /nfs/dbraw/zinc/34/32/15/657343215.db2.gz JGDFEGXRNQVDOL-ZFWWWQNUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@H]3C3CCC3)C2)CC1 ZINC001050695029 657345030 /nfs/dbraw/zinc/34/50/30/657345030.db2.gz TULKUSLILSYJMD-JKSUJKDBSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3C[C@@]3(C)C(C)C)C2)CC1 ZINC001050715765 657346893 /nfs/dbraw/zinc/34/68/93/657346893.db2.gz UMKOFSRFYPUXBH-WBVHZDCISA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3C[C@]3(C)C(C)C)C2)CC1 ZINC001050715763 657347263 /nfs/dbraw/zinc/34/72/63/657347263.db2.gz UMKOFSRFYPUXBH-NVXWUHKLSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccccc3C)cccc2C1 ZINC001051278191 657379064 /nfs/dbraw/zinc/37/90/64/657379064.db2.gz KUXXRPBRLDORCT-UHFFFAOYSA-N 0 1 320.436 3.469 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cc(C)[nH]c3C)cccc2C1 ZINC001051300938 657383401 /nfs/dbraw/zinc/38/34/01/657383401.db2.gz POCSHXGYJDCHHT-UHFFFAOYSA-N 0 1 323.440 3.106 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCC[C@H]3CC)cccc2C1 ZINC001051299374 657383820 /nfs/dbraw/zinc/38/38/20/657383820.db2.gz IGJDAOPOCNVEDI-OXQOHEQNSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3C[C@H]3CCC)cccc2C1 ZINC001051298828 657384086 /nfs/dbraw/zinc/38/40/86/657384086.db2.gz LLOVBNOCDSUIOP-DNVCBOLYSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCC(C)(C)C3)cccc2C1 ZINC001051299882 657384102 /nfs/dbraw/zinc/38/41/02/657384102.db2.gz QPVWCQYMUVETDX-MRXNPFEDSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC000957283399 657395105 /nfs/dbraw/zinc/39/51/05/657395105.db2.gz YKHYUTMXVXSAMC-UHFFFAOYSA-N 0 1 317.477 3.326 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968404653 657407239 /nfs/dbraw/zinc/40/72/39/657407239.db2.gz GBBLZGLBHHRJFS-XZZQLLPFSA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC000968405532 657407589 /nfs/dbraw/zinc/40/75/89/657407589.db2.gz NKCDWUFBHQIEJV-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413835 657408820 /nfs/dbraw/zinc/40/88/20/657408820.db2.gz NMIWOBJNSCLELC-CJNGLKHVSA-N 0 1 320.383 3.088 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413742 657408923 /nfs/dbraw/zinc/40/89/23/657408923.db2.gz MQPIAVMMOHUBJL-DOMZBBRYSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC000968467770 657414770 /nfs/dbraw/zinc/41/47/70/657414770.db2.gz HPQGQYDIUCDARG-NVXWUHKLSA-N 0 1 315.461 3.229 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2coc3ccc(F)cc32)CC1 ZINC000957484805 657420964 /nfs/dbraw/zinc/42/09/64/657420964.db2.gz DJCNHIZBEIDJQK-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968528607 657421853 /nfs/dbraw/zinc/42/18/53/657421853.db2.gz CKRGOYPGFACDGV-KBPBESRZSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968562832 657427375 /nfs/dbraw/zinc/42/73/75/657427375.db2.gz XEUHIRILXAASEM-BBRMVZONSA-N 0 1 320.383 3.088 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC000968575324 657430947 /nfs/dbraw/zinc/43/09/47/657430947.db2.gz UZFDCDHSRUKQSG-MVJVIOSPSA-N 0 1 316.489 3.215 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC000968580006 657432445 /nfs/dbraw/zinc/43/24/45/657432445.db2.gz RZUSQAUYQGPXMA-KXBFYZLASA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968589316 657435186 /nfs/dbraw/zinc/43/51/86/657435186.db2.gz UHVQCRORPXVSMR-PWSUYJOCSA-N 0 1 312.866 3.336 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592747 657435458 /nfs/dbraw/zinc/43/54/58/657435458.db2.gz PQENURNRODXJLQ-GXTWGEPZSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592747 657435461 /nfs/dbraw/zinc/43/54/61/657435461.db2.gz PQENURNRODXJLQ-GXTWGEPZSA-N 0 1 317.458 3.246 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968610384 657441857 /nfs/dbraw/zinc/44/18/57/657441857.db2.gz PEAGIJRJNCXVJU-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968620695 657444736 /nfs/dbraw/zinc/44/47/36/657444736.db2.gz YBBSGFBOMKTEJV-OXQOHEQNSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968624466 657447693 /nfs/dbraw/zinc/44/76/93/657447693.db2.gz UDJNNHQEVDAHSK-RHSMWYFYSA-N 0 1 300.446 3.238 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc3ccccc3c2)C1 ZINC000968626997 657448356 /nfs/dbraw/zinc/44/83/56/657448356.db2.gz FXPKLCNLRUGABH-OXQOHEQNSA-N 0 1 322.452 3.395 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684696 657457641 /nfs/dbraw/zinc/45/76/41/657457641.db2.gz HEQDEVGXDJLAJB-GDBMZVCRSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684696 657457645 /nfs/dbraw/zinc/45/76/45/657457645.db2.gz HEQDEVGXDJLAJB-GDBMZVCRSA-N 0 1 304.434 3.419 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](c2ccc(F)cc2)C(C)C)CC1 ZINC000957812063 657464455 /nfs/dbraw/zinc/46/44/55/657464455.db2.gz HKRNFKMADXPEIU-GOSISDBHSA-N 0 1 318.436 3.286 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CCCCc3ccccc32)CC1 ZINC000957819548 657465871 /nfs/dbraw/zinc/46/58/71/657465871.db2.gz NSTPVZNKCWZYBZ-IBGZPJMESA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC000968711381 657466823 /nfs/dbraw/zinc/46/68/23/657466823.db2.gz YFMNRRYEMYVSOE-HNAYVOBHSA-N 0 1 314.473 3.438 20 30 DGEDMN C=C(C)CN1CCCCC[C@@H]1CNC(=O)c1cnc(C)s1 ZINC000968780781 657485497 /nfs/dbraw/zinc/48/54/97/657485497.db2.gz IXWBLHWURMSQHY-CQSZACIVSA-N 0 1 307.463 3.002 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccnn1[C@@H](C)CC ZINC000968879493 657508366 /nfs/dbraw/zinc/50/83/66/657508366.db2.gz HRANSMNISQNCIM-JKSUJKDBSA-N 0 1 318.465 3.015 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC2CCCCC2)c1 ZINC000127041276 657515229 /nfs/dbraw/zinc/51/52/29/657515229.db2.gz OHFKCTTZMADFAR-INIZCTEOSA-N 0 1 314.385 3.313 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1ccc(CC)s1 ZINC000968938577 657518044 /nfs/dbraw/zinc/51/80/44/657518044.db2.gz MBEDBMZUGYNZIE-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1ccoc1C1CC1 ZINC000969004786 657531180 /nfs/dbraw/zinc/53/11/80/657531180.db2.gz CLJDWLQJYFVPQY-INIZCTEOSA-N 0 1 314.429 3.155 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccoc1C1CC1 ZINC000969004786 657531184 /nfs/dbraw/zinc/53/11/84/657531184.db2.gz CLJDWLQJYFVPQY-INIZCTEOSA-N 0 1 314.429 3.155 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1 ZINC000969010877 657533006 /nfs/dbraw/zinc/53/30/06/657533006.db2.gz GJMWUJIXBWFCQJ-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(F)ccc1Cl ZINC000969044026 657541560 /nfs/dbraw/zinc/54/15/60/657541560.db2.gz FSXBKHPVVLGALV-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(F)ccc1Cl ZINC000969044025 657541972 /nfs/dbraw/zinc/54/19/72/657541972.db2.gz FSXBKHPVVLGALV-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(Cl)ccc1C ZINC000969079194 657549806 /nfs/dbraw/zinc/54/98/06/657549806.db2.gz FBLMVGPXJGSSDS-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1csc(C)c1 ZINC000969070939 657550068 /nfs/dbraw/zinc/55/00/68/657550068.db2.gz XVNMGGVXXHKECX-INIZCTEOSA-N 0 1 304.459 3.054 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCCCCCC1 ZINC000007486788 657552213 /nfs/dbraw/zinc/55/22/13/657552213.db2.gz ILPCFKWCWVDQOX-UHFFFAOYSA-N 0 1 305.809 3.416 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc3scnc32)C1 ZINC001007322893 657594162 /nfs/dbraw/zinc/59/41/62/657594162.db2.gz WPUKTIZHDKIZBL-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2coc3ccc(C)cc23)C1 ZINC001007441408 657610426 /nfs/dbraw/zinc/61/04/26/657610426.db2.gz PGGKNKQVRHIGBC-INIZCTEOSA-N 0 1 312.413 3.050 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001007640831 657625504 /nfs/dbraw/zinc/62/55/04/657625504.db2.gz NAZSGQPRHMGDDT-OAHLLOKOSA-N 0 1 313.445 3.150 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cn(CC)c3ccccc23)C1 ZINC001007844689 657639243 /nfs/dbraw/zinc/63/92/43/657639243.db2.gz KRDFFUJFARRQMB-HNNXBMFYSA-N 0 1 311.429 3.041 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001007847015 657640183 /nfs/dbraw/zinc/64/01/83/657640183.db2.gz UQUMJSBHRHFMAX-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001007860728 657640967 /nfs/dbraw/zinc/64/09/67/657640967.db2.gz VYZPWYTYTUXZPA-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccc(F)cc3)CCC2)C1 ZINC001008128876 657663624 /nfs/dbraw/zinc/66/36/24/657663624.db2.gz LPTYPQAXOUBBLK-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC000985440201 657672394 /nfs/dbraw/zinc/67/23/94/657672394.db2.gz IKIDNNQNIFMLRH-HLLBOEOZSA-N 0 1 322.880 3.264 20 30 DGEDMN CC(C)(C#N)CCCN1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000305069842 657673083 /nfs/dbraw/zinc/67/30/83/657673083.db2.gz KWFUJEGRPKLGKF-ROUUACIJSA-N 0 1 316.445 3.141 20 30 DGEDMN CC(C)(C#N)CCCN1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000305069841 657673384 /nfs/dbraw/zinc/67/33/84/657673384.db2.gz KWFUJEGRPKLGKF-QZTJIDSGSA-N 0 1 316.445 3.141 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001008370212 657688637 /nfs/dbraw/zinc/68/86/37/657688637.db2.gz ILHOGDUWTOTMBN-MRXNPFEDSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001008397728 657691670 /nfs/dbraw/zinc/69/16/70/657691670.db2.gz FIMIYFYHDXSFNF-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001008717751 657726211 /nfs/dbraw/zinc/72/62/11/657726211.db2.gz AOEPXSQIPKPLSM-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001008962861 657741692 /nfs/dbraw/zinc/74/16/92/657741692.db2.gz RBVJUHRPUWPCMK-XLIONFOSSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3scnc3c2)C1 ZINC001009058161 657744249 /nfs/dbraw/zinc/74/42/49/657744249.db2.gz LHIZUJVYUUSWDW-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2occ3c2CCC3)CC1 ZINC000985559788 657755116 /nfs/dbraw/zinc/75/51/16/657755116.db2.gz XDEXQASNOIHYHU-UHFFFAOYSA-N 0 1 322.836 3.057 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001009200241 657756238 /nfs/dbraw/zinc/75/62/38/657756238.db2.gz GAMZUGKAWHLEOB-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001009219640 657756948 /nfs/dbraw/zinc/75/69/48/657756948.db2.gz LVKNBEJSLJKSAH-LJQANCHMSA-N 0 1 310.441 3.416 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001009241669 657758355 /nfs/dbraw/zinc/75/83/55/657758355.db2.gz CKFSNOFDKLPCQA-CQSZACIVSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC001009280957 657762345 /nfs/dbraw/zinc/76/23/45/657762345.db2.gz IRBCLDVFYHSDBP-OAHLLOKOSA-N 0 1 308.372 3.395 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2C(F)(F)F)C1 ZINC001009309457 657767002 /nfs/dbraw/zinc/76/70/02/657767002.db2.gz VCSBDYAEHUOXRE-GFCCVEGCSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc[nH]c2C(C)C)CC1 ZINC000985598990 657778827 /nfs/dbraw/zinc/77/88/27/657778827.db2.gz RFCHFAUUBKSCNW-UHFFFAOYSA-N 0 1 323.868 3.427 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001009417503 657780655 /nfs/dbraw/zinc/78/06/55/657780655.db2.gz KCBMKSIDUPNAPW-UONOGXRCSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001009430162 657786664 /nfs/dbraw/zinc/78/66/64/657786664.db2.gz BLTQURVJGOUENC-CQSZACIVSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC001009664767 657806986 /nfs/dbraw/zinc/80/69/86/657806986.db2.gz XPYAIGMIVRIMEI-MRXNPFEDSA-N 0 1 312.457 3.254 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001009780247 657817336 /nfs/dbraw/zinc/81/73/36/657817336.db2.gz MBUSXQMZOGPAOH-SFHVURJKSA-N 0 1 300.446 3.192 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960448117 657818617 /nfs/dbraw/zinc/81/86/17/657818617.db2.gz FSIPTHHGGORNQP-KGLIPLIRSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)c2ccccc2)CC1 ZINC000985754649 657833160 /nfs/dbraw/zinc/83/31/60/657833160.db2.gz RJVKEHMBYNWEFW-HNNXBMFYSA-N 0 1 320.864 3.465 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(F)s2)CC1 ZINC000985813047 657867544 /nfs/dbraw/zinc/86/75/44/657867544.db2.gz FYSNERBGQYFNME-UHFFFAOYSA-N 0 1 316.829 3.176 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C2CCC2)C1 ZINC001029916883 657993847 /nfs/dbraw/zinc/99/38/47/657993847.db2.gz FGRYBRHQFCDFBM-HXUWFJFHSA-N 0 1 324.468 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(F)=C2CCCC2)[C@@H]1C ZINC000986148330 658001705 /nfs/dbraw/zinc/00/17/05/658001705.db2.gz KYPGDXDDUWRMOG-DGCLKSJQSA-N 0 1 300.805 3.116 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@@H]2CC23CC3)C1 ZINC001029920902 658005794 /nfs/dbraw/zinc/00/57/94/658005794.db2.gz BQFBYXPQKAJHMY-OALUTQOASA-N 0 1 324.468 3.466 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001014447895 658015581 /nfs/dbraw/zinc/01/55/81/658015581.db2.gz REDZCAIIDWBIAH-OAHLLOKOSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccccc2CC)[C@H]1C ZINC000986420467 658047633 /nfs/dbraw/zinc/04/76/33/658047633.db2.gz ULDUKOZWKUMGPL-WMLDXEAASA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccc(C)cc2)[C@@H]1C ZINC000986524320 658060531 /nfs/dbraw/zinc/06/05/31/658060531.db2.gz RPJPRPNENKOBTA-WBVHZDCISA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Br)CN[C@@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000179743260 658073005 /nfs/dbraw/zinc/07/30/05/658073005.db2.gz RIHSKBPJADZOGK-OAHLLOKOSA-N 0 1 324.218 3.407 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc(C)ccc2C)[C@H]1C ZINC000986791076 658095396 /nfs/dbraw/zinc/09/53/96/658095396.db2.gz DWKIVCZTGCSNFP-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(C2CCCC2)c1 ZINC000962293230 658101557 /nfs/dbraw/zinc/10/15/57/658101557.db2.gz OJGIJQOGTHKUAA-PMOLBWCYSA-N 0 1 322.452 3.028 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc(C)cc(C)c2)[C@H]1C ZINC000986813658 658103060 /nfs/dbraw/zinc/10/30/60/658103060.db2.gz PJJDIPFZAAYAKZ-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001015696701 658169236 /nfs/dbraw/zinc/16/92/36/658169236.db2.gz FGPWBQNLSKFHME-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001015733536 658173437 /nfs/dbraw/zinc/17/34/37/658173437.db2.gz SCTRWOWVNNGOIR-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)cc2C)[C@H]1C ZINC000987378043 658195638 /nfs/dbraw/zinc/19/56/38/658195638.db2.gz QQXXEQRNXJAQNU-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3[nH]ccc3s2)[C@@H]1C ZINC000987421401 658204049 /nfs/dbraw/zinc/20/40/49/658204049.db2.gz LNUSUBSNJZBLMP-MNOVXSKESA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccccc3[nH]2)[C@H]1C ZINC000987497842 658221803 /nfs/dbraw/zinc/22/18/03/658221803.db2.gz ZKDGSFFAZWEROA-JSGCOSHPSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2CC)[C@H]1C ZINC000987567892 658231998 /nfs/dbraw/zinc/23/19/98/658231998.db2.gz PFQXZQZWDPWJMF-AAEUAGOBSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001016088333 658236461 /nfs/dbraw/zinc/23/64/61/658236461.db2.gz PBPSXNKFLAQHSX-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3c2CCC3)[C@@H]1C ZINC000987595954 658239758 /nfs/dbraw/zinc/23/97/58/658239758.db2.gz SIJRWGDUNPZUKW-CXAGYDPISA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001016123406 658241479 /nfs/dbraw/zinc/24/14/79/658241479.db2.gz NOSAMHXMAJSTTA-QGZVFWFLSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001016173759 658247471 /nfs/dbraw/zinc/24/74/71/658247471.db2.gz NAKLHMSUXLPAIZ-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001016394318 658278309 /nfs/dbraw/zinc/27/83/09/658278309.db2.gz FZKYLHNBYQJULT-INIZCTEOSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001016411729 658280353 /nfs/dbraw/zinc/28/03/53/658280353.db2.gz LYOVWAMHUIVEKC-INIZCTEOSA-N 0 1 300.446 3.039 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccc(F)cc2)CC1 ZINC001016470608 658287981 /nfs/dbraw/zinc/28/79/81/658287981.db2.gz MAUFHVMEAYFXCP-HNNXBMFYSA-N 0 1 322.811 3.163 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccccc2)CC1 ZINC001016478693 658289379 /nfs/dbraw/zinc/28/93/79/658289379.db2.gz HCLDAHJGQGDOER-OAHLLOKOSA-N 0 1 304.821 3.023 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cc(C)c(C)o2)CC1 ZINC001016575715 658303338 /nfs/dbraw/zinc/30/33/38/658303338.db2.gz NTXZSMXATOIJCU-OAHLLOKOSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@H]2C=CCCC2)CC1 ZINC001016689721 658317592 /nfs/dbraw/zinc/31/75/92/658317592.db2.gz PDGIUAXYABBSSH-JKSUJKDBSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(C)(C)C2CC2)CC1 ZINC001016784096 658330247 /nfs/dbraw/zinc/33/02/47/658330247.db2.gz UEMJQSVXJKPTOC-CQSZACIVSA-N 0 1 310.869 3.146 20 30 DGEDMN CC(C(=O)N1C[C@H](NCc2ccccc2C#N)C[C@H]1C)=C1CCC1 ZINC000988818925 658408132 /nfs/dbraw/zinc/40/81/32/658408132.db2.gz KUZRPMHRHPAYOZ-AUUYWEPGSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2cccc(CC)c2)C1 ZINC000989055262 658429932 /nfs/dbraw/zinc/42/99/32/658429932.db2.gz GWJOCPJOXDQUJM-WMLDXEAASA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CC ZINC001017515042 658435620 /nfs/dbraw/zinc/43/56/20/658435620.db2.gz GJVPVHFMEBYSLY-GASCZTMLSA-N 0 1 302.418 3.097 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)sc1C ZINC001017715006 658453431 /nfs/dbraw/zinc/45/34/31/658453431.db2.gz VNIIWEVGNBTUPQ-GASCZTMLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2cccc(C)c2)C1 ZINC000989238848 658455154 /nfs/dbraw/zinc/45/51/54/658455154.db2.gz VGSVGOJSGRYPDP-WBVHZDCISA-N 0 1 320.864 3.259 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc2ccccc12 ZINC001017780729 658460942 /nfs/dbraw/zinc/46/09/42/658460942.db2.gz SXOKZMMKOYXFMA-GASCZTMLSA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001017785662 658462251 /nfs/dbraw/zinc/46/22/51/658462251.db2.gz XPVFTRPZDQOJOW-CALCHBBNSA-N 0 1 323.440 3.341 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(Cl)c1 ZINC001017877871 658471657 /nfs/dbraw/zinc/47/16/57/658471657.db2.gz FKQJOFBDHJHROE-CALCHBBNSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2sc(C)cc2C)C1 ZINC000966418335 658473520 /nfs/dbraw/zinc/47/35/20/658473520.db2.gz DPVNDGCITRVKRL-IUODEOHRSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccsc1Cl ZINC001018024880 658492653 /nfs/dbraw/zinc/49/26/53/658492653.db2.gz CKXBXBFZIQGFDG-TXEJJXNPSA-N 0 1 310.850 3.266 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966723033 658493830 /nfs/dbraw/zinc/49/38/30/658493830.db2.gz NDZHBZBWIMMNLI-KDOFPFPSSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)C1CCCCC1 ZINC001018058615 658497531 /nfs/dbraw/zinc/49/75/31/658497531.db2.gz ZSXXSXBCJISJPM-YTQUADARSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2ccc(CC)cc2)C1 ZINC000989491643 658500548 /nfs/dbraw/zinc/50/05/48/658500548.db2.gz IGFWUFASMWEUPA-WMLDXEAASA-N 0 1 320.864 3.123 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ccsc21 ZINC001018141579 658505896 /nfs/dbraw/zinc/50/58/96/658505896.db2.gz BYFPJWZRXUAHCI-IYBDPMFKSA-N 0 1 324.449 3.213 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cscc2Cl)C1 ZINC000966887824 658507447 /nfs/dbraw/zinc/50/74/47/658507447.db2.gz JCDOTSHDWHIHCC-FZMZJTMJSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1sc(C)cc1C ZINC001018223649 658521834 /nfs/dbraw/zinc/52/18/34/658521834.db2.gz WXGUAFYJGAVMDF-IYBDPMFKSA-N 0 1 318.486 3.159 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC000967008764 658528673 /nfs/dbraw/zinc/52/86/73/658528673.db2.gz RAZCFDIUPKPPRM-IAQYHMDHSA-N 0 1 324.827 3.414 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCC[C@@H]1C ZINC001018331963 658539632 /nfs/dbraw/zinc/53/96/32/658539632.db2.gz AHLQVMVZNCCHTL-XLAORIBOSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)c(CC)o2)C1 ZINC000989671838 658539939 /nfs/dbraw/zinc/53/99/39/658539939.db2.gz FSUJEKJNIRBRRU-STQMWFEESA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(Cl)cc2)C1 ZINC000989703148 658546832 /nfs/dbraw/zinc/54/68/32/658546832.db2.gz HLGDTNKJHCSNJX-BXUZGUMPSA-N 0 1 313.228 3.285 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC000967227931 658550279 /nfs/dbraw/zinc/55/02/79/658550279.db2.gz GNXRTNPONDLMGX-CXAGYDPISA-N 0 1 304.409 3.014 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2c(C)cccc2Cl)C1 ZINC000967231319 658552193 /nfs/dbraw/zinc/55/21/93/658552193.db2.gz PQQMUKCQHLORNN-YOEHRIQHSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC000967273186 658557555 /nfs/dbraw/zinc/55/75/55/658557555.db2.gz JJZQGEPKZBNTFL-QFBILLFUSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967279274 658560231 /nfs/dbraw/zinc/56/02/31/658560231.db2.gz JVXLLOHCLHXPJT-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3cc(C)ccc3o2)CC1 ZINC000989737371 658561803 /nfs/dbraw/zinc/56/18/03/658561803.db2.gz OROBXCFZOUWDHY-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cccc(C(F)F)c2)C1 ZINC000967335962 658571347 /nfs/dbraw/zinc/57/13/47/658571347.db2.gz MBXAOVFYAQUYNR-BBRMVZONSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2ccccc2F)C1 ZINC000989783216 658577584 /nfs/dbraw/zinc/57/75/84/658577584.db2.gz RFEQUKFPYWZBJN-ZFWWWQNUSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CC(C2CC2)C2CC2)C1 ZINC000989832529 658585897 /nfs/dbraw/zinc/58/58/97/658585897.db2.gz SVUQUZXLTBPRBQ-IUODEOHRSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2oc(C(F)F)cc2C)C1 ZINC001018994616 658601318 /nfs/dbraw/zinc/60/13/18/658601318.db2.gz JZERXLHXTZAGRS-JTQLQIEISA-N 0 1 318.751 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001019232215 658617595 /nfs/dbraw/zinc/61/75/95/658617595.db2.gz OWDAMCXUUPQBDR-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(F)ccc2Cl)C1 ZINC001019425818 658644325 /nfs/dbraw/zinc/64/43/25/658644325.db2.gz WFAQXSOLJXZZRO-NSHDSACASA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(CC)c(C)s2)C1 ZINC001019752818 658672389 /nfs/dbraw/zinc/67/23/89/658672389.db2.gz YHQNXVHIMFNRNF-ZDUSSCGKSA-N 0 1 312.866 3.176 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968156127 658674413 /nfs/dbraw/zinc/67/44/13/658674413.db2.gz SPBZNTRWCZIFPO-SMDDNHRTSA-N 0 1 310.800 3.105 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968174777 658677239 /nfs/dbraw/zinc/67/72/39/658677239.db2.gz LCTDJRBTKPTXEX-DYVFJYSZSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C3CC3)c2)C1 ZINC001019834844 658678044 /nfs/dbraw/zinc/67/80/44/658678044.db2.gz MDRGODLFSOPXIV-INIZCTEOSA-N 0 1 304.821 3.121 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC000968189355 658679623 /nfs/dbraw/zinc/67/96/23/658679623.db2.gz GYBVCSXTWPBZMQ-PKOBYXMFSA-N 0 1 314.473 3.249 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2-n2cccc2)C1 ZINC000968193629 658681413 /nfs/dbraw/zinc/68/14/13/658681413.db2.gz VMZICPHVHGKBCR-SJLPKXTDSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC000968323424 658696053 /nfs/dbraw/zinc/69/60/53/658696053.db2.gz YXUINPIZLOBLDM-WMLDXEAASA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ncsc2C2CC2)C1 ZINC000968327755 658699338 /nfs/dbraw/zinc/69/93/38/658699338.db2.gz RUQZHEIUGDNYRX-GXTWGEPZSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(Cl)sc1Cl ZINC001038350253 658745369 /nfs/dbraw/zinc/74/53/69/658745369.db2.gz ORCQRENWTBNEDV-SECBINFHSA-N 0 1 319.257 3.435 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2ccncc2s1 ZINC001038357084 658744963 /nfs/dbraw/zinc/74/49/63/658744963.db2.gz MRRDRSONEDKMQD-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3sccc3Cl)CCC[C@@H]12 ZINC000990792012 658752595 /nfs/dbraw/zinc/75/25/95/658752595.db2.gz PSTKLCGFHBCSJG-DOMZBBRYSA-N 0 1 310.850 3.314 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cccc(C)c3F)CCC[C@@H]12 ZINC000990848869 658767752 /nfs/dbraw/zinc/76/77/52/658767752.db2.gz JYZJRWLSGWVGBG-QAPCUYQASA-N 0 1 302.393 3.047 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cccc4c3CCCC4)CCC[C@H]12 ZINC000990851622 658768779 /nfs/dbraw/zinc/76/87/79/658768779.db2.gz OPMCDQSMKFLZOB-PZJWPPBQSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001038503449 658775350 /nfs/dbraw/zinc/77/53/50/658775350.db2.gz PCXVRTNHQRTQJM-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001038762054 658797976 /nfs/dbraw/zinc/79/79/76/658797976.db2.gz QBZJVEXSPCGGMY-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN CCOc1cc(/C=C/C(=O)c2ccc(O)cc2O)ccc1O ZINC000156635835 658932740 /nfs/dbraw/zinc/93/27/40/658932740.db2.gz LMOYJFYHCNHGAL-XVNBXDOJSA-N 0 1 300.310 3.098 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001039001533 658978046 /nfs/dbraw/zinc/97/80/46/658978046.db2.gz SNOXCVCACUUTQB-INIZCTEOSA-N 0 1 303.450 3.066 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCCc2ccccc21 ZINC001039058649 658989552 /nfs/dbraw/zinc/98/95/52/658989552.db2.gz CAMYILVSFKMQHZ-MJGOQNOKSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cnc(C(C)(C)C)s1 ZINC001039059998 658989947 /nfs/dbraw/zinc/98/99/47/658989947.db2.gz SJFBUUVWJPTGBV-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1CC(c2cccc(F)c2)C1 ZINC001039082459 658995178 /nfs/dbraw/zinc/99/51/78/658995178.db2.gz OLVKPJKDPIXZBT-HTWSVDAQSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001039106039 659002436 /nfs/dbraw/zinc/00/24/36/659002436.db2.gz HFJPVXCROBEXDZ-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)c2ccoc2)C1 ZINC001039187844 659029483 /nfs/dbraw/zinc/02/94/83/659029483.db2.gz VBEGYHADDOGYSA-GDBMZVCRSA-N 0 1 322.836 3.349 20 30 DGEDMN CCCCCCCN1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000157400485 659056818 /nfs/dbraw/zinc/05/68/18/659056818.db2.gz RJYSGYXXOJCKQR-UHFFFAOYSA-N 0 1 317.477 3.213 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc(C)sc3C)CCC[C@@H]12 ZINC000991617208 659074666 /nfs/dbraw/zinc/07/46/66/659074666.db2.gz BETCKOSBVPNOTE-AEFFLSMTSA-N 0 1 316.470 3.115 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CCC1CCCC1 ZINC000129804980 659119043 /nfs/dbraw/zinc/11/90/43/659119043.db2.gz BDZQQNSCWPTMMK-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C)c1 ZINC000171035782 659255684 /nfs/dbraw/zinc/25/56/84/659255684.db2.gz FGSUTEQOAVYELJ-CJNGLKHVSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C)c1 ZINC000171035789 659255703 /nfs/dbraw/zinc/25/57/03/659255703.db2.gz FGSUTEQOAVYELJ-BBRMVZONSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C ZINC000171036801 659255711 /nfs/dbraw/zinc/25/57/11/659255711.db2.gz PPYUREKFTPMZJE-KGLIPLIRSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCOC1)c1nc2ccccc2n1C(F)F ZINC000171038048 659256192 /nfs/dbraw/zinc/25/61/92/659256192.db2.gz WTMHIBUGEZNYOY-MNOVXSKESA-N 0 1 319.311 3.034 20 30 DGEDMN CCS[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1)C(C)C ZINC000171365434 659277516 /nfs/dbraw/zinc/27/75/16/659277516.db2.gz JUVWKXNEPJJAKW-HIFRSBDPSA-N 0 1 304.415 3.112 20 30 DGEDMN N#CCOc1ccc(CNCc2cc(F)c(F)c(F)c2)cc1 ZINC000171768937 659297235 /nfs/dbraw/zinc/29/72/35/659297235.db2.gz HYXBJUXLTCGUPI-UHFFFAOYSA-N 0 1 306.287 3.296 20 30 DGEDMN CCCCCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174011955 659407947 /nfs/dbraw/zinc/40/79/47/659407947.db2.gz GBWCBDUGSYPONS-UONOGXRCSA-N 0 1 301.390 3.335 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2[C@H](C)CC[C@@H]2C)cc1C ZINC000174592442 659441071 /nfs/dbraw/zinc/44/10/71/659441071.db2.gz OBTCMNNRUQLSSF-CALCHBBNSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc4occc4s3)CCC[C@@H]12 ZINC000992164729 659479044 /nfs/dbraw/zinc/47/90/44/659479044.db2.gz CNWZPTDVEXQBEK-NVXWUHKLSA-N 0 1 316.426 3.407 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(Cl)c(C)c3)CCC[C@@H]12 ZINC000992170909 659482719 /nfs/dbraw/zinc/48/27/19/659482719.db2.gz NFPIURMQSDYDQC-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cc(Cl)cs3)CCC[C@H]12 ZINC000992292167 659514171 /nfs/dbraw/zinc/51/41/71/659514171.db2.gz CNKFYZGVLGOCBK-ZFWWWQNUSA-N 0 1 310.850 3.314 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc([C@@H](C)CC)no3)CCC[C@@H]12 ZINC000992309593 659520347 /nfs/dbraw/zinc/52/03/47/659520347.db2.gz VACADRGZNUJRAM-FDQGKXFDSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc([C@@H](C)CC)no3)CCC[C@H]12 ZINC000992309607 659520430 /nfs/dbraw/zinc/52/04/30/659520430.db2.gz VACADRGZNUJRAM-QANKJYHBSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4c(c3)C=CCC4)CCC[C@H]12 ZINC000992313352 659521471 /nfs/dbraw/zinc/52/14/71/659521471.db2.gz CZWNYJJNCXZAOI-PZJWPPBQSA-N 0 1 320.436 3.006 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4c(c3)CCC=C4)CCC[C@@H]12 ZINC000992434709 659548224 /nfs/dbraw/zinc/54/82/24/659548224.db2.gz SEKIGWDDMPIBSZ-CTNGQTDRSA-N 0 1 320.436 3.006 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3sccc3CC)CCC[C@H]12 ZINC000992500274 659565028 /nfs/dbraw/zinc/56/50/28/659565028.db2.gz VGBXOGHKGWLFFG-MAUKXSAKSA-N 0 1 316.470 3.061 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3CCCCC3(C)C)CCC[C@@H]12 ZINC000992508543 659567067 /nfs/dbraw/zinc/56/70/67/659567067.db2.gz GTNDIFLCDDLDCU-SQGPQFPESA-N 0 1 316.489 3.339 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C=C2CCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993185080 659692800 /nfs/dbraw/zinc/69/28/00/659692800.db2.gz LBDBXQZHLBHEGC-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C2=CCCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993225236 659702610 /nfs/dbraw/zinc/70/26/10/659702610.db2.gz DUDGQKDCSPHWAC-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3scnc32)[C@@H]1C ZINC000993335293 659716725 /nfs/dbraw/zinc/71/67/25/659716725.db2.gz VUZMMUDJOSUXLH-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccoc2C(F)(F)F)[C@@H]1C ZINC000993403938 659728104 /nfs/dbraw/zinc/72/81/04/659728104.db2.gz ZGTWJHWFVZSAAR-JQWIXIFHSA-N 0 1 316.323 3.067 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnn2C(CC)CC)[C@H]1C ZINC000993410792 659729370 /nfs/dbraw/zinc/72/93/70/659729370.db2.gz GZVAKDSFGCKWSS-GDBMZVCRSA-N 0 1 318.465 3.013 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001031301489 659745245 /nfs/dbraw/zinc/74/52/45/659745245.db2.gz ZCLVUTAAHIKMLZ-UHFFFAOYSA-N 0 1 316.489 3.360 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@@H]1C ZINC000993478985 659746454 /nfs/dbraw/zinc/74/64/54/659746454.db2.gz QHZUGCUDBUMPTO-SUMWQHHRSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)cc2F)[C@H]1C ZINC000993500292 659751008 /nfs/dbraw/zinc/75/10/08/659751008.db2.gz ZLSWMPKRBDCLSC-MLGOLLRUSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)[C@@H]1C ZINC000993493177 659754120 /nfs/dbraw/zinc/75/41/20/659754120.db2.gz ANKRYILUHMIESJ-UONOGXRCSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c3ccccc3n2)[C@@H]1C ZINC000993492027 659754273 /nfs/dbraw/zinc/75/42/73/659754273.db2.gz WZDZRTBNYUHZRL-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2F)[C@@H]1C ZINC000993554293 659761180 /nfs/dbraw/zinc/76/11/80/659761180.db2.gz CIFVXTBXQLWNPJ-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(-n3cccc3)c2)[C@@H]1C ZINC000993555280 659762021 /nfs/dbraw/zinc/76/20/21/659762021.db2.gz KAJBCXOQUOSPTF-QFBILLFUSA-N 0 1 323.440 3.246 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)noc2C2CC2)[C@@H]1C ZINC000993608018 659765051 /nfs/dbraw/zinc/76/50/51/659765051.db2.gz VROYZAHDVCCBHB-DZGCQCFKSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCC2)[C@H]1C ZINC000993592621 659768670 /nfs/dbraw/zinc/76/86/70/659768670.db2.gz WNJXEUVVDIBBBY-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)[C@@H]1C ZINC000993635158 659770123 /nfs/dbraw/zinc/77/01/23/659770123.db2.gz UFIZFQQPMNTCDQ-OXJNMPFZSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)c(Cl)c2)[C@@H]1C ZINC000993726099 659781211 /nfs/dbraw/zinc/78/12/11/659781211.db2.gz JIGWSQJJBDCSNN-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)[C@@H]1C ZINC000993764482 659788227 /nfs/dbraw/zinc/78/82/27/659788227.db2.gz TYEPDUYGUXZMNS-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H]1C ZINC000993764949 659788523 /nfs/dbraw/zinc/78/85/23/659788523.db2.gz VCZXBQFTQKJCIG-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)cccc2Cl)[C@@H]1C ZINC000993770740 659789534 /nfs/dbraw/zinc/78/95/34/659789534.db2.gz FMYGLLQLMPDWOR-HOCLYGCPSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H]1C ZINC000993853995 659795845 /nfs/dbraw/zinc/79/58/45/659795845.db2.gz BCXNZWJWPNUSOD-WBMJQRKESA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(F)ccc3o2)[C@@H]1C ZINC000993907776 659800770 /nfs/dbraw/zinc/80/07/70/659800770.db2.gz UOPNYPSAZGYOJD-WFASDCNBSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2scnc2C2CC2)[C@@H]1C ZINC000993966284 659804588 /nfs/dbraw/zinc/80/45/88/659804588.db2.gz VMXRDPBRBGIVBU-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccn2C2CCCC2)[C@H]1C ZINC000994114053 659814536 /nfs/dbraw/zinc/81/45/36/659814536.db2.gz NYBFNBZRSVESGC-WBVHZDCISA-N 0 1 315.461 3.372 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncoc2C(C)(C)C)[C@H]1C ZINC000994191355 659818485 /nfs/dbraw/zinc/81/84/85/659818485.db2.gz RYRLHGFVYFDOSB-ZIAGYGMSSA-N 0 1 319.449 3.131 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(C3CCC3)c2)[C@H]1C ZINC000994206019 659819990 /nfs/dbraw/zinc/81/99/90/659819990.db2.gz HKKWAJCJHKKNRO-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC2(C1)CCN(CC(=C)Cl)CC2 ZINC001040802131 659822342 /nfs/dbraw/zinc/82/23/42/659822342.db2.gz IPIMVIVXFIHUBL-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C(F)F)c2)[C@H]1C ZINC000994254798 659825878 /nfs/dbraw/zinc/82/58/78/659825878.db2.gz VIBDAEHSLCUPCB-DOMZBBRYSA-N 0 1 308.372 3.393 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C(C)(C)C)CCCN1Cc1cccc(C#N)c1 ZINC000994264597 659828323 /nfs/dbraw/zinc/82/83/23/659828323.db2.gz RAYBWXCYCXXYIT-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H]1C ZINC000994268975 659830098 /nfs/dbraw/zinc/83/00/98/659830098.db2.gz OGODIBMMBISMNC-OXQOHEQNSA-N 0 1 322.452 3.252 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)cc2)[C@H]1C ZINC000994297149 659833382 /nfs/dbraw/zinc/83/33/82/659833382.db2.gz JWUHOOHLHUZNBS-VQIMIIECSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)[C@H]1C ZINC000994347456 659841040 /nfs/dbraw/zinc/84/10/40/659841040.db2.gz JXTDFAUWBMDMOW-DNVCBOLYSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@@H]1C ZINC000994441393 659854824 /nfs/dbraw/zinc/85/48/24/659854824.db2.gz MZCWACUIRUXQFB-GOEBONIOSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3c(cccc3C)o2)[C@@H]1C ZINC000994471674 659858459 /nfs/dbraw/zinc/85/84/59/659858459.db2.gz MDXSQIAPIDSDPD-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1C ZINC000994484673 659860195 /nfs/dbraw/zinc/86/01/95/659860195.db2.gz AHMVFCNVPUYWHK-JZFKGDSASA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CC2CCC(C)(C)CC2)[C@@H]1C ZINC000994561703 659882669 /nfs/dbraw/zinc/88/26/69/659882669.db2.gz OEYJSPUCOOAGDE-DOTOQJQBSA-N 0 1 304.478 3.195 20 30 DGEDMN C[C@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@H]1n1ccnc1 ZINC000930055630 659901260 /nfs/dbraw/zinc/90/12/60/659901260.db2.gz PYQDNXSRLJTBGS-SUMWQHHRSA-N 0 1 314.820 3.491 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2csc3cc(C)ccc23)C1 ZINC001031699257 659913877 /nfs/dbraw/zinc/91/38/77/659913877.db2.gz HOVOUKOOWYHNCI-UHFFFAOYSA-N 0 1 314.454 3.447 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2cccc3c[nH]nc32)cc1 ZINC000068936085 659969484 /nfs/dbraw/zinc/96/94/84/659969484.db2.gz BBXPNLLFFORMHQ-UHFFFAOYSA-N 0 1 304.353 3.432 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001031767285 659975916 /nfs/dbraw/zinc/97/59/16/659975916.db2.gz CCRSJNBHLRDYSV-UHFFFAOYSA-N 0 1 313.445 3.007 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC001031830860 660004072 /nfs/dbraw/zinc/00/40/72/660004072.db2.gz ORQFVWDXJVXRTN-UHFFFAOYSA-N 0 1 320.436 3.176 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000940879319 660070401 /nfs/dbraw/zinc/07/04/01/660070401.db2.gz SFSRBPFYRVCWCU-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000940991794 660078773 /nfs/dbraw/zinc/07/87/73/660078773.db2.gz RXRVFJYAUMZAOH-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc(C3CCC3)c2)C(C)(C)C1 ZINC000941163092 660089813 /nfs/dbraw/zinc/08/98/13/660089813.db2.gz GXRYJKWIMFAHSR-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C(F)F)c2)C(C)(C)C1 ZINC000941173074 660090941 /nfs/dbraw/zinc/09/09/41/660090941.db2.gz QAYDZEUYAFUFGK-HNNXBMFYSA-N 0 1 320.383 3.088 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)cc(C)cc2C)C(C)(C)C1 ZINC000941204144 660094568 /nfs/dbraw/zinc/09/45/68/660094568.db2.gz DUPAEJNPQSWHPP-QGZVFWFLSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)C23CCC(CC2)CC3)C(C)(C)C1 ZINC000941232024 660095872 /nfs/dbraw/zinc/09/58/72/660095872.db2.gz AZLMGRMTOVKNDX-KOHRHEQBSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@@H](C)C1 ZINC000942080466 660135219 /nfs/dbraw/zinc/13/52/19/660135219.db2.gz YCKUROVOZHBQOZ-JQWIXIFHSA-N 0 1 312.866 3.336 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@@H](C)C1 ZINC000942529081 660154932 /nfs/dbraw/zinc/15/49/32/660154932.db2.gz FIBHYNVYMXCIPW-YJBOKZPZSA-N 0 1 324.424 3.123 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)C1(C)CCC1 ZINC000942559752 660158539 /nfs/dbraw/zinc/15/85/39/660158539.db2.gz GLAIAAIOVSQPOF-HKUYNNGSSA-N 0 1 324.468 3.055 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCCC2(C#N)CCC2)c1 ZINC000929289851 661294207 /nfs/dbraw/zinc/29/42/07/661294207.db2.gz HJUOYXSYPROGLP-UHFFFAOYSA-N 0 1 323.440 3.402 20 30 DGEDMN C[C@H](C#N)CN(C[C@@H]1CC[C@H](NC(=O)OC(C)(C)C)C1)C1CC1 ZINC000930087827 661364863 /nfs/dbraw/zinc/36/48/63/661364863.db2.gz OBBLGWMDCFYQJX-KFWWJZLASA-N 0 1 321.465 3.304 20 30 DGEDMN Cc1ccncc1N1CCN(CCCCC2(C#N)CCC2)CC1 ZINC000930313665 661388008 /nfs/dbraw/zinc/38/80/08/661388008.db2.gz GGVJRHZKQAMVBP-UHFFFAOYSA-N 0 1 312.461 3.376 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc3ccsc32)CC1 ZINC000930517346 661406481 /nfs/dbraw/zinc/40/64/81/661406481.db2.gz VAHIFDHQKLREJN-UHFFFAOYSA-N 0 1 313.426 3.120 20 30 DGEDMN Cc1cc(CN2CCC(Nc3ccccc3C#N)CC2)c(C)nn1 ZINC000931032799 661446884 /nfs/dbraw/zinc/44/68/84/661446884.db2.gz NHMZYTLSDOVZJM-UHFFFAOYSA-N 0 1 321.428 3.042 20 30 DGEDMN N#CC1(NC(=O)c2ccc(CN3CCCCC3)o2)CCCCC1 ZINC000931296742 661465066 /nfs/dbraw/zinc/46/50/66/661465066.db2.gz MVZSGAGLXRMNEB-UHFFFAOYSA-N 0 1 315.417 3.222 20 30 DGEDMN Cn1ccc([C@@H]2CCCN(Cc3cc(Cl)cc(C#N)c3)C2)n1 ZINC000932132757 661540494 /nfs/dbraw/zinc/54/04/94/661540494.db2.gz OCKADNKODZDSSZ-OAHLLOKOSA-N 0 1 314.820 3.325 20 30 DGEDMN Cn1ccc(C2CCN(Cc3ccc(C#N)cc3Cl)CC2)n1 ZINC000932141594 661541583 /nfs/dbraw/zinc/54/15/83/661541583.db2.gz NIOKLHYSLDFTBL-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN Cc1cc(F)ccc1[C@H](C)NCC(=O)Nc1sccc1C#N ZINC000932564662 661580108 /nfs/dbraw/zinc/58/01/08/661580108.db2.gz FFPFHWVDHHBZOO-NSHDSACASA-N 0 1 317.389 3.357 20 30 DGEDMN CC[C@@H](C)N1CCN(C(=O)Nc2ccc(CCC#N)cc2)CC1 ZINC000932564699 661580172 /nfs/dbraw/zinc/58/01/72/661580172.db2.gz GHDRCJZXSDQLOX-OAHLLOKOSA-N 0 1 314.433 3.091 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCn2c(cnc2C(F)(F)F)C1 ZINC000932584244 661583135 /nfs/dbraw/zinc/58/31/35/661583135.db2.gz QXCNTGAHTUABPB-UHFFFAOYSA-N 0 1 300.328 3.047 20 30 DGEDMN CC(C)(C#N)CCCN1CCn2c(cnc2C(F)(F)F)C1 ZINC000932584244 661583136 /nfs/dbraw/zinc/58/31/36/661583136.db2.gz QXCNTGAHTUABPB-UHFFFAOYSA-N 0 1 300.328 3.047 20 30 DGEDMN Cc1cc(CN2CCC(CO)(C(F)(F)F)CC2)ccc1C#N ZINC000932827988 661599991 /nfs/dbraw/zinc/59/99/91/661599991.db2.gz HPSGFEKHKQFJNC-UHFFFAOYSA-N 0 1 312.335 3.004 20 30 DGEDMN C#CCC1(NCc2nc3c4ccccc4oc3c(=O)[nH]2)CCC1 ZINC000933298657 661628471 /nfs/dbraw/zinc/62/84/71/661628471.db2.gz GENGMQAKIUEIFQ-UHFFFAOYSA-N 0 1 307.353 3.117 20 30 DGEDMN C#CCC1([NH2+]Cc2nc3c4ccccc4oc3c(=O)[n-]2)CCC1 ZINC000933298657 661628472 /nfs/dbraw/zinc/62/84/72/661628472.db2.gz GENGMQAKIUEIFQ-UHFFFAOYSA-N 0 1 307.353 3.117 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cccnc3[N+](=O)[O-])CC2)cc1 ZINC000933606261 661644453 /nfs/dbraw/zinc/64/44/53/661644453.db2.gz GOYCZUVLRAMIFC-UHFFFAOYSA-N 0 1 322.368 3.241 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cncc(C#N)c3)CC2)cc1 ZINC000933607579 661644558 /nfs/dbraw/zinc/64/45/58/661644558.db2.gz SMZPXZDGAZEALQ-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN COC(=O)c1coc(CN2CCC(c3ccc(C#N)cc3)CC2)c1 ZINC000933613274 661644874 /nfs/dbraw/zinc/64/48/74/661644874.db2.gz VOEIFTQCFZSFTM-UHFFFAOYSA-N 0 1 324.380 3.317 20 30 DGEDMN C[C@@H](CCC#N)N1CCC[C@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC000933635698 661647145 /nfs/dbraw/zinc/64/71/45/661647145.db2.gz ZWOCMNBRWGOULG-BBRMVZONSA-N 0 1 319.836 3.226 20 30 DGEDMN O=C1C=COC2(CCN(Cc3ccc(OC(F)F)cc3)CC2)C1 ZINC000933652717 661648059 /nfs/dbraw/zinc/64/80/59/661648059.db2.gz MCGVNAXYSVXAED-UHFFFAOYSA-N 0 1 323.339 3.126 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cccc(F)c1O ZINC000933856650 661663239 /nfs/dbraw/zinc/66/32/39/661663239.db2.gz COKSOEPVBHTLQP-WBVHZDCISA-N 0 1 324.355 3.443 20 30 DGEDMN Cc1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)cc1C#N ZINC000934000526 661672432 /nfs/dbraw/zinc/67/24/32/661672432.db2.gz OORHGNZKPNVXIS-UHFFFAOYSA-N 0 1 320.392 3.417 20 30 DGEDMN N#CC1(CCN2CCOC[C@@H]2CC2CCOCC2)CCCCC1 ZINC000934635835 661721174 /nfs/dbraw/zinc/72/11/74/661721174.db2.gz ZLUTVWZXBBBJHL-SFHVURJKSA-N 0 1 320.477 3.368 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@@H](C)Oc2cccc(C)c2)n1 ZINC000041521374 661834966 /nfs/dbraw/zinc/83/49/66/661834966.db2.gz ZKSISTUQNDHWNY-OCCSQVGLSA-N 0 1 300.383 3.404 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C=C2CCC2)CCN1Cc1ccccc1C#N ZINC000947187717 661898241 /nfs/dbraw/zinc/89/82/41/661898241.db2.gz YTZJXBFQKPWYFF-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(-c3ccccc3)co2)C[C@H]1C ZINC000948103200 661951165 /nfs/dbraw/zinc/95/11/65/661951165.db2.gz COXLTTOZPHSIGC-CRAIPNDOSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccc(C)n2C)CC1 ZINC000948185128 661958293 /nfs/dbraw/zinc/95/82/93/661958293.db2.gz RUZUAMXMQDNAAA-OAHLLOKOSA-N 0 1 323.868 3.013 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2cc[nH]c2C)CC1 ZINC000948281276 661965137 /nfs/dbraw/zinc/96/51/37/661965137.db2.gz HPHIZPQEYROUTN-AWEZNQCLSA-N 0 1 309.841 3.002 20 30 DGEDMN C=CCOc1ccccc1C(=O)N1CCN(CCC(C)C)CC1 ZINC000948919116 662007372 /nfs/dbraw/zinc/00/73/72/662007372.db2.gz VJCOYSRLXQQNIS-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000949029745 662011134 /nfs/dbraw/zinc/01/11/34/662011134.db2.gz OYYJAUQKARLVNC-UONOGXRCSA-N 0 1 318.383 3.075 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCC3=CCCCC3)CC2)cc1 ZINC000949597195 662020529 /nfs/dbraw/zinc/02/05/29/662020529.db2.gz NHARPIVBPQVTPB-UHFFFAOYSA-N 0 1 322.452 3.316 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccccc2C)CC1 ZINC000950715591 662079927 /nfs/dbraw/zinc/07/99/27/662079927.db2.gz WRDIUMYBSNCQNK-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCN(CCOC)Cc1cccc(SC(=O)N(C)C)c1 ZINC000191758973 662080384 /nfs/dbraw/zinc/08/03/84/662080384.db2.gz JEBYCYFRWVABLA-UHFFFAOYSA-N 0 1 308.447 3.095 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C(C)C)s2)CC1 ZINC001006461449 662081632 /nfs/dbraw/zinc/08/16/32/662081632.db2.gz RUZPKJKLZAPDTM-UHFFFAOYSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2cccc(C)c2)CC1 ZINC000950908799 662094910 /nfs/dbraw/zinc/09/49/10/662094910.db2.gz PBMWIZAGDYNJLC-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN CCO[C@H](C(=O)[C@@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000194483348 662166263 /nfs/dbraw/zinc/16/62/63/662166263.db2.gz OMLXQFUMRVJQIN-HIFRSBDPSA-N 0 1 300.383 3.406 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@@H]2CCc3ccccc32)CC1 ZINC000952325905 662182510 /nfs/dbraw/zinc/18/25/10/662182510.db2.gz KFBHQVJDNSEPBM-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC000952370249 662185120 /nfs/dbraw/zinc/18/51/20/662185120.db2.gz AHDMNFDFIOUBEM-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)Cc2cccc3[nH]ccc32)c1 ZINC000092143901 662327993 /nfs/dbraw/zinc/32/79/93/662327993.db2.gz LYMBZWHWPLXFMG-UHFFFAOYSA-N 0 1 317.392 3.220 20 30 DGEDMN C=CCCN1CCN(C(=O)c2[nH]c3ccc(C)cc3c2C)CC1 ZINC000956993685 662342300 /nfs/dbraw/zinc/34/23/00/662342300.db2.gz WBEYNBWIWIEDRV-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000957125404 662352582 /nfs/dbraw/zinc/35/25/82/662352582.db2.gz TZALYHIPXQTYNT-HNNXBMFYSA-N 0 1 312.413 3.257 20 30 DGEDMN COc1cc(F)cc(CNCc2ccc(OC)c(C#N)c2)c1 ZINC000093970638 662362042 /nfs/dbraw/zinc/36/20/42/662362042.db2.gz ROBKXHDSQYFSDB-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(C)cccc2Cl)CC1 ZINC000981875908 685341226 /nfs/dbraw/zinc/34/12/26/685341226.db2.gz FHGNPZRUNGFILQ-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)c(C)c2)[C@H]1C ZINC000986752382 685350632 /nfs/dbraw/zinc/35/06/32/685350632.db2.gz DYJDNUIQQBCYDR-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCC[C@@H]2CC)CC1 ZINC001006209166 685366337 /nfs/dbraw/zinc/36/63/37/685366337.db2.gz ARHBEWOMPXVTDH-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Br)CN(C)[C@H]1CCCN(Cc2ccccc2)C1 ZINC000388369848 685188628 /nfs/dbraw/zinc/18/86/28/685188628.db2.gz ULCCKFFHKZKKSC-INIZCTEOSA-N 0 1 323.278 3.491 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C(C)(C)C)n2)CC[C@@H]1C ZINC001071507683 686671216 /nfs/dbraw/zinc/67/12/16/686671216.db2.gz ACQWWCNQECVGIV-KBPBESRZSA-N 0 1 319.449 3.131 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3occc3c2)CC[C@@H]1C ZINC001071523990 686678107 /nfs/dbraw/zinc/67/81/07/686678107.db2.gz BEELJQPCZFKNGE-YOEHRIQHSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(CCC)s2)CC[C@@H]1C ZINC001071541004 686683617 /nfs/dbraw/zinc/68/36/17/686683617.db2.gz ZAPKHXGPPXIEBK-GJZGRUSLSA-N 0 1 318.486 3.307 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C3CCC3)cc2)CC[C@H]1C ZINC001071548627 686686830 /nfs/dbraw/zinc/68/68/30/686686830.db2.gz IQLFTBJQGLYCJH-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C(C)C)CC[C@@H]1C ZINC001071548325 686686852 /nfs/dbraw/zinc/68/68/52/686686852.db2.gz DQCHPIYRAAPTEI-IRXDYDNUSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)C2CCCC2)CC[C@@H]1C ZINC001071575495 686693306 /nfs/dbraw/zinc/69/33/06/686693306.db2.gz WIRHPRZPBXWNRY-DOTOQJQBSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2C(C)C)CC[C@H]1C ZINC001071583621 686695383 /nfs/dbraw/zinc/69/53/83/686695383.db2.gz JPJBKDKBAFNWDV-UKRRQHHQSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C)CCC(F)(F)CC2)CC[C@@H]1C ZINC001071621725 686705228 /nfs/dbraw/zinc/70/52/28/686705228.db2.gz BYKJFBFLKVOYOF-UONOGXRCSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3cccnc32)CC[C@@H]1C ZINC001071654048 686713359 /nfs/dbraw/zinc/71/33/59/686713359.db2.gz CCUFLAGHXMCHIN-HOCLYGCPSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@@H]1C ZINC001071663838 686716766 /nfs/dbraw/zinc/71/67/66/686716766.db2.gz SOQFJYDSNZOWOI-PKOBYXMFSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2csc3ccccc23)CC[C@@H]1C ZINC001071714895 686730968 /nfs/dbraw/zinc/73/09/68/686730968.db2.gz BIGPBHZWOMOJQB-KBPBESRZSA-N 0 1 312.438 3.117 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@H]1C ZINC001071712870 686731116 /nfs/dbraw/zinc/73/11/16/686731116.db2.gz VCYHXLBVHBNGMQ-WBVHZDCISA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)nc2C2CC2)CC[C@H]1C ZINC001071715931 686732276 /nfs/dbraw/zinc/73/22/76/686732276.db2.gz MICKTNHXPOEILU-GDBMZVCRSA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@H]1C ZINC001071736002 686737252 /nfs/dbraw/zinc/73/72/52/686737252.db2.gz WCBOOPALLUHKFO-WBVHZDCISA-N 0 1 316.420 3.049 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@@H]1C ZINC001071735357 686737616 /nfs/dbraw/zinc/73/76/16/686737616.db2.gz KUWAKOIYVAWXPD-HOCLYGCPSA-N 0 1 304.409 3.211 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)c2cccs2)CC[C@@H]1C ZINC001071741748 686739293 /nfs/dbraw/zinc/73/92/93/686739293.db2.gz JWMNOZPXHZDSOW-GJZGRUSLSA-N 0 1 318.486 3.018 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C2(C)CC2)CC[C@@H]1C ZINC001071820639 686760926 /nfs/dbraw/zinc/76/09/26/686760926.db2.gz BGYXPCVKYLKOHQ-DLBZAZTESA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)cc2Cl)CC[C@@H]1C ZINC001071836743 686766446 /nfs/dbraw/zinc/76/64/46/686766446.db2.gz WYURREIAQKEYHI-KBPBESRZSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2CCCCCC2)CC[C@@H]1C ZINC001071852042 686772040 /nfs/dbraw/zinc/77/20/40/686772040.db2.gz ULYBEHLLTBOYBG-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccccc3c2)CC[C@H]1C ZINC001071851996 686772221 /nfs/dbraw/zinc/77/22/21/686772221.db2.gz TUCLMRQELPGRPL-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OCC)c(C)c2)CC[C@@H]1C ZINC001071862413 686774564 /nfs/dbraw/zinc/77/45/64/686774564.db2.gz XCJQKCHNOOWOFU-RDJZCZTQSA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C(CC)CC)no2)CC[C@@H]1C ZINC001071873067 686777160 /nfs/dbraw/zinc/77/71/60/686777160.db2.gz QWGZPDPRFQTHLW-DZGCQCFKSA-N 0 1 319.449 3.347 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(OC)c2)CC[C@H]1C ZINC001071876134 686778690 /nfs/dbraw/zinc/77/86/90/686778690.db2.gz JEVUGNBUOJRDHY-TZMCWYRMSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(C(C)C)nc2C)CC[C@H]1C ZINC001071883025 686780689 /nfs/dbraw/zinc/78/06/89/686780689.db2.gz DWKRYJHUDFJODI-UKRRQHHQSA-N 0 1 319.449 3.265 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@@H]1C ZINC001071899946 686787037 /nfs/dbraw/zinc/78/70/37/686787037.db2.gz FYDPZCOLTPUNBZ-XJKSGUPXSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC)cc2Cl)CC[C@H]1C ZINC001071908651 686789448 /nfs/dbraw/zinc/78/94/48/686789448.db2.gz ZTVQENNFWYWYMB-CHWSQXEVSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2C)CC[C@H]1C ZINC001071913509 686790632 /nfs/dbraw/zinc/79/06/32/686790632.db2.gz FLMVTPITOJQBQI-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C(C)C)c2)CC[C@H]1C ZINC001071964878 686798195 /nfs/dbraw/zinc/79/81/95/686798195.db2.gz QCMCXHIKZYOQMK-VQIMIIECSA-N 0 1 312.457 3.416 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc(-c3ccoc3)c2)CC[C@H]1C ZINC001071974345 686802289 /nfs/dbraw/zinc/80/22/89/686802289.db2.gz MOPAHWGTGFQWPZ-DNVCBOLYSA-N 0 1 322.408 3.163 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)csc2Cl)CC[C@H]1C ZINC001072027219 686830093 /nfs/dbraw/zinc/83/00/93/686830093.db2.gz VQNVSJWUQMDHDU-NEPJUHHUSA-N 0 1 312.866 3.479 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccsc2C(F)F)CC[C@H]1C ZINC001072033564 686833648 /nfs/dbraw/zinc/83/36/48/686833648.db2.gz NJWFZPKTLBLBIO-MNOVXSKESA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2OC)CC[C@H]1C ZINC001072046222 686841429 /nfs/dbraw/zinc/84/14/29/686841429.db2.gz WHLXUMRFCXRXEC-OCCSQVGLSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)nc3ccccc32)CC[C@@H]1C ZINC001072049413 686844355 /nfs/dbraw/zinc/84/43/55/686844355.db2.gz PFRHRQWXTFPRGD-JKSUJKDBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC)c(Cl)c2)CC[C@H]1C ZINC001072057774 686849359 /nfs/dbraw/zinc/84/93/59/686849359.db2.gz ASFNIJGWEUBOPY-TZMCWYRMSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(CC)c(C)s2)CC[C@H]1C ZINC001072054929 686850647 /nfs/dbraw/zinc/85/06/47/686850647.db2.gz MYBPUDLWAJPGKW-CZUORRHYSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)c3occc32)CC[C@@H]1C ZINC001072088737 686860318 /nfs/dbraw/zinc/86/03/18/686860318.db2.gz PCGUVYAMLMUSPJ-QWHCGFSZSA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3cc(C)ccc3o2)CC[C@@H]1C ZINC001072098611 686864262 /nfs/dbraw/zinc/86/42/62/686864262.db2.gz CWJWZWOBYNWBQZ-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)CC[C@H]1C ZINC001072101107 686866889 /nfs/dbraw/zinc/86/68/89/686866889.db2.gz DHZSULJLAHVFGQ-CVEARBPZSA-N 0 1 323.440 3.082 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC2(C1)CCN(C/C=C\Cl)C2 ZINC001072779684 686960466 /nfs/dbraw/zinc/96/04/66/686960466.db2.gz ACZXEDFLBOOZRY-XQRVVYSFSA-N 0 1 317.260 3.052 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cscc3C(F)F)[C@@H]2C1 ZINC001075802556 687441044 /nfs/dbraw/zinc/44/10/44/687441044.db2.gz IXLMFSUWRITFEX-GXFFZTMASA-N 0 1 312.385 3.018 20 30 DGEDMN C#CCCN1C[C@@H]2CCN(C(=O)c3cc4ccccc4s3)[C@@H]2C1 ZINC001076001828 687464524 /nfs/dbraw/zinc/46/45/24/687464524.db2.gz GXQAZOQPAAEUDL-JKSUJKDBSA-N 0 1 324.449 3.071 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3csc4ccccc34)[C@@H]2C1 ZINC001076101114 687479098 /nfs/dbraw/zinc/47/90/98/687479098.db2.gz VUZBGOXIIWEFSC-WMLDXEAASA-N 0 1 324.449 3.071 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001076318631 687507772 /nfs/dbraw/zinc/50/77/72/687507772.db2.gz WHFGBAHVRORMAV-GBESFXJTSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc([C@@H](C)CC)cc3)[C@@H]2C1 ZINC001076611075 687542091 /nfs/dbraw/zinc/54/20/91/687542091.db2.gz PTJZMHLHVTUTHY-FFZOFVMBSA-N 0 1 324.468 3.370 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3oc4c(cccc4C)c3C)[C@@H]2C1 ZINC001076608410 687542100 /nfs/dbraw/zinc/54/21/00/687542100.db2.gz GKRNXGHHPPOBPD-DOTOQJQBSA-N 0 1 324.424 3.382 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001079912939 687902867 /nfs/dbraw/zinc/90/28/67/687902867.db2.gz JVGJXZFAYDKTBG-OXQOHEQNSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001080787531 688012756 /nfs/dbraw/zinc/01/27/56/688012756.db2.gz FMXHKYWUONTYBG-UKRRQHHQSA-N 0 1 304.434 3.031 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001080839007 688018040 /nfs/dbraw/zinc/01/80/40/688018040.db2.gz RFNSZKSNSSKUPM-ZWNOBZJWSA-N 0 1 313.228 3.230 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001080920102 688028072 /nfs/dbraw/zinc/02/80/72/688028072.db2.gz FVTLMUJFNDYZGQ-GZBFAFLISA-N 0 1 318.486 3.109 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC001081004120 688034177 /nfs/dbraw/zinc/03/41/77/688034177.db2.gz PWQNPMNHTNIUOO-GDBMZVCRSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001081071137 688047487 /nfs/dbraw/zinc/04/74/87/688047487.db2.gz PNNAPYBEYFXCNE-DNVCBOLYSA-N 0 1 310.441 3.490 20 30 DGEDMN C=C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001082937057 688131770 /nfs/dbraw/zinc/13/17/70/688131770.db2.gz IZQNTVJUYWTNPB-KBRIMQKVSA-N 0 1 318.848 3.145 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(C#N)c(Cl)c3)[nH]c2c1 ZINC001587347404 1192394668 /nfs/dbraw/zinc/39/46/68/1192394668.db2.gz KNMYQYZNGISVOY-UHFFFAOYSA-N 0 1 321.727 3.212 20 30 DGEDMN Cc1nc2c(cccc2C(=O)Nc2nc3ccc(C#N)cc3[nH]2)o1 ZINC001587347591 1192394911 /nfs/dbraw/zinc/39/49/11/1192394911.db2.gz SCIRWTXABGECOZ-UHFFFAOYSA-N 0 1 317.308 3.137 20 30 DGEDMN C=CCC1(C[NH2+]Cc2csc(-c3nnn[n-]3)c2)CCCC1 ZINC001588557882 1192449581 /nfs/dbraw/zinc/44/95/81/1192449581.db2.gz WGIBDPCULNWTOJ-UHFFFAOYSA-N 0 1 303.435 3.154 20 30 DGEDMN C=CCC1(C[NH2+]Cc2csc(-c3nn[n-]n3)c2)CCCC1 ZINC001588557882 1192449583 /nfs/dbraw/zinc/44/95/83/1192449583.db2.gz WGIBDPCULNWTOJ-UHFFFAOYSA-N 0 1 303.435 3.154 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2c(C)cc(-c3nn[nH]n3)cc2C)C1 ZINC001588560510 1192450271 /nfs/dbraw/zinc/45/02/71/1192450271.db2.gz RURBERASONBNQY-HNNXBMFYSA-N 0 1 311.433 3.272 20 30 DGEDMN CCCCCCCCCCn1c(C)nc(-c2nn[nH]n2)c1C#N ZINC001590592801 1192530212 /nfs/dbraw/zinc/53/02/12/1192530212.db2.gz KLSHAWXPKLZKNX-UHFFFAOYSA-N 0 1 315.425 3.384 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(Cl)c(C#N)c3)[nH]c2c1 ZINC001595734679 1192668468 /nfs/dbraw/zinc/66/84/68/1192668468.db2.gz NFHAZVMLJDZCAO-UHFFFAOYSA-N 0 1 321.727 3.212 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001691794092 1176067602 /nfs/dbraw/zinc/06/76/02/1176067602.db2.gz OZYXLKUXWMEADA-FHWLQOOXSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(C/C=C\Cl)[C@@H]1CC ZINC001691979865 1176097866 /nfs/dbraw/zinc/09/78/66/1176097866.db2.gz CIALINNTXKUXJH-ZTUZZKEQSA-N 0 1 310.869 3.476 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001670631173 1176197307 /nfs/dbraw/zinc/19/73/07/1176197307.db2.gz YDBPUCVEHOGPAN-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)c1ccccc1C ZINC001754085478 1176247244 /nfs/dbraw/zinc/24/72/44/1176247244.db2.gz YXNFOKZFEWPFTQ-OAHLLOKOSA-N 0 1 308.853 3.289 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001691066795 1176289972 /nfs/dbraw/zinc/28/99/72/1176289972.db2.gz VKKRKAOJYMBZPC-CHWSQXEVSA-N 0 1 324.877 3.061 20 30 DGEDMN C=C[C@H](C(=O)N(C)C[C@H]1CCN1CC=C(C)C)c1ccccc1 ZINC001691074742 1176296028 /nfs/dbraw/zinc/29/60/28/1176296028.db2.gz HIDPARZCVQUMRC-MOPGFXCFSA-N 0 1 312.457 3.455 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001691100123 1176312043 /nfs/dbraw/zinc/31/20/43/1176312043.db2.gz NLMHVTCRWDKNRJ-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC[N@H+](CCNC(=O)c1ccccc1C(C)(C)C)C1CC1 ZINC001691213232 1176394383 /nfs/dbraw/zinc/39/43/83/1176394383.db2.gz PWRLWGQDVCTSJI-UHFFFAOYSA-N 0 1 300.446 3.364 20 30 DGEDMN C#CCN(CCNC(=O)c1cccc(C2CCCC2)c1)C1CC1 ZINC001691232781 1176405770 /nfs/dbraw/zinc/40/57/70/1176405770.db2.gz LDNZWNLMJZYRBW-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1oc(C(C)C)nc1C ZINC001691332837 1176443589 /nfs/dbraw/zinc/44/35/89/1176443589.db2.gz DCIOWHWGLOMSOE-UHFFFAOYSA-N 0 1 321.465 3.257 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001691367958 1176454437 /nfs/dbraw/zinc/45/44/37/1176454437.db2.gz YOUMHKAWTZOEDM-VMMWWAARSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001691415907 1176475330 /nfs/dbraw/zinc/47/53/30/1176475330.db2.gz ABVVMESAWFZVDU-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001691415907 1176475332 /nfs/dbraw/zinc/47/53/32/1176475332.db2.gz ABVVMESAWFZVDU-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001750934082 1176568079 /nfs/dbraw/zinc/56/80/79/1176568079.db2.gz POCCXDQPKZINSC-MSOLQXFVSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001754448106 1176575769 /nfs/dbraw/zinc/57/57/69/1176575769.db2.gz RZZSHBNLGVFQFF-YTRUQHMWSA-N 0 1 305.249 3.002 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2occc2C)C1 ZINC001754581792 1176613618 /nfs/dbraw/zinc/61/36/18/1176613618.db2.gz MOKKABZLOJLTSN-UHFFFAOYSA-N 0 1 306.450 3.244 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(Cl)cc1F ZINC001699190134 1176627401 /nfs/dbraw/zinc/62/74/01/1176627401.db2.gz JISRFTWKOUCIEX-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001670788207 1176845174 /nfs/dbraw/zinc/84/51/74/1176845174.db2.gz CHGWKPICGZLQFM-UHFFFAOYSA-N 0 1 304.409 3.229 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2CC3(CCC3)C2)C1 ZINC001752518483 1176881021 /nfs/dbraw/zinc/88/10/21/1176881021.db2.gz NLVZWMFZPDVRAD-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H](C)C(C)C)cc1 ZINC001753590842 1176913037 /nfs/dbraw/zinc/91/30/37/1176913037.db2.gz QQWQUFBCANPMQR-AWEZNQCLSA-N 0 1 308.853 3.437 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(F)cc1Cl ZINC001753722701 1176941252 /nfs/dbraw/zinc/94/12/52/1176941252.db2.gz WJSHFUWQOKEOCE-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1ccc(C(C)C)nc1C ZINC001753848645 1176964355 /nfs/dbraw/zinc/96/43/55/1176964355.db2.gz LJZKKHZVELYFAQ-UHFFFAOYSA-N 0 1 317.477 3.370 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2cc(O)ccc2Cl)C1 ZINC001752730884 1177042354 /nfs/dbraw/zinc/04/23/54/1177042354.db2.gz IFTKPFWFXJYLGY-OKILXGFUSA-N 0 1 322.836 3.139 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001752785473 1177075883 /nfs/dbraw/zinc/07/58/83/1177075883.db2.gz ASTXMZBNVZTFQD-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001755605931 1177096530 /nfs/dbraw/zinc/09/65/30/1177096530.db2.gz HCLKDCROXYJUHB-HNNXBMFYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)Cc1ccccc1 ZINC001755609836 1177098890 /nfs/dbraw/zinc/09/88/90/1177098890.db2.gz GVKJFOCTWQRNDC-OAHLLOKOSA-N 0 1 322.880 3.444 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+](CCc1ccccc1)CC(=O)[O-] ZINC001600074801 1192787513 /nfs/dbraw/zinc/78/75/13/1192787513.db2.gz RWLOMGHQPIWLCC-UHFFFAOYSA-N 0 1 322.408 3.304 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC001600075165 1192787600 /nfs/dbraw/zinc/78/76/00/1192787600.db2.gz KOXMMBOTARTGTJ-FQEVSTJZSA-N 0 1 320.392 3.095 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001752822916 1177204000 /nfs/dbraw/zinc/20/40/00/1177204000.db2.gz QPIIBNZQBCQEPU-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001670842987 1177223876 /nfs/dbraw/zinc/22/38/76/1177223876.db2.gz OTUDYVJKZVXNSW-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1coc2ccccc12)C(C)C ZINC001755815814 1177245103 /nfs/dbraw/zinc/24/51/03/1177245103.db2.gz WPIQOWKGXZYVMI-UHFFFAOYSA-N 0 1 312.413 3.239 20 30 DGEDMN Cc1cc(F)ccc1C[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001600232796 1192815588 /nfs/dbraw/zinc/81/55/88/1192815588.db2.gz HIQAMOBHHZBZEW-UHFFFAOYSA-N 0 1 312.344 3.336 20 30 DGEDMN Cc1cc(F)ccc1C[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001600232796 1192815598 /nfs/dbraw/zinc/81/55/98/1192815598.db2.gz HIQAMOBHHZBZEW-UHFFFAOYSA-N 0 1 312.344 3.336 20 30 DGEDMN CCC(C)(CC)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001670903352 1177524264 /nfs/dbraw/zinc/52/42/64/1177524264.db2.gz HACUMKGSRFGIBP-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C/C=C/c2ccc(C)cc2)CC1 ZINC001670912097 1177526516 /nfs/dbraw/zinc/52/65/16/1177526516.db2.gz TWMVWIKNBDIYJP-ONEGZZNKSA-N 0 1 318.848 3.389 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1[C@@H]1CCN(CCF)C1 ZINC001670924495 1177546009 /nfs/dbraw/zinc/54/60/09/1177546009.db2.gz ZSXZWXTYHNTUCJ-CVEARBPZSA-N 0 1 310.457 3.261 20 30 DGEDMN C#CC[C@H](CC(=O)N1CCN(CCCC=C)CC1)c1ccccc1 ZINC001113736265 1177729896 /nfs/dbraw/zinc/72/98/96/1177729896.db2.gz HTCYYKFKUKLZCY-HXUWFJFHSA-N 0 1 324.468 3.294 20 30 DGEDMN Cc1ccc([C@@H]([NH2+]Cc2ccc(C#N)s2)C(=O)[O-])cc1C ZINC001600385255 1192841480 /nfs/dbraw/zinc/84/14/80/1192841480.db2.gz OCBOKILUFVSSID-OAHLLOKOSA-N 0 1 300.383 3.152 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C23CCC(CC2)C3)C1 ZINC001691892417 1177978310 /nfs/dbraw/zinc/97/83/10/1177978310.db2.gz CCPRVQXEEFHJQV-ISXOHVOVSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1Cc2ccccc2C2(CCC2)C1 ZINC000352362894 1178056450 /nfs/dbraw/zinc/05/64/50/1178056450.db2.gz POMJTRNBNCZZCE-UHFFFAOYSA-N 0 1 312.457 3.349 20 30 DGEDMN CC1(C)CC(=NNC2CCSCC2)c2cc(N)ccc2O1 ZINC001330903503 1178087838 /nfs/dbraw/zinc/08/78/38/1178087838.db2.gz MSOWGPCUWUQXKG-UHFFFAOYSA-N 0 1 305.447 3.019 20 30 DGEDMN CCc1nc(C)c(C(C)N=Nc2ccnc3cccnc23)s1 ZINC001330916812 1178092252 /nfs/dbraw/zinc/09/22/52/1178092252.db2.gz HAZNDWJQMPSWHR-UHFFFAOYSA-N 0 1 311.414 3.215 20 30 DGEDMN CCc1cccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC001331305877 1178179750 /nfs/dbraw/zinc/17/97/50/1178179750.db2.gz GGQACDCMPRPNGZ-AWEZNQCLSA-N 0 1 307.397 3.410 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001331407523 1178205613 /nfs/dbraw/zinc/20/56/13/1178205613.db2.gz RSKUVSUVYWOJNT-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1c(C)noc1CC ZINC001331456758 1178218103 /nfs/dbraw/zinc/21/81/03/1178218103.db2.gz BWIXEZAKHJMIPP-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCCc1ccsc1 ZINC001331474508 1178221969 /nfs/dbraw/zinc/22/19/69/1178221969.db2.gz LPULOHBBKIPODM-CYBMUJFWSA-N 0 1 314.882 3.260 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1nsc2ccccc12 ZINC001331546686 1178242732 /nfs/dbraw/zinc/24/27/32/1178242732.db2.gz FBGSMDLYWVIOLI-ZDUSSCGKSA-N 0 1 315.442 3.485 20 30 DGEDMN CCN(CC)[C@@H](C(=O)Nc1cc(C#N)cc(C)n1)c1ccccc1 ZINC001331801301 1178284007 /nfs/dbraw/zinc/28/40/07/1178284007.db2.gz UFRHJYZYSAIBKH-GOSISDBHSA-N 0 1 322.412 3.283 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@H]1C ZINC001331918762 1178307964 /nfs/dbraw/zinc/30/79/64/1178307964.db2.gz LKESOAJWFATIMZ-JREYEFTDSA-N 0 1 323.440 3.160 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCCN1Cc1ccsc1 ZINC001332174428 1178379929 /nfs/dbraw/zinc/37/99/29/1178379929.db2.gz FZPTUPDQNBKGPG-HOCLYGCPSA-N 0 1 318.486 3.267 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001332200503 1178389819 /nfs/dbraw/zinc/38/98/19/1178389819.db2.gz UDLGNFRMPPKZNE-WBVHZDCISA-N 0 1 318.486 3.411 20 30 DGEDMN C#CCN(C)CCN(C(=O)C1[C@@H]2CCCCCC[C@@H]12)C(C)C ZINC001332732743 1178484575 /nfs/dbraw/zinc/48/45/75/1178484575.db2.gz RVKNJJIMMMIFLM-IAGOWNOFSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c(C)coc1C)C(C)(C)C ZINC001332792706 1178500472 /nfs/dbraw/zinc/50/04/72/1178500472.db2.gz UECNHGPKKBVDIZ-ZDUSSCGKSA-N 0 1 312.841 3.383 20 30 DGEDMN Cc1ccc(N(C)[C@H]2CCC[N@@H+](Cc3cncc(C#N)c3)C2)cc1 ZINC001332835684 1178503966 /nfs/dbraw/zinc/50/39/66/1178503966.db2.gz QQCCJMDBMFAEGK-FQEVSTJZSA-N 0 1 320.440 3.363 20 30 DGEDMN Cc1ccc(N(C)[C@H]2CCCN(Cc3cncc(C#N)c3)C2)cc1 ZINC001332835684 1178503971 /nfs/dbraw/zinc/50/39/71/1178503971.db2.gz QQCCJMDBMFAEGK-FQEVSTJZSA-N 0 1 320.440 3.363 20 30 DGEDMN N#CC[C@@H](O)CN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC001333287348 1178582381 /nfs/dbraw/zinc/58/23/81/1178582381.db2.gz YUSXTTUVCZMHBV-CYBMUJFWSA-N 0 1 311.212 3.357 20 30 DGEDMN N#Cc1ccc(CCN2CCc3ccc([N+](=O)[O-])cc3C2)cc1 ZINC001333587672 1178633039 /nfs/dbraw/zinc/63/30/39/1178633039.db2.gz QEKCDNVHPDXWNV-UHFFFAOYSA-N 0 1 307.353 3.067 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C/c2cc(C(=O)[O-])co2)cc1 ZINC001600754158 1192898551 /nfs/dbraw/zinc/89/85/51/1192898551.db2.gz WHQOXWLMFIPXQJ-VOTSOKGWSA-N 0 1 322.320 3.368 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@H](CCO)c2cccs2)c1 ZINC001334049955 1178713036 /nfs/dbraw/zinc/71/30/36/1178713036.db2.gz VLBKBONMFMQNMA-CQSZACIVSA-N 0 1 306.818 3.486 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1cnn(C2CCC2)c1 ZINC001334376405 1178755171 /nfs/dbraw/zinc/75/51/71/1178755171.db2.gz ANXPQRZSNXYWHG-AWEZNQCLSA-N 0 1 310.401 3.361 20 30 DGEDMN C[C@@H]1C[C@@H](NN=Cc2c(Cl)cccc2N(C)C)C[C@H](C)O1 ZINC001334665142 1178778693 /nfs/dbraw/zinc/77/86/93/1178778693.db2.gz DDKCDEQWKCUGAC-CLLJXQQHSA-N 0 1 309.841 3.286 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@@H]2C(=O)[O-])o1 ZINC001601099825 1192918852 /nfs/dbraw/zinc/91/88/52/1192918852.db2.gz CXOISZPQNKQNRC-XJKSGUPXSA-N 0 1 302.374 3.007 20 30 DGEDMN N#C[C@H]1CC[C@H]([N@@H+]2C[C@@H](c3ccc(Cl)cc3)[C@H](C(=O)[O-])C2)C1 ZINC001601059652 1192915391 /nfs/dbraw/zinc/91/53/91/1192915391.db2.gz JDDNRGZZZDUPMI-VCOSZWKGSA-N 0 1 318.804 3.132 20 30 DGEDMN N#CCC[C@H]([NH2+][C@@H]1CCCn2nc(C(=O)[O-])cc21)c1ccccc1 ZINC001601066599 1192915834 /nfs/dbraw/zinc/91/58/34/1192915834.db2.gz OACZJTYSUHVYJH-LSDHHAIUSA-N 0 1 324.384 3.051 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[NH2+][C@H](C(=O)[O-])c1ccccc1 ZINC001601086874 1192917015 /nfs/dbraw/zinc/91/70/15/1192917015.db2.gz MLYXSJLNSOSZEG-HNNXBMFYSA-N 0 1 300.745 3.127 20 30 DGEDMN N#Cc1cc(C[N@H+](CCC(=O)[O-])Cc2ccccc2)cs1 ZINC001601088207 1192917427 /nfs/dbraw/zinc/91/74/27/1192917427.db2.gz QDIOLGUFEVOXAL-UHFFFAOYSA-N 0 1 300.383 3.097 20 30 DGEDMN CCOc1cccc(CC(=O)C(C#N)c2nc3ccccc3o2)n1 ZINC001341820373 1179067422 /nfs/dbraw/zinc/06/74/22/1179067422.db2.gz DXGPPAWCVCWLLJ-ZDUSSCGKSA-N 0 1 321.336 3.040 20 30 DGEDMN CC[C@@H](F)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC001342049374 1179097048 /nfs/dbraw/zinc/09/70/48/1179097048.db2.gz PGJOJPSRILXQCY-PSASIEDQSA-N 0 1 317.147 3.389 20 30 DGEDMN CN(CCCN(C)C(=O)CC#Cc1ccccc1)Cc1ccco1 ZINC001342996540 1179221663 /nfs/dbraw/zinc/22/16/63/1179221663.db2.gz IPWBOCHQEKRYJG-UHFFFAOYSA-N 0 1 324.424 3.002 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)OCc2ccc3[nH]nnc3c2)cc1 ZINC001343380001 1179263883 /nfs/dbraw/zinc/26/38/83/1179263883.db2.gz ZIOPXQGXCJXUJA-UHFFFAOYSA-N 0 1 320.352 3.116 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)OCc2ccc3nn[nH]c3c2)cc1 ZINC001343380001 1179263887 /nfs/dbraw/zinc/26/38/87/1179263887.db2.gz ZIOPXQGXCJXUJA-UHFFFAOYSA-N 0 1 320.352 3.116 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)O[C@H]3CC[C@@](C)(C#N)CC3)c2C1 ZINC001343427656 1179272973 /nfs/dbraw/zinc/27/29/73/1179272973.db2.gz RMAMPXFEVYKCNW-NVGCLXPQSA-N 0 1 301.390 3.164 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2ccccc2Cl)CCC1 ZINC001343705462 1179319897 /nfs/dbraw/zinc/31/98/97/1179319897.db2.gz RLAHUPNXINIMSJ-LBPRGKRZSA-N 0 1 313.788 3.372 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCCN1c1ccccc1)c1cccc(F)n1 ZINC001344033989 1179368266 /nfs/dbraw/zinc/36/82/66/1179368266.db2.gz FXVHPMSACJNIFT-ZBFHGGJFSA-N 0 1 309.344 3.066 20 30 DGEDMN C#CCN1CCC(OC(=O)c2ccc(C(F)F)c(F)c2)CC1 ZINC001344942788 1179501919 /nfs/dbraw/zinc/50/19/19/1179501919.db2.gz RXKLHURTSVYABP-UHFFFAOYSA-N 0 1 311.303 3.018 20 30 DGEDMN C#CCN1CCC(OC(=O)c2scnc2C2CCCC2)CC1 ZINC001344942127 1179502234 /nfs/dbraw/zinc/50/22/34/1179502234.db2.gz IONAKAKQQORGMR-UHFFFAOYSA-N 0 1 318.442 3.055 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@@H](C)CSc2ccccc2)CC1 ZINC001345673154 1179591676 /nfs/dbraw/zinc/59/16/76/1179591676.db2.gz IXGMJLXQPVAWFT-HNNXBMFYSA-N 0 1 317.454 3.056 20 30 DGEDMN C#CCN1CCC(OC(=O)c2ccc(F)c(C(F)F)c2)CC1 ZINC001345673466 1179592403 /nfs/dbraw/zinc/59/24/03/1179592403.db2.gz QTBPTPJYUFRWFJ-UHFFFAOYSA-N 0 1 311.303 3.018 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccn3c2nc(C)cc3C)n1 ZINC001345945353 1179628208 /nfs/dbraw/zinc/62/82/08/1179628208.db2.gz ZAYAQBBKYULJOZ-ZDUSSCGKSA-N 0 1 310.382 3.206 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C12CCC(CC1)C2(C)C ZINC001346095183 1179652090 /nfs/dbraw/zinc/65/20/90/1179652090.db2.gz ACGODRRQLRJDBD-NOEPWBJOSA-N 0 1 305.809 3.466 20 30 DGEDMN N#CC(C(=O)CSC1CC1)c1nccn1Cc1ccccc1 ZINC001346537012 1179717928 /nfs/dbraw/zinc/71/79/28/1179717928.db2.gz FONDQLPMMXFWGO-HNNXBMFYSA-N 0 1 311.410 3.003 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@](C)(CC)C1 ZINC001346627307 1179727531 /nfs/dbraw/zinc/72/75/31/1179727531.db2.gz KWQGPDDJGPRQQQ-KRWDZBQOSA-N 0 1 314.437 3.179 20 30 DGEDMN C=C(CC)C(=O)N[C@@H]1CCCN(Cc2cc(Cl)ccc2O)C1 ZINC001347043724 1179771178 /nfs/dbraw/zinc/77/11/78/1179771178.db2.gz SASNXRJURDGUSX-OAHLLOKOSA-N 0 1 322.836 3.092 20 30 DGEDMN C=C(CC)C(=O)N[C@@H]1CCC[N@H+](Cc2cc(Cl)ccc2[O-])C1 ZINC001347043724 1179771181 /nfs/dbraw/zinc/77/11/81/1179771181.db2.gz SASNXRJURDGUSX-OAHLLOKOSA-N 0 1 322.836 3.092 20 30 DGEDMN C=C(CC)C(=O)N[C@@H]1CCC[N@@H+](Cc2cc(Cl)ccc2[O-])C1 ZINC001347043724 1179771186 /nfs/dbraw/zinc/77/11/86/1179771186.db2.gz SASNXRJURDGUSX-OAHLLOKOSA-N 0 1 322.836 3.092 20 30 DGEDMN CSCc1ccc(C(O)=C(C#N)c2ncc(Cl)n2C)cc1 ZINC001347337609 1179797295 /nfs/dbraw/zinc/79/72/95/1179797295.db2.gz KNOHCSQJIPJADQ-LBPRGKRZSA-N 0 1 319.817 3.427 20 30 DGEDMN C#CCN1CCC(OC(=O)Cc2ccc3ccccc3c2)CC1 ZINC001347401148 1179804459 /nfs/dbraw/zinc/80/44/59/1179804459.db2.gz SJRGCTYUWNFGKS-UHFFFAOYSA-N 0 1 307.393 3.023 20 30 DGEDMN Cc1ccc(CN2CCCN(C(=O)CCCCC#N)CC2)cc1 ZINC001349786727 1179950834 /nfs/dbraw/zinc/95/08/34/1179950834.db2.gz WFHSXAVEIMWWEB-UHFFFAOYSA-N 0 1 313.445 3.113 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2ccc(-c3ccccc3C(=O)[O-])o2)C1 ZINC001602681815 1192994508 /nfs/dbraw/zinc/99/45/08/1192994508.db2.gz XKBYPQZCGSQKNU-AWEZNQCLSA-N 0 1 309.365 3.490 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](CC)CC(C)C)CC1 ZINC001479996043 1179977633 /nfs/dbraw/zinc/97/76/33/1179977633.db2.gz UGCLVBVGJDMPTO-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(CC(C)C)C(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC001350136197 1180024955 /nfs/dbraw/zinc/02/49/55/1180024955.db2.gz WSLUBYLYPOSJGV-SFHVURJKSA-N 0 1 309.413 3.486 20 30 DGEDMN C=CC[C@H]1CC[N@@H+]1Cc1cc(C(=O)[O-])ccc1Br ZINC001602855468 1193000404 /nfs/dbraw/zinc/00/04/04/1193000404.db2.gz RGINNLARMARGFX-LBPRGKRZSA-N 0 1 310.191 3.298 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H](c1ccsc1)N(C)C)c1ccccc1 ZINC001350880774 1180136170 /nfs/dbraw/zinc/13/61/70/1180136170.db2.gz DPJYBTIJFZVMJL-DLBZAZTESA-N 0 1 314.454 3.437 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2ccccc2C(=O)OC)o1)C(C)C ZINC001621319158 1193023388 /nfs/dbraw/zinc/02/33/88/1193023388.db2.gz YBSNBYNHUOYHIG-QGZVFWFLSA-N 0 1 311.381 3.481 20 30 DGEDMN N#CC1(CCCCN[C@H](CO)c2c(F)cccc2F)CCC1 ZINC001354581940 1180844511 /nfs/dbraw/zinc/84/45/11/1180844511.db2.gz YDSZUGLOKIPLRT-OAHLLOKOSA-N 0 1 308.372 3.452 20 30 DGEDMN CCCCCCCCCCNc1ccncc1-c1nn[nH]n1 ZINC001604176546 1193058727 /nfs/dbraw/zinc/05/87/27/1193058727.db2.gz ULACBVDJFRFNNN-UHFFFAOYSA-N 0 1 302.426 3.236 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)CN(C)CCc1ccccc1 ZINC001300737857 1180921278 /nfs/dbraw/zinc/92/12/78/1180921278.db2.gz RZMWVVFNVVZVQE-OALUTQOASA-N 0 1 314.473 3.412 20 30 DGEDMN C=CCC[C@H]1CCCN1c1nnc(-c2[nH]cnc2C)n1CC=C ZINC001355921205 1181113944 /nfs/dbraw/zinc/11/39/44/1181113944.db2.gz MXBZMGQYSKKYHH-AWEZNQCLSA-N 0 1 312.421 3.098 20 30 DGEDMN Cc1cc(F)cc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)c1 ZINC001356029118 1181127351 /nfs/dbraw/zinc/12/73/51/1181127351.db2.gz HUDHHDOGASFQAM-AWEZNQCLSA-N 0 1 320.327 3.150 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@H](F)CC)cc1 ZINC001356264410 1181166513 /nfs/dbraw/zinc/16/65/13/1181166513.db2.gz BDQPBMRARYLJCM-HUUCEWRRSA-N 0 1 304.365 3.425 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@@H+](CCc1ccc(C#N)cc1)CC1CC1 ZINC001604890138 1193098586 /nfs/dbraw/zinc/09/85/86/1193098586.db2.gz SWZASXSVERZCAO-SFHVURJKSA-N 0 1 300.402 3.066 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1ccc(OCC#N)cc1)CC1CC1 ZINC001604890511 1193098664 /nfs/dbraw/zinc/09/86/64/1193098664.db2.gz WVKPCSDSBPSRQG-SFHVURJKSA-N 0 1 316.401 3.054 20 30 DGEDMN Cc1ccc(C(=O)NCCN(C)Cc2ccc(C#N)cc2)cc1C ZINC001492839102 1181718448 /nfs/dbraw/zinc/71/84/48/1181718448.db2.gz CGRJARJKHBJGQA-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(CC(C)C)C(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001545813335 1181766808 /nfs/dbraw/zinc/76/68/08/1181766808.db2.gz DYTKMEIGWLNKGV-DLBZAZTESA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2ccccc2)[C@@H](C(C)C)C1 ZINC001437726569 1181943844 /nfs/dbraw/zinc/94/38/44/1181943844.db2.gz KNSZZMNIVJPBJN-GOSISDBHSA-N 0 1 300.446 3.322 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3C[C@H]3c3ccc(F)cc3)[nH]c2c1 ZINC001438137447 1181959024 /nfs/dbraw/zinc/95/90/24/1181959024.db2.gz OOXPAKIOJWCGEC-KBPBESRZSA-N 0 1 320.327 3.316 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)Nc1ccc2nc(C(C)(C)C)[nH]c2c1 ZINC001442616172 1182086587 /nfs/dbraw/zinc/08/65/87/1182086587.db2.gz RLRYVJIUSQDXFG-QGZVFWFLSA-N 0 1 301.390 3.126 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001442760570 1182088815 /nfs/dbraw/zinc/08/88/15/1182088815.db2.gz XEXAUWBFPRJJKR-KRWDZBQOSA-N 0 1 300.446 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC001447497880 1182190365 /nfs/dbraw/zinc/19/03/65/1182190365.db2.gz KBACNOSXGWTSKL-SFHVURJKSA-N 0 1 300.446 3.466 20 30 DGEDMN CC1(C)C(c2cc(NC(=O)c3ccc(C#N)c(O)c3)n[nH]2)C1(C)C ZINC001452419196 1182287140 /nfs/dbraw/zinc/28/71/40/1182287140.db2.gz HLESAPSFMDYJRS-UHFFFAOYSA-N 0 1 324.384 3.389 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2ccc(Nc3ccncc3)cc2)c1 ZINC001452739831 1182299729 /nfs/dbraw/zinc/29/97/29/1182299729.db2.gz TVRIMSMFXIOBIZ-UHFFFAOYSA-N 0 1 314.348 3.371 20 30 DGEDMN C/C=C\COc1cccc(CC(=O)NOc2cccc(C#N)c2)c1 ZINC001454681832 1182350418 /nfs/dbraw/zinc/35/04/18/1182350418.db2.gz ITVUAMMSGLFDAU-IHWYPQMZSA-N 0 1 322.364 3.166 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@@H](c3nc[nH]n3)C2)CCCCC1 ZINC001455341216 1182366298 /nfs/dbraw/zinc/36/62/98/1182366298.db2.gz PZRVROUTGXUGAY-CQSZACIVSA-N 0 1 302.422 3.037 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)c1nc(Cl)nc2[nH]cnc21 ZINC001458441018 1182523768 /nfs/dbraw/zinc/52/37/68/1182523768.db2.gz CNCRSTZEIUYBEG-SECBINFHSA-N 0 1 312.764 3.075 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)c2nc(Cc3ccc(F)cc3)no2)C1 ZINC001460755702 1182718905 /nfs/dbraw/zinc/71/89/05/1182718905.db2.gz DDMBSJZUBXTWJT-HIFRSBDPSA-N 0 1 313.376 3.206 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CO)c2ccccc2C(F)(F)F)cc1 ZINC001460827564 1182728604 /nfs/dbraw/zinc/72/86/04/1182728604.db2.gz CNVRXWWKCRTULK-INIZCTEOSA-N 0 1 320.314 3.400 20 30 DGEDMN CSc1ccc(CNCc2cccc([N+](=O)[O-])c2)cc1C#N ZINC001461755688 1182850821 /nfs/dbraw/zinc/85/08/21/1182850821.db2.gz RVJMSTKNBMKJLD-UHFFFAOYSA-N 0 1 313.382 3.478 20 30 DGEDMN CCO[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001461916431 1182877056 /nfs/dbraw/zinc/87/70/56/1182877056.db2.gz FAKQBJJOETWHBS-INIZCTEOSA-N 0 1 320.352 3.151 20 30 DGEDMN C=C(C)[C@@H](N[C@@H]1C[C@@H](OCC)[C@@H]1OC)c1ccc(F)c(F)c1 ZINC001462109386 1182908022 /nfs/dbraw/zinc/90/80/22/1182908022.db2.gz DXQDBXMLBQHNFW-QBPKDAKJSA-N 0 1 311.372 3.364 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN3CCSC[C@H]23)CCCCC1 ZINC001462749164 1182991422 /nfs/dbraw/zinc/99/14/22/1182991422.db2.gz OPCDSUDUIJWQOF-JKSUJKDBSA-N 0 1 322.518 3.209 20 30 DGEDMN C[C@H](CC#N)C(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001465132407 1183130973 /nfs/dbraw/zinc/13/09/73/1183130973.db2.gz XEDNMEOJGDFNPA-FZKQIMNGSA-N 0 1 318.380 3.318 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=CC(=O)c1ccc(C)cc1 ZINC001466101500 1183187542 /nfs/dbraw/zinc/18/75/42/1183187542.db2.gz KILKJFQESNTATA-BQYQJAHWSA-N 0 1 319.311 3.342 20 30 DGEDMN C#CCN1CCC(NC(=O)NC2CCC(CC)(CC)CC2)CC1 ZINC001470028478 1183455693 /nfs/dbraw/zinc/45/56/93/1183455693.db2.gz OKXMVUSAKYIEAS-UHFFFAOYSA-N 0 1 319.493 3.132 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3cc(Cl)cc(Cl)c3[nH]2)[nH]1 ZINC001470719876 1183507406 /nfs/dbraw/zinc/50/74/06/1183507406.db2.gz XVBKTXNBOIZLCN-UHFFFAOYSA-N 0 1 320.139 3.322 20 30 DGEDMN C#C[C@@H](NCCOc1cc(Cl)cc(Cl)c1)[C@H]1CCCO1 ZINC001473402354 1183752729 /nfs/dbraw/zinc/75/27/29/1183752729.db2.gz JNBHHKDCDIPGBP-HUUCEWRRSA-N 0 1 314.212 3.143 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(F)(F)F)C2(CCCCC2)C1 ZINC001473596576 1183779822 /nfs/dbraw/zinc/77/98/22/1183779822.db2.gz XLVOAEMLPRMUPY-UHFFFAOYSA-N 0 1 318.383 3.362 20 30 DGEDMN Cc1cc(C[N@H+]2CCc3c(cccc3C(=O)[O-])C2)ccc1C#N ZINC001607642097 1193243642 /nfs/dbraw/zinc/24/36/42/1193243642.db2.gz WBNPFXGAPSMFEV-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C=C(CC)CNCc1ccc(F)cc1I ZINC001474179057 1183878879 /nfs/dbraw/zinc/87/88/79/1183878879.db2.gz ZZZFSOHLMAVZFD-UHFFFAOYSA-N 0 1 319.161 3.486 20 30 DGEDMN C=C[C@H](CO)NCc1cc(F)ccc1Oc1cncc(Cl)c1 ZINC001474217810 1183884734 /nfs/dbraw/zinc/88/47/34/1183884734.db2.gz MNOBMTRUCUINLM-CQSZACIVSA-N 0 1 322.767 3.303 20 30 DGEDMN C=CCOc1cccc(CNc2nc3cc4c(cc3[nH]2)OCO4)c1 ZINC001474252321 1183890728 /nfs/dbraw/zinc/89/07/28/1183890728.db2.gz MFYYSSDNFIORCE-UHFFFAOYSA-N 0 1 323.352 3.469 20 30 DGEDMN C#Cc1ccc(CNCC(=O)N2CCCCc3ccccc32)cc1 ZINC001474285827 1183895890 /nfs/dbraw/zinc/89/58/90/1183895890.db2.gz WEVBCSDZRKMFJL-UHFFFAOYSA-N 0 1 318.420 3.127 20 30 DGEDMN COc1nc(CN[C@H]2CCCc3cc(C#N)ccc32)ccc1C ZINC001474585517 1183944287 /nfs/dbraw/zinc/94/42/87/1183944287.db2.gz VOIYQNWXEAVCSE-SFHVURJKSA-N 0 1 307.397 3.438 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCCc1ccccc1 ZINC001479241385 1184213922 /nfs/dbraw/zinc/21/39/22/1184213922.db2.gz PVQCKOVMQBLYDT-GOSISDBHSA-N 0 1 300.446 3.118 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001479250843 1184218753 /nfs/dbraw/zinc/21/87/53/1184218753.db2.gz ZIXIWINURAJHSZ-GOSISDBHSA-N 0 1 314.473 3.245 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2cccc(F)c2F)C1 ZINC001479795249 1184327828 /nfs/dbraw/zinc/32/78/28/1184327828.db2.gz JYZMHANAEQJEPD-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN N#CC1(c2ccc(C[N@@H+](CC(=O)[O-])Cc3ccccc3)cc2)CC1 ZINC001608177010 1193280757 /nfs/dbraw/zinc/28/07/57/1193280757.db2.gz IUDFVFUWUHFJHZ-UHFFFAOYSA-N 0 1 320.392 3.329 20 30 DGEDMN N#CC1CCC(C[N@H+]2CCc3c(F)ccc(C(=O)[O-])c3C2)CC1 ZINC001608177863 1193280785 /nfs/dbraw/zinc/28/07/85/1193280785.db2.gz CIVQFYMGKWCUOY-UHFFFAOYSA-N 0 1 316.376 3.212 20 30 DGEDMN N#CC1CC[NH+]([C@@H](C(=O)[O-])c2ccc(Cl)c(Cl)c2)CC1 ZINC001608180372 1193281712 /nfs/dbraw/zinc/28/17/12/1193281712.db2.gz YHGSPSNIRXIFCW-CYBMUJFWSA-N 0 1 313.184 3.355 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2cnccc2C)C1 ZINC001479908435 1184373998 /nfs/dbraw/zinc/37/39/98/1184373998.db2.gz MXTGYMJTMDAASB-WMZOPIPTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001479911720 1184378296 /nfs/dbraw/zinc/37/82/96/1184378296.db2.gz ORHNSGUBLOUHOF-FUHWJXTLSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(CCc2ccccc2Cl)C1 ZINC001479948925 1184385892 /nfs/dbraw/zinc/38/58/92/1184385892.db2.gz YMWHJWRKCXXUCU-OAHLLOKOSA-N 0 1 306.837 3.039 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CCSC3(CCC3)CC2)c1 ZINC001608214597 1193283501 /nfs/dbraw/zinc/28/35/01/1193283501.db2.gz CUZIRDINUKMGOZ-HNNXBMFYSA-N 0 1 316.426 3.046 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(CC)s2)CC1 ZINC001479993677 1184405454 /nfs/dbraw/zinc/40/54/54/1184405454.db2.gz VQLUIFYDIZSHOW-UHFFFAOYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CN(C)Cc2nc(C)cs2)C1 ZINC001480071207 1184424868 /nfs/dbraw/zinc/42/48/68/1184424868.db2.gz CBCVYPOQGLGOFI-OAHLLOKOSA-N 0 1 321.490 3.088 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@H]1CCCCN1CC#CC)c1ccccc1 ZINC001480329617 1184496225 /nfs/dbraw/zinc/49/62/25/1184496225.db2.gz BUAWQEHEQSYLTF-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cscc1C ZINC001480344038 1184500023 /nfs/dbraw/zinc/50/00/23/1184500023.db2.gz LDJVQWVPNYKLFD-ZDUSSCGKSA-N 0 1 312.866 3.393 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](C)[C@@H]1CCCN(CC(=C)Cl)C1 ZINC001480382805 1184517274 /nfs/dbraw/zinc/51/72/74/1184517274.db2.gz MTRJWIASLCBZEX-NWDJSDSRSA-N 0 1 310.869 3.478 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(NCc2cscn2)CC1 ZINC001480402300 1184522342 /nfs/dbraw/zinc/52/23/42/1184522342.db2.gz AOSZLRMTJXUYLE-UHFFFAOYSA-N 0 1 307.463 3.016 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001480462318 1184533006 /nfs/dbraw/zinc/53/30/06/1184533006.db2.gz IWMXRKTXNSVRTN-IMJJTQAJSA-N 0 1 320.864 3.273 20 30 DGEDMN CCC(CC)C(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001493081194 1184644133 /nfs/dbraw/zinc/64/41/33/1184644133.db2.gz QBMWKOYOZFDCAA-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493093336 1184648101 /nfs/dbraw/zinc/64/81/01/1184648101.db2.gz DHTNSVBUOUDLSN-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](c1ccccc1)C(C)C)C1CC1 ZINC001493416706 1184704160 /nfs/dbraw/zinc/70/41/60/1184704160.db2.gz ALHTYINOEMUQPM-IBGZPJMESA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1ccc(C2CCC2)cc1 ZINC001493648669 1184721996 /nfs/dbraw/zinc/72/19/96/1184721996.db2.gz QPZVOKDWCQYHHF-UHFFFAOYSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccc(F)c(F)c2)C1 ZINC001493955832 1184775697 /nfs/dbraw/zinc/77/56/97/1184775697.db2.gz KLOLKQSCDAGFRY-HNNXBMFYSA-N 0 1 322.399 3.467 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](CC)CCC)C1 ZINC001494066973 1184801413 /nfs/dbraw/zinc/80/14/13/1184801413.db2.gz OHJYNSXXIGJEII-HUUCEWRRSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cccc(C)c2F)C1 ZINC001494134025 1184814451 /nfs/dbraw/zinc/81/44/51/1184814451.db2.gz CVIAGMJEIOULRV-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H]2CCc3c2cccc3F)C1 ZINC001494262247 1184839891 /nfs/dbraw/zinc/83/98/91/1184839891.db2.gz IFXHYNCJYDKIAT-KDOFPFPSSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001494447478 1184871416 /nfs/dbraw/zinc/87/14/16/1184871416.db2.gz PUOOMCCCEYTJTN-AWEZNQCLSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC(C)(C)c2ccccc2)C1 ZINC001494506613 1184878300 /nfs/dbraw/zinc/87/83/00/1184878300.db2.gz DOZUWTCDMBHCDG-UHFFFAOYSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001494590615 1184890126 /nfs/dbraw/zinc/89/01/26/1184890126.db2.gz MHZJLCGPLQQZDD-LJQANCHMSA-N 0 1 318.436 3.019 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001494760776 1184921483 /nfs/dbraw/zinc/92/14/83/1184921483.db2.gz JXDDCTVTQBYJBO-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001494760776 1184921486 /nfs/dbraw/zinc/92/14/86/1184921486.db2.gz JXDDCTVTQBYJBO-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001494848082 1184942370 /nfs/dbraw/zinc/94/23/70/1184942370.db2.gz PXOQPPZKQOBMBY-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001494850996 1184943753 /nfs/dbraw/zinc/94/37/53/1184943753.db2.gz PEPPOMXDOSFIFK-QZTJIDSGSA-N 0 1 300.446 3.145 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001494875589 1184951179 /nfs/dbraw/zinc/95/11/79/1184951179.db2.gz LAQAQFLFYMSYPN-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CC(C)(C)CC(F)F)C1 ZINC001494905024 1184959491 /nfs/dbraw/zinc/95/94/91/1184959491.db2.gz GGKVRRLYAYLTNY-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)NCc1cc(Cl)ccc1F ZINC001494967814 1184972488 /nfs/dbraw/zinc/97/24/88/1184972488.db2.gz CJHTYRROLLEEAO-OAHLLOKOSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1sc(C)cc1C ZINC001494988173 1184975762 /nfs/dbraw/zinc/97/57/62/1184975762.db2.gz FYTIJBRAWBIQBY-LBPRGKRZSA-N 0 1 300.855 3.215 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001495071788 1184993003 /nfs/dbraw/zinc/99/30/03/1184993003.db2.gz IFQMQNNQOUROEZ-OAGGEKHMSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc(F)c1C ZINC001495559669 1185073788 /nfs/dbraw/zinc/07/37/88/1185073788.db2.gz AINQROPSMGOZNS-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C2(C)CCCCCC2)C1 ZINC001495855008 1185108276 /nfs/dbraw/zinc/10/82/76/1185108276.db2.gz MFESZYPSUPXCNH-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001495940983 1185116244 /nfs/dbraw/zinc/11/62/44/1185116244.db2.gz DBKXMKKMSWRWJO-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1c(C)onc1CC ZINC001496172520 1185143079 /nfs/dbraw/zinc/14/30/79/1185143079.db2.gz PEYWKTBNILLGOW-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CC=C(c2ccccc2C(F)(F)F)CC1 ZINC001609262085 1193332806 /nfs/dbraw/zinc/33/28/06/1193332806.db2.gz FAIFRTKXHFMXFB-CQSZACIVSA-N 0 1 311.303 3.434 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncoc2C(C)C)[C@@H](C)C1 ZINC001496336304 1185170542 /nfs/dbraw/zinc/17/05/42/1185170542.db2.gz YHPWTXKIAWZPLO-LSDHHAIUSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@H]1CNCc1ocnc1C ZINC001496465990 1185193069 /nfs/dbraw/zinc/19/30/69/1185193069.db2.gz NDGWSGDXIYAPCP-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1ocnc1C ZINC001496465991 1185193359 /nfs/dbraw/zinc/19/33/59/1185193359.db2.gz NDGWSGDXIYAPCP-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN CN(CCN(C)C(=O)C#CC(C)(C)C)Cc1ccc(Cl)cc1 ZINC001496698130 1185233681 /nfs/dbraw/zinc/23/36/81/1185233681.db2.gz JMBLVEBLIUVCNA-UHFFFAOYSA-N 0 1 320.864 3.280 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccc(C#N)cc3F)n2)cc1 ZINC001609509406 1193343787 /nfs/dbraw/zinc/34/37/87/1193343787.db2.gz CVSPKENAWWOHPM-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNCc1csc(C)n1 ZINC001497263129 1185305253 /nfs/dbraw/zinc/30/52/53/1185305253.db2.gz MDDXAADEVMLXPI-BLLLJJGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCNC/C(Cl)=C\Cl ZINC001497281422 1185308668 /nfs/dbraw/zinc/30/86/68/1185308668.db2.gz FKNYFICQMBCQQG-UTEVDWOZSA-N 0 1 307.265 3.392 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C1CCC=CCC1 ZINC001497502037 1185343458 /nfs/dbraw/zinc/34/34/58/1185343458.db2.gz ZPOLFQWDZGCYRY-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)[N@H+]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000008266587 1193347681 /nfs/dbraw/zinc/34/76/81/1193347681.db2.gz PEXAJRGRVJOPNR-HYVNUMGLSA-N 0 1 311.429 3.397 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1c(C)nsc1C ZINC001497656482 1185370330 /nfs/dbraw/zinc/37/03/30/1185370330.db2.gz HZDQFQYCXHAMKZ-BQYQJAHWSA-N 0 1 321.490 3.124 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C\CNCc2cc(F)cc(F)c2)C1 ZINC001497672563 1185374645 /nfs/dbraw/zinc/37/46/45/1185374645.db2.gz JIEXPWYFFZPLGO-ARJAWSKDSA-N 0 1 320.383 3.083 20 30 DGEDMN C[C@@H](C(=O)NC/C=C/CNCC#Cc1ccccc1)C1CCCC1 ZINC001497721429 1185381729 /nfs/dbraw/zinc/38/17/29/1185381729.db2.gz FUHMXSHWGQBNKS-LKGOPFMKSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1cc(Cl)ccc1F ZINC001497882919 1185403816 /nfs/dbraw/zinc/40/38/16/1185403816.db2.gz AMPFOSCVZPBIMA-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1c(F)cccc1Cl ZINC001497877841 1185403905 /nfs/dbraw/zinc/40/39/05/1185403905.db2.gz RSRPLGOAPCQQKP-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1c(Cl)oc2ccccc21 ZINC001498366263 1185480439 /nfs/dbraw/zinc/48/04/39/1185480439.db2.gz PCZUJQWBTDEQNJ-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001498377761 1185482298 /nfs/dbraw/zinc/48/22/98/1185482298.db2.gz BJKFDWFHONKPDG-IRXDYDNUSA-N 0 1 314.473 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCCC(F)(F)C1)C1CC1 ZINC001498822551 1185551913 /nfs/dbraw/zinc/55/19/13/1185551913.db2.gz QASIPXJZCMAGOQ-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccsc1Cl)C1CC1 ZINC001498832595 1185553912 /nfs/dbraw/zinc/55/39/12/1185553912.db2.gz PWBZVSZEPLLTEA-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001499101756 1185611513 /nfs/dbraw/zinc/61/15/13/1185611513.db2.gz JUPKNMLPXZKVTG-OAHLLOKOSA-N 0 1 321.852 3.286 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1csc(CC(C)C)n1 ZINC001499135681 1185618088 /nfs/dbraw/zinc/61/80/88/1185618088.db2.gz CWLPTDDDERRWCR-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2ccc(F)cc2Cl)CC1 ZINC001499212343 1185626608 /nfs/dbraw/zinc/62/66/08/1185626608.db2.gz MPWUNCHKDCVLRL-UHFFFAOYSA-N 0 1 322.811 3.021 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2C3CC4CC(C3)CC2C4)CC1 ZINC001499248089 1185630272 /nfs/dbraw/zinc/63/02/72/1185630272.db2.gz AYLJRLIUSBBEIG-UHFFFAOYSA-N 0 1 322.880 3.050 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(C)cc(C)nc2C)C1 ZINC001499707013 1185685821 /nfs/dbraw/zinc/68/58/21/1185685821.db2.gz FWGGZRUZLFZFIW-HNNXBMFYSA-N 0 1 315.461 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](OCC)C2CCCC2)C1 ZINC001499713643 1185686117 /nfs/dbraw/zinc/68/61/17/1185686117.db2.gz CMSKWLRYLISZMN-MAUKXSAKSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N(C)C1CN(CCCC(C)(C)C)C1 ZINC001499715171 1185687385 /nfs/dbraw/zinc/68/73/85/1185687385.db2.gz NIHIUGMIXGAODL-IBGZPJMESA-N 0 1 324.509 3.184 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H](C)C(F)(F)F)C1 ZINC001499730975 1185687616 /nfs/dbraw/zinc/68/76/16/1185687616.db2.gz FSNQSJQZABLYAA-GFCCVEGCSA-N 0 1 308.388 3.298 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)c2cccs2)C1 ZINC001499743501 1185690267 /nfs/dbraw/zinc/69/02/67/1185690267.db2.gz BHSQNLFOQGQWKT-KBPBESRZSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](F)c2ccccc2)C1 ZINC001499751951 1185692514 /nfs/dbraw/zinc/69/25/14/1185692514.db2.gz OWKVFTIOVFZFII-WMLDXEAASA-N 0 1 304.409 3.195 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(F)cccc2F)C1 ZINC001499775248 1185694671 /nfs/dbraw/zinc/69/46/71/1185694671.db2.gz YVQHMRUNZLMOJF-GFCCVEGCSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(c3ccccc3C)CC2)C1 ZINC001499805231 1185697882 /nfs/dbraw/zinc/69/78/82/1185697882.db2.gz OWKVLQJLZNCTIN-UHFFFAOYSA-N 0 1 312.457 3.135 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ncc(C)o2)C[C@H]1C ZINC001499832289 1185702697 /nfs/dbraw/zinc/70/26/97/1185702697.db2.gz LUQLRNAVNAYPJI-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](CC)C2CCC(C)CC2)CC1 ZINC001499985232 1185727639 /nfs/dbraw/zinc/72/76/39/1185727639.db2.gz LSROMYAYBFIKKB-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001500213352 1185758238 /nfs/dbraw/zinc/75/82/38/1185758238.db2.gz UMSKEWFIJKDLJT-DNVCBOLYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(C)CCN(Cc2ccccc2F)C1 ZINC001500221490 1185759598 /nfs/dbraw/zinc/75/95/98/1185759598.db2.gz UEYYDGOLCAZUKJ-SFHVURJKSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001500250884 1185765100 /nfs/dbraw/zinc/76/51/00/1185765100.db2.gz GQQVCRVMDDJBLP-GNYRPXIHSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001500546597 1185819348 /nfs/dbraw/zinc/81/93/48/1185819348.db2.gz DADHVYFMPPIMPM-NRFANRHFSA-N 0 1 322.452 3.111 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)/C=C/C(C)(C)C)C1 ZINC001500607247 1185828313 /nfs/dbraw/zinc/82/83/13/1185828313.db2.gz LNPDMFSBWVCDQA-UZYOAWRESA-N 0 1 314.420 3.238 20 30 DGEDMN CCc1ccccc1[C@H](CNC(C)=O)NCc1ccc(C#N)cc1 ZINC001501015492 1185856301 /nfs/dbraw/zinc/85/63/01/1185856301.db2.gz HBDXZOJZEKCZBD-FQEVSTJZSA-N 0 1 321.424 3.088 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001501422435 1185903040 /nfs/dbraw/zinc/90/30/40/1185903040.db2.gz PNIATUXCGOGZIP-SHVNWIDNSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001501987951 1185943876 /nfs/dbraw/zinc/94/38/76/1185943876.db2.gz ATYFLZXMBUDRHT-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2coc(C(F)F)c2)CCC1 ZINC001501994968 1185944978 /nfs/dbraw/zinc/94/49/78/1185944978.db2.gz QXUGYXRTLDSANA-UHFFFAOYSA-N 0 1 318.751 3.212 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001502123969 1185957307 /nfs/dbraw/zinc/95/73/07/1185957307.db2.gz IGIGFOPVYJFCDM-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1ccnc(Cl)c1 ZINC001502359777 1185972920 /nfs/dbraw/zinc/97/29/20/1185972920.db2.gz GXIQREUDJSIQED-UHFFFAOYSA-N 0 1 323.868 3.228 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@H](CNC(=O)/C(C)=C\C)O2 ZINC001502431984 1185983193 /nfs/dbraw/zinc/98/31/93/1185983193.db2.gz WJUGAEZKHYXIRM-HFLUPCSWSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CSC(C)C)cc2C1 ZINC001502646919 1186000048 /nfs/dbraw/zinc/00/00/48/1186000048.db2.gz CTILOFFFOBFGJV-UHFFFAOYSA-N 0 1 318.486 3.336 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)C1CCC1 ZINC001503677888 1186050905 /nfs/dbraw/zinc/05/09/05/1186050905.db2.gz LIDWBACQYCUFCK-UZLBHIALSA-N 0 1 324.468 3.162 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1c2ccccc2CCN1CCCF ZINC001503678143 1186051532 /nfs/dbraw/zinc/05/15/32/1186051532.db2.gz NGPBHILIFXAUAH-SFHVURJKSA-N 0 1 318.436 3.418 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](CCC)C(C)C ZINC001505415607 1186072352 /nfs/dbraw/zinc/07/23/52/1186072352.db2.gz PNUDSPJVUXXRAA-QXAKKESOSA-N 0 1 312.457 3.064 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1cc(F)cc(F)c1 ZINC001505424035 1186075763 /nfs/dbraw/zinc/07/57/63/1186075763.db2.gz HDHBSIVHWWTRPR-QGZVFWFLSA-N 0 1 322.399 3.354 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1scnc1C ZINC001505444140 1186081483 /nfs/dbraw/zinc/08/14/83/1186081483.db2.gz YMBZKJMVINOKPN-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1scnc1C ZINC001505444140 1186081488 /nfs/dbraw/zinc/08/14/88/1186081488.db2.gz YMBZKJMVINOKPN-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(C)CCC(C)CC1 ZINC001505466128 1186089759 /nfs/dbraw/zinc/08/97/59/1186089759.db2.gz SMSRVFWALSOAGK-HFCFLWKCSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2cc(C)ccc2o1 ZINC001505466180 1186090035 /nfs/dbraw/zinc/09/00/35/1186090035.db2.gz UDCZKXYARDBDGY-KRWDZBQOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cc2cc(C)ccc2o1 ZINC001505466427 1186090561 /nfs/dbraw/zinc/09/05/61/1186090561.db2.gz YQIXEYPBCCKNDE-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2ccccc2o1 ZINC001505746069 1186133251 /nfs/dbraw/zinc/13/32/51/1186133251.db2.gz PKYHZRHWTPXKDP-NEPJUHHUSA-N 0 1 306.793 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(C)cc(C)cc1C ZINC001505745068 1186133505 /nfs/dbraw/zinc/13/35/05/1186133505.db2.gz BIWRCQLOHCBVBQ-LSDHHAIUSA-N 0 1 308.853 3.461 20 30 DGEDMN Cc1oc(C[N@@H+](Cc2ccc(C#N)cc2)C2CC2)cc1C(=O)[O-] ZINC001610583225 1193400036 /nfs/dbraw/zinc/40/00/36/1193400036.db2.gz ZQHCBSVROWODBN-UHFFFAOYSA-N 0 1 310.353 3.323 20 30 DGEDMN C[C@H](CNC(=O)CC(C)(C)C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001505869281 1186154203 /nfs/dbraw/zinc/15/42/03/1186154203.db2.gz RARCEGLHDZPXJF-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN N#Cc1c(C[NH2+]C2(c3ccc(F)cc3)CC2)cccc1C(=O)[O-] ZINC001610622664 1193401504 /nfs/dbraw/zinc/40/15/04/1193401504.db2.gz BBPRFGKGWXPLDU-UHFFFAOYSA-N 0 1 310.328 3.174 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C)c(C)c1Cl ZINC001506038656 1186187285 /nfs/dbraw/zinc/18/72/85/1186187285.db2.gz MQCSOQOHHBDTKN-ZDUSSCGKSA-N 0 1 306.837 3.030 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001506067446 1186193290 /nfs/dbraw/zinc/19/32/90/1186193290.db2.gz OBPBUDBXSJXHLR-CRAIPNDOSA-N 0 1 318.436 3.025 20 30 DGEDMN CC(C)C(=O)NC[C@H]1CCCCCN1Cc1ccccc1C#N ZINC001506558256 1186248092 /nfs/dbraw/zinc/24/80/92/1186248092.db2.gz HTPLAYTYSKDRSM-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1cncs1 ZINC001506573170 1186254402 /nfs/dbraw/zinc/25/44/02/1186254402.db2.gz UCHHUYSDKIHGRQ-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccc(C)c(F)c2)CC1(C)C ZINC001506729587 1186280483 /nfs/dbraw/zinc/28/04/83/1186280483.db2.gz NJDKVHWNVBVDTA-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CCC(=O)N1CC2(C1)CCCN(CCC(F)(F)F)C2 ZINC001506863445 1186297841 /nfs/dbraw/zinc/29/78/41/1186297841.db2.gz XSUUZVLBLLEXFA-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)cc(F)c1 ZINC001507024423 1186342086 /nfs/dbraw/zinc/34/20/86/1186342086.db2.gz LKSZYMDLNHJYHN-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1CCC(F)(F)CC1 ZINC001507125239 1186366998 /nfs/dbraw/zinc/36/69/98/1186366998.db2.gz LVYHINVDHXJPDE-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(Cl)c1 ZINC001507146420 1186372605 /nfs/dbraw/zinc/37/26/05/1186372605.db2.gz GMXDTPRBPJCMES-LLVKDONJSA-N 0 1 301.217 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C2CC2)cc1 ZINC001507160981 1186375897 /nfs/dbraw/zinc/37/58/97/1186375897.db2.gz WDUQMIHRFNQPBL-CYBMUJFWSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](C)CCC)CC1(C)C ZINC001507423102 1186426324 /nfs/dbraw/zinc/42/63/24/1186426324.db2.gz BKGSUGKNJMSOFU-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001507991127 1186465652 /nfs/dbraw/zinc/46/56/52/1186465652.db2.gz NZZMYJCJBVJEIC-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN N#Cc1ccc(C2(NC(=O)c3cccc(F)c3O)CCC2)cc1 ZINC001611231081 1193427981 /nfs/dbraw/zinc/42/79/81/1193427981.db2.gz SLGGDCXDGYWTMH-UHFFFAOYSA-N 0 1 310.328 3.212 20 30 DGEDMN CC#CCCCC(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC001611529339 1193436501 /nfs/dbraw/zinc/43/65/01/1193436501.db2.gz INCOSCXZHXIAOF-UHFFFAOYSA-N 0 1 320.190 3.458 20 30 DGEDMN COc1cccc(C(C)(C)C(=O)Nc2cc(C#N)ccc2O)c1 ZINC001546334529 1186672091 /nfs/dbraw/zinc/67/20/91/1186672091.db2.gz QFBNHAXZDRNIOM-UHFFFAOYSA-N 0 1 310.353 3.189 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)c1scnc1C1CCCC1 ZINC001515836892 1186771591 /nfs/dbraw/zinc/77/15/91/1186771591.db2.gz NLRRSSFSRSAXHC-LLVKDONJSA-N 0 1 300.387 3.024 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001516671307 1186788585 /nfs/dbraw/zinc/78/85/85/1186788585.db2.gz RKMSAIACGPGUTQ-AWEZNQCLSA-N 0 1 303.753 3.003 20 30 DGEDMN C[C@@H]1CCCC[C@H]1OCC(=O)[C@@H](C#N)c1ncc(F)cc1F ZINC001518063207 1186831016 /nfs/dbraw/zinc/83/10/16/1186831016.db2.gz DRFVPMDFIMZSLI-IXPVHAAZSA-N 0 1 308.328 3.131 20 30 DGEDMN Cc1nsc(NC(=O)c2c(F)c(F)cc(F)c2F)c1C#N ZINC001518995087 1186861438 /nfs/dbraw/zinc/86/14/38/1186861438.db2.gz GQTRYCCHCCBHOD-UHFFFAOYSA-N 0 1 315.251 3.132 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)Cc1cccc(C)c1 ZINC001520297005 1186931167 /nfs/dbraw/zinc/93/11/67/1186931167.db2.gz SSUWMZGAEZYETN-UHFFFAOYSA-N 0 1 322.416 3.107 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1ccc2n1CCN[C@@H]2C ZINC001521340397 1187015837 /nfs/dbraw/zinc/01/58/37/1187015837.db2.gz NUMSLNUYJFIIGD-OAHLLOKOSA-N 0 1 315.461 3.361 20 30 DGEDMN C=C(C)CN(C)c1nnc(-c2[nH]cnc2C)n1Cc1ccccc1 ZINC001521735033 1187044047 /nfs/dbraw/zinc/04/40/47/1187044047.db2.gz HSOSAQGHVIQDCR-UHFFFAOYSA-N 0 1 322.416 3.037 20 30 DGEDMN C=C(CC(C)C)C(=O)Nc1n[nH]c(C(=O)OCC)c1C1CC1 ZINC001522425239 1187080116 /nfs/dbraw/zinc/08/01/16/1187080116.db2.gz CPUKCXREUVLZMD-UHFFFAOYSA-N 0 1 305.378 3.005 20 30 DGEDMN N#Cc1nc(NCCCCc2ccnc(N)c2)sc1Cl ZINC001612979307 1193465028 /nfs/dbraw/zinc/46/50/28/1193465028.db2.gz VWQQDONBNYKRCS-UHFFFAOYSA-N 0 1 307.810 3.080 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCOC3(CCCC3)C2)nc(C)n1 ZINC001524018730 1187208962 /nfs/dbraw/zinc/20/89/62/1187208962.db2.gz NEPOUYYJBCPPEN-LSDHHAIUSA-N 0 1 313.401 3.009 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)CC[C@H]1C ZINC001524337216 1187235755 /nfs/dbraw/zinc/23/57/55/1187235755.db2.gz GSKBGFUZGDXCLT-CHWSQXEVSA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)c1 ZINC001525461739 1187315712 /nfs/dbraw/zinc/31/57/12/1187315712.db2.gz CFGBTHHLDTTZFG-PLLDYVMSSA-N 0 1 324.424 3.002 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001526586986 1187376490 /nfs/dbraw/zinc/37/64/90/1187376490.db2.gz IFDQRWTZSKOGRE-MPGHIAIKSA-N 0 1 311.360 3.149 20 30 DGEDMN C[C@@]1(C(=O)C(C#N)c2ncc(F)cc2F)CCc2ccccc21 ZINC001527020817 1187406795 /nfs/dbraw/zinc/40/67/95/1187406795.db2.gz WRUJZACKVLFNRT-FZKQIMNGSA-N 0 1 312.319 3.440 20 30 DGEDMN CCc1cccc(OCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001530615179 1187637334 /nfs/dbraw/zinc/63/73/34/1187637334.db2.gz MOADTYZJAVPYCA-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cnc4ccccc4c3)[nH]c2c1 ZINC001530625382 1187638165 /nfs/dbraw/zinc/63/81/65/1187638165.db2.gz BMXMDICONVCZAS-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC001533133073 1187811268 /nfs/dbraw/zinc/81/12/68/1187811268.db2.gz WICGVBLJHYXRHB-UHFFFAOYSA-N 0 1 318.695 3.381 20 30 DGEDMN C[C@@]1(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CCc2ccccc21 ZINC001534067878 1187873213 /nfs/dbraw/zinc/87/32/13/1187873213.db2.gz LTYIPLOZLXQAQL-LJQANCHMSA-N 0 1 316.364 3.277 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4c(c3)CCC4)[nH]c2c1 ZINC001534067643 1187873590 /nfs/dbraw/zinc/87/35/90/1187873590.db2.gz BBFXUTFKFLKYBN-UHFFFAOYSA-N 0 1 302.337 3.176 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1cccnc1 ZINC001534067825 1187873617 /nfs/dbraw/zinc/87/36/17/1187873617.db2.gz JIJGFXNEXPKFIV-INIZCTEOSA-N 0 1 319.368 3.208 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c2c1CCC2 ZINC001534358660 1187893387 /nfs/dbraw/zinc/89/33/87/1187893387.db2.gz PNERNWFDGHAART-UHFFFAOYSA-N 0 1 316.364 3.484 20 30 DGEDMN Cc1ccc(OCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C)c1 ZINC001534353523 1187893431 /nfs/dbraw/zinc/89/34/31/1187893431.db2.gz MNZOLGGLNJWRCP-UHFFFAOYSA-N 0 1 320.352 3.069 20 30 DGEDMN C#CCCCCCCN1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC001615850520 1193528914 /nfs/dbraw/zinc/52/89/14/1193528914.db2.gz LASKOWGMFUOZGH-PMACEKPBSA-N 0 1 315.457 3.395 20 30 DGEDMN COc1cccc([C@H](C)NCc2ccc(C#N)cc2[N+](=O)[O-])c1 ZINC001616752633 1193546687 /nfs/dbraw/zinc/54/66/87/1193546687.db2.gz APWMJWGTJVDJMA-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN CSc1ccccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001543404620 1188331576 /nfs/dbraw/zinc/33/15/76/1188331576.db2.gz XNRJJJQMGHVMGJ-UHFFFAOYSA-N 0 1 308.366 3.409 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(F)c1F ZINC001543407358 1188331635 /nfs/dbraw/zinc/33/16/35/1188331635.db2.gz DEWVJPVRZKRTJN-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=CC[C@H]1N(Cc2n[nH]c(C)c2C(=O)OCC)CCCC1(C)C ZINC001617603768 1193575151 /nfs/dbraw/zinc/57/51/51/1193575151.db2.gz FSKCTHNZNMKOQL-OAHLLOKOSA-N 0 1 319.449 3.462 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1[C@H](C)c1ccccc1 ZINC001565822891 1188979224 /nfs/dbraw/zinc/97/92/24/1188979224.db2.gz OCKHNBVHJWTHNH-WBVHZDCISA-N 0 1 300.446 3.493 20 30 DGEDMN C=CCCCN1CC[C@@H]1CN(C)C(=O)c1scnc1C(C)C ZINC001565827728 1188980246 /nfs/dbraw/zinc/98/02/46/1188980246.db2.gz GFQLEYSCTMYISC-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)C1(CC)CCCCC1 ZINC001565835379 1188981088 /nfs/dbraw/zinc/98/10/88/1188981088.db2.gz LZFJUGMLVHQHKU-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)c2nc3ccc(OC)cc3[nH]2)CCC1 ZINC001556295149 1188994569 /nfs/dbraw/zinc/99/45/69/1188994569.db2.gz RNNCUUHQZDJOAK-GFCCVEGCSA-N 0 1 313.401 3.495 20 30 DGEDMN C=CCC(C)(C)CNC(=O)c1cccc(Br)c1O ZINC001556758306 1189018710 /nfs/dbraw/zinc/01/87/10/1189018710.db2.gz FZVFOHKMWYVQJF-UHFFFAOYSA-N 0 1 312.207 3.487 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(F)cc(F)c2O)cc1Cl ZINC001557087202 1189039767 /nfs/dbraw/zinc/03/97/67/1189039767.db2.gz YHDMFBMGRJNIEJ-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN CCSc1ccccc1CC(=O)NOc1cccc(C#N)c1 ZINC001557921972 1189092983 /nfs/dbraw/zinc/09/29/83/1189092983.db2.gz BHZTUDDVZQUTMX-UHFFFAOYSA-N 0 1 312.394 3.323 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2cc(C#N)cs2)n[nH]1 ZINC001558570301 1189135603 /nfs/dbraw/zinc/13/56/03/1189135603.db2.gz PXGROGISRIVJMM-ZDUSSCGKSA-N 0 1 300.387 3.019 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CC[N@@H+](Cc2ccc(C)nc2C)C1 ZINC001567920322 1189158068 /nfs/dbraw/zinc/15/80/68/1189158068.db2.gz BJLAKPVQKNEPDC-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001567920322 1189158073 /nfs/dbraw/zinc/15/80/73/1189158073.db2.gz BJLAKPVQKNEPDC-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN C[C@H](CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1ccco1 ZINC001559046508 1189165452 /nfs/dbraw/zinc/16/54/52/1189165452.db2.gz JNSANWMNJNUYQK-LLVKDONJSA-N 0 1 321.340 3.069 20 30 DGEDMN N#Cc1ccc(CCN2CCO[C@@H](CCc3ccccc3)C2)cc1 ZINC001559733760 1189236079 /nfs/dbraw/zinc/23/60/79/1189236079.db2.gz WHELBYNJCZRGFQ-NRFANRHFSA-N 0 1 320.436 3.434 20 30 DGEDMN Cc1ccccc1[C@]1(F)CCN(C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001559787180 1189242449 /nfs/dbraw/zinc/24/24/49/1189242449.db2.gz SNBGTZYCUSLXIY-UXHICEINSA-N 0 1 324.399 3.471 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](c2ccccc2OC)CC1 ZINC001560094897 1189277893 /nfs/dbraw/zinc/27/78/93/1189277893.db2.gz SQBDTPYCJLIFIE-NVXWUHKLSA-N 0 1 317.429 3.382 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)C1 ZINC001560135808 1189281711 /nfs/dbraw/zinc/28/17/11/1189281711.db2.gz CENYPYZZCPAGBK-CQSZACIVSA-N 0 1 302.374 3.227 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H](O)C(CC)CC ZINC001560338782 1189314453 /nfs/dbraw/zinc/31/44/53/1189314453.db2.gz MLMHLIFUYOFIFQ-INIZCTEOSA-N 0 1 309.837 3.239 20 30 DGEDMN N#Cc1ccc(CNCc2cnc(CC(F)(F)F)s2)s1 ZINC001560509705 1189334527 /nfs/dbraw/zinc/33/45/27/1189334527.db2.gz NRRXWXWTYXQRAJ-UHFFFAOYSA-N 0 1 317.361 3.471 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(CCOC)CC(C)C ZINC001560642176 1189353922 /nfs/dbraw/zinc/35/39/22/1189353922.db2.gz RIPVFAFHJFKNFS-UHFFFAOYSA-N 0 1 309.837 3.456 20 30 DGEDMN C[C@]1(CNCc2cccc(F)c2C#N)COc2ccccc2O1 ZINC001560946746 1189409622 /nfs/dbraw/zinc/40/96/22/1189409622.db2.gz KFJFKWWFPYEAOX-SFHVURJKSA-N 0 1 312.344 3.017 20 30 DGEDMN C#Cc1ccc(CN[C@H]2CCN(c3cccc(Cl)c3)C2=O)cc1 ZINC001560991024 1189416084 /nfs/dbraw/zinc/41/60/84/1189416084.db2.gz ACVRHJOGFQLQNQ-SFHVURJKSA-N 0 1 324.811 3.216 20 30 DGEDMN C#CCC1(NCc2cn(-c3ccc(F)c(Cl)c3)nn2)CCC1 ZINC001561017670 1189421576 /nfs/dbraw/zinc/42/15/76/1189421576.db2.gz DMBNNDXRXGFFCA-UHFFFAOYSA-N 0 1 318.783 3.095 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2CC2=CCCCC2)C1 ZINC001565826437 1189678561 /nfs/dbraw/zinc/67/85/61/1189678561.db2.gz MEAYBBMUQCAXEB-KRWDZBQOSA-N 0 1 302.462 3.376 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001565825809 1189678767 /nfs/dbraw/zinc/67/87/67/1189678767.db2.gz ZMAKDTIABSLVMF-SJLPKXTDSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001565865470 1189695589 /nfs/dbraw/zinc/69/55/89/1189695589.db2.gz JKYJVMDDRFXSLU-SHTZXODSSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)CCC)C1 ZINC001565880985 1189698758 /nfs/dbraw/zinc/69/87/58/1189698758.db2.gz JZYCLHOGQXBOGN-BPQIPLTHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C\Cl)[C@@H]2C1(C)C ZINC001565992166 1189710294 /nfs/dbraw/zinc/71/02/94/1189710294.db2.gz DGEBTDHNPTWRIO-GHDYSPFCSA-N 0 1 310.869 3.310 20 30 DGEDMN C=C[C@H](CC(=O)N[C@H]1CCN(CCF)[C@@H]1CC)c1ccccc1 ZINC001566029024 1189719374 /nfs/dbraw/zinc/71/93/74/1189719374.db2.gz OMOJOFVMWYHDRT-BPQIPLTHSA-N 0 1 318.436 3.285 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1ncc(C)s1 ZINC001566525932 1189791143 /nfs/dbraw/zinc/79/11/43/1189791143.db2.gz MUALKHQBDQPSRJ-CABCVRRESA-N 0 1 321.490 3.277 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001566611696 1189823266 /nfs/dbraw/zinc/82/32/66/1189823266.db2.gz MQRXWQNKGCWTFE-INIZCTEOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001566617196 1189823847 /nfs/dbraw/zinc/82/38/47/1189823847.db2.gz YBNBIGZREDHGII-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001566670904 1189851216 /nfs/dbraw/zinc/85/12/16/1189851216.db2.gz HFMCUDMHMCTTFV-MRXNPFEDSA-N 0 1 318.436 3.161 20 30 DGEDMN CCC(CC)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001566677014 1189855322 /nfs/dbraw/zinc/85/53/22/1189855322.db2.gz ZYUYTVGQNFKWNM-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)s1 ZINC001566756243 1189892458 /nfs/dbraw/zinc/89/24/58/1189892458.db2.gz JDOOZBFXUPHAFC-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001566922853 1189953018 /nfs/dbraw/zinc/95/30/18/1189953018.db2.gz GBCZIUGAVSJDQS-UXHICEINSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)Cc1cccc(C2CC2)c1 ZINC001566924432 1189954415 /nfs/dbraw/zinc/95/44/15/1189954415.db2.gz NXFGEXJNWONKCN-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001566929667 1189957889 /nfs/dbraw/zinc/95/78/89/1189957889.db2.gz GOXMRBJSOODDIN-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(CF)CCC2)C1 ZINC001566964814 1189975049 /nfs/dbraw/zinc/97/50/49/1189975049.db2.gz BVKSFZSEMGGKEB-KGLIPLIRSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001566987637 1189982613 /nfs/dbraw/zinc/98/26/13/1189982613.db2.gz WWHMIXJRYYKGQD-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1nsc2ccccc21 ZINC001567019110 1189995844 /nfs/dbraw/zinc/99/58/44/1189995844.db2.gz GUKYOQZCGDBSNM-ZDUSSCGKSA-N 0 1 317.458 3.247 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1scc(C)c1Cl ZINC001567018622 1189996392 /nfs/dbraw/zinc/99/63/92/1189996392.db2.gz MRDMMIZXEFNNGU-VIFPVBQESA-N 0 1 307.246 3.170 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1ccc(F)cc1Cl ZINC001567020575 1189997166 /nfs/dbraw/zinc/99/71/66/1189997166.db2.gz VSLDPDDAIOUOFV-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2ccccc2nc1C ZINC001567019882 1189997462 /nfs/dbraw/zinc/99/74/62/1189997462.db2.gz LUVWUADGZAYHTC-LBPRGKRZSA-N 0 1 317.820 3.004 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001567066157 1190016794 /nfs/dbraw/zinc/01/67/94/1190016794.db2.gz TYPVCLZBUKVOKW-UKRRQHHQSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)C[C@H](CC)C(C)C ZINC001567071305 1190020108 /nfs/dbraw/zinc/02/01/08/1190020108.db2.gz NFJDWLGTJQJETM-STQMWFEESA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)c1ccccc1OC ZINC001567071506 1190020334 /nfs/dbraw/zinc/02/03/34/1190020334.db2.gz RPOYQIPBXGBJDK-GXTWGEPZSA-N 0 1 324.852 3.036 20 30 DGEDMN CCCCCC[C@@H](O)CCC(=O)Nc1cc(C#N)ccc1O ZINC001567073237 1190021244 /nfs/dbraw/zinc/02/12/44/1190021244.db2.gz QKANLBRNCGJHAY-CQSZACIVSA-N 0 1 304.390 3.314 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CC)c1ccc(OC)cc1 ZINC001567080044 1190025007 /nfs/dbraw/zinc/02/50/07/1190025007.db2.gz HLAFVXSDUZQHDQ-BBRMVZONSA-N 0 1 324.852 3.036 20 30 DGEDMN CN(CCNC(=O)CC1(C)CCCC1)Cc1ccc(C#N)cc1 ZINC001567279310 1190109161 /nfs/dbraw/zinc/10/91/61/1190109161.db2.gz QULASAKKZFYPMC-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@H](C)c1cccc(F)c1 ZINC001567366947 1190154581 /nfs/dbraw/zinc/15/45/81/1190154581.db2.gz SCMZGHKZQQQZQM-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(c2cccc(F)c2)CCC1 ZINC001567376249 1190158948 /nfs/dbraw/zinc/15/89/48/1190158948.db2.gz DMGONBXIFJWRJU-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001567380669 1190161404 /nfs/dbraw/zinc/16/14/04/1190161404.db2.gz UJOFPQULMGZTSC-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001567386463 1190164394 /nfs/dbraw/zinc/16/43/94/1190164394.db2.gz HSKOXXXYXXGTTE-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN CCC(=CC(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1)CC ZINC001567396984 1190170509 /nfs/dbraw/zinc/17/05/09/1190170509.db2.gz NLXKDQUEDMCTFF-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccsc2)C1 ZINC001567684525 1190251905 /nfs/dbraw/zinc/25/19/05/1190251905.db2.gz IJHZFKGLLGLIRI-MRXNPFEDSA-N 0 1 306.475 3.180 20 30 DGEDMN C=C(C)CCC(=O)N(CCC)[C@H]1CCN(Cc2cccnc2)C1 ZINC001567684539 1190252081 /nfs/dbraw/zinc/25/20/81/1190252081.db2.gz IQWMHWOPTZKXSD-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2csc(C(C)C)n2)C1 ZINC001567687858 1190253043 /nfs/dbraw/zinc/25/30/43/1190253043.db2.gz AROCDXZVILHUDY-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2csc(C(C)C)n2)C1 ZINC001567687859 1190253988 /nfs/dbraw/zinc/25/39/88/1190253988.db2.gz AROCDXZVILHUDY-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc[nH]c2CCC)C1 ZINC001567704807 1190263098 /nfs/dbraw/zinc/26/30/98/1190263098.db2.gz DXSYKPZNTBDGOW-AWEZNQCLSA-N 0 1 323.868 3.162 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2sc(C)cc2C)C1 ZINC001567815517 1190308751 /nfs/dbraw/zinc/30/87/51/1190308751.db2.gz QVGYIJKQBGYMHV-ZDUSSCGKSA-N 0 1 306.475 3.063 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001567831561 1190313622 /nfs/dbraw/zinc/31/36/22/1190313622.db2.gz XVNUDULULZDZFX-UHFFFAOYSA-N 0 1 300.446 3.153 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(Cl)cc1 ZINC001567851879 1190322155 /nfs/dbraw/zinc/32/21/55/1190322155.db2.gz LTQLJPSVYLZDHD-IRXDYDNUSA-N 0 1 318.848 3.134 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2ccccc2Cl)C1 ZINC001567907117 1190346352 /nfs/dbraw/zinc/34/63/52/1190346352.db2.gz QLWFVKYKNVRQBN-HNNXBMFYSA-N 0 1 306.837 3.339 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001567934657 1190362807 /nfs/dbraw/zinc/36/28/07/1190362807.db2.gz XHCXEKWWDMKUAU-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001567944304 1190369841 /nfs/dbraw/zinc/36/98/41/1190369841.db2.gz QSIRDUCXLCCACB-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(F)cc(Cl)c1 ZINC001567978318 1190385295 /nfs/dbraw/zinc/38/52/95/1190385295.db2.gz OLVFGKKDWNZTRA-ZDUSSCGKSA-N 0 1 319.207 3.330 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CCNCc2ncc(C)o2)C1 ZINC001568065866 1190412186 /nfs/dbraw/zinc/41/21/86/1190412186.db2.gz FUWOTTXJFVQJNQ-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001568108213 1190422745 /nfs/dbraw/zinc/42/27/45/1190422745.db2.gz FGQAPIADCRYUIW-HDJSIYSDSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1nsc2ccccc12 ZINC001568260142 1190465567 /nfs/dbraw/zinc/46/55/67/1190465567.db2.gz PPQZMUSKXCPKGO-ZDUSSCGKSA-N 0 1 317.458 3.199 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001568261563 1190466672 /nfs/dbraw/zinc/46/66/72/1190466672.db2.gz YRKGJLNTJVSNFW-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1F ZINC001568293760 1190476353 /nfs/dbraw/zinc/47/63/53/1190476353.db2.gz OTWSKBSZSAXJKH-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1oc(CC)nc1C ZINC001568298806 1190478435 /nfs/dbraw/zinc/47/84/35/1190478435.db2.gz XLUYOXKUYKKDEI-ACJLOTCBSA-N 0 1 321.465 3.084 20 30 DGEDMN Cc1ccc(F)cc1CN(C)CCN(C)C(=O)C#CC(C)(C)C ZINC001568480580 1190538473 /nfs/dbraw/zinc/53/84/73/1190538473.db2.gz LZJMYNXIFCTXPT-UHFFFAOYSA-N 0 1 318.436 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001568493868 1190544977 /nfs/dbraw/zinc/54/49/77/1190544977.db2.gz IZVGHEALBIPBDZ-UHFFFAOYSA-N 0 1 310.388 3.067 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C/C=C\c1ccc(F)cc1 ZINC001568751836 1190585272 /nfs/dbraw/zinc/58/52/72/1190585272.db2.gz VEIVPYVFZCXMPR-PLNGDYQASA-N 0 1 324.827 3.420 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1cccc(F)c1F ZINC001568914708 1190599219 /nfs/dbraw/zinc/59/92/19/1190599219.db2.gz ZTKTWIJBJPBRCE-IPLHWJFFSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(F)c1Cl ZINC001568924898 1190600426 /nfs/dbraw/zinc/60/04/26/1190600426.db2.gz MAQLSMIGPGGWQY-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001569027872 1190610551 /nfs/dbraw/zinc/61/05/51/1190610551.db2.gz XYNDYORHZHVRBG-HIFRSBDPSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1 ZINC001569032060 1190610966 /nfs/dbraw/zinc/61/09/66/1190610966.db2.gz IREMNQJQJLRRTK-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C(F)F)c1 ZINC001569092856 1190620092 /nfs/dbraw/zinc/62/00/92/1190620092.db2.gz XSZXPLAUKJATFV-JTQLQIEISA-N 0 1 302.752 3.085 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC001569131049 1190633455 /nfs/dbraw/zinc/63/34/55/1190633455.db2.gz IPHCDIMMQXHTPR-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001569131049 1190633457 /nfs/dbraw/zinc/63/34/57/1190633457.db2.gz IPHCDIMMQXHTPR-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](Cc1ccccc1)C(C)C ZINC001569373226 1190680619 /nfs/dbraw/zinc/68/06/19/1190680619.db2.gz LIFGHWOSAULIQD-RBUKOAKNSA-N 0 1 314.473 3.268 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001569376331 1190683396 /nfs/dbraw/zinc/68/33/96/1190683396.db2.gz IPFMMCQUEVIRSE-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2OC(C)C)CC1 ZINC001569428645 1190696146 /nfs/dbraw/zinc/69/61/46/1190696146.db2.gz DBEDOSFKIQXIMY-UHFFFAOYSA-N 0 1 322.836 3.078 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCCOC ZINC001569460681 1190702631 /nfs/dbraw/zinc/70/26/31/1190702631.db2.gz WMIHASGTEYLVBN-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CC3CC(C)(C)C3)C2)CCC1 ZINC001569499388 1190709047 /nfs/dbraw/zinc/70/90/47/1190709047.db2.gz BQMNVCPBEPSYSF-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001569504643 1190709939 /nfs/dbraw/zinc/70/99/39/1190709939.db2.gz RAQIURKKUAOJEQ-OLZOCXBDSA-N 0 1 320.399 3.462 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001569504642 1190710122 /nfs/dbraw/zinc/71/01/22/1190710122.db2.gz RAQIURKKUAOJEQ-CHWSQXEVSA-N 0 1 320.399 3.462 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2coc(C)n2)C[C@H]1C ZINC001569516903 1190712086 /nfs/dbraw/zinc/71/20/86/1190712086.db2.gz MFPUSWPPRIIZKF-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2coc(C)n2)C[C@@H]1C ZINC001569516901 1190712228 /nfs/dbraw/zinc/71/22/28/1190712228.db2.gz MFPUSWPPRIIZKF-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC=CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001569582095 1190720001 /nfs/dbraw/zinc/72/00/01/1190720001.db2.gz VMGLUUWLFBUOFG-HKUPYCBJSA-N 0 1 320.864 3.021 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C(C)(C)C2CC2)CC1 ZINC001569704670 1190743884 /nfs/dbraw/zinc/74/38/84/1190743884.db2.gz WYPSEQJRCINURK-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]3[C@H]2CCN3CC#CC)CCCC1 ZINC001569917072 1190773986 /nfs/dbraw/zinc/77/39/86/1190773986.db2.gz KFCKHKVZRZIUPZ-QZTJIDSGSA-N 0 1 314.473 3.212 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC/C=C\c3ccccc3)[C@@H]2C1 ZINC001569922027 1190774783 /nfs/dbraw/zinc/77/47/83/1190774783.db2.gz YYTMWDXHTUMCCF-RNDZGNHASA-N 0 1 322.452 3.036 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001570113184 1190797894 /nfs/dbraw/zinc/79/78/94/1190797894.db2.gz OFKWDAHGZMMJCM-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001570113254 1190798036 /nfs/dbraw/zinc/79/80/36/1190798036.db2.gz QTHOFIMPHLGFSP-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](CC)CC(C)C)CCC1 ZINC001570123323 1190801107 /nfs/dbraw/zinc/80/11/07/1190801107.db2.gz OWEQNNRUKIBEIJ-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N(C)CCCN(C)Cc1ccc(F)cc1F ZINC001570192292 1190815094 /nfs/dbraw/zinc/81/50/94/1190815094.db2.gz CBMARGWHKVAQBC-UHFFFAOYSA-N 0 1 310.388 3.211 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001570204107 1190817739 /nfs/dbraw/zinc/81/77/39/1190817739.db2.gz FYBPTZQSPJPVGA-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001570211920 1190821721 /nfs/dbraw/zinc/82/17/21/1190821721.db2.gz MIVBFFXVZVCFEQ-CQSZACIVSA-N 0 1 321.490 3.192 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)C=C(CC)CC)O2 ZINC001570232361 1190827364 /nfs/dbraw/zinc/82/73/64/1190827364.db2.gz QJEIWOZLFMZKEK-KRWDZBQOSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001570281036 1190836510 /nfs/dbraw/zinc/83/65/10/1190836510.db2.gz NFPLMOCHRIHGOC-HOCLYGCPSA-N 0 1 323.440 3.452 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001570322934 1190839342 /nfs/dbraw/zinc/83/93/42/1190839342.db2.gz KECYZPGYOWFXIR-OIZKETEYSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2ccc(F)cc2Cl)[C@@H](C)C1 ZINC001570334424 1190840100 /nfs/dbraw/zinc/84/01/00/1190840100.db2.gz LTCWNMFNVCWLFH-LRDDRELGSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001570441411 1190859591 /nfs/dbraw/zinc/85/95/91/1190859591.db2.gz TXYDXDHKMCHHBU-PKOBYXMFSA-N 0 1 324.468 3.219 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@H](C)C1CC1 ZINC001570478337 1190867085 /nfs/dbraw/zinc/86/70/85/1190867085.db2.gz NUAMRFLSACMQTI-JXFKEZNVSA-N 0 1 324.468 3.162 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CC(C)=C(C)C ZINC001570478180 1190867880 /nfs/dbraw/zinc/86/78/80/1190867880.db2.gz KPIATPGHEWUMDR-FQEVSTJZSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001570541977 1190882343 /nfs/dbraw/zinc/88/23/43/1190882343.db2.gz MYEVPPSSACBRMI-SBHAEUEKSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CCC=C)c1ccccc1 ZINC001570548906 1190885842 /nfs/dbraw/zinc/88/58/42/1190885842.db2.gz RUOOBWXIEWOYFS-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN C[C@@H](NCc1cc(F)ccc1C#N)[C@@H](C)NC(=O)CC(C)(C)C ZINC001570589906 1190895083 /nfs/dbraw/zinc/89/50/83/1190895083.db2.gz KZAKMTTXHYYVFF-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001570591326 1190895509 /nfs/dbraw/zinc/89/55/09/1190895509.db2.gz XLPVDTNZKNLVMK-LSDHHAIUSA-N 0 1 301.434 3.121 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1Cl ZINC001570591728 1190895827 /nfs/dbraw/zinc/89/58/27/1190895827.db2.gz BNZVHMPVVOCCGQ-NEPJUHHUSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001570606694 1190899805 /nfs/dbraw/zinc/89/98/05/1190899805.db2.gz AWYLARQQBOUBBS-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(c2cccc(F)c2)CC1 ZINC001570636745 1190907792 /nfs/dbraw/zinc/90/77/92/1190907792.db2.gz MZFKKVBJDSFCRB-STQMWFEESA-N 0 1 324.827 3.093 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1cc(C)cc(C)c1 ZINC001570640560 1190908052 /nfs/dbraw/zinc/90/80/52/1190908052.db2.gz CQLZDPHVNVQHFX-CVEARBPZSA-N 0 1 322.880 3.471 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC(C)(C)CNCc1nc(C)c(C)o1 ZINC001570680353 1190916047 /nfs/dbraw/zinc/91/60/47/1190916047.db2.gz BEIUFBNDQXXLDF-UHFFFAOYSA-N 0 1 321.465 3.078 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001570827392 1190960676 /nfs/dbraw/zinc/96/06/76/1190960676.db2.gz QBBZBAYOCFLARK-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(C2CCCCC2)CC1 ZINC001570827179 1190960985 /nfs/dbraw/zinc/96/09/85/1190960985.db2.gz LNOYEXIUOCNARB-SFHVURJKSA-N 0 1 316.489 3.341 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CCCCCC)C2)C1 ZINC001570886062 1190970380 /nfs/dbraw/zinc/97/03/80/1190970380.db2.gz ASIFZLSABFVOBQ-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570904827 1190974202 /nfs/dbraw/zinc/97/42/02/1190974202.db2.gz KWIVQBHDRYLWME-IRXDYDNUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@H](C)CCCCCC)C(C)(C)C1 ZINC001570907985 1190974759 /nfs/dbraw/zinc/97/47/59/1190974759.db2.gz RYVSDKCCSYIJEF-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC001571384266 1191009453 /nfs/dbraw/zinc/00/94/53/1191009453.db2.gz LNIFNYZHXQIIOX-KGLIPLIRSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1sccc1Cl ZINC001571388690 1191010853 /nfs/dbraw/zinc/01/08/53/1191010853.db2.gz VYTNYQNMYRMRRW-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)c1ccsc1 ZINC001571405048 1191016244 /nfs/dbraw/zinc/01/62/44/1191016244.db2.gz YGDVUPMBVZQTTD-VXGBXAGGSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1cc(C2CC2)no1 ZINC001571404556 1191015826 /nfs/dbraw/zinc/01/58/26/1191015826.db2.gz OATRKOPGGDQXKU-FZKQIMNGSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2cccc(F)c2o1 ZINC001571418576 1191020614 /nfs/dbraw/zinc/02/06/14/1191020614.db2.gz ZNHIDKZRAKCRAA-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C[C@H](C)NCC(=C)Cl)c1ccccc1 ZINC001571417788 1191020811 /nfs/dbraw/zinc/02/08/11/1191020811.db2.gz KAWALHWYNKHEIV-GOEBONIOSA-N 0 1 306.837 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2cc(C)no2)CC1 ZINC001571644259 1191072996 /nfs/dbraw/zinc/07/29/96/1191072996.db2.gz JMERHONVCDRDBU-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@@](C)(F)CCCC)c(F)c1 ZINC001571702689 1191086474 /nfs/dbraw/zinc/08/64/74/1191086474.db2.gz DJUUOCNMUCPDTF-SFHVURJKSA-N 0 1 322.399 3.083 20 30 DGEDMN C#CCN(Cc1c[nH]nn1)[C@@H](C)c1cc(Cl)ccc1Cl ZINC001574936805 1191459052 /nfs/dbraw/zinc/45/90/52/1191459052.db2.gz CWJZELLOCZARFJ-JTQLQIEISA-N 0 1 309.200 3.308 20 30 DGEDMN C=CC[C@@H]1N(C(=O)N[C@H](CC)c2c[nH]nn2)CCCC1(C)C ZINC001575085526 1191465638 /nfs/dbraw/zinc/46/56/38/1191465638.db2.gz NCGPSJINAJRIEE-OCCSQVGLSA-N 0 1 305.426 3.032 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](CCc2ccccc2)C(=O)OCC)C1 ZINC001618797090 1193752146 /nfs/dbraw/zinc/75/21/46/1193752146.db2.gz AOBBTQQYWGWELE-RBUKOAKNSA-N 0 1 313.441 3.286 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](CCc2ccccc2)C(=O)OCC)C1 ZINC001618797088 1193752555 /nfs/dbraw/zinc/75/25/55/1193752555.db2.gz AOBBTQQYWGWELE-OALUTQOASA-N 0 1 313.441 3.286 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC001620214858 1193765583 /nfs/dbraw/zinc/76/55/83/1193765583.db2.gz NPPDUAGAKXSBPL-NVXWUHKLSA-N 0 1 314.429 3.089 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2nc3ccc(F)cc3s2)C1 ZINC001620451832 1193770011 /nfs/dbraw/zinc/77/00/11/1193770011.db2.gz OKCLBRULGAVFPG-LLVKDONJSA-N 0 1 319.405 3.272 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CCC(C)(F)CC1 ZINC001620466986 1193770763 /nfs/dbraw/zinc/77/07/63/1193770763.db2.gz FERXCWSBNPECLT-CQSZACIVSA-N 0 1 324.465 3.475 20 30 DGEDMN CN(CCCN(C(=O)OC(C)(C)C)C1CC1)[C@H]1CCC[C@@H]1C#N ZINC001620487906 1193772457 /nfs/dbraw/zinc/77/24/57/1193772457.db2.gz IZSNSNBQAQFVNE-ZBFHGGJFSA-N 0 1 321.465 3.400 20 30 DGEDMN C#Cc1ccc(CN2CCN(C/C=C\c3ccccc3)CC2)cc1 ZINC001621145989 1193807966 /nfs/dbraw/zinc/80/79/66/1193807966.db2.gz IVLIEZNKWVGUBS-TWGQIWQCSA-N 0 1 316.448 3.499 20 30 DGEDMN CN(Cc1ccccc1)C1CN(Cc2ccc(C#N)c(F)c2)C1 ZINC001621380028 1193835565 /nfs/dbraw/zinc/83/55/65/1193835565.db2.gz SWUIJWGAGJATSV-UHFFFAOYSA-N 0 1 309.388 3.014 20 30 DGEDMN N#CC[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001623604235 1193940761 /nfs/dbraw/zinc/94/07/61/1193940761.db2.gz DBGJEDBCWAHVDY-CQSZACIVSA-N 0 1 315.336 3.071 20 30 DGEDMN Cc1ccc(C(=O)/C=C\C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC001623746547 1193956846 /nfs/dbraw/zinc/95/68/46/1193956846.db2.gz VJCZQOMFRUZICX-QXMHVHEDSA-N 0 1 322.408 3.434 20 30 DGEDMN Cc1nc(-c2ccc(C)cc2)sc1C(=O)NC1=NO[C@H](C)C1 ZINC001624513073 1194000735 /nfs/dbraw/zinc/00/07/35/1194000735.db2.gz UNRSLSPPAOSJOF-SNVBAGLBSA-N 0 1 315.398 3.279 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)[C@H](c2ccccc2)N(CC)CC)CC1 ZINC001625400278 1194049444 /nfs/dbraw/zinc/04/94/44/1194049444.db2.gz XYOLZOPYUMCZNH-WDSOQIARSA-N 0 1 312.457 3.378 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(Oc2ccccc2C#N)cc1)N(C)C ZINC001625607162 1194060412 /nfs/dbraw/zinc/06/04/12/1194060412.db2.gz BGMLBLASQXQMFX-CYBMUJFWSA-N 0 1 309.369 3.239 20 30 DGEDMN CON=CC(=O)Nc1ccc2nc(C3CCCCC3)[nH]c2c1 ZINC001629186405 1194218751 /nfs/dbraw/zinc/21/87/51/1194218751.db2.gz WISPXAKUSWPEIR-UHFFFAOYSA-N 0 1 300.362 3.181 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2c(F)cccc2F)c1 ZINC001631983181 1194365300 /nfs/dbraw/zinc/36/53/00/1194365300.db2.gz OPXBRVRQUFFHEC-CHWSQXEVSA-N 0 1 314.291 3.050 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001671153588 1194411489 /nfs/dbraw/zinc/41/14/89/1194411489.db2.gz YWYFSHMLZDYVIS-MXSMSXNCSA-N 0 1 304.478 3.310 20 30 DGEDMN C=C(C)C[C@H](CO)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001633180293 1194425628 /nfs/dbraw/zinc/42/56/28/1194425628.db2.gz YENWQOWXFVYLAR-LLVKDONJSA-N 0 1 319.788 3.256 20 30 DGEDMN CCC[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccn1 ZINC001634529284 1194499196 /nfs/dbraw/zinc/49/91/96/1194499196.db2.gz YQQMAFWXEJXLTQ-CYBMUJFWSA-N 0 1 319.368 3.352 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1ccc(Cl)c(O)c1 ZINC001636630836 1194625546 /nfs/dbraw/zinc/62/55/46/1194625546.db2.gz HAIRJFTUEHIPBB-CYBMUJFWSA-N 0 1 307.777 3.186 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1 ZINC001637297001 1194661553 /nfs/dbraw/zinc/66/15/53/1194661553.db2.gz PHMBHYWLKQDYKO-GJZGRUSLSA-N 0 1 304.821 3.003 20 30 DGEDMN C=CC1(CC(=O)N(C)C2CN(CC3CCC3)C2)CCCCC1 ZINC001671178885 1194675167 /nfs/dbraw/zinc/67/51/67/1194675167.db2.gz AEYBLCCPLRDJNX-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCN1C1CCCC1 ZINC001637848121 1194689436 /nfs/dbraw/zinc/68/94/36/1194689436.db2.gz JFOLZESLMFFCGJ-HKUYNNGSSA-N 0 1 312.457 3.307 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3csc(C#N)c3)c2C)o1 ZINC001638525385 1194722459 /nfs/dbraw/zinc/72/24/59/1194722459.db2.gz ZJBVTEIXEWJLNK-UHFFFAOYSA-N 0 1 312.354 3.472 20 30 DGEDMN CCCCCCCCCC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC001639430256 1194765572 /nfs/dbraw/zinc/76/55/72/1194765572.db2.gz CHXNTKJYVQDRLC-IAGOWNOFSA-N 0 1 312.498 3.041 20 30 DGEDMN Cc1nc2c(cccc2C(=O)N(C)Cc2cc(C#N)cs2)[nH]1 ZINC001639615632 1194773769 /nfs/dbraw/zinc/77/37/69/1194773769.db2.gz NGUXELNXEICWIN-UHFFFAOYSA-N 0 1 310.382 3.077 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cc(C#N)[nH]c3C)c2C)o1 ZINC001642152822 1194890506 /nfs/dbraw/zinc/89/05/06/1194890506.db2.gz JRFOFHYISOCLDW-UHFFFAOYSA-N 0 1 309.329 3.047 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001671206177 1194952074 /nfs/dbraw/zinc/95/20/74/1194952074.db2.gz KGTJLNIFSNWFTG-UHFFFAOYSA-N 0 1 318.383 3.218 20 30 DGEDMN CC1(C)[C@H](C(=O)NOc2cccc(C#N)c2)[C@H]1c1ccccc1 ZINC001643520463 1195030869 /nfs/dbraw/zinc/03/08/69/1195030869.db2.gz LTNYMCUCYZYLEE-SJORKVTESA-N 0 1 306.365 3.408 20 30 DGEDMN CC(C)COc1ccc(CC(=O)NOc2cccc(C#N)c2)cc1 ZINC001643518047 1195031048 /nfs/dbraw/zinc/03/10/48/1195031048.db2.gz QTDMWGCKXVIDSZ-UHFFFAOYSA-N 0 1 324.380 3.246 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2cccc(C(F)(F)F)c2)C1 ZINC001643559659 1195034053 /nfs/dbraw/zinc/03/40/53/1195034053.db2.gz MAADVPASLZNSFZ-ZDUSSCGKSA-N 0 1 324.346 3.379 20 30 DGEDMN CC(C)(C)OC(=O)N1CC(N(CCC(C)(C)C#N)C2CCC2)C1 ZINC001643745126 1195051586 /nfs/dbraw/zinc/05/15/86/1195051586.db2.gz YILHAFGGFHLBGK-UHFFFAOYSA-N 0 1 321.465 3.400 20 30 DGEDMN O=C1CCN(CC#Cc2ccccc2Cl)CCCCCCN1 ZINC001644105711 1195081951 /nfs/dbraw/zinc/08/19/51/1195081951.db2.gz ZPDPCAVWPNAEEA-UHFFFAOYSA-N 0 1 318.848 3.074 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C(\CC)c2ccc(C)cc2)CC1 ZINC001644947347 1195144304 /nfs/dbraw/zinc/14/43/04/1195144304.db2.gz BRRKCMIZFQLFNO-BMRADRMJSA-N 0 1 310.441 3.002 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@H](CCO)c2ccccc2)c1 ZINC001645086184 1195154981 /nfs/dbraw/zinc/15/49/81/1195154981.db2.gz OBKRHZXXCHOVQL-QGZVFWFLSA-N 0 1 300.789 3.425 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@H](C)C1=NN(C)CC1=O)c1ccccc1 ZINC001646316774 1195222031 /nfs/dbraw/zinc/22/20/31/1195222031.db2.gz GXWQJKAIULIRKJ-UKRRQHHQSA-N 0 1 313.401 3.053 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001646393617 1195227076 /nfs/dbraw/zinc/22/70/76/1195227076.db2.gz XCBQVRWMRJKOII-KBPBESRZSA-N 0 1 304.821 3.402 20 30 DGEDMN CC(C)c1ncc(CNC(=O)C[C@@H](CC#N)c2ccccc2)[nH]1 ZINC001647824425 1195256422 /nfs/dbraw/zinc/25/64/22/1195256422.db2.gz DYNUJGHFZKEKRL-OAHLLOKOSA-N 0 1 310.401 3.237 20 30 DGEDMN C=C[C@H](C(=O)NCCCCc1ccnc(N)c1)c1ccccc1 ZINC001648334225 1195293566 /nfs/dbraw/zinc/29/35/66/1195293566.db2.gz GTXRGOZLSWXCIG-KRWDZBQOSA-N 0 1 309.413 3.073 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1ccc(C(C)C)cc1 ZINC001649456383 1195343902 /nfs/dbraw/zinc/34/39/02/1195343902.db2.gz XHSQQKOTVRGZFL-KRWDZBQOSA-N 0 1 314.473 3.202 20 30 DGEDMN C#Cc1ccc(CN[C@H](C)C(=O)N2c3ccccc3C[C@@H]2C)cc1 ZINC001651088658 1195411605 /nfs/dbraw/zinc/41/16/05/1195411605.db2.gz XCRBAVJDBFZZBI-JKSUJKDBSA-N 0 1 318.420 3.124 20 30 DGEDMN C#Cc1ccc(CN[C@H](C)C(=O)N2c3ccccc3C[C@H]2C)cc1 ZINC001651088656 1195412100 /nfs/dbraw/zinc/41/21/00/1195412100.db2.gz XCRBAVJDBFZZBI-HZPDHXFCSA-N 0 1 318.420 3.124 20 30 DGEDMN C=CCc1cc(CNCc2ccc(C#N)s2)cc(OC)c1O ZINC001651234461 1195430148 /nfs/dbraw/zinc/43/01/48/1195430148.db2.gz XIJNJPMZDMLTAO-UHFFFAOYSA-N 0 1 314.410 3.352 20 30 DGEDMN C#CCN1CCC[C@H](Nc2c(C#N)cnc3c(Cl)cccc32)C1 ZINC001651409463 1195457313 /nfs/dbraw/zinc/45/73/13/1195457313.db2.gz ZDUMFPBKTRTPFO-AWEZNQCLSA-N 0 1 324.815 3.269 20 30 DGEDMN N#Cc1cnccc1CSc1nc(-c2ccccc2F)n[nH]1 ZINC001651570594 1195480961 /nfs/dbraw/zinc/48/09/61/1195480961.db2.gz OIKFYTMFHXEDLC-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN N#Cc1ccc(F)cc1N1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC001651695880 1195498137 /nfs/dbraw/zinc/49/81/37/1195498137.db2.gz QHVJBHXXPUVWJQ-ZDUSSCGKSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1ccc(F)cc1N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC001651695880 1195498141 /nfs/dbraw/zinc/49/81/41/1195498141.db2.gz QHVJBHXXPUVWJQ-ZDUSSCGKSA-N 0 1 311.364 3.077 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H]1CC2(CO1)CCCCC2 ZINC001651873381 1195519122 /nfs/dbraw/zinc/51/91/22/1195519122.db2.gz IGNBLUANECSSPH-GFCCVEGCSA-N 0 1 309.435 3.001 20 30 DGEDMN Cc1nc(F)ccc1CN(C)CCOCc1ccc(C#N)cc1 ZINC001652573419 1195619198 /nfs/dbraw/zinc/61/91/98/1195619198.db2.gz BCMRMSOCXRQQCR-UHFFFAOYSA-N 0 1 313.376 3.049 20 30 DGEDMN C=CC[C@@H](Sc1nc(C=Cc2ccccc2)n[nH]1)C(=O)OC ZINC001652588677 1195622760 /nfs/dbraw/zinc/62/27/60/1195622760.db2.gz ALAHVIQEFDZPBG-BSYHEUMXSA-N 0 1 315.398 3.185 20 30 DGEDMN CN(Cc1ccc(C#N)cc1F)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001652631076 1195628417 /nfs/dbraw/zinc/62/84/17/1195628417.db2.gz BZBJCGBAUPAIQA-QGZVFWFLSA-N 0 1 321.359 3.037 20 30 DGEDMN CCCN(CCc1ccc(C#N)cc1)[C@H](CC)C(=O)OCC ZINC001654133825 1195776737 /nfs/dbraw/zinc/77/67/37/1195776737.db2.gz RBAIWPDGBNOQQF-QGZVFWFLSA-N 0 1 302.418 3.154 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3ccc(F)cc3O)cc2n1 ZINC001654832149 1195845390 /nfs/dbraw/zinc/84/53/90/1195845390.db2.gz GCFUQQHIIVDWNC-UHFFFAOYSA-N 0 1 311.316 3.319 20 30 DGEDMN C[C@H]1CN(C[C@H](O)c2cccc(C#N)c2)CC2(CCCCC2)O1 ZINC001654896545 1195851702 /nfs/dbraw/zinc/85/17/02/1195851702.db2.gz JPHQMXOVILSTOA-YJBOKZPZSA-N 0 1 314.429 3.015 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(Cc1ccc3cnccc3c1)C2 ZINC001656380695 1196034230 /nfs/dbraw/zinc/03/42/30/1196034230.db2.gz GQZUUCMTUDTXMV-UHFFFAOYSA-N 0 1 320.421 3.308 20 30 DGEDMN C=CCN1C(=O)N=NC1SCC1([C@@H]2CCCCO2)CCC1 ZINC001656379039 1196034317 /nfs/dbraw/zinc/03/43/17/1196034317.db2.gz YKFHPZDPTPMGHO-LBPRGKRZSA-N 0 1 309.435 3.001 20 30 DGEDMN C=CCCC(=O)N1CC2(C[C@H]1C)CCN(CC(=C)Cl)CC2 ZINC001656394051 1196035350 /nfs/dbraw/zinc/03/53/50/1196035350.db2.gz QEBHQXBWZNJGJJ-OAHLLOKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1conc1C ZINC001656543272 1196048683 /nfs/dbraw/zinc/04/86/83/1196048683.db2.gz ZSNGKKVTPXBDRF-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)/C=C(\C)CC)C1 ZINC001656665311 1196060105 /nfs/dbraw/zinc/06/01/05/1196060105.db2.gz VCDYKZNYLCOZGC-PFMYXXNMSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H]1CC ZINC001656731030 1196066442 /nfs/dbraw/zinc/06/64/42/1196066442.db2.gz QUOMGVCKDYMGPF-LSDHHAIUSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001656741592 1196067265 /nfs/dbraw/zinc/06/72/65/1196067265.db2.gz VLBHVLVVSIILSS-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001656827147 1196073953 /nfs/dbraw/zinc/07/39/53/1196073953.db2.gz NZYRCFSNWYJCEA-CFMJQPPZSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCOc1cccc(CN[C@@H](C)C(=O)N2CCCC[C@H]2C)c1 ZINC001657061350 1196099637 /nfs/dbraw/zinc/09/96/37/1196099637.db2.gz YMSYQFRIXOMZLV-CVEARBPZSA-N 0 1 316.445 3.131 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CCCC(F)(F)F ZINC001657076171 1196100787 /nfs/dbraw/zinc/10/07/87/1196100787.db2.gz QBJHHEZIYBHEGA-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(F)cc1Cl ZINC001657079661 1196101936 /nfs/dbraw/zinc/10/19/36/1196101936.db2.gz MOPRWFWUMSSWCY-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](C=C)c1ccccc1 ZINC001657082596 1196102310 /nfs/dbraw/zinc/10/23/10/1196102310.db2.gz DEIRESNDCSQCNR-QUCCMNQESA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccns2)CC(C)(C)C1 ZINC001657095730 1196104001 /nfs/dbraw/zinc/10/40/01/1196104001.db2.gz FJBZFMPAYSADJX-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN CC(C)(C#N)CNCc1ccccc1-n1nnc2ccccc21 ZINC001657119212 1196107834 /nfs/dbraw/zinc/10/78/34/1196107834.db2.gz XRFPMVWJTYJABS-UHFFFAOYSA-N 0 1 305.385 3.060 20 30 DGEDMN COc1ccc2c(c1)[C@H](NCc1cc(C#N)cs1)CCO2 ZINC001657137187 1196109673 /nfs/dbraw/zinc/10/96/73/1196109673.db2.gz GPHGAHRYVPCJOZ-OAHLLOKOSA-N 0 1 300.383 3.242 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2c[nH]c3ccccc23)ccc1C#N ZINC001657154820 1196112369 /nfs/dbraw/zinc/11/23/69/1196112369.db2.gz HWALXUWRHMKJPK-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)s2)c(-c2cccs2)n1 ZINC001657558691 1196154565 /nfs/dbraw/zinc/15/45/65/1196154565.db2.gz ZGCKVIXPIUKDGT-UHFFFAOYSA-N 0 1 314.439 3.372 20 30 DGEDMN CC#CC[C@@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001658211759 1196226100 /nfs/dbraw/zinc/22/61/00/1196226100.db2.gz PWBCUPQNNBPSAG-LBPRGKRZSA-N 0 1 305.324 3.089 20 30 DGEDMN CCOC(=O)C[C@H]1CCCN1Cc1cc(Cl)cc(C#N)c1 ZINC001658381653 1196249164 /nfs/dbraw/zinc/24/91/64/1196249164.db2.gz BNRWOHMORBJCDZ-OAHLLOKOSA-N 0 1 306.793 3.129 20 30 DGEDMN N#Cc1cccc(CNC[C@@H](O)c2ccc(Cl)c(Cl)c2)n1 ZINC001658603327 1196278578 /nfs/dbraw/zinc/27/85/78/1196278578.db2.gz PFHWQRTXKJJWMP-OAHLLOKOSA-N 0 1 322.195 3.083 20 30 DGEDMN C=CCOc1cccc(NC(=O)NCc2ccc3cncn3c2)c1 ZINC001658619036 1196280640 /nfs/dbraw/zinc/28/06/40/1196280640.db2.gz MMSWASJFNRDMAS-UHFFFAOYSA-N 0 1 322.368 3.221 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1nccs1 ZINC001660446188 1196484811 /nfs/dbraw/zinc/48/48/11/1196484811.db2.gz FLOHBJZBRWKBHR-CABCVRRESA-N 0 1 321.490 3.359 20 30 DGEDMN C#C[C@H]1CCCCN1C[C@H]1CC(c2ccc(Cl)cc2)=NO1 ZINC001660543330 1196495671 /nfs/dbraw/zinc/49/56/71/1196495671.db2.gz XIXGTKGTCBVPEH-JKSUJKDBSA-N 0 1 302.805 3.321 20 30 DGEDMN O=C(NCCCN(CC#Cc1ccccc1)C1CC1)C1=CCCC1 ZINC001660670797 1196511673 /nfs/dbraw/zinc/51/16/73/1196511673.db2.gz JVBSXHJRQNUELX-UHFFFAOYSA-N 0 1 322.452 3.119 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001660722117 1196516617 /nfs/dbraw/zinc/51/66/17/1196516617.db2.gz BUXIHQBOPKKYOG-UYAOXDASSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001660783825 1196523927 /nfs/dbraw/zinc/52/39/27/1196523927.db2.gz AZMGEOGCLKWFEZ-UHFFFAOYSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC001660826087 1196529451 /nfs/dbraw/zinc/52/94/51/1196529451.db2.gz FUEBFJFTURJTEW-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H](CC)C(C)C)CC1 ZINC001661097560 1196565819 /nfs/dbraw/zinc/56/58/19/1196565819.db2.gz SIKODXULZZSMAV-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2C2CCCC2)CC1 ZINC001661096738 1196565830 /nfs/dbraw/zinc/56/58/30/1196565830.db2.gz BMBTXUKOVFBLEA-RBUKOAKNSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(C(F)F)CC2)C1 ZINC001661990454 1196671718 /nfs/dbraw/zinc/67/17/18/1196671718.db2.gz VMXIPJOYQXKGOI-NWDGAFQWSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(C)CC=CC2)C1 ZINC001661995385 1196673139 /nfs/dbraw/zinc/67/31/39/1196673139.db2.gz GILJTBCEFRKYKB-HUUCEWRRSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NCc1cc(F)ccc1OC ZINC001662346047 1196710975 /nfs/dbraw/zinc/71/09/75/1196710975.db2.gz QHCUOPDKIYKORQ-ZDUSSCGKSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(CC(C)C)cc1 ZINC001662415136 1196718953 /nfs/dbraw/zinc/71/89/53/1196718953.db2.gz PCCKQAFOSXNUPF-AWEZNQCLSA-N 0 1 308.853 3.346 20 30 DGEDMN CC/C(C)=C/C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001662914455 1196771850 /nfs/dbraw/zinc/77/18/50/1196771850.db2.gz MWMXSYGQVIJTSB-SSUFTNFISA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCCC(=O)NCCC[C@@H]1CCCN1Cc1nc(C)cs1 ZINC001663036856 1196786349 /nfs/dbraw/zinc/78/63/49/1196786349.db2.gz UTIDNESHGJHHAC-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001663267398 1196823630 /nfs/dbraw/zinc/82/36/30/1196823630.db2.gz ZXHZAEHLVCXJTP-QGZVFWFLSA-N 0 1 310.388 3.115 20 30 DGEDMN CCCCC1(C(=O)NCCN(C)Cc2ccc(C#N)cc2)CC1 ZINC001663267328 1196823900 /nfs/dbraw/zinc/82/39/00/1196823900.db2.gz XMTBDFPAXPETQD-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC001663487209 1196884227 /nfs/dbraw/zinc/88/42/27/1196884227.db2.gz MSNUKJZDMMYYLA-KRWDZBQOSA-N 0 1 318.848 3.224 20 30 DGEDMN C=CCCC(C)(C)CNS(=O)(=O)c1c(F)cc(F)cc1F ZINC001663510975 1196890292 /nfs/dbraw/zinc/89/02/92/1196890292.db2.gz ZXZHDUAPQYZUIT-UHFFFAOYSA-N 0 1 321.364 3.375 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001663607144 1196913170 /nfs/dbraw/zinc/91/31/70/1196913170.db2.gz CYRWFFNXLQZMJP-IBGZPJMESA-N 0 1 324.468 3.079 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(CCCC)cc1)C1CC1 ZINC001663735975 1196937375 /nfs/dbraw/zinc/93/73/75/1196937375.db2.gz ZLEMIOBAJNIVIF-UHFFFAOYSA-N 0 1 312.457 3.247 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1cc(Cl)cs1 ZINC001664217210 1196980644 /nfs/dbraw/zinc/98/06/44/1196980644.db2.gz KNPWQFVMXNTOTQ-UHFFFAOYSA-N 0 1 321.273 3.135 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2cccc(O)c2)CC1 ZINC001664099439 1196970760 /nfs/dbraw/zinc/97/07/60/1196970760.db2.gz CGDNSWOPCZDMHF-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CC1(C)C)c1ccc(C(C)C)cc1 ZINC001664561952 1197013781 /nfs/dbraw/zinc/01/37/81/1197013781.db2.gz SNGMWFSJRXIVDE-ZWKOTPCHSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(O[C@H]2CCOC2)cc1 ZINC001664659136 1197029450 /nfs/dbraw/zinc/02/94/50/1197029450.db2.gz JMQNXHBKWXHCNO-NRFANRHFSA-N 0 1 321.420 3.490 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)c(F)cc2F)C1 ZINC001664673464 1197031198 /nfs/dbraw/zinc/03/11/98/1197031198.db2.gz LCMGFVQRTRPGMR-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCCC2(C)C)C1 ZINC001664678987 1197034470 /nfs/dbraw/zinc/03/44/70/1197034470.db2.gz SCYAQRBHVQVULJ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C3CC3)cn2)C1 ZINC001664685405 1197035682 /nfs/dbraw/zinc/03/56/82/1197035682.db2.gz AYTMJLHXGJOTSA-QGZVFWFLSA-N 0 1 313.445 3.072 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC/C=C/c2ccccc2)C1 ZINC001665016915 1197086538 /nfs/dbraw/zinc/08/65/38/1197086538.db2.gz SPZDZLFTJNOBPR-LKQLOZHYSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3scnc32)C1 ZINC001665134387 1197101537 /nfs/dbraw/zinc/10/15/37/1197101537.db2.gz LSWOTRUABQGTAR-GFCCVEGCSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001665156805 1197103030 /nfs/dbraw/zinc/10/30/30/1197103030.db2.gz DQJCRAAGOUPKLS-UHFFFAOYSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1F ZINC001665387538 1197131287 /nfs/dbraw/zinc/13/12/87/1197131287.db2.gz UXKQHOSQGGGGBL-AGIUHOORSA-N 0 1 308.422 3.141 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001665456784 1197139520 /nfs/dbraw/zinc/13/95/20/1197139520.db2.gz RBIVDWYNZVYMLW-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001665637069 1197162685 /nfs/dbraw/zinc/16/26/85/1197162685.db2.gz OHQLJOJJTPMBGP-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001665642451 1197163733 /nfs/dbraw/zinc/16/37/33/1197163733.db2.gz UAXYOCQVBFSQPK-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001665708855 1197178580 /nfs/dbraw/zinc/17/85/80/1197178580.db2.gz DMHOFXVVXXHIKJ-YPNIWSFNSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001665732814 1197182958 /nfs/dbraw/zinc/18/29/58/1197182958.db2.gz YKIXTKLRSBBCJZ-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NCc1nc(C)c(C)s1 ZINC001665855937 1197207682 /nfs/dbraw/zinc/20/76/82/1197207682.db2.gz FYCBRSNOXMPGEJ-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001665887852 1197212084 /nfs/dbraw/zinc/21/20/84/1197212084.db2.gz IRIUMWQPDYGICS-XJKSGUPXSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2nc(C)oc2C)CCCC1 ZINC001665925787 1197217343 /nfs/dbraw/zinc/21/73/43/1197217343.db2.gz QSKDJROXGPVJGT-UHFFFAOYSA-N 0 1 319.449 3.166 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1c(C)cccc1C ZINC001666458821 1197261063 /nfs/dbraw/zinc/26/10/63/1197261063.db2.gz WIAMIUNICYKBMV-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C)nc1C1CC1 ZINC001666961620 1197301617 /nfs/dbraw/zinc/30/16/17/1197301617.db2.gz BOTQRURLLZWAIP-ZDUSSCGKSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)/C=C\c1ccco1 ZINC001667361347 1197340968 /nfs/dbraw/zinc/34/09/68/1197340968.db2.gz SRVFGAOKAJNKSA-SYYCQZAKSA-N 0 1 322.836 3.262 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001668000553 1197394914 /nfs/dbraw/zinc/39/49/14/1197394914.db2.gz UJTBZLCVXSPNBX-KGLIPLIRSA-N 0 1 321.465 3.484 20 30 DGEDMN CCC[C@H](CC)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001668601489 1197421489 /nfs/dbraw/zinc/42/14/89/1197421489.db2.gz QLJZIZIEMQNEJW-IBGZPJMESA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1nc2ccccc2cc1C ZINC001669357896 1197450995 /nfs/dbraw/zinc/45/09/95/1197450995.db2.gz SZGRZWLLLNFTOR-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN CC[C@H](CC(=O)N[C@H](C)CNCc1ccccc1C#N)C(C)C ZINC001669450468 1197455191 /nfs/dbraw/zinc/45/51/91/1197455191.db2.gz IBANAFOZQXUHSQ-HZPDHXFCSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2cc(F)ccc2F)CCC1 ZINC001669657284 1197468808 /nfs/dbraw/zinc/46/88/08/1197468808.db2.gz APYHOKAVBCMDQY-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3cccc(CC#N)c3)[nH]c2c1 ZINC001670084244 1197519924 /nfs/dbraw/zinc/51/99/24/1197519924.db2.gz ADSLTOVQMUPCSK-UHFFFAOYSA-N 0 1 319.368 3.259 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001671351361 1197535951 /nfs/dbraw/zinc/53/59/51/1197535951.db2.gz NDVGTYNAQMEKGN-SRXBQZRASA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001671683581 1197561347 /nfs/dbraw/zinc/56/13/47/1197561347.db2.gz CHMYVSUPTRSSJM-NHYWBVRUSA-N 0 1 312.866 3.272 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1cncs1 ZINC001672528931 1197615458 /nfs/dbraw/zinc/61/54/58/1197615458.db2.gz AEICCGKUWHTQMB-HOTGVXAUSA-N 0 1 319.474 3.065 20 30 DGEDMN CC(C)=C(C)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001673199108 1197643183 /nfs/dbraw/zinc/64/31/83/1197643183.db2.gz STDVTWSHLAGZDQ-UHFFFAOYSA-N 0 1 324.468 3.413 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC3CC(C)(C)C3)cc2C1 ZINC001673764938 1197691250 /nfs/dbraw/zinc/69/12/50/1197691250.db2.gz GVEDCYAGJXIGRF-UHFFFAOYSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCCCC(=O)NCc1ccc2c(c1)CN([C@@H](C)COC)C2 ZINC001673765448 1197692422 /nfs/dbraw/zinc/69/24/22/1197692422.db2.gz QUTJEYJRKOZWMT-HNNXBMFYSA-N 0 1 316.445 3.010 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001674128734 1197736610 /nfs/dbraw/zinc/73/66/10/1197736610.db2.gz XFCFQONIDWOEMI-AQIXGVPMSA-N 0 1 317.458 3.055 20 30 DGEDMN CC(C)=CCN1CCc2ccccc2[C@@H]1CNC(=O)C#CC(C)C ZINC001674266612 1197753206 /nfs/dbraw/zinc/75/32/06/1197753206.db2.gz IEXADOAZFGPIAP-FQEVSTJZSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(F)F ZINC001674266765 1197753223 /nfs/dbraw/zinc/75/32/23/1197753223.db2.gz JNSIOXKFQSJLES-INIZCTEOSA-N 0 1 322.399 3.323 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@]1(C)C=CCC1 ZINC001674272185 1197756417 /nfs/dbraw/zinc/75/64/17/1197756417.db2.gz SSSXZUUZXQMEGP-FPOVZHCZSA-N 0 1 322.452 3.082 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC1(C)CCCCC1 ZINC001674425395 1197771473 /nfs/dbraw/zinc/77/14/73/1197771473.db2.gz ZZBFYPKXSHAYME-UYAOXDASSA-N 0 1 324.468 3.352 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1coc2c1cccc2C ZINC001674462998 1197779618 /nfs/dbraw/zinc/77/96/18/1197779618.db2.gz DBHTYGISUCWNNN-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC[C@@H](C)c1ccccc1 ZINC001674486677 1197784796 /nfs/dbraw/zinc/78/47/96/1197784796.db2.gz OCWHPYQVWZUWGK-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(C)(C)C)cn1 ZINC001674667336 1197827336 /nfs/dbraw/zinc/82/73/36/1197827336.db2.gz RCZGTVKFPRKROQ-CHWSQXEVSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2cccnc21 ZINC001674693440 1197836456 /nfs/dbraw/zinc/83/64/56/1197836456.db2.gz GMQBMHULCCLEQY-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2ccccc2n1C ZINC001674723203 1197848054 /nfs/dbraw/zinc/84/80/54/1197848054.db2.gz WLXREAXDKUNCCR-STQMWFEESA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(CC(C)C)c[nH]1 ZINC001674755018 1197859364 /nfs/dbraw/zinc/85/93/64/1197859364.db2.gz PKXSTVAKWXCIJM-OLZOCXBDSA-N 0 1 311.857 3.062 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)c(C)s1 ZINC001674766690 1197865170 /nfs/dbraw/zinc/86/51/70/1197865170.db2.gz PMWXPKLZRGPLHD-WDEREUQCSA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1ccccn1 ZINC001675253406 1198021044 /nfs/dbraw/zinc/02/10/44/1198021044.db2.gz QXWPZGNMUPSXQG-SFHVURJKSA-N 0 1 315.461 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001675332038 1198045551 /nfs/dbraw/zinc/04/55/51/1198045551.db2.gz JBTNBAAADFIDHJ-HMWXGYMHSA-N 0 1 312.457 3.349 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001675349731 1198050639 /nfs/dbraw/zinc/05/06/39/1198050639.db2.gz ZENAVTKZKUZYKL-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001675349730 1198051106 /nfs/dbraw/zinc/05/11/06/1198051106.db2.gz ZENAVTKZKUZYKL-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(Cc2cnccc2C)CC1(C)C ZINC001675467985 1198074721 /nfs/dbraw/zinc/07/47/21/1198074721.db2.gz NOMQAGBZBGVHMJ-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)CCCCCC)C(C)(C)C1 ZINC001675479140 1198075991 /nfs/dbraw/zinc/07/59/91/1198075991.db2.gz FYWGTMNNLHFCIC-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC/C=C\c2ccccc2)C(C)(C)C1 ZINC001675473359 1198076727 /nfs/dbraw/zinc/07/67/27/1198076727.db2.gz LLJIKLGAGCNJIH-PMTSLALWSA-N 0 1 324.468 3.330 20 30 DGEDMN CC(C)CC(=O)N[C@H](C)CCCNCc1cc(F)ccc1C#N ZINC001675847387 1198154963 /nfs/dbraw/zinc/15/49/63/1198154963.db2.gz GRJUXUQFECHYGT-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNCc1nc(C)oc1C ZINC001675948842 1198178778 /nfs/dbraw/zinc/17/87/78/1198178778.db2.gz XDJRJUBXEIBBNT-CYBMUJFWSA-N 0 1 321.465 3.268 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@@H]1C=CCCC1 ZINC001676227812 1198255560 /nfs/dbraw/zinc/25/55/60/1198255560.db2.gz GUIFJPSHFNRWSX-ZBFHGGJFSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccn(CC)c1C ZINC001676243831 1198258979 /nfs/dbraw/zinc/25/89/79/1198258979.db2.gz LVXDUSGODFMNRS-INIZCTEOSA-N 0 1 323.868 3.009 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](CNCc1nccs1)C1CC1 ZINC001676239205 1198258461 /nfs/dbraw/zinc/25/84/61/1198258461.db2.gz QXKQEGKPPXEKRY-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2ccsc2[nH]1 ZINC001676397279 1198309409 /nfs/dbraw/zinc/30/94/09/1198309409.db2.gz ONARLRLUXCBQNR-JTQLQIEISA-N 0 1 311.838 3.032 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1F ZINC001676401497 1198311287 /nfs/dbraw/zinc/31/12/87/1198311287.db2.gz NOBUUQZGNNKLDE-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CCOCC)[C@H]2C)CCCC1 ZINC001676898837 1198427910 /nfs/dbraw/zinc/42/79/10/1198427910.db2.gz SJIPJGGXWPSUPO-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)C2(CC=C)CCCC2)[C@@H]1C ZINC001676898797 1198428140 /nfs/dbraw/zinc/42/81/40/1198428140.db2.gz RKNRPGDJRZTNQD-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC=C)[C@@H]2C)CCCCC1 ZINC001676898842 1198428890 /nfs/dbraw/zinc/42/88/90/1198428890.db2.gz SJXDROPIRWPRLF-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CCCN(CC=C)[C@@H]2C)CCCCC1 ZINC001676898842 1198428902 /nfs/dbraw/zinc/42/89/02/1198428902.db2.gz SJXDROPIRWPRLF-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@H](CNCc2cscn2)C1 ZINC001676945652 1198441195 /nfs/dbraw/zinc/44/11/95/1198441195.db2.gz ODAWMOIQNJBIEU-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN CCCCC(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001677188638 1198480172 /nfs/dbraw/zinc/48/01/72/1198480172.db2.gz ICKRRWCAVZSWGN-UHFFFAOYSA-N 0 1 315.461 3.417 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc[nH]c1CC)C(C)(C)C ZINC001677248241 1198500915 /nfs/dbraw/zinc/50/09/15/1198500915.db2.gz XNOCTCWNYKLTEO-AWEZNQCLSA-N 0 1 311.857 3.064 20 30 DGEDMN C=C(CNC(=O)N1CCN(C)C[C@@H]1CC(C)C)c1ccccc1 ZINC001681778510 1199080979 /nfs/dbraw/zinc/08/09/79/1199080979.db2.gz DQRVNOSOYRZDOK-SFHVURJKSA-N 0 1 315.461 3.072 20 30 DGEDMN C=CCCCCCCCNC(=O)N1CCN(CC(C)C)CC1 ZINC001682952180 1199217539 /nfs/dbraw/zinc/21/75/39/1199217539.db2.gz PKBODLZIXHUPPI-UHFFFAOYSA-N 0 1 309.498 3.496 20 30 DGEDMN C=CCCC[C@H](NC(=O)NCCN1CCC1)c1ccccc1 ZINC001684989568 1199432662 /nfs/dbraw/zinc/43/26/62/1199432662.db2.gz YXNWYOYQYNTNBG-KRWDZBQOSA-N 0 1 301.434 3.089 20 30 DGEDMN C=CCC1(CNC(=O)NCc2cc(N(C)C)ccn2)CCCC1 ZINC001686451256 1199565388 /nfs/dbraw/zinc/56/53/88/1199565388.db2.gz AEGZEPTXJLMEDG-UHFFFAOYSA-N 0 1 316.449 3.083 20 30 DGEDMN C=C(CC)CNC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001686779887 1199588284 /nfs/dbraw/zinc/58/82/84/1199588284.db2.gz NAOKXPUEFXDVKO-IAGOWNOFSA-N 0 1 315.461 3.257 20 30 DGEDMN C[C@]1(CO)CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000305230225 1199678811 /nfs/dbraw/zinc/67/88/11/1199678811.db2.gz RHPXIMICPYZFQP-INIZCTEOSA-N 0 1 311.347 3.151 20 30 DGEDMN C=CCCCn1c(C2CCC2)nnc1N1CCN(CC)[C@H](C)C1 ZINC001760439174 1199690543 /nfs/dbraw/zinc/69/05/43/1199690543.db2.gz YEWVMDPYIRYLNE-OAHLLOKOSA-N 0 1 317.481 3.042 20 30 DGEDMN C[C@H](c1cccc2ccccc21)N1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001688691825 1199834148 /nfs/dbraw/zinc/83/41/48/1199834148.db2.gz WUJGAJIYPRHCSD-JKSUJKDBSA-N 0 1 321.424 3.205 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001688897081 1199948986 /nfs/dbraw/zinc/94/89/86/1199948986.db2.gz POCWPEOWPCEVNI-ZFWWWQNUSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C[C@@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688897477 1199950300 /nfs/dbraw/zinc/95/03/00/1199950300.db2.gz WYXFGUMZVPONMX-OALUTQOASA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001688933388 1199963850 /nfs/dbraw/zinc/96/38/50/1199963850.db2.gz IPMCUORSAKBPMC-SHTZXODSSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001753861655 1200012346 /nfs/dbraw/zinc/01/23/46/1200012346.db2.gz NOMYYKOBSSXGOW-SFHVURJKSA-N 0 1 324.415 3.457 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2sc(C)nc2C)[C@@H]1C ZINC001689314807 1200092200 /nfs/dbraw/zinc/09/22/00/1200092200.db2.gz MUBRPZCDBSHFDU-HIFRSBDPSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001689350395 1200103146 /nfs/dbraw/zinc/10/31/46/1200103146.db2.gz CVQZUCKARGDXHH-KRWDZBQOSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1CN(C)C[C@H](F)CC ZINC001689350889 1200105929 /nfs/dbraw/zinc/10/59/29/1200105929.db2.gz YCTKXSFAZHKCML-SJORKVTESA-N 0 1 310.457 3.241 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CN[C@@H](C)c1ccc(F)cc1F ZINC001754115997 1200108795 /nfs/dbraw/zinc/10/87/95/1200108795.db2.gz MFTHRFBQTWNVCU-UZYOAWRESA-N 0 1 320.383 3.091 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C/CNCc1ccc(F)cc1F ZINC001754125347 1200110799 /nfs/dbraw/zinc/11/07/99/1200110799.db2.gz GMZCMCFTSXBXCF-ONEGZZNKSA-N 0 1 308.372 3.083 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C\CNCc2ccccc2Cl)C1 ZINC001754168159 1200120713 /nfs/dbraw/zinc/12/07/13/1200120713.db2.gz GEOMHCIDDUTBCI-WAYWQWQTSA-N 0 1 318.848 3.458 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC(C)C)c[nH]1 ZINC001689413760 1200124557 /nfs/dbraw/zinc/12/45/57/1200124557.db2.gz IDBGLIVIRFEDQB-SJORKVTESA-N 0 1 315.461 3.078 20 30 DGEDMN C[C@H](CN[C@H](C)c1ccc(F)cc1F)NC(=O)C#CC(C)(C)C ZINC001754225417 1200141002 /nfs/dbraw/zinc/14/10/02/1200141002.db2.gz VSAIUWMQQMXSFL-CHWSQXEVSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1C(C)(C)C ZINC001754271823 1200165678 /nfs/dbraw/zinc/16/56/78/1200165678.db2.gz GKOIVCNIGSHLLR-ZDUSSCGKSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC2CCC1CC2)C1CC1 ZINC001754460906 1200275967 /nfs/dbraw/zinc/27/59/67/1200275967.db2.gz DAVGAVHYIFRSGL-GMEATFMZSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001689825257 1200299886 /nfs/dbraw/zinc/29/98/86/1200299886.db2.gz NFLINFLSWKKNJJ-SFHVURJKSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001754493329 1200307262 /nfs/dbraw/zinc/30/72/62/1200307262.db2.gz VBGNHWKNKMVWAC-HKUYNNGSSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001754503729 1200320969 /nfs/dbraw/zinc/32/09/69/1200320969.db2.gz ANRJNBVVDRGCPP-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1conc1C ZINC001689903766 1200324252 /nfs/dbraw/zinc/32/42/52/1200324252.db2.gz ZHUMCIUTEYTWMM-NVXWUHKLSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C[C@H](CC(=O)OCc1ccc2[nH]nnc2c1)c1ccccc1 ZINC000124248737 1200337543 /nfs/dbraw/zinc/33/75/43/1200337543.db2.gz RRWFUQVHRHNZSR-CQSZACIVSA-N 0 1 307.353 3.361 20 30 DGEDMN C=C[C@H](CC(=O)OCc1ccc2nn[nH]c2c1)c1ccccc1 ZINC000124248737 1200337550 /nfs/dbraw/zinc/33/75/50/1200337550.db2.gz RRWFUQVHRHNZSR-CQSZACIVSA-N 0 1 307.353 3.361 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1ccccc1C ZINC001754551965 1200359118 /nfs/dbraw/zinc/35/91/18/1200359118.db2.gz WPVAHRPGLNFGGT-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@]3(C2)CCCN(CCF)C3)CCC1 ZINC001754557110 1200362751 /nfs/dbraw/zinc/36/27/51/1200362751.db2.gz MKRVGISCXFZJNG-KRWDZBQOSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001690027311 1200373121 /nfs/dbraw/zinc/37/31/21/1200373121.db2.gz HLZCTNSGIPJQSM-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001754579084 1200375830 /nfs/dbraw/zinc/37/58/30/1200375830.db2.gz DKAILYRSMHNFKI-LUKYLMHMSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001754584185 1200379469 /nfs/dbraw/zinc/37/94/69/1200379469.db2.gz AAEDSNLSSVJRSI-HNNXBMFYSA-N 0 1 317.477 3.324 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001690090311 1200393498 /nfs/dbraw/zinc/39/34/98/1200393498.db2.gz DQOMEILHFKRZAG-MRXNPFEDSA-N 0 1 324.424 3.173 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CC)C1CCN(Cc2ccsc2)CC1 ZINC001754633202 1200409003 /nfs/dbraw/zinc/40/90/03/1200409003.db2.gz QUEVUYHCVLXIFB-HNNXBMFYSA-N 0 1 318.486 3.220 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)C1CC1)C1CCN(C/C=C/Cl)CC1 ZINC001754643241 1200411290 /nfs/dbraw/zinc/41/12/90/1200411290.db2.gz PWQOAPFQGRXZSY-ULYATVDSSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@@H]1CCC(F)(F)C1)C1CC1 ZINC001690153687 1200423500 /nfs/dbraw/zinc/42/35/00/1200423500.db2.gz RWKXEWRXCPSPII-AAEUAGOBSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1c(C)cccc1C)C1CC1 ZINC001690169109 1200430665 /nfs/dbraw/zinc/43/06/65/1200430665.db2.gz UJTJLOIOCKNVPY-HNNXBMFYSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001754717879 1200460855 /nfs/dbraw/zinc/46/08/55/1200460855.db2.gz ICQCYRIBBHMRIL-HRCADAONSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C2CCCC2)C1 ZINC001754743927 1200483606 /nfs/dbraw/zinc/48/36/06/1200483606.db2.gz AQVQYVNEJRWTNB-DZGCQCFKSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C\Cl ZINC001754866254 1200524464 /nfs/dbraw/zinc/52/44/64/1200524464.db2.gz WNCHBXVJANVXSF-AJNJCKRNSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C(C)(C)C ZINC001754945914 1200548948 /nfs/dbraw/zinc/54/89/48/1200548948.db2.gz NJNACYIOUGKLGX-HNNXBMFYSA-N 0 1 300.446 3.050 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H](C)CCC)cccc2C1 ZINC001754975141 1200563304 /nfs/dbraw/zinc/56/33/04/1200563304.db2.gz YPMTVBBPIRCHQG-INIZCTEOSA-N 0 1 312.457 3.120 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H](C)CCC)cccc2C1 ZINC001754975142 1200563676 /nfs/dbraw/zinc/56/36/76/1200563676.db2.gz YPMTVBBPIRCHQG-MRXNPFEDSA-N 0 1 312.457 3.120 20 30 DGEDMN C/C(=C\C(=O)NCC1(NCc2ccccc2C#N)CCC1)C1CC1 ZINC001754977415 1200565531 /nfs/dbraw/zinc/56/55/31/1200565531.db2.gz BJDREMKMSAGDQR-RVDMUPIBSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001690457793 1200573159 /nfs/dbraw/zinc/57/31/59/1200573159.db2.gz RPRWFWQSVDBUQZ-GOSISDBHSA-N 0 1 314.473 3.429 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@]1(C)CC=CCC1 ZINC001690470348 1200579043 /nfs/dbraw/zinc/57/90/43/1200579043.db2.gz ZISRBADKPPHHPP-RDJZCZTQSA-N 0 1 310.869 3.456 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@H]1CC12CCC2 ZINC001755045780 1200615181 /nfs/dbraw/zinc/61/51/81/1200615181.db2.gz HYXJOIFGYAKSSX-LJQANCHMSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C[C@@H](C(=O)N(C)CCCN(C)C/C=C\Cl)c1ccccc1 ZINC001755047905 1200618369 /nfs/dbraw/zinc/61/83/69/1200618369.db2.gz UHNZPDWWAARDFV-SAYIFAPPSA-N 0 1 320.864 3.489 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2Cc3ccccc3CN2CC)CCC1 ZINC001755075660 1200629169 /nfs/dbraw/zinc/62/91/69/1200629169.db2.gz LFOOOVVUSXNTBY-SFHVURJKSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1cccc(Cl)c1 ZINC001690608441 1200645285 /nfs/dbraw/zinc/64/52/85/1200645285.db2.gz MOBWYYSTDYSLMZ-GFCCVEGCSA-N 0 1 315.244 3.119 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cc(C)no2)[C@H](C)C1 ZINC001755159735 1200665452 /nfs/dbraw/zinc/66/54/52/1200665452.db2.gz JBKPMLMWCBXXTN-HUUCEWRRSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2c(C)noc2C)[C@@H](C)C1 ZINC001755162997 1200667527 /nfs/dbraw/zinc/66/75/27/1200667527.db2.gz BXNGBYNWPPHCAD-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN CCC(C)(CC)C(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001690649405 1200671334 /nfs/dbraw/zinc/67/13/34/1200671334.db2.gz XIDRGAVHBUAANJ-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001690652922 1200674258 /nfs/dbraw/zinc/67/42/58/1200674258.db2.gz XOJRPFOZMPWOGE-UKRRQHHQSA-N 0 1 308.853 3.020 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H]1C[N@@H+](C)CCC(F)(F)F ZINC001755198314 1200695073 /nfs/dbraw/zinc/69/50/73/1200695073.db2.gz XWKPINCYKIXYIZ-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001755198314 1200695077 /nfs/dbraw/zinc/69/50/77/1200695077.db2.gz XWKPINCYKIXYIZ-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C(C)(C)C)cs1 ZINC001755228545 1200717003 /nfs/dbraw/zinc/71/70/03/1200717003.db2.gz QBPIYEROXAAWJE-OLZOCXBDSA-N 0 1 323.506 3.390 20 30 DGEDMN CC1CC(C(=O)N2CCC[C@H](N(C)CC#Cc3ccccc3)C2)C1 ZINC001690805570 1200723825 /nfs/dbraw/zinc/72/38/25/1200723825.db2.gz LOAMLNWQIAUVPL-UUKMXZOPSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1ccc(C)cc1C ZINC001755245937 1200730990 /nfs/dbraw/zinc/73/09/90/1200730990.db2.gz IMKBFSFLBCGUQM-HOTGVXAUSA-N 0 1 322.880 3.471 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cc(O)ccc1Cl ZINC001755249053 1200733345 /nfs/dbraw/zinc/73/33/45/1200733345.db2.gz NJOKATUHDJVBKB-NWDGAFQWSA-N 0 1 324.852 3.241 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ncsc1C(C)C ZINC001755261908 1200745576 /nfs/dbraw/zinc/74/55/76/1200745576.db2.gz ILLQZFSEJZOKPD-GHMZBOCLSA-N 0 1 315.870 3.115 20 30 DGEDMN Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)NCc2ccccc2C#N)cc1 ZINC001755262569 1200745788 /nfs/dbraw/zinc/74/57/88/1200745788.db2.gz GPCPLXCYAQPNAZ-CVEARBPZSA-N 0 1 321.424 3.163 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cncc2ccccc21 ZINC001755269365 1200750603 /nfs/dbraw/zinc/75/06/03/1200750603.db2.gz JKPOYOBPGPQLNK-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCC(NCc2cscn2)CC1 ZINC001755273799 1200755962 /nfs/dbraw/zinc/75/59/62/1200755962.db2.gz CMWRBNWSVLRDNP-UHFFFAOYSA-N 0 1 321.490 3.359 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CC(C)(C)CNCc1coc(C)n1 ZINC001755285901 1200762645 /nfs/dbraw/zinc/76/26/45/1200762645.db2.gz NNXPSQNHBGTUFH-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccccc1-c1ccccc1 ZINC001755324813 1200816865 /nfs/dbraw/zinc/81/68/65/1200816865.db2.gz QPRYONXSDGZQMQ-INIZCTEOSA-N 0 1 306.409 3.037 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC2CCC2)C1 ZINC001691836735 1200829151 /nfs/dbraw/zinc/82/91/51/1200829151.db2.gz YGSWIBRUYDOBOI-RBUKOAKNSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](F)C(C)C)C1 ZINC001691841550 1200831278 /nfs/dbraw/zinc/83/12/78/1200831278.db2.gz DJKXSRPTLBROGS-KSZLIROESA-N 0 1 318.436 3.141 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)cc(C)c2)C1 ZINC001691866583 1200846579 /nfs/dbraw/zinc/84/65/79/1200846579.db2.gz BJRBXEJZTCCGDT-LJQANCHMSA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001755369319 1200857043 /nfs/dbraw/zinc/85/70/43/1200857043.db2.gz YHKCJVMZQRIEAT-ZVDOUQERSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001755388013 1200871927 /nfs/dbraw/zinc/87/19/27/1200871927.db2.gz VTGNHFKVJYOADK-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@]2(C1)CN(CC/C=C\CC)CCO2 ZINC001755401552 1200876147 /nfs/dbraw/zinc/87/61/47/1200876147.db2.gz IQVDYNNMKPGJMQ-WPGJWXSHSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001691980546 1200895902 /nfs/dbraw/zinc/89/59/02/1200895902.db2.gz UCRAIDVCQCYHOF-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(CC)cc1 ZINC001755571182 1200959711 /nfs/dbraw/zinc/95/97/11/1200959711.db2.gz CPQJJODZAAQOOO-QGZVFWFLSA-N 0 1 320.864 3.442 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC2CCC(C)(C)CC2)C1 ZINC001692187211 1200960785 /nfs/dbraw/zinc/96/07/85/1200960785.db2.gz OWEJPASDMCVLFS-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C[C@H](CN(C)C(=O)/C=C/C(C)(C)C)NCc1ccccc1C#N ZINC001755580999 1200967018 /nfs/dbraw/zinc/96/70/18/1200967018.db2.gz FILWNIJYKPSILY-AUECHBEKSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C/C=C\Cc2ccccc2)C1 ZINC001692206590 1200970646 /nfs/dbraw/zinc/97/06/46/1200970646.db2.gz CJHBHAOZQXGUSY-JJEJIETFSA-N 0 1 312.457 3.331 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C/C=C/Cc2ccccc2)C1 ZINC001692206589 1200970735 /nfs/dbraw/zinc/97/07/35/1200970735.db2.gz CJHBHAOZQXGUSY-IJDCCNJMSA-N 0 1 312.457 3.331 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(F)cccc1Cl ZINC001755613766 1200991123 /nfs/dbraw/zinc/99/11/23/1200991123.db2.gz FIRPRAMGPPQLOW-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](F)c1ccccc1 ZINC001692257753 1200991113 /nfs/dbraw/zinc/99/11/13/1200991113.db2.gz PMYOSJIMFFAZLS-ZWKOTPCHSA-N 0 1 316.420 3.033 20 30 DGEDMN C=C[C@H](CC(=O)NC1CN(CCC(C)C)C1)c1ccccc1 ZINC001692267332 1200995151 /nfs/dbraw/zinc/99/51/51/1200995151.db2.gz XRIDATKIOLTDAI-MRXNPFEDSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CC[C@@H](C(=O)NC1CN(CCC(C)C)C1)c1ccccc1 ZINC001692264259 1200996296 /nfs/dbraw/zinc/99/62/96/1200996296.db2.gz VZIRKGHUAHROIQ-GOSISDBHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ccncc2Cl)[C@H]1C ZINC001755659989 1201001082 /nfs/dbraw/zinc/00/10/82/1201001082.db2.gz WBZDFUGGGHLARF-CJNGLKHVSA-N 0 1 321.852 3.170 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)sc2C)[C@H]1C ZINC001755658581 1201001587 /nfs/dbraw/zinc/00/15/87/1201001587.db2.gz JTDMBNGWCZLPSC-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN CCCC(=O)N1C[C@H](NCC#Cc2ccc(Cl)cc2)C[C@@H]1C ZINC001755669723 1201006851 /nfs/dbraw/zinc/00/68/51/1201006851.db2.gz MUHNXBQGWMNAKP-WMLDXEAASA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C[C@H]1C ZINC001755683577 1201013651 /nfs/dbraw/zinc/01/36/51/1201013651.db2.gz YHPJORHODHWNHJ-CZUORRHYSA-N 0 1 322.399 3.400 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)CC(C)(C)C)CCN1Cc1ccc(C#N)cc1 ZINC001692340905 1201027329 /nfs/dbraw/zinc/02/73/29/1201027329.db2.gz QTHJPVMDMJPSHP-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cccc(F)c2)[C@@H]1C ZINC001755714275 1201033620 /nfs/dbraw/zinc/03/36/20/1201033620.db2.gz KHUIVVLUGNYWEB-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)CC(F)(F)F)[C@@H]1C ZINC001755721653 1201041484 /nfs/dbraw/zinc/04/14/84/1201041484.db2.gz PBNUNUDZTIQAFT-RYUDHWBXSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1occ2c1CCC2)C(C)(C)C ZINC001755828462 1201091109 /nfs/dbraw/zinc/09/11/09/1201091109.db2.gz ITLKWDXIHIQQAT-CQSZACIVSA-N 0 1 324.852 3.255 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1Cc2ccccc21)C(C)(C)C ZINC001755830716 1201092262 /nfs/dbraw/zinc/09/22/62/1201092262.db2.gz OHEMERORAFBULN-HOTGVXAUSA-N 0 1 320.864 3.199 20 30 DGEDMN C[C@@H](NC(=O)C1(C)CC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001755843421 1201101760 /nfs/dbraw/zinc/10/17/60/1201101760.db2.gz HCYKIIDUJXNJCB-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001698553157 1201223415 /nfs/dbraw/zinc/22/34/15/1201223415.db2.gz SUMOBHLYCJYLLK-HUUCEWRRSA-N 0 1 304.409 3.434 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001698594139 1201267662 /nfs/dbraw/zinc/26/76/62/1201267662.db2.gz FRUYKHUNSSLQLP-SDTVSMOJSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CCNCc2nocc2C)C1 ZINC001698679400 1201331342 /nfs/dbraw/zinc/33/13/42/1201331342.db2.gz LMMUKRQDXXGJGP-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CCC(F)F)cc1 ZINC001698716225 1201356127 /nfs/dbraw/zinc/35/61/27/1201356127.db2.gz GGVSKVWWXAXYFQ-UHFFFAOYSA-N 0 1 316.779 3.190 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001698787938 1201417123 /nfs/dbraw/zinc/41/71/23/1201417123.db2.gz BJTZPDVYKVDBPZ-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001698787941 1201418071 /nfs/dbraw/zinc/41/80/71/1201418071.db2.gz BJTZPDVYKVDBPZ-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](CC)CC(F)(F)F)CC2 ZINC001698811676 1201450676 /nfs/dbraw/zinc/45/06/76/1201450676.db2.gz RBZBDYPJYNMERM-CYBMUJFWSA-N 0 1 318.383 3.075 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1F ZINC001698851418 1201507758 /nfs/dbraw/zinc/50/77/58/1201507758.db2.gz CTCQJBYIIXQIHV-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2cnccc2c1 ZINC001698860957 1201516292 /nfs/dbraw/zinc/51/62/92/1201516292.db2.gz JVUNELNQKLBNRD-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Br)CNC[C@@H](CC(C)C)NC(=O)C(C)(C)C ZINC001698879716 1201542373 /nfs/dbraw/zinc/54/23/73/1201542373.db2.gz QCXLXUOJQRBXJS-GFCCVEGCSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1nc2ccccc2o1)C(C)C ZINC001698961197 1201613544 /nfs/dbraw/zinc/61/35/44/1201613544.db2.gz PYQKFUSMZDRZKT-OAHLLOKOSA-N 0 1 315.417 3.024 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1ccc(Cl)nc1 ZINC001752055623 1201770601 /nfs/dbraw/zinc/77/06/01/1201770601.db2.gz FGPDPVHZDFXQTP-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN CC1(C)CC[C@H](C(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001699303945 1201784207 /nfs/dbraw/zinc/78/42/07/1201784207.db2.gz ALYOPNALFIPUBH-GHOSXJJBSA-N 0 1 324.468 3.126 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)C=C1CCCCC1 ZINC001699354782 1201802548 /nfs/dbraw/zinc/80/25/48/1201802548.db2.gz QIUZYAHTJXVOQE-OAHLLOKOSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1ccc(F)cc1Cl ZINC001699373561 1201819196 /nfs/dbraw/zinc/81/91/96/1201819196.db2.gz HSNVOUIYSHFXDP-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001752395558 1201832726 /nfs/dbraw/zinc/83/27/26/1201832726.db2.gz NEKIQSOPGXKNNY-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C=C(CC)CC)CC1 ZINC001752421394 1201834991 /nfs/dbraw/zinc/83/49/91/1201834991.db2.gz RAWBVGWLTXJZBP-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)C(CC)CC)CC1 ZINC001752440487 1201838672 /nfs/dbraw/zinc/83/86/72/1201838672.db2.gz YJQFVAXQVYUMOL-INIZCTEOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(C(C)C)cn1)C1CC1 ZINC001752485444 1201853983 /nfs/dbraw/zinc/85/39/83/1201853983.db2.gz YALSNCLLWHVHBW-INIZCTEOSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN(C)Cc2cnc(C)o2)C1 ZINC001752507301 1201860972 /nfs/dbraw/zinc/86/09/72/1201860972.db2.gz ASTCGPJEDWLVNJ-MRXNPFEDSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001752751433 1201905590 /nfs/dbraw/zinc/90/55/90/1201905590.db2.gz TVCUNTDNIHJFEK-OKILXGFUSA-N 0 1 321.490 3.099 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001752752651 1201906165 /nfs/dbraw/zinc/90/61/65/1201906165.db2.gz DJKZYEPZKHNCDI-RIMWYZOYSA-N 0 1 317.260 3.126 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC001699467437 1201907306 /nfs/dbraw/zinc/90/73/06/1201907306.db2.gz KSVBUYHIGKVQOG-RYGJVYDSSA-N 0 1 320.436 3.013 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CCCc1ccsc1 ZINC001752821591 1201947186 /nfs/dbraw/zinc/94/71/86/1201947186.db2.gz PCHJJQWQFDVJDJ-QGZVFWFLSA-N 0 1 318.486 3.065 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCCF ZINC001752832173 1201957645 /nfs/dbraw/zinc/95/76/45/1201957645.db2.gz UFZSSGVKTVHKNN-HUUCEWRRSA-N 0 1 316.848 3.334 20 30 DGEDMN C[C@@H](CNC(=O)CC1(C)CCCC1)NCc1ccccc1C#N ZINC001752870943 1201985881 /nfs/dbraw/zinc/98/58/81/1201985881.db2.gz QQRPCBICSADYNW-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001752881458 1201991440 /nfs/dbraw/zinc/99/14/40/1201991440.db2.gz BFHIBQXWAWXLLY-CABCVRRESA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)cc(Cl)c1 ZINC001752887622 1201994616 /nfs/dbraw/zinc/99/46/16/1201994616.db2.gz CAHNXEKICAICSX-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccccc1CC ZINC001699630519 1201994973 /nfs/dbraw/zinc/99/49/73/1201994973.db2.gz PDDHFYOROZFENP-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c(F)ccc(F)c1Cl ZINC001752906089 1202005521 /nfs/dbraw/zinc/00/55/21/1202005521.db2.gz ZNJNOXHXOFDKTP-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2ccccn2)CCCCC1 ZINC001752905921 1202005783 /nfs/dbraw/zinc/00/57/83/1202005783.db2.gz SSTYPXZTCXOUOF-INIZCTEOSA-N 0 1 315.461 3.203 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1ccc(Cl)s1 ZINC001752917722 1202012115 /nfs/dbraw/zinc/01/21/15/1202012115.db2.gz SAEHPZHDFJJBCV-JTQLQIEISA-N 0 1 321.273 3.181 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001699659490 1202019184 /nfs/dbraw/zinc/01/91/84/1202019184.db2.gz SIALFAQPKGKRTK-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CC(C)(C)C ZINC001699682272 1202031267 /nfs/dbraw/zinc/03/12/67/1202031267.db2.gz LQGXMWSKPIMAGR-ZACQAIPSSA-N 0 1 304.478 3.147 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001699692496 1202036820 /nfs/dbraw/zinc/03/68/20/1202036820.db2.gz FZUJPZQVQOTTRI-PRCIHIDRSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@H](C)c2ccncc2)C1 ZINC001699712958 1202046456 /nfs/dbraw/zinc/04/64/56/1202046456.db2.gz FOQLZMGHGILETH-HOTGVXAUSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CC3CC4(CCC4)C3)C2)CCC1 ZINC001699719437 1202051681 /nfs/dbraw/zinc/05/16/81/1202051681.db2.gz GSOPDIDCZSVYFU-UHFFFAOYSA-N 0 1 316.489 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(C)cccc2C)C1 ZINC001699721587 1202052076 /nfs/dbraw/zinc/05/20/76/1202052076.db2.gz OAEXXOIXJCDBBT-INIZCTEOSA-N 0 1 300.446 3.414 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001699722608 1202052965 /nfs/dbraw/zinc/05/29/65/1202052965.db2.gz XLUJTPWZDQTMMP-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2csc(C3CC3)n2)C1 ZINC001699727157 1202055214 /nfs/dbraw/zinc/05/52/14/1202055214.db2.gz YMDDLQVIGRDUHQ-LBPRGKRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncoc2C(C)C)C[C@@H]1C ZINC001699729696 1202058134 /nfs/dbraw/zinc/05/81/34/1202058134.db2.gz JVGSOPAXENSIOZ-LSDHHAIUSA-N 0 1 319.449 3.233 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)cc(F)c1 ZINC001699759065 1202067604 /nfs/dbraw/zinc/06/76/04/1202067604.db2.gz PSLURZFCLBIADX-HNNXBMFYSA-N 0 1 310.800 3.081 20 30 DGEDMN C#CCN(C(=O)C(CC)CC)C1CCN(C/C=C\Cl)CC1 ZINC001699778180 1202076072 /nfs/dbraw/zinc/07/60/72/1202076072.db2.gz HPFPZTAMUUZRHS-YFHOEESVSA-N 0 1 310.869 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)C1CC1)C1CCCC1 ZINC001699798231 1202083059 /nfs/dbraw/zinc/08/30/59/1202083059.db2.gz DMOSMTCEMVRIRZ-MLGOLLRUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001753059326 1202089155 /nfs/dbraw/zinc/08/91/55/1202089155.db2.gz DMJWFCWSRNEHKG-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2cc(C)ccc2OC)C1 ZINC001699810714 1202090754 /nfs/dbraw/zinc/09/07/54/1202090754.db2.gz HNLWFKPMZGGNGB-IBGZPJMESA-N 0 1 316.445 3.050 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001699823144 1202098763 /nfs/dbraw/zinc/09/87/63/1202098763.db2.gz DVHSQYLMSSXVJX-IBGZPJMESA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CC(C1CCC1)C1CCC1 ZINC001753088601 1202117575 /nfs/dbraw/zinc/11/75/75/1202117575.db2.gz MIUHFTFDRRHPLI-UHFFFAOYSA-N 0 1 304.478 3.054 20 30 DGEDMN C=CCCCC(=O)NCC1(C)CCN(Cc2ccns2)CC1 ZINC001699864764 1202119718 /nfs/dbraw/zinc/11/97/18/1202119718.db2.gz DAJHMPOXXDYEPQ-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001753093635 1202125150 /nfs/dbraw/zinc/12/51/50/1202125150.db2.gz CRUJDPFTQRVWLE-UHFFFAOYSA-N 0 1 316.489 3.054 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(C)CCCCCC2)C1 ZINC001699870723 1202125333 /nfs/dbraw/zinc/12/53/33/1202125333.db2.gz ATDMUZPXTSQTEY-GOSISDBHSA-N 0 1 304.478 3.198 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cccc(C#N)c1 ZINC001753097635 1202128227 /nfs/dbraw/zinc/12/82/27/1202128227.db2.gz QOQXKPOHOXMDOL-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001753097635 1202128240 /nfs/dbraw/zinc/12/82/40/1202128240.db2.gz QOQXKPOHOXMDOL-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc3c(cccc3C)o2)C1 ZINC001699878838 1202133526 /nfs/dbraw/zinc/13/35/26/1202133526.db2.gz CMRPOPLHNOLMDE-HXUWFJFHSA-N 0 1 324.424 3.206 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001699878754 1202133622 /nfs/dbraw/zinc/13/36/22/1202133622.db2.gz ASWVYKUAINYUQV-XLIONFOSSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc(Cl)ccc2C)C1 ZINC001699877721 1202133952 /nfs/dbraw/zinc/13/39/52/1202133952.db2.gz DSGCNRFSLKEOKP-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@](C)(C=C)CC)c1ccccc1CC ZINC001699929866 1202138749 /nfs/dbraw/zinc/13/87/49/1202138749.db2.gz BDXXKLNQKMWGOI-UYAOXDASSA-N 0 1 312.457 3.231 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001753108312 1202139799 /nfs/dbraw/zinc/13/97/99/1202139799.db2.gz KBTKNYQPKZNFLO-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001753108670 1202139919 /nfs/dbraw/zinc/13/99/19/1202139919.db2.gz PRZOBZVRLUQXMN-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC001753134145 1202154653 /nfs/dbraw/zinc/15/46/53/1202154653.db2.gz VTNWVELVHZXKSO-OAHLLOKOSA-N 0 1 321.852 3.029 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CNCc1ccc(F)cn1 ZINC001700125190 1202184929 /nfs/dbraw/zinc/18/49/29/1202184929.db2.gz MUXAXFRSTVYQMI-QGZVFWFLSA-N 0 1 319.424 3.048 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(C)CCCC3)cccc2C1 ZINC001700123308 1202185289 /nfs/dbraw/zinc/18/52/89/1202185289.db2.gz PXGWQHQMDNTVAC-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN CCCCC(=O)NCC1(NCc2cc(C#N)ccc2F)CCC1 ZINC001700126785 1202185645 /nfs/dbraw/zinc/18/56/45/1202185645.db2.gz HRYOSZNBHYKVIS-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001700132296 1202187403 /nfs/dbraw/zinc/18/74/03/1202187403.db2.gz WZXUHTNNLFNQFO-UHFFFAOYSA-N 0 1 310.441 3.023 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCC(F)(F)C2)CCC1 ZINC001700136054 1202188799 /nfs/dbraw/zinc/18/87/99/1202188799.db2.gz KHNLCWLNAWFXLM-LBPRGKRZSA-N 0 1 320.811 3.193 20 30 DGEDMN Cc1ccc(CN[C@@H](CNC(=O)[C@H](C)C#N)c2ccccc2)cc1 ZINC001753298133 1202199763 /nfs/dbraw/zinc/19/97/63/1202199763.db2.gz YTUVASUOORSEOH-APWZRJJASA-N 0 1 321.424 3.102 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CCCC12CC2)c1ccccc1 ZINC001753300668 1202200299 /nfs/dbraw/zinc/20/02/99/1202200299.db2.gz YDAPHZLCISFMHZ-QZTJIDSGSA-N 0 1 310.441 3.037 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)c1cccc(F)c1)c1ccccc1 ZINC001753303393 1202201264 /nfs/dbraw/zinc/20/12/64/1202201264.db2.gz XQMCQZARYFLWSC-HNAYVOBHSA-N 0 1 324.399 3.010 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)cc(C)c2)C1 ZINC001753322602 1202210026 /nfs/dbraw/zinc/21/00/26/1202210026.db2.gz IWQPJGLZSUQRNT-GOSISDBHSA-N 0 1 300.446 3.416 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(C)C3CC3)cc2C1 ZINC001700252276 1202217756 /nfs/dbraw/zinc/21/77/56/1202217756.db2.gz FGPZIAHYPKTSJA-UHFFFAOYSA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccoc2CCC)C1 ZINC001753339828 1202219684 /nfs/dbraw/zinc/21/96/84/1202219684.db2.gz VOCJRDRGBGGFCT-AWEZNQCLSA-N 0 1 324.852 3.426 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C=C2CCCCC2)C1 ZINC001753357179 1202227092 /nfs/dbraw/zinc/22/70/92/1202227092.db2.gz SHZUIAZOAPIJIW-MRXNPFEDSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C(C)(C)C(F)F)CC1 ZINC001753366149 1202232420 /nfs/dbraw/zinc/23/24/20/1202232420.db2.gz QDGVHWBTJBUCEK-UHFFFAOYSA-N 0 1 322.827 3.201 20 30 DGEDMN CCCN1CCc2ccccc2[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001700329523 1202239165 /nfs/dbraw/zinc/23/91/65/1202239165.db2.gz GCPSBRSUNBQIIZ-SFHVURJKSA-N 0 1 312.457 3.162 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2c3ccccc3CCN2CC)CCC1 ZINC001700330532 1202239230 /nfs/dbraw/zinc/23/92/30/1202239230.db2.gz SWJSCDMFQQCXST-GOSISDBHSA-N 0 1 312.457 3.468 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC(CC)CC ZINC001700348018 1202243621 /nfs/dbraw/zinc/24/36/21/1202243621.db2.gz BCLWZHCSVPCJFP-UYAOXDASSA-N 0 1 312.457 3.208 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001753408918 1202244070 /nfs/dbraw/zinc/24/40/70/1202244070.db2.gz DRNSDAYXYRWDJF-JTPHSUOKSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](c2ccccc2)[C@@H](C)CC)C1 ZINC001753415104 1202246309 /nfs/dbraw/zinc/24/63/09/1202246309.db2.gz NDGKICKAHPEGTJ-QFBILLFUSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccccc1C(C)(C)C ZINC001700353627 1202246498 /nfs/dbraw/zinc/24/64/98/1202246498.db2.gz HEKXGKWLEFSYDD-MRXNPFEDSA-N 0 1 312.457 3.154 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C/C=C/c1ccc(F)cc1 ZINC001753422055 1202248609 /nfs/dbraw/zinc/24/86/09/1202248609.db2.gz HUVGNVCPRDDYJF-ZFRRBFPSSA-N 0 1 314.404 3.090 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001753422705 1202249124 /nfs/dbraw/zinc/24/91/24/1202249124.db2.gz HTUVYTGFVJDODD-WDSOQIARSA-N 0 1 312.457 3.117 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1CCC(C2CC2)CC1 ZINC001700362427 1202250309 /nfs/dbraw/zinc/25/03/09/1202250309.db2.gz HBXWBWCDJUSOLS-ACBHZAAOSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCCCC1(C)C ZINC001700363595 1202250985 /nfs/dbraw/zinc/25/09/85/1202250985.db2.gz HYYTXRVYUTUDMU-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](F)CC1CCCCC1 ZINC001700366048 1202252299 /nfs/dbraw/zinc/25/22/99/1202252299.db2.gz ZPPZPZWVJXBHRC-MSOLQXFVSA-N 0 1 322.468 3.241 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(CCc2ccccc2Cl)C1 ZINC001753434477 1202254182 /nfs/dbraw/zinc/25/41/82/1202254182.db2.gz WMLUJRDFCLMZFX-MRXNPFEDSA-N 0 1 320.864 3.381 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001700378581 1202255090 /nfs/dbraw/zinc/25/50/90/1202255090.db2.gz PYMBZVQXQIBVEI-MQBCKMQZSA-N 0 1 321.490 3.038 20 30 DGEDMN Cc1ccccc1C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001700386553 1202257913 /nfs/dbraw/zinc/25/79/13/1202257913.db2.gz STBWPBSPUVSCEE-CVEARBPZSA-N 0 1 321.424 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001753449237 1202259422 /nfs/dbraw/zinc/25/94/22/1202259422.db2.gz GZDTVOYDLYDJRY-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001753462236 1202264115 /nfs/dbraw/zinc/26/41/15/1202264115.db2.gz UBHXAXYVCXEKDO-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(c2ccccc2)CCC1 ZINC001753479906 1202270738 /nfs/dbraw/zinc/27/07/38/1202270738.db2.gz QCGVCLIBWPRAEC-MRXNPFEDSA-N 0 1 320.864 3.345 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(Cl)cn1CC ZINC001700432014 1202271373 /nfs/dbraw/zinc/27/13/73/1202271373.db2.gz UWQLBOUJISEFEM-WDEREUQCSA-N 0 1 318.248 3.010 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2CC[C@H](F)C2)cc1 ZINC001753591047 1202294731 /nfs/dbraw/zinc/29/47/31/1202294731.db2.gz UKQXOENPDWSOEP-HOTGVXAUSA-N 0 1 324.827 3.283 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C=C(\C)CC)CC2 ZINC001753603736 1202296878 /nfs/dbraw/zinc/29/68/78/1202296878.db2.gz LMOMYUCSVOPUNM-SDNWHVSQSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001753656133 1202306791 /nfs/dbraw/zinc/30/67/91/1202306791.db2.gz KTAKEDPDOFMFTR-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)C(C)(C)C(C)C ZINC001753693817 1202312539 /nfs/dbraw/zinc/31/25/39/1202312539.db2.gz SEHXIJKSNNMTIV-LBPRGKRZSA-N 0 1 319.287 3.014 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CC(C)=C(C)C)C2)C1 ZINC001700561594 1202313055 /nfs/dbraw/zinc/31/30/55/1202313055.db2.gz IFBZLYGPZNYCNS-IBGZPJMESA-N 0 1 320.477 3.002 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)CCCCC)C(C)(C)C1 ZINC001700575727 1202317482 /nfs/dbraw/zinc/31/74/82/1202317482.db2.gz IDAGUVLYAKLYBQ-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001700576929 1202318761 /nfs/dbraw/zinc/31/87/61/1202318761.db2.gz SQMUWMBULJEYOD-RTBURBONSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1cccc(C)c1 ZINC001753738824 1202325722 /nfs/dbraw/zinc/32/57/22/1202325722.db2.gz QDNMGFRKKAVUOQ-KRWDZBQOSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001700637906 1202326447 /nfs/dbraw/zinc/32/64/47/1202326447.db2.gz DKNQORCLWUEWRS-ATNYBXOESA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncc(C(C)C)o2)[C@H](C)C1 ZINC001753764560 1202330056 /nfs/dbraw/zinc/33/00/56/1202330056.db2.gz GGRSUYQMSAUYTQ-CABCVRRESA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C#CC(C)(C)C ZINC001753818805 1202339722 /nfs/dbraw/zinc/33/97/22/1202339722.db2.gz MGZPVTGSCQIDCC-DZGCQCFKSA-N 0 1 310.869 3.005 20 30 DGEDMN C[C@H](CN(C)C(=O)C1CCCCC1)NCc1ccccc1C#N ZINC001700736842 1202345435 /nfs/dbraw/zinc/34/54/35/1202345435.db2.gz LGQANRYVYKTBJC-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1cccc(OC)c1Cl ZINC001700742012 1202346577 /nfs/dbraw/zinc/34/65/77/1202346577.db2.gz XVFLPUWNYNAIMP-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001700751849 1202348224 /nfs/dbraw/zinc/34/82/24/1202348224.db2.gz UHKYMLNEGZVSTM-OAHLLOKOSA-N 0 1 313.445 3.097 20 30 DGEDMN C[C@H](CN(C)C(=O)C(C)(C)C)NCC#Cc1cccc(Cl)c1 ZINC001700775877 1202353340 /nfs/dbraw/zinc/35/33/40/1202353340.db2.gz VNWFZRJCZQLOCX-CQSZACIVSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001700848995 1202358790 /nfs/dbraw/zinc/35/87/90/1202358790.db2.gz ZVHYEHWYSGUEOP-QWRGUYRKSA-N 0 1 324.774 3.051 20 30 DGEDMN CC(C)C(=O)N(CCN(C)Cc1ccc(F)c(C#N)c1)C(C)C ZINC001700974123 1202374086 /nfs/dbraw/zinc/37/40/86/1202374086.db2.gz GKVFEBMLAZDICE-UHFFFAOYSA-N 0 1 319.424 3.022 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001700999656 1202379333 /nfs/dbraw/zinc/37/93/33/1202379333.db2.gz ZNWVBNFPSYVYSW-SUMWQHHRSA-N 0 1 323.506 3.366 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@H](C)C(CC)CC)c(F)c1 ZINC001701066190 1202386824 /nfs/dbraw/zinc/38/68/24/1202386824.db2.gz UAWGRXCJAJYVLH-CQSZACIVSA-N 0 1 318.436 3.237 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)CC1CCCCC1 ZINC001707050912 1202569414 /nfs/dbraw/zinc/56/94/14/1202569414.db2.gz DUBDMCPPZVPVNE-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@H](C)C2CC2)C1 ZINC001707097739 1202573245 /nfs/dbraw/zinc/57/32/45/1202573245.db2.gz YPMZPZHQZOUSOV-FFZOFVMBSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)[C@@H]1C ZINC001707248836 1202578335 /nfs/dbraw/zinc/57/83/35/1202578335.db2.gz HIOMKDACTNTZNI-QAPCUYQASA-N 0 1 316.420 3.017 20 30 DGEDMN N#CC(C(=O)NC1CC1)c1nc(-c2ccc(Cl)cc2)cs1 ZINC000155680712 1202599087 /nfs/dbraw/zinc/59/90/87/1202599087.db2.gz CQFOAHMLSMKZAR-GFCCVEGCSA-N 0 1 317.801 3.349 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001713063618 1202614244 /nfs/dbraw/zinc/61/42/44/1202614244.db2.gz MRGLYFXHQVXDQL-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc2[nH]ccc21)C1CC1 ZINC001713122412 1202618898 /nfs/dbraw/zinc/61/88/98/1202618898.db2.gz ZHCBQXNPPHVFBW-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(C)ccc1C)C1CC1 ZINC001713124775 1202619194 /nfs/dbraw/zinc/61/91/94/1202619194.db2.gz OLCLDTVYUXAOIE-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN(C)Cc2conc2C)C1 ZINC001713130580 1202620377 /nfs/dbraw/zinc/62/03/77/1202620377.db2.gz LPGCQDSVJSFSQI-MRXNPFEDSA-N 0 1 319.449 3.010 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001713258931 1202632673 /nfs/dbraw/zinc/63/26/73/1202632673.db2.gz IMPYBNHYLGIUFT-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCCCC ZINC001713271228 1202633940 /nfs/dbraw/zinc/63/39/40/1202633940.db2.gz ZHCLHOYFILZRTQ-ROUUACIJSA-N 0 1 304.478 3.436 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCC(NCc2cscn2)CC1 ZINC001713288624 1202636207 /nfs/dbraw/zinc/63/62/07/1202636207.db2.gz AJJCRQXZUDPGCC-NFOMZHRRSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001713328218 1202639412 /nfs/dbraw/zinc/63/94/12/1202639412.db2.gz OOLPGTDVXHRMNK-GFCCVEGCSA-N 0 1 324.852 3.242 20 30 DGEDMN CCC(=O)N1CCC[C@H](N(C)CC#Cc2cccc(Cl)c2)C1 ZINC001713386588 1202643732 /nfs/dbraw/zinc/64/37/32/1202643732.db2.gz IUSDRSGCTUDCNU-KRWDZBQOSA-N 0 1 318.848 3.024 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001713395470 1202645049 /nfs/dbraw/zinc/64/50/49/1202645049.db2.gz JEGZWIHHKFGOHH-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN CN(CCNC(=O)C#CC(C)(C)C)Cc1ccc(F)c(Cl)c1 ZINC001713451812 1202651889 /nfs/dbraw/zinc/65/18/89/1202651889.db2.gz UTENRWGJEOFOFP-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001713503217 1202661598 /nfs/dbraw/zinc/66/15/98/1202661598.db2.gz MZFPBSWMQCVCNT-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN1Cc1c(C)nsc1C ZINC001713507669 1202662370 /nfs/dbraw/zinc/66/23/70/1202662370.db2.gz STLMVZROOOHKSO-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cnc(C)s1 ZINC001713512158 1202662908 /nfs/dbraw/zinc/66/29/08/1202662908.db2.gz IBBYRCWLKJTUHF-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN CCC(CC)C(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001713554351 1202669858 /nfs/dbraw/zinc/66/98/58/1202669858.db2.gz PQNXVVCROYAFAU-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@H](C)C(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001713555788 1202670130 /nfs/dbraw/zinc/67/01/30/1202670130.db2.gz XOJYSWYEBIOBBE-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc2c(C)c(C)[nH]c21)C1CC1 ZINC001713558484 1202670541 /nfs/dbraw/zinc/67/05/41/1202670541.db2.gz LAJWLAZGFQMTPK-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001713633911 1202674442 /nfs/dbraw/zinc/67/44/42/1202674442.db2.gz BAAJCHFYAOFQBJ-KRWDZBQOSA-N 0 1 322.880 3.302 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1coc2cc(C)ccc12 ZINC001713661662 1202675497 /nfs/dbraw/zinc/67/54/97/1202675497.db2.gz FZRTUBXYMUHBAF-UHFFFAOYSA-N 0 1 320.820 3.084 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C/C=C\c1ccccc1 ZINC001713706758 1202679193 /nfs/dbraw/zinc/67/91/93/1202679193.db2.gz MDOGDAJMEXJQEP-ZLOHZDAMSA-N 0 1 310.441 3.341 20 30 DGEDMN CCCN(C(=O)[C@@H](C)C#N)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001713715121 1202680440 /nfs/dbraw/zinc/68/04/40/1202680440.db2.gz PKBPJPLWCNKFBU-XJKSGUPXSA-N 0 1 319.474 3.029 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001713720072 1202681345 /nfs/dbraw/zinc/68/13/45/1202681345.db2.gz NHEUAVVIJHHQPA-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cc(C)ccc2F)C1 ZINC001713720974 1202681679 /nfs/dbraw/zinc/68/16/79/1202681679.db2.gz HBYOOXIXBFNJRT-KRWDZBQOSA-N 0 1 318.436 3.176 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC2(C=C)CCCCC2)C1 ZINC001713720983 1202681702 /nfs/dbraw/zinc/68/17/02/1202681702.db2.gz HKLUSBCNVUIVJU-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@@H]2C=CCCC2)C1 ZINC001713729814 1202683456 /nfs/dbraw/zinc/68/34/56/1202683456.db2.gz CNJPQVOYXZRXLR-HZPDHXFCSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(C)c(C)c2)C1 ZINC001713856682 1202696268 /nfs/dbraw/zinc/69/62/68/1202696268.db2.gz TWECIOFGHZENGC-INIZCTEOSA-N 0 1 300.446 3.001 20 30 DGEDMN CC(C(=O)NC[C@H](NCc1ccccc1C#N)C1CC1)=C1CCC1 ZINC001713863819 1202697076 /nfs/dbraw/zinc/69/70/76/1202697076.db2.gz DAWLFLDJIFPNTC-IBGZPJMESA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1cccc(F)c1)C1CC1 ZINC001713884088 1202698632 /nfs/dbraw/zinc/69/86/32/1202698632.db2.gz PUUQFWXWUYXVAC-WBMJQRKESA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(C)c(C)c1)C1CC1 ZINC001713883419 1202698674 /nfs/dbraw/zinc/69/86/74/1202698674.db2.gz BIXWYERYMXWDJU-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2C=CC=CC=C2)C1 ZINC001713891107 1202699859 /nfs/dbraw/zinc/69/98/59/1202699859.db2.gz FDRLRJYGWDNNGO-MRXNPFEDSA-N 0 1 320.864 3.208 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(CCC)CC2)C1 ZINC001713897004 1202700398 /nfs/dbraw/zinc/70/03/98/1202700398.db2.gz HPLHRNKGBQSWJI-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CC1(CC(=O)N2C[C@@H]3C[C@H]2CN3CC(=C)C)CCCCC1 ZINC001713937135 1202703837 /nfs/dbraw/zinc/70/38/37/1202703837.db2.gz IHUHVOWOEFSGRQ-IRXDYDNUSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](CC)c1ccccc1 ZINC001713939128 1202704025 /nfs/dbraw/zinc/70/40/25/1202704025.db2.gz CEOGKGYVLJVSQW-WDSOQIARSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001713973044 1202711551 /nfs/dbraw/zinc/71/15/51/1202711551.db2.gz UTJXPSFCTUDFAL-WZYMTQMTSA-N 0 1 310.869 3.453 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001713994072 1202719378 /nfs/dbraw/zinc/71/93/78/1202719378.db2.gz ZRNCMZBGZFQPDW-KRWDZBQOSA-N 0 1 316.445 3.003 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001714000777 1202721957 /nfs/dbraw/zinc/72/19/57/1202721957.db2.gz IYKDCCHWXYBSPV-KRWDZBQOSA-N 0 1 324.424 3.125 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H](C)C2CCCCC2)C1 ZINC001714017631 1202729997 /nfs/dbraw/zinc/72/99/97/1202729997.db2.gz CGBAWSJSSBVJFR-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001714190225 1202824219 /nfs/dbraw/zinc/82/42/19/1202824219.db2.gz MYOVFKZKVQGWGQ-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN CCCC(CCC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001714269208 1202857013 /nfs/dbraw/zinc/85/70/13/1202857013.db2.gz QGDRSKWYBHYIFI-QGZVFWFLSA-N 0 1 314.473 3.349 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC/C=C/c1ccccc1 ZINC001714274715 1202859268 /nfs/dbraw/zinc/85/92/68/1202859268.db2.gz VZXRMXLMOXCDAC-WGPYJDKQSA-N 0 1 306.837 3.327 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2ccns2)CCCCC1 ZINC001714284240 1202863174 /nfs/dbraw/zinc/86/31/74/1202863174.db2.gz LNPSZLLBHPJRCH-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@H]1CCCN1Cc1cscn1 ZINC001714516418 1202975419 /nfs/dbraw/zinc/97/54/19/1202975419.db2.gz DXSRXUMRJYXJMA-INIZCTEOSA-N 0 1 321.490 3.360 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCN(CC)Cc1ccc(C#N)c(F)c1 ZINC001714577855 1203019412 /nfs/dbraw/zinc/01/94/12/1203019412.db2.gz GHUWKFXUZXAAAN-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)CCC(=O)NCCN(CC)Cc1ccnc(Cl)c1 ZINC001714584090 1203024356 /nfs/dbraw/zinc/02/43/56/1203024356.db2.gz PAANVHNWXSXHGC-UHFFFAOYSA-N 0 1 309.841 3.029 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1csc(C)c1C ZINC001714629479 1203062636 /nfs/dbraw/zinc/06/26/36/1203062636.db2.gz BOUIFYGQIQBEGD-SNVBAGLBSA-N 0 1 300.855 3.168 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1ccn(C(C)C)n1 ZINC001714639242 1203066464 /nfs/dbraw/zinc/06/64/64/1203066464.db2.gz WMAJNZFBMYVWHN-OAHLLOKOSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001714717220 1203107792 /nfs/dbraw/zinc/10/77/92/1203107792.db2.gz ICOFVCAUULTEDV-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1ccc(Cl)cc1F ZINC001714754121 1203118905 /nfs/dbraw/zinc/11/89/05/1203118905.db2.gz MWNMSYWHACASJG-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cnc2ccccc2c1 ZINC001714776223 1203124025 /nfs/dbraw/zinc/12/40/25/1203124025.db2.gz KXSHMKKWJGWRSZ-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CCC(C)(C)C1)c1ccccc1 ZINC001714838413 1203146844 /nfs/dbraw/zinc/14/68/44/1203146844.db2.gz GQIAQIAKFDAKRO-ROUUACIJSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)c(C)s1 ZINC001714842873 1203148210 /nfs/dbraw/zinc/14/82/10/1203148210.db2.gz PAQIRAFIGAKSSE-LLVKDONJSA-N 0 1 300.855 3.168 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2c(C)oc(C)c2C)C1 ZINC001714856504 1203156354 /nfs/dbraw/zinc/15/63/54/1203156354.db2.gz QLTMUGASLXNSQB-MRXNPFEDSA-N 0 1 304.434 3.317 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2nccc3ccccc32)C1 ZINC001714861310 1203161098 /nfs/dbraw/zinc/16/10/98/1203161098.db2.gz AJLOKMJDGZWFQR-KRWDZBQOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001714866133 1203163621 /nfs/dbraw/zinc/16/36/21/1203163621.db2.gz OIGROSFCMYFPDO-LTIDMASMSA-N 0 1 322.880 3.169 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3ncccc32)C1 ZINC001714873193 1203168695 /nfs/dbraw/zinc/16/86/95/1203168695.db2.gz VHQVNIVYLARGNM-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC(C2CC2)C2CC2)C1 ZINC001714884911 1203177279 /nfs/dbraw/zinc/17/72/79/1203177279.db2.gz QIFIQRRIGPVVHJ-CQSZACIVSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H](C)c1cccnc1 ZINC001714931958 1203205692 /nfs/dbraw/zinc/20/56/92/1203205692.db2.gz DYQYEOQLFFJBMV-ZBFHGGJFSA-N 0 1 323.868 3.058 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001714947826 1203212553 /nfs/dbraw/zinc/21/25/53/1203212553.db2.gz VFKFNUGBQLEPKB-CQSZACIVSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001714954934 1203215640 /nfs/dbraw/zinc/21/56/40/1203215640.db2.gz QYNOCQGQQHQVNO-MRXNPFEDSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1C1CC1 ZINC001714995058 1203229683 /nfs/dbraw/zinc/22/96/83/1203229683.db2.gz KJMFOOPFVVHJSQ-GJZGRUSLSA-N 0 1 316.470 3.490 20 30 DGEDMN CCCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001715007585 1203234670 /nfs/dbraw/zinc/23/46/70/1203234670.db2.gz CFMKYWPAASYBLN-GOSISDBHSA-N 0 1 313.445 3.171 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001715009601 1203235287 /nfs/dbraw/zinc/23/52/87/1203235287.db2.gz BQBNVMYRQFXBDM-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001715021601 1203240560 /nfs/dbraw/zinc/24/05/60/1203240560.db2.gz RKGQNQFOVQKIDG-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1c(F)cccc1F ZINC001715061165 1203259150 /nfs/dbraw/zinc/25/91/50/1203259150.db2.gz QIGHXSWSRICKJA-ZDUSSCGKSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2c(C)cccc2F)C1 ZINC001753361912 1203262764 /nfs/dbraw/zinc/26/27/64/1203262764.db2.gz SIXQUEYFEAIINR-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2cc(F)ccc2o1 ZINC001715077297 1203264942 /nfs/dbraw/zinc/26/49/42/1203264942.db2.gz RHUORWOMFHMPLV-ZDUSSCGKSA-N 0 1 324.783 3.422 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(CNCc2nccs2)CCCC1 ZINC001715091005 1203269961 /nfs/dbraw/zinc/26/99/61/1203269961.db2.gz HDWCMLCBFDQCDR-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](CC)c1ccccc1)CC2 ZINC001715316612 1203313816 /nfs/dbraw/zinc/31/38/16/1203313816.db2.gz VHZZXZUOZJZSBH-SFHVURJKSA-N 0 1 324.468 3.128 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccsc1Cl ZINC001715358167 1203323249 /nfs/dbraw/zinc/32/32/49/1203323249.db2.gz CWQKODMITCNRQL-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@H](C)c1ccccc1 ZINC001715372294 1203327693 /nfs/dbraw/zinc/32/76/93/1203327693.db2.gz CSVOSDREIPQRLQ-DZGCQCFKSA-N 0 1 308.853 3.369 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1(CC)CCC1 ZINC001715395918 1203333795 /nfs/dbraw/zinc/33/37/95/1203333795.db2.gz VNOBYAAZMDCFLN-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CCCC#CC ZINC001715474655 1203347033 /nfs/dbraw/zinc/34/70/33/1203347033.db2.gz GEWPBZBEDMGJMK-ZBFHGGJFSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1cccc(F)c1 ZINC001715531285 1203364155 /nfs/dbraw/zinc/36/41/55/1203364155.db2.gz ZQGRNYVHGVKBSJ-SFHVURJKSA-N 0 1 306.425 3.318 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001715543599 1203368402 /nfs/dbraw/zinc/36/84/02/1203368402.db2.gz IJIURJALDONDKD-SJORKVTESA-N 0 1 322.880 3.245 20 30 DGEDMN CCCCC(=O)NC/C=C/CNCC#Cc1cccc(Cl)c1 ZINC001715796787 1203393145 /nfs/dbraw/zinc/39/31/45/1203393145.db2.gz XKCBJAZFJJQMBU-SNAWJCMRSA-N 0 1 318.848 3.144 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN(C)Cc1ccc(Cl)c(F)c1 ZINC001715818576 1203395395 /nfs/dbraw/zinc/39/53/95/1203395395.db2.gz KWKPBFMPOCDSCY-UHFFFAOYSA-N 0 1 324.827 3.029 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001715887156 1203400924 /nfs/dbraw/zinc/40/09/24/1203400924.db2.gz XJEAEXFVXBHUMM-JOGGYFKDSA-N 0 1 318.848 3.113 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1nsc2ccccc12 ZINC001715945362 1203408736 /nfs/dbraw/zinc/40/87/36/1203408736.db2.gz KTPHZKOEOCXPEA-CYBMUJFWSA-N 0 1 317.458 3.247 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1cc(F)ccc1Cl ZINC001715946127 1203408830 /nfs/dbraw/zinc/40/88/30/1203408830.db2.gz WJHMBTZUPUFFSP-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2cc(Cl)ccc2F)C1 ZINC001715948480 1203409334 /nfs/dbraw/zinc/40/93/34/1203409334.db2.gz PEJTYFDFIBGECF-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001715989721 1203416820 /nfs/dbraw/zinc/41/68/20/1203416820.db2.gz MOZSYHZIPUIMJZ-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)[C@H](C)c1ccccc1Cl ZINC001716026750 1203427724 /nfs/dbraw/zinc/42/77/24/1203427724.db2.gz TXLZJADXSIVWRA-HUUCEWRRSA-N 0 1 320.864 3.497 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001716049293 1203435525 /nfs/dbraw/zinc/43/55/25/1203435525.db2.gz ALAPGQGOFJYRIQ-GJZGRUSLSA-N 0 1 310.869 3.264 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1Cl)C(=O)C=C(C)C ZINC001716203741 1203449154 /nfs/dbraw/zinc/44/91/54/1203449154.db2.gz MCLKIAYZTGHWFU-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001716407230 1203467535 /nfs/dbraw/zinc/46/75/35/1203467535.db2.gz CZVHSVPJFBCNTL-GFCCVEGCSA-N 0 1 319.836 3.245 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)C[C@H](C)CC(C)C ZINC001716418746 1203469548 /nfs/dbraw/zinc/46/95/48/1203469548.db2.gz CFOSYUNNHCXIBL-DGCLKSJQSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1C1CCC1 ZINC001716421263 1203470078 /nfs/dbraw/zinc/47/00/78/1203470078.db2.gz CEEIZULBKVYXDU-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1CC(C)(C)C)C1CC1 ZINC001716611690 1203506159 /nfs/dbraw/zinc/50/61/59/1203506159.db2.gz OVHUJNIXUHRDBW-ZNMIVQPWSA-N 0 1 312.885 3.296 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1ncsc1C(C)C ZINC001716668500 1203520040 /nfs/dbraw/zinc/52/00/40/1203520040.db2.gz VCRDIEHTTZLTGY-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716691537 1203526202 /nfs/dbraw/zinc/52/62/02/1203526202.db2.gz MXIYTLSYLZJHKW-MJGOQNOKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)c2ccccc2F)CC1 ZINC001716696308 1203527086 /nfs/dbraw/zinc/52/70/86/1203527086.db2.gz DJNIOBZWUCFFFP-LBPRGKRZSA-N 0 1 324.827 3.310 20 30 DGEDMN C=C(Cl)CN(CCNC(=O)C(F)F)C1CCCCCC1 ZINC001716712999 1203530449 /nfs/dbraw/zinc/53/04/49/1203530449.db2.gz IFOIEVVOGMDPBI-UHFFFAOYSA-N 0 1 308.800 3.145 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccc(C)cc2)C1 ZINC001716744153 1203535933 /nfs/dbraw/zinc/53/59/33/1203535933.db2.gz SGPLTSXBVASUAE-MRXNPFEDSA-N 0 1 300.446 3.035 20 30 DGEDMN C=CCCCC(=O)N(C)C1CN(CCCc2cccc(C)c2)C1 ZINC001716745807 1203536053 /nfs/dbraw/zinc/53/60/53/1203536053.db2.gz AUEPLFXEJXIODD-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001716748233 1203536239 /nfs/dbraw/zinc/53/62/39/1203536239.db2.gz CSXYGBHSPMIWPF-GOSISDBHSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001716753921 1203538480 /nfs/dbraw/zinc/53/84/80/1203538480.db2.gz BDANXOZNBVKRRV-OAHLLOKOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2c[nH]c3ccc(C)cc32)C1 ZINC001716753869 1203538505 /nfs/dbraw/zinc/53/85/05/1203538505.db2.gz TZKQISJZLVOYMI-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)CSC)C1CCCC1 ZINC001716815480 1203546956 /nfs/dbraw/zinc/54/69/56/1203546956.db2.gz VMXLMKUQTWESNO-RISCZKNCSA-N 0 1 318.914 3.003 20 30 DGEDMN CC#CCCCC(=O)NC[C@]1(C)CCCN(C/C=C/Cl)C1 ZINC001716898018 1203565835 /nfs/dbraw/zinc/56/58/35/1203565835.db2.gz NCWMASSSBDUTMG-YRYLYKBFSA-N 0 1 310.869 3.151 20 30 DGEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C(F)F)o2)C1 ZINC001716901509 1203566588 /nfs/dbraw/zinc/56/65/88/1203566588.db2.gz JVAPZAGSYJWXJO-KRWDZBQOSA-N 0 1 324.371 3.072 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]([C@H](C)NCc2ncoc2C(C)C)C1 ZINC001716957645 1203570129 /nfs/dbraw/zinc/57/01/29/1203570129.db2.gz BVPVKGUSUKEZLB-LSDHHAIUSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C=C(CC)CC)C2)CC1 ZINC001717126671 1203588730 /nfs/dbraw/zinc/58/87/30/1203588730.db2.gz MMGSTTJVBDEUFA-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@H](C)c1ccccc1Cl ZINC001717205450 1203600556 /nfs/dbraw/zinc/60/05/56/1203600556.db2.gz JBQVRBCWOLLCHA-ZIAGYGMSSA-N 0 1 321.852 3.341 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CN(C)CC#CC)CCCCC1 ZINC001717376087 1203629798 /nfs/dbraw/zinc/62/97/98/1203629798.db2.gz JXVHCMOZDRAEAC-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1c(C)noc1CC ZINC001717423392 1203641224 /nfs/dbraw/zinc/64/12/24/1203641224.db2.gz RXSKFUDLHLVNRW-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(F)cccc1Cl ZINC001717435194 1203644072 /nfs/dbraw/zinc/64/40/72/1203644072.db2.gz OXCXBJCUYOENIA-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cnc(C)s1 ZINC001717528717 1203672514 /nfs/dbraw/zinc/67/25/14/1203672514.db2.gz FEQCDQOZUIPBIA-GFCCVEGCSA-N 0 1 315.870 3.082 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)C1(C)CC(F)(F)C1 ZINC001717532505 1203673814 /nfs/dbraw/zinc/67/38/14/1203673814.db2.gz IMZCRJASNUAVHQ-GFCCVEGCSA-N 0 1 322.827 3.439 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCCCN2CC#CC)CCC1 ZINC001717539515 1203677089 /nfs/dbraw/zinc/67/70/89/1203677089.db2.gz WWSKWSVXIAMZJX-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(C)CCCCC1 ZINC001717539586 1203677095 /nfs/dbraw/zinc/67/70/95/1203677095.db2.gz YMYQPIBQUFZXKE-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584706 1203686784 /nfs/dbraw/zinc/68/67/84/1203686784.db2.gz BJINGGDVRPEBLT-DOMZBBRYSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C12CCC(CC1)C2(C)C ZINC001717746480 1203708768 /nfs/dbraw/zinc/70/87/68/1203708768.db2.gz SLEWJAHIPYFTAT-TUBUQKNSSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2ccns2)CCCC1 ZINC001717755646 1203710954 /nfs/dbraw/zinc/71/09/54/1203710954.db2.gz DLMNZOHZZMHTLN-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001717757223 1203711709 /nfs/dbraw/zinc/71/17/09/1203711709.db2.gz MAUVQBNRJQXCPJ-KDOFPFPSSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)[C@H]1C ZINC001717797628 1203713574 /nfs/dbraw/zinc/71/35/74/1203713574.db2.gz FPYOKDFJNMORKO-HIFRSBDPSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@H]1N(Cc1cc(C)ns1)CC2 ZINC001717833784 1203718262 /nfs/dbraw/zinc/71/82/62/1203718262.db2.gz YJQDFKYYQMNGRI-NVXWUHKLSA-N 0 1 319.474 3.031 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001717855604 1203722989 /nfs/dbraw/zinc/72/29/89/1203722989.db2.gz IKTYEZULTJUFSS-KGLIPLIRSA-N 0 1 318.383 3.102 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCC2CCCC2)[C@H]1C ZINC001717861841 1203724536 /nfs/dbraw/zinc/72/45/36/1203724536.db2.gz AJFQROZYHOHEEP-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001718029100 1203745037 /nfs/dbraw/zinc/74/50/37/1203745037.db2.gz NYNJDLWVCHDXMH-UHFFFAOYSA-N 0 1 318.383 3.289 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@](C)(CC)CCCCC)CC1 ZINC001718036018 1203745325 /nfs/dbraw/zinc/74/53/25/1203745325.db2.gz YEHDAIVLDWEKOT-IBGZPJMESA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001752999101 1203948914 /nfs/dbraw/zinc/94/89/14/1203948914.db2.gz SKUOQFKGAYQCQG-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1[C@H](CC)c1ccc(F)cc1 ZINC001723405363 1203968060 /nfs/dbraw/zinc/96/80/60/1203968060.db2.gz DFWCRQXXIIBISF-DLBZAZTESA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001723446032 1203972864 /nfs/dbraw/zinc/97/28/64/1203972864.db2.gz INIDGSLSKUYVMZ-GOSISDBHSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001723454089 1203974125 /nfs/dbraw/zinc/97/41/25/1203974125.db2.gz UZSLVHYSUGOGMT-WMZOPIPTSA-N 0 1 320.502 3.474 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001723463318 1203975439 /nfs/dbraw/zinc/97/54/39/1203975439.db2.gz ZHKSOHNAGQTCNM-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(C[C@@H]2CCCC(F)(F)C2)C1 ZINC001723765534 1203992122 /nfs/dbraw/zinc/99/21/22/1203992122.db2.gz LCXDTDOJGIIXJW-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(Cc3ccccc3)CC2)C1 ZINC001723769577 1203992151 /nfs/dbraw/zinc/99/21/51/1203992151.db2.gz LWOYLHUSBZBSBN-UHFFFAOYSA-N 0 1 312.457 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccnc2C2CC2)C1 ZINC001723776672 1203992273 /nfs/dbraw/zinc/99/22/73/1203992273.db2.gz AJSPPLLATDZBIS-AWEZNQCLSA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2c(C)cccc2Cl)C1 ZINC001723777055 1203992276 /nfs/dbraw/zinc/99/22/76/1203992276.db2.gz QPISVKGHOFEALA-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](CCC)c2ccccn2)C1 ZINC001723790965 1203992891 /nfs/dbraw/zinc/99/28/91/1203992891.db2.gz HXKRVVAKRQOCQQ-QGZVFWFLSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c[nH]cc2C2CC2)C1 ZINC001723804350 1203994179 /nfs/dbraw/zinc/99/41/79/1203994179.db2.gz RSNRTFVDYRQQQV-CYBMUJFWSA-N 0 1 301.434 3.003 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCc2cc(C)sc2C)C1 ZINC001723828234 1203995408 /nfs/dbraw/zinc/99/54/08/1203995408.db2.gz ZJJHIBLNIUIGDS-UHFFFAOYSA-N 0 1 320.502 3.406 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1coc2ccccc12 ZINC001723985440 1204000462 /nfs/dbraw/zinc/00/04/62/1204000462.db2.gz VCUNWWIGVDWXMU-ZDUSSCGKSA-N 0 1 318.804 3.380 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001724179025 1204012725 /nfs/dbraw/zinc/01/27/25/1204012725.db2.gz KTBSXRJLHJFZBE-AUUYWEPGSA-N 0 1 312.413 3.303 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](F)C(C)C)c1ccccc1CC ZINC001724511497 1204026820 /nfs/dbraw/zinc/02/68/20/1204026820.db2.gz JXEVARJNENWBRF-MSOLQXFVSA-N 0 1 318.436 3.013 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC12CCCC2 ZINC001724741247 1204041684 /nfs/dbraw/zinc/04/16/84/1204041684.db2.gz ODWDYZPEJJIAOW-LJQANCHMSA-N 0 1 324.468 3.338 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H]3CC[C@@H](C)C3)cccc2C1 ZINC001724777016 1204045303 /nfs/dbraw/zinc/04/53/03/1204045303.db2.gz MPNZDHOLJIDZBS-SJORKVTESA-N 0 1 324.468 3.120 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCN(Cc2ccccc2C#N)[C@H](C)C1 ZINC001724994453 1204075837 /nfs/dbraw/zinc/07/58/37/1204075837.db2.gz YCACAMQXUUDNJY-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001724994844 1204076208 /nfs/dbraw/zinc/07/62/08/1204076208.db2.gz NRIJOSBUWHSWON-QKFAWAGLSA-N 0 1 324.468 3.364 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccsc1 ZINC001725042118 1204084294 /nfs/dbraw/zinc/08/42/94/1204084294.db2.gz OPFMARBXFCDMOQ-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(F)c(Cl)c1 ZINC001725043647 1204085012 /nfs/dbraw/zinc/08/50/12/1204085012.db2.gz BBXIJNJVBWIZTO-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1c(C)noc1CC ZINC001725094894 1204093366 /nfs/dbraw/zinc/09/33/66/1204093366.db2.gz QPDDFQUQTQLYKH-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1ccns1 ZINC001725241633 1204125953 /nfs/dbraw/zinc/12/59/53/1204125953.db2.gz MIKISJNSIIFUCX-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725256915 1204128989 /nfs/dbraw/zinc/12/89/89/1204128989.db2.gz DYNLWIHGSODIQT-CQSZACIVSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001725262689 1204129904 /nfs/dbraw/zinc/12/99/04/1204129904.db2.gz GNDWFMDQFNRPKN-OAHLLOKOSA-N 0 1 314.473 3.149 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001725288061 1204133584 /nfs/dbraw/zinc/13/35/84/1204133584.db2.gz XAYXQLCBDXISKV-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001725364404 1204141196 /nfs/dbraw/zinc/14/11/96/1204141196.db2.gz NSQLOTNBIQFVMI-CXFBKOSJSA-N 0 1 319.276 3.392 20 30 DGEDMN C[C@H](CCCNC/C(Cl)=C\Cl)NC(=O)C#CC(C)(C)C ZINC001725383506 1204144000 /nfs/dbraw/zinc/14/40/00/1204144000.db2.gz AYXNUCHDTXSYAX-GMCKNXKJSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CCC(C)(C)C1 ZINC001725465416 1204153261 /nfs/dbraw/zinc/15/32/61/1204153261.db2.gz NVQHZYUUSBOQAI-CABCVRRESA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccccc1CCC ZINC001725502102 1204160012 /nfs/dbraw/zinc/16/00/12/1204160012.db2.gz OKXIUDUPIIQBHQ-AWEZNQCLSA-N 0 1 308.853 3.442 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2cccnc2c1 ZINC001725517122 1204164423 /nfs/dbraw/zinc/16/44/23/1204164423.db2.gz LZWQXUVKOHMNKX-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@H]1C ZINC001725556641 1204167629 /nfs/dbraw/zinc/16/76/29/1204167629.db2.gz HNUNPHSLNGPHDK-BQFYNABESA-N 0 1 305.249 3.241 20 30 DGEDMN C#CCCCCC(=O)N[C@]12CCC[C@H]1N(C/C=C/Cl)CC2 ZINC001725598754 1204175434 /nfs/dbraw/zinc/17/54/34/1204175434.db2.gz OBSGZBHMTZESET-MKPCRDANSA-N 0 1 308.853 3.046 20 30 DGEDMN Cc1cc(CN2CCC[C@H](NC(=O)C#CC(C)C)[C@H]2C)cs1 ZINC001725614420 1204180263 /nfs/dbraw/zinc/18/02/63/1204180263.db2.gz AZLUBQMMNVMENK-WBVHZDCISA-N 0 1 318.486 3.185 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ncc(C)s2)C(C)(C)C1 ZINC001725660134 1204193638 /nfs/dbraw/zinc/19/36/38/1204193638.db2.gz DRDXHTWYSUETDE-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC(C)(F)F)C(C)(C)C ZINC001725747241 1204210539 /nfs/dbraw/zinc/21/05/39/1204210539.db2.gz QJXGSSGDJOCXCV-LLVKDONJSA-N 0 1 310.816 3.295 20 30 DGEDMN C[C@H](NC(=O)C1(C)CC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001725766110 1204216730 /nfs/dbraw/zinc/21/67/30/1204216730.db2.gz HCYKIIDUJXNJCB-KRWDZBQOSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1[C@H](C=C(C)C)C1(C)C)C(C)C ZINC001725769400 1204217428 /nfs/dbraw/zinc/21/74/28/1204217428.db2.gz UYNTYJRHCLBKHU-ZWKOTPCHSA-N 0 1 318.505 3.417 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1=CCN(Cc2ccsc2)CC1 ZINC001725819444 1204227258 /nfs/dbraw/zinc/22/72/58/1204227258.db2.gz PKIRJSLORAIJDR-UHFFFAOYSA-N 0 1 316.470 3.046 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1oc(CC)nc1C ZINC001731258538 1204355537 /nfs/dbraw/zinc/35/55/37/1204355537.db2.gz AUWLAQNMNVPQGL-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN CN(CC#Cc1ccc(Cl)cc1)CCCNC(=O)C(C)(C)C ZINC001731279199 1204359955 /nfs/dbraw/zinc/35/99/55/1204359955.db2.gz MAGZZDGNBJPPKL-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCCC[C@@H]1C ZINC001731314658 1204370218 /nfs/dbraw/zinc/37/02/18/1204370218.db2.gz QLHFDHPVJQJOIQ-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN CCCCC(=O)N[C@@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001731380896 1204389509 /nfs/dbraw/zinc/38/95/09/1204389509.db2.gz VBQMNEKLENBPFM-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2scnc2CC)CC1 ZINC001731386311 1204391518 /nfs/dbraw/zinc/39/15/18/1204391518.db2.gz SEPDDIZBNNLMSE-UHFFFAOYSA-N 0 1 321.490 3.208 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)Cc1cc(C)cc(C)c1)C1CC1 ZINC001731404309 1204399867 /nfs/dbraw/zinc/39/98/67/1204399867.db2.gz FTYRLBVEFWMEDU-KRWDZBQOSA-N 0 1 320.864 3.083 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001731487110 1204428762 /nfs/dbraw/zinc/42/87/62/1204428762.db2.gz MJALALGDPOTMCU-OLMNPRSZSA-N 0 1 313.445 3.121 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001731487109 1204428785 /nfs/dbraw/zinc/42/87/85/1204428785.db2.gz MJALALGDPOTMCU-JCGIZDLHSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@]1(C)CCc2ccccc21 ZINC001731541582 1204452053 /nfs/dbraw/zinc/45/20/53/1204452053.db2.gz GESJMTWICIEXSI-PXNSSMCTSA-N 0 1 312.457 3.047 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@]1(C)CCc2ccccc21 ZINC001731541584 1204452594 /nfs/dbraw/zinc/45/25/94/1204452594.db2.gz GESJMTWICIEXSI-YLJYHZDGSA-N 0 1 312.457 3.047 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731589499 1204474406 /nfs/dbraw/zinc/47/44/06/1204474406.db2.gz QMSJNFGNCJQAMO-GOFCXVBSSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001731601350 1204479024 /nfs/dbraw/zinc/47/90/24/1204479024.db2.gz MKIOFGUFPZEOGA-USXIJHARSA-N 0 1 320.864 3.417 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1ccc(C)cc1Cl ZINC001731609543 1204481349 /nfs/dbraw/zinc/48/13/49/1204481349.db2.gz BARFRZWDSSLCBE-CYBMUJFWSA-N 0 1 308.853 3.455 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001731612814 1204482263 /nfs/dbraw/zinc/48/22/63/1204482263.db2.gz XSTQTAOSJKWBSI-NWDGAFQWSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001731638429 1204492694 /nfs/dbraw/zinc/49/26/94/1204492694.db2.gz IVJJETYULJSVFC-CYBMUJFWSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)CCc1c(F)cccc1F ZINC001731782112 1204554365 /nfs/dbraw/zinc/55/43/65/1204554365.db2.gz MWWONJJVVIAODU-UHFFFAOYSA-N 0 1 324.415 3.158 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2ccc(F)c(F)c2)CC1 ZINC001731783800 1204555655 /nfs/dbraw/zinc/55/56/55/1204555655.db2.gz QANRSYFIRBIPGE-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001731833209 1204591281 /nfs/dbraw/zinc/59/12/81/1204591281.db2.gz GJUSAIQPOUBJNZ-DOTOQJQBSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001731833115 1204591816 /nfs/dbraw/zinc/59/18/16/1204591816.db2.gz FVRDEISHCPAOPP-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN CCCN(CC#Cc1ccccc1Cl)CCNC(=O)C(C)C ZINC001731863642 1204607831 /nfs/dbraw/zinc/60/78/31/1204607831.db2.gz BCFMTICRMROAAW-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN[C@@H](C)c1ccc(F)cc1F ZINC001731904198 1204628738 /nfs/dbraw/zinc/62/87/38/1204628738.db2.gz CVTVIZWESWKMSO-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1cccc(Cl)c1F ZINC001731944250 1204633426 /nfs/dbraw/zinc/63/34/26/1204633426.db2.gz QRDCVUOBKAHHQW-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN CCCCC1(C(=O)N(C)CCNCc2ccccc2C#N)CC1 ZINC001731951139 1204635381 /nfs/dbraw/zinc/63/53/81/1204635381.db2.gz WRMZQZCWMSUHFC-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC(C)C)CCC1)c1ccccc1 ZINC001732023695 1204653419 /nfs/dbraw/zinc/65/34/19/1204653419.db2.gz SFUPWLCUYOFRCA-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)CC(C)C)c1ccccc1 ZINC001732025780 1204653967 /nfs/dbraw/zinc/65/39/67/1204653967.db2.gz RCUQYRXUAXFBLI-KRWDZBQOSA-N 0 1 300.446 3.139 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)c1ccc(C)o1)c1ccccc1 ZINC001732026212 1204654110 /nfs/dbraw/zinc/65/41/10/1204654110.db2.gz UGYDOZLIPCHIKP-WMZOPIPTSA-N 0 1 324.424 3.162 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C=C)c1ccccc1)c1ccccc1 ZINC001732027838 1204654835 /nfs/dbraw/zinc/65/48/35/1204654835.db2.gz ZMHPDIUZUKCVDQ-WOJBJXKFSA-N 0 1 318.420 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2sccc2OC)C1 ZINC001732041810 1204662415 /nfs/dbraw/zinc/66/24/15/1204662415.db2.gz VPHPFNCFDNCQDX-AWEZNQCLSA-N 0 1 322.474 3.259 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(C)c2)C1 ZINC001732041854 1204663024 /nfs/dbraw/zinc/66/30/24/1204663024.db2.gz WGUQEVHKRWKGNR-IBGZPJMESA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cccc(C)c2F)C1 ZINC001732050829 1204668631 /nfs/dbraw/zinc/66/86/31/1204668631.db2.gz YXYYBEVOLUNLHS-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccccc2F)CC1 ZINC001732084134 1204686553 /nfs/dbraw/zinc/68/65/53/1204686553.db2.gz ZOLUGYQBQLYGOT-UHFFFAOYSA-N 0 1 324.827 3.362 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001732103940 1204694374 /nfs/dbraw/zinc/69/43/74/1204694374.db2.gz LORPDJDVWLFNHR-CYBMUJFWSA-N 0 1 314.420 3.357 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCCc2ccccc21 ZINC001732142941 1204711134 /nfs/dbraw/zinc/71/11/34/1204711134.db2.gz LQOXPPDFYGDPPN-BJLQDIEVSA-N 0 1 322.452 3.009 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(Cl)c1F ZINC001732147040 1204712825 /nfs/dbraw/zinc/71/28/25/1204712825.db2.gz HRYIHELUAXSZJK-STQMWFEESA-N 0 1 322.811 3.344 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)CCCCCC ZINC001732149607 1204714836 /nfs/dbraw/zinc/71/48/36/1204714836.db2.gz UNPDGOHBJIRFAQ-BZSNNMDCSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NCc1ccncc1Cl ZINC001732221231 1204757365 /nfs/dbraw/zinc/75/73/65/1204757365.db2.gz FVLGJBKNNQRFKM-CQSZACIVSA-N 0 1 323.868 3.322 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c[nH]c2ccc(C)cc21 ZINC001732222460 1204758130 /nfs/dbraw/zinc/75/81/30/1204758130.db2.gz MIBUQMBOYMCYPU-CYBMUJFWSA-N 0 1 319.836 3.327 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC001732377653 1204811235 /nfs/dbraw/zinc/81/12/35/1204811235.db2.gz FXZCXAJADSJNIT-DLBZAZTESA-N 0 1 324.509 3.088 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001732383351 1204812023 /nfs/dbraw/zinc/81/20/23/1204812023.db2.gz DYDSVBFPYNUPNO-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001732395745 1204817889 /nfs/dbraw/zinc/81/78/89/1204817889.db2.gz BUQDOXJVAHCWQG-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)CCCCC)CC2 ZINC001732403969 1204822935 /nfs/dbraw/zinc/82/29/35/1204822935.db2.gz NUSDSGCGUDPUDG-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1csc(Cl)c1 ZINC001732443299 1204843868 /nfs/dbraw/zinc/84/38/68/1204843868.db2.gz RDRGDULCBKELDI-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1ccc(C)s1 ZINC001732460795 1204854619 /nfs/dbraw/zinc/85/46/19/1204854619.db2.gz SOYUUKXBUIBYHD-GFCCVEGCSA-N 0 1 314.882 3.178 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccnc1)C1CCCCC1 ZINC001732473674 1204862304 /nfs/dbraw/zinc/86/23/04/1204862304.db2.gz VJJKOMPAHIAMAN-MRXNPFEDSA-N 0 1 321.852 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CC(C)(F)F ZINC001732542455 1204886820 /nfs/dbraw/zinc/88/68/20/1204886820.db2.gz GAUNYLZJPYYRGQ-CMPLNLGQSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NCc2csc(C)n2)C(C)C)C1 ZINC001732568623 1204900631 /nfs/dbraw/zinc/90/06/31/1204900631.db2.gz ZBYVDURXAAZQNV-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc2ncccc21 ZINC001732788097 1204943967 /nfs/dbraw/zinc/94/39/67/1204943967.db2.gz NOPOAXSQBLMLEJ-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1cc(Cl)ccc1F ZINC001732832529 1204949798 /nfs/dbraw/zinc/94/97/98/1204949798.db2.gz ZVVNPAPYYYBVOQ-SNAWJCMRSA-N 0 1 310.800 3.207 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001732851332 1204951877 /nfs/dbraw/zinc/95/18/77/1204951877.db2.gz LIZLWCUZDNCFMD-LSMGEYOZSA-N 0 1 324.877 3.175 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(Cl)c(C)c1 ZINC001732893058 1204955738 /nfs/dbraw/zinc/95/57/38/1204955738.db2.gz BRZXKKZYIOAWSJ-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2c(cccc2C)o1 ZINC001732941738 1204961315 /nfs/dbraw/zinc/96/13/15/1204961315.db2.gz ZLCZFDRJMRWQJG-ARJAWSKDSA-N 0 1 318.804 3.369 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001733038187 1204994271 /nfs/dbraw/zinc/99/42/71/1204994271.db2.gz MLJYNPPYQLFSMD-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccccc1CC(C)(C)C ZINC001733042064 1204996389 /nfs/dbraw/zinc/99/63/89/1204996389.db2.gz SSXUHYBOHSWCRS-INIZCTEOSA-N 0 1 314.473 3.349 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001733050706 1205001663 /nfs/dbraw/zinc/00/16/63/1205001663.db2.gz SZWSRVIHTDRZPC-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN(C)Cc2cc(F)cc(F)c2)C1 ZINC001733054791 1205005904 /nfs/dbraw/zinc/00/59/04/1205005904.db2.gz ZTMRDYUTZBMONU-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC(C)C)CCC1 ZINC001733273801 1205077372 /nfs/dbraw/zinc/07/73/72/1205077372.db2.gz ZHFYQPLREWHJBW-SJORKVTESA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(CC(C)C)CC(C)C ZINC001733275874 1205078507 /nfs/dbraw/zinc/07/85/07/1205078507.db2.gz RXUFDJNBHZYLJC-MOPGFXCFSA-N 0 1 318.505 3.393 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001733311946 1205091811 /nfs/dbraw/zinc/09/18/11/1205091811.db2.gz PYSRCUBWSDEPKK-RBUKOAKNSA-N 0 1 312.457 3.207 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001733313511 1205092187 /nfs/dbraw/zinc/09/21/87/1205092187.db2.gz OHGAQECEGGMNIS-SFHVURJKSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(CCOC)CCCC2)C1 ZINC001733317294 1205094267 /nfs/dbraw/zinc/09/42/67/1205094267.db2.gz NODXXUYJNIYICG-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](OC)C2CCCCC2)C1 ZINC001733319850 1205094777 /nfs/dbraw/zinc/09/47/77/1205094777.db2.gz ZHQQCKAAAHJQPC-MAUKXSAKSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1(C)CCN(C/C=C/Cl)CC1 ZINC001733358362 1205105181 /nfs/dbraw/zinc/10/51/81/1205105181.db2.gz IUQSYZJOJRRZMY-IZZDOVSWSA-N 0 1 310.869 3.478 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2cccnc2)CC1 ZINC001733360368 1205105664 /nfs/dbraw/zinc/10/56/64/1205105664.db2.gz SEGLBIXBBAZHAE-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C)CC(=C)C1)C1CCCC1 ZINC001733382615 1205111438 /nfs/dbraw/zinc/11/14/38/1205111438.db2.gz JXAJHPISRAKPMN-HNNXBMFYSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCC1(C(=O)NCC2(C)CCN(CCF)CC2)CCCC1 ZINC001733454183 1205138851 /nfs/dbraw/zinc/13/88/51/1205138851.db2.gz HBOKRNUXXUUHDZ-UHFFFAOYSA-N 0 1 310.457 3.311 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC1CCC1)c1ccccc1CC ZINC001733518482 1205152192 /nfs/dbraw/zinc/15/21/92/1205152192.db2.gz OPCDNVKERNVPMX-LJQANCHMSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CCC)CC(C)C ZINC001733604657 1205172639 /nfs/dbraw/zinc/17/26/39/1205172639.db2.gz WBYKOUUDGAOJAP-RCCFBDPRSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001733761827 1205201938 /nfs/dbraw/zinc/20/19/38/1205201938.db2.gz YZOCDMOFOIBLLJ-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)[C@H](CC)CCC)O2 ZINC001733789680 1205219623 /nfs/dbraw/zinc/21/96/23/1205219623.db2.gz GZIZYUGZCPMPJX-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN CC(C)=CC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001733893917 1205243798 /nfs/dbraw/zinc/24/37/98/1205243798.db2.gz JWEWXWMPTRNVTH-KGLIPLIRSA-N 0 1 317.458 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC001733899761 1205245429 /nfs/dbraw/zinc/24/54/29/1205245429.db2.gz MMRRIZYLKDDCMA-CVEARBPZSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)CC ZINC001733906724 1205250127 /nfs/dbraw/zinc/25/01/27/1205250127.db2.gz GGWGHFAVGHVXPA-GOSISDBHSA-N 0 1 312.457 3.162 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C/C=C\c1ccc(F)cc1 ZINC001733932363 1205261350 /nfs/dbraw/zinc/26/13/50/1205261350.db2.gz NWBXUFNEZHCILX-SUZKBHRPSA-N 0 1 316.420 3.338 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC/C=C/c1ccccc1 ZINC001733935727 1205264243 /nfs/dbraw/zinc/26/42/43/1205264243.db2.gz MTYDICOMKLTMJB-UBIUBJKMSA-N 0 1 310.441 3.036 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CC/C=C/c1ccccc1 ZINC001733935373 1205264290 /nfs/dbraw/zinc/26/42/90/1205264290.db2.gz GLXGMNWBMLAZOG-BNCSFYMNSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc2ccccc21 ZINC001733939639 1205265939 /nfs/dbraw/zinc/26/59/39/1205265939.db2.gz OBTWDEXHVBTEAO-KRWDZBQOSA-N 0 1 306.409 3.009 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001733943142 1205267872 /nfs/dbraw/zinc/26/78/72/1205267872.db2.gz GMDCHUVPLNRHKV-PELRDEGISA-N 0 1 324.468 3.217 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)/C=C/C(C)(C)C ZINC001733964214 1205273167 /nfs/dbraw/zinc/27/31/67/1205273167.db2.gz MFTDWUBWTRRDKW-BWLFODOESA-N 0 1 313.445 3.143 20 30 DGEDMN CC(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001733970877 1205275576 /nfs/dbraw/zinc/27/55/76/1205275576.db2.gz IQBJKZXIHGQRPJ-HUUCEWRRSA-N 0 1 315.461 3.223 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001733976195 1205277572 /nfs/dbraw/zinc/27/75/72/1205277572.db2.gz QGEBRBAUXAKRCZ-ZIAGYGMSSA-N 0 1 321.465 3.446 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1cc(C)ccc1C ZINC001733992026 1205281125 /nfs/dbraw/zinc/28/11/25/1205281125.db2.gz VFHPTWBWHGAVJJ-CABCVRRESA-N 0 1 308.853 3.081 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001733998563 1205284093 /nfs/dbraw/zinc/28/40/93/1205284093.db2.gz YTQYYCYMAWCWNR-HUUCEWRRSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c[nH]c2ccc(F)cc12 ZINC001734001847 1205284498 /nfs/dbraw/zinc/28/44/98/1205284498.db2.gz AWDXLIMBOPHVIS-MNOVXSKESA-N 0 1 323.799 3.156 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2cnccc21 ZINC001734001209 1205284844 /nfs/dbraw/zinc/28/48/44/1205284844.db2.gz HUJFVJZHMRZYIT-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(F)c2occc21 ZINC001734004817 1205285644 /nfs/dbraw/zinc/28/56/44/1205285644.db2.gz RQRPOXFIYFAPBG-GHMZBOCLSA-N 0 1 324.783 3.421 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCc2ccccc2Cl)C1 ZINC001734116907 1205328560 /nfs/dbraw/zinc/32/85/60/1205328560.db2.gz KLUSSTKCMUOVSS-CQSZACIVSA-N 0 1 320.864 3.285 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001734123541 1205330281 /nfs/dbraw/zinc/33/02/81/1205330281.db2.gz WPSJQYWBMYXMFV-OAHLLOKOSA-N 0 1 318.486 3.187 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001734129594 1205331565 /nfs/dbraw/zinc/33/15/65/1205331565.db2.gz RCZKZJWVXSIDAP-SJORKVTESA-N 0 1 314.473 3.186 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734152156 1205337199 /nfs/dbraw/zinc/33/71/99/1205337199.db2.gz JTESVHCUTFLPGL-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](CCC)CC(C)C)C2)C1 ZINC001734198055 1205341988 /nfs/dbraw/zinc/34/19/88/1205341988.db2.gz DETYDCDPSUZSDX-GOSISDBHSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNCc1nccs1 ZINC001734248148 1205349105 /nfs/dbraw/zinc/34/91/05/1205349105.db2.gz PZKQWZDLBWZVQV-ZDUSSCGKSA-N 0 1 309.479 3.120 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC001734271915 1205354062 /nfs/dbraw/zinc/35/40/62/1205354062.db2.gz JREBQDSOJYKHHV-CQSZACIVSA-N 0 1 321.490 3.168 20 30 DGEDMN CCCCCC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001734311636 1205361906 /nfs/dbraw/zinc/36/19/06/1205361906.db2.gz DDDDUFFUZSZQRK-OAHLLOKOSA-N 0 1 301.434 3.075 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001734320537 1205364007 /nfs/dbraw/zinc/36/40/07/1205364007.db2.gz LOAUVBRMCRSCQQ-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001734324471 1205364668 /nfs/dbraw/zinc/36/46/68/1205364668.db2.gz LUWMUDFMKGMIDM-KBPBESRZSA-N 0 1 322.399 3.122 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1cnc(Cl)s1 ZINC001734339738 1205367183 /nfs/dbraw/zinc/36/71/83/1205367183.db2.gz BMTUDQHRHYFMMR-NSHDSACASA-N 0 1 315.870 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(C)cccc1Cl ZINC001734342340 1205367778 /nfs/dbraw/zinc/36/77/78/1205367778.db2.gz WQXPCTCWBWPUFN-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(C)cccc1Cl ZINC001734342338 1205367999 /nfs/dbraw/zinc/36/79/99/1205367999.db2.gz WQXPCTCWBWPUFN-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CC(C)(C)C(F)(F)F ZINC001734354224 1205370490 /nfs/dbraw/zinc/37/04/90/1205370490.db2.gz SZDCQCHLMVTJLI-JTQLQIEISA-N 0 1 314.779 3.154 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2)[C@H]1C ZINC001734449460 1205379185 /nfs/dbraw/zinc/37/91/85/1205379185.db2.gz FUYPQYIDUBJPGL-YOEHRIQHSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001734462175 1205382227 /nfs/dbraw/zinc/38/22/27/1205382227.db2.gz RVZISWLYACNRCT-RYUDHWBXSA-N 0 1 306.372 3.120 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)[C@@H]1C ZINC001734463203 1205382430 /nfs/dbraw/zinc/38/24/30/1205382430.db2.gz WRNDMUCTAVMAMU-GCNHAWSASA-N 0 1 310.441 3.001 20 30 DGEDMN O=C([O-])CC[C@@H]1CCCC[N@H+]1CC#Cc1ccccc1Cl ZINC001595012262 951256150 /nfs/dbraw/zinc/25/61/50/951256150.db2.gz XARXDDBLTNDPAV-HNNXBMFYSA-N 0 1 305.805 3.411 20 30 DGEDMN C[C@@H]1CCC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@H]1C(=O)[O-] ZINC001589334978 953985882 /nfs/dbraw/zinc/98/58/82/953985882.db2.gz WFQHVHMCUGRSBE-CZUORRHYSA-N 0 1 300.402 3.173 20 30 DGEDMN C=C[C@H]1CCCC[N@@H+]1Cc1ccc(N2CCC[C@H](C(=O)[O-])C2)o1 ZINC001588453191 958433201 /nfs/dbraw/zinc/43/32/01/958433201.db2.gz VHOGAYUWTKPOIN-GJZGRUSLSA-N 0 1 318.417 3.121 20 30 DGEDMN C=CCCC[N@H+](CC)Cc1ccc(N2CCC[C@H](C(=O)[O-])C2)o1 ZINC001588459957 958471512 /nfs/dbraw/zinc/47/15/12/958471512.db2.gz NDLUZODPDPRMIC-HNNXBMFYSA-N 0 1 320.433 3.369 20 30 DGEDMN C#CC[N@@H+](Cc1occc1C(=O)[O-])[C@H]1CCCc2ccccc21 ZINC001573311766 962711517 /nfs/dbraw/zinc/71/15/17/962711517.db2.gz XWCYHEZOWIKQGB-KRWDZBQOSA-N 0 1 309.365 3.491 20 30 DGEDMN C#CC[N@H+](Cc1occc1C(=O)[O-])[C@H]1CCCc2ccccc21 ZINC001573311766 962711527 /nfs/dbraw/zinc/71/15/27/962711527.db2.gz XWCYHEZOWIKQGB-KRWDZBQOSA-N 0 1 309.365 3.491 20 30 DGEDMN C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@H](CCCC)C(=O)[O-] ZINC001573323931 962778181 /nfs/dbraw/zinc/77/81/81/962778181.db2.gz JHJCLZATWIXZHR-MRXNPFEDSA-N 0 1 307.438 3.486 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1cc(C(=O)[O-])cc(C(=O)OC)c1 ZINC001573366728 963061633 /nfs/dbraw/zinc/06/16/33/963061633.db2.gz PKRCMYXTTLOOBI-UHFFFAOYSA-N 0 1 319.401 3.350 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000318277950 970422418 /nfs/dbraw/zinc/42/24/18/970422418.db2.gz KWUWHXDEROUNFM-MRXNPFEDSA-N 0 1 300.402 3.218 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000319830931 970577064 /nfs/dbraw/zinc/57/70/64/970577064.db2.gz FMYKSRKTRWBHRK-UHFFFAOYSA-N 0 1 300.402 3.463 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001595705416 982285407 /nfs/dbraw/zinc/28/54/07/982285407.db2.gz ZGBQUCOCINURDN-HUUCEWRRSA-N 0 1 313.438 3.075 20 30 DGEDMN C#C[C@@H]([NH2+]Cc1cc(C(=O)[O-])c(C(C)C)[nH]1)c1ccc(F)cc1 ZINC001588377745 983359658 /nfs/dbraw/zinc/35/96/58/983359658.db2.gz QJHAQUFALZTLIJ-MRXNPFEDSA-N 0 1 314.360 3.440 20 30 DGEDMN C#CC[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C(F)(F)F)c2)C1 ZINC001588434084 983469085 /nfs/dbraw/zinc/46/90/85/983469085.db2.gz GIGIFOUNUAWQDM-RISCZKNCSA-N 0 1 311.303 3.176 20 30 DGEDMN C#CC[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001588434288 983469517 /nfs/dbraw/zinc/46/95/17/983469517.db2.gz IUZXNKPHKXOIQR-IINYFYTJSA-N 0 1 312.196 3.464 20 30 DGEDMN C#CC[N@H+](Cc1ccccc1O[C@H](C)C(=O)[O-])C1CCCCC1 ZINC001588471186 983504955 /nfs/dbraw/zinc/50/49/55/983504955.db2.gz DCOKTOGVNWVAQK-OAHLLOKOSA-N 0 1 315.413 3.306 20 30 DGEDMN C=C(C)C[C@@H]([NH2+]Cc1nc(-c2ccccc2)cs1)C(=O)[O-] ZINC001588553217 983627762 /nfs/dbraw/zinc/62/77/62/983627762.db2.gz JKDSNXHSLSEIIT-CYBMUJFWSA-N 0 1 302.399 3.319 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccccc1)C[C@@H]1CC(C(=O)[O-])=C(C)O1 ZINC001588567545 983647013 /nfs/dbraw/zinc/64/70/13/983647013.db2.gz MKVSISMITWTWCN-INIZCTEOSA-N 0 1 301.386 3.212 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@H]1CCCCN1Cc1ccccc1 ZINC001588729123 983986718 /nfs/dbraw/zinc/98/67/18/983986718.db2.gz NWRNFDRSBLYSQR-QZTJIDSGSA-N 0 1 316.445 3.002 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(F)cc1Br ZINC001588729560 983991318 /nfs/dbraw/zinc/99/13/18/983991318.db2.gz SGCURQONSJNVTL-LBPRGKRZSA-N 0 1 316.170 3.049 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCc2ccc(Cl)c(Cl)c2C1 ZINC001588731684 984011204 /nfs/dbraw/zinc/01/12/04/984011204.db2.gz MUOHGCMZIWOVGF-LBPRGKRZSA-N 0 1 300.185 3.381 20 30 DGEDMN C[C@]12C[N@H+]([C@H](C(=O)[O-])c3ccc(C#N)cc3)C[C@H]1[C@H]1CC[C@@H]2C1 ZINC001599938476 985425280 /nfs/dbraw/zinc/42/52/80/985425280.db2.gz USDIQGOVLKHMCJ-CNMWIUBYSA-N 0 1 310.397 3.052 20 30 DGEDMN CC(C)(C)C[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001589764850 988108805 /nfs/dbraw/zinc/10/88/05/988108805.db2.gz ZPRVOCNMEIMWJQ-HOCLYGCPSA-N 0 1 300.402 3.442 20 30 DGEDMN C[N@@H+](C[C@@H]1CCc2ccccc21)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001598468223 991983012 /nfs/dbraw/zinc/98/30/12/991983012.db2.gz SVWOEMWSDHVVFE-PKOBYXMFSA-N 0 1 320.392 3.346 20 30 DGEDMN C[N@@H+](Cc1c(O)c(C(=O)[O-])cc2ccccc21)CC(C)(C)C#N ZINC001598505274 992495436 /nfs/dbraw/zinc/49/54/36/992495436.db2.gz JSYKUORKCQSGFX-UHFFFAOYSA-N 0 1 312.369 3.225 20 30 DGEDMN C[N@@H+](Cc1cccc(OCCCC#N)c1)C(C)(C)CC(=O)[O-] ZINC001598526282 992723946 /nfs/dbraw/zinc/72/39/46/992723946.db2.gz BRDCDRGEUFNGNZ-UHFFFAOYSA-N 0 1 304.390 3.054 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@@H](C(=O)[O-])C1 ZINC001594426443 999594051 /nfs/dbraw/zinc/59/40/51/999594051.db2.gz LQFMOFYGAFYUPH-XJKSGUPXSA-N 0 1 300.402 3.173 20 30 DGEDMN C[Si](C)(C)C#CN[C@H](CO)c1ccc(C(F)(F)F)cc1 ZINC001171145607 1095540398 /nfs/dbraw/zinc/54/03/98/1095540398.db2.gz GJAZJDOBVLEOJX-CYBMUJFWSA-N 0 1 301.384 3.167 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCc2cc(C)sc2C)C1 ZINC001266251639 1081578869 /nfs/dbraw/zinc/57/88/69/1081578869.db2.gz DWZPJMXEGYZSAA-KRWDZBQOSA-N 0 1 320.502 3.454 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(O)cc(F)c3)sc2C1 ZINC001206760573 1081597134 /nfs/dbraw/zinc/59/71/34/1081597134.db2.gz UOPNMQRHNFSKEY-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)CCc1c(F)cccc1F ZINC001266278612 1081611698 /nfs/dbraw/zinc/61/16/98/1081611698.db2.gz LPFWHNVGPLNLCB-UHFFFAOYSA-N 0 1 324.415 3.158 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001266336322 1081677260 /nfs/dbraw/zinc/67/72/60/1081677260.db2.gz NFWMELIUHAFUMD-RDJZCZTQSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC[N@H+](C[C@H](CC)OC)C2)CCCCC1 ZINC001266361431 1081701685 /nfs/dbraw/zinc/70/16/85/1081701685.db2.gz MVTBHWJENRXKCY-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN Cn1c(Cl)cnc1[C@H](C#N)C(=O)c1cnc(C(C)(C)C)s1 ZINC001346096125 1081717020 /nfs/dbraw/zinc/71/70/20/1081717020.db2.gz MNWVCDFAJFNAPL-MRVPVSSYSA-N 0 1 322.821 3.318 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1cnc(C(C)(C)C)s1 ZINC001346096125 1081717025 /nfs/dbraw/zinc/71/70/25/1081717025.db2.gz MNWVCDFAJFNAPL-MRVPVSSYSA-N 0 1 322.821 3.318 20 30 DGEDMN C=CCCCCCCCN1CCN(C(=O)c2cccnc2)CC1 ZINC001209441845 1081755497 /nfs/dbraw/zinc/75/54/97/1081755497.db2.gz KYWKIOKBFJCRDU-UHFFFAOYSA-N 0 1 315.461 3.366 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1sccc1Cl)C1CC1 ZINC001266409062 1081763992 /nfs/dbraw/zinc/76/39/92/1081763992.db2.gz BWLBDMFBDAERJS-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001109245132 1081791008 /nfs/dbraw/zinc/79/10/08/1081791008.db2.gz JHFPHPODJDISMD-JVMYSYBCSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1sccc1CC)C1CC1 ZINC001266444860 1081828121 /nfs/dbraw/zinc/82/81/21/1081828121.db2.gz GLKUHLQECOPCAM-CYBMUJFWSA-N 0 1 312.866 3.161 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cccs2)C1 ZINC001266448296 1081835119 /nfs/dbraw/zinc/83/51/19/1081835119.db2.gz KETVQXTWAOMJHR-ZDUSSCGKSA-N 0 1 312.866 3.285 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(c2cccc(Cl)c2)CC1 ZINC001266465558 1081863042 /nfs/dbraw/zinc/86/30/42/1081863042.db2.gz XQCQHLRFWQSTKA-MRXNPFEDSA-N 0 1 318.848 3.138 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2cccc(F)c2Cl)C1 ZINC001266503438 1081911426 /nfs/dbraw/zinc/91/14/26/1081911426.db2.gz VJJZNKSOOPMGPF-CYBMUJFWSA-N 0 1 324.827 3.383 20 30 DGEDMN N#CCc1cc(NC[C@H](O)c2c(Cl)cccc2Cl)ccn1 ZINC001170032730 1081938149 /nfs/dbraw/zinc/93/81/49/1081938149.db2.gz MLHNGTBZTUQAFO-AWEZNQCLSA-N 0 1 322.195 3.022 20 30 DGEDMN C=CCC[C@H](CO)NCc1c(F)cccc1OC(F)(F)F ZINC001651074359 1081940660 /nfs/dbraw/zinc/94/06/60/1081940660.db2.gz YQCOWRCHYUGWFG-SNVBAGLBSA-N 0 1 307.287 3.141 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC1(CNCc2cscn2)CC1 ZINC001167335884 1081981143 /nfs/dbraw/zinc/98/11/43/1081981143.db2.gz RKHHIBAHECIDHG-UHFFFAOYSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCN(CCCCCCC)CC1 ZINC001112671431 1081985077 /nfs/dbraw/zinc/98/50/77/1081985077.db2.gz ISYASHBCBCBXDV-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2c(C)cc(C)cc2C)CC1 ZINC001112687539 1081989091 /nfs/dbraw/zinc/98/90/91/1081989091.db2.gz FLPQMEAUBKVKEB-UHFFFAOYSA-N 0 1 300.446 3.222 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)CCC1CC1 ZINC001266556417 1081994499 /nfs/dbraw/zinc/99/44/99/1081994499.db2.gz MOYBTQVAEGPFGI-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2C[C@@H]2C(F)F)CC1 ZINC001112816366 1082011642 /nfs/dbraw/zinc/01/16/42/1082011642.db2.gz KSAJVROUPXWUSR-KBPBESRZSA-N 0 1 302.409 3.002 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(CC[C@H]2CCC[C@H](C)C2)CC1 ZINC001112834458 1082016506 /nfs/dbraw/zinc/01/65/06/1082016506.db2.gz OTIKLLYZDULJTA-ZWKOTPCHSA-N 0 1 304.478 3.006 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](OCC)C(C)C)CC1 ZINC001112842062 1082021431 /nfs/dbraw/zinc/02/14/31/1082021431.db2.gz WJDVUVBQGHRBJQ-KRWDZBQOSA-N 0 1 312.498 3.162 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(CC)ccn2)CC1 ZINC001112872399 1082032406 /nfs/dbraw/zinc/03/24/06/1082032406.db2.gz OGHJZRYPVDLKKU-UHFFFAOYSA-N 0 1 315.461 3.148 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CC[C@@H](CC)O2)CC1 ZINC001112904354 1082046945 /nfs/dbraw/zinc/04/69/45/1082046945.db2.gz PZRFWQVJJAAJCB-IAGOWNOFSA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc3ccccc3nc2C)CC1 ZINC001112906386 1082047408 /nfs/dbraw/zinc/04/74/08/1082047408.db2.gz BBIUDQLODMVYFA-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN CSc1nn(-c2cc(Cl)c(O)c(Cl)c2)c(N)c1C#N ZINC001210926643 1082047844 /nfs/dbraw/zinc/04/78/44/1082047844.db2.gz MHHIQLUNKYJNDZ-UHFFFAOYSA-N 0 1 315.185 3.060 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2ccccc2Cl)CC1 ZINC001112934710 1082055684 /nfs/dbraw/zinc/05/56/84/1082055684.db2.gz KJOLCULWIMGQLN-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(C[C@H](C)CC(C)C)CC1 ZINC001112967451 1082068888 /nfs/dbraw/zinc/06/88/88/1082068888.db2.gz VDZLTOZCKYFCOT-QGZVFWFLSA-N 0 1 317.477 3.114 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H]1CC[C@H](C(C)C)CC1)C1CC1 ZINC001266615242 1082075253 /nfs/dbraw/zinc/07/52/53/1082075253.db2.gz DTEFFPUGRMKCIK-QAQDUYKDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2ccsc2)CC1 ZINC001112992714 1082077989 /nfs/dbraw/zinc/07/79/89/1082077989.db2.gz PCZJROHBCQUXCU-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccccc1CC(C)C)C1CC1 ZINC001266622116 1082088079 /nfs/dbraw/zinc/08/80/79/1082088079.db2.gz QMZHHCLCSSRHLO-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC001113083604 1082110282 /nfs/dbraw/zinc/11/02/82/1082110282.db2.gz IVPNUWOEQNTRSE-IAGOWNOFSA-N 0 1 304.478 3.335 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3cc(C)ccc3n2)CC1 ZINC001113086035 1082112819 /nfs/dbraw/zinc/11/28/19/1082112819.db2.gz UAFPVTQZWZLJJP-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H]2CC(C)(C)CO2)CC1 ZINC001113094139 1082113385 /nfs/dbraw/zinc/11/33/85/1082113385.db2.gz NFLDZXLCPPEUSL-KRWDZBQOSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2CCC3(CC3)CC2)CC1 ZINC001113113410 1082119320 /nfs/dbraw/zinc/11/93/20/1082119320.db2.gz QDKVLITZUUBWDS-INIZCTEOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001113133868 1082122222 /nfs/dbraw/zinc/12/22/22/1082122222.db2.gz FGDDBTNJXRYYCW-ZJPYXAASSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@](C)(C=C)CCOC)CC1 ZINC001113164371 1082129919 /nfs/dbraw/zinc/12/99/19/1082129919.db2.gz MQSBKKANYARJPH-IBGZPJMESA-N 0 1 322.493 3.106 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(CC/C=C/CCC)CC1 ZINC001113164532 1082129942 /nfs/dbraw/zinc/12/99/42/1082129942.db2.gz QUSUIBFNIIEQHF-SGQUHAKNSA-N 0 1 322.493 3.106 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCCCCCC)CC2)cc1 ZINC001113182137 1082133700 /nfs/dbraw/zinc/13/37/00/1082133700.db2.gz ZYACBFIUWMZIRA-UHFFFAOYSA-N 0 1 312.457 3.396 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCCCCC=C)CC2)cc1 ZINC001113179751 1082135032 /nfs/dbraw/zinc/13/50/32/1082135032.db2.gz LYMVQYJWIAGPLG-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2cccs2)CC1 ZINC001113184781 1082135730 /nfs/dbraw/zinc/13/57/30/1082135730.db2.gz XKYHDPDZGZZUAZ-UHFFFAOYSA-N 0 1 306.475 3.136 20 30 DGEDMN N#Cc1cccc(CN2CC3(CN(Cc4ccccc4)C3)C2)c1F ZINC000706819399 1082140411 /nfs/dbraw/zinc/14/04/11/1082140411.db2.gz BHDCUXCORMDWTF-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN N#Cc1cc(F)cc(CN2CC3(CN(Cc4ccccc4)C3)C2)c1 ZINC000706819342 1082140722 /nfs/dbraw/zinc/14/07/22/1082140722.db2.gz AGJIXWWBMLMHAX-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113246785 1082147563 /nfs/dbraw/zinc/14/75/63/1082147563.db2.gz VDTWYVNHDOLYHT-SFHVURJKSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001113270967 1082157278 /nfs/dbraw/zinc/15/72/78/1082157278.db2.gz AWEYZEKEKWJWKY-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2CCC(OC)CC2)CC1 ZINC001113270207 1082157318 /nfs/dbraw/zinc/15/73/18/1082157318.db2.gz FVCANZYRYQTGEQ-UHFFFAOYSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H]2CC[C@H](C)O2)CC1 ZINC001113295178 1082168505 /nfs/dbraw/zinc/16/85/05/1082168505.db2.gz JLSHNAPNNOGTSZ-DLBZAZTESA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CC3CC(C)(C)C3)CC2)CCC1 ZINC001113314125 1082175791 /nfs/dbraw/zinc/17/57/91/1082175791.db2.gz FVHOWQBTFRCOLR-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)OC2CCCCCC2)CC1 ZINC001113331492 1082179506 /nfs/dbraw/zinc/17/95/06/1082179506.db2.gz ZYIHHYWIKZFBIO-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C)cc2Cl)CC1 ZINC001113367300 1082184423 /nfs/dbraw/zinc/18/44/23/1082184423.db2.gz JIFAWRCBGBPNQV-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C)c(F)c2F)CC1 ZINC001113368074 1082184777 /nfs/dbraw/zinc/18/47/77/1082184777.db2.gz DHFJIEQQSOLKJV-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC001113380883 1082190981 /nfs/dbraw/zinc/19/09/81/1082190981.db2.gz WBHZMHZLUDKWPN-PIIMJCKOSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)ccc2F)CC1 ZINC001113382830 1082192111 /nfs/dbraw/zinc/19/21/11/1082192111.db2.gz WVAOSDNXBJYWEV-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)c2ccc(C)o2)CC1 ZINC001113412202 1082200228 /nfs/dbraw/zinc/20/02/28/1082200228.db2.gz LDDSQGHMODXFQH-ZBFHGGJFSA-N 0 1 304.434 3.190 20 30 DGEDMN C=CC1(CC(=O)N2CCN(CCC3CC3)CC2)CCCCC1 ZINC001113423625 1082210039 /nfs/dbraw/zinc/21/00/39/1082210039.db2.gz HCQAGHKCKQPSSN-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)c2cc(C)oc2C)CC1 ZINC001113432355 1082210764 /nfs/dbraw/zinc/21/07/64/1082210764.db2.gz ZUHNPPXKJNHSOA-ZBFHGGJFSA-N 0 1 318.461 3.499 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC001113439670 1082211695 /nfs/dbraw/zinc/21/16/95/1082211695.db2.gz NRRNIAPIPVWNOB-HOTGVXAUSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc3c([nH]2)CCC3)CC1 ZINC001113525387 1082238812 /nfs/dbraw/zinc/23/88/12/1082238812.db2.gz ONALWVPFUIKJGT-UHFFFAOYSA-N 0 1 315.461 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](CC)CC(F)(F)F)CC1 ZINC001113551038 1082248064 /nfs/dbraw/zinc/24/80/64/1082248064.db2.gz YMRMHGHNOCYFPS-UONOGXRCSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2)CC1 ZINC001113559148 1082249219 /nfs/dbraw/zinc/24/92/19/1082249219.db2.gz GGKJJEYOAPDNMI-YTQUADARSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C)cc2OC)CC1 ZINC001113560398 1082250410 /nfs/dbraw/zinc/25/04/10/1082250410.db2.gz NLFDPINIOXPYMN-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H](C)[C@@H]2CCCO2)CC1 ZINC001113580749 1082253413 /nfs/dbraw/zinc/25/34/13/1082253413.db2.gz ZRGZRLKDAKKFOK-ROUUACIJSA-N 0 1 324.509 3.306 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCCC=C)CC2)CCCCC1 ZINC001113704068 1082284723 /nfs/dbraw/zinc/28/47/23/1082284723.db2.gz YIMRRRACZPZPBR-UHFFFAOYSA-N 0 1 302.462 3.071 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc3c2CCC3)CC1 ZINC001113706559 1082287362 /nfs/dbraw/zinc/28/73/62/1082287362.db2.gz OHVDDEJSCTVQIB-INIZCTEOSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)CCc2ccccc2)CC1 ZINC001113708902 1082287712 /nfs/dbraw/zinc/28/77/12/1082287712.db2.gz BYZMONJQURBMQP-GOSISDBHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001266950611 1082368585 /nfs/dbraw/zinc/36/85/85/1082368585.db2.gz OXCSEOCLJJYGLB-FVQBIDKESA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C)c(Cl)c1F ZINC001266956540 1082373562 /nfs/dbraw/zinc/37/35/62/1082373562.db2.gz QSJPQDVJWRBFBD-JTQLQIEISA-N 0 1 319.207 3.248 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2cccc(Cl)c2F)C1 ZINC001266971025 1082389456 /nfs/dbraw/zinc/38/94/56/1082389456.db2.gz QNUBJHHBWRPZKP-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2oc(C(C)C)nc2C)C1 ZINC001266971587 1082390793 /nfs/dbraw/zinc/39/07/93/1082390793.db2.gz XLIGVQOIVFBCSU-ZDUSSCGKSA-N 0 1 319.449 3.057 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3c(C)cccc3Cl)C[C@H]21 ZINC001114025358 1082399436 /nfs/dbraw/zinc/39/94/36/1082399436.db2.gz KNYGVDFRPCYWMO-HWWDLCQESA-N 0 1 318.848 3.161 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ccns2)CCCCC1 ZINC001266996555 1082417319 /nfs/dbraw/zinc/41/73/19/1082417319.db2.gz HTKLIMSFVNWBIZ-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001267061174 1082464702 /nfs/dbraw/zinc/46/47/02/1082464702.db2.gz JEYGDILYMZJRMT-CABCVRRESA-N 0 1 319.449 3.084 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC001170452005 1082481210 /nfs/dbraw/zinc/48/12/10/1082481210.db2.gz QENKDIOKBKGIGE-NHYWBVRUSA-N 0 1 312.335 3.424 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC001170452003 1082481255 /nfs/dbraw/zinc/48/12/55/1082481255.db2.gz QENKDIOKBKGIGE-ABAIWWIYSA-N 0 1 312.335 3.424 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2ncc(C)o2)C1 ZINC001267085931 1082491062 /nfs/dbraw/zinc/49/10/62/1082491062.db2.gz KUCCADLYXAIOBM-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cncs2)CCCCC1 ZINC001267110029 1082527394 /nfs/dbraw/zinc/52/73/94/1082527394.db2.gz PXNFHCKQZVJMDV-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN CC[C@H](C)CC(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001267125278 1082542536 /nfs/dbraw/zinc/54/25/36/1082542536.db2.gz OURVQMLQUHNPKG-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)C#CC(=O)N1CCCC[C@@H]1CN(C)Cc1ccsc1 ZINC001157939436 1082561846 /nfs/dbraw/zinc/56/18/46/1082561846.db2.gz ALHGXVTYGNIIOT-QGZVFWFLSA-N 0 1 318.486 3.220 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[N@H+](C)Cc2cccc(Cl)c2)C1 ZINC001267215836 1082649202 /nfs/dbraw/zinc/64/92/02/1082649202.db2.gz OAYBCJSRWDKUST-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2cccc(Cl)c2)C1 ZINC001267215836 1082649203 /nfs/dbraw/zinc/64/92/03/1082649203.db2.gz OAYBCJSRWDKUST-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN CCCC[C@@H](CC)CC(=O)NCCNCc1ccccc1C#N ZINC001131484203 1082680157 /nfs/dbraw/zinc/68/01/57/1082680157.db2.gz CYPFFQCVURFKOB-MRXNPFEDSA-N 0 1 315.461 3.371 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(C)cccc1Cl ZINC001496149350 1082682098 /nfs/dbraw/zinc/68/20/98/1082682098.db2.gz CXCOMNXBUXBOQU-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3ccccc3F)[nH]c2c1 ZINC001131674620 1082704470 /nfs/dbraw/zinc/70/44/70/1082704470.db2.gz SILMPYSIEITGCW-SOFGYWHQSA-N 0 1 306.300 3.226 20 30 DGEDMN Cc1ccc(O[C@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001131673875 1082704605 /nfs/dbraw/zinc/70/46/05/1082704605.db2.gz CXPAMDPBAFPDEX-GFCCVEGCSA-N 0 1 320.352 3.149 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CCCc1cc(C)sc1C ZINC001267256636 1082711934 /nfs/dbraw/zinc/71/19/34/1082711934.db2.gz ARZVVWXFYIHCIA-UHFFFAOYSA-N 0 1 320.502 3.149 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC001267294498 1082757351 /nfs/dbraw/zinc/75/73/51/1082757351.db2.gz IXDUOLVCGZYFFU-OAHLLOKOSA-N 0 1 321.852 3.029 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001131973235 1082779993 /nfs/dbraw/zinc/77/99/93/1082779993.db2.gz ZWSSDQJXBROUCH-UONOGXRCSA-N 0 1 318.383 3.102 20 30 DGEDMN CCCN(CCNC(=O)C1(C)CCC1)Cc1ccc(C#N)s1 ZINC001267316304 1082784526 /nfs/dbraw/zinc/78/45/26/1082784526.db2.gz LQVBTXQTKGSZII-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(C/C=C\c2ccccc2)C1 ZINC001132001532 1082790709 /nfs/dbraw/zinc/79/07/09/1082790709.db2.gz ANGUUOVEQYPUJN-JXYKKQOOSA-N 0 1 324.468 3.472 20 30 DGEDMN Cc1cc(CN2C[C@H](NC(=O)C#CC(C)C)CC[C@H]2C)cs1 ZINC001132021962 1082792494 /nfs/dbraw/zinc/79/24/94/1082792494.db2.gz SJBHXDNTRDHCKD-RHSMWYFYSA-N 0 1 318.486 3.185 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1ccc(F)cc1C)C1CC1 ZINC001267330774 1082804270 /nfs/dbraw/zinc/80/42/70/1082804270.db2.gz MWOBGNMLFIMOOU-UHFFFAOYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccnc2C)C1 ZINC001132064466 1082811786 /nfs/dbraw/zinc/81/17/86/1082811786.db2.gz KBSPLGPTHWFQCM-CRAIPNDOSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc[nH]c1C)c1ccc(C(C)C)cc1 ZINC001267345574 1082821638 /nfs/dbraw/zinc/82/16/38/1082821638.db2.gz GTNQMMPDNTYUTI-IBGZPJMESA-N 0 1 323.440 3.140 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C/Cc2ccccc2)CC[C@@H]1C ZINC001132113522 1082822577 /nfs/dbraw/zinc/82/25/77/1082822577.db2.gz CAOLGGRVQLUFDI-LAYSSIRVSA-N 0 1 324.468 3.168 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC(C)C)CCC2)CC[C@@H]1C ZINC001132168439 1082829486 /nfs/dbraw/zinc/82/94/86/1082829486.db2.gz DDEZTHISAJOXEA-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](CC)CC(C)C)c1ccccc1 ZINC001267378113 1082848441 /nfs/dbraw/zinc/84/84/41/1082848441.db2.gz XOBNUOLGEVAVRB-WMZOPIPTSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ncccc2C)C1 ZINC001132256737 1082859636 /nfs/dbraw/zinc/85/96/36/1082859636.db2.gz PRCOZCSBRZDGKM-IAGOWNOFSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(CCc2ccns2)C1 ZINC001132256066 1082859897 /nfs/dbraw/zinc/85/98/97/1082859897.db2.gz IUAUXEJCSGIJFK-HUUCEWRRSA-N 0 1 321.490 3.011 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC/C=C\c2ccccc2)CC[C@H]1C ZINC001132294494 1082875040 /nfs/dbraw/zinc/87/50/40/1082875040.db2.gz DHEABBPJGHYYOA-UXRNRCMTSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132303963 1082876300 /nfs/dbraw/zinc/87/63/00/1082876300.db2.gz CEWSIPMKGKPGAM-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001132308988 1082877655 /nfs/dbraw/zinc/87/76/55/1082877655.db2.gz ZWRIFWAXBRGHDW-GFCCVEGCSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@]1(C)CCCc2ccccc21 ZINC001267440472 1082883668 /nfs/dbraw/zinc/88/36/68/1082883668.db2.gz BGZWEJWTYPIERR-GOSISDBHSA-N 0 1 320.864 3.081 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC2(C=C)CCCCC2)CC[C@@H]1C ZINC001132348142 1082889112 /nfs/dbraw/zinc/88/91/12/1082889112.db2.gz IGYUOKAEZRMJNH-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCCC2CCCCC2)CC[C@@H]1C ZINC001132461533 1082916899 /nfs/dbraw/zinc/91/68/99/1082916899.db2.gz QJOSYFBPHMMXEB-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCC2CCCC2)CC[C@H]1C ZINC001132526070 1082934222 /nfs/dbraw/zinc/93/42/22/1082934222.db2.gz XSZDFTKJWBUYDJ-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CC[C@H](C)N(CC=C)C2)CCCCC1 ZINC001132530579 1082935419 /nfs/dbraw/zinc/93/54/19/1082935419.db2.gz ZJIWHVWPCTVDLJ-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132569860 1082948252 /nfs/dbraw/zinc/94/82/52/1082948252.db2.gz ZTTNJZACSPJCNV-VXGBXAGGSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132572885 1082949642 /nfs/dbraw/zinc/94/96/42/1082949642.db2.gz HKCIOWPJVRSRDO-OLZOCXBDSA-N 0 1 324.852 3.013 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](F)Cc2ccccc2)C1 ZINC001267496219 1082953932 /nfs/dbraw/zinc/95/39/32/1082953932.db2.gz OZGITHGKXJCXQX-ZWKOTPCHSA-N 0 1 318.436 3.066 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001267496218 1082954001 /nfs/dbraw/zinc/95/40/01/1082954001.db2.gz OZGITHGKXJCXQX-ROUUACIJSA-N 0 1 318.436 3.066 20 30 DGEDMN CC(C)[N@H+](CC#Cc1ccccc1)CCCNC(=O)C(C)(C)F ZINC001267495562 1082954180 /nfs/dbraw/zinc/95/41/80/1082954180.db2.gz VAMOKAQJIPGEIH-UHFFFAOYSA-N 0 1 318.436 3.003 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[N@@H+](Cc1c(C)noc1C)C(C)C ZINC001267505982 1082965695 /nfs/dbraw/zinc/96/56/95/1082965695.db2.gz RPIRZMFNVNSQOY-UHFFFAOYSA-N 0 1 321.465 3.220 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1nsc2ccccc21 ZINC001132653554 1082967720 /nfs/dbraw/zinc/96/77/20/1082967720.db2.gz OLORWWXEFWXKAR-CYBMUJFWSA-N 0 1 317.458 3.104 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccc(C(C)C)cc1 ZINC001267512872 1082970884 /nfs/dbraw/zinc/97/08/84/1082970884.db2.gz YBFYUHLJTNPMAS-WCIQWLHISA-N 0 1 324.468 3.236 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1sccc1Cl ZINC001132667053 1082974960 /nfs/dbraw/zinc/97/49/60/1082974960.db2.gz BTKQYQLBLVHXIN-NSHDSACASA-N 0 1 300.855 3.210 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001132667418 1082975930 /nfs/dbraw/zinc/97/59/30/1082975930.db2.gz KYBUBNYUIKIQQO-QWHCGFSZSA-N 0 1 310.388 3.334 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1CC)c1ccc(C(C)C)cc1 ZINC001267518460 1082978510 /nfs/dbraw/zinc/97/85/10/1082978510.db2.gz XSLHDJOCNYHUQQ-ATZDWAIDSA-N 0 1 312.457 3.236 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1=CCCCCC1)c1ccccc1 ZINC001267519620 1082979572 /nfs/dbraw/zinc/97/95/72/1082979572.db2.gz HOCRFAOMYIKXDT-IBGZPJMESA-N 0 1 310.441 3.347 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@@H](NCC#CC)c2ccccc2)cc1 ZINC001267522297 1082982482 /nfs/dbraw/zinc/98/24/82/1082982482.db2.gz CEDBQTRKZQFXLD-HXUWFJFHSA-N 0 1 318.420 3.414 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(C)cc(C)c1)c1ccccc1 ZINC001267525820 1082988281 /nfs/dbraw/zinc/98/82/81/1082988281.db2.gz PCEVUYAWAAQRBJ-HXUWFJFHSA-N 0 1 320.436 3.387 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H]2CC=CCC2)C1 ZINC001267547372 1083018259 /nfs/dbraw/zinc/01/82/59/1083018259.db2.gz WYFXIZBVFIGHAI-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCCCC(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001133143485 1083059576 /nfs/dbraw/zinc/05/95/76/1083059576.db2.gz WOMVOQUEVNFDQQ-OAHLLOKOSA-N 0 1 308.372 3.014 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001267571066 1083062004 /nfs/dbraw/zinc/06/20/04/1083062004.db2.gz VNTCKOUNGQHPFB-LSDHHAIUSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)oc2C)C1 ZINC001267576928 1083080959 /nfs/dbraw/zinc/08/09/59/1083080959.db2.gz BNSHEHWSPQUNBO-AWEZNQCLSA-N 0 1 310.825 3.043 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](CC)CC(F)F)C1 ZINC001267585028 1083103040 /nfs/dbraw/zinc/10/30/40/1083103040.db2.gz NBLPNYJWXABPJY-OLZOCXBDSA-N 0 1 322.827 3.201 20 30 DGEDMN C=CCCC1(C(=O)NCCN[C@@H](C)c2ccccc2F)CC1 ZINC001133378366 1083109184 /nfs/dbraw/zinc/10/91/84/1083109184.db2.gz DNEGWEXIDQYKJV-AWEZNQCLSA-N 0 1 304.409 3.339 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001133381055 1083110667 /nfs/dbraw/zinc/11/06/67/1083110667.db2.gz UUDSXVJYOVFBNS-KRWDZBQOSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C1CCC(C(=O)NCCN[C@@H](C)c2cc(F)ccc2F)CC1 ZINC001133375998 1083113371 /nfs/dbraw/zinc/11/33/71/1083113371.db2.gz RAZLTKJBTZKGPJ-ZDUSSCGKSA-N 0 1 322.399 3.478 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cc(C)ccc2F)CCC1 ZINC001133395123 1083115231 /nfs/dbraw/zinc/11/52/31/1083115231.db2.gz BYZZGPOTUKAHSZ-UHFFFAOYSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(C)(C)C(C)(F)F)C1 ZINC001267593959 1083124214 /nfs/dbraw/zinc/12/42/14/1083124214.db2.gz WCDOQBSJTQYUTB-LBPRGKRZSA-N 0 1 322.827 3.201 20 30 DGEDMN C#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)/C=C(\C)CC)C1 ZINC001267597229 1083129216 /nfs/dbraw/zinc/12/92/16/1083129216.db2.gz ZOEQMSBHQSQMIO-PHKMIBKXSA-N 0 1 324.468 3.469 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001481052394 1083136498 /nfs/dbraw/zinc/13/64/98/1083136498.db2.gz MMMKATHAPNYRCB-QGZVFWFLSA-N 0 1 318.486 3.412 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001481057710 1083140953 /nfs/dbraw/zinc/14/09/53/1083140953.db2.gz BLLHMLDMPBCIOJ-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN COC(=O)c1cc2[nH]cnc2c(N[C@@H](C)c2cccc(C#N)c2)c1 ZINC001167709979 1083151964 /nfs/dbraw/zinc/15/19/64/1083151964.db2.gz DVFHIWYVZDCYPA-NSHDSACASA-N 0 1 320.352 3.394 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+](Cc2sc(C)nc2C)C1 ZINC001481094794 1083165595 /nfs/dbraw/zinc/16/55/95/1083165595.db2.gz BEMLKNQQWBUYPG-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C(C)C)o1 ZINC001133884148 1083205474 /nfs/dbraw/zinc/20/54/74/1083205474.db2.gz LWOFIDOMNGMDBE-KGLIPLIRSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001087380997 1083239291 /nfs/dbraw/zinc/23/92/91/1083239291.db2.gz BQMILOKNVXTPBN-JJXSEGSLSA-N 0 1 322.880 3.120 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC001267650485 1083246409 /nfs/dbraw/zinc/24/64/09/1083246409.db2.gz OIMAUFLGVIQFFW-AWEZNQCLSA-N 0 1 320.864 3.346 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001134164057 1083248706 /nfs/dbraw/zinc/24/87/06/1083248706.db2.gz JYCGADCVMYYFKR-ZIAGYGMSSA-N 0 1 321.490 3.018 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001267689899 1083265597 /nfs/dbraw/zinc/26/55/97/1083265597.db2.gz SJTCOXIXGKQCTI-HNNXBMFYSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001134428468 1083284727 /nfs/dbraw/zinc/28/47/27/1083284727.db2.gz OCUKSMWYQINPGY-LBPRGKRZSA-N 0 1 310.388 3.334 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001481225418 1083306907 /nfs/dbraw/zinc/30/69/07/1083306907.db2.gz OJIQBNIUYQSQMQ-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C[C@@H](NCCN(C)C(=O)C#CC(C)(C)C)c1cc(F)ccc1F ZINC001481228849 1083307283 /nfs/dbraw/zinc/30/72/83/1083307283.db2.gz GUFICUFFZLYJGZ-CYBMUJFWSA-N 0 1 322.399 3.123 20 30 DGEDMN C[C@H](NCCN(C)C(=O)C#CC(C)(C)C)c1cc(F)ccc1F ZINC001481228850 1083307565 /nfs/dbraw/zinc/30/75/65/1083307565.db2.gz GUFICUFFZLYJGZ-ZDUSSCGKSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001267763586 1083326824 /nfs/dbraw/zinc/32/68/24/1083326824.db2.gz OFOLDTYPAGZKSR-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc([C@H]2C[C@H]2C)o1 ZINC001481255683 1083335965 /nfs/dbraw/zinc/33/59/65/1083335965.db2.gz PNHRCFMOLUBLPS-DOMZBBRYSA-N 0 1 324.852 3.136 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@H](c3ccncc3)C2)c1Cl ZINC001141112051 1083338054 /nfs/dbraw/zinc/33/80/54/1083338054.db2.gz NFDWADIFMKYDHL-NSHDSACASA-N 0 1 318.833 3.240 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc(Cl)cc1 ZINC001481262656 1083340209 /nfs/dbraw/zinc/34/02/09/1083340209.db2.gz GDWRXEDTBBZOLV-UHFFFAOYSA-N 0 1 315.244 3.073 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC/C=C/c2ccccc2)C1 ZINC001267791943 1083350698 /nfs/dbraw/zinc/35/06/98/1083350698.db2.gz RQUIVEBEKZMZNM-YRVHBARZSA-N 0 1 310.441 3.036 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1c(F)cccc1Cl ZINC001267803039 1083355742 /nfs/dbraw/zinc/35/57/42/1083355742.db2.gz JCHXIKLDXKCBJY-CYBMUJFWSA-N 0 1 324.827 3.123 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1cc(Cl)ccc1F ZINC001267803835 1083356019 /nfs/dbraw/zinc/35/60/19/1083356019.db2.gz WMIRUZXFCGPXCJ-OAHLLOKOSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001267817347 1083371568 /nfs/dbraw/zinc/37/15/68/1083371568.db2.gz YIQXXZPFHUINRT-CQSZACIVSA-N 0 1 319.836 3.327 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001267820334 1083379143 /nfs/dbraw/zinc/37/91/43/1083379143.db2.gz POXJUPBFZIYPPQ-VXGBXAGGSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(C(F)F)c1 ZINC001267828433 1083399995 /nfs/dbraw/zinc/39/99/95/1083399995.db2.gz XOFYZEDKFGOGPG-CYBMUJFWSA-N 0 1 316.779 3.475 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@H]2CCc3c2c(F)ccc3F)C1 ZINC001181516650 1083492097 /nfs/dbraw/zinc/49/20/97/1083492097.db2.gz AEUAUSHIRIRFDC-WBMJQRKESA-N 0 1 320.383 3.109 20 30 DGEDMN CC(C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1)=C1CCCC1 ZINC001181944545 1083587633 /nfs/dbraw/zinc/58/76/33/1083587633.db2.gz QIAPQWUPMDEIHZ-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001182220316 1083653506 /nfs/dbraw/zinc/65/35/06/1083653506.db2.gz IUYCJKGVFLVAED-ZIAGYGMSSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001267963951 1083660219 /nfs/dbraw/zinc/66/02/19/1083660219.db2.gz XJUYFCRWCLSVRW-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001267964604 1083661414 /nfs/dbraw/zinc/66/14/14/1083661414.db2.gz ZTMUUJQCPPILAS-AMWPYFQMSA-N 0 1 319.276 3.488 20 30 DGEDMN Cc1nnc(CN(CCCC2(C#N)CCOCC2)C(C)(C)C)o1 ZINC001167760197 1083668726 /nfs/dbraw/zinc/66/87/26/1083668726.db2.gz RCRWJIPLTMTDKI-UHFFFAOYSA-N 0 1 320.437 3.079 20 30 DGEDMN CC1(CC(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CCCC1 ZINC001182295603 1083677480 /nfs/dbraw/zinc/67/74/80/1083677480.db2.gz NAGBBRWVFGTTPA-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN N#Cc1ccc(C[NH2+]Cc2ccc(Br)cc2[O-])s1 ZINC001182451669 1083722442 /nfs/dbraw/zinc/72/24/42/1083722442.db2.gz GREVZQGQIUPUMJ-UHFFFAOYSA-N 0 1 323.215 3.378 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ccccc2)C1 ZINC001087420271 1083822156 /nfs/dbraw/zinc/82/21/56/1083822156.db2.gz UGWIZYUYYCNVIG-WOJBJXKFSA-N 0 1 320.436 3.461 20 30 DGEDMN CCCN(C(=O)C(C)C)[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001481389496 1083850971 /nfs/dbraw/zinc/85/09/71/1083850971.db2.gz IHJGIRMDVPCMTE-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN CCCN(C(=O)C(C)C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481389496 1083850985 /nfs/dbraw/zinc/85/09/85/1083850985.db2.gz IHJGIRMDVPCMTE-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(Cl)cn2CC)C1 ZINC001481412230 1083885564 /nfs/dbraw/zinc/88/55/64/1083885564.db2.gz SEUNSSGONDCPAM-OAHLLOKOSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H]1CCCCN1CC ZINC001183227971 1083901573 /nfs/dbraw/zinc/90/15/73/1083901573.db2.gz YPHMDWZFWBORTL-SFHVURJKSA-N 0 1 316.445 3.397 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1ccccc1-c1ccc(C#N)cn1)N(C)C ZINC001183362698 1083925746 /nfs/dbraw/zinc/92/57/46/1083925746.db2.gz NDVIEYOVFNUAHD-GOSISDBHSA-N 0 1 322.412 3.145 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](C)C2CCCC2)C1 ZINC001481457281 1083948997 /nfs/dbraw/zinc/94/89/97/1083948997.db2.gz RZVGGVPWPWGNFJ-CABCVRRESA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2c(C)cccc2F)C1 ZINC001481462943 1083967243 /nfs/dbraw/zinc/96/72/43/1083967243.db2.gz DACSAJBUSFGOSX-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C2CC2)C1 ZINC001087424872 1083967903 /nfs/dbraw/zinc/96/79/03/1083967903.db2.gz TWHXJUDSDJJXMF-KFKAGJAMSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001087424827 1083967972 /nfs/dbraw/zinc/96/79/72/1083967972.db2.gz RNPCCBLFUFEMRV-OBKDMQGPSA-N 0 1 324.468 3.193 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H]2CC2(C)C)C1 ZINC001087425861 1083975412 /nfs/dbraw/zinc/97/54/12/1083975412.db2.gz XJTARUSBWNHEND-KZNAEPCWSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CCCCCC)C1 ZINC001481502037 1084024205 /nfs/dbraw/zinc/02/42/05/1084024205.db2.gz IWSWNCHBVMTRRD-OAHLLOKOSA-N 0 1 300.874 3.490 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)CC1CCCC1 ZINC001268050925 1084029500 /nfs/dbraw/zinc/02/95/00/1084029500.db2.gz OCXXMRKWKKQZIT-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C=C(C)C)C1 ZINC001481508123 1084034826 /nfs/dbraw/zinc/03/48/26/1084034826.db2.gz FJBVYXSGGZPXAU-HXUWFJFHSA-N 0 1 324.468 3.469 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C#CC2CC2)C1 ZINC001481508887 1084035885 /nfs/dbraw/zinc/03/58/85/1084035885.db2.gz MZRKVNMCUDCPBS-HXUWFJFHSA-N 0 1 322.452 3.079 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001481525266 1084071093 /nfs/dbraw/zinc/07/10/93/1084071093.db2.gz MSPSQCMSOLWTLW-QGZVFWFLSA-N 0 1 324.424 3.173 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)c1ccsc1 ZINC001268084102 1084125782 /nfs/dbraw/zinc/12/57/82/1084125782.db2.gz KLNVLCQJHRRNHZ-NEPJUHHUSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)c1ccsc1 ZINC001268084110 1084126009 /nfs/dbraw/zinc/12/60/09/1084126009.db2.gz KLNVLCQJHRRNHZ-VXGBXAGGSA-N 0 1 300.855 3.041 20 30 DGEDMN CC(C)C#CC(=O)NC1CN(CC2CCC(C(C)(C)C)CC2)C1 ZINC001481557391 1084146695 /nfs/dbraw/zinc/14/66/95/1084146695.db2.gz NYGGNISWUJQYHY-UHFFFAOYSA-N 0 1 318.505 3.299 20 30 DGEDMN CCCCN(O)C(=O)[C@H](C)N1CCC(Cc2ccccc2)CC1 ZINC001184315418 1084147856 /nfs/dbraw/zinc/14/78/56/1084147856.db2.gz YMWUPFRABNBFOU-INIZCTEOSA-N 0 1 318.461 3.348 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1(C(C)(F)F)CC1 ZINC001268111709 1084185496 /nfs/dbraw/zinc/18/54/96/1084185496.db2.gz QSNCOVUBLZPOTF-GFCCVEGCSA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001481590016 1084230614 /nfs/dbraw/zinc/23/06/14/1084230614.db2.gz OTNBRNPUWUAABZ-LSDHHAIUSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001481602482 1084244635 /nfs/dbraw/zinc/24/46/35/1084244635.db2.gz FOXWJXUSBBOTHC-AWEZNQCLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cccc(F)c1 ZINC001268179231 1084288211 /nfs/dbraw/zinc/28/82/11/1084288211.db2.gz IRNVLNJTFISQSR-BLLLJJGKSA-N 0 1 324.827 3.409 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](C)[C@H]1CNCC(=C)Cl ZINC001268186690 1084299434 /nfs/dbraw/zinc/29/94/34/1084299434.db2.gz QNYZQJZFRAPFHY-GOEBONIOSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1conc1C ZINC001268193299 1084303007 /nfs/dbraw/zinc/30/30/07/1084303007.db2.gz VLPGCCWZCDGWMW-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001185049209 1084322217 /nfs/dbraw/zinc/32/22/17/1084322217.db2.gz KCLWMHQBQPJILX-SWLSCSKDSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001185049208 1084322763 /nfs/dbraw/zinc/32/27/63/1084322763.db2.gz KCLWMHQBQPJILX-IUODEOHRSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001185064845 1084328633 /nfs/dbraw/zinc/32/86/33/1084328633.db2.gz YGXWDPYLHAIOEW-UKRRQHHQSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001185071524 1084344756 /nfs/dbraw/zinc/34/47/56/1084344756.db2.gz XRVAVUKZXFSJEP-UKRRQHHQSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc(F)cc3C)C2)C1 ZINC001481645652 1084382520 /nfs/dbraw/zinc/38/25/20/1084382520.db2.gz SXLNERJHCOAQEB-UHFFFAOYSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C)C(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001268246726 1084401570 /nfs/dbraw/zinc/40/15/70/1084401570.db2.gz BYJIYEAUHQDZBP-CQSZACIVSA-N 0 1 308.853 3.410 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cc(C#N)ccc2O)ccc1Cl ZINC001185525359 1084464271 /nfs/dbraw/zinc/46/42/71/1084464271.db2.gz ZNBBUQYRZMRDHO-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncsc1C(C)C ZINC001481682296 1084472026 /nfs/dbraw/zinc/47/20/26/1084472026.db2.gz XMDSFFJQAIZEOR-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)no1 ZINC001481678846 1084472474 /nfs/dbraw/zinc/47/24/74/1084472474.db2.gz PTOIDEZNUASEQI-ILXRZTDVSA-N 0 1 317.433 3.051 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)/C=C(\C)C1CC1 ZINC001496471127 1084493225 /nfs/dbraw/zinc/49/32/25/1084493225.db2.gz XUCCVHCATURMFR-JMNAUKJMSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001481705505 1084497327 /nfs/dbraw/zinc/49/73/27/1084497327.db2.gz MYUJZBMJQAIRLU-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC001268343289 1084511169 /nfs/dbraw/zinc/51/11/69/1084511169.db2.gz OQSMKHKMWOMYBI-YOEHRIQHSA-N 0 1 316.445 3.102 20 30 DGEDMN Cc1cc(C[N@@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)c(C)s1 ZINC001481725517 1084536023 /nfs/dbraw/zinc/53/60/23/1084536023.db2.gz AWJOYKNBDWLJEX-KRWDZBQOSA-N 0 1 318.486 3.057 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNC/C(Cl)=C\Cl ZINC001268388952 1084551043 /nfs/dbraw/zinc/55/10/43/1084551043.db2.gz HFFUVKXIONYIGS-OYGDSYQHSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001273512828 1084566145 /nfs/dbraw/zinc/56/61/45/1084566145.db2.gz AJFCPFSREBOXTG-INIZCTEOSA-N 0 1 324.827 3.046 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001481775254 1084627307 /nfs/dbraw/zinc/62/73/07/1084627307.db2.gz MFHVWXDKXUPHCX-MSOLQXFVSA-N 0 1 304.478 3.315 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2cc(C#N)sc2[N+](=O)[O-])n[nH]1 ZINC001186360098 1084633368 /nfs/dbraw/zinc/63/33/68/1084633368.db2.gz RGTSJENQJCXMJZ-HTQZYQBOSA-N 0 1 320.378 3.333 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1nc2ccccc2o1 ZINC001481842780 1084660986 /nfs/dbraw/zinc/66/09/86/1084660986.db2.gz XBMGWDWVWJJIDV-CYBMUJFWSA-N 0 1 315.417 3.024 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NCc1nc(C)sc1C ZINC001481847789 1084665450 /nfs/dbraw/zinc/66/54/50/1084665450.db2.gz HZNZOSKSNRRHPG-YOEHRIQHSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)CC2CC2)C1 ZINC001481858036 1084670092 /nfs/dbraw/zinc/67/00/92/1084670092.db2.gz ZQZLQTZAFTZSJJ-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN CCC(CC)CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186623036 1084675922 /nfs/dbraw/zinc/67/59/22/1084675922.db2.gz VASZBYMTZIQIDZ-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)C(C)(CC)CC ZINC001481882825 1084683598 /nfs/dbraw/zinc/68/35/98/1084683598.db2.gz QWQXSMYKNBOTCE-GFCCVEGCSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001268474697 1084686897 /nfs/dbraw/zinc/68/68/97/1084686897.db2.gz PQHQBNFWMFIKBH-QGZVFWFLSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2nc(C)c(C)s2)CCCC1 ZINC001481891640 1084691305 /nfs/dbraw/zinc/69/13/05/1084691305.db2.gz IYEICCRLFRTZPX-UHFFFAOYSA-N 0 1 321.490 3.245 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(C[C@H](C)CC(C)C)C1)c1ccccc1 ZINC001268483445 1084706291 /nfs/dbraw/zinc/70/62/91/1084706291.db2.gz MEZUNWHQVSTROG-VQIMIIECSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CCNCc2csc(C)n2)C1 ZINC001481969645 1084740517 /nfs/dbraw/zinc/74/05/17/1084740517.db2.gz LKFKGJAWJMRLNI-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1cc(F)ccc1Cl ZINC001268527247 1084786477 /nfs/dbraw/zinc/78/64/77/1084786477.db2.gz ZUDYWWFUOWBMRF-SNAWJCMRSA-N 0 1 310.800 3.207 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001268663773 1084926445 /nfs/dbraw/zinc/92/64/45/1084926445.db2.gz XWDQTOWMNOIEOW-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001268686524 1084945857 /nfs/dbraw/zinc/94/58/57/1084945857.db2.gz FVXPIDIDTUFONB-IMJJTQAJSA-N 0 1 320.864 3.273 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001268731918 1084997251 /nfs/dbraw/zinc/99/72/51/1084997251.db2.gz CNLFKETWEKOGGH-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001268749381 1085026647 /nfs/dbraw/zinc/02/66/47/1085026647.db2.gz WFUUXAVUSJFYLK-MJGOQNOKSA-N 0 1 314.473 3.024 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(-c2ccccc2)cc1 ZINC001268754584 1085029694 /nfs/dbraw/zinc/02/96/94/1085029694.db2.gz DQIDJQUQXHURJR-INIZCTEOSA-N 0 1 306.409 3.037 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCNCc2csc(C)n2)CC1 ZINC001268835158 1085064601 /nfs/dbraw/zinc/06/46/01/1085064601.db2.gz FMAYKHKBPXWPIH-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001268916789 1085081301 /nfs/dbraw/zinc/08/13/01/1085081301.db2.gz FDLBEIFNRXQULA-SFHVURJKSA-N 0 1 318.436 3.189 20 30 DGEDMN C=CC[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCCC(F)(F)F ZINC001268967754 1085091179 /nfs/dbraw/zinc/09/11/79/1085091179.db2.gz DTIOYZXFZWTWQG-MELADBBJSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(CCc2ccccc2)CC1 ZINC001268993029 1085096410 /nfs/dbraw/zinc/09/64/10/1085096410.db2.gz LRFOQQKWWQFETD-OALUTQOASA-N 0 1 324.468 3.261 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001269133984 1085146681 /nfs/dbraw/zinc/14/66/81/1085146681.db2.gz ANXQBBCADYVQEZ-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCC(C)(C)c2ccccc2)C1 ZINC001269202852 1085199692 /nfs/dbraw/zinc/19/96/92/1085199692.db2.gz DQBSZLBYERDXIC-QGZVFWFLSA-N 0 1 300.446 3.121 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001269221420 1085214789 /nfs/dbraw/zinc/21/47/89/1085214789.db2.gz JWWWESQGJOOPJQ-WMLDXEAASA-N 0 1 304.409 3.386 20 30 DGEDMN Cc1ccccc1[C@H](C)N1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001269235385 1085225423 /nfs/dbraw/zinc/22/54/23/1085225423.db2.gz KHUOSCPGEGAFBG-DLBZAZTESA-N 0 1 312.457 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001269284160 1085268215 /nfs/dbraw/zinc/26/82/15/1085268215.db2.gz BQNWUHJNBGHYRW-SFHVURJKSA-N 0 1 300.446 3.084 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)N[C@H](C)c1cc(F)ccc1F ZINC001269399232 1085366257 /nfs/dbraw/zinc/36/62/57/1085366257.db2.gz YDWOBKLLIIRYHH-HIFRSBDPSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N[C@H](C)c2ccccc2F)CC1 ZINC001269468646 1085403365 /nfs/dbraw/zinc/40/33/65/1085403365.db2.gz LWFGZFUYEMGQQX-CYBMUJFWSA-N 0 1 304.409 3.337 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](CC)CC(F)(F)F ZINC001269491396 1085420195 /nfs/dbraw/zinc/42/01/95/1085420195.db2.gz DBBFSGUEROCMCF-WDEREUQCSA-N 0 1 314.779 3.202 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccc(C)o2)C1 ZINC001269773467 1085561896 /nfs/dbraw/zinc/56/18/96/1085561896.db2.gz XVSFXGZKIRENJU-UHFFFAOYSA-N 0 1 306.450 3.244 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(F)c(C)c2)C1 ZINC001269837735 1085579788 /nfs/dbraw/zinc/57/97/88/1085579788.db2.gz BHBQVCJOANWPJL-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CCNCc2nocc2C)CC1 ZINC001269864689 1085590817 /nfs/dbraw/zinc/59/08/17/1085590817.db2.gz GRSGQSSIJKOGKZ-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc2c1CCCC2 ZINC001482285390 1085796677 /nfs/dbraw/zinc/79/66/77/1085796677.db2.gz GYPKPEPMOWGHFF-CQSZACIVSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)[nH]c1C)C1CCCC1 ZINC001270441313 1085815979 /nfs/dbraw/zinc/81/59/79/1085815979.db2.gz GVQZBAHSADKWJM-INIZCTEOSA-N 0 1 323.868 3.262 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001270576853 1085868418 /nfs/dbraw/zinc/86/84/18/1085868418.db2.gz MVGRPYKPTAREBX-KXBFYZLASA-N 0 1 316.420 3.271 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001270575628 1085869377 /nfs/dbraw/zinc/86/93/77/1085869377.db2.gz FUBQSAVDJSAQCK-DNVCBOLYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)[C@H](C)C(C)(C)C ZINC001482299656 1085879376 /nfs/dbraw/zinc/87/93/76/1085879376.db2.gz SDRRNJKCVXMMKN-RYUDHWBXSA-N 0 1 319.287 3.014 20 30 DGEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001270650918 1085919640 /nfs/dbraw/zinc/91/96/40/1085919640.db2.gz YVBNQJCEBWYPRQ-GHTZIAJQSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001270650918 1085919647 /nfs/dbraw/zinc/91/96/47/1085919647.db2.gz YVBNQJCEBWYPRQ-GHTZIAJQSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)c1ccccc1C ZINC001482368548 1086085610 /nfs/dbraw/zinc/08/56/10/1086085610.db2.gz PRZNLXYXFNFKRW-LSDHHAIUSA-N 0 1 308.853 3.288 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CNCc2nccs2)CC(C)C)C1 ZINC001482394646 1086122687 /nfs/dbraw/zinc/12/26/87/1086122687.db2.gz USDJEYRCFGJXNP-CQSZACIVSA-N 0 1 321.490 3.120 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C=C2CCC2)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC001087538347 1086248623 /nfs/dbraw/zinc/24/86/23/1086248623.db2.gz OEPBEXNFVRXFJD-RBUKOAKNSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001271075122 1086277224 /nfs/dbraw/zinc/27/72/24/1086277224.db2.gz LNEPJEOFRLQRFU-HUUCEWRRSA-N 0 1 323.868 3.154 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@@H]2N(CCF)CC23CCC3)CC1 ZINC001105396593 1086328923 /nfs/dbraw/zinc/32/89/23/1086328923.db2.gz PZRPJZLBADXOSU-SFHVURJKSA-N 0 1 322.468 3.405 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CCCC)cc2)[C@H]1CC ZINC001087647825 1086339812 /nfs/dbraw/zinc/33/98/12/1086339812.db2.gz JSHYXVWUTKQKAI-RBUKOAKNSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(C)c2Cl)[C@H]1CC ZINC001087766043 1086402760 /nfs/dbraw/zinc/40/27/60/1086402760.db2.gz NFIONPIISILOLF-JKSUJKDBSA-N 0 1 318.848 3.254 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCN1CC#Cc1ccccc1 ZINC001087806328 1086418461 /nfs/dbraw/zinc/41/84/61/1086418461.db2.gz ZYQDMHQOOQUVHM-ZWKOTPCHSA-N 0 1 312.457 3.053 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1CC ZINC001087821319 1086428475 /nfs/dbraw/zinc/42/84/75/1086428475.db2.gz BYWFCFLCXOWPAH-UONOGXRCSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CCC(C)CC2)[C@H]1CC ZINC001087844890 1086441942 /nfs/dbraw/zinc/44/19/42/1086441942.db2.gz VRTAYUWEOMBELA-DHADLLTHSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3c(cccc3C)o2)[C@H]1CC ZINC001087844436 1086441988 /nfs/dbraw/zinc/44/19/88/1086441988.db2.gz YWUMZXXIMALKEL-DLBZAZTESA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccsc1 ZINC001271245289 1086554473 /nfs/dbraw/zinc/55/44/73/1086554473.db2.gz CFFRFCCWPUJALE-ZDUSSCGKSA-N 0 1 300.855 3.235 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1nccs1 ZINC001482491701 1086666736 /nfs/dbraw/zinc/66/67/36/1086666736.db2.gz PGFSXNHWXVQINI-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C(C)(C)C ZINC001271819754 1086781752 /nfs/dbraw/zinc/78/17/52/1086781752.db2.gz SXPNEVNBYWEOCE-UHFFFAOYSA-N 0 1 308.853 3.403 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1oc(C)nc1C)C(C)C ZINC001271891000 1086811073 /nfs/dbraw/zinc/81/10/73/1086811073.db2.gz BACQUDOTXWAWLE-CRAIPNDOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228152631 1086832681 /nfs/dbraw/zinc/83/26/81/1086832681.db2.gz QETDPBULTXBORF-YFKPBYRVSA-N 0 1 318.112 3.500 20 30 DGEDMN C=C[C@@H](CCC)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228859603 1087013657 /nfs/dbraw/zinc/01/36/57/1087013657.db2.gz LSCIIXRYJQBOAM-ZETCQYMHSA-N 0 1 306.240 3.448 20 30 DGEDMN C=CC1(CC(=O)NCCCNCc2cscn2)CCCCC1 ZINC001168182755 1087029061 /nfs/dbraw/zinc/02/90/61/1087029061.db2.gz KZNKZGFMZFEQDJ-UHFFFAOYSA-N 0 1 321.490 3.266 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1cccc(Cl)c1 ZINC001272080427 1087084037 /nfs/dbraw/zinc/08/40/37/1087084037.db2.gz NERTVWSRXYLSCX-UHFFFAOYSA-N 0 1 308.853 3.442 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCN[C@H](C)c1ncc(C)o1 ZINC001482836144 1087167667 /nfs/dbraw/zinc/16/76/67/1087167667.db2.gz DTUJTQPXKOFBMX-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C1CCC(C(=O)N(CC)CCNCc2ncccc2C)CC1 ZINC001482947787 1087198198 /nfs/dbraw/zinc/19/81/98/1087198198.db2.gz MWWBSMQASIBYDM-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C1CCC(CCCC)CC1 ZINC001272164455 1087224338 /nfs/dbraw/zinc/22/43/38/1087224338.db2.gz AUTORWBOZQBJMR-UHFFFAOYSA-N 0 1 306.494 3.397 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001496806141 1087235560 /nfs/dbraw/zinc/23/55/60/1087235560.db2.gz IXXXPLUQHAPOCH-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)[C@H]1C ZINC001088627735 1087239916 /nfs/dbraw/zinc/23/99/16/1087239916.db2.gz CUOOIPOXQWJONE-ZBFHGGJFSA-N 0 1 318.486 3.163 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)c1ccccc1C ZINC001483094758 1087339494 /nfs/dbraw/zinc/33/94/94/1087339494.db2.gz FCSIAYBDQSZJAW-XHPSBEMXSA-N 0 1 306.837 3.113 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CNCc1nc(C)c(C(C)(C)C)s1 ZINC001483113808 1087356340 /nfs/dbraw/zinc/35/63/40/1087356340.db2.gz AGZKWBFHDCGBNT-GFCCVEGCSA-N 0 1 323.506 3.310 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)C[C@H](CC)C(C)C ZINC001483186013 1087413183 /nfs/dbraw/zinc/41/31/83/1087413183.db2.gz JBPGNCUJOJHEJD-OLZOCXBDSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)CCCCC)CC2)C1 ZINC001105687726 1087424876 /nfs/dbraw/zinc/42/48/76/1087424876.db2.gz OUSGCFAPEBBZHT-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2cc(F)ccc2o1 ZINC001483271538 1087454663 /nfs/dbraw/zinc/45/46/63/1087454663.db2.gz QIVWZTVTBXEMHL-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C(CC)(CC)c1ccccc1 ZINC001483420293 1087496664 /nfs/dbraw/zinc/49/66/64/1087496664.db2.gz JXRXYDAHTCSMJL-KRWDZBQOSA-N 0 1 314.473 3.204 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN[C@H](C)c1ncc(C)o1 ZINC001483489819 1087514124 /nfs/dbraw/zinc/51/41/24/1087514124.db2.gz NJVSSHYGWLTCCT-RHSMWYFYSA-N 0 1 307.438 3.084 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001483563392 1087526100 /nfs/dbraw/zinc/52/61/00/1087526100.db2.gz QBRQGFQVJHVJRH-BWODNOAJSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CCC(C)(C)CC1)C1CC1 ZINC001483607962 1087534437 /nfs/dbraw/zinc/53/44/37/1087534437.db2.gz PHAIVAALVSRNJM-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(C)C)nc2CC)[C@H]1C ZINC001088864274 1087586385 /nfs/dbraw/zinc/58/63/85/1087586385.db2.gz ATPLGZRNFFZCDB-KDOFPFPSSA-N 0 1 315.461 3.146 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC001483642983 1087598690 /nfs/dbraw/zinc/59/86/90/1087598690.db2.gz PEIVOATVNINVGC-IBGZPJMESA-N 0 1 324.468 3.448 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2scnc2C(C)(C)C)[C@H]1C ZINC001088907897 1087602121 /nfs/dbraw/zinc/60/21/21/1087602121.db2.gz TWYDMPDUDOOAND-OLZOCXBDSA-N 0 1 321.490 3.209 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)CCCC ZINC001099048309 1087604097 /nfs/dbraw/zinc/60/40/97/1087604097.db2.gz FBBPACLEWOUPSH-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(F)F)cc2F)[C@H]1C ZINC001088939528 1087607566 /nfs/dbraw/zinc/60/75/66/1087607566.db2.gz VEJYTTQSMBWWNL-YGRLFVJLSA-N 0 1 312.335 3.142 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(s2)CCCC3)[C@H]1C ZINC001089022004 1087622514 /nfs/dbraw/zinc/62/25/14/1087622514.db2.gz UOOMQRPGHNATGY-OCCSQVGLSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OCC(C)C)cc2)[C@H]1C ZINC001089021198 1087622910 /nfs/dbraw/zinc/62/29/10/1087622910.db2.gz AWEUXCGSIILGHY-QAPCUYQASA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)[C@H]1C ZINC001089031293 1087625253 /nfs/dbraw/zinc/62/52/53/1087625253.db2.gz AURKANOOXDPYNR-NFAWXSAZSA-N 0 1 318.486 3.252 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001483673433 1087625570 /nfs/dbraw/zinc/62/55/70/1087625570.db2.gz QAQUTSXFGXELTR-AEFFLSMTSA-N 0 1 300.446 3.288 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)[C@H]1C ZINC001089068811 1087630253 /nfs/dbraw/zinc/63/02/53/1087630253.db2.gz VUEGXYIMOGBJET-ZIFCJYIRSA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001099152901 1087698023 /nfs/dbraw/zinc/69/80/23/1087698023.db2.gz ANHRTTYCIOBZAF-CLWJZODNSA-N 0 1 316.489 3.053 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(-n3cccc3)c2)c1 ZINC000176399412 1087725030 /nfs/dbraw/zinc/72/50/30/1087725030.db2.gz QVCIHFTYMCNTNW-UHFFFAOYSA-N 0 1 303.321 3.307 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@H]2c2cccc(F)c2F)c1 ZINC000176402830 1087726023 /nfs/dbraw/zinc/72/60/23/1087726023.db2.gz KRVAJECWELKVGN-NWDGAFQWSA-N 0 1 314.291 3.284 20 30 DGEDMN C=CCCCNC(=O)c1cc(Br)cc2n[nH]cc21 ZINC001151890411 1087809669 /nfs/dbraw/zinc/80/96/69/1087809669.db2.gz XDWNVAUFEDFZHD-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1ccsc1 ZINC001158479933 1087855156 /nfs/dbraw/zinc/85/51/56/1087855156.db2.gz BNMGKXVRSCIYAY-DOTOQJQBSA-N 0 1 318.486 3.188 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)C[C@@H](C)CC(C)(C)C ZINC001158519061 1087884353 /nfs/dbraw/zinc/88/43/53/1087884353.db2.gz RVEPIMUFAJQEFC-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C)CC(C)(C)C ZINC001158519061 1087884376 /nfs/dbraw/zinc/88/43/76/1087884376.db2.gz RVEPIMUFAJQEFC-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001158529874 1087894097 /nfs/dbraw/zinc/89/40/97/1087894097.db2.gz RIUJIKRNVIDDBL-KZNAEPCWSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](F)c1ccccc1 ZINC001158529732 1087894772 /nfs/dbraw/zinc/89/47/72/1087894772.db2.gz PMYOSJIMFFAZLS-QZTJIDSGSA-N 0 1 316.420 3.033 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1cccc(C)c1 ZINC001158529063 1087895736 /nfs/dbraw/zinc/89/57/36/1087895736.db2.gz DJVDJJAHDAIWEP-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)CC(C)(C)C ZINC001158559575 1087912319 /nfs/dbraw/zinc/91/23/19/1087912319.db2.gz GLNSPCCXFIZGGX-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)CC(C)(C)C ZINC001158559575 1087912334 /nfs/dbraw/zinc/91/23/34/1087912334.db2.gz GLNSPCCXFIZGGX-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](CC)C(C)(C)C ZINC001158739671 1088003118 /nfs/dbraw/zinc/00/31/18/1088003118.db2.gz OWIOOYGPGCRUDU-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2ccccc2o1 ZINC001158824893 1088051417 /nfs/dbraw/zinc/05/14/17/1088051417.db2.gz BNLMFYNEXSSBCS-QGZVFWFLSA-N 0 1 324.424 3.383 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCNCc1csc(C2CC2)n1 ZINC001158887007 1088084566 /nfs/dbraw/zinc/08/45/66/1088084566.db2.gz NLKKKVIVPBFDGT-UHFFFAOYSA-N 0 1 321.490 3.219 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C=C)c1ccccc1 ZINC001158900400 1088090617 /nfs/dbraw/zinc/09/06/17/1088090617.db2.gz DEIRESNDCSQCNR-UYAOXDASSA-N 0 1 324.468 3.292 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001152999855 1088133725 /nfs/dbraw/zinc/13/37/25/1088133725.db2.gz CRBYSWGHJWYDRL-ZWKOTPCHSA-N 0 1 314.473 3.301 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)N(CC)C/C=C/Cl ZINC001153587902 1088292552 /nfs/dbraw/zinc/29/25/52/1088292552.db2.gz BHTLPUMAELHFNB-WSKFYRRCSA-N 0 1 307.265 3.344 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001153595065 1088295180 /nfs/dbraw/zinc/29/51/80/1088295180.db2.gz VBMASEHDZSWZPN-OAHLLOKOSA-N 0 1 317.477 3.319 20 30 DGEDMN Cc1nc2cccc(C(=O)NC(C)(C)c3ccc(C#N)cc3)c2[nH]1 ZINC001153614037 1088303997 /nfs/dbraw/zinc/30/39/97/1088303997.db2.gz QWYXHSOBQSNOKE-UHFFFAOYSA-N 0 1 318.380 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2CC2(C)C)CC(C)(C)C1 ZINC001089364152 1088515994 /nfs/dbraw/zinc/51/59/94/1088515994.db2.gz WQQBJVWMZPQUBA-ZIAGYGMSSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2ccoc2)CC(C)(C)C1 ZINC001089368377 1088539133 /nfs/dbraw/zinc/53/91/33/1088539133.db2.gz PSMNGCNATOUKNY-OAHLLOKOSA-N 0 1 324.852 3.181 20 30 DGEDMN C[C@@H](C#N)N(C)C(=O)c1cccc2[nH]c(-c3ccccc3)nc21 ZINC001154490484 1088626961 /nfs/dbraw/zinc/62/69/61/1088626961.db2.gz WKQCDILVUNORHN-LBPRGKRZSA-N 0 1 304.353 3.214 20 30 DGEDMN CCc1nccc(NC2(C#N)CCN(Cc3ccccc3)CC2)n1 ZINC001160100568 1088639626 /nfs/dbraw/zinc/63/96/26/1088639626.db2.gz IYNOPRNZQLQXAK-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN COc1cc2[nH]ncc2cc1C(=O)Nc1ccc([C@@H](C)C#N)cc1 ZINC001154794271 1088759578 /nfs/dbraw/zinc/75/95/78/1088759578.db2.gz WNLMOPAITJFWJZ-NSHDSACASA-N 0 1 320.352 3.451 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC001483773771 1088777817 /nfs/dbraw/zinc/77/78/17/1088777817.db2.gz RCBOXYXPESHDJG-INIZCTEOSA-N 0 1 320.864 3.246 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CCCCC1 ZINC001089601975 1088800156 /nfs/dbraw/zinc/80/01/56/1088800156.db2.gz UCWPCJHKCVRYSF-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001089642015 1088870278 /nfs/dbraw/zinc/87/02/78/1088870278.db2.gz ZDDIEWYXPGOXFE-DLBZAZTESA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001089642015 1088870304 /nfs/dbraw/zinc/87/03/04/1088870304.db2.gz ZDDIEWYXPGOXFE-DLBZAZTESA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1csc(C)c1C ZINC001089645961 1088876527 /nfs/dbraw/zinc/87/65/27/1088876527.db2.gz FJXRLUHOWPNOIR-OAHLLOKOSA-N 0 1 318.486 3.219 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCc3ccns3)CC2)CCCC1 ZINC001483797590 1088898553 /nfs/dbraw/zinc/89/85/53/1088898553.db2.gz UKAVKQWRADDAMD-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001155067388 1088926804 /nfs/dbraw/zinc/92/68/04/1088926804.db2.gz YNDQPNJFRFCYSR-CRAIPNDOSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001155067107 1088928271 /nfs/dbraw/zinc/92/82/71/1088928271.db2.gz RYQITOWTEUIXMB-VQIMIIECSA-N 0 1 317.477 3.319 20 30 DGEDMN N#Cc1cc(NCCN2CCC(F)(F)CC2)nc2ccccc12 ZINC001160752666 1088943060 /nfs/dbraw/zinc/94/30/60/1088943060.db2.gz RFVUFCIEJVLTCH-UHFFFAOYSA-N 0 1 316.355 3.249 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2Cc3ccccc32)C1 ZINC001483877918 1088976852 /nfs/dbraw/zinc/97/68/52/1088976852.db2.gz VQEFWSIOUYVHGJ-IBGZPJMESA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001483891645 1088995831 /nfs/dbraw/zinc/99/58/31/1088995831.db2.gz MDXHEDFEZFWZSM-CABCVRRESA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCCCCCC(=O)NCCC1=CC[N@@H+](C[C@@H](F)CC)CC1 ZINC001160915049 1089016834 /nfs/dbraw/zinc/01/68/34/1089016834.db2.gz IAKXGCLZXQZYMK-SFHVURJKSA-N 0 1 322.468 3.457 20 30 DGEDMN C#CCCCCCC(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160915049 1089016849 /nfs/dbraw/zinc/01/68/49/1089016849.db2.gz IAKXGCLZXQZYMK-SFHVURJKSA-N 0 1 322.468 3.457 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C(C)(C)C(C)(F)F ZINC001483953267 1089043207 /nfs/dbraw/zinc/04/32/07/1089043207.db2.gz AZJOWQFYZJRXAT-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160968386 1089047272 /nfs/dbraw/zinc/04/72/72/1089047272.db2.gz UOHPLTPTQCTQTE-NVXWUHKLSA-N 0 1 310.457 3.475 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160991600 1089058958 /nfs/dbraw/zinc/05/89/58/1089058958.db2.gz REFNLJDQMYHLIJ-RDJZCZTQSA-N 0 1 310.457 3.475 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCC)C1CCN(C/C=C\Cl)CC1 ZINC001483982520 1089059047 /nfs/dbraw/zinc/05/90/47/1089059047.db2.gz XCYRSBBKSCHLAX-IZIDJEDMSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)oc(C)c1C ZINC001089668016 1089074693 /nfs/dbraw/zinc/07/46/93/1089074693.db2.gz QKZMIQZVLPKTIW-INIZCTEOSA-N 0 1 316.445 3.058 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)oc(C)c1C ZINC001089668016 1089074705 /nfs/dbraw/zinc/07/47/05/1089074705.db2.gz QKZMIQZVLPKTIW-INIZCTEOSA-N 0 1 316.445 3.058 20 30 DGEDMN CCCCCCCCCCN(C)C(=O)c1n[nH]c2c1CCNC2 ZINC001161226938 1089158292 /nfs/dbraw/zinc/15/82/92/1089158292.db2.gz NAEXMJIKSAIYOW-UHFFFAOYSA-N 0 1 320.481 3.268 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCC(C)(C)CN2CC#CC)CC1 ZINC001089687405 1089166912 /nfs/dbraw/zinc/16/69/12/1089166912.db2.gz JKJHPAYOEZMBQC-SFHVURJKSA-N 0 1 316.489 3.363 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@H](C)C(C)C ZINC001155581677 1089174179 /nfs/dbraw/zinc/17/41/79/1089174179.db2.gz WMSIJFFOVPUYTI-QZTJIDSGSA-N 0 1 314.473 3.157 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CCC(F)CC1 ZINC001089691235 1089182814 /nfs/dbraw/zinc/18/28/14/1089182814.db2.gz YYFCFUFMAFXXKR-JCYILVPMSA-N 0 1 322.468 3.145 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC001089691645 1089187579 /nfs/dbraw/zinc/18/75/79/1089187579.db2.gz UKUOUKXPIUGOBG-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](c2ccccc2)C(C)C)CC1 ZINC001161363527 1089211727 /nfs/dbraw/zinc/21/17/27/1089211727.db2.gz DQQGSTSTAGSLRW-HXUWFJFHSA-N 0 1 324.468 3.198 20 30 DGEDMN CC(C)(C)c1ccc(-c2noc(-c3cnccc3N)n2)c(C#N)c1 ZINC001212999029 1089246993 /nfs/dbraw/zinc/24/69/93/1089246993.db2.gz JJTSMVMXTDKGRX-UHFFFAOYSA-N 0 1 319.368 3.380 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1csc(C)c1 ZINC001089711459 1089283343 /nfs/dbraw/zinc/28/33/43/1089283343.db2.gz UXVYNPWCVRMUNS-MRXNPFEDSA-N 0 1 318.486 3.300 20 30 DGEDMN CC(=O)C=C(C)Nc1nc(Cl)nc(Oc2ccc(F)cc2)n1 ZINC001155891315 1089290757 /nfs/dbraw/zinc/29/07/57/1089290757.db2.gz WAKGCPMHLFYKBU-FPLPWBNLSA-N 0 1 322.727 3.361 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CC2CCC1CC2 ZINC001089734886 1089316129 /nfs/dbraw/zinc/31/61/29/1089316129.db2.gz ZSVUNVIIIOQOSL-HIEASXQVSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CCC(C)(C)CC1 ZINC001089737280 1089317836 /nfs/dbraw/zinc/31/78/36/1089317836.db2.gz VGQVNTZLTULCBM-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)Nc1cc(C)cc(C#N)c1 ZINC001161671508 1089336082 /nfs/dbraw/zinc/33/60/82/1089336082.db2.gz XMZUMFNFEWPMBQ-UHFFFAOYSA-N 0 1 308.263 3.169 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1(C)CCC1)C2 ZINC001484389632 1089386917 /nfs/dbraw/zinc/38/69/17/1089386917.db2.gz PXTBZAIUBDGGSQ-QDMKHBRRSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1cccnc1 ZINC001484460206 1089433640 /nfs/dbraw/zinc/43/36/40/1089433640.db2.gz NHJXCTZQNADYCB-ROUUACIJSA-N 0 1 313.445 3.003 20 30 DGEDMN O=C([C@H]1CCCN1C1CC1)N(O)Cc1cccc2ccccc21 ZINC001161842961 1089437127 /nfs/dbraw/zinc/43/71/27/1089437127.db2.gz VNEZZWADOAPDSS-GOSISDBHSA-N 0 1 310.397 3.184 20 30 DGEDMN C=CC[N@@H+]1CC=C(CCNC(=O)c2ccc3c(c2)CCC3)CC1 ZINC001161844695 1089437379 /nfs/dbraw/zinc/43/73/79/1089437379.db2.gz OTKZPSLTRMROGU-UHFFFAOYSA-N 0 1 310.441 3.113 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC2CCC1CC2 ZINC001272683530 1089440319 /nfs/dbraw/zinc/44/03/19/1089440319.db2.gz PYZXGKWOWBTBEO-UHYCVJNDSA-N 0 1 324.468 3.194 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CCNCc2ncoc2C(C)C)C1 ZINC001484511877 1089453028 /nfs/dbraw/zinc/45/30/28/1089453028.db2.gz BRUMXAACLUNVQR-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN C[C@H]1CCC[C@@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913964 1089467067 /nfs/dbraw/zinc/46/70/67/1089467067.db2.gz JYCSLRABDXNGBK-WCQYABFASA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@H]1CCC[C@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913962 1089467113 /nfs/dbraw/zinc/46/71/13/1089467113.db2.gz JYCSLRABDXNGBK-AAEUAGOBSA-N 0 1 309.373 3.108 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)cc(C)c1 ZINC001484557265 1089490753 /nfs/dbraw/zinc/49/07/53/1089490753.db2.gz QTUMIDPRCUNNRK-UHFFFAOYSA-N 0 1 320.436 3.298 20 30 DGEDMN N#Cc1cnc(NCC2CN(Cc3ccccc3)C2)c(Cl)c1 ZINC001156399884 1089504972 /nfs/dbraw/zinc/50/49/72/1089504972.db2.gz AYRZVFSMLQZDOI-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2ccc(C)c(F)c2)C1=O ZINC001272685572 1089524922 /nfs/dbraw/zinc/52/49/22/1089524922.db2.gz BUCSUPUPTCNPMN-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2ccc(C)c(F)c2)C1=O ZINC001272685574 1089526247 /nfs/dbraw/zinc/52/62/47/1089526247.db2.gz BUCSUPUPTCNPMN-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1ccc(C)c(F)c1 ZINC001272685885 1089534466 /nfs/dbraw/zinc/53/44/66/1089534466.db2.gz GMPUTQHYUUUIRP-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN N#C[C@H]1CCCCN1C(=O)c1[nH]nc2cc(C(F)(F)F)ccc21 ZINC001156497403 1089552487 /nfs/dbraw/zinc/55/24/87/1089552487.db2.gz HTJFDBXUZMXWAK-SNVBAGLBSA-N 0 1 322.290 3.100 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)CCC(C)(F)F)CC1 ZINC001484719189 1089610501 /nfs/dbraw/zinc/61/05/01/1089610501.db2.gz YSUORPSASQBDHO-LBPRGKRZSA-N 0 1 308.800 3.145 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccco2)CC1 ZINC001484727087 1089618828 /nfs/dbraw/zinc/61/88/28/1089618828.db2.gz YIZQSPHZFOCLLK-DZGCQCFKSA-N 0 1 324.852 3.181 20 30 DGEDMN CN1CCC(C#N)(Nc2nccc3cc(F)c(Cl)cc32)CC1 ZINC001162231156 1089622132 /nfs/dbraw/zinc/62/21/32/1089622132.db2.gz MGNNTQMFYDCYFI-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN CCOC(=O)c1cnc2cc(N[C@H]3CC[C@H](C#N)CC3)[nH]cc1-2 ZINC001157055843 1089804758 /nfs/dbraw/zinc/80/47/58/1089804758.db2.gz XKHMZJAXDPBOPD-HAQNSBGRSA-N 0 1 312.373 3.234 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@H](C)N[C@H](C)c2ncc(C)o2)CC1 ZINC001484998260 1089819912 /nfs/dbraw/zinc/81/99/12/1089819912.db2.gz FCRRVGMBDFROJE-DZGCQCFKSA-N 0 1 319.449 3.275 20 30 DGEDMN C=CCCC(=O)N1CCC2(CC1)C[N@@H+](CC/C=C/CC)CCO2 ZINC001485008198 1089847040 /nfs/dbraw/zinc/84/70/40/1089847040.db2.gz ILLHUYQLBQRLTJ-FNORWQNLSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@@H](CC)C(C)C ZINC001485045496 1089863487 /nfs/dbraw/zinc/86/34/87/1089863487.db2.gz ZNIQACIYXGQAEB-XFQXTVEOSA-N 0 1 312.457 3.064 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)[C@H]1CC1(C)C ZINC001485053187 1089889823 /nfs/dbraw/zinc/88/98/23/1089889823.db2.gz QIUZJUGKELUBPK-RBUKOAKNSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001485065527 1089897233 /nfs/dbraw/zinc/89/72/33/1089897233.db2.gz LUHVZDRFUTVPQM-IBGZPJMESA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(CCC)cc1 ZINC001485066877 1089898076 /nfs/dbraw/zinc/89/80/76/1089898076.db2.gz CTDNZLKHPMCJPX-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN Cc1ccn2c(CNc3c[nH]c4c(C#N)cnc-4c3)cnc2c1 ZINC001162822339 1089978199 /nfs/dbraw/zinc/97/81/99/1089978199.db2.gz UZXLJJKOXRXAOP-UHFFFAOYSA-N 0 1 302.341 3.003 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC001485200030 1090015038 /nfs/dbraw/zinc/01/50/38/1090015038.db2.gz HRVZQRIAQYQBSB-OLZOCXBDSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(C)CC(F)(F)F ZINC001485212864 1090032416 /nfs/dbraw/zinc/03/24/16/1090032416.db2.gz XPSXFRFUPPTYOJ-VHSXEESVSA-N 0 1 314.779 3.200 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1csc2cc(C)ccc12 ZINC001485306947 1090104775 /nfs/dbraw/zinc/10/47/75/1090104775.db2.gz PGQHRSBHSBPYEP-CQSZACIVSA-N 0 1 314.454 3.283 20 30 DGEDMN Cc1cc(Cl)c(C#N)c(N[C@@H]2CNCc3ccsc32)n1 ZINC001163221017 1090120478 /nfs/dbraw/zinc/12/04/78/1090120478.db2.gz ZUSFBMDSUYMVJG-GFCCVEGCSA-N 0 1 304.806 3.233 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(F)c2ccccc12 ZINC001485354190 1090122507 /nfs/dbraw/zinc/12/25/07/1090122507.db2.gz RJPHKBTYWORYPJ-CQSZACIVSA-N 0 1 312.388 3.052 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@@H]1CN(C)C/C=C/Cl ZINC001157856755 1090132746 /nfs/dbraw/zinc/13/27/46/1090132746.db2.gz MAUOSDRMHDQFGF-ONOODXEBSA-N 0 1 310.869 3.245 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001157913680 1090148730 /nfs/dbraw/zinc/14/87/30/1090148730.db2.gz MERCTVVSENSGSV-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2cscn2)CCCCC1 ZINC001485450272 1090159116 /nfs/dbraw/zinc/15/91/16/1090159116.db2.gz FGWQLDZKCCSTJA-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2nccs2)CCCCC1 ZINC001485455376 1090162760 /nfs/dbraw/zinc/16/27/60/1090162760.db2.gz UPRDURVJZZBRMQ-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001158005069 1090168964 /nfs/dbraw/zinc/16/89/64/1090168964.db2.gz BMNUNJKNSUFLGE-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN N#Cc1cnc2c(Cl)cccc2c1N[C@H]1CCc2[nH]cnc2C1 ZINC001163431608 1090185938 /nfs/dbraw/zinc/18/59/38/1090185938.db2.gz MNPMVHKZOJGZSX-NSHDSACASA-N 0 1 323.787 3.452 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)C(CC)CC ZINC001158165483 1090194807 /nfs/dbraw/zinc/19/48/07/1090194807.db2.gz ZOIPDKQPSYYOOA-FUHWJXTLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001485507557 1090196704 /nfs/dbraw/zinc/19/67/04/1090196704.db2.gz XHXQUOAZZLWEKW-CQSZACIVSA-N 0 1 304.409 3.119 20 30 DGEDMN COc1cccnc1CN1CCC(C#Cc2ccccc2)CC1 ZINC001206665573 1090199592 /nfs/dbraw/zinc/19/95/92/1090199592.db2.gz GXEUHZQMJQKHOV-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CC[C@@H](C)CC)C2)C1 ZINC001485554913 1090208099 /nfs/dbraw/zinc/20/80/99/1090208099.db2.gz FTKKXCFGLNMWCA-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCCCC(C)C)C(C)(C)C1 ZINC001485578008 1090215118 /nfs/dbraw/zinc/21/51/18/1090215118.db2.gz QKKPDLCIVIFZIR-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)CCC(C)(C)C)C2)C1 ZINC001485666375 1090234683 /nfs/dbraw/zinc/23/46/83/1090234683.db2.gz LFXHJXYGJFNISG-QGZVFWFLSA-N 0 1 318.505 3.397 20 30 DGEDMN CC(=O)C=C(C)Nc1ncc2cc(F)cc(Br)c2n1 ZINC001163739461 1090245712 /nfs/dbraw/zinc/24/57/12/1090245712.db2.gz PNDOLHOIRUPLHE-CLTKARDFSA-N 0 1 324.153 3.436 20 30 DGEDMN CC(=O)C=C(C)Nc1cc(C=N[S@@](=O)C(C)(C)C)cc(C)n1 ZINC001163737929 1090245820 /nfs/dbraw/zinc/24/58/20/1090245820.db2.gz MTDLSCCVFJMECI-QSBDTWNYSA-N 0 1 321.446 3.176 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CCCC12CC2 ZINC001485817931 1090347939 /nfs/dbraw/zinc/34/79/39/1090347939.db2.gz WXRZDKDCGQVRBW-HUUCEWRRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCNCc1csc(CCC)n1 ZINC001164323786 1090400688 /nfs/dbraw/zinc/40/06/88/1090400688.db2.gz KZMXRTUZFGYXCJ-CQSZACIVSA-N 0 1 323.506 3.294 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164346950 1090407571 /nfs/dbraw/zinc/40/75/71/1090407571.db2.gz YUXMHNCDNVEBPZ-CYBMUJFWSA-N 0 1 321.490 3.219 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H](F)C(C)C)CCC[C@@H]12 ZINC001107510473 1090451717 /nfs/dbraw/zinc/45/17/17/1090451717.db2.gz ZPOCKKJWNCGNQO-IJEWVQPXSA-N 0 1 316.848 3.094 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC1(CNCc2cscn2)CC1 ZINC001165220763 1090483043 /nfs/dbraw/zinc/48/30/43/1090483043.db2.gz AVMKKXGJAUZRGJ-UHFFFAOYSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@H](CC)CCCC)C1 ZINC001107763403 1090500328 /nfs/dbraw/zinc/50/03/28/1090500328.db2.gz GIZLDBMLZYDICA-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CC2=CCCCC2)C1 ZINC001107815273 1090507342 /nfs/dbraw/zinc/50/73/42/1090507342.db2.gz PBRCOVPAJSWLLO-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C2CCCCCC2)C1 ZINC001107800758 1090507532 /nfs/dbraw/zinc/50/75/32/1090507532.db2.gz VSUWEJQUKSUOGW-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CC2(C)CCCC2)C1 ZINC001107918631 1090536803 /nfs/dbraw/zinc/53/68/03/1090536803.db2.gz UGZWDTIDNOEQEP-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN CC(C)(Nc1cc(O[C@@H]2CCOC2)ccn1)c1ccc(C#N)cc1 ZINC001165792166 1090548878 /nfs/dbraw/zinc/54/88/78/1090548878.db2.gz LCNBZGKQFYHZKD-QGZVFWFLSA-N 0 1 323.396 3.468 20 30 DGEDMN C=CCCC1(C(=O)NCCCNCc2nc(C)sc2C)CC1 ZINC001165913669 1090560825 /nfs/dbraw/zinc/56/08/25/1090560825.db2.gz GNHWOLMXINZYJB-UHFFFAOYSA-N 0 1 321.490 3.102 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1nc2ccccc2o1 ZINC001165921060 1090561826 /nfs/dbraw/zinc/56/18/26/1090561826.db2.gz FARYYIBGROLSMQ-GOSISDBHSA-N 0 1 315.417 3.026 20 30 DGEDMN Cc1nc(SCc2ncc(C#N)cn2)[nH]c1-c1ccccc1 ZINC001166039869 1090571948 /nfs/dbraw/zinc/57/19/48/1090571948.db2.gz QUFOUGXHCQMCCA-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN CC(=CC(=O)OC(C)C)Nc1ccc(N=CN(C)C)c(C#N)c1 ZINC001166770869 1090654460 /nfs/dbraw/zinc/65/44/60/1090654460.db2.gz UGSUEKWAZGEILD-CXFVMAIRSA-N 0 1 314.389 3.047 20 30 DGEDMN C=CCCCC(=O)NCCC1(CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001166910067 1090676224 /nfs/dbraw/zinc/67/62/24/1090676224.db2.gz LKQLGLFNGAGQBP-HNNXBMFYSA-N 0 1 319.449 3.276 20 30 DGEDMN C=CCCCC(=O)NCCC1(CN[C@H](C)c2ncc(C)o2)CC1 ZINC001166910068 1090676533 /nfs/dbraw/zinc/67/65/33/1090676533.db2.gz LKQLGLFNGAGQBP-OAHLLOKOSA-N 0 1 319.449 3.276 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H]3C[C@H]3C3CC3)cccc2C1 ZINC001272916876 1090680340 /nfs/dbraw/zinc/68/03/40/1090680340.db2.gz KAMFIZJBOBIUBI-ICSRJNTNSA-N 0 1 324.468 3.283 20 30 DGEDMN COc1ccc([C@@H]2C[C@H](N3CCC[C@@H](C#N)C3)CCO2)cc1 ZINC001168662898 1090685144 /nfs/dbraw/zinc/68/51/44/1090685144.db2.gz QFVQXSXDVMZYLU-LESCRADOSA-N 0 1 300.402 3.151 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@H](C)C(CC)CC)C1 ZINC001108038031 1090703785 /nfs/dbraw/zinc/70/37/85/1090703785.db2.gz MAATZHJMQQHUAQ-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@@H](C)C(CC)CC)C1 ZINC001108038618 1090704300 /nfs/dbraw/zinc/70/43/00/1090704300.db2.gz WXVQDWDEYVRGTD-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001485915206 1090726161 /nfs/dbraw/zinc/72/61/61/1090726161.db2.gz TUMIIVVDGVVCTC-LSDHHAIUSA-N 0 1 323.868 3.154 20 30 DGEDMN N#Cc1csc(CN[C@H](Cn2ccnc2)c2ccccc2)c1 ZINC001203472526 1090740269 /nfs/dbraw/zinc/74/02/69/1090740269.db2.gz VVYJMNZSIDCMLE-QGZVFWFLSA-N 0 1 308.410 3.347 20 30 DGEDMN C=C(Br)CN[C@@H]1CCN(C(=O)CCCCC)[C@H]1C ZINC001486070452 1090741847 /nfs/dbraw/zinc/74/18/47/1090741847.db2.gz CZPLTZKSOQNBCQ-QWHCGFSZSA-N 0 1 317.271 3.054 20 30 DGEDMN CC(C)CCC(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@H]1C ZINC001486103248 1090750948 /nfs/dbraw/zinc/75/09/48/1090750948.db2.gz ZUZORKZEVMNUOX-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H](NCc2sccc2Cl)C[C@@H]1C ZINC001486108769 1090751707 /nfs/dbraw/zinc/75/17/07/1090751707.db2.gz KFBOOXBQKNXSQG-STQMWFEESA-N 0 1 324.877 3.140 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CC#CC)[C@@H]2C)CCCC1 ZINC001486186708 1090782071 /nfs/dbraw/zinc/78/20/71/1090782071.db2.gz LIMXOAJEJYUAGV-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001128162853 1090802979 /nfs/dbraw/zinc/80/29/79/1090802979.db2.gz WVYMNNOMYZIMHG-UHFFFAOYSA-N 0 1 320.864 3.080 20 30 DGEDMN Cc1cccnc1O[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001203906683 1090835701 /nfs/dbraw/zinc/83/57/01/1090835701.db2.gz SXAJNVNHTWDCLZ-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCCN(Cc3ccc(C#N)cc3)C2)c1 ZINC001203906266 1090835738 /nfs/dbraw/zinc/83/57/38/1090835738.db2.gz LELJEXCNTKQYST-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(Cl)C[N@@H+](C)CC1CCN(C(=O)CCc2ccco2)CC1 ZINC001494185583 1090897483 /nfs/dbraw/zinc/89/74/83/1090897483.db2.gz ACCCEKHJANCJDL-UHFFFAOYSA-N 0 1 324.852 3.135 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCc2ccco2)CC1 ZINC001494185583 1090897491 /nfs/dbraw/zinc/89/74/91/1090897491.db2.gz ACCCEKHJANCJDL-UHFFFAOYSA-N 0 1 324.852 3.135 20 30 DGEDMN C=CCOCc1ncn2c1CN(Cc1ccc(C)cc1)CCC2 ZINC001204171332 1090912367 /nfs/dbraw/zinc/91/23/67/1090912367.db2.gz JQDCQVWVJFDQBD-UHFFFAOYSA-N 0 1 311.429 3.300 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1ccc(Cl)cc1F ZINC001128242939 1090922425 /nfs/dbraw/zinc/92/24/25/1090922425.db2.gz ZIGZGOFVCRBFHE-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCOc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001204299782 1090942899 /nfs/dbraw/zinc/94/28/99/1090942899.db2.gz FGCOIGDOGBEMBM-MRXNPFEDSA-N 0 1 301.411 3.026 20 30 DGEDMN C=CCOc1ccc(CN2CCC(F)(C(=O)OCC)CC2)cc1 ZINC001204300536 1090943285 /nfs/dbraw/zinc/94/32/85/1090943285.db2.gz VLFSSTDGPUUSJX-UHFFFAOYSA-N 0 1 321.392 3.119 20 30 DGEDMN C=CCN1C(=O)CCC[C@]12CCCN(Cc1ccccc1C#N)C2 ZINC001204367363 1090957494 /nfs/dbraw/zinc/95/74/94/1090957494.db2.gz IKIKSADPXLAGQD-HXUWFJFHSA-N 0 1 323.440 3.091 20 30 DGEDMN N#Cc1ccccc1CN1CCC2(COc3ccccc32)CC1 ZINC001204372753 1090958430 /nfs/dbraw/zinc/95/84/30/1090958430.db2.gz HFTVTLAKOWMHFX-UHFFFAOYSA-N 0 1 304.393 3.484 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2cc(C)c(C)o2)C1=O ZINC001273015480 1090996108 /nfs/dbraw/zinc/99/61/08/1090996108.db2.gz WRBPCXBGYZXIDT-SFHVURJKSA-N 0 1 302.418 3.039 20 30 DGEDMN Cc1ccc(Nc2ccc(C[NH+]3CCN(C)CC3)cc2)cc1C#N ZINC001204747401 1091028549 /nfs/dbraw/zinc/02/85/49/1091028549.db2.gz URWOMXMBILXSJA-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3cc(O)cc(O)c3)CC2)cc1 ZINC001138329877 1091141561 /nfs/dbraw/zinc/14/15/61/1091141561.db2.gz LDUGPAQXGGVTRX-UHFFFAOYSA-N 0 1 322.408 3.424 20 30 DGEDMN Cc1ccc(CN2CCCN(c3ccc(C#N)cn3)CC2)cc1F ZINC001205235856 1091142678 /nfs/dbraw/zinc/14/26/78/1091142678.db2.gz FODGFPWRMHCSCO-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@H](C(F)F)C2)cc1OC ZINC001138345347 1091148603 /nfs/dbraw/zinc/14/86/03/1091148603.db2.gz DDKWPJPHZJUHKI-AWEZNQCLSA-N 0 1 309.356 3.184 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108149526 1091154116 /nfs/dbraw/zinc/15/41/16/1091154116.db2.gz XHHBHZYQJKFODW-JKLVOYIESA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCOc1ccc(CN2CC[N@@H+](C)[C@@H](c3ccccc3)C2)cc1 ZINC001138559040 1091227591 /nfs/dbraw/zinc/22/75/91/1091227591.db2.gz MGQBCVOCTYHHKZ-OAQYLSRUSA-N 0 1 320.436 3.187 20 30 DGEDMN C#CCOc1ccc(CN2CCc3cccc(OC)c3C2)cc1 ZINC001138556295 1091228940 /nfs/dbraw/zinc/22/89/40/1091228940.db2.gz NXWFQPNKHYLHHX-UHFFFAOYSA-N 0 1 307.393 3.266 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cc(C)sc2C)C1 ZINC001138571639 1091235756 /nfs/dbraw/zinc/23/57/56/1091235756.db2.gz RQVOIKWRNSHFTG-HNNXBMFYSA-N 0 1 308.447 3.242 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@H](CC(=O)OC)C1 ZINC001138665303 1091280276 /nfs/dbraw/zinc/28/02/76/1091280276.db2.gz MDALLHJNASLNFX-MRXNPFEDSA-N 0 1 317.429 3.417 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001494445492 1091289807 /nfs/dbraw/zinc/28/98/07/1091289807.db2.gz DVSKTXULGNQMKD-NSHDSACASA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2cc3ccccc3[nH]2)C1=O ZINC001273182079 1091344790 /nfs/dbraw/zinc/34/47/90/1091344790.db2.gz REYNRQOZGKURHZ-HXUWFJFHSA-N 0 1 323.440 3.311 20 30 DGEDMN CCOc1cc(CN2CCC[C@H](C#N)CC2)ccc1OC(C)=O ZINC001139003234 1091414335 /nfs/dbraw/zinc/41/43/35/1091414335.db2.gz FHTJZVUHWTWKFC-HNNXBMFYSA-N 0 1 316.401 3.136 20 30 DGEDMN CCCCCC(=O)N[C@@H]1CN(Cc2cccc(C#N)c2)C[C@H]1C ZINC001206018050 1091454069 /nfs/dbraw/zinc/45/40/69/1091454069.db2.gz DGZAMKOTCSHGPW-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3coc4ccc(CC)cc34)[C@@H]2C1 ZINC001084347158 1091479627 /nfs/dbraw/zinc/47/96/27/1091479627.db2.gz JIJWIXLWJXECDL-CRAIPNDOSA-N 0 1 324.424 3.328 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc4occc4c3)[C@@H]2C1 ZINC001084363643 1091480751 /nfs/dbraw/zinc/48/07/51/1091480751.db2.gz NGGKCFCNMWCHTC-IAGOWNOFSA-N 0 1 310.397 3.155 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCC3CCCCCC3)[C@@H]2C1 ZINC001084526395 1091499671 /nfs/dbraw/zinc/49/96/71/1091499671.db2.gz ZMVFAXXSDIYYMJ-QZTJIDSGSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(CCC)cc3)[C@@H]2C1 ZINC001084618580 1091517943 /nfs/dbraw/zinc/51/79/43/1091517943.db2.gz WHUUPYJCCKLBEA-RTBURBONSA-N 0 1 312.457 3.362 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)c3cccc(F)c3)[C@@H]2C1 ZINC001084649248 1091527373 /nfs/dbraw/zinc/52/73/73/1091527373.db2.gz GFTXKGSTQMQBMT-QGPMSJSTSA-N 0 1 316.420 3.038 20 30 DGEDMN CC1(C)CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCO1 ZINC001139400119 1091546470 /nfs/dbraw/zinc/54/64/70/1091546470.db2.gz HDADSFPZHHXKLX-UHFFFAOYSA-N 0 1 307.397 3.231 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)C3CCCCC3)[C@@H]2C1 ZINC001084718568 1091546802 /nfs/dbraw/zinc/54/68/02/1091546802.db2.gz YVVIMZYDWUFKTL-KBAYOESNSA-N 0 1 304.478 3.312 20 30 DGEDMN Cc1cc(C(=O)Nc2[nH]nc3c2cccc3Cl)ncc1C#N ZINC001295329753 1091551962 /nfs/dbraw/zinc/55/19/62/1091551962.db2.gz DHXYZDJMPRXYLE-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CN(Cc1ccc(Oc2ccc(C#N)cc2)nc1)[C@@H]1CCCOC1 ZINC001139428793 1091557586 /nfs/dbraw/zinc/55/75/86/1091557586.db2.gz JJINUPMZEXTSIH-QGZVFWFLSA-N 0 1 323.396 3.356 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccccc3Cl)[C@@H]2C1 ZINC001084790314 1091568566 /nfs/dbraw/zinc/56/85/66/1091568566.db2.gz VKCHUOCIGMYOCW-CZUORRHYSA-N 0 1 304.821 3.062 20 30 DGEDMN CC(C)(NC(=O)C(F)(F)c1ccccc1C#N)C(F)(F)F ZINC001119645230 1091586690 /nfs/dbraw/zinc/58/66/90/1091586690.db2.gz QKVYDGUPTJYHKL-UHFFFAOYSA-N 0 1 306.234 3.107 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCCc4ccccc43)[C@@H]2C1 ZINC001084858028 1091596005 /nfs/dbraw/zinc/59/60/05/1091596005.db2.gz GZOMGFQINWOQBH-MISYRCLQSA-N 0 1 324.468 3.215 20 30 DGEDMN C=CCCC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cncs1 ZINC001098932113 1091628517 /nfs/dbraw/zinc/62/85/17/1091628517.db2.gz BRPCWUKFHCADSG-CQSZACIVSA-N 0 1 321.490 3.330 20 30 DGEDMN CO[C@@H]1CCC[C@@H]2CN(Cc3cccc(Cl)c3C#N)C[C@@H]21 ZINC001139689087 1091643088 /nfs/dbraw/zinc/64/30/88/1091643088.db2.gz IJTIEBSAXNTSDA-UKPHBRMFSA-N 0 1 304.821 3.459 20 30 DGEDMN N#Cc1cccc2c(CN3CCC[C@H]3c3cnccn3)c[nH]c21 ZINC001139703136 1091646712 /nfs/dbraw/zinc/64/67/12/1091646712.db2.gz KECUSBGBCNWDBR-KRWDZBQOSA-N 0 1 303.369 3.167 20 30 DGEDMN Cc1cc(C)c([N+](=O)[O-])cc1C(=O)Nc1cc(C#N)ccc1O ZINC001295829116 1091649141 /nfs/dbraw/zinc/64/91/41/1091649141.db2.gz XZHHKTCOJZYYQS-UHFFFAOYSA-N 0 1 311.297 3.041 20 30 DGEDMN COC(=O)c1cccc(CN(C)Cc2ccc(C)c(C#N)c2)c1 ZINC001139726122 1091654744 /nfs/dbraw/zinc/65/47/44/1091654744.db2.gz TWYCEZGBBYJGBM-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc([C@@H](C)CC)cc3)C[C@@H]21 ZINC001085101276 1091669600 /nfs/dbraw/zinc/66/96/00/1091669600.db2.gz BOUJATKCBRKNOL-HQRMLTQVSA-N 0 1 324.468 3.370 20 30 DGEDMN C[N@H+](Cc1cccc(Cl)c1[O-])C[C@H](O)c1cccc(C#N)c1 ZINC001206577399 1091675394 /nfs/dbraw/zinc/67/53/94/1091675394.db2.gz KPLGLUIHEAXILA-INIZCTEOSA-N 0 1 316.788 3.083 20 30 DGEDMN COC(=O)c1cccc(CN(C)Cc2cc(F)cc(C#N)c2)c1 ZINC001139875938 1091698654 /nfs/dbraw/zinc/69/86/54/1091698654.db2.gz IDVUPUCPKONXMF-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN C/C(=N\C#N)N(C)Cc1ccc(-c2cc(F)c(O)cc2F)nc1 ZINC001206755318 1091725702 /nfs/dbraw/zinc/72/57/02/1091725702.db2.gz IHOMOGGIXIEDLI-UFFVCSGVSA-N 0 1 316.311 3.064 20 30 DGEDMN COc1cc(C(F)(F)F)c(C#N)c(-c2ccc(O)c(F)c2)n1 ZINC001240782395 1091763216 /nfs/dbraw/zinc/76/32/16/1091763216.db2.gz YVOFSHBNTBYCOQ-UHFFFAOYSA-N 0 1 312.222 3.492 20 30 DGEDMN CCc1nccc(CN2CCC(c3cccc(C#N)c3)CC2)n1 ZINC001207086234 1091841030 /nfs/dbraw/zinc/84/10/30/1091841030.db2.gz KZIYTPURFBRLPK-UHFFFAOYSA-N 0 1 306.413 3.290 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCc2ccccc21 ZINC001085670989 1091876809 /nfs/dbraw/zinc/87/68/09/1091876809.db2.gz KMMFOSVYHSNTDB-IEBWSBKVSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001207180261 1091877191 /nfs/dbraw/zinc/87/71/91/1091877191.db2.gz XKXUVQRMVUQGDP-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN CC(C)n1nccc1CN1CCC[C@@H](Oc2ccc(C#N)cc2)C1 ZINC001207177231 1091877361 /nfs/dbraw/zinc/87/73/61/1091877361.db2.gz NQJAFGCIOUAHTF-LJQANCHMSA-N 0 1 324.428 3.379 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2ccc(C)c(F)c2)C[C@H]1C ZINC001207215879 1091890524 /nfs/dbraw/zinc/89/05/24/1091890524.db2.gz SHMHYXIADFXACD-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cnc2ccccc2c1C ZINC001085729960 1091903354 /nfs/dbraw/zinc/90/33/54/1091903354.db2.gz LVZVYGVSVFIJEO-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c2cccnc12 ZINC001085729800 1091904190 /nfs/dbraw/zinc/90/41/90/1091904190.db2.gz HJAQWHKGQSBHCM-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](OCc3ccccc3)C2)cn1 ZINC001140545338 1091904219 /nfs/dbraw/zinc/90/42/19/1091904219.db2.gz ADOQCOWSVQVHIS-IBGZPJMESA-N 0 1 307.397 3.134 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCCC1(F)F ZINC001085741258 1091907559 /nfs/dbraw/zinc/90/75/59/1091907559.db2.gz LAZCLMZPMADJOY-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)c(C)cc1Cl ZINC001085761995 1091915894 /nfs/dbraw/zinc/91/58/94/1091915894.db2.gz YLTFFWONTHNTIJ-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN N#Cc1ccc2c(c1)CC[N@@H+](Cc1c([O-])cc(F)cc1F)C2 ZINC001140582074 1091920148 /nfs/dbraw/zinc/92/01/48/1091920148.db2.gz BMVUGOLRUQLZCI-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN N#Cc1ccc2c(c1)CC[N@H+](Cc1c([O-])cc(F)cc1F)C2 ZINC001140582074 1091920153 /nfs/dbraw/zinc/92/01/53/1091920153.db2.gz BMVUGOLRUQLZCI-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2cc(C#N)ccc2Cl)C1 ZINC001140602605 1091928238 /nfs/dbraw/zinc/92/82/38/1091928238.db2.gz NOYNRPGYBCSHQQ-KRWDZBQOSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C(Br)CN[C@@H]1CCCN(C(=O)CCCCC)C1 ZINC001207343125 1091930607 /nfs/dbraw/zinc/93/06/07/1091930607.db2.gz KDTJVRSURAOZBI-CYBMUJFWSA-N 0 1 317.271 3.056 20 30 DGEDMN N#Cc1c(F)cc(CN2CC[C@H](COCC3CC3)C2)cc1F ZINC001140756289 1091987543 /nfs/dbraw/zinc/98/75/43/1091987543.db2.gz JGYDKMJNTWGLLD-ZDUSSCGKSA-N 0 1 306.356 3.085 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc(C)c1Cl ZINC001085879721 1091996583 /nfs/dbraw/zinc/99/65/83/1091996583.db2.gz CXRCUBUZAULZAV-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)s1 ZINC001085936693 1092021472 /nfs/dbraw/zinc/02/14/72/1092021472.db2.gz KTWIGBJGIGRQFZ-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc(C(F)F)c1 ZINC001085936780 1092023241 /nfs/dbraw/zinc/02/32/41/1092023241.db2.gz NJIQRPOWYDTBDB-HNNXBMFYSA-N 0 1 308.372 3.347 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccccc1C1CCC1 ZINC001085950804 1092040821 /nfs/dbraw/zinc/04/08/21/1092040821.db2.gz ONHYZXPWBVHDBF-KRWDZBQOSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]cc1-c1ccccc1 ZINC001085970611 1092063767 /nfs/dbraw/zinc/06/37/67/1092063767.db2.gz FELNKDIMGPWPMF-QGZVFWFLSA-N 0 1 323.440 3.404 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1c[nH]cc1-c1ccccc1 ZINC001085973338 1092068517 /nfs/dbraw/zinc/06/85/17/1092068517.db2.gz UMBMRWHTOKGUOY-INIZCTEOSA-N 0 1 309.413 3.014 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2c(cccc2C)o1 ZINC001085991684 1092077854 /nfs/dbraw/zinc/07/78/54/1092077854.db2.gz SRFWWCBGQLKYQS-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCC[N@H+](Cc1ccc(C)cc1O)C2 ZINC001140950232 1092078336 /nfs/dbraw/zinc/07/83/36/1092078336.db2.gz WLAZMGSCRIYLAT-PKOBYXMFSA-N 0 1 317.429 3.027 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCCc2ccccc21 ZINC001085992696 1092079997 /nfs/dbraw/zinc/07/99/97/1092079997.db2.gz RIUHCDWUAIHQRK-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN CCN(CCC#N)CC1(C)CCN(C(=O)OC(C)(C)C)CC1 ZINC001207814822 1092107742 /nfs/dbraw/zinc/10/77/42/1092107742.db2.gz CKEBXTYTNVSKCY-UHFFFAOYSA-N 0 1 309.454 3.259 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001273391941 1092110664 /nfs/dbraw/zinc/11/06/64/1092110664.db2.gz JBIKUJVGFTYEFS-FUJWGRRUSA-N 0 1 324.468 3.365 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@@]3(CC3(F)F)C2)c1Cl ZINC001141114466 1092141383 /nfs/dbraw/zinc/14/13/83/1092141383.db2.gz PRRJXBAKGPAHMQ-LLVKDONJSA-N 0 1 303.765 3.086 20 30 DGEDMN COCCC1CCN(Cc2sc(N)c(C#N)c2Cl)CC1 ZINC001141112248 1092141866 /nfs/dbraw/zinc/14/18/66/1092141866.db2.gz VKTKGQKRXQPENQ-UHFFFAOYSA-N 0 1 313.854 3.104 20 30 DGEDMN CCOCC1CCN(Cc2sc(N)c(C#N)c2Cl)CC1 ZINC001141114162 1092141921 /nfs/dbraw/zinc/14/19/21/1092141921.db2.gz GJHBEJKRLGXZCT-UHFFFAOYSA-N 0 1 313.854 3.104 20 30 DGEDMN N#Cc1c(N)sc(CN2CCC3(CCCO3)CC2)c1Cl ZINC001141114920 1092141957 /nfs/dbraw/zinc/14/19/57/1092141957.db2.gz ZCBSPPLMYFQGBU-UHFFFAOYSA-N 0 1 311.838 3.000 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1cccc(-n3ccnc3)c1)C2 ZINC001141238821 1092189683 /nfs/dbraw/zinc/18/96/83/1092189683.db2.gz VOOKCTJFLOLYEM-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN COCC1CN(Cc2ccc(Oc3ccc(C#N)cc3)cc2)C1 ZINC001141289928 1092199683 /nfs/dbraw/zinc/19/96/83/1092199683.db2.gz TXPUILZFEVLZIB-UHFFFAOYSA-N 0 1 308.381 3.429 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@]1(C)CCc2ccccc2C1 ZINC001491699190 1092201523 /nfs/dbraw/zinc/20/15/23/1092201523.db2.gz MIOFFKBQPFCRKQ-KDOFPFPSSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2oc(C)nc2C)CCCC1 ZINC001491699601 1092202775 /nfs/dbraw/zinc/20/27/75/1092202775.db2.gz SHDGVJPJHPDLGD-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN CCCCCCC/C=C/CN1CCC[C@@H](NC(=O)COC)C1 ZINC001208124616 1092205257 /nfs/dbraw/zinc/20/52/57/1092205257.db2.gz WJEZVUSLHXKTFG-OAGJVSPASA-N 0 1 310.482 3.130 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001491667508 1092205703 /nfs/dbraw/zinc/20/57/03/1092205703.db2.gz OILHWUMSAAVKJL-GFCCVEGCSA-N 0 1 311.857 3.146 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)CC(C)(C)C)C1 ZINC001108260974 1092206305 /nfs/dbraw/zinc/20/63/05/1092206305.db2.gz YUZUCBMPNFRQBW-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC001491721659 1092213112 /nfs/dbraw/zinc/21/31/12/1092213112.db2.gz XUUTZMSZBVCMHK-HIFRSBDPSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001208254961 1092292240 /nfs/dbraw/zinc/29/22/40/1092292240.db2.gz ATQOHPWPWPQQDI-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001208256077 1092294701 /nfs/dbraw/zinc/29/47/01/1092294701.db2.gz YENQCGKWLLRMKT-LLBKUYECSA-N 0 1 305.249 3.242 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001208256079 1092294956 /nfs/dbraw/zinc/29/49/56/1092294956.db2.gz YENQCGKWLLRMKT-YQCJOKCJSA-N 0 1 305.249 3.242 20 30 DGEDMN C[C@@]1(O)CCCCN(Cc2cc(C#N)ccc2Br)C1 ZINC001141655064 1092311078 /nfs/dbraw/zinc/31/10/78/1092311078.db2.gz UDAJMZALFIDDNY-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333622 1092335223 /nfs/dbraw/zinc/33/52/23/1092335223.db2.gz RTHMTVNJLUGYJS-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333622 1092335239 /nfs/dbraw/zinc/33/52/39/1092335239.db2.gz RTHMTVNJLUGYJS-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208393471 1092337991 /nfs/dbraw/zinc/33/79/91/1092337991.db2.gz RSJILMHGOTVJGT-RVKKMQEKSA-N 0 1 318.436 3.365 20 30 DGEDMN N#Cc1cccc(C2(C(=O)Nc3ccc(F)c(F)c3O)CC2)c1 ZINC001141879678 1092353236 /nfs/dbraw/zinc/35/32/36/1092353236.db2.gz GJQMLPYRRSHDHO-UHFFFAOYSA-N 0 1 314.291 3.212 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C(C)(C)C)C1 ZINC001108360443 1092358705 /nfs/dbraw/zinc/35/87/05/1092358705.db2.gz NQMVLXVHALFXIE-GOSISDBHSA-N 0 1 312.498 3.210 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@](C)(F)CCCC)C1 ZINC001208455753 1092359783 /nfs/dbraw/zinc/35/97/83/1092359783.db2.gz AWALDRCSTTYUKO-ZFWWWQNUSA-N 0 1 304.837 3.238 20 30 DGEDMN C[C@@H]1C[C@@H](NCc2ccccc2C#N)CN1C(=O)OC(C)(C)C ZINC001168810833 1092375936 /nfs/dbraw/zinc/37/59/36/1092375936.db2.gz JEGXSHOYFKYFQX-CZUORRHYSA-N 0 1 315.417 3.046 20 30 DGEDMN C=CCC1(C(=O)NC[C@]2(C)CN(CCC)CCO2)CCCCC1 ZINC001108416303 1092428209 /nfs/dbraw/zinc/42/82/09/1092428209.db2.gz QSCDHAKMYXOFCI-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1cnc2cc(NCc3ccccc3-n3cccn3)c[nH]c1-2 ZINC001168973765 1092473255 /nfs/dbraw/zinc/47/32/55/1092473255.db2.gz LAESWDSQEPNVMU-UHFFFAOYSA-N 0 1 314.352 3.232 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(Cc2ccsc2)CC1(C)C ZINC001276586899 1092504398 /nfs/dbraw/zinc/50/43/98/1092504398.db2.gz RLVFXNDDXKKTGC-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN CC(C)Oc1ncccc1CCN1CCc2cc(C#N)ccc2C1 ZINC001208790551 1092511217 /nfs/dbraw/zinc/51/12/17/1092511217.db2.gz MJUUOODGDDZUCJ-UHFFFAOYSA-N 0 1 321.424 3.341 20 30 DGEDMN CN1CCC(=Nc2nc(-c3ccc(C#N)s3)cs2)CC1 ZINC001208801917 1092515605 /nfs/dbraw/zinc/51/56/05/1092515605.db2.gz CUILPRKNMZQPND-UHFFFAOYSA-N 0 1 302.428 3.375 20 30 DGEDMN C=CCC1(C(=O)NC[C@@]2(C)CN(CCCC)CCO2)CCCC1 ZINC001108444580 1092516235 /nfs/dbraw/zinc/51/62/35/1092516235.db2.gz LBXOEKOWPLKGNU-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C(c1ccccc1)c1ccccc1 ZINC001142792691 1092552583 /nfs/dbraw/zinc/55/25/83/1092552583.db2.gz SCHYDUDAJMUPEX-UHFFFAOYSA-N 0 1 302.337 3.052 20 30 DGEDMN N#Cc1ccc2c(C[N@@H+]3CCC(=O)[C@H]4CCCC[C@@H]43)c[nH]c2c1 ZINC001142911769 1092584243 /nfs/dbraw/zinc/58/42/43/1092584243.db2.gz JAGMTEKKMXZMFH-WMZOPIPTSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(CN3CCC(=O)[C@H]4CCCC[C@@H]43)c[nH]c2c1 ZINC001142911769 1092584253 /nfs/dbraw/zinc/58/42/53/1092584253.db2.gz JAGMTEKKMXZMFH-WMZOPIPTSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(CN3CC4(C3)CC(F)(F)CS4)c[nH]c2c1 ZINC001142922832 1092587339 /nfs/dbraw/zinc/58/73/39/1092587339.db2.gz XOVPIGOBZCAOHM-UHFFFAOYSA-N 0 1 319.380 3.366 20 30 DGEDMN CC(=O)c1ccc2c(c1)CN(CCc1ccc(C#N)cc1)CC2 ZINC001208927776 1092590379 /nfs/dbraw/zinc/59/03/79/1092590379.db2.gz QYJYXPOQNQJEBD-UHFFFAOYSA-N 0 1 304.393 3.362 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001208961200 1092611244 /nfs/dbraw/zinc/61/12/44/1092611244.db2.gz ILUGQEHQYGKNIM-NXIHDVOMSA-N 0 1 305.249 3.098 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001209115361 1092658030 /nfs/dbraw/zinc/65/80/30/1092658030.db2.gz CFQFQSHAURSJTJ-LZLYRXPVSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001209115361 1092658035 /nfs/dbraw/zinc/65/80/35/1092658035.db2.gz CFQFQSHAURSJTJ-LZLYRXPVSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001209134695 1092667624 /nfs/dbraw/zinc/66/76/24/1092667624.db2.gz QMACPJAUTMQTMS-BHIYHBOVSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCCCN1Cc2nccn2C[C@@H](COCC2CC2)C1 ZINC001209202389 1092692866 /nfs/dbraw/zinc/69/28/66/1092692866.db2.gz CEVMXARWXSGUTR-KRWDZBQOSA-N 0 1 303.450 3.098 20 30 DGEDMN N#Cc1cnn(C2CCOCC2)c1Nc1ccc(Cl)c(O)c1 ZINC001212590439 1092698492 /nfs/dbraw/zinc/69/84/92/1092698492.db2.gz MMFZRQVSQDRBIB-UHFFFAOYSA-N 0 1 318.764 3.209 20 30 DGEDMN Cc1ccc(C(=O)Nc2ccc(-n3ccc(=O)[nH]3)cc2)cc1C#N ZINC001149657803 1092718673 /nfs/dbraw/zinc/71/86/73/1092718673.db2.gz PPMVXJKFDWYLBH-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCCCC1(F)F)C1CC1 ZINC001276633477 1092737276 /nfs/dbraw/zinc/73/72/76/1092737276.db2.gz HFPFAHNAJGBKGV-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2nc(C)sc2C)C1 ZINC001209365943 1092744480 /nfs/dbraw/zinc/74/44/80/1092744480.db2.gz NAISSZXHKBZRER-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001150350405 1092772174 /nfs/dbraw/zinc/77/21/74/1092772174.db2.gz HORCAJILTQEWQF-MSOLQXFVSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001209453741 1092780908 /nfs/dbraw/zinc/78/09/08/1092780908.db2.gz CCFCEYQLLNBCEV-ZGJHENKESA-N 0 1 319.276 3.488 20 30 DGEDMN COc1cc(CN(C)Cc2cccc(C#N)c2)cc(F)c1O ZINC001209529373 1092811313 /nfs/dbraw/zinc/81/13/13/1092811313.db2.gz YDZLDASVCCUFNX-UHFFFAOYSA-N 0 1 300.333 3.044 20 30 DGEDMN CCOC(=O)c1c(C)oc(Nc2ccc3nc[nH]c3c2)c1C#N ZINC001209843441 1092945078 /nfs/dbraw/zinc/94/50/78/1092945078.db2.gz CMRKPSZHOGJZPE-UHFFFAOYSA-N 0 1 310.313 3.256 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1cc2cn[nH]c2cc1C ZINC001210105220 1093043747 /nfs/dbraw/zinc/04/37/47/1093043747.db2.gz RADOMSFICISBPU-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN COC(=O)c1ccc(Nc2cc3cn[nH]c3cc2C)c(C#N)c1 ZINC001210106621 1093044888 /nfs/dbraw/zinc/04/48/88/1093044888.db2.gz VGLRLVOMNQHUCH-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN Cc1cccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1O ZINC001210191002 1093072488 /nfs/dbraw/zinc/07/24/88/1093072488.db2.gz RZHDAQHAHGPFFK-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN COc1ncc(Nc2sc3c(c2C#N)CCN(C)C3)cc1C ZINC001210313485 1093121922 /nfs/dbraw/zinc/12/19/22/1093121922.db2.gz VYRGEKVMYMDNCL-UHFFFAOYSA-N 0 1 314.414 3.063 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2ccc(F)cc2C#N)CC1 ZINC001210554754 1093207963 /nfs/dbraw/zinc/20/79/63/1093207963.db2.gz VVVBUZGKYNYQEF-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN CN(C)C(=O)Nc1ccc(Nc2cc(C#N)c(F)cc2O)cc1 ZINC001210637989 1093238233 /nfs/dbraw/zinc/23/82/33/1093238233.db2.gz GLAFQLBJSFNTSJ-UHFFFAOYSA-N 0 1 314.320 3.240 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H](CC)C(C)C)C1 ZINC001210737654 1093265684 /nfs/dbraw/zinc/26/56/84/1093265684.db2.gz XKIJRGIAFGIGSL-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN CCCN1CCc2c(N)sc(NC3=CC(=O)C[C@@H](C)C3)c2C1 ZINC001210770349 1093277049 /nfs/dbraw/zinc/27/70/49/1093277049.db2.gz AWJMDNNFXFKTRX-NSHDSACASA-N 0 1 319.474 3.393 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H]1CN(C/C=C/Cl)C[C@H]1C)c1ccccc1 ZINC001211241624 1093431029 /nfs/dbraw/zinc/43/10/29/1093431029.db2.gz NRMNOZQBWLISEY-XEAGSBIVSA-N 0 1 318.848 3.145 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@](C)(CC)CCCCC)C1 ZINC001211399551 1093481496 /nfs/dbraw/zinc/48/14/96/1093481496.db2.gz SKWMAOAJTKCRIQ-LMMKCTJWSA-N 0 1 306.494 3.443 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1ccc2[nH]c(C)nc2c1 ZINC001213075644 1093510289 /nfs/dbraw/zinc/51/02/89/1093510289.db2.gz YCAACOJWFWTCKF-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)CCCCCC)[C@H](OC)C1 ZINC001213710714 1093646237 /nfs/dbraw/zinc/64/62/37/1093646237.db2.gz CHTHPDLUIIHRFB-KZNAEPCWSA-N 0 1 324.509 3.375 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@](C)(CC)CCCCC)[C@H](OC)C1 ZINC001213943747 1093683720 /nfs/dbraw/zinc/68/37/20/1093683720.db2.gz HAWVYAYJTIUOEW-ZHALLVOQSA-N 0 1 324.509 3.375 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC001214307397 1093754019 /nfs/dbraw/zinc/75/40/19/1093754019.db2.gz DNZJTWSDFUQWAE-PBFPGSCMSA-N 0 1 322.399 3.429 20 30 DGEDMN C#CC[N@H+](C)CCCN(C)C(=O)c1coc2cc(C)c(C)cc21 ZINC001280836246 1093892691 /nfs/dbraw/zinc/89/26/91/1093892691.db2.gz UKEGIHGOHUYRIP-UHFFFAOYSA-N 0 1 312.413 3.077 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2cc(F)ccc2F)C1 ZINC001215427626 1093981392 /nfs/dbraw/zinc/98/13/92/1093981392.db2.gz GPSHLOYHFAJANT-CXAGYDPISA-N 0 1 322.399 3.258 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1ccc(C)c2[nH]ncc21 ZINC001215759533 1094050481 /nfs/dbraw/zinc/05/04/81/1094050481.db2.gz NQAYIEZYPJGXBB-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN COC(=O)c1cc(Nc2cc(C#N)c(F)cc2O)ccc1F ZINC001215854610 1094074404 /nfs/dbraw/zinc/07/44/04/1094074404.db2.gz DPYBVGGTHZVLAK-UHFFFAOYSA-N 0 1 304.252 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](C)C(C)(C)C)C[C@H]1C ZINC001216556504 1094218934 /nfs/dbraw/zinc/21/89/34/1094218934.db2.gz NJJGMEFQYVYJID-YRGRVCCFSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@H](C)CCCCC ZINC001134823681 1094301545 /nfs/dbraw/zinc/30/15/45/1094301545.db2.gz DDSBFXIAXKZKBJ-GFCCVEGCSA-N 0 1 319.287 3.207 20 30 DGEDMN C[C@H](C(=O)NCCNCc1ccccc1C#N)[C@H](C)C(C)(C)C ZINC001135178128 1094430317 /nfs/dbraw/zinc/43/03/17/1094430317.db2.gz QCHIULBGESUGMT-GJZGRUSLSA-N 0 1 315.461 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1cscn1 ZINC001135180699 1094430605 /nfs/dbraw/zinc/43/06/05/1094430605.db2.gz JHFAGMCIWPZJNC-CHWSQXEVSA-N 0 1 309.479 3.118 20 30 DGEDMN Cc1n[nH]c2ccc(-c3nc(-c4cccc(C#N)c4)no3)cc12 ZINC001217575443 1094444017 /nfs/dbraw/zinc/44/40/17/1094444017.db2.gz MTEXQRKYNQOYOM-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1ncoc1C(C)C ZINC001135236572 1094454828 /nfs/dbraw/zinc/45/48/28/1094454828.db2.gz ULTUDHPFCMOEDO-UONOGXRCSA-N 0 1 321.465 3.383 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135229519 1094459148 /nfs/dbraw/zinc/45/91/48/1094459148.db2.gz BBCRTIQGJGDTLK-KGLIPLIRSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001135330784 1094491972 /nfs/dbraw/zinc/49/19/72/1094491972.db2.gz WDIUXYPYNVJZNH-UONOGXRCSA-N 0 1 323.506 3.435 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135330697 1094492611 /nfs/dbraw/zinc/49/26/11/1094492611.db2.gz UUWIIAQCIVENSZ-STQMWFEESA-N 0 1 309.479 3.181 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2cc(C)on2)CCC1 ZINC001135391574 1094512737 /nfs/dbraw/zinc/51/27/37/1094512737.db2.gz TXERAYWIWYYBOJ-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2cc(C)on2)CCC1 ZINC001135391576 1094512784 /nfs/dbraw/zinc/51/27/84/1094512784.db2.gz TXERAYWIWYYBOJ-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1n[nH]c(C(=O)OCC)c1C1CC1 ZINC001120789105 1094525138 /nfs/dbraw/zinc/52/51/38/1094525138.db2.gz VQZFSVQQCULPQM-UHFFFAOYSA-N 0 1 305.378 3.005 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C[C@H](C)NCc2nc(C)oc2C)C1 ZINC001135426860 1094534317 /nfs/dbraw/zinc/53/43/17/1094534317.db2.gz IUKQQEHSPYJTMM-QWHCGFSZSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCC[C@@H](C)CCC)[C@H]2C1 ZINC001218004469 1094552742 /nfs/dbraw/zinc/55/27/42/1094552742.db2.gz SEQWXKVANRCUML-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135610173 1094597844 /nfs/dbraw/zinc/59/78/44/1094597844.db2.gz YLEHWPRWGLCOAS-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1c(Br)ccc2ccccc21 ZINC001218200235 1094655543 /nfs/dbraw/zinc/65/55/43/1094655543.db2.gz KMYZUGKDRSVSDY-BXUZGUMPSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(-c2cc(F)c(F)c(F)c2)cc1 ZINC001218200928 1094656808 /nfs/dbraw/zinc/65/68/08/1094656808.db2.gz JKRXNHKBCRRWEU-MLGOLLRUSA-N 0 1 318.298 3.261 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc2cc(Br)ccc2c1 ZINC001218201766 1094656839 /nfs/dbraw/zinc/65/68/39/1094656839.db2.gz VCZYQSPFZGCLHT-IUODEOHRSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1cc(C(F)(F)F)ccc1C(F)(F)F ZINC001218202232 1094657154 /nfs/dbraw/zinc/65/71/54/1094657154.db2.gz ZGTFIJMKEKFHLR-HQJQHLMTSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc2ccc(Br)cc2c1 ZINC001218201651 1094657266 /nfs/dbraw/zinc/65/72/66/1094657266.db2.gz SNVVNKOPYBOKOC-IUODEOHRSA-N 0 1 317.186 3.093 20 30 DGEDMN Cc1cc(O[C@H]2CNC[C@@H]2C#N)ccc1OCc1ccccc1 ZINC001218205035 1094658169 /nfs/dbraw/zinc/65/81/69/1094658169.db2.gz XNTHNYFVJPLGGQ-LPHOPBHVSA-N 0 1 308.381 3.064 20 30 DGEDMN CC(C)(C)c1cc(Br)ccc1O[C@@H]1CNC[C@H]1C#N ZINC001218203927 1094658567 /nfs/dbraw/zinc/65/85/67/1094658567.db2.gz TWSCOINKXGNCGP-QMTHXVAHSA-N 0 1 323.234 3.237 20 30 DGEDMN CC(C)c1cc(Br)cc(O[C@@H]2CNC[C@@H]2C#N)c1 ZINC001218203924 1094658764 /nfs/dbraw/zinc/65/87/64/1094658764.db2.gz TUEFRIBREMRIQZ-SMDDNHRTSA-N 0 1 309.207 3.063 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135858929 1094719897 /nfs/dbraw/zinc/71/98/97/1094719897.db2.gz GSANCNQPAMKKBR-LSDHHAIUSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)C[C@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135872158 1094723478 /nfs/dbraw/zinc/72/34/78/1094723478.db2.gz CLMIPQRYRVWPDH-HNNXBMFYSA-N 0 1 320.864 3.080 20 30 DGEDMN Cc1ccc(C)c(OC[C@@H](O)CNCC2(C#N)CCCC2)c1C ZINC001336826690 1094752549 /nfs/dbraw/zinc/75/25/49/1094752549.db2.gz NAQSUSKAAMFYMY-KRWDZBQOSA-N 0 1 316.445 3.025 20 30 DGEDMN CCc1nc[nH]c1C(=O)Nc1cccc(C#Cc2ccccn2)c1 ZINC001136010665 1094797165 /nfs/dbraw/zinc/79/71/65/1094797165.db2.gz AIXGWSSRTVFGCJ-UHFFFAOYSA-N 0 1 316.364 3.019 20 30 DGEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1cc2ccccc2s1 ZINC001218580811 1094835042 /nfs/dbraw/zinc/83/50/42/1094835042.db2.gz JWPYAPGFXYXPQV-HNNXBMFYSA-N 0 1 321.405 3.281 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219045563 1094932301 /nfs/dbraw/zinc/93/23/01/1094932301.db2.gz IFXZKEIXHXPYLN-OKZBNKHCSA-N 0 1 324.509 3.305 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@@H](CC)CCCCC)[C@H]2C1 ZINC001219045347 1094933246 /nfs/dbraw/zinc/93/32/46/1094933246.db2.gz BFJXGVHZEAQNDD-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCC(=O)N[C@]12CCC[C@H]1C[N@@H+](CCC(F)(F)F)C2 ZINC001111764886 1094958835 /nfs/dbraw/zinc/95/88/35/1094958835.db2.gz HQXRFVYAVACJOZ-ZFWWWQNUSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111759788 1094960076 /nfs/dbraw/zinc/96/00/76/1094960076.db2.gz JDEXKPFAFZFIAX-USQVNXPGSA-N 0 1 322.880 3.478 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H](F)CC ZINC001122448940 1094978403 /nfs/dbraw/zinc/97/84/03/1094978403.db2.gz QLFWRPPOWNOTBU-KGLIPLIRSA-N 0 1 304.365 3.207 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2cccc(Cl)c2)CCC1 ZINC001122610263 1095017768 /nfs/dbraw/zinc/01/77/68/1095017768.db2.gz TYLXDEVYGDLPMF-CQSZACIVSA-N 0 1 313.788 3.372 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@@H]1c1cc(F)ccc1F)c1cccc(F)n1 ZINC001122631088 1095020794 /nfs/dbraw/zinc/02/07/94/1095020794.db2.gz AHRPREKFFSUGAV-WXHSDQCUSA-N 0 1 316.282 3.479 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1C(=O)C(C#N)c1cccc(F)n1 ZINC001122632069 1095021389 /nfs/dbraw/zinc/02/13/89/1095021389.db2.gz OTJYROSGXHXWLX-AWEZNQCLSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1C(=O)[C@@H](C#N)c1cccc(F)n1 ZINC001122632069 1095021396 /nfs/dbraw/zinc/02/13/96/1095021396.db2.gz OTJYROSGXHXWLX-AWEZNQCLSA-N 0 1 320.327 3.205 20 30 DGEDMN CCCCn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1C ZINC001122636272 1095023642 /nfs/dbraw/zinc/02/36/42/1095023642.db2.gz FSLXXFYEBPMQSM-GFCCVEGCSA-N 0 1 300.337 3.016 20 30 DGEDMN N#CC(C(=O)c1ncccc1C(F)(F)F)c1cccc(F)n1 ZINC001122640873 1095025842 /nfs/dbraw/zinc/02/58/42/1095025842.db2.gz PMOCZCBTNVVSGN-QMMMGPOBSA-N 0 1 309.222 3.125 20 30 DGEDMN N#C[C@H](C(=O)c1ncccc1C(F)(F)F)c1cccc(F)n1 ZINC001122640873 1095025857 /nfs/dbraw/zinc/02/58/57/1095025857.db2.gz PMOCZCBTNVVSGN-QMMMGPOBSA-N 0 1 309.222 3.125 20 30 DGEDMN N#CC(C(=O)c1cnc([C@@H]2CCCO2)s1)c1cccc(F)n1 ZINC001122715219 1095044362 /nfs/dbraw/zinc/04/43/62/1095044362.db2.gz ZZUSRYIBLFRLOI-KOLCDFICSA-N 0 1 317.345 3.019 20 30 DGEDMN Cc1c(C(=O)N(CCC#N)c2ccc(F)cc2)ccc2cncn21 ZINC001136635507 1095103332 /nfs/dbraw/zinc/10/33/32/1095103332.db2.gz QAOSYUPXQAQLAH-UHFFFAOYSA-N 0 1 322.343 3.342 20 30 DGEDMN C=CCC[C@H]1CCCN1c1nnc(-c2ccc3nc[nH]c3c2)n1C ZINC001338085106 1095184601 /nfs/dbraw/zinc/18/46/01/1095184601.db2.gz ZEBCNXVQORMUPK-AWEZNQCLSA-N 0 1 322.416 3.293 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@H](N)Cc1ccccc1F)c1ccccc1 ZINC001221359899 1095249090 /nfs/dbraw/zinc/24/90/90/1095249090.db2.gz ATZXBZUTDGVUNJ-QZTJIDSGSA-N 0 1 312.388 3.129 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cc3ccccc3cc2F)CC1 ZINC001123346237 1095250699 /nfs/dbraw/zinc/25/06/99/1095250699.db2.gz LTYFDWNSBHRUNY-UHFFFAOYSA-N 0 1 311.356 3.233 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3cc4ccccc4o3)C[C@H]21 ZINC001221425045 1095260243 /nfs/dbraw/zinc/26/02/43/1095260243.db2.gz XIAPRDUKAQUCOJ-SJLPKXTDSA-N 0 1 324.424 3.432 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(Cc3cc4ccccc4o3)C[C@H]21 ZINC001221425045 1095260258 /nfs/dbraw/zinc/26/02/58/1095260258.db2.gz XIAPRDUKAQUCOJ-SJLPKXTDSA-N 0 1 324.424 3.432 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cc(C)on2)CCCCC1 ZINC001115665453 1095324320 /nfs/dbraw/zinc/32/43/20/1095324320.db2.gz RMXBLHDOQJYLNM-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](C)CC(C)(C)C)[C@@H]2C1 ZINC001221833671 1095369105 /nfs/dbraw/zinc/36/91/05/1095369105.db2.gz WRPGESAJSAJFCG-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN COc1cc(O)ccc1C=NNc1ccc(C(F)(F)F)cn1 ZINC001115820954 1095401076 /nfs/dbraw/zinc/40/10/76/1095401076.db2.gz CVSOVZSGWNVPLO-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN C=CC[C@H](C)C(=O)Nc1ccc(N2CCN(CC)CC2)c(F)c1 ZINC001144019215 1095475532 /nfs/dbraw/zinc/47/55/32/1095475532.db2.gz BZBGQWOAICDCNK-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1cnc(C2CC2)[nH]1 ZINC001116254905 1095495479 /nfs/dbraw/zinc/49/54/79/1095495479.db2.gz IEOISBBZYVGKCW-UHFFFAOYSA-N 0 1 308.385 3.210 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1ccn(-c2ccncc2)n1 ZINC001339128400 1095508961 /nfs/dbraw/zinc/50/89/61/1095508961.db2.gz TWVKBPOIYWEKMV-UHFFFAOYSA-N 0 1 324.428 3.476 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1[nH]nc3ccc(F)cc31)CC2 ZINC001144191556 1095550168 /nfs/dbraw/zinc/55/01/68/1095550168.db2.gz RZGPODFXHBKUCS-UHFFFAOYSA-N 0 1 306.344 3.132 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CCN(Cc3ccccc3F)[C@H]2C1 ZINC001222401584 1095596672 /nfs/dbraw/zinc/59/66/72/1095596672.db2.gz FTUOMLWHNGIKFX-QAPCUYQASA-N 0 1 316.420 3.215 20 30 DGEDMN C=CCO[C@@H]1CCN(C(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC001116573335 1095605251 /nfs/dbraw/zinc/60/52/51/1095605251.db2.gz FYKDTCSIQAZGCX-SNVBAGLBSA-N 0 1 316.184 3.116 20 30 DGEDMN Cc1cccc2c1[C@H](N[C@@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171179560 1095649970 /nfs/dbraw/zinc/64/99/70/1095649970.db2.gz UBDBMJYTABWAMY-UGSOOPFHSA-N 0 1 305.381 3.081 20 30 DGEDMN Cn1ccc2c1nccc2NC(=N)c1ccc2cc(O)ccc2c1 ZINC001171187012 1095669785 /nfs/dbraw/zinc/66/97/85/1095669785.db2.gz ZHUPSJBIIJIKEI-UHFFFAOYSA-N 0 1 316.364 3.469 20 30 DGEDMN N=C(Nc1cncc2cc[nH]c21)c1ccc2cc(O)ccc2c1 ZINC001171185315 1095671388 /nfs/dbraw/zinc/67/13/88/1095671388.db2.gz UXZBUZZEJBBIJA-UHFFFAOYSA-N 0 1 302.337 3.459 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)NCC(=O)c1cc(F)ccc1F ZINC001171198729 1095712981 /nfs/dbraw/zinc/71/29/81/1095712981.db2.gz OWMADIMQOMHUJJ-GFCCVEGCSA-N 0 1 314.335 3.240 20 30 DGEDMN C#Cc1ccc(CN2CCN(C3(c4ccccc4)CC3)CC2)cc1 ZINC001144578874 1095714957 /nfs/dbraw/zinc/71/49/57/1095714957.db2.gz RYDDYAKMSRAPFO-UHFFFAOYSA-N 0 1 316.448 3.475 20 30 DGEDMN C#CCNCC(=O)N[C@@H](CCC(C)C)c1ccc(Cl)cc1 ZINC001339739744 1095715587 /nfs/dbraw/zinc/71/55/87/1095715587.db2.gz HCTFVYJKISUQER-INIZCTEOSA-N 0 1 306.837 3.156 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1ccc2cncn2c1C ZINC001117139466 1095720383 /nfs/dbraw/zinc/72/03/83/1095720383.db2.gz NJVJQONLSXYMJJ-UHFFFAOYSA-N 0 1 319.368 3.199 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2ncccc2C#N)C1 ZINC001222745015 1095739486 /nfs/dbraw/zinc/73/94/86/1095739486.db2.gz DPGAAPGSMNALSG-DOTOQJQBSA-N 0 1 322.412 3.090 20 30 DGEDMN Cc1ccc2c(n1)N(C)CCN([C@@H](C)Cc1cccc(C#N)c1)C2 ZINC001171212836 1095778333 /nfs/dbraw/zinc/77/83/33/1095778333.db2.gz QJCPNVDYPBHZHH-INIZCTEOSA-N 0 1 320.440 3.145 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@@H](C)C1=O)c1cccc(Cl)c1 ZINC001222886257 1095779636 /nfs/dbraw/zinc/77/96/36/1095779636.db2.gz GKLRPRQKKQELFI-BJOHPYRUSA-N 0 1 324.760 3.180 20 30 DGEDMN CNc1ccc(Cl)c(C(=O)Nc2cc(C#N)ccc2O)c1 ZINC001137934155 1095794159 /nfs/dbraw/zinc/79/41/59/1095794159.db2.gz KTDGCEWOYAZLAW-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN C[N@H+]1CCC[C@H](OC(F)(F)c2ccccc2/C(Cl)=N/[O-])C1 ZINC001222940313 1095796591 /nfs/dbraw/zinc/79/65/91/1095796591.db2.gz QORGYACNGUVTHL-ZPEMJIOOSA-N 0 1 318.751 3.221 20 30 DGEDMN COc1ccc(N=NCc2nc3c(s2)C[C@H](C)CC3)nc1 ZINC001117742802 1095822548 /nfs/dbraw/zinc/82/25/48/1095822548.db2.gz YPLBLFLUQISUJP-SNVBAGLBSA-N 0 1 302.403 3.118 20 30 DGEDMN C#C[C@H](COc1cccc(C(F)(F)F)c1)OCc1cnc[nH]1 ZINC001223008368 1095827083 /nfs/dbraw/zinc/82/70/83/1095827083.db2.gz XOZWQXRNRPCDST-CYBMUJFWSA-N 0 1 310.275 3.026 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001171230577 1095866745 /nfs/dbraw/zinc/86/67/45/1095866745.db2.gz WOPOMIIEHAWGNO-UWJYYQICSA-N 0 1 320.436 3.433 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC001117994391 1095916377 /nfs/dbraw/zinc/91/63/77/1095916377.db2.gz MCSDMEZTILAHIG-HNNXBMFYSA-N 0 1 302.349 3.258 20 30 DGEDMN CCn1cc(CC(=O)NOc2cccc(C#N)c2)c2ccccc21 ZINC001171247734 1095918204 /nfs/dbraw/zinc/91/82/04/1095918204.db2.gz VHZQWJDIKVXUOQ-UHFFFAOYSA-N 0 1 319.364 3.186 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CCC(C)C)C1CC1 ZINC001340374793 1095942368 /nfs/dbraw/zinc/94/23/68/1095942368.db2.gz IHPMVRVHHPSQQD-UHFFFAOYSA-N 0 1 314.437 3.178 20 30 DGEDMN CCCN1CCCC[C@@H]1C(=O)OCc1ccc(CC#N)cc1 ZINC001118155315 1095947940 /nfs/dbraw/zinc/94/79/40/1095947940.db2.gz OJCPVKTWGXBMIR-QGZVFWFLSA-N 0 1 300.402 3.060 20 30 DGEDMN Cc1cc2ccccc2c(NC(=N)c2ccc(C(N)=O)cc2)c1 ZINC001171258565 1095952549 /nfs/dbraw/zinc/95/25/49/1095952549.db2.gz DVLXVWSYPAYSRS-UHFFFAOYSA-N 0 1 303.365 3.284 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2cc(C#N)ccn2)C1 ZINC001223370166 1095953917 /nfs/dbraw/zinc/95/39/17/1095953917.db2.gz NXNPZEIBBYKFQH-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CCC)CC(C)C)C2)C1 ZINC001276886714 1095956527 /nfs/dbraw/zinc/95/65/27/1095956527.db2.gz NUDQDGPMEOPAPJ-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN Nc1cn[nH+]c([N-]N=C2CCSc3ccc(F)cc32)c1Cl ZINC001340496031 1095963526 /nfs/dbraw/zinc/96/35/26/1095963526.db2.gz USNTULDXEFBSSJ-UHFFFAOYSA-N 0 1 323.784 3.163 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C[C@@H](C)CCC=C(C)C)C[C@@H]21 ZINC001223549495 1095997511 /nfs/dbraw/zinc/99/75/11/1095997511.db2.gz MNMHJECDTTWZKF-OTWHNJEPSA-N 0 1 316.489 3.315 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001223581122 1096006570 /nfs/dbraw/zinc/00/65/70/1096006570.db2.gz ZTOIWVRGUNJOMG-KDQWWBNRSA-N 0 1 310.869 3.264 20 30 DGEDMN CC(C)CCOC(=O)[C@@H](C)O/C(=N\O)c1ccc(Cl)cc1 ZINC001223594094 1096010570 /nfs/dbraw/zinc/01/05/70/1096010570.db2.gz RYODKYJQAATVSL-YOEHMKRZSA-N 0 1 313.781 3.470 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001276915625 1096048724 /nfs/dbraw/zinc/04/87/24/1096048724.db2.gz DEWXZORRWFFEKA-WMLDXEAASA-N 0 1 306.475 3.083 20 30 DGEDMN C[C@H](c1ccccc1)N(C(=O)c1cc[nH]n1)C1=CC(=O)CCC1 ZINC001146839099 1096123506 /nfs/dbraw/zinc/12/35/06/1096123506.db2.gz CENYHOFFZWDMPU-CYBMUJFWSA-N 0 1 309.369 3.250 20 30 DGEDMN Cc1oc(-c2cccs2)nc1COC1=C(C)O[C@H](C)C1=O ZINC001224223612 1096167236 /nfs/dbraw/zinc/16/72/36/1096167236.db2.gz JFAZHPCKPKBCOM-SECBINFHSA-N 0 1 305.355 3.447 20 30 DGEDMN C#CCCCN1CC[C@H]2C[C@]21C(=O)Nc1ccc2[nH]c(C)cc2c1 ZINC001276972565 1096214198 /nfs/dbraw/zinc/21/41/98/1096214198.db2.gz AJROKJAUPYGGJW-OXJNMPFZSA-N 0 1 321.424 3.293 20 30 DGEDMN CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1cc(F)ccc1C#N ZINC001224497824 1096225612 /nfs/dbraw/zinc/22/56/12/1096225612.db2.gz HSIJPIPKWJYMKE-IAGOWNOFSA-N 0 1 317.408 3.014 20 30 DGEDMN CC[C@H](C)CC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001147451808 1096229799 /nfs/dbraw/zinc/22/97/99/1096229799.db2.gz YCUGIEYNLNEBCF-SFHVURJKSA-N 0 1 324.468 3.009 20 30 DGEDMN C[C@@H](CCC(C)(C)C)C(=O)NCCNCC#Cc1ccccc1 ZINC001147787236 1096271553 /nfs/dbraw/zinc/27/15/53/1096271553.db2.gz RDNVEYRXTKNQLA-KRWDZBQOSA-N 0 1 314.473 3.206 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CC(C)(C)C1CCCCC1 ZINC001147823276 1096278016 /nfs/dbraw/zinc/27/80/16/1096278016.db2.gz DATMQXMMCSCBEI-UHFFFAOYSA-N 0 1 300.874 3.441 20 30 DGEDMN C[C@@H](CS)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152258 1096354065 /nfs/dbraw/zinc/35/40/65/1096354065.db2.gz NQEYGYJAWQULDK-MAUKXSAKSA-N 0 1 323.502 3.391 20 30 DGEDMN C#CCCCC(=O)NCC1(N[C@H](C)c2ccc(F)cc2F)CC1 ZINC001277043480 1096429419 /nfs/dbraw/zinc/42/94/19/1096429419.db2.gz OFAOLCMUDVHFTN-CYBMUJFWSA-N 0 1 320.383 3.068 20 30 DGEDMN C=C[C@H](CC(=O)NCC1CCN(CCF)CC1)c1ccccc1 ZINC001225535314 1096462135 /nfs/dbraw/zinc/46/21/35/1096462135.db2.gz YSIYYVSVSGSPQT-QGZVFWFLSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc(OC)c1Br ZINC001225768244 1096525042 /nfs/dbraw/zinc/52/50/42/1096525042.db2.gz OYJKLQKNMCTFCW-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(Br)ccc1C#N ZINC001225787130 1096530569 /nfs/dbraw/zinc/53/05/69/1096530569.db2.gz XZBJGHCVCYBMDY-NSHDSACASA-N 0 1 322.158 3.309 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@H]3CN(C/C=C/Cl)C[C@@]3(C)C2)CC1 ZINC001091977911 1096564908 /nfs/dbraw/zinc/56/49/08/1096564908.db2.gz AFCPGYGCVLPPTE-FQYGRFKFSA-N 0 1 322.880 3.266 20 30 DGEDMN C[C@H](Oc1nc(C(F)(F)F)cc(=O)[nH]1)c1ccccc1C#N ZINC001225978154 1096579231 /nfs/dbraw/zinc/57/92/31/1096579231.db2.gz OOAUMSWDHNUDRQ-QMMMGPOBSA-N 0 1 309.247 3.213 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2C[C@H]2CC(C)C)[C@H](C)C1 ZINC001092981587 1096644743 /nfs/dbraw/zinc/64/47/43/1096644743.db2.gz DQHYLQMXKYDSFX-DTZQCDIJSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CCC(C)(C)C2)[C@H](C)C1 ZINC001092940162 1096644876 /nfs/dbraw/zinc/64/48/76/1096644876.db2.gz JJDHCZDKFNCBBW-VHDGCEQUSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(C)cc2F)[C@H](C)C1 ZINC001092996314 1096645876 /nfs/dbraw/zinc/64/58/76/1096645876.db2.gz ONYIJANWDLYRIO-TZMCWYRMSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CC3CCC2CC3)[C@H](C)C1 ZINC001093228636 1096673155 /nfs/dbraw/zinc/67/31/55/1096673155.db2.gz PCAYPPSHUVUZGH-QEMYWTIBSA-N 0 1 324.896 3.249 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2c(O)cccc2C#N)C1 ZINC001226521573 1096712611 /nfs/dbraw/zinc/71/26/11/1096712611.db2.gz ICKNUDWOMQEIOJ-HOCLYGCPSA-N 0 1 323.396 3.263 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1ncc(C)s1 ZINC001226533478 1096715542 /nfs/dbraw/zinc/71/55/42/1096715542.db2.gz ZQBRTLCXGXBIPG-HUUCEWRRSA-N 0 1 321.490 3.325 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2ccc(C)c(F)c2)CC1 ZINC001226534190 1096715587 /nfs/dbraw/zinc/71/55/87/1096715587.db2.gz FLQXLMQFKXNRIU-UHFFFAOYSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CC[C@@H](CCC)Oc1ncnc2[nH]nc(Br)c21 ZINC001226667305 1096747099 /nfs/dbraw/zinc/74/70/99/1096747099.db2.gz XKHAKOHAKIMMNW-QMMMGPOBSA-N 0 1 311.183 3.239 20 30 DGEDMN C=CCC(CC=C)Oc1ncnc2[nH]nc(Br)c21 ZINC001226666656 1096747353 /nfs/dbraw/zinc/74/73/53/1096747353.db2.gz OATYGSCJZXSUKS-UHFFFAOYSA-N 0 1 309.167 3.015 20 30 DGEDMN C=CC[C@H](CCC)Oc1ncnc2[nH]nc(Br)c21 ZINC001226667304 1096747418 /nfs/dbraw/zinc/74/74/18/1096747418.db2.gz XKHAKOHAKIMMNW-MRVPVSSYSA-N 0 1 311.183 3.239 20 30 DGEDMN C=CCCCCCN1CCc2cc(C(=O)N3CC=CC3)[nH]c2C1 ZINC001277152269 1096764752 /nfs/dbraw/zinc/76/47/52/1096764752.db2.gz LAUOOPPFFULNJG-UHFFFAOYSA-N 0 1 313.445 3.131 20 30 DGEDMN CC(=O)c1cc(C#N)ccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001226966810 1096830186 /nfs/dbraw/zinc/83/01/86/1096830186.db2.gz MPUSDBQQQXOGLG-GOSISDBHSA-N 0 1 320.392 3.414 20 30 DGEDMN C=CC[C@H](CCC)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227096873 1096867233 /nfs/dbraw/zinc/86/72/33/1096867233.db2.gz UNTUHADDXSGWCB-LLVKDONJSA-N 0 1 303.318 3.367 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](Oc2nc(=O)[nH]c(C)c2Cl)C(C)(C)O1 ZINC001227111764 1096871383 /nfs/dbraw/zinc/87/13/83/1096871383.db2.gz QNGBODRYIIPIHS-MEBBXXQBSA-N 0 1 312.797 3.425 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[N@@H+](C)CC1 ZINC001227120144 1096873770 /nfs/dbraw/zinc/87/37/70/1096873770.db2.gz FXKAOHIMVXRVBV-CQSZACIVSA-N 0 1 303.402 3.186 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[N@H+](C)CC1 ZINC001227120144 1096873778 /nfs/dbraw/zinc/87/37/78/1096873778.db2.gz FXKAOHIMVXRVBV-CQSZACIVSA-N 0 1 303.402 3.186 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(C(=O)OC)ccc1Cl ZINC001227156674 1096882133 /nfs/dbraw/zinc/88/21/33/1096882133.db2.gz LYPDAIQVIBDTOH-LLVKDONJSA-N 0 1 310.733 3.115 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cc(C#N)ccc2O)C1 ZINC001227351749 1096919414 /nfs/dbraw/zinc/91/94/14/1096919414.db2.gz YQPYLSCHLGSWIE-ZBFHGGJFSA-N 0 1 323.396 3.263 20 30 DGEDMN C#C[C@@H](CC)Oc1cc(OC)ccc1C(=O)c1ccccc1O ZINC001227407794 1096926897 /nfs/dbraw/zinc/92/68/97/1096926897.db2.gz QPHYNJREOMMTCN-ZDUSSCGKSA-N 0 1 310.349 3.422 20 30 DGEDMN C[NH+]1C(C)(C)CC(Oc2ccc([O-])c(C#N)c2C#N)CC1(C)C ZINC001227618885 1096961887 /nfs/dbraw/zinc/96/18/87/1096961887.db2.gz NXJVDFXRHRWGTM-UHFFFAOYSA-N 0 1 313.401 3.166 20 30 DGEDMN CCCCCCCCC(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001171818461 1096974060 /nfs/dbraw/zinc/97/40/60/1096974060.db2.gz LZINFSVDQXTSIT-CQSZACIVSA-N 0 1 323.485 3.021 20 30 DGEDMN CN(CCC#N)[C@@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 ZINC001171845832 1096991292 /nfs/dbraw/zinc/99/12/92/1096991292.db2.gz LNLDCCQPKDFKQG-CQSZACIVSA-N 0 1 307.438 3.154 20 30 DGEDMN COc1ccc(C2(N[C@H](C)Cc3ccc(C#N)cc3)COC2)cc1 ZINC001172302482 1097095063 /nfs/dbraw/zinc/09/50/63/1097095063.db2.gz KUVRBKPOWQBNTD-OAHLLOKOSA-N 0 1 322.408 3.013 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CCN1[C@H](CC)CC(C)C ZINC001172402483 1097145939 /nfs/dbraw/zinc/14/59/39/1097145939.db2.gz NOYGXKWXBKMKAI-WBVHZDCISA-N 0 1 320.481 3.225 20 30 DGEDMN C[C@@H](CCCC#N)N1C[C@@H]2CC[C@H]1CN2C(=O)OC(C)(C)C ZINC001172509682 1097175022 /nfs/dbraw/zinc/17/50/22/1097175022.db2.gz NXONBHLDXSMPOM-KKUMJFAQSA-N 0 1 307.438 3.152 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC001228747497 1097181480 /nfs/dbraw/zinc/18/14/80/1097181480.db2.gz BUHCALMEAHOGJQ-LSDHHAIUSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228749933 1097182382 /nfs/dbraw/zinc/18/23/82/1097182382.db2.gz VQJNLQVTVNMKLK-LSDHHAIUSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228748607 1097182605 /nfs/dbraw/zinc/18/26/05/1097182605.db2.gz MOTNUZXRHJTGBG-UONOGXRCSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCOC(=O)N1CCN([C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC001172570936 1097184124 /nfs/dbraw/zinc/18/41/24/1097184124.db2.gz ZHKOSSBKGGOIMQ-QZTJIDSGSA-N 0 1 314.429 3.263 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228809056 1097194948 /nfs/dbraw/zinc/19/49/48/1097194948.db2.gz DFJCUKDMGVHNIZ-CQSZACIVSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001228810047 1097195907 /nfs/dbraw/zinc/19/59/07/1097195907.db2.gz PLSSNOHDRFSZPD-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001228810577 1097195933 /nfs/dbraw/zinc/19/59/33/1097195933.db2.gz XKALYWWXMRPFLH-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@@H](CC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228813855 1097196461 /nfs/dbraw/zinc/19/64/61/1097196461.db2.gz HKSJZYLIWCUFLE-SNVBAGLBSA-N 0 1 309.318 3.211 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)cc2C#N)C1 ZINC001229033770 1097241415 /nfs/dbraw/zinc/24/14/15/1097241415.db2.gz GYEAFGPTRFEPKF-RHSMWYFYSA-N 0 1 318.380 3.039 20 30 DGEDMN Cc1cccc(C#N)c1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001229180969 1097273252 /nfs/dbraw/zinc/27/32/52/1097273252.db2.gz DUBVGUPFAPTPLK-NVXWUHKLSA-N 0 1 307.397 3.476 20 30 DGEDMN C=CC(C=C)Oc1ccc2c(c1)C(=O)c1ccc(O)cc1C2=O ZINC001229192818 1097274770 /nfs/dbraw/zinc/27/47/70/1097274770.db2.gz VBAWKNVNFZCCRT-UHFFFAOYSA-N 0 1 306.317 3.287 20 30 DGEDMN Cc1nnc(OC(C(=O)c2ccco2)c2ccco2)c(C#N)c1C ZINC001229438935 1097321032 /nfs/dbraw/zinc/32/10/32/1097321032.db2.gz ACHPIKLMVBTOHO-MRXNPFEDSA-N 0 1 323.308 3.154 20 30 DGEDMN C=CCOCc1nn(C2CCC(OCCC)CC2)c2c1CNC2 ZINC001173098286 1097321897 /nfs/dbraw/zinc/32/18/97/1097321897.db2.gz MZNBXZFQVHJOHY-UHFFFAOYSA-N 0 1 319.449 3.099 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(N=C2C=CC(=O)C=C2)cc1 ZINC001229695548 1097366850 /nfs/dbraw/zinc/36/68/50/1097366850.db2.gz ZACDYGRVXLXDHM-QGZVFWFLSA-N 0 1 323.348 3.442 20 30 DGEDMN C#Cc1ccc(Nc2cccc(N3CCN(C)CC3)c2)cc1F ZINC001212717099 1097386471 /nfs/dbraw/zinc/38/64/71/1097386471.db2.gz MUZLXNKSXRCBME-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230039830 1097434277 /nfs/dbraw/zinc/43/42/77/1097434277.db2.gz IAOCQUXLSCXTKQ-RXMQYKEDSA-N 0 1 318.112 3.500 20 30 DGEDMN C#C[C@@H](CC)Oc1nc(C)c(C(=O)c2ccc(SC)cc2)[nH]1 ZINC001230136862 1097450158 /nfs/dbraw/zinc/45/01/58/1097450158.db2.gz VUBQWFAKZMLQBJ-ZDUSSCGKSA-N 0 1 314.410 3.462 20 30 DGEDMN C=CC[C@@H](CCC)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230447015 1097492112 /nfs/dbraw/zinc/49/21/12/1097492112.db2.gz WZIFTZZMEPQGAJ-NSHDSACASA-N 0 1 317.393 3.071 20 30 DGEDMN C=CCN(CCCNC(=O)c1ccccc1C)Cc1cccnc1 ZINC001230656109 1097548726 /nfs/dbraw/zinc/54/87/26/1097548726.db2.gz SEMYYCRTZYDYFL-UHFFFAOYSA-N 0 1 323.440 3.198 20 30 DGEDMN C=C(C)C[N@H+](CCCNC(=O)[C@H](C)C1CC1)Cc1cccnc1 ZINC001230723050 1097565193 /nfs/dbraw/zinc/56/51/93/1097565193.db2.gz ZCBWJXIVDCTESR-MRXNPFEDSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@](C)(C=C)CC)Cc1cccnc1 ZINC001230838412 1097603871 /nfs/dbraw/zinc/60/38/71/1097603871.db2.gz PGVZAAKGMHJIHH-IBGZPJMESA-N 0 1 315.461 3.178 20 30 DGEDMN Cc1ccc2c(c1)nc(=O)[nH]c2O[C@H](C#N)c1cccc(F)c1 ZINC001230889177 1097615020 /nfs/dbraw/zinc/61/50/20/1097615020.db2.gz RSDCNQMFQFUNNQ-OAHLLOKOSA-N 0 1 309.300 3.427 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(CC)CC)Cc1cccnc1 ZINC001230924314 1097624764 /nfs/dbraw/zinc/62/47/64/1097624764.db2.gz OOGDAODGVIBBCO-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1cccc2cccnc21 ZINC001231114701 1097671845 /nfs/dbraw/zinc/67/18/45/1097671845.db2.gz QOMZOAGZHBZMEI-GOSISDBHSA-N 0 1 323.440 3.234 20 30 DGEDMN CN1CCC12CN(Cc1ccc(-c3ccccc3C#N)cc1)C2 ZINC001277503908 1097710103 /nfs/dbraw/zinc/71/01/03/1097710103.db2.gz HKLPDEJZIVBHGJ-UHFFFAOYSA-N 0 1 303.409 3.115 20 30 DGEDMN CC(C)c1ccccc1CN1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231293808 1097715341 /nfs/dbraw/zinc/71/53/41/1097715341.db2.gz RJOQTZDOWYLLLL-RDJZCZTQSA-N 0 1 313.445 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCN[C@@H](C)c1ncccn1 ZINC001174681990 1097799119 /nfs/dbraw/zinc/79/91/19/1097799119.db2.gz IFYYFKIAWPQUJS-CABCVRRESA-N 0 1 318.465 3.014 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3ccccc3OCC)CC2)c1 ZINC001231656363 1097805023 /nfs/dbraw/zinc/80/50/23/1097805023.db2.gz LPLUZORETARELE-UHFFFAOYSA-N 0 1 320.436 3.389 20 30 DGEDMN Cc1cccc(Nc2ccc(N3CCN(C)CC3)cc2)c1C#N ZINC001174814830 1097845705 /nfs/dbraw/zinc/84/57/05/1097845705.db2.gz LWHWYTKNRBLSAI-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cc(C)sc1C)C2 ZINC001110174134 1097849677 /nfs/dbraw/zinc/84/96/77/1097849677.db2.gz NTIMETOSMQLTBA-IKGGRYGDSA-N 0 1 318.486 3.205 20 30 DGEDMN CN(CCC#N)c1ccc(C[N@H+]2CCc3cccc(O)c3C2)cc1 ZINC001231820999 1097854182 /nfs/dbraw/zinc/85/41/82/1097854182.db2.gz MEVSXJLCHCOZNK-UHFFFAOYSA-N 0 1 321.424 3.300 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001174848262 1097866493 /nfs/dbraw/zinc/86/64/93/1097866493.db2.gz ALCUCKLSXMFEAY-ZBFHGGJFSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1ncccn1 ZINC001174978356 1097900898 /nfs/dbraw/zinc/90/08/98/1097900898.db2.gz LATHTVOARCJACX-CVEARBPZSA-N 0 1 318.465 3.159 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3ccnc3)CC2)cc1Cl ZINC001232116659 1097943762 /nfs/dbraw/zinc/94/37/62/1097943762.db2.gz OLGADIGQKDNBCR-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccnc(C3CC3)c2)C1 ZINC001277626279 1097951893 /nfs/dbraw/zinc/95/18/93/1097951893.db2.gz VLFMNOMMLLSMCW-AWEZNQCLSA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2c(F)cccc2F)C1 ZINC001277651592 1098010182 /nfs/dbraw/zinc/01/01/82/1098010182.db2.gz GHQTVNJTKGJUFQ-CYBMUJFWSA-N 0 1 322.399 3.005 20 30 DGEDMN COc1cc(CN2CCC(C)(C#N)CC2)ccc1-n1cnc(C)c1 ZINC001232562946 1098079953 /nfs/dbraw/zinc/07/99/53/1098079953.db2.gz LEHJEUWIIBETRJ-UHFFFAOYSA-N 0 1 324.428 3.315 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001277686246 1098088430 /nfs/dbraw/zinc/08/84/30/1098088430.db2.gz FOUTVMDEUVDWHB-UHFFFAOYSA-N 0 1 320.399 3.464 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1cc(F)c(O)c(Br)c1 ZINC001232633053 1098102779 /nfs/dbraw/zinc/10/27/79/1098102779.db2.gz CSXSYHIUXSURLQ-SNVBAGLBSA-N 0 1 313.170 3.172 20 30 DGEDMN COc1ccccc1C[C@@H](C)[N@@H+](C)Cc1cc(C#N)ccc1[O-] ZINC001232678108 1098112451 /nfs/dbraw/zinc/11/24/51/1098112451.db2.gz PPJRKELOXHETNJ-CQSZACIVSA-N 0 1 310.397 3.335 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@H]2COCc2ccccc2)c1 ZINC001232679400 1098113734 /nfs/dbraw/zinc/11/37/34/1098113734.db2.gz JAYCWNAVKDNYJS-GOSISDBHSA-N 0 1 308.381 3.055 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@@H+](Cc2ccccc2)C2CCOCC2)c1 ZINC001232679506 1098113782 /nfs/dbraw/zinc/11/37/82/1098113782.db2.gz NDOZYRQEOLKFNK-UHFFFAOYSA-N 0 1 322.408 3.445 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)s2)CCC[C@@]1(O)C(F)(F)F ZINC001232842198 1098150901 /nfs/dbraw/zinc/15/09/01/1098150901.db2.gz NZHDHDWLDFXHIG-GWCFXTLKSA-N 0 1 318.364 3.145 20 30 DGEDMN CCCn1nc(C)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001175798021 1098159805 /nfs/dbraw/zinc/15/98/05/1098159805.db2.gz DDMFYDSPQMLVJX-UHFFFAOYSA-N 0 1 315.446 3.266 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCC[N@H+](Cc1cc(C)cc(O)c1)C2 ZINC001232881885 1098160804 /nfs/dbraw/zinc/16/08/04/1098160804.db2.gz QGJBMPLJYFYAAI-OALUTQOASA-N 0 1 317.429 3.027 20 30 DGEDMN N#Cc1cccc(CN2CCC3(CC(OCC4CC4)C3)CC2)n1 ZINC001232925588 1098173817 /nfs/dbraw/zinc/17/38/17/1098173817.db2.gz XFBHIWLYGSCLRT-UHFFFAOYSA-N 0 1 311.429 3.124 20 30 DGEDMN N#Cc1cccc(CN2CCC(n3cnc4ccccc43)CC2)n1 ZINC001232928919 1098174947 /nfs/dbraw/zinc/17/49/47/1098174947.db2.gz ZEIJTPYPKAMJBV-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN CCCCN(CC#N)Cc1c(O)cc(Br)cc1F ZINC001233030500 1098206250 /nfs/dbraw/zinc/20/62/50/1098206250.db2.gz VZYNWPGBTDNBDG-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2c(O)cc(Br)cc2F)C1 ZINC001233032525 1098208187 /nfs/dbraw/zinc/20/81/87/1098208187.db2.gz HUYOBLXEWOXNHV-CYBMUJFWSA-N 0 1 313.170 3.029 20 30 DGEDMN C[C@H]1CN(Cc2[nH]ccc2C#N)CC[C@@]1(C)c1cccc(O)c1 ZINC001233046545 1098211165 /nfs/dbraw/zinc/21/11/65/1098211165.db2.gz CDPDMGPQQSZKIY-IFXJQAMLSA-N 0 1 309.413 3.392 20 30 DGEDMN COc1cccc(C[C@@H]2CCCN(Cc3[nH]ccc3C#N)C2)c1 ZINC001233048714 1098213132 /nfs/dbraw/zinc/21/31/32/1098213132.db2.gz KHQXNFHFAUKQKH-INIZCTEOSA-N 0 1 309.413 3.350 20 30 DGEDMN COC(=O)c1cccc(CN(C)C[C@H](C)C(C)(C)C)c1C#N ZINC001175984871 1098219512 /nfs/dbraw/zinc/21/95/12/1098219512.db2.gz XGMCVWFNHYSLEV-ZDUSSCGKSA-N 0 1 302.418 3.459 20 30 DGEDMN CCCCCCCCN1C[C@]2(C)CS(=O)(=O)C[C@]2(C)C1 ZINC001175948032 1098223440 /nfs/dbraw/zinc/22/34/40/1098223440.db2.gz VXNDLOAJYLWBNR-IYBDPMFKSA-N 0 1 301.496 3.104 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c3ccccc3n(C)c2C(=O)OCC)C1 ZINC001233272979 1098270117 /nfs/dbraw/zinc/27/01/17/1098270117.db2.gz JHESZEFKWQKQLS-HNNXBMFYSA-N 0 1 324.424 3.200 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@@H](OCc3ccccc3)C2)c([O-])c1 ZINC001233386092 1098310122 /nfs/dbraw/zinc/31/01/22/1098310122.db2.gz GFMZGOZINIYLNH-GOSISDBHSA-N 0 1 308.381 3.055 20 30 DGEDMN CC[N@@H+](CCc1ccc(OC)cc1)Cc1ccc(C#N)cc1[O-] ZINC001233387619 1098310230 /nfs/dbraw/zinc/31/02/30/1098310230.db2.gz QBFVFHGQJDGBRE-UHFFFAOYSA-N 0 1 310.397 3.337 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1[O-])Cc1cccc2cccnc21 ZINC001233386162 1098310617 /nfs/dbraw/zinc/31/06/17/1098310617.db2.gz IONMRZOHSBIORA-UHFFFAOYSA-N 0 1 303.365 3.444 20 30 DGEDMN CCc1cc(C)c(C#N)c(O[C@H]2CC[N@@H+](Cc3ccccc3)C2)n1 ZINC001233553750 1098374457 /nfs/dbraw/zinc/37/44/57/1098374457.db2.gz NLBUZOYHVLBYMM-SFHVURJKSA-N 0 1 321.424 3.477 20 30 DGEDMN CCc1cc(C)c(C#N)c(O[C@@H]2CC[N@@H+](Cc3ccccc3)C2)n1 ZINC001233553747 1098374945 /nfs/dbraw/zinc/37/49/45/1098374945.db2.gz NLBUZOYHVLBYMM-GOSISDBHSA-N 0 1 321.424 3.477 20 30 DGEDMN CCC[C@@H](C#Cc1ccccc1)Oc1n[nH]c(=O)cc1Cl ZINC001233588240 1098383420 /nfs/dbraw/zinc/38/34/20/1098383420.db2.gz CMVHJFDDXXXZAL-ZDUSSCGKSA-N 0 1 302.761 3.435 20 30 DGEDMN N#Cc1cc2c(ccn2-c2c(F)cc(F)c(O)c2F)c(N)c1 ZINC001176673753 1098403494 /nfs/dbraw/zinc/40/34/94/1098403494.db2.gz ZORAIYQPIBDWOB-UHFFFAOYSA-N 0 1 303.243 3.207 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1ccnn1C1CCCC1 ZINC001176770875 1098436642 /nfs/dbraw/zinc/43/66/42/1098436642.db2.gz ARZYUBJJOKDNLJ-OAHLLOKOSA-N 0 1 316.449 3.367 20 30 DGEDMN C=C(C)CCN1CCO[C@H]2CCN(C(=O)C=C(CC)CC)C[C@@H]21 ZINC001176982671 1098458647 /nfs/dbraw/zinc/45/86/47/1098458647.db2.gz HEAYNWHSJVXYRD-ROUUACIJSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(OC)ccc1C(F)(F)F ZINC001234055321 1098486880 /nfs/dbraw/zinc/48/68/80/1098486880.db2.gz ROKHNZZDSQGMHD-ZETCQYMHSA-N 0 1 302.248 3.312 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001234127666 1098500280 /nfs/dbraw/zinc/50/02/80/1098500280.db2.gz ZHGVBOQIMRHTGD-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCCC(=O)N(CCCNCc1ccon1)CC1CCCC1 ZINC001177241953 1098501092 /nfs/dbraw/zinc/50/10/92/1098501092.db2.gz BHGZIAQPRRQDKB-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C[C@@H](CC)Oc1cc(O)cc(O)c1C(=O)c1cccc(O)c1 ZINC001234162849 1098507427 /nfs/dbraw/zinc/50/74/27/1098507427.db2.gz RPOGUZFMEULKBJ-AWEZNQCLSA-N 0 1 314.337 3.378 20 30 DGEDMN C=CC[C@@H](C)Oc1cc(O)cc(O)c1C(=O)c1cccc(O)c1 ZINC001234164492 1098508148 /nfs/dbraw/zinc/50/81/48/1098508148.db2.gz BUAZETXZWBZCSD-LLVKDONJSA-N 0 1 314.337 3.378 20 30 DGEDMN C=CCCOCCCCC(=O)N(C)C[C@@H]1CCN1CC=C(C)C ZINC001234276009 1098525799 /nfs/dbraw/zinc/52/57/99/1098525799.db2.gz HXCBRQPWEVCUCQ-SFHVURJKSA-N 0 1 322.493 3.248 20 30 DGEDMN COc1cc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)ccc1C#N ZINC001234498195 1098570359 /nfs/dbraw/zinc/57/03/59/1098570359.db2.gz ZDFXYKNLFBOACL-WMLDXEAASA-N 0 1 323.396 3.176 20 30 DGEDMN CCCC(=O)[C@H](CCC)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234511404 1098576128 /nfs/dbraw/zinc/57/61/28/1098576128.db2.gz PEJVXHHDHRLEJE-AWEZNQCLSA-N 0 1 313.357 3.124 20 30 DGEDMN C=CC[C@@H](Oc1nc(C)[nH]c(=O)c1Br)C(C)C ZINC001234568287 1098604134 /nfs/dbraw/zinc/60/41/34/1098604134.db2.gz LYJOHWLPZLNLCN-SECBINFHSA-N 0 1 301.184 3.233 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1sccc1Cl ZINC001177917787 1098648313 /nfs/dbraw/zinc/64/83/13/1098648313.db2.gz MGXFLEGDUPWMOI-SECBINFHSA-N 0 1 310.831 3.462 20 30 DGEDMN COC1CCC(CCNC(=O)C(C#N)Cc2cccs2)CC1 ZINC001177915801 1098648805 /nfs/dbraw/zinc/64/88/05/1098648805.db2.gz QAOYGKFAXFKDOQ-SHARSMKWSA-N 0 1 320.458 3.142 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CCc2ccccc21 ZINC001177918239 1098649101 /nfs/dbraw/zinc/64/91/01/1098649101.db2.gz SIXJFHVMIWLEOL-GJZGRUSLSA-N 0 1 310.422 3.277 20 30 DGEDMN C#C[C@@H](C)Oc1c(=O)cc(O)c2c(O)cc(-c3ccccc3)oc1-2 ZINC001234725863 1098654657 /nfs/dbraw/zinc/65/46/57/1098654657.db2.gz PQCGOVRSVYQNBR-LLVKDONJSA-N 0 1 322.316 3.272 20 30 DGEDMN CC(C)Oc1cc(COc2ccccc2C=NO)cnc1F ZINC001234817824 1098671681 /nfs/dbraw/zinc/67/16/81/1098671681.db2.gz ACRDWIFHEJILKX-UHFFFAOYSA-N 0 1 304.321 3.395 20 30 DGEDMN C=CCCC(=O)NCCCCCCN[C@H](C)c1ncc(C)o1 ZINC001178008170 1098676493 /nfs/dbraw/zinc/67/64/93/1098676493.db2.gz UURRSPVDIKUMBG-OAHLLOKOSA-N 0 1 307.438 3.276 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc2c(c(Cl)c1)C(=O)CC2 ZINC001234846151 1098680405 /nfs/dbraw/zinc/68/04/05/1098680405.db2.gz PFMNOPFJDYXUEP-ZDUSSCGKSA-N 0 1 306.745 3.457 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2nc3sccc3[nH]2)C1 ZINC001234847187 1098680429 /nfs/dbraw/zinc/68/04/29/1098680429.db2.gz HGLDRRPPPZYRNE-AWEZNQCLSA-N 0 1 324.409 3.149 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(Cl)c(OC)c1F ZINC001234962873 1098728097 /nfs/dbraw/zinc/72/80/97/1098728097.db2.gz KQHWKBUSVJHXPI-VIFPVBQESA-N 0 1 300.713 3.476 20 30 DGEDMN N#CCCN(Cc1cccc(N2CCOCC2)c1)C1CCCC1 ZINC001235080951 1098789045 /nfs/dbraw/zinc/78/90/45/1098789045.db2.gz XZSQSHPXRNIURX-UHFFFAOYSA-N 0 1 313.445 3.181 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(-c3ccsc3)cs2)CCC1=O ZINC001235187753 1098864973 /nfs/dbraw/zinc/86/49/73/1098864973.db2.gz ZTZBONDTNJVJTL-ZDUSSCGKSA-N 0 1 302.424 3.391 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001277932525 1098905565 /nfs/dbraw/zinc/90/55/65/1098905565.db2.gz HOGRTZKGILSHAU-SUIFULHWSA-N 0 1 305.249 3.242 20 30 DGEDMN CC(C)c1nc2ccccn2c1NC(=O)c1ccc(C#N)c(O)c1 ZINC001178753432 1098924845 /nfs/dbraw/zinc/92/48/45/1098924845.db2.gz NJYFUPDEOJXTDJ-UHFFFAOYSA-N 0 1 320.352 3.287 20 30 DGEDMN CCCCCCC/C=C/CN1C[C@H]2C[C@H](C(=O)OCC)N[C@H]2C1 ZINC001235268361 1098939211 /nfs/dbraw/zinc/93/92/11/1098939211.db2.gz LAKAANQYOPXEOY-RAIGCOBESA-N 0 1 322.493 3.129 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2csc(C#N)c2)n[nH]1 ZINC001179151650 1099027725 /nfs/dbraw/zinc/02/77/25/1099027725.db2.gz FATPMNVXGUMLBM-CQSZACIVSA-N 0 1 300.387 3.019 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179306252 1099056953 /nfs/dbraw/zinc/05/69/53/1099056953.db2.gz FPBSJAJMBDKWCQ-HOCLYGCPSA-N 0 1 321.465 3.207 20 30 DGEDMN CN1CCN(Cc2ccccc2CC#N)[C@H](c2ccccc2)C1 ZINC001235510477 1099068104 /nfs/dbraw/zinc/06/81/04/1099068104.db2.gz BGPYMVHLISUVKE-FQEVSTJZSA-N 0 1 305.425 3.241 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCCCCNCc1ccon1 ZINC001179335412 1099075079 /nfs/dbraw/zinc/07/50/79/1099075079.db2.gz KCCPRUVUMQWFJY-OAHLLOKOSA-N 0 1 307.438 3.043 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCCCCNCc1ccon1 ZINC001179339471 1099076885 /nfs/dbraw/zinc/07/68/85/1099076885.db2.gz FAXBWIFVBVRIRW-HNNXBMFYSA-N 0 1 307.438 3.043 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NCc2ncc(C)s2)[C@@H]1C ZINC001179384670 1099088307 /nfs/dbraw/zinc/08/83/07/1099088307.db2.gz TWJSNYPBVJGICY-SNPRPXQTSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@@H](C)c1nc(C)no1 ZINC001179426410 1099102844 /nfs/dbraw/zinc/10/28/44/1099102844.db2.gz ZCVKTAFHQUKJEK-AWEZNQCLSA-N 0 1 322.453 3.062 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCN[C@H](c3ccc(Cl)cc3)C2)cc1 ZINC001235787922 1099179190 /nfs/dbraw/zinc/17/91/90/1099179190.db2.gz HIPRSGUHOJHBOC-SFHVURJKSA-N 0 1 311.816 3.358 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)Cc1ccccc1Oc1ccccc1 ZINC001179873525 1099234574 /nfs/dbraw/zinc/23/45/74/1099234574.db2.gz MNSACAXXXVRFSO-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(F)c(C#N)c3)[nH]c-2n1 ZINC001235890329 1099235062 /nfs/dbraw/zinc/23/50/62/1099235062.db2.gz PUCUFZGIPQOWHQ-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C[C@H](C#N)c1ccc(-c2ccc(N3CCN(C)CC3)nc2)cc1 ZINC001235975476 1099303432 /nfs/dbraw/zinc/30/34/32/1099303432.db2.gz MSSCKQQOCQLDIY-OAHLLOKOSA-N 0 1 306.413 3.127 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1-c1c(F)cc(F)c(O)c1F ZINC001235995953 1099316861 /nfs/dbraw/zinc/31/68/61/1099316861.db2.gz RMMDJZJSVYNFMG-UHFFFAOYSA-N 0 1 307.227 3.135 20 30 DGEDMN CCCn1nc(C)c(CNCc2cccc(OCC#N)c2)c1C ZINC000150896794 1099366630 /nfs/dbraw/zinc/36/66/30/1099366630.db2.gz OXRNLIWAJOHLHD-UHFFFAOYSA-N 0 1 312.417 3.102 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(C#C[Si](C)(C)C)c1 ZINC001180389918 1099391110 /nfs/dbraw/zinc/39/11/10/1099391110.db2.gz GUVNEHSXHJLIJC-UHFFFAOYSA-N 0 1 302.494 3.196 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001180607586 1099473255 /nfs/dbraw/zinc/47/32/55/1099473255.db2.gz YFJIGKHYTINPDL-KRWDZBQOSA-N 0 1 300.446 3.039 20 30 DGEDMN Cc1nc(NCCCCc2ccnc(N)c2)c(Cl)cc1C#N ZINC001187740142 1099593982 /nfs/dbraw/zinc/59/39/82/1099593982.db2.gz ZMDWJSNBJZWITN-UHFFFAOYSA-N 0 1 315.808 3.327 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001188080864 1099680923 /nfs/dbraw/zinc/68/09/23/1099680923.db2.gz NVXYYBBFHRBIDM-JKSUJKDBSA-N 0 1 304.459 3.137 20 30 DGEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)c1ccccc1C1(C)CC1 ZINC001273561413 1099763820 /nfs/dbraw/zinc/76/38/20/1099763820.db2.gz YOLKHKJLTSSJGX-UHFFFAOYSA-N 0 1 312.457 3.155 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)C2CCCCC2)C1 ZINC001188676218 1099824987 /nfs/dbraw/zinc/82/49/87/1099824987.db2.gz QXOFPUFBCXHMGQ-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001188821345 1099871770 /nfs/dbraw/zinc/87/17/70/1099871770.db2.gz PGUBGBMEQAQADV-LJQANCHMSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H]2CN(CCC(F)(F)F)C[C@H]21 ZINC001188884671 1099880225 /nfs/dbraw/zinc/88/02/25/1099880225.db2.gz JWQPIWPIPZBPQZ-HZSPNIEDSA-N 0 1 318.383 3.074 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(N3CCOCC3)cc2Cl)C1 ZINC001236920761 1099880352 /nfs/dbraw/zinc/88/03/52/1099880352.db2.gz XDVDXYBRBRNJBS-OAHLLOKOSA-N 0 1 318.848 3.022 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001188896161 1099896413 /nfs/dbraw/zinc/89/64/13/1099896413.db2.gz KPCXNBJSKWGOSN-BHYGNILZSA-N 0 1 318.486 3.383 20 30 DGEDMN CCCCCCC/C=C/CN1CCc2nc(C(N)=O)ccc2C1 ZINC001278036900 1099904378 /nfs/dbraw/zinc/90/43/78/1099904378.db2.gz IWRFLYDZAPFIHN-CMDGGOBGSA-N 0 1 315.461 3.455 20 30 DGEDMN C#C[C@@H]1CCC[N@@H+](Cc2c([O-])cc(OC)cc2Br)C1 ZINC001237073824 1099956230 /nfs/dbraw/zinc/95/62/30/1099956230.db2.gz VJCKKRYFKBFVBU-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2c([O-])cc(OC)cc2Br)C1 ZINC001237073824 1099956235 /nfs/dbraw/zinc/95/62/35/1099956235.db2.gz VJCKKRYFKBFVBU-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)Cc2ccc(Cl)c(F)c2)C1 ZINC001189279976 1099999126 /nfs/dbraw/zinc/99/91/26/1099999126.db2.gz OQGGSRJTGVNTDC-CQSZACIVSA-N 0 1 324.827 3.478 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CC[N@H+]3CC[C@H]2C3)c1 ZINC001189267822 1100011226 /nfs/dbraw/zinc/01/12/26/1100011226.db2.gz HGNXHONHCKICKO-KRWDZBQOSA-N 0 1 313.445 3.054 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H](C)CCC=C(C)C)CC1 ZINC001278079455 1100058558 /nfs/dbraw/zinc/05/85/58/1100058558.db2.gz IHMUCNNXRFHEBN-QGZVFWFLSA-N 0 1 304.478 3.363 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CCC2CCC2)C1 ZINC001189565153 1100080873 /nfs/dbraw/zinc/08/08/73/1100080873.db2.gz MRBSYSAIHZWTJN-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)CCC(C)(C)C)[C@@H]2C1 ZINC001189793682 1100132613 /nfs/dbraw/zinc/13/26/13/1100132613.db2.gz HAFHGEPURLWESW-IXDOHACOSA-N 0 1 304.478 3.005 20 30 DGEDMN Cc1ccccc1O[C@@H]1CCCN(Cc2ccnc(C#N)c2)C1 ZINC001237469914 1100135759 /nfs/dbraw/zinc/13/57/59/1100135759.db2.gz ICXNSIBUHXYGKU-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN CCOC(=O)Cc1ccc(CN(CCC#N)C2CCCC2)cc1 ZINC001237478191 1100137857 /nfs/dbraw/zinc/13/78/57/1100137857.db2.gz MSVWBUGSWIAIRB-UHFFFAOYSA-N 0 1 314.429 3.450 20 30 DGEDMN CC[C@H](C)CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001189883196 1100144568 /nfs/dbraw/zinc/14/45/68/1100144568.db2.gz RODQCVNKIDTXEE-HKUYNNGSSA-N 0 1 312.457 3.007 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N(C)Cc2ccc(Cl)s2)C1 ZINC001189911265 1100150754 /nfs/dbraw/zinc/15/07/54/1100150754.db2.gz ZMDGHHRAOWYXFL-CYBMUJFWSA-N 0 1 324.877 3.238 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCCCCCC)[C@@H]2C1 ZINC001189936484 1100164735 /nfs/dbraw/zinc/16/47/35/1100164735.db2.gz HEEWXOFZMCXTKF-ZWKOTPCHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C(=O)c1ccsc1)C1CCN(C/C=C\Cl)CC1 ZINC001278115126 1100173308 /nfs/dbraw/zinc/17/33/08/1100173308.db2.gz HPJLCBUZNVRJSO-CLTKARDFSA-N 0 1 322.861 3.040 20 30 DGEDMN C#CCN(C(=O)C[C@@H]1C=CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001278114890 1100173558 /nfs/dbraw/zinc/17/35/58/1100173558.db2.gz AXYBFQKNYZZSOI-ZWIJEDICSA-N 0 1 320.864 3.021 20 30 DGEDMN CC(C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1)=C1CCC1 ZINC001190025204 1100185129 /nfs/dbraw/zinc/18/51/29/1100185129.db2.gz PCMOTJRJMQPUSJ-FQEVSTJZSA-N 0 1 322.452 3.071 20 30 DGEDMN N#Cc1ccc2nc(CN3CC[C@H](c4cccc(F)c4)C3)cn2c1 ZINC001237606352 1100185891 /nfs/dbraw/zinc/18/58/91/1100185891.db2.gz KVGYNBXBSCHUAR-INIZCTEOSA-N 0 1 320.371 3.335 20 30 DGEDMN N#Cc1ccc2nc(CN3CCC4(CC[C@@H](F)C4)CC3)cn2c1 ZINC001237607586 1100186105 /nfs/dbraw/zinc/18/61/05/1100186105.db2.gz YGBPAUDZEHXQNB-OAHLLOKOSA-N 0 1 312.392 3.310 20 30 DGEDMN CC1(C)CCC2(CCN(Cc3cn4cc(C#N)ccc4n3)CC2)O1 ZINC001237615980 1100190206 /nfs/dbraw/zinc/19/02/06/1100190206.db2.gz BEFXQKXOHWTQRH-UHFFFAOYSA-N 0 1 324.428 3.130 20 30 DGEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)Cc2ccc(Cl)s2)C1 ZINC001190047538 1100192741 /nfs/dbraw/zinc/19/27/41/1100192741.db2.gz SSGHXIHQHPVTPB-ZDUSSCGKSA-N 0 1 324.877 3.094 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)N(C(=O)OC(C)(C)C)CCC2 ZINC001237716593 1100225682 /nfs/dbraw/zinc/22/56/82/1100225682.db2.gz FMQUSJRDOWAUCV-UHFFFAOYSA-N 0 1 314.429 3.439 20 30 DGEDMN COc1ccc(-c2cccc(C(=O)Nc3nc[nH]c3C#N)c2)cc1 ZINC001190319386 1100238458 /nfs/dbraw/zinc/23/84/58/1100238458.db2.gz XXDPBXYYZNKLAP-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1cnc(CC)s1)C2 ZINC001237827206 1100259809 /nfs/dbraw/zinc/25/98/09/1100259809.db2.gz YWGGVFUWCRVPBH-RHSMWYFYSA-N 0 1 322.474 3.032 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001190396485 1100259933 /nfs/dbraw/zinc/25/99/33/1100259933.db2.gz FAFFVOLKNCLGCK-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2sc(C)nc2C)C1 ZINC001190421915 1100270471 /nfs/dbraw/zinc/27/04/71/1100270471.db2.gz CPBSSXNPPOMBQA-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN C#CCN(C(=O)CCCC[C@H](C)CC)C1CCN(CC#C)CC1 ZINC001278157250 1100271790 /nfs/dbraw/zinc/27/17/90/1100271790.db2.gz FLOYCJUAPDIDDO-GOSISDBHSA-N 0 1 316.489 3.152 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2cc(C(C)(C)C)ns2)CC1 ZINC001190773721 1100336275 /nfs/dbraw/zinc/33/62/75/1100336275.db2.gz OAKUJDHGPKQHBI-UHFFFAOYSA-N 0 1 300.449 3.291 20 30 DGEDMN C[C@@H](C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1)C1CCC1 ZINC001190870879 1100355560 /nfs/dbraw/zinc/35/55/60/1100355560.db2.gz WONXQBGGCBKJJD-XLIONFOSSA-N 0 1 324.468 3.007 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](COCC3CC3)C2)c(Cl)c1 ZINC001238086918 1100356235 /nfs/dbraw/zinc/35/62/35/1100356235.db2.gz QNOWERZHZNECBY-OAHLLOKOSA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1nccs1)C1CCCC1 ZINC001278241618 1100461237 /nfs/dbraw/zinc/46/12/37/1100461237.db2.gz QIHZKVXXTVVBIH-HNNXBMFYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C1CCC1)C1CCCC1 ZINC001278250027 1100474190 /nfs/dbraw/zinc/47/41/90/1100474190.db2.gz HPGKHBKTYPAMDY-CJNGLKHVSA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001191684179 1100505270 /nfs/dbraw/zinc/50/52/70/1100505270.db2.gz PCGMSDOMFXRASX-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001191684178 1100505290 /nfs/dbraw/zinc/50/52/90/1100505290.db2.gz PCGMSDOMFXRASX-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN CCc1noc(C2CCN(Cc3ccc(C#N)c(CC)c3)CC2)n1 ZINC001238768651 1100523513 /nfs/dbraw/zinc/52/35/13/1100523513.db2.gz LXFULJVKZFXSAU-UHFFFAOYSA-N 0 1 324.428 3.446 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001191868070 1100536663 /nfs/dbraw/zinc/53/66/63/1100536663.db2.gz NNZJFHCUBUXCAB-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC001191912005 1100538740 /nfs/dbraw/zinc/53/87/40/1100538740.db2.gz LWXMDUVYGKOUBI-CABCVRRESA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001191913442 1100549228 /nfs/dbraw/zinc/54/92/28/1100549228.db2.gz YPYGGDMNPANGSF-HOCLYGCPSA-N 0 1 306.475 3.383 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC001192073414 1100566798 /nfs/dbraw/zinc/56/67/98/1100566798.db2.gz SVTCIYQPSKQFOZ-KRWDZBQOSA-N 0 1 322.399 3.354 20 30 DGEDMN CCCCCCCNC(=O)N1CCN[C@@H](Cc2ccccc2)C1 ZINC001239272140 1100617491 /nfs/dbraw/zinc/61/74/91/1100617491.db2.gz OMBXZSSUJFLQIB-SFHVURJKSA-N 0 1 317.477 3.183 20 30 DGEDMN COC(=O)c1cc2ccc(C=Cc3ccc(C#N)cc3)nc2[nH]1 ZINC001239282686 1100620614 /nfs/dbraw/zinc/62/06/14/1100620614.db2.gz SHBOISFMRIHZRP-SOFGYWHQSA-N 0 1 303.321 3.392 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001192464704 1100625886 /nfs/dbraw/zinc/62/58/86/1100625886.db2.gz SEAVPRDNUTYVTQ-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cccnc2C)C1 ZINC001192586434 1100638831 /nfs/dbraw/zinc/63/88/31/1100638831.db2.gz OZMHTXWZZAZLRN-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001192586434 1100638834 /nfs/dbraw/zinc/63/88/34/1100638834.db2.gz OZMHTXWZZAZLRN-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001192642390 1100648248 /nfs/dbraw/zinc/64/82/48/1100648248.db2.gz SNLXDTSJNQLQDJ-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN COc1cccc([C@H](C)NC(=O)Nc2cc(C#N)ccc2O)c1 ZINC001192885524 1100673673 /nfs/dbraw/zinc/67/36/73/1100673673.db2.gz CDETVMUUXMVORO-NSHDSACASA-N 0 1 311.341 3.155 20 30 DGEDMN N#Cc1c(F)ccc(NC(=O)c2ccc(O)c(O)c2Cl)c1F ZINC001193229066 1100722764 /nfs/dbraw/zinc/72/27/64/1100722764.db2.gz CUJDGNFXAQZGQQ-UHFFFAOYSA-N 0 1 324.670 3.153 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1[nH]nc2ccncc21 ZINC001152107599 1100724630 /nfs/dbraw/zinc/72/46/30/1100724630.db2.gz ZQGNXVLSSDZFJK-LBPRGKRZSA-N 0 1 322.368 3.014 20 30 DGEDMN COCC#CCN(C)C[C@H](C)Oc1ccc(Cl)c(Cl)c1 ZINC001193384844 1100736716 /nfs/dbraw/zinc/73/67/16/1100736716.db2.gz OCGPGIKODLLXGY-LBPRGKRZSA-N 0 1 316.228 3.342 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001193463708 1100746756 /nfs/dbraw/zinc/74/67/56/1100746756.db2.gz XASHLPXSPJPCJJ-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc2ncc(NC(=O)c3ccc(O)c(C#N)c3)cc2c1 ZINC001193499211 1100752533 /nfs/dbraw/zinc/75/25/33/1100752533.db2.gz PHKPGJXIMSYEPU-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3ccc(O)c(C#N)c3)sc2c1 ZINC001193499419 1100752928 /nfs/dbraw/zinc/75/29/28/1100752928.db2.gz QMVQUFUPQVKXMR-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN CCN(Cc1cccc(F)c1F)C(=O)c1ccc(O)c(C#N)c1 ZINC001193505053 1100757753 /nfs/dbraw/zinc/75/77/53/1100757753.db2.gz XHZROWKLOJUHQK-UHFFFAOYSA-N 0 1 316.307 3.204 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(C(=O)C3CC3)cc2)ccc1O ZINC001193511969 1100758480 /nfs/dbraw/zinc/75/84/80/1100758480.db2.gz INDZIJIMIRDXNM-UHFFFAOYSA-N 0 1 306.321 3.109 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(O)c(C#N)c1)c1cc(F)cc(F)c1 ZINC001193515591 1100759180 /nfs/dbraw/zinc/75/91/80/1100759180.db2.gz PCJYUIOUVCTNTC-SECBINFHSA-N 0 1 302.280 3.033 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3c[nH]nc3c2Cl)ccc1O ZINC001193513042 1100759674 /nfs/dbraw/zinc/75/96/74/1100759674.db2.gz YCOCXCZWIVNAHF-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN COc1ccc(OC)c(-c2nccc3[nH]cc(C#N)c32)c1OC ZINC001239875472 1100783301 /nfs/dbraw/zinc/78/33/01/1100783301.db2.gz YGFVCMHLHBVQLA-UHFFFAOYSA-N 0 1 309.325 3.127 20 30 DGEDMN N#Cc1cccc(C(=O)NC2CCC(C(F)(F)F)CC2)c1O ZINC001193658179 1100785978 /nfs/dbraw/zinc/78/59/78/1100785978.db2.gz WXZXNCZMJTYUQL-UHFFFAOYSA-N 0 1 312.291 3.115 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@H]1Cc2cc(OC)ccc2O1 ZINC001194165198 1100879382 /nfs/dbraw/zinc/87/93/82/1100879382.db2.gz QDPCRZKQPBQWDK-LJQANCHMSA-N 0 1 307.393 3.134 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C(CC(C)C)CC(C)C)C1 ZINC001194326616 1100914575 /nfs/dbraw/zinc/91/45/75/1100914575.db2.gz QMPFJFIRRFQEPR-GOSISDBHSA-N 0 1 306.494 3.251 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(CC(C)C)CC(C)C)C1 ZINC001194326616 1100914583 /nfs/dbraw/zinc/91/45/83/1100914583.db2.gz QMPFJFIRRFQEPR-GOSISDBHSA-N 0 1 306.494 3.251 20 30 DGEDMN N#Cc1c(F)cccc1-c1cccc(CCN2CCOCC2)c1 ZINC001240266262 1100916310 /nfs/dbraw/zinc/91/63/10/1100916310.db2.gz TUTQTYSNZQYVLX-UHFFFAOYSA-N 0 1 310.372 3.239 20 30 DGEDMN N#CCc1cc(-c2cccc(CCN3CCOCC3)c2)ccc1F ZINC001240270777 1100918301 /nfs/dbraw/zinc/91/83/01/1100918301.db2.gz MCNPJRHBRDPYHV-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN ON=Cc1ccccc1-c1cccc(CCN2CCOCC2)c1 ZINC001240277028 1100920702 /nfs/dbraw/zinc/92/07/02/1100920702.db2.gz KOQMTLBKPOFBRR-UHFFFAOYSA-N 0 1 310.397 3.036 20 30 DGEDMN COc1cc(C2=CCCN(Cc3ccccc3)C2)ncc1C#N ZINC001240384313 1100967494 /nfs/dbraw/zinc/96/74/94/1100967494.db2.gz ANYNWOSFVHSCNE-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN Cc1nsc(N2CCN(CC3CC4(CCC4)C3)CC2)c1C#N ZINC001194772309 1101011350 /nfs/dbraw/zinc/01/13/50/1101011350.db2.gz MSCMBXVLXHNZMM-UHFFFAOYSA-N 0 1 316.474 3.026 20 30 DGEDMN COc1ccc([C@H](C)NCc2cc(C#N)ccn2)c(C)c1OC ZINC001194772656 1101012195 /nfs/dbraw/zinc/01/21/95/1101012195.db2.gz QSSANCNNWKPAGA-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1ccc(CN(C)[C@@H](c2nnc[nH]2)c2ccccc2)cc1C#N ZINC001194982839 1101049053 /nfs/dbraw/zinc/04/90/53/1101049053.db2.gz GPFSKQCVKRSVEV-GOSISDBHSA-N 0 1 317.396 3.206 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc(CF)cc2)CC1 ZINC001195191550 1101086654 /nfs/dbraw/zinc/08/66/54/1101086654.db2.gz KGWLCGNNCGDOTK-UHFFFAOYSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2cc(C)ccc2OC)CC1 ZINC001195233307 1101095129 /nfs/dbraw/zinc/09/51/29/1101095129.db2.gz SCSNTFJJRHITHD-UHFFFAOYSA-N 0 1 316.445 3.004 20 30 DGEDMN Cc1cccc(Cl)c1S(=O)(=O)Nc1ccc(C#N)c(F)c1 ZINC001195309017 1101118623 /nfs/dbraw/zinc/11/86/23/1101118623.db2.gz XNUDVEAUVRFDMS-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1c(C)cccc1Cl ZINC001195317073 1101121198 /nfs/dbraw/zinc/12/11/98/1101121198.db2.gz NDAWAUGVBLDIEY-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN C=C(C)c1ncccc1S(=O)(=O)Nc1ccc(OCC)cc1 ZINC001240832784 1101151139 /nfs/dbraw/zinc/15/11/39/1101151139.db2.gz BPNBWXVOVOIWTR-UHFFFAOYSA-N 0 1 318.398 3.314 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C#N)c(CC#N)c3)cc2)CC1 ZINC001240852573 1101157186 /nfs/dbraw/zinc/15/71/86/1101157186.db2.gz VRHKGASQGGFPGL-UHFFFAOYSA-N 0 1 316.408 3.043 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3ccc(C#N)cc3O)sc2c1 ZINC001195733888 1101182777 /nfs/dbraw/zinc/18/27/77/1101182777.db2.gz YAJULONJDOUGCM-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN Cc1ccccc1CC(C)(C)NC(=O)c1ccc(C#N)cc1O ZINC001195768500 1101193521 /nfs/dbraw/zinc/19/35/21/1101193521.db2.gz MFLIMMGRJAWVTH-UHFFFAOYSA-N 0 1 308.381 3.323 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(Cc2cccc(OC)c2)CC1 ZINC001196010466 1101235376 /nfs/dbraw/zinc/23/53/76/1101235376.db2.gz OGINDYWIGUOGHR-UHFFFAOYSA-N 0 1 316.445 3.086 20 30 DGEDMN CC#CCCCC(=O)N1CCCN(C/C=C\c2ccccc2)CC1 ZINC001196846895 1101406300 /nfs/dbraw/zinc/40/63/00/1101406300.db2.gz OZVYSMDULJCYTA-LCYFTJDESA-N 0 1 324.468 3.428 20 30 DGEDMN C=CCCC1(C(=O)N2CCC[N@H+](CCCOC(C)C)CC2)CC1 ZINC001197061504 1101466719 /nfs/dbraw/zinc/46/67/19/1101466719.db2.gz CNXZUZAZRRRMQR-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC[N@H+]1CCCN(C(=O)c2ncsc2C2CC2)CC1 ZINC001197142471 1101476793 /nfs/dbraw/zinc/47/67/93/1101476793.db2.gz XCRQDRHKGROPBZ-UHFFFAOYSA-N 0 1 319.474 3.135 20 30 DGEDMN CC[C@H]1CN(C(=O)CC(C)(C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000278294708 1101477241 /nfs/dbraw/zinc/47/72/41/1101477241.db2.gz CAMGQUCLWFZTBZ-KRWDZBQOSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@H]1CN(C(=O)CC(C)(C)C#N)CCN1Cc1ccccc1 ZINC000278294708 1101477250 /nfs/dbraw/zinc/47/72/50/1101477250.db2.gz CAMGQUCLWFZTBZ-KRWDZBQOSA-N 0 1 313.445 3.049 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1ccc2c(C)[nH]nc2c1 ZINC001241638708 1101598706 /nfs/dbraw/zinc/59/87/06/1101598706.db2.gz UUXKMSPUXLXBNE-UHFFFAOYSA-N 0 1 308.341 3.096 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2cc(O)c(F)c(F)c2)c1 ZINC001197714888 1101650235 /nfs/dbraw/zinc/65/02/35/1101650235.db2.gz OPIRNHXBLMMLQA-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001198040528 1101745387 /nfs/dbraw/zinc/74/53/87/1101745387.db2.gz LYFQENAAMASBCH-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001198040528 1101745395 /nfs/dbraw/zinc/74/53/95/1101745395.db2.gz LYFQENAAMASBCH-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)CCC(C)(C)c2ccccc2)CC1 ZINC001198364339 1101831978 /nfs/dbraw/zinc/83/19/78/1101831978.db2.gz QUBJCNXFTOOSEN-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=CCN1CCCN(C(=O)CCC(C)(C)c2ccccc2)CC1 ZINC001198364339 1101831990 /nfs/dbraw/zinc/83/19/90/1101831990.db2.gz QUBJCNXFTOOSEN-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)NC[C@H](c1ccccc1)C(C)C ZINC001198491810 1101876302 /nfs/dbraw/zinc/87/63/02/1101876302.db2.gz VHSDKCHVUNWRGH-MSOLQXFVSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN[C@H](CNC(=O)c1cccs1)c1ccccc1OC ZINC001198509469 1101901272 /nfs/dbraw/zinc/90/12/72/1101901272.db2.gz YHBSXNYWXLGZJK-CQSZACIVSA-N 0 1 316.426 3.003 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(CC)CC)c1ccccc1OC ZINC001198553964 1101916969 /nfs/dbraw/zinc/91/69/69/1101916969.db2.gz MTMPCVKFDSCRFF-INIZCTEOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H](C)CCC)c1ccccc1OC ZINC001198616537 1101928373 /nfs/dbraw/zinc/92/83/73/1101928373.db2.gz IMYATLSCPDXIOR-RDJZCZTQSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CC1CCC1)c1ccccc1OC ZINC001198635583 1101935793 /nfs/dbraw/zinc/93/57/93/1101935793.db2.gz GTJQGICQEGLAJD-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](C)CCC)c1ccccc1OC ZINC001198617817 1101945510 /nfs/dbraw/zinc/94/55/10/1101945510.db2.gz SVEQQDKJTKUYKB-GOEBONIOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C[C@@H](C)CC)c1ccccc1OC ZINC001198695041 1101947869 /nfs/dbraw/zinc/94/78/69/1101947869.db2.gz NJXMDVUZJSBXOL-DOTOQJQBSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(C)C(C)C)c1ccccc1OC ZINC001198742268 1101964662 /nfs/dbraw/zinc/96/46/62/1101964662.db2.gz OKMXBBOVNOZOHD-INIZCTEOSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](C)CCCC)c1ccccc1OC ZINC001198705989 1101967664 /nfs/dbraw/zinc/96/76/64/1101967664.db2.gz UVENAORKYMOFBS-DOTOQJQBSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](C)CCCC)c1ccccc1OC ZINC001198705990 1101968609 /nfs/dbraw/zinc/96/86/09/1101968609.db2.gz UVENAORKYMOFBS-NVXWUHKLSA-N 0 1 318.461 3.454 20 30 DGEDMN CN(C)c1ccc(NC(=S)Nc2cc(C#N)ccc2O)cc1 ZINC001198763182 1101979014 /nfs/dbraw/zinc/97/90/14/1101979014.db2.gz NOUBHOGFOCRGDJ-UHFFFAOYSA-N 0 1 312.398 3.139 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC[C@H](C)CC)c1ccccc1OC ZINC001198939390 1102030161 /nfs/dbraw/zinc/03/01/61/1102030161.db2.gz LPKSKSPCTCSEKC-WBVHZDCISA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCC(C)(C)C)c1ccccc1OC ZINC001198941222 1102032152 /nfs/dbraw/zinc/03/21/52/1102032152.db2.gz VSSWGIBGTBDOKD-INIZCTEOSA-N 0 1 318.461 3.454 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C=C(CC)CC ZINC001273814208 1102314369 /nfs/dbraw/zinc/31/43/69/1102314369.db2.gz LZKMENTUMDULSH-HXUWFJFHSA-N 0 1 324.468 3.299 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1Cc2ccccc2CN1CCCF ZINC001273814490 1102317415 /nfs/dbraw/zinc/31/74/15/1102317415.db2.gz OHWODZUAGATAMM-SFHVURJKSA-N 0 1 318.436 3.245 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(OC(F)(F)F)nc3)c12 ZINC001242777912 1102336598 /nfs/dbraw/zinc/33/65/98/1102336598.db2.gz KIICJYQHNVAZTO-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1Cc2ccccc2CN1CCCF ZINC001273825646 1102343408 /nfs/dbraw/zinc/34/34/08/1102343408.db2.gz SIGWGBKKIFRHPS-KRWDZBQOSA-N 0 1 318.436 3.101 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CC(C)(F)F ZINC001273826276 1102344932 /nfs/dbraw/zinc/34/49/32/1102344932.db2.gz XLYBSLGHQRBSBJ-INIZCTEOSA-N 0 1 322.399 3.151 20 30 DGEDMN CCOC(=O)c1cnc(-c2cccc(O)c2Cl)c(C#N)c1 ZINC001242799907 1102348722 /nfs/dbraw/zinc/34/87/22/1102348722.db2.gz HSGGZWDGLUTTAA-UHFFFAOYSA-N 0 1 302.717 3.156 20 30 DGEDMN COc1cc(C#N)cc(-c2ccc(F)c(O)c2)c1OC(C)=O ZINC001243049463 1102497938 /nfs/dbraw/zinc/49/79/38/1102497938.db2.gz OGJSTDSNCMZMPB-UHFFFAOYSA-N 0 1 301.273 3.004 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc(Cl)cc2C)cc1C#N ZINC001201293091 1102543557 /nfs/dbraw/zinc/54/35/57/1102543557.db2.gz PVQWERXGIQNZQB-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN COc1ccc(C#N)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001201579224 1102658869 /nfs/dbraw/zinc/65/88/69/1102658869.db2.gz XXQZOBZYWMGXHQ-UHFFFAOYSA-N 0 1 324.409 3.232 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(OCC#N)cc3)[nH]c-2n1 ZINC001243591360 1102832287 /nfs/dbraw/zinc/83/22/87/1102832287.db2.gz ZYVDQIUOFJSXBG-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001202130885 1102846781 /nfs/dbraw/zinc/84/67/81/1102846781.db2.gz QGDJAQAEDHFCKG-CABCVRRESA-N 0 1 319.449 3.227 20 30 DGEDMN N#Cc1nc(-c2ccc(C(F)(F)F)cc2O)cc2nc[nH]c21 ZINC001243609013 1102850498 /nfs/dbraw/zinc/85/04/98/1102850498.db2.gz LNDMXNYWLISRSU-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(F)cc(CC#N)c3)c2)CC1 ZINC001243674212 1102908904 /nfs/dbraw/zinc/90/89/04/1102908904.db2.gz XCUSFYJXBJKISQ-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)c1ccc(CC)cc1)C2 ZINC001111019786 1103018227 /nfs/dbraw/zinc/01/82/27/1103018227.db2.gz OHVMRLKFUMRDHA-XLNTUCKNSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCc1ccc(F)cc1)C2 ZINC001111054332 1103027909 /nfs/dbraw/zinc/02/79/09/1103027909.db2.gz ASTRNLLSEYRDND-KURKYZTESA-N 0 1 316.420 3.056 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)Cc1ccccc1)C2 ZINC001111084916 1103040348 /nfs/dbraw/zinc/04/03/48/1103040348.db2.gz WGJAFDXUSMPBOD-NMLBUPMWSA-N 0 1 324.468 3.000 20 30 DGEDMN COc1cc(C#N)cc(-c2cccc3nc[nH]c32)c1OC(C)=O ZINC001243933397 1103061323 /nfs/dbraw/zinc/06/13/23/1103061323.db2.gz VUFNLZRUDFFHNZ-UHFFFAOYSA-N 0 1 307.309 3.035 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1(C2CCC2)CCC1 ZINC001488645968 1103077029 /nfs/dbraw/zinc/07/70/29/1103077029.db2.gz WYUBGJWQOKCMQW-QGZVFWFLSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1cccc(C2CC2)c1 ZINC001488643680 1103080162 /nfs/dbraw/zinc/08/01/62/1103080162.db2.gz KUOVFLZYCZQIIM-LJQANCHMSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C=C1CCC1)C2 ZINC001325618520 1103083721 /nfs/dbraw/zinc/08/37/21/1103083721.db2.gz WMWRIGUNGSFDEY-MUJYYYPQSA-N 0 1 308.853 3.208 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001488669316 1103100576 /nfs/dbraw/zinc/10/05/76/1103100576.db2.gz DAYAYTGFWYYGDV-BXWFABGCSA-N 0 1 304.478 3.005 20 30 DGEDMN CN1CCN(c2ccc(F)cc2NC2=CC[C@@H](C#N)CC2)CC1 ZINC001212862486 1103110676 /nfs/dbraw/zinc/11/06/76/1103110676.db2.gz LPMAJNQNTMCDRC-CQSZACIVSA-N 0 1 314.408 3.197 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCc1cc(C)sc1C ZINC001488700343 1103131880 /nfs/dbraw/zinc/13/18/80/1103131880.db2.gz VYUKBVARZCNWRZ-KRWDZBQOSA-N 0 1 320.502 3.406 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1c(O)cccc1Cl ZINC001244038608 1103158472 /nfs/dbraw/zinc/15/84/72/1103158472.db2.gz ZVGZXKLKPLLTQV-UHFFFAOYSA-N 0 1 304.733 3.271 20 30 DGEDMN N#CC1(c2ccc(-c3cc(F)c(O)c(F)c3)nc2)CCOCC1 ZINC001244092778 1103206652 /nfs/dbraw/zinc/20/66/52/1103206652.db2.gz ZSHMUZDSRPQJCV-UHFFFAOYSA-N 0 1 316.307 3.304 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC1CCCCC1)C2 ZINC001111356232 1103243945 /nfs/dbraw/zinc/24/39/45/1103243945.db2.gz WBXLZGLELBPWJX-KURKYZTESA-N 0 1 302.462 3.092 20 30 DGEDMN C#CCN(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)CC1CC1 ZINC001326248128 1103246260 /nfs/dbraw/zinc/24/62/60/1103246260.db2.gz MVPGURPBCKDBKS-UHFFFAOYSA-N 0 1 312.291 3.459 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccc(CC)cc1)C2 ZINC001111389408 1103257416 /nfs/dbraw/zinc/25/74/16/1103257416.db2.gz JPBFVRPNSJSESC-QRVBRYPASA-N 0 1 312.457 3.089 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC[C@H](C)CCC)C2 ZINC001110596638 1103264343 /nfs/dbraw/zinc/26/43/43/1103264343.db2.gz RPUZUFWKJJPDJT-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H](C)C3CCC3)cccc2C1 ZINC001326673886 1103404531 /nfs/dbraw/zinc/40/45/31/1103404531.db2.gz FCJNJULARYIESW-OAHLLOKOSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1cccc(F)c1 ZINC001326760552 1103431971 /nfs/dbraw/zinc/43/19/71/1103431971.db2.gz FKGPNWPCUTZEBM-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN COc1cc(-c2ccc(OC(F)(F)F)cc2O)ncc1C#N ZINC001244464958 1103458142 /nfs/dbraw/zinc/45/81/42/1103458142.db2.gz YUWQAUSSRFLBIH-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001326878639 1103467586 /nfs/dbraw/zinc/46/75/86/1103467586.db2.gz XUGZRHGIDRXGDT-QGZVFWFLSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCCCN1C/C=C\Cl ZINC001276318294 1103485303 /nfs/dbraw/zinc/48/53/03/1103485303.db2.gz AJDWBFIPICDIKV-HMWXGYMHSA-N 0 1 310.869 3.293 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(c2ccccc2C)CC1 ZINC001276333306 1103506025 /nfs/dbraw/zinc/50/60/25/1103506025.db2.gz GEVQNSQVOQNBCU-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(c2ccccc2C)CC1 ZINC001276333305 1103506519 /nfs/dbraw/zinc/50/65/19/1103506519.db2.gz GEVQNSQVOQNBCU-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1cccc(Cl)c1F ZINC001490181225 1103509019 /nfs/dbraw/zinc/50/90/19/1103509019.db2.gz LZCPXILRPBAQFH-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1ocnc1C ZINC001490295837 1103544741 /nfs/dbraw/zinc/54/47/41/1103544741.db2.gz NZYINKJNXZGSOP-GOEBONIOSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc2cc[nH]c2c1 ZINC001490350926 1103557276 /nfs/dbraw/zinc/55/72/76/1103557276.db2.gz YHEYEZXSZVTLKE-UHFFFAOYSA-N 0 1 319.836 3.362 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCN(C)Cc2scnc2C)C1 ZINC001327257821 1103603702 /nfs/dbraw/zinc/60/37/02/1103603702.db2.gz KDGFCGCYVZYYJE-UHFFFAOYSA-N 0 1 321.490 3.088 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCC2CCCCCC2)C1 ZINC001490443394 1103603983 /nfs/dbraw/zinc/60/39/83/1103603983.db2.gz RDFCWAVGNKNABZ-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(C)on2)CCCCC1 ZINC001115556775 1103621107 /nfs/dbraw/zinc/62/11/07/1103621107.db2.gz AUQXDLXNRKGXNK-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN Cc1cccc(CN2CCC[C@@H](NC(=O)C#CC(C)(C)C)C2)c1 ZINC001490436850 1103626838 /nfs/dbraw/zinc/62/68/38/1103626838.db2.gz MIMQOJNIFVUQJX-GOSISDBHSA-N 0 1 312.457 3.125 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001490548080 1103645823 /nfs/dbraw/zinc/64/58/23/1103645823.db2.gz OAFYRDCINARXAX-INIZCTEOSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C1CCC1)C1CCC1)C2 ZINC001095927320 1103658965 /nfs/dbraw/zinc/65/89/65/1103658965.db2.gz XXHXUQWXGBYHBD-IKGGRYGDSA-N 0 1 302.462 3.110 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CCC2(C)CC2)CC1 ZINC001490605458 1103676992 /nfs/dbraw/zinc/67/69/92/1103676992.db2.gz FFSHOAGPABBYIN-CQSZACIVSA-N 0 1 310.869 3.290 20 30 DGEDMN Cn1ncc(C2CCC2)c1CNC1CC(C#N)(c2ccccc2)C1 ZINC001327609378 1103692065 /nfs/dbraw/zinc/69/20/65/1103692065.db2.gz AHLKTTCQMTWXNK-UHFFFAOYSA-N 0 1 320.440 3.401 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1C[C@H]1c1ccsc1)C1CC1 ZINC001490679155 1103692645 /nfs/dbraw/zinc/69/26/45/1103692645.db2.gz IQYRUIZOEBDMTH-ZNMIVQPWSA-N 0 1 324.877 3.089 20 30 DGEDMN CCN(CC)c1cc(F)cc(-c2cc3[nH]cnc3c(C#N)n2)c1 ZINC001244821737 1103702117 /nfs/dbraw/zinc/70/21/17/1103702117.db2.gz AKNCLEQDWFCYTH-UHFFFAOYSA-N 0 1 309.348 3.482 20 30 DGEDMN C=CCCC(=O)NCc1ccc2c(c1)CN(C[C@@H](CC)OC)C2 ZINC001327681820 1103705268 /nfs/dbraw/zinc/70/52/68/1103705268.db2.gz AOWZKZKUSAUHTK-GOSISDBHSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2ccsc2)C1 ZINC001095984495 1103721091 /nfs/dbraw/zinc/72/10/91/1103721091.db2.gz LOKRLHADPYOOCU-PMPSAXMXSA-N 0 1 316.470 3.326 20 30 DGEDMN CN(C)Cc1cccc(-c2ncnc3ccc(C#N)cc32)c1F ZINC001244865016 1103730632 /nfs/dbraw/zinc/73/06/32/1103730632.db2.gz XEPCIONWJDFFRU-UHFFFAOYSA-N 0 1 306.344 3.369 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1[C@H]3CCCCCC[C@H]13)C2 ZINC001096055415 1103741678 /nfs/dbraw/zinc/74/16/78/1103741678.db2.gz CBTVDPJATGWSBQ-XAJHFOFHSA-N 0 1 302.462 3.110 20 30 DGEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c3ccccc13)C2 ZINC001096073858 1103751608 /nfs/dbraw/zinc/75/16/08/1103751608.db2.gz DTEMMZCLNTWRJJ-XPGWFJOJSA-N 0 1 318.420 3.117 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c3ccccc13)C2 ZINC001096073858 1103751620 /nfs/dbraw/zinc/75/16/20/1103751620.db2.gz DTEMMZCLNTWRJJ-XPGWFJOJSA-N 0 1 318.420 3.117 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3nc(C)ccc3c1)C2 ZINC001096221978 1103788126 /nfs/dbraw/zinc/78/81/26/1103788126.db2.gz OSEZMDPPVDKJGT-NEWSRXKRSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(CC)cc1C)C2 ZINC001096354613 1103809666 /nfs/dbraw/zinc/80/96/66/1103809666.db2.gz MCGHTGNYIUPFLB-KBMXLJTQSA-N 0 1 302.418 3.062 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1cc(Cl)ccc1O ZINC001245049537 1103826000 /nfs/dbraw/zinc/82/60/00/1103826000.db2.gz XRWIAUGFYPVPHY-UHFFFAOYSA-N 0 1 304.733 3.271 20 30 DGEDMN CCOC(=O)c1cc2ncc(-c3cccc(C#N)c3F)cc2[nH]1 ZINC001245067963 1103836446 /nfs/dbraw/zinc/83/64/46/1103836446.db2.gz AKZLFGWPZKISJN-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3cccc(C#N)c3F)cnc2[nH]1 ZINC001245068733 1103838681 /nfs/dbraw/zinc/83/86/81/1103838681.db2.gz KLMGFBQJFUFJLH-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)cn1CC)C2 ZINC001096556455 1103860378 /nfs/dbraw/zinc/86/03/78/1103860378.db2.gz FWEULIYNXPBOHV-ILXRZTDVSA-N 0 1 321.852 3.073 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2conc2CC)[C@H](C)C1 ZINC001328266292 1103895851 /nfs/dbraw/zinc/89/58/51/1103895851.db2.gz IENSUGWLZUMNPN-ZBFHGGJFSA-N 0 1 319.449 3.062 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)c1ccccc1 ZINC001490806438 1103900189 /nfs/dbraw/zinc/90/01/89/1103900189.db2.gz PVDPLGUHCNMTBM-YSIASYRMSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CC)c(CC)c1)C2 ZINC001096692618 1103900933 /nfs/dbraw/zinc/90/09/33/1103900933.db2.gz MJRWXFGTOHPGIW-QYZOEREBSA-N 0 1 312.457 3.333 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H](F)CC ZINC001328467991 1103957053 /nfs/dbraw/zinc/95/70/53/1103957053.db2.gz JIJDNJKINIMCEY-IAGOWNOFSA-N 0 1 304.409 3.026 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](F)CC ZINC001328467993 1103957615 /nfs/dbraw/zinc/95/76/15/1103957615.db2.gz JIJDNJKINIMCEY-SJORKVTESA-N 0 1 304.409 3.026 20 30 DGEDMN N#Cc1cc(-c2cccc3cncn32)ccc1OC1CCOCC1 ZINC001245308139 1103975751 /nfs/dbraw/zinc/97/57/51/1103975751.db2.gz LUQPCUQEBPLDMI-UHFFFAOYSA-N 0 1 319.364 3.431 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)nc(C)c1 ZINC001328621750 1104000914 /nfs/dbraw/zinc/00/09/14/1104000914.db2.gz VORQLUPLIILRAX-INIZCTEOSA-N 0 1 321.852 3.035 20 30 DGEDMN N#Cc1cc2c(nc1C1=CC[C@@H](N3CCOCC3)CC1)CCCC2 ZINC001245417190 1104037189 /nfs/dbraw/zinc/03/71/89/1104037189.db2.gz DEEGPCRCTGFRPN-GOSISDBHSA-N 0 1 323.440 3.100 20 30 DGEDMN N#Cc1c(F)cc(C2=CC[C@H](N3CCOCC3)CC2)cc1F ZINC001245425582 1104046165 /nfs/dbraw/zinc/04/61/65/1104046165.db2.gz PHQBSMFDYIZQMJ-AWEZNQCLSA-N 0 1 304.340 3.105 20 30 DGEDMN CC(C)(C#N)c1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cn1 ZINC001245427584 1104049087 /nfs/dbraw/zinc/04/90/87/1104049087.db2.gz YALKUOAUQRTDTH-QGZVFWFLSA-N 0 1 311.429 3.151 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001328795653 1104073656 /nfs/dbraw/zinc/07/36/56/1104073656.db2.gz COEMJNDYLUWFGH-AZUAARDMSA-N 0 1 316.489 3.459 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001328986188 1104115528 /nfs/dbraw/zinc/11/55/28/1104115528.db2.gz FBADONAUPIFXBV-KGLIPLIRSA-N 0 1 319.424 3.260 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC001329028699 1104129958 /nfs/dbraw/zinc/12/99/58/1104129958.db2.gz UMQCWVCNNBRYJM-QWHCGFSZSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001329091190 1104150612 /nfs/dbraw/zinc/15/06/12/1104150612.db2.gz SARLSWPPQUJWGF-QWHCGFSZSA-N 0 1 319.836 3.325 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001329105447 1104155296 /nfs/dbraw/zinc/15/52/96/1104155296.db2.gz SVGDSGAEQPVDBZ-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN CN1CC[C@@H](NC(=O)CCCCCC#N)[C@@H]1c1ccc(F)cc1 ZINC001329117285 1104160482 /nfs/dbraw/zinc/16/04/82/1104160482.db2.gz BNTZNWDACHXKCL-AEFFLSMTSA-N 0 1 317.408 3.161 20 30 DGEDMN N#Cc1ccc2ncnc(-c3cc(O)c(F)c(F)c3F)c2c1 ZINC001245635989 1104167867 /nfs/dbraw/zinc/16/78/67/1104167867.db2.gz YPEGIBSJHMXBPF-UHFFFAOYSA-N 0 1 301.227 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2c1ccn2C ZINC001329146719 1104169117 /nfs/dbraw/zinc/16/91/17/1104169117.db2.gz LNZMNIUJZRBBKJ-QWHCGFSZSA-N 0 1 319.836 3.027 20 30 DGEDMN N#Cc1ncnc2ccc(-c3cc(O)c(F)c(F)c3F)cc12 ZINC001245638189 1104169326 /nfs/dbraw/zinc/16/93/26/1104169326.db2.gz CVBWYLBDPQHZHQ-UHFFFAOYSA-N 0 1 301.227 3.291 20 30 DGEDMN N#Cc1cc2c(nc1-c1c(F)ccc(O)c1Cl)CCOC2 ZINC001245830906 1104257026 /nfs/dbraw/zinc/25/70/26/1104257026.db2.gz YSMMASWAKYAOFL-UHFFFAOYSA-N 0 1 304.708 3.191 20 30 DGEDMN Cc1cnc(F)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001212846913 1104278894 /nfs/dbraw/zinc/27/88/94/1104278894.db2.gz QSFMXIKBJHVCAL-UHFFFAOYSA-N 0 1 302.378 3.194 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(C)CCC(F)(F)F)CC1 ZINC001329541409 1104309088 /nfs/dbraw/zinc/30/90/88/1104309088.db2.gz PUAMNZOCTOWJLY-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN COc1ccc(-c2cc(=O)n(-c3cccc(C#N)c3)[nH]2)cc1OC ZINC001245930657 1104316982 /nfs/dbraw/zinc/31/69/82/1104316982.db2.gz ROGJRAVVMFDDFD-UHFFFAOYSA-N 0 1 321.336 3.134 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCC(C)(C)c1ccccc1 ZINC001329628204 1104355038 /nfs/dbraw/zinc/35/50/38/1104355038.db2.gz OTEIAHXNKILXCY-KRWDZBQOSA-N 0 1 314.473 3.204 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001274415680 1104378996 /nfs/dbraw/zinc/37/89/96/1104378996.db2.gz IIMZWXBHEFUHAP-IBGZPJMESA-N 0 1 324.468 3.221 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455359 1104390789 /nfs/dbraw/zinc/39/07/89/1104390789.db2.gz WFUSIJSMXLZJIX-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455359 1104390800 /nfs/dbraw/zinc/39/08/00/1104390800.db2.gz WFUSIJSMXLZJIX-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455365 1104391678 /nfs/dbraw/zinc/39/16/78/1104391678.db2.gz WFUSIJSMXLZJIX-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455365 1104391686 /nfs/dbraw/zinc/39/16/86/1104391686.db2.gz WFUSIJSMXLZJIX-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001274587510 1104413224 /nfs/dbraw/zinc/41/32/24/1104413224.db2.gz BKINXXMLKLLFRH-UONOGXRCSA-N 0 1 319.474 3.135 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H](C)C1 ZINC001274647259 1104434370 /nfs/dbraw/zinc/43/43/70/1104434370.db2.gz ZXQYUZZBONCWGA-HOTGVXAUSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCN1CC[C@@]2(CCCN2Cc2ccc3ccsc3c2)C1=O ZINC001274646566 1104436205 /nfs/dbraw/zinc/43/62/05/1104436205.db2.gz HWRDXFREAXQVEQ-IBGZPJMESA-N 0 1 324.449 3.101 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCF)[C@H](C)C2)CCCCC1 ZINC001274662687 1104444092 /nfs/dbraw/zinc/44/40/92/1104444092.db2.gz KMNHWIKGGDFIFR-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001278438306 1104457429 /nfs/dbraw/zinc/45/74/29/1104457429.db2.gz QIIPWGDIFAQZNN-FOIQADDNSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001278438306 1104457434 /nfs/dbraw/zinc/45/74/34/1104457434.db2.gz QIIPWGDIFAQZNN-FOIQADDNSA-N 0 1 324.424 3.140 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001330074075 1104473056 /nfs/dbraw/zinc/47/30/56/1104473056.db2.gz KAWNKZRVSRQALS-MRXNPFEDSA-N 0 1 312.457 3.121 20 30 DGEDMN C=CCCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(F)F ZINC001274846000 1104515810 /nfs/dbraw/zinc/51/58/10/1104515810.db2.gz DFFQYAJGGRMAGP-INIZCTEOSA-N 0 1 322.399 3.323 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001274848404 1104519147 /nfs/dbraw/zinc/51/91/47/1104519147.db2.gz VBBHLMXCJSJTEJ-MAUKXSAKSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CCC=C)c2ccccc2)C(C)(C)C1 ZINC001330263137 1104524953 /nfs/dbraw/zinc/52/49/53/1104524953.db2.gz PNZJIESGOFLAMJ-RTBURBONSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1c(F)cccc1Cl ZINC001274900648 1104539021 /nfs/dbraw/zinc/53/90/21/1104539021.db2.gz HVQURYCUWKDINL-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1csc2ccccc21 ZINC001275128617 1104639905 /nfs/dbraw/zinc/63/99/05/1104639905.db2.gz JTZJYSSGGAEWQY-CQSZACIVSA-N 0 1 312.438 3.071 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C)c(C)c1Cl ZINC001275159896 1104656403 /nfs/dbraw/zinc/65/64/03/1104656403.db2.gz HQZQJTLEHUDDKC-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccc(C)c(Cl)c1 ZINC001275203751 1104675489 /nfs/dbraw/zinc/67/54/89/1104675489.db2.gz WYQMQNXRHQPJIR-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001275274432 1104701804 /nfs/dbraw/zinc/70/18/04/1104701804.db2.gz NSQLOTNBIQFVMI-ZWOKVHNKSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001275274431 1104702171 /nfs/dbraw/zinc/70/21/71/1104702171.db2.gz NSQLOTNBIQFVMI-QTFRLHLLSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1cc(F)ccc1OC ZINC001275297003 1104711199 /nfs/dbraw/zinc/71/11/99/1104711199.db2.gz CKWDWNMTXXNIRR-UGSOOPFHSA-N 0 1 322.424 3.031 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001275481885 1104773507 /nfs/dbraw/zinc/77/35/07/1104773507.db2.gz NOKSYSKOHDCIGU-KBPBESRZSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(F)ccc1Cl ZINC001275493844 1104778545 /nfs/dbraw/zinc/77/85/45/1104778545.db2.gz VBYUFBVXOJBTCI-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C[C@H](CN(C)[C@H](C)c1ccccc1F)NC(=O)C#CC(C)(C)C ZINC001275552202 1104804901 /nfs/dbraw/zinc/80/49/01/1104804901.db2.gz PASLIEKSDFGBHH-HUUCEWRRSA-N 0 1 318.436 3.373 20 30 DGEDMN CCCCCCCCNC(=S)N1CC(N2CCCCC2)C1 ZINC001247155270 1104817683 /nfs/dbraw/zinc/81/76/83/1104817683.db2.gz PQURYIVEMKLANY-UHFFFAOYSA-N 0 1 311.539 3.392 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001275593090 1104825006 /nfs/dbraw/zinc/82/50/06/1104825006.db2.gz XYPCXZOBTUPWAQ-QXAKKESOSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCC(NCc2nocc2C)CC1 ZINC001275624747 1104838340 /nfs/dbraw/zinc/83/83/40/1104838340.db2.gz XPIJBCAOFKVCCI-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN CC(C)N1CCC[C@H]1c1nc(-c2cc(C#N)ccc2F)no1 ZINC001247368402 1104880787 /nfs/dbraw/zinc/88/07/87/1104880787.db2.gz ZBHAJIXGFPFSKT-AWEZNQCLSA-N 0 1 300.337 3.293 20 30 DGEDMN C=CCOc1ccc(-c2noc([C@@H]3CCCCN3)n2)c(F)c1 ZINC001247501052 1104913449 /nfs/dbraw/zinc/91/34/49/1104913449.db2.gz RAXXABGXNOGSDY-AWEZNQCLSA-N 0 1 303.337 3.255 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001280754786 1104932303 /nfs/dbraw/zinc/93/23/03/1104932303.db2.gz AFIIKPXXEYUJBT-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN N#Cc1ccc(-c2noc([C@@H]3CNC[C@@H](F)C3)n2)c2ccccc12 ZINC001247782358 1104981410 /nfs/dbraw/zinc/98/14/10/1104981410.db2.gz MCEKZFNOEWQIIT-STQMWFEESA-N 0 1 322.343 3.176 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1Cl)C2 ZINC001095454240 1105013318 /nfs/dbraw/zinc/01/33/18/1105013318.db2.gz YHFIAKFWCSIMDD-WXHSDQCUSA-N 0 1 310.850 3.313 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc(C)c1C)C2 ZINC001095463479 1105018573 /nfs/dbraw/zinc/01/85/73/1105018573.db2.gz BKLGWBRUAQUZJR-KBMXLJTQSA-N 0 1 304.459 3.276 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CCC)s1)C2 ZINC001095477895 1105030339 /nfs/dbraw/zinc/03/03/39/1105030339.db2.gz AWEHOAJAVWQPDM-KBMXLJTQSA-N 0 1 316.470 3.059 20 30 DGEDMN N#Cc1cc(F)cc(NS(=O)(=O)c2ccccc2Cl)c1 ZINC000720007917 1105059074 /nfs/dbraw/zinc/05/90/74/1105059074.db2.gz AZPSJRGVHRQFEZ-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN C=C(Br)CNC[C@@H](CCCC)NC(=O)C=C(C)C ZINC001276244118 1105059246 /nfs/dbraw/zinc/05/92/46/1105059246.db2.gz LCVCHYLKRWTSKA-CYBMUJFWSA-N 0 1 317.271 3.126 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(C)c(Cl)c1)C2 ZINC001095608111 1105088935 /nfs/dbraw/zinc/08/89/35/1105088935.db2.gz KSWYVURBJKWWKZ-PVAVHDDUSA-N 0 1 318.848 3.098 20 30 DGEDMN C=CCCCNC(=O)NC[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC001248409882 1105110362 /nfs/dbraw/zinc/11/03/62/1105110362.db2.gz IMJPNVVCDCVFBP-GOSISDBHSA-N 0 1 315.461 3.307 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2cc(C)ccn2)CC1 ZINC001248751714 1105155391 /nfs/dbraw/zinc/15/53/91/1105155391.db2.gz HQJSAJCGWFKTFT-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN CN(C)[C@@H](Cc1ccccc1)c1nc(-c2cccc(C#N)c2)no1 ZINC001248990924 1105209532 /nfs/dbraw/zinc/20/95/32/1105209532.db2.gz VXOLPHUQTLQTGH-KRWDZBQOSA-N 0 1 318.380 3.454 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(OC)c(Cl)c3)no2)C1 ZINC001249231669 1105252236 /nfs/dbraw/zinc/25/22/36/1105252236.db2.gz LDIJXSMWRIUQIO-HNNXBMFYSA-N 0 1 305.765 3.163 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(OC)ccc3Cl)no2)C1 ZINC001249231261 1105252359 /nfs/dbraw/zinc/25/23/59/1105252359.db2.gz AJZGMVOXCDVESA-HNNXBMFYSA-N 0 1 305.765 3.163 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ncccc2C)[C@@H]1C ZINC001278514817 1105265277 /nfs/dbraw/zinc/26/52/77/1105265277.db2.gz AAYGLPGELJXQSP-CVEARBPZSA-N 0 1 315.461 3.071 20 30 DGEDMN N#Cc1ccc2cc(CN3CCC(c4ncccn4)CC3)[nH]c2c1 ZINC001249429895 1105285038 /nfs/dbraw/zinc/28/50/38/1105285038.db2.gz VILUMQBQTUXMJO-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)CC1 ZINC001249470200 1105293811 /nfs/dbraw/zinc/29/38/11/1105293811.db2.gz LSALGGLWOYLDTC-SECBINFHSA-N 0 1 324.215 3.342 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249518957 1105303627 /nfs/dbraw/zinc/30/36/27/1105303627.db2.gz BMRHTHRUPPTLGM-NSHDSACASA-N 0 1 319.206 3.203 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1nc2ccc(OC(F)(F)F)cc2[nH]1 ZINC001249532923 1105307877 /nfs/dbraw/zinc/30/78/77/1105307877.db2.gz GMNACJBXJKCSHD-JTQLQIEISA-N 0 1 324.306 3.340 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(F)F)ccc1F)C2 ZINC001097088950 1105344353 /nfs/dbraw/zinc/34/43/53/1105344353.db2.gz MJBZFIFOWFRICS-DFBGVHRSSA-N 0 1 324.346 3.284 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C(C)C)C2 ZINC001097181145 1105359961 /nfs/dbraw/zinc/35/99/61/1105359961.db2.gz UCYHTEPVJIJCRU-LZQZEXGQSA-N 0 1 310.441 3.168 20 30 DGEDMN C#Cc1ccc(Nc2ccncc2CNC(=O)OC(C)(C)C)cc1 ZINC001249822095 1105389801 /nfs/dbraw/zinc/38/98/01/1105389801.db2.gz GESGGCGPCSBNRB-UHFFFAOYSA-N 0 1 323.396 3.253 20 30 DGEDMN CC(C)(C)OC(=O)NCc1cnccc1Nc1ccccc1C#N ZINC001249821621 1105389910 /nfs/dbraw/zinc/38/99/10/1105389910.db2.gz ZJOHONVUAZXQIF-UHFFFAOYSA-N 0 1 324.384 3.143 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@](C)(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001278542155 1105397982 /nfs/dbraw/zinc/39/79/82/1105397982.db2.gz IBRXPDPRTJHHHR-YJBOKZPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)ccc1C)C2 ZINC001097594628 1105410884 /nfs/dbraw/zinc/41/08/84/1105410884.db2.gz HIKDEMHPTOWLAJ-OIISXLGYSA-N 0 1 302.393 3.045 20 30 DGEDMN N#Cc1cc2c(ccn2-c2cc(O)c(F)c(F)c2F)c(N)c1 ZINC001249964596 1105417455 /nfs/dbraw/zinc/41/74/55/1105417455.db2.gz HOUIEVCRROASBE-UHFFFAOYSA-N 0 1 303.243 3.207 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1CC)C2 ZINC001097734546 1105436395 /nfs/dbraw/zinc/43/63/95/1105436395.db2.gz OVOPJYXUWQTAFV-JUSBFGHESA-N 0 1 324.468 3.260 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](CNCc2ncoc2C(C)C)C1 ZINC001278550996 1105436802 /nfs/dbraw/zinc/43/68/02/1105436802.db2.gz BRDSAYZHOOLJQB-GJZGRUSLSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](CNCc2ncoc2C(C)C)C1 ZINC001278551000 1105437232 /nfs/dbraw/zinc/43/72/32/1105437232.db2.gz BRDSAYZHOOLJQB-LSDHHAIUSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1[C@@H]3CCCCCC[C@@H]13)C2 ZINC001097912662 1105462980 /nfs/dbraw/zinc/46/29/80/1105462980.db2.gz CBTVDPJATGWSBQ-HHARLNAUSA-N 0 1 302.462 3.110 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1Nc1ccc2cncn2c1 ZINC001250326921 1105499910 /nfs/dbraw/zinc/49/99/10/1105499910.db2.gz CCQCPWSDPYTMLU-UHFFFAOYSA-N 0 1 303.247 3.363 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](CNCc2nc(C)c(C)o2)C1 ZINC001278565899 1105508547 /nfs/dbraw/zinc/50/85/47/1105508547.db2.gz RIHMDJCCXNANQL-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn1C1CCCC1)C2 ZINC001098114761 1105549756 /nfs/dbraw/zinc/54/97/56/1105549756.db2.gz FSHOPRIVERQCLJ-ZACQAIPSSA-N 0 1 313.445 3.124 20 30 DGEDMN N#Cc1ccc(F)c(-c2cccc(CCN3CCOCC3)c2)c1 ZINC001250632874 1105551196 /nfs/dbraw/zinc/55/11/96/1105551196.db2.gz OQZJDMQMPXRFNL-UHFFFAOYSA-N 0 1 310.372 3.239 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(F)cccc1Cl)C2 ZINC001098264367 1105565900 /nfs/dbraw/zinc/56/59/00/1105565900.db2.gz OWSNVIMELJQSCT-HONMWMINSA-N 0 1 308.784 3.000 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2ccc3ccccc3c2)c(O)c1 ZINC001250723466 1105567882 /nfs/dbraw/zinc/56/78/82/1105567882.db2.gz KTRLFTCSCNUSPF-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3[nH]c(C)c(C)c3c1)C2 ZINC001098374484 1105571823 /nfs/dbraw/zinc/57/18/23/1105571823.db2.gz NJPRFEOWXKYZTN-LZQZEXGQSA-N 0 1 323.440 3.306 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C13CC(c4ccccc4)(C1)C3)C2 ZINC001098473327 1105577516 /nfs/dbraw/zinc/57/75/16/1105577516.db2.gz AQTONBLKGHBDRX-MOPIXIDXSA-N 0 1 322.452 3.016 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCCc3ccccc31)C2 ZINC001098470193 1105578232 /nfs/dbraw/zinc/57/82/32/1105578232.db2.gz HAJBQFPAOYLOLU-MDNKFWRPSA-N 0 1 324.468 3.404 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C=C1CCCCC1 ZINC001098944345 1105615785 /nfs/dbraw/zinc/61/57/85/1105615785.db2.gz LFCRXAXIAMQRGB-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C#Cc1ccc(-c2nc3cc(N4CCOCC4)cc(C)c3[nH]2)cc1 ZINC001251007683 1105618480 /nfs/dbraw/zinc/61/84/80/1105618480.db2.gz PKYMTRDKAKHCOY-UHFFFAOYSA-N 0 1 317.392 3.356 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)C(C)C ZINC001098960027 1105619042 /nfs/dbraw/zinc/61/90/42/1105619042.db2.gz JWMWYNMYVSWNEK-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN CC[C@@H](F)CN1CC(C)(C)CC[C@H]1CNC(=O)C#CC(C)C ZINC001099065436 1105637722 /nfs/dbraw/zinc/63/77/22/1105637722.db2.gz SXOCPKXHZGTKFX-CVEARBPZSA-N 0 1 310.457 3.001 20 30 DGEDMN N#Cc1ccc(-c2nc3cc(F)c(-n4ccnc4)cc3[nH]2)s1 ZINC001251218098 1105640146 /nfs/dbraw/zinc/64/01/46/1105640146.db2.gz NZVIOFHIDJKJDK-UHFFFAOYSA-N 0 1 309.329 3.488 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc(C3CCC3)c2)C1 ZINC001099121713 1105644262 /nfs/dbraw/zinc/64/42/62/1105644262.db2.gz GMLPZAASEWKDDK-FPOVZHCZSA-N 0 1 322.452 3.172 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(C3CC3)s2)C1 ZINC001099119268 1105644670 /nfs/dbraw/zinc/64/46/70/1105644670.db2.gz LRZPXCMLXVSXPJ-KSSFIOAISA-N 0 1 316.470 3.396 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099170990 1105652581 /nfs/dbraw/zinc/65/25/81/1105652581.db2.gz MQHFUNOMKFALOJ-NDCSFFLDSA-N 0 1 302.462 3.139 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](CCC)C(C)C ZINC001099257179 1105662244 /nfs/dbraw/zinc/66/22/44/1105662244.db2.gz BOXPBMXXWJGKMV-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC[C@H](C)CC ZINC001099280158 1105663717 /nfs/dbraw/zinc/66/37/17/1105663717.db2.gz BCONJRNFJMVZRU-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)C1CCCC1 ZINC001099297817 1105665430 /nfs/dbraw/zinc/66/54/30/1105665430.db2.gz JDKWIQDBHFXCOP-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)c1ccco1 ZINC001099310384 1105667713 /nfs/dbraw/zinc/66/77/13/1105667713.db2.gz KQBSZCZZGIMDLF-HZPDHXFCSA-N 0 1 316.445 3.013 20 30 DGEDMN COCOc1ccc2nc(-c3cc(C#N)c(F)cc3F)[nH]c2c1 ZINC001251615872 1105673765 /nfs/dbraw/zinc/67/37/65/1105673765.db2.gz AAKDFJXHHVJVBZ-UHFFFAOYSA-N 0 1 315.279 3.362 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CC=C)CCCC1 ZINC001099378817 1105674066 /nfs/dbraw/zinc/67/40/66/1105674066.db2.gz FUSXMFKZZDFYHN-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001099378633 1105674520 /nfs/dbraw/zinc/67/45/20/1105674520.db2.gz DSEFVXWBTDTPGU-HNNXBMFYSA-N 0 1 318.505 3.299 20 30 DGEDMN CCOc1ccc2nc(-c3cn4cc(C#N)ccc4n3)[nH]c2c1 ZINC001251632149 1105675502 /nfs/dbraw/zinc/67/55/02/1105675502.db2.gz DZNBIIYYBKFMQY-UHFFFAOYSA-N 0 1 303.325 3.148 20 30 DGEDMN CCCC[C@H](O)CN1CCC(C#N)(c2ccccc2F)CC1 ZINC001252099111 1105739263 /nfs/dbraw/zinc/73/92/63/1105739263.db2.gz JMWAQSYMFZECQS-HNNXBMFYSA-N 0 1 304.409 3.234 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1nnc2cc(C)ccn21 ZINC001252131934 1105747360 /nfs/dbraw/zinc/74/73/60/1105747360.db2.gz YGUMFEYTSVWOSG-INIZCTEOSA-N 0 1 318.465 3.239 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1CCC(F)(F)F ZINC001491226253 1105781709 /nfs/dbraw/zinc/78/17/09/1105781709.db2.gz ULHOMNAYQMDDAS-CYBMUJFWSA-N 0 1 306.372 3.266 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001491236605 1105783950 /nfs/dbraw/zinc/78/39/50/1105783950.db2.gz MNGJFIPMBRMTPD-MSOLQXFVSA-N 0 1 318.436 3.476 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1cccc(F)c1F ZINC001491244002 1105785827 /nfs/dbraw/zinc/78/58/27/1105785827.db2.gz RLLUFOIIEULRFM-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CNCc1csc(C)n1 ZINC001491251533 1105788412 /nfs/dbraw/zinc/78/84/12/1105788412.db2.gz TYZMQAPEWZAVSC-MRXNPFEDSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C[C@](C)(O)CN1[C@@H](c2ccccc2)CCC[C@H]1C(=O)OCC ZINC001252558309 1105794456 /nfs/dbraw/zinc/79/44/56/1105794456.db2.gz STCUMXKZNWOJEI-AOIWGVFYSA-N 0 1 317.429 3.082 20 30 DGEDMN C=C[C@@](C)(O)CN1Cc2cc(Br)ccc2C[C@@H]1C ZINC001252562873 1105794669 /nfs/dbraw/zinc/79/46/69/1105794669.db2.gz ZATNPVQYMOMWBS-XHDPSFHLSA-N 0 1 310.235 3.133 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CC(C)(C)C)C1 ZINC001491285641 1105795908 /nfs/dbraw/zinc/79/59/08/1105795908.db2.gz WIEZZAHEGFJXRF-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC001278672954 1105796818 /nfs/dbraw/zinc/79/68/18/1105796818.db2.gz NQOHUEDVDAPVOR-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCC[C@H](O)CN1CCO[C@](C)(c2ccc(Cl)cc2)C1 ZINC001252603210 1105801964 /nfs/dbraw/zinc/80/19/64/1105801964.db2.gz PPILPEQVJPXSBW-IRXDYDNUSA-N 0 1 309.837 3.215 20 30 DGEDMN C=CCC[C@H](O)CN1CCC(C#N)(c2ccccc2F)CC1 ZINC001252603713 1105802069 /nfs/dbraw/zinc/80/20/69/1105802069.db2.gz FODJNHYZIQNOIF-HNNXBMFYSA-N 0 1 302.393 3.010 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001278681333 1105826799 /nfs/dbraw/zinc/82/67/99/1105826799.db2.gz DNFYKTBUCXYMHR-FXAWDEMLSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc(C(C)C)oc2C)C1 ZINC001278682021 1105828618 /nfs/dbraw/zinc/82/86/18/1105828618.db2.gz QAHXWKYDOUXMNH-LJQANCHMSA-N 0 1 316.445 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C(F)F)ccc1F ZINC001491456955 1105829374 /nfs/dbraw/zinc/82/93/74/1105829374.db2.gz LQMPXNMPGHWFCB-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)N[C@@H](C)c1cc(F)ccc1F ZINC001491451622 1105829484 /nfs/dbraw/zinc/82/94/84/1105829484.db2.gz HVGRYTBPBPIFNL-STQMWFEESA-N 0 1 322.399 3.170 20 30 DGEDMN CCCCCCCCCC[C@H](O)CNCc1ccc(OC)nn1 ZINC001252986568 1105867177 /nfs/dbraw/zinc/86/71/77/1105867177.db2.gz NAYKAEULAMPIIJ-KRWDZBQOSA-N 0 1 323.481 3.467 20 30 DGEDMN N#CCCN(C[C@H](O)CCOCc1ccccc1)C1CCCC1 ZINC001253269862 1105917190 /nfs/dbraw/zinc/91/71/90/1105917190.db2.gz CXLSDWXOGRXDBK-LJQANCHMSA-N 0 1 316.445 3.112 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC001253395549 1105933678 /nfs/dbraw/zinc/93/36/78/1105933678.db2.gz PDTPSKKYINHHQV-KRWDZBQOSA-N 0 1 315.461 3.162 20 30 DGEDMN C#CCCCCc1cn(-c2ccc(-c3ccc(CN)o3)cc2)nn1 ZINC001254164157 1106028752 /nfs/dbraw/zinc/02/87/52/1106028752.db2.gz GLFGGNMBVSMSAK-UHFFFAOYSA-N 0 1 320.396 3.332 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](F)c2ccccc2)[C@H](C)C1 ZINC001102409155 1106055985 /nfs/dbraw/zinc/05/59/85/1106055985.db2.gz NNNISCRMMUNJJH-WQVCFCJDSA-N 0 1 324.827 3.134 20 30 DGEDMN C[C@@H]1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)CCO1 ZINC001254353630 1106059636 /nfs/dbraw/zinc/05/96/36/1106059636.db2.gz GXTUKOLBRFCATJ-RWBZWWBESA-N 0 1 302.393 3.250 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC[C@@H](C)CC)[C@H](C)C1 ZINC001102477032 1106062474 /nfs/dbraw/zinc/06/24/74/1106062474.db2.gz KXSHYLXJAARFQI-GZBFAFLISA-N 0 1 300.874 3.249 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(F)cc2)CCN1[C@H]1CC[C@@H](C#N)C1 ZINC001254661327 1106126872 /nfs/dbraw/zinc/12/68/72/1106126872.db2.gz RXGJLKQVLKHOQQ-KYJSFNMBSA-N 0 1 301.409 3.024 20 30 DGEDMN Cc1ccc2c(n1)N(CC1CC1)CCN([C@@H]1CC[C@H](C#N)C1)C2 ZINC001254663439 1106127578 /nfs/dbraw/zinc/12/75/78/1106127578.db2.gz BSUSVFQDEGYFTC-FUHWJXTLSA-N 0 1 310.445 3.114 20 30 DGEDMN COc1ccc(Cl)cc1N1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001254671862 1106129851 /nfs/dbraw/zinc/12/98/51/1106129851.db2.gz VKQPTTLKBQEABV-HIFRSBDPSA-N 0 1 319.836 3.163 20 30 DGEDMN Cc1ccc(C)c(S(=O)(=O)Nc2c(F)cccc2C#N)c1 ZINC001254772616 1106139733 /nfs/dbraw/zinc/13/97/33/1106139733.db2.gz WIIOIBIELDTLFG-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN CCN(CCC#N)[C@H]1CCC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001254782007 1106141281 /nfs/dbraw/zinc/14/12/81/1106141281.db2.gz QPPWJLCPJXUVNQ-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN C=C[C@H](COC)N[C@@H](C)c1ccc(F)c(Br)c1 ZINC001255018136 1106166924 /nfs/dbraw/zinc/16/69/24/1106166924.db2.gz BWJDXVICLTWZAB-GXSJLCMTSA-N 0 1 302.187 3.440 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@H](CNC(=O)OC(C)(C)C)[C@H]1C ZINC001255164471 1106196439 /nfs/dbraw/zinc/19/64/39/1106196439.db2.gz KQUVPSDWBYQHQY-RBSFLKMASA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@H](CC#N)N1C[C@@H](CNC(=O)OC(C)(C)C)CC[C@H]1C ZINC001255165781 1106197421 /nfs/dbraw/zinc/19/74/21/1106197421.db2.gz DBWNSADRPUYDNC-RBSFLKMASA-N 0 1 309.454 3.304 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN([C@H](CC)CC#N)[C@@H]2CC ZINC001255167508 1106197663 /nfs/dbraw/zinc/19/76/63/1106197663.db2.gz BSBVGRSYVPYDJC-NUJGCVRESA-N 0 1 303.450 3.100 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2ccc(C#N)cc2O)cc1 ZINC001255217484 1106205882 /nfs/dbraw/zinc/20/58/82/1106205882.db2.gz NTRCACDGNQMKRP-UHFFFAOYSA-N 0 1 316.382 3.017 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](N1CC[C@@](C)(C#N)C1)C2 ZINC001255247954 1106209821 /nfs/dbraw/zinc/20/98/21/1106209821.db2.gz HSRFDWQTRCUDCP-KRXQYRFLSA-N 0 1 319.449 3.152 20 30 DGEDMN C[C@@H](Cc1ccccc1F)N1CCN(c2ccccc2C#N)CC1 ZINC001255842317 1106325793 /nfs/dbraw/zinc/32/57/93/1106325793.db2.gz QWKLLZHHZHIAFI-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN C[C@@H](Cc1ccccc1F)N1CCN(c2ccc(C#N)cc2)CC1 ZINC001255851646 1106328425 /nfs/dbraw/zinc/32/84/25/1106328425.db2.gz STTJCUBIHWIRTE-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN C=CCOCc1nn([C@H](C)Cc2ccc(F)cc2)c2c1CNC2 ZINC001255870047 1106333833 /nfs/dbraw/zinc/33/38/33/1106333833.db2.gz GLWDNODRCMEKAU-CYBMUJFWSA-N 0 1 315.392 3.132 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN(C1CCC(CC)CC1)CC2 ZINC001256873175 1106501138 /nfs/dbraw/zinc/50/11/38/1106501138.db2.gz SHIUCULRYDEIHU-UHFFFAOYSA-N 0 1 317.477 3.450 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)C[N@@H+]1C1CCC(C#N)CC1 ZINC001256963079 1106516039 /nfs/dbraw/zinc/51/60/39/1106516039.db2.gz BUJDHNAIXDMCKG-QVOMUQBLSA-N 0 1 321.465 3.398 20 30 DGEDMN N#CC1CCC(N2CCc3c(cnn3-c3ccccc3)C2)CC1 ZINC001256969914 1106518311 /nfs/dbraw/zinc/51/83/11/1106518311.db2.gz RENHNLOVJMUYAP-UHFFFAOYSA-N 0 1 306.413 3.313 20 30 DGEDMN Cn1ncc2c1CN(C1CCC(c3ccc(C#N)cc3)CC1)CC2 ZINC001257019247 1106524672 /nfs/dbraw/zinc/52/46/72/1106524672.db2.gz LYNSZCJJMNIEKK-UHFFFAOYSA-N 0 1 320.440 3.376 20 30 DGEDMN Cc1nc(C#N)ccc1NS(=O)(=O)c1cccc(Cl)c1C ZINC001257562186 1106605581 /nfs/dbraw/zinc/60/55/81/1106605581.db2.gz QASVFHOFZVUXAY-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CCN(CCC#N)[C@@H]1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC001257589307 1106609966 /nfs/dbraw/zinc/60/99/66/1106609966.db2.gz CEUUEEAKFSSJKW-QDMKHBRRSA-N 0 1 309.454 3.398 20 30 DGEDMN CCCCCCC[C@@H](C)N1Cc2nnn(C)c2[C@H](COC)C1 ZINC001258044667 1106663747 /nfs/dbraw/zinc/66/37/47/1106663747.db2.gz SLTKSFXVUWQDLJ-CABCVRRESA-N 0 1 308.470 3.110 20 30 DGEDMN CCCCCCC[C@H](C)N1[C@H]2COC[C@@H]1C[C@@H](C(=O)OC)C2 ZINC001258049246 1106664943 /nfs/dbraw/zinc/66/49/43/1106664943.db2.gz CEVCFHDADVONNT-NXOAAHMSSA-N 0 1 311.466 3.388 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC001316941520 1106794835 /nfs/dbraw/zinc/79/48/35/1106794835.db2.gz RJINIFAHMAMVDU-SFHVURJKSA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC001320040255 1106812160 /nfs/dbraw/zinc/81/21/60/1106812160.db2.gz XXWDTKWMHZOHMP-HNNXBMFYSA-N 0 1 302.349 3.258 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CCc2ccccc2Cl)C1 ZINC001316979655 1106841429 /nfs/dbraw/zinc/84/14/29/1106841429.db2.gz LCMDJPIYTBTQSK-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001316981111 1106844052 /nfs/dbraw/zinc/84/40/52/1106844052.db2.gz QKUBXNBOWKRBDS-OAHLLOKOSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001316985618 1106851740 /nfs/dbraw/zinc/85/17/40/1106851740.db2.gz LGJQEGUQYLSEFV-GJZGRUSLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@H]1CNCc1ncoc1C(C)C ZINC001320127965 1106889271 /nfs/dbraw/zinc/88/92/71/1106889271.db2.gz IXSXOCUAKCFEPJ-GJZGRUSLSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1c[nH]c2ccccc12)C1CC1 ZINC001317020735 1106908405 /nfs/dbraw/zinc/90/84/05/1106908405.db2.gz YEIBHAWJQUZFMV-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccoc1C(C)C)C1CC1 ZINC001317031635 1106924526 /nfs/dbraw/zinc/92/45/26/1106924526.db2.gz KWXSGIJNRZMFHO-AWEZNQCLSA-N 0 1 310.825 3.254 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2occc2C)C1 ZINC001317036979 1106933742 /nfs/dbraw/zinc/93/37/42/1106933742.db2.gz ZHFNPUMBESYPLJ-AWEZNQCLSA-N 0 1 310.825 3.125 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC=C)c1ccccc1 ZINC001317049373 1106948641 /nfs/dbraw/zinc/94/86/41/1106948641.db2.gz KXTBRZBPBOMPAM-ZCNNSNEGSA-N 0 1 322.452 3.045 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCCN1Cc1cccnc1 ZINC001317174101 1107084636 /nfs/dbraw/zinc/08/46/36/1107084636.db2.gz PGBULZJMXRIFCS-AEFFLSMTSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccccc1C ZINC001317181631 1107102986 /nfs/dbraw/zinc/10/29/86/1107102986.db2.gz UOZMKROGUSMLQO-ROUUACIJSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C(C2CC2)C2CC2)C1 ZINC001317203650 1107122458 /nfs/dbraw/zinc/12/24/58/1107122458.db2.gz JQMQZGPHARBPRT-CZUORRHYSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCCC1(F)F ZINC001320802484 1107142987 /nfs/dbraw/zinc/14/29/87/1107142987.db2.gz ZFCWGMGLZAQFLE-LBPRGKRZSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(F)c(C(F)F)c1 ZINC001317241215 1107165619 /nfs/dbraw/zinc/16/56/19/1107165619.db2.gz KYLLPFDRYXDIBI-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(Cl)ccc1C ZINC001317277725 1107200450 /nfs/dbraw/zinc/20/04/50/1107200450.db2.gz TYPTWKKBRQMJQP-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2c(s1)CCCC2 ZINC001317284435 1107207595 /nfs/dbraw/zinc/20/75/95/1107207595.db2.gz PWUFNSWPNFVHBJ-NSHDSACASA-N 0 1 312.866 3.087 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1ccc(C)c2cnccc21 ZINC001260094218 1107251174 /nfs/dbraw/zinc/25/11/74/1107251174.db2.gz HXVUNLLEVSDONS-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)CCC2CC2)C1 ZINC001317369733 1107271734 /nfs/dbraw/zinc/27/17/34/1107271734.db2.gz HMAVZYNRNOGBLU-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCCC(=O)NCC[C@@H](C)N[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001317386379 1107297974 /nfs/dbraw/zinc/29/79/74/1107297974.db2.gz FEPRJORRCUDBMF-KGLIPLIRSA-N 0 1 321.465 3.484 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1 ZINC001321242433 1107301083 /nfs/dbraw/zinc/30/10/83/1107301083.db2.gz XTWITUHCCPZNIU-CYBMUJFWSA-N 0 1 302.330 3.145 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2ccccc2SC)nc1 ZINC001321350603 1107330126 /nfs/dbraw/zinc/33/01/26/1107330126.db2.gz QWJZHZGOUFRGDO-UHFFFAOYSA-N 0 1 315.398 3.426 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2c(F)cccc2C#N)c1C ZINC001260997554 1107338253 /nfs/dbraw/zinc/33/82/53/1107338253.db2.gz BDAGEXSUHKVJDW-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001321663148 1107407977 /nfs/dbraw/zinc/40/79/77/1107407977.db2.gz MQDIPGODSCANIS-HUUCEWRRSA-N 0 1 320.864 3.078 20 30 DGEDMN CC(C)[C@H](C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001321663147 1107408463 /nfs/dbraw/zinc/40/84/63/1107408463.db2.gz MQDIPGODSCANIS-CABCVRRESA-N 0 1 320.864 3.078 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001317508778 1107469425 /nfs/dbraw/zinc/46/94/25/1107469425.db2.gz KPPSDUHGMFRAET-MJGOQNOKSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001317512417 1107475141 /nfs/dbraw/zinc/47/51/41/1107475141.db2.gz KYBANFYYQKAWHU-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC)CCCC1 ZINC001261703411 1107506371 /nfs/dbraw/zinc/50/63/71/1107506371.db2.gz HMRYBQGWZVYAHZ-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC)CCCC1 ZINC001261703411 1107506379 /nfs/dbraw/zinc/50/63/79/1107506379.db2.gz HMRYBQGWZVYAHZ-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1csc(C)c1)C1CC1 ZINC001317554594 1107532433 /nfs/dbraw/zinc/53/24/33/1107532433.db2.gz ITRBRDORSGFMOT-UHFFFAOYSA-N 0 1 306.475 3.349 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](CCC)c1ccccc1)C1CC1 ZINC001317559828 1107541813 /nfs/dbraw/zinc/54/18/13/1107541813.db2.gz LTIWWCJIYVLPIX-IBGZPJMESA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1csc2cc(C)ccc12 ZINC001322169017 1107552014 /nfs/dbraw/zinc/55/20/14/1107552014.db2.gz MQPLRSTUARGIJM-CQSZACIVSA-N 0 1 314.454 3.283 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2ccccn2)CCCCC1 ZINC001317639238 1107576890 /nfs/dbraw/zinc/57/68/90/1107576890.db2.gz KRGZLQGNWYOREM-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccoc1C)c1ccc(C(C)C)cc1 ZINC001317711373 1107614453 /nfs/dbraw/zinc/61/44/53/1107614453.db2.gz NKONTKJCWVKHBI-IBGZPJMESA-N 0 1 324.424 3.405 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc[nH]1)c1ccc(C(C)C)cc1 ZINC001317711486 1107614765 /nfs/dbraw/zinc/61/47/65/1107614765.db2.gz PWGOEELMWQCTHY-LJQANCHMSA-N 0 1 323.440 3.222 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1C(C)(C)C)c1ccccc1 ZINC001317720717 1107621414 /nfs/dbraw/zinc/62/14/14/1107621414.db2.gz KYSVZGQOZBPEBK-KURKYZTESA-N 0 1 312.457 3.139 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CC[N@@H+](Cc2ncccc2C)C1 ZINC001317753998 1107640149 /nfs/dbraw/zinc/64/01/49/1107640149.db2.gz NYATVRGKWXVTQP-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001317753998 1107640156 /nfs/dbraw/zinc/64/01/56/1107640156.db2.gz NYATVRGKWXVTQP-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001317766271 1107650012 /nfs/dbraw/zinc/65/00/12/1107650012.db2.gz CROCZTHISRIXIJ-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001317768166 1107651868 /nfs/dbraw/zinc/65/18/68/1107651868.db2.gz ZOMGBCQJKQVZGJ-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)CC(C)C)C1 ZINC001317770381 1107654601 /nfs/dbraw/zinc/65/46/01/1107654601.db2.gz RLZHCQGLOGVZJG-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2coc3ccccc23)C1 ZINC001317772318 1107656013 /nfs/dbraw/zinc/65/60/13/1107656013.db2.gz JYPXOARCHAONTI-INIZCTEOSA-N 0 1 324.424 3.383 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001317795059 1107672399 /nfs/dbraw/zinc/67/23/99/1107672399.db2.gz HGBIOHNPKWVSDB-VQHPVUNQSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001317796598 1107673957 /nfs/dbraw/zinc/67/39/57/1107673957.db2.gz BGUXYXJKGWXRSH-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC[C@@H](C)CC)C1 ZINC001317804916 1107682129 /nfs/dbraw/zinc/68/21/29/1107682129.db2.gz FZJNKVUYNSQDMD-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC001317876121 1107730195 /nfs/dbraw/zinc/73/01/95/1107730195.db2.gz BQSLAGHEPAGLJF-MRXNPFEDSA-N 0 1 324.896 3.490 20 30 DGEDMN Cc1c(C(=O)N(CCC#N)CC(C)(C)C)n[nH]c1C(F)(F)F ZINC001262608064 1107739005 /nfs/dbraw/zinc/73/90/05/1107739005.db2.gz WCAHMIBGOVJCSB-UHFFFAOYSA-N 0 1 316.327 3.139 20 30 DGEDMN C=CCOCCN1CCC[C@@H](NC(=O)C2(CC)CCCCC2)C1 ZINC001317955483 1107766485 /nfs/dbraw/zinc/76/64/85/1107766485.db2.gz PAKHDOAGDYNONZ-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2ccc(CCC)cc2)C1 ZINC001318108470 1107842028 /nfs/dbraw/zinc/84/20/28/1107842028.db2.gz VFORMKFFROQFPW-UHFFFAOYSA-N 0 1 300.446 3.153 20 30 DGEDMN FC(F)(F)c1ccc(N=NCc2cccc3c[nH]nc32)nc1 ZINC001323136117 1107849526 /nfs/dbraw/zinc/84/95/26/1107849526.db2.gz LMVCSXGUMULDEH-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001318150300 1107864229 /nfs/dbraw/zinc/86/42/29/1107864229.db2.gz ZSWOETNLRXHZLR-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1cc(C)sc1C ZINC001323241148 1107900269 /nfs/dbraw/zinc/90/02/69/1107900269.db2.gz GFCIWTJCRXVFCT-MRXNPFEDSA-N 0 1 306.475 3.412 20 30 DGEDMN C=CCCC(=O)Nc1ccc2nc(CN3CCCCC3)[nH]c2c1 ZINC001318209393 1107900549 /nfs/dbraw/zinc/90/05/49/1107900549.db2.gz CRYBKTAHYLLHCH-UHFFFAOYSA-N 0 1 312.417 3.454 20 30 DGEDMN C#CCN(Cn1nc(C)nc1-c1ccccc1)C1CCCCC1 ZINC001318240343 1107912593 /nfs/dbraw/zinc/91/25/93/1107912593.db2.gz GZPBEOUUVHLAAI-UHFFFAOYSA-N 0 1 308.429 3.479 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001323339487 1107942918 /nfs/dbraw/zinc/94/29/18/1107942918.db2.gz HHSPFYLNWIMZRU-ZWKOTPCHSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001318387836 1107974808 /nfs/dbraw/zinc/97/48/08/1107974808.db2.gz VJGJCMVAESRPPI-HUUCEWRRSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)nc2C(C)C)CC1 ZINC001323501823 1107990210 /nfs/dbraw/zinc/99/02/10/1107990210.db2.gz OODWDPBXEKECQV-UHFFFAOYSA-N 0 1 321.852 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001318429969 1108000512 /nfs/dbraw/zinc/00/05/12/1108000512.db2.gz VOYVIOJPUFYDCE-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN N#CC(=C([O-])C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295591 1108003519 /nfs/dbraw/zinc/00/35/19/1108003519.db2.gz FDJNNFVKZVPDJR-CMUBDUJZSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(C(=O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295591 1108003536 /nfs/dbraw/zinc/00/35/36/1108003536.db2.gz FDJNNFVKZVPDJR-CMUBDUJZSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(=C(O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295591 1108003547 /nfs/dbraw/zinc/00/35/47/1108003547.db2.gz FDJNNFVKZVPDJR-CMUBDUJZSA-N 0 1 306.369 3.135 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)Cc2ccccc2C)CC1 ZINC001323625009 1108032653 /nfs/dbraw/zinc/03/26/53/1108032653.db2.gz RYOYLUYJZZFJRA-AWEZNQCLSA-N 0 1 320.864 3.165 20 30 DGEDMN CC#CCCCC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001318477782 1108038652 /nfs/dbraw/zinc/03/86/52/1108038652.db2.gz HYSVKXRBPPDTRR-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001318482383 1108044397 /nfs/dbraw/zinc/04/43/97/1108044397.db2.gz IGFKIZYQSDVZCC-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001318490793 1108050285 /nfs/dbraw/zinc/05/02/85/1108050285.db2.gz DGOADJGKVQOSOJ-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)N[C@H](C)c1c(F)cccc1F ZINC001318520441 1108076777 /nfs/dbraw/zinc/07/67/77/1108076777.db2.gz YFPJPIPDUVQELM-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCC1(C(=O)N2CCC3(C2)CCN(CCF)CC3)CCCC1 ZINC001323836534 1108099787 /nfs/dbraw/zinc/09/97/87/1108099787.db2.gz UWZSNGVDTSSDQT-UHFFFAOYSA-N 0 1 322.468 3.407 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2cc(F)ccc2F)C1 ZINC001318569207 1108101354 /nfs/dbraw/zinc/10/13/54/1108101354.db2.gz GKCZAVACUDWXKG-OAHLLOKOSA-N 0 1 322.399 3.306 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(c2ccccc2F)CC1 ZINC001263615722 1108110204 /nfs/dbraw/zinc/11/02/04/1108110204.db2.gz DLMCMMQTZCUFJU-SNVBAGLBSA-N 0 1 317.751 3.121 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2cccc(F)c2)CCC1 ZINC001263616679 1108112483 /nfs/dbraw/zinc/11/24/83/1108112483.db2.gz DDYVCBKRGQWRPH-OAHLLOKOSA-N 0 1 311.360 3.340 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001318592691 1108112963 /nfs/dbraw/zinc/11/29/63/1108112963.db2.gz IFQMQNNQOUROEZ-HRCADAONSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1ccccc1C ZINC001318607074 1108121974 /nfs/dbraw/zinc/12/19/74/1108121974.db2.gz NHPDGSYIKSTVCJ-LSDHHAIUSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c(C)cccc1Cl ZINC001491567163 1108122357 /nfs/dbraw/zinc/12/23/57/1108122357.db2.gz DEJVKVQOVOHBAN-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN CC(C)(C)c1ccc(N=NC2CCN(Cc3ccccc3)C2)nn1 ZINC001318625945 1108131205 /nfs/dbraw/zinc/13/12/05/1108131205.db2.gz KMUVFVWTEGTPOZ-UHFFFAOYSA-N 0 1 323.444 3.448 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](CCC)c2ccccn2)C1 ZINC001323970203 1108132621 /nfs/dbraw/zinc/13/26/21/1108132621.db2.gz PYFWDZWOXJOXEP-QGZVFWFLSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc[nH]c2C(C)C)C1 ZINC001323970194 1108132878 /nfs/dbraw/zinc/13/28/78/1108132878.db2.gz PUANUWHQFJCSCP-CQSZACIVSA-N 0 1 303.450 3.249 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001323969850 1108133360 /nfs/dbraw/zinc/13/33/60/1108133360.db2.gz HVIBJCZMYZDWNX-HNNXBMFYSA-N 0 1 317.477 3.488 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc[nH]c2C(C)C)C1 ZINC001323970193 1108133645 /nfs/dbraw/zinc/13/36/45/1108133645.db2.gz PUANUWHQFJCSCP-AWEZNQCLSA-N 0 1 303.450 3.249 20 30 DGEDMN C=C[C@H](COC)N[C@@H](C)c1cnn(-c2ccc(F)cc2)c1C ZINC001324021231 1108149910 /nfs/dbraw/zinc/14/99/10/1108149910.db2.gz HJYGPOZHXVCEIX-SWLSCSKDSA-N 0 1 303.381 3.171 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001324030606 1108153293 /nfs/dbraw/zinc/15/32/93/1108153293.db2.gz LOOTZTYLASEMFD-QGZVFWFLSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCCC(=O)NCc1ccc(CNCc2ncccc2C)cc1 ZINC001318988125 1108265035 /nfs/dbraw/zinc/26/50/35/1108265035.db2.gz AOHMMNCMZBPLJY-UHFFFAOYSA-N 0 1 323.440 3.262 20 30 DGEDMN C#CCN(C(=O)CCC=C)C1CCN(Cc2ccsc2)CC1 ZINC001324374851 1108270516 /nfs/dbraw/zinc/27/05/16/1108270516.db2.gz UXLYGLRDYMZQCD-UHFFFAOYSA-N 0 1 316.470 3.141 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CSCCC)CC1 ZINC001319011148 1108277693 /nfs/dbraw/zinc/27/76/93/1108277693.db2.gz YDWPTQSTHHSNJF-UHFFFAOYSA-N 0 1 318.914 3.100 20 30 DGEDMN C#CCN(C(=O)CCCC(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001324426891 1108284797 /nfs/dbraw/zinc/28/47/97/1108284797.db2.gz OMMLUNNPRGOSIK-KRWDZBQOSA-N 0 1 324.484 3.487 20 30 DGEDMN N#CCc1ccc(S(=O)(=O)Nc2ccc3ccccc3n2)cc1 ZINC001319052601 1108295512 /nfs/dbraw/zinc/29/55/12/1108295512.db2.gz LJFPNDXADXBXDU-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(C(F)F)CCCC1 ZINC001319101534 1108315370 /nfs/dbraw/zinc/31/53/70/1108315370.db2.gz BGEAPPYSJRXFFW-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN CN(C)c1cccc(Cl)c1C=[NH+][N-]c1ccc(Cl)nn1 ZINC001324577724 1108356706 /nfs/dbraw/zinc/35/67/06/1108356706.db2.gz OIUMMCRYKGDZRY-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)CC2CCCCC2)C1 ZINC001319295833 1108407020 /nfs/dbraw/zinc/40/70/20/1108407020.db2.gz RHQWQYBVPDJMTN-SJLPKXTDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C2(CC)CCCCC2)C1 ZINC001319330809 1108416154 /nfs/dbraw/zinc/41/61/54/1108416154.db2.gz CBDHSOXPZMHRHC-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc2ccccc2c1 ZINC001264187089 1108422928 /nfs/dbraw/zinc/42/29/28/1108422928.db2.gz DXZJHWDVOOFIIC-FQEVSTJZSA-N 0 1 320.436 3.400 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2ccccc2n1 ZINC001319564406 1108530623 /nfs/dbraw/zinc/53/06/23/1108530623.db2.gz ZVPQQLYFGLOWRF-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2CCC(C3CC3)CC2)C1 ZINC001324977120 1108546137 /nfs/dbraw/zinc/54/61/37/1108546137.db2.gz PJLJMTIYMFAJTF-AFMYVXGZSA-N 0 1 316.489 3.054 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001325051643 1108570113 /nfs/dbraw/zinc/57/01/13/1108570113.db2.gz PQCQSXLHLKNCPD-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001264615885 1108593009 /nfs/dbraw/zinc/59/30/09/1108593009.db2.gz ICQSCCIEEYTDID-HRCADAONSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)Cc1ccccc1CC ZINC001264742000 1108641246 /nfs/dbraw/zinc/64/12/46/1108641246.db2.gz YKACMFAXVMGTQK-IBGZPJMESA-N 0 1 314.473 3.290 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](CC)CC(C)C ZINC001264743913 1108643140 /nfs/dbraw/zinc/64/31/40/1108643140.db2.gz ATGMOTHRJNLMGB-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](F)CC1CCCCC1 ZINC001264745580 1108645594 /nfs/dbraw/zinc/64/55/94/1108645594.db2.gz LJLLXPSIAMOKGA-ZWKOTPCHSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)Cc1ccc(C)o1 ZINC001319842846 1108660333 /nfs/dbraw/zinc/66/03/33/1108660333.db2.gz DEMLZIGLGPNRFM-WBMJQRKESA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NC/C(Cl)=C\Cl)C(C)C ZINC001319918970 1108695144 /nfs/dbraw/zinc/69/51/44/1108695144.db2.gz YCAPLISPYINJFW-BWODNOAJSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NC/C(Cl)=C/Cl)C(C)C ZINC001319918977 1108695358 /nfs/dbraw/zinc/69/53/58/1108695358.db2.gz YCAPLISPYINJFW-FFXRNRBCSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc(C)c1F ZINC001265114661 1108738543 /nfs/dbraw/zinc/73/85/43/1108738543.db2.gz JQJSSHYDJOCOOF-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(CC)Cc1c(C)noc1C ZINC001265126130 1108742029 /nfs/dbraw/zinc/74/20/29/1108742029.db2.gz LIJCUBDYBFCBAY-UHFFFAOYSA-N 0 1 321.465 3.222 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001265299080 1108812242 /nfs/dbraw/zinc/81/22/42/1108812242.db2.gz HPVAZTODMJSJCE-KRWDZBQOSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1CCC(C)(C)CC1)C1CC1 ZINC001265382097 1108852358 /nfs/dbraw/zinc/85/23/58/1108852358.db2.gz IFSTUJHFGCGNPT-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCC(C)(F)F)C1 ZINC001265390740 1108857628 /nfs/dbraw/zinc/85/76/28/1108857628.db2.gz MDONAKHXJYKJRC-CYBMUJFWSA-N 0 1 322.827 3.345 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2coc(C)c2)C1 ZINC001265388820 1108858540 /nfs/dbraw/zinc/85/85/40/1108858540.db2.gz MJQQIMDYRJWCAT-CQSZACIVSA-N 0 1 310.825 3.125 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@]23C[C@H]2CCC3)C1 ZINC001265393349 1108860860 /nfs/dbraw/zinc/86/08/60/1108860860.db2.gz XADZGCXRPYBBJA-BFYDXBDKSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CCCC)C(C)C)C1 ZINC001265461044 1108880103 /nfs/dbraw/zinc/88/01/03/1108880103.db2.gz HBGYAZKELINFKH-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C/C=C/Cc1ccccc1 ZINC001265646734 1108947215 /nfs/dbraw/zinc/94/72/15/1108947215.db2.gz FNZXTIFWKSLLCV-AQKVLALTSA-N 0 1 324.468 3.169 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1cncc(C)c1 ZINC001265647376 1108947652 /nfs/dbraw/zinc/94/76/52/1108947652.db2.gz OCXHAPPICGKXQH-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001265671916 1108960272 /nfs/dbraw/zinc/96/02/72/1108960272.db2.gz QRHCHYFOTPWDND-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001265679510 1108962288 /nfs/dbraw/zinc/96/22/88/1108962288.db2.gz DIFRNZFPRJHLGL-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](C)c1ccco1 ZINC001265681930 1108964102 /nfs/dbraw/zinc/96/41/02/1108964102.db2.gz HTMVDXTXDCPZDF-KBPBESRZSA-N 0 1 310.825 3.106 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001265684091 1108965046 /nfs/dbraw/zinc/96/50/46/1108965046.db2.gz OETSOGZXWAZKSC-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cccc(OC)c1 ZINC001265689922 1108967952 /nfs/dbraw/zinc/96/79/52/1108967952.db2.gz NJFVSVHVALGLBH-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C[C@H](CNC(=O)CC1CCCCC1)NCC#Cc1ccccc1 ZINC001265750710 1108991497 /nfs/dbraw/zinc/99/14/97/1108991497.db2.gz QTXCGIHIVGJZIN-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001265754616 1108994262 /nfs/dbraw/zinc/99/42/62/1108994262.db2.gz IGSPWJGIWQTEJV-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001265816099 1109013739 /nfs/dbraw/zinc/01/37/39/1109013739.db2.gz OOLHLTYPAHNNTC-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@]1(C)CCCc2ccccc21 ZINC001265841703 1109022354 /nfs/dbraw/zinc/02/23/54/1109022354.db2.gz UFOXHJSMXBDUOT-KDOFPFPSSA-N 0 1 320.864 3.127 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1ccc(Cl)nc1 ZINC001265931571 1109044871 /nfs/dbraw/zinc/04/48/71/1109044871.db2.gz OWBCEPRYXCTFMK-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001266088235 1109085458 /nfs/dbraw/zinc/08/54/58/1109085458.db2.gz PKVKBVDOMZCGTC-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2ccon2)CCCCC1 ZINC001266189413 1109120887 /nfs/dbraw/zinc/12/08/87/1109120887.db2.gz RFXAKQCQDJGOFZ-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCCC(=O)NCCC[C@@H]1CCCN1Cc1nc(C)c(C)o1 ZINC001266204908 1109126144 /nfs/dbraw/zinc/12/61/44/1109126144.db2.gz ZXUNOSNFMBVMMS-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN CCCCC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001279539341 1109153607 /nfs/dbraw/zinc/15/36/07/1109153607.db2.gz CBMQIGMGFAPFDS-PMACEKPBSA-N 0 1 324.468 3.294 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](CC)CCCC)CC1 ZINC001279599550 1109157666 /nfs/dbraw/zinc/15/76/66/1109157666.db2.gz DPZQTOBRLIBLAE-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001316600681 1109172408 /nfs/dbraw/zinc/17/24/08/1109172408.db2.gz AVGPUZVPEBFAPL-QFBILLFUSA-N 0 1 314.473 3.461 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)/C=C\C(C)(C)C ZINC001280324240 1109185482 /nfs/dbraw/zinc/18/54/82/1109185482.db2.gz CEGXPFCXMIJGCR-SEYXRHQNSA-N 0 1 312.457 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@@H]1C=CCCC1 ZINC001280445438 1109204443 /nfs/dbraw/zinc/20/44/43/1109204443.db2.gz UWFUKBWMALDLQM-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccsc2)CCC1 ZINC001280485690 1109211429 /nfs/dbraw/zinc/21/14/29/1109211429.db2.gz UAUZMEMEOJBUES-UHFFFAOYSA-N 0 1 312.866 3.062 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccccc2F)CCC1 ZINC001280502681 1109214762 /nfs/dbraw/zinc/21/47/62/1109214762.db2.gz XUSUECMSSUEWLR-UHFFFAOYSA-N 0 1 304.409 3.311 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001280757129 1109248784 /nfs/dbraw/zinc/24/87/84/1109248784.db2.gz UAOGPEWTPSMGIS-WMZOPIPTSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CCCC(C)C)cc2C1 ZINC001281300084 1109327689 /nfs/dbraw/zinc/32/76/89/1109327689.db2.gz SFQXMCDFIIAAEN-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cnoc2C)[C@H](C)C1 ZINC001281668161 1109391729 /nfs/dbraw/zinc/39/17/29/1109391729.db2.gz BAHUOHATSCKRNH-CZUORRHYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001281776608 1109407196 /nfs/dbraw/zinc/40/71/96/1109407196.db2.gz OGSLZZHCKVGABZ-HKUYNNGSSA-N 0 1 300.446 3.324 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C2CC2)cc1 ZINC001281969307 1109425534 /nfs/dbraw/zinc/42/55/34/1109425534.db2.gz TYACCQMQCLVDQI-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001282131069 1109455374 /nfs/dbraw/zinc/45/53/74/1109455374.db2.gz BRNNFIDBXKHQQK-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC1CC(c2ccccc2)C1 ZINC001282411492 1109505671 /nfs/dbraw/zinc/50/56/71/1109505671.db2.gz JAZRRHKHHXQYCV-MUYFXNHWSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H]1CCCCCN1CCF)c1ccccc1 ZINC001282678192 1109550460 /nfs/dbraw/zinc/55/04/60/1109550460.db2.gz OZMBTNRFEMXZJG-MSOLQXFVSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001282741137 1109560156 /nfs/dbraw/zinc/56/01/56/1109560156.db2.gz QVVMEJOVQYPQNI-VQIMIIECSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)[C@@H](C)CC)C2)C1 ZINC001282775139 1109563749 /nfs/dbraw/zinc/56/37/49/1109563749.db2.gz OANKQTBEFBHEQQ-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN Cc1cc(CN2C[C@H](NC(=O)C#CC(C)C)C(C)(C)C2)cs1 ZINC001282800235 1109567278 /nfs/dbraw/zinc/56/72/78/1109567278.db2.gz KDMSFRSBMSNQRR-INIZCTEOSA-N 0 1 318.486 3.043 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CCC)c2ccccc2)C(C)(C)C1 ZINC001282834578 1109572464 /nfs/dbraw/zinc/57/24/64/1109572464.db2.gz TVEMDBFAYANVHM-ROUUACIJSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1c[nH]cc1C1CC1 ZINC001283552285 1109651173 /nfs/dbraw/zinc/65/11/73/1109651173.db2.gz DPOIVJRDLZJHAI-INIZCTEOSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1c[nH]cc1C1CC1 ZINC001283552286 1109651189 /nfs/dbraw/zinc/65/11/89/1109651189.db2.gz DPOIVJRDLZJHAI-MRXNPFEDSA-N 0 1 321.852 3.085 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1sccc1Cl ZINC001283605128 1109659265 /nfs/dbraw/zinc/65/92/65/1109659265.db2.gz AIAYOQMYSKIYFZ-GFCCVEGCSA-N 0 1 312.866 3.142 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001283605318 1109660051 /nfs/dbraw/zinc/66/00/51/1109660051.db2.gz CJPDLXMSCDLHSQ-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001283605319 1109660065 /nfs/dbraw/zinc/66/00/65/1109660065.db2.gz CJPDLXMSCDLHSQ-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cnc(Cl)s1 ZINC001283620625 1109662011 /nfs/dbraw/zinc/66/20/11/1109662011.db2.gz WQYONXFPGUTRAG-NSHDSACASA-N 0 1 315.870 3.089 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001283653173 1109667082 /nfs/dbraw/zinc/66/70/82/1109667082.db2.gz OIBDWXRUWWOWHU-AAGJOFLKSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001283664328 1109668958 /nfs/dbraw/zinc/66/89/58/1109668958.db2.gz KTKDAMCIBLUSIJ-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001283664326 1109668998 /nfs/dbraw/zinc/66/89/98/1109668998.db2.gz KTKDAMCIBLUSIJ-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1csc(Cl)c1 ZINC001283677010 1109672228 /nfs/dbraw/zinc/67/22/28/1109672228.db2.gz GVOZPWKHZYOZKC-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@H]1C ZINC001283901122 1109697132 /nfs/dbraw/zinc/69/71/32/1109697132.db2.gz ZGCOXZRZFKUCHD-JBUYOTSVSA-N 0 1 317.260 3.078 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2sccc2Cl)C[C@@H]1C ZINC001283966297 1109707116 /nfs/dbraw/zinc/70/71/16/1109707116.db2.gz SJKJGHFSWXSGLZ-RYUDHWBXSA-N 0 1 312.866 3.447 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C[C@H]1C ZINC001283986539 1109711234 /nfs/dbraw/zinc/71/12/34/1109711234.db2.gz NSKHVMRGAWNZQC-CZUORRHYSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001284130242 1109743088 /nfs/dbraw/zinc/74/30/88/1109743088.db2.gz GZPRTXKAGSVCDZ-STQMWFEESA-N 0 1 306.372 3.264 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001284712398 1109847125 /nfs/dbraw/zinc/84/71/25/1109847125.db2.gz LLLGSAQZFBHBAF-RNISTEOTSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2ccc(F)cc2)CC1 ZINC001284920249 1109880953 /nfs/dbraw/zinc/88/09/53/1109880953.db2.gz COWVTYCJVXNDPP-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4cccnc43)[nH]c2c1 ZINC001287394913 1110111420 /nfs/dbraw/zinc/11/14/20/1110111420.db2.gz KUQRHSGTSSPTJH-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ncsc3C3CC3)[nH]c2c1 ZINC001287394477 1110111616 /nfs/dbraw/zinc/11/16/16/1110111616.db2.gz DWIZEHISIJQFIF-UHFFFAOYSA-N 0 1 309.354 3.021 20 30 DGEDMN Cc1cccc(Oc2ccccc2C(=O)NCC#CCN(C)C)c1 ZINC001302295971 1111111046 /nfs/dbraw/zinc/11/10/46/1111111046.db2.gz WXTMZLCCHWEPAU-UHFFFAOYSA-N 0 1 322.408 3.082 20 30 DGEDMN COc1ccc(NC(=O)c2cc(C)cc3cn[nH]c32)cc1C#N ZINC001302692390 1111121981 /nfs/dbraw/zinc/12/19/81/1111121981.db2.gz HNRLCZIWSIIIBW-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CC[C@H](C(=O)NCCCCc1ccnc(N)c1)c1ccccc1 ZINC001306091733 1111218567 /nfs/dbraw/zinc/21/85/67/1111218567.db2.gz UNCFMFIJWNLRPQ-SFHVURJKSA-N 0 1 323.440 3.463 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CCc3ccccc3)CC2)[C@H]1CC ZINC001316742093 1111242687 /nfs/dbraw/zinc/24/26/87/1111242687.db2.gz VZWRZDLXIZUFBD-RBUKOAKNSA-N 0 1 324.468 3.002 20 30 DGEDMN C[C@@H](C(=O)N([O-])Cc1ccccc1Cl)[NH+]1CCCCCC1 ZINC001306894319 1111248154 /nfs/dbraw/zinc/24/81/54/1111248154.db2.gz JUEDOMMSUDXCNF-ZDUSSCGKSA-N 0 1 310.825 3.322 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](Cc2ccc(C)cc2)C1 ZINC001307441557 1111299733 /nfs/dbraw/zinc/29/97/33/1111299733.db2.gz GHISMNKATORBBE-MSOLQXFVSA-N 0 1 301.430 3.367 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cccc(Cl)n1 ZINC001307812396 1111342757 /nfs/dbraw/zinc/34/27/57/1111342757.db2.gz SGWCWVLGERVBCY-UHFFFAOYSA-N 0 1 315.804 3.255 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CN(Cc1ccccc1)C[C@H](C)O2 ZINC001308031455 1111369360 /nfs/dbraw/zinc/36/93/60/1111369360.db2.gz HRFAVXBDXMEFGV-FUHWJXTLSA-N 0 1 320.864 3.104 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC001308067111 1111373174 /nfs/dbraw/zinc/37/31/74/1111373174.db2.gz DMFJRAOAMRKNOG-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3c(c2)OC(F)(F)O3)s1 ZINC001308440645 1111429180 /nfs/dbraw/zinc/42/91/80/1111429180.db2.gz LCRDSJPISILTMI-UHFFFAOYSA-N 0 1 308.309 3.231 20 30 DGEDMN C#C[C@@H](NCc1cc(Br)c([N+](=O)[O-])s1)C(C)C ZINC001308752216 1111469957 /nfs/dbraw/zinc/46/99/57/1111469957.db2.gz ZFXUPGMFVIIKDN-SNVBAGLBSA-N 0 1 317.208 3.166 20 30 DGEDMN C#C[C@H](C)NCc1c(Oc2ccc(F)cc2)nc2ccccn21 ZINC001308754534 1111470864 /nfs/dbraw/zinc/47/08/64/1111470864.db2.gz IOAVUPAZPQXLIM-ZDUSSCGKSA-N 0 1 309.344 3.377 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1cnc(C)o1 ZINC001316699551 1111720530 /nfs/dbraw/zinc/72/05/30/1111720530.db2.gz RMKUEODTRUKCFJ-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2cccc(Cl)c2)C1 ZINC001316946794 1111730608 /nfs/dbraw/zinc/73/06/08/1111730608.db2.gz DQQHXINPAGKAFL-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cnc(Cl)s1 ZINC001329051578 1111742255 /nfs/dbraw/zinc/74/22/55/1111742255.db2.gz YIHIAQVYJGUHLR-MNOVXSKESA-N 0 1 315.870 3.136 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(C(F)F)c1 ZINC001316806903 1111742399 /nfs/dbraw/zinc/74/23/99/1111742399.db2.gz NVYFGSFWYRJINQ-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C1=CCCCCC1 ZINC001316819578 1111777940 /nfs/dbraw/zinc/77/79/40/1111777940.db2.gz OBWKQUFHIWORLA-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN N#Cc1cccc(NS(=O)(=O)c2cc(F)ccc2Cl)c1 ZINC000103057887 432002351 /nfs/dbraw/zinc/00/23/51/432002351.db2.gz FQDWCBVGNXPEOC-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2ccccc2Cl)cc1F ZINC000307928506 231096272 /nfs/dbraw/zinc/09/62/72/231096272.db2.gz ALXJNHHZUQBOAQ-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN N#Cc1c(F)cccc1NC1CCN(Cc2cccnc2)CC1 ZINC000278744802 214370982 /nfs/dbraw/zinc/37/09/82/214370982.db2.gz RLBCPHLIRXROIV-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000353249123 529842758 /nfs/dbraw/zinc/84/27/58/529842758.db2.gz KXVXZHRIBKAXJP-UHFFFAOYSA-N 0 1 316.792 3.352 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ccc(C#N)cc1[N+](=O)[O-] ZINC000444876171 529977083 /nfs/dbraw/zinc/97/70/83/529977083.db2.gz NKBFHCRMNVARSX-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN CCN(Cc1ccc(C(=O)OC)[nH]1)[C@@H](C)c1ccc(C#N)cc1 ZINC000272717473 210123499 /nfs/dbraw/zinc/12/34/99/210123499.db2.gz DJFOKZKPHOQQOJ-ZDUSSCGKSA-N 0 1 311.385 3.256 20 30 DGEDMN C[C@H]1CN(CC(=O)Nc2cc(Cl)ccc2C#N)C(C)(C)C1 ZINC000331018431 232350047 /nfs/dbraw/zinc/35/00/47/232350047.db2.gz VZQILCUXMMYTFT-LLVKDONJSA-N 0 1 305.809 3.271 20 30 DGEDMN O=C(Nc1nc(-c2cccc3ccccc32)n[nH]1)[C@H]1CCCO1 ZINC000066484720 432016402 /nfs/dbraw/zinc/01/64/02/432016402.db2.gz BYWXIAQSJCDRPZ-CQSZACIVSA-N 0 1 308.341 3.392 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)Cc1ccc(SC)cc1 ZINC000066863740 432022940 /nfs/dbraw/zinc/02/29/40/432022940.db2.gz KTEAGTAUKCZYQK-UHFFFAOYSA-N 0 1 306.475 3.265 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc2c(c1)CCC(=O)N2 ZINC000177859053 186194866 /nfs/dbraw/zinc/19/48/66/186194866.db2.gz PDADBXHILNEGHA-GFCCVEGCSA-N 0 1 323.371 3.433 20 30 DGEDMN N#Cc1ccc(CN(Cc2cccc3nccn32)C2CC2)cc1 ZINC000179679034 186203753 /nfs/dbraw/zinc/20/37/53/186203753.db2.gz ZFROZVWAOVKMFW-UHFFFAOYSA-N 0 1 302.381 3.371 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)[C@@H](C)c2ccc(F)cc2)CC1 ZINC000067207544 432028257 /nfs/dbraw/zinc/02/82/57/432028257.db2.gz CZTQYKAAQGZDBM-HNNXBMFYSA-N 0 1 316.420 3.080 20 30 DGEDMN C[C@H](NCC(=O)N1CCCc2ccccc21)c1ccc(C#N)cc1 ZINC000173998473 198278898 /nfs/dbraw/zinc/27/88/98/198278898.db2.gz VOWSXGYMUPANJQ-HNNXBMFYSA-N 0 1 319.408 3.188 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(OC)c(O)c2)c(O)c1 ZINC000004280618 181092369 /nfs/dbraw/zinc/09/23/69/181092369.db2.gz PXZWGNIMAQEYSE-XVNBXDOJSA-N 0 1 300.310 3.011 20 30 DGEDMN N#CC1(CCCN2CCCC[C@@H]2c2cc[nH]n2)CCOCC1 ZINC000375637010 283043347 /nfs/dbraw/zinc/04/33/47/283043347.db2.gz RKAWQCYJNDWVES-MRXNPFEDSA-N 0 1 302.422 3.037 20 30 DGEDMN N#CC1(CCN2CCC(O)(C(F)(F)F)CC2)CCCCC1 ZINC000411411428 283045131 /nfs/dbraw/zinc/04/51/31/283045131.db2.gz VKIPWEPGVPYDLG-UHFFFAOYSA-N 0 1 304.356 3.240 20 30 DGEDMN Cc1occc1CN(C)Cc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000179978673 199102333 /nfs/dbraw/zinc/10/23/33/199102333.db2.gz NTDWXQJCSBHXGO-UHFFFAOYSA-N 0 1 320.396 3.492 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)s1 ZINC000180603782 199183152 /nfs/dbraw/zinc/18/31/52/199183152.db2.gz HYCXMFPOBSTOQH-DOMZBBRYSA-N 0 1 302.399 3.301 20 30 DGEDMN CCOc1cccc([C@H](C)NCC(=O)Nc2cccc(C#N)c2)c1 ZINC000180668281 199193061 /nfs/dbraw/zinc/19/30/61/199193061.db2.gz DJRFKUZTRCUWRH-AWEZNQCLSA-N 0 1 323.396 3.246 20 30 DGEDMN Cc1ccc([C@@H](C)N[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)o1 ZINC000180234935 432082009 /nfs/dbraw/zinc/08/20/09/432082009.db2.gz CAFHBPKUOJJUAI-PBHICJAKSA-N 0 1 323.396 3.306 20 30 DGEDMN C[C@@H]1CN(c2cccc(F)c2)CCN1Cc1cccc(C#N)c1 ZINC000429257586 238045237 /nfs/dbraw/zinc/04/52/37/238045237.db2.gz OGSDUXDTFUVIKW-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN Cc1cccc(CN(C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)c1C ZINC000428721962 238029874 /nfs/dbraw/zinc/02/98/74/238029874.db2.gz VGVNKMXKVFQVQF-VQIMIIECSA-N 0 1 315.461 3.178 20 30 DGEDMN N#Cc1ccc(CN2CCC(CO)(c3ccccc3)CC2)cc1F ZINC000433066760 238145653 /nfs/dbraw/zinc/14/56/53/238145653.db2.gz IKYONOPMKILKPY-UHFFFAOYSA-N 0 1 324.399 3.223 20 30 DGEDMN Cc1cncc(CN2CCC(Oc3cccc(C#N)c3)CC2)c1 ZINC000189870384 432097944 /nfs/dbraw/zinc/09/79/44/432097944.db2.gz DMIIYJCDGWODAY-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N[C@@H](CCO)c2ccccc2Br)C1 ZINC000442834118 239134352 /nfs/dbraw/zinc/13/43/52/239134352.db2.gz AHAMTVGATQCGIN-JMSVASOKSA-N 0 1 323.234 3.154 20 30 DGEDMN N#Cc1ccc(C(=O)N2CCCSc3ccccc32)cc1O ZINC000442640433 239104283 /nfs/dbraw/zinc/10/42/83/239104283.db2.gz AWNPFKPXDHXSKV-UHFFFAOYSA-N 0 1 310.378 3.406 20 30 DGEDMN Cc1cc(CNCc2cccc3c2OCCCO3)ccc1C#N ZINC000443990107 239246422 /nfs/dbraw/zinc/24/64/22/239246422.db2.gz QGWXKPRNXNBTHR-UHFFFAOYSA-N 0 1 308.381 3.318 20 30 DGEDMN N#Cc1cccc(OC2CCN(Cc3cccc(O)c3)CC2)c1 ZINC000092034393 193144451 /nfs/dbraw/zinc/14/44/51/193144451.db2.gz PBZUQDGFGFQDJN-UHFFFAOYSA-N 0 1 308.381 3.307 20 30 DGEDMN Cc1ccc(CN(C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)cc1C ZINC000452037943 241304084 /nfs/dbraw/zinc/30/40/84/241304084.db2.gz CSFPRCOYBGAOFR-VQIMIIECSA-N 0 1 315.461 3.178 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](CCCO)c1ccccc1 ZINC000271786357 209213234 /nfs/dbraw/zinc/21/32/34/209213234.db2.gz MPZGXSJTGAGRSK-SFHVURJKSA-N 0 1 310.397 3.170 20 30 DGEDMN CCO[C@@H]1C[C@@H]([N@@H+](C)Cc2ccc(OCC#N)cc2)C12CCC2 ZINC000268616048 432111387 /nfs/dbraw/zinc/11/13/87/432111387.db2.gz ACIJFXBBNPJYDB-QZTJIDSGSA-N 0 1 314.429 3.368 20 30 DGEDMN C[C@@H]1C[C@@H](c2ccccc2)CN1CC(=O)Nc1cccc(C#N)c1 ZINC000115552050 284113787 /nfs/dbraw/zinc/11/37/87/284113787.db2.gz XYWQFBYQHUXCGP-CRAIPNDOSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)CN1CC(=O)Nc1cccc(C#N)c1 ZINC000115552046 284114073 /nfs/dbraw/zinc/11/40/73/284114073.db2.gz XYWQFBYQHUXCGP-QAPCUYQASA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1ccc2ncc(C#N)c(NC[C@@H](c3ccco3)N(C)C)c2c1 ZINC000487931248 245125486 /nfs/dbraw/zinc/12/54/86/245125486.db2.gz HCLNGTOZJVXYQK-KRWDZBQOSA-N 0 1 320.396 3.145 20 30 DGEDMN C[C@H]1CSCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000488221593 245162412 /nfs/dbraw/zinc/16/24/12/245162412.db2.gz POFOKAAOKBWGSX-LLVKDONJSA-N 0 1 312.385 3.345 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(C)[C@@H](C(C)C)C1)c1ccccc1 ZINC000489333519 245293982 /nfs/dbraw/zinc/29/39/82/245293982.db2.gz ZOWXJQYMNDMVFQ-QZTJIDSGSA-N 0 1 300.446 3.145 20 30 DGEDMN C#CC[C@H](NCC(=O)NCc1ccc(C)c(F)c1)c1ccccc1 ZINC000271734824 209167802 /nfs/dbraw/zinc/16/78/02/209167802.db2.gz TVJXFITVYVCNAU-IBGZPJMESA-N 0 1 324.399 3.105 20 30 DGEDMN C[C@@H](c1ccc(-n2cncn2)cc1)N(C)Cc1cc(C#N)cs1 ZINC000127192089 284330193 /nfs/dbraw/zinc/33/01/93/284330193.db2.gz BGYHZBZXWBUYPY-ZDUSSCGKSA-N 0 1 323.425 3.393 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C)c1ccc(C#N)cc1 ZINC000271689691 209127036 /nfs/dbraw/zinc/12/70/36/209127036.db2.gz RGZIJLCXCQTMIO-YRBFXIGRSA-N 0 1 313.445 3.150 20 30 DGEDMN C=C(C)COc1cc(CN[C@@H](C)c2cnn(C)c2)ccc1OC ZINC000266160680 205147998 /nfs/dbraw/zinc/14/79/98/205147998.db2.gz NIFMKPWWIROQCO-AWEZNQCLSA-N 0 1 315.417 3.234 20 30 DGEDMN CC[C@H](NCc1ccc(C#N)o1)[C@H](O)c1c(F)cccc1F ZINC000266313677 205257230 /nfs/dbraw/zinc/25/72/30/205257230.db2.gz PZQIKZLOBBZGMQ-HOCLYGCPSA-N 0 1 306.312 3.031 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C=Cc1cccc(C#N)c1 ZINC000493527756 246002911 /nfs/dbraw/zinc/00/29/11/246002911.db2.gz QQLKDWKUHPSEEK-CMDGGOBGSA-N 0 1 322.412 3.251 20 30 DGEDMN Cc1sc(NC(=O)CN2CCC[C@@H]2C(C)C)c(C#N)c1C ZINC000267400924 206039833 /nfs/dbraw/zinc/03/98/33/206039833.db2.gz QWMUSGHHHNSCRE-CQSZACIVSA-N 0 1 305.447 3.296 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)Cc1cccc2[nH]ccc21 ZINC000494144636 246116842 /nfs/dbraw/zinc/11/68/42/246116842.db2.gz IIKYZTGTOWIWRF-OAHLLOKOSA-N 0 1 313.445 3.413 20 30 DGEDMN CN(CC[N@@H+](C)Cc1ccc(CC#N)cc1)C(=O)OC(C)(C)C ZINC000495136504 246238506 /nfs/dbraw/zinc/23/85/06/246238506.db2.gz CLYRAZAYUKZJFV-UHFFFAOYSA-N 0 1 317.433 3.051 20 30 DGEDMN Cc1cc([C@H]2CCCN(C(=O)C=Cc3ccc(C#N)cc3)C2)n[nH]1 ZINC000283500758 217643577 /nfs/dbraw/zinc/64/35/77/217643577.db2.gz KJQMGGVJVNYFFI-IJDCCNJMSA-N 0 1 320.396 3.009 20 30 DGEDMN COc1ncc([C@H](C)NCc2cccc(C#N)c2)cc1Cl ZINC000284597169 218092992 /nfs/dbraw/zinc/09/29/92/218092992.db2.gz ZZFOWECIIYWVET-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN C[C@@H]1C[C@H](C)N(CC2(C#N)CC2)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000496386552 297367430 /nfs/dbraw/zinc/36/74/30/297367430.db2.gz WMSDCFUWGRNWOY-ILXRZTDVSA-N 0 1 321.465 3.161 20 30 DGEDMN COc1ccc2c(c1)CN(CCOc1ccc(C#N)cc1)CC2 ZINC000065163346 184313292 /nfs/dbraw/zinc/31/32/92/184313292.db2.gz XUGPGLGPVRVJFF-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN CN(CCOc1ccc(C#N)cc1)Cc1cc(Cl)cn1C ZINC000120166286 195111218 /nfs/dbraw/zinc/11/12/18/195111218.db2.gz FKXAZKLSSJAKGO-UHFFFAOYSA-N 0 1 303.793 3.061 20 30 DGEDMN COCC1(C#N)CCN(CCOc2ccc(SC)cc2)CC1 ZINC000341997221 533112194 /nfs/dbraw/zinc/11/21/94/533112194.db2.gz WGEHZKULJBOLAH-UHFFFAOYSA-N 0 1 320.458 3.039 20 30 DGEDMN COCC1(C#N)CCN(Cc2cc3cc(F)ccc3o2)CC1 ZINC000342844140 533112279 /nfs/dbraw/zinc/11/22/79/533112279.db2.gz SDTYJBQCFVYUFL-UHFFFAOYSA-N 0 1 302.349 3.324 20 30 DGEDMN C[N@@H+](CC[C@H]1CCCO1)Cc1ccc(C#N)cc1Br ZINC000342603805 254016658 /nfs/dbraw/zinc/01/66/58/254016658.db2.gz MFDAJWAQTDJBGQ-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN CN(CC[C@H]1CCCO1)Cc1ccc(C#N)cc1Br ZINC000342603805 254016659 /nfs/dbraw/zinc/01/66/59/254016659.db2.gz MFDAJWAQTDJBGQ-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN N#Cc1csc(CN2CCC(F)(c3cccnc3)CC2)c1 ZINC000342854825 254065763 /nfs/dbraw/zinc/06/57/63/254065763.db2.gz UIEQUMADRIIROA-UHFFFAOYSA-N 0 1 301.390 3.476 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3cc(C#N)ccc3C)[nH]c2c1 ZINC000343698779 254179265 /nfs/dbraw/zinc/17/92/65/254179265.db2.gz GDZCNMATVAAVEW-UHFFFAOYSA-N 0 1 319.368 3.373 20 30 DGEDMN CC(C)(C)[C@H]1CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000344143904 254231349 /nfs/dbraw/zinc/23/13/49/254231349.db2.gz BFMFRPFFMSUEKY-UONOGXRCSA-N 0 1 317.458 3.093 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2cccc3[nH]cnc32)C2CC2)cc1 ZINC000345659754 254384385 /nfs/dbraw/zinc/38/43/85/254384385.db2.gz NKTILGDOYRWRDK-UHFFFAOYSA-N 0 1 316.364 3.239 20 30 DGEDMN C#CC[C@H](NCC(=O)NCc1sccc1C)c1ccccc1 ZINC000271648412 209092544 /nfs/dbraw/zinc/09/25/44/209092544.db2.gz ATICQJAIXZNBBA-INIZCTEOSA-N 0 1 312.438 3.027 20 30 DGEDMN COc1ccc(-n2ccc(CN[C@H]3CCC[C@@H](C#N)C3)n2)cc1 ZINC000185821465 533215966 /nfs/dbraw/zinc/21/59/66/533215966.db2.gz GZODQUGDPAGPFU-CABCVRRESA-N 0 1 310.401 3.053 20 30 DGEDMN C[C@@H](NCC(=O)N[C@H](C)c1ccccc1)c1ccc(C#N)cc1 ZINC000271614572 209061737 /nfs/dbraw/zinc/06/17/37/209061737.db2.gz BNTWYPQRUNRACR-HUUCEWRRSA-N 0 1 307.397 3.086 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC[C@H](C4CC4)C3)o2)cc1 ZINC000289562977 221069930 /nfs/dbraw/zinc/06/99/30/221069930.db2.gz XXQVBZQOPUZMRF-INIZCTEOSA-N 0 1 308.385 3.230 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2ncc(C(C)(C)C)s2)cn1 ZINC000430612667 533353187 /nfs/dbraw/zinc/35/31/87/533353187.db2.gz SFHZGCCIQMMMOB-LLVKDONJSA-N 0 1 315.398 3.334 20 30 DGEDMN COc1ccc(C(=O)[C@@H](C#N)c2ncc(C(C)(C)C)s2)cn1 ZINC000430612667 533353194 /nfs/dbraw/zinc/35/31/94/533353194.db2.gz SFHZGCCIQMMMOB-LLVKDONJSA-N 0 1 315.398 3.334 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)c(C)c2)cc1[N+](=O)[O-] ZINC000443965457 533674040 /nfs/dbraw/zinc/67/40/40/533674040.db2.gz ABOAHTOJIYVIFF-UHFFFAOYSA-N 0 1 311.341 3.073 20 30 DGEDMN C=CCc1ccccc1OC[C@H](O)CN(C)CCC(F)(F)F ZINC000066483877 400094819 /nfs/dbraw/zinc/09/48/19/400094819.db2.gz OUTVBRHKZWAVEN-CQSZACIVSA-N 0 1 317.351 3.039 20 30 DGEDMN C[C@@H](NCc1cccnc1N1CCCC1)c1ccc(C#N)cc1 ZINC000595074172 400099778 /nfs/dbraw/zinc/09/97/78/400099778.db2.gz UPBPJMGKIURLFO-OAHLLOKOSA-N 0 1 306.413 3.404 20 30 DGEDMN CC[C@H]1c2ccccc2CCN1CC(=O)N(CC)C[C@H](C)C#N ZINC000609625653 400182135 /nfs/dbraw/zinc/18/21/35/400182135.db2.gz IWSNBHIVUVYENY-QAPCUYQASA-N 0 1 313.445 3.004 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000608813555 400122634 /nfs/dbraw/zinc/12/26/34/400122634.db2.gz CIDMUORYQYIZRT-UHFFFAOYSA-N 0 1 316.792 3.494 20 30 DGEDMN N#Cc1ccc(O)c(-c2cc(F)ccc2OC[C@H]2CCOC2)c1 ZINC000600778962 400150622 /nfs/dbraw/zinc/15/06/22/400150622.db2.gz KOBSCHQBLIEXGS-ZDUSSCGKSA-N 0 1 313.328 3.485 20 30 DGEDMN C[C@@H]1CC[C@@H](c2ccccc2)N(CC(=O)NC2(C#N)CCC2)C1 ZINC000586780166 400154546 /nfs/dbraw/zinc/15/45/46/400154546.db2.gz BPXQXUJJMDNZJE-WBVHZDCISA-N 0 1 311.429 3.022 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000595657753 400220991 /nfs/dbraw/zinc/22/09/91/400220991.db2.gz BSPSLKLBZQTPTM-HNNXBMFYSA-N 0 1 308.385 3.396 20 30 DGEDMN COC[C@@H](NCc1ccc(CC#N)cc1)c1cccc(OC)c1 ZINC000595684908 400225490 /nfs/dbraw/zinc/22/54/90/400225490.db2.gz SBSGGDPXWSDEKG-LJQANCHMSA-N 0 1 310.397 3.239 20 30 DGEDMN COCCc1ncc(CN[C@H](C)c2cccc(C#N)c2)s1 ZINC000601107886 400233996 /nfs/dbraw/zinc/23/39/96/400233996.db2.gz CJHQRIHLMZNXRL-GFCCVEGCSA-N 0 1 301.415 3.054 20 30 DGEDMN COc1ccc(C#N)cc1CN(Cc1ccccc1)[C@H](C)CCO ZINC000093303756 400237473 /nfs/dbraw/zinc/23/74/73/400237473.db2.gz VEMPGLYAFKMPQG-MRXNPFEDSA-N 0 1 324.424 3.340 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(CC#Cc2ccc(F)cc2)C1 ZINC000093297912 400237744 /nfs/dbraw/zinc/23/77/44/400237744.db2.gz WYCPJYYISQOTAP-MRXNPFEDSA-N 0 1 317.404 3.088 20 30 DGEDMN COCCc1ncc(CNCc2ccc(C#N)c(Cl)c2)s1 ZINC000601119741 400239329 /nfs/dbraw/zinc/23/93/29/400239329.db2.gz WDRNAWOSKGVATK-UHFFFAOYSA-N 0 1 321.833 3.147 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@H](C)[C@H]1O ZINC000595734322 400245552 /nfs/dbraw/zinc/24/55/52/400245552.db2.gz WVKPPKFKABTEHD-HBYGRHMLSA-N 0 1 312.335 3.026 20 30 DGEDMN COc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2cccc(C#N)c2)cc1 ZINC000610346302 400246059 /nfs/dbraw/zinc/24/60/59/400246059.db2.gz SHTUBKZXCDNXLT-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2nnc[nH]2)c2ccccc2)cc1Cl ZINC000588959839 400250227 /nfs/dbraw/zinc/25/02/27/400250227.db2.gz VKXOGPSQXCGRRF-INIZCTEOSA-N 0 1 323.787 3.209 20 30 DGEDMN CCN(C)[C@@H](C(=O)N[C@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000610364854 400250767 /nfs/dbraw/zinc/25/07/67/400250767.db2.gz ZANFWEXHZWQHES-DNVCBOLYSA-N 0 1 321.424 3.428 20 30 DGEDMN N#CCCN(C(=O)CN1CCC[C@@H](CCF)C1)c1ccccc1 ZINC000595756791 400251566 /nfs/dbraw/zinc/25/15/66/400251566.db2.gz WYVSNAXNLSXJGK-INIZCTEOSA-N 0 1 317.408 3.005 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](Cc1ccsc1)C(C)(C)C ZINC000595601090 400199224 /nfs/dbraw/zinc/19/92/24/400199224.db2.gz MJMFLOQZNWDLCQ-AWEZNQCLSA-N 0 1 321.490 3.357 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(Cc1ccsc1)C(C)(C)C ZINC000595601090 400199229 /nfs/dbraw/zinc/19/92/29/400199229.db2.gz MJMFLOQZNWDLCQ-AWEZNQCLSA-N 0 1 321.490 3.357 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NCc1noc(C)c1C(=O)OCC ZINC000595605860 400201831 /nfs/dbraw/zinc/20/18/31/400201831.db2.gz YVLVUKCCTLTSFY-KGLIPLIRSA-N 0 1 306.406 3.384 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(C)Cc1ccsc1 ZINC000610132293 400216189 /nfs/dbraw/zinc/21/61/89/400216189.db2.gz NFRVKZCUCNJVGT-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1cc([N+](=O)[O-])cc2c1OCOC2 ZINC000595840394 400277984 /nfs/dbraw/zinc/27/79/84/400277984.db2.gz ATKSLFMMGFPVIU-GASCZTMLSA-N 0 1 316.357 3.144 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@@]1(C)CO ZINC000595859900 400282318 /nfs/dbraw/zinc/28/23/18/400282318.db2.gz CRAXSRCABZXHSM-NHYWBVRUSA-N 0 1 312.335 3.027 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](N[C@H]1CCN(C3CCCCC3)C1=O)CC2 ZINC000589341557 400289677 /nfs/dbraw/zinc/28/96/77/400289677.db2.gz SBUOLXXFHMWEMJ-MOPGFXCFSA-N 0 1 323.440 3.069 20 30 DGEDMN CN(C)c1cccnc1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000589344268 400291055 /nfs/dbraw/zinc/29/10/55/400291055.db2.gz BIXTZJUVATXTIM-QGZVFWFLSA-N 0 1 306.413 3.186 20 30 DGEDMN CN(CC(=O)Nc1cc(Cl)ccc1C#N)C[C@@H]1CC1(C)C ZINC000595912396 400293915 /nfs/dbraw/zinc/29/39/15/400293915.db2.gz LCDUWNHUVKOVRB-LBPRGKRZSA-N 0 1 305.809 3.128 20 30 DGEDMN N#Cc1cc(CN[C@H](Cn2cccn2)c2ccccc2)ccc1F ZINC000610719830 400295756 /nfs/dbraw/zinc/29/57/56/400295756.db2.gz NNWHSPXZUAEXCK-LJQANCHMSA-N 0 1 320.371 3.425 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1)N(C)C ZINC000610798989 400307750 /nfs/dbraw/zinc/30/77/50/400307750.db2.gz FYUSVETZBAQHGM-INIZCTEOSA-N 0 1 324.384 3.024 20 30 DGEDMN Cc1ccc(C#N)nc1NC[C@H](C)N1CCc2sccc2C1 ZINC000596074681 400309859 /nfs/dbraw/zinc/30/98/59/400309859.db2.gz IXMNKKMWKQPLFH-ZDUSSCGKSA-N 0 1 312.442 3.182 20 30 DGEDMN N#Cc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)c(F)c1 ZINC000595784520 400257904 /nfs/dbraw/zinc/25/79/04/400257904.db2.gz AWEIZWPWPVZMLN-SFHVURJKSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](C)c1cccc(C#N)c1 ZINC000610437737 400258774 /nfs/dbraw/zinc/25/87/74/400258774.db2.gz LMYCBNLFITYJDV-MRVPVSSYSA-N 0 1 322.290 3.100 20 30 DGEDMN Cc1ncc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C#N)s1 ZINC000601938130 400381354 /nfs/dbraw/zinc/38/13/54/400381354.db2.gz XBNQXKDRCIWWSL-GHMZBOCLSA-N 0 1 314.414 3.001 20 30 DGEDMN C[C@@H]1CCc2[nH]n(-c3ccc(C#N)c(C(F)(F)F)c3)c(=O)c21 ZINC000601939534 400381846 /nfs/dbraw/zinc/38/18/46/400381846.db2.gz LUUMWUFCQFQYRE-AMIZOPFISA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)cc2C(F)(F)F)c(=O)c1CCC#N ZINC000601948021 400383775 /nfs/dbraw/zinc/38/37/75/400383775.db2.gz IBWQCASSMWJSBI-LLVKDONJSA-N 0 1 320.274 3.220 20 30 DGEDMN Cc1[nH]n(-c2cc(C#N)ccc2Br)c(=O)c1C1CC1 ZINC000601947671 400383854 /nfs/dbraw/zinc/38/38/54/400383854.db2.gz KNEMIDWMJBPJKA-CYBMUJFWSA-N 0 1 318.174 3.069 20 30 DGEDMN N#Cc1ccc(Br)c(N2N=C3CCCC[C@H]3C2=O)c1 ZINC000601948420 400385146 /nfs/dbraw/zinc/38/51/46/400385146.db2.gz NWDGQRMPCJOSPP-SNVBAGLBSA-N 0 1 318.174 3.214 20 30 DGEDMN N#Cc1ccc(Br)c(-n2[nH]c3c(c2=O)CCCC3)c1 ZINC000601948420 400385152 /nfs/dbraw/zinc/38/51/52/400385152.db2.gz NWDGQRMPCJOSPP-SNVBAGLBSA-N 0 1 318.174 3.214 20 30 DGEDMN C[N@@H+](Cc1ccccc1)[C@H]1CCN(Cc2cccc(C#N)c2F)C1 ZINC000611372056 400385727 /nfs/dbraw/zinc/38/57/27/400385727.db2.gz RHBVBRKCWZLJCP-IBGZPJMESA-N 0 1 323.415 3.404 20 30 DGEDMN CC[C@@H]1c2ccccc2CCN1CC(=O)N(CC)C[C@@H](C)C#N ZINC000114493023 400387581 /nfs/dbraw/zinc/38/75/81/400387581.db2.gz IWSNBHIVUVYENY-MAUKXSAKSA-N 0 1 313.445 3.004 20 30 DGEDMN C[C@H](NCc1ccnc(C#N)c1)c1ccc(OC(F)F)cc1 ZINC000611379626 400387624 /nfs/dbraw/zinc/38/76/24/400387624.db2.gz XZEBXNDEALJAFO-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN CCc1ccccc1NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611386787 400388608 /nfs/dbraw/zinc/38/86/08/400388608.db2.gz VDIGPTOYQXHRBX-AWEZNQCLSA-N 0 1 307.397 3.410 20 30 DGEDMN C[C@H](NCC(=O)N(C)CC1CCCCC1)c1cccc(C#N)c1 ZINC000611386452 400388658 /nfs/dbraw/zinc/38/86/58/400388658.db2.gz KMPCVFJUYHGHSD-HNNXBMFYSA-N 0 1 313.445 3.248 20 30 DGEDMN C[C@H](NCC(=O)Nc1cc(F)ccc1F)c1cccc(C#N)c1 ZINC000611387820 400390089 /nfs/dbraw/zinc/39/00/89/400390089.db2.gz SXTNPXIHLZQBDI-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN CC(C)(C#N)CCS(=O)(=O)Nc1cnoc1-c1ccccc1 ZINC000578383217 400328599 /nfs/dbraw/zinc/32/85/99/400328599.db2.gz GYCVKWQHNITVAD-UHFFFAOYSA-N 0 1 319.386 3.023 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000610996736 400337053 /nfs/dbraw/zinc/33/70/53/400337053.db2.gz HBNWFQGQTACZGB-UHFFFAOYSA-N 0 1 318.392 3.253 20 30 DGEDMN COc1ccc(C2(CNCCC#N)CCCCC2)cc1OC ZINC000581007116 400348987 /nfs/dbraw/zinc/34/89/87/400348987.db2.gz GIEWBFGOFYIWNL-UHFFFAOYSA-N 0 1 302.418 3.409 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@@H](c2ccnc(C)n2)C1 ZINC000611235551 400363009 /nfs/dbraw/zinc/36/30/09/400363009.db2.gz LCONPCDVGVCALT-MRXNPFEDSA-N 0 1 322.412 3.045 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(c2cccnc2)CC1 ZINC000611258884 400366703 /nfs/dbraw/zinc/36/67/03/400366703.db2.gz YMXZAQVSZKQFEN-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN COCCN(Cc1[nH]nc2ccccc21)Cc1ccc(C#N)cc1 ZINC000611257754 400366739 /nfs/dbraw/zinc/36/67/39/400366739.db2.gz GYORKMJVODKSAR-UHFFFAOYSA-N 0 1 320.396 3.083 20 30 DGEDMN C[C@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1cccc(C#N)c1 ZINC000611438726 400401152 /nfs/dbraw/zinc/40/11/52/400401152.db2.gz XUANUXMROQXJCX-KBXCAEBGSA-N 0 1 311.429 3.142 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)C(C)(C)C#N)c1ccccc1Cl ZINC000042127694 400423421 /nfs/dbraw/zinc/42/34/21/400423421.db2.gz ZHUOUHDTOOYJGW-HNNXBMFYSA-N 0 1 321.852 3.389 20 30 DGEDMN COc1cccc(N2CCN([C@H](C)c3ccc(C#N)cc3)CC2)c1 ZINC000118390637 400428271 /nfs/dbraw/zinc/42/82/71/400428271.db2.gz WBWDSZBGOICGKX-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN CC(C)N(C(=O)[C@H](C)N[C@@H](C)c1ccc(C#N)cc1)C(C)C ZINC000127550356 400503661 /nfs/dbraw/zinc/50/36/61/400503661.db2.gz NZCMPDATNMXVJB-GJZGRUSLSA-N 0 1 301.434 3.243 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N2CCc3cc(C#N)ccc32)o1 ZINC000591312449 400507997 /nfs/dbraw/zinc/50/79/97/400507997.db2.gz PVOJLQJWWXMMIC-UHFFFAOYSA-N 0 1 323.396 3.196 20 30 DGEDMN COc1ccc(C2CCN(Cc3cccc(C#N)n3)CC2)cc1 ZINC000602469716 400469259 /nfs/dbraw/zinc/46/92/59/400469259.db2.gz WGLDTVWCBHDQBX-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C#N)c(Cl)c2)n1 ZINC000123668448 400470360 /nfs/dbraw/zinc/47/03/60/400470360.db2.gz YOMPTPGDIZWNJU-LLVKDONJSA-N 0 1 301.758 3.467 20 30 DGEDMN C[C@@H](CC#N)C(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000596661188 400474938 /nfs/dbraw/zinc/47/49/38/400474938.db2.gz HHTGHHHVSFQFCK-XJKSGUPXSA-N 0 1 319.836 3.143 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(C)c1ccccc1)c1cccc(C#N)c1 ZINC000591022924 400476903 /nfs/dbraw/zinc/47/69/03/400476903.db2.gz AUCVLEQKXDCVMG-CABCVRRESA-N 0 1 307.397 3.260 20 30 DGEDMN Cc1ccc2[nH]c(CN[C@@H](C)CC3(C#N)CCOCC3)nc2c1 ZINC000602558514 400496252 /nfs/dbraw/zinc/49/62/52/400496252.db2.gz CAJBSRQUYOXLNB-AWEZNQCLSA-N 0 1 312.417 3.060 20 30 DGEDMN Cc1ccc2nc(CN[C@@H](C)CC3(C#N)CCOCC3)[nH]c2c1 ZINC000602558514 400496254 /nfs/dbraw/zinc/49/62/54/400496254.db2.gz CAJBSRQUYOXLNB-AWEZNQCLSA-N 0 1 312.417 3.060 20 30 DGEDMN CO[C@@H](CNCc1cccc(C#N)n1)c1cccc(Cl)c1 ZINC000602562618 400497023 /nfs/dbraw/zinc/49/70/23/400497023.db2.gz VDWKLVUNSKQHCJ-INIZCTEOSA-N 0 1 301.777 3.084 20 30 DGEDMN N#Cc1cccc(CNC[C@H](c2ccccc2)C(F)(F)F)n1 ZINC000602621852 400514581 /nfs/dbraw/zinc/51/45/81/400514581.db2.gz DPOSBEFLSXAVKM-OAHLLOKOSA-N 0 1 305.303 3.389 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccc(C#N)cc1 ZINC000045217662 400515874 /nfs/dbraw/zinc/51/58/74/400515874.db2.gz QZZRIEHILFXTHZ-SNVBAGLBSA-N 0 1 300.745 3.408 20 30 DGEDMN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@@H](C)CCC#N ZINC000602642257 400521162 /nfs/dbraw/zinc/52/11/62/400521162.db2.gz BWCRHVUXPKFPCS-XIRDDKMYSA-N 0 1 300.402 3.305 20 30 DGEDMN COc1ccc2c(c1)OCC[C@H]2NCc1ccc(C#N)c(F)c1 ZINC000591501541 400533367 /nfs/dbraw/zinc/53/33/67/400533367.db2.gz MSGZCHZGIRRGEP-QGZVFWFLSA-N 0 1 312.344 3.319 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](N[C@@H]1CCCC[C@H]1C#N)CC2 ZINC000602710183 400548476 /nfs/dbraw/zinc/54/84/76/400548476.db2.gz VIEWCQBXEZCHEB-NUEKZKHPSA-N 0 1 300.402 3.363 20 30 DGEDMN N#Cc1cc(F)cc(NC2CCN(Cc3ccncc3)CC2)c1 ZINC000602724367 400552127 /nfs/dbraw/zinc/55/21/27/400552127.db2.gz KFTWXSVKXLJZDR-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN N#CC1(c2ccc(CN3CCC[C@@H](OC(F)F)C3)cc2)CC1 ZINC000597082707 400554120 /nfs/dbraw/zinc/55/41/20/400554120.db2.gz ONYUFYAISYOMJH-OAHLLOKOSA-N 0 1 306.356 3.445 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(C3(C#N)CC3)cc2)cn1 ZINC000597083786 400555862 /nfs/dbraw/zinc/55/58/62/400555862.db2.gz UECOCWDTIQWKKG-UHFFFAOYSA-N 0 1 307.397 3.277 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1CN1CCN(CCC#N)CC1 ZINC000602748892 400562821 /nfs/dbraw/zinc/56/28/21/400562821.db2.gz VIAMXLMQJAWRIY-UHFFFAOYSA-N 0 1 311.351 3.045 20 30 DGEDMN COc1ccc(CN(C)CC2(CC#N)CC2)cc1OC(F)F ZINC000602760705 400566466 /nfs/dbraw/zinc/56/64/66/400566466.db2.gz AEXFDCSEJGPBOZ-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN COc1ccccc1CN(C[C@H]1CCCO1)CC1(CC#N)CC1 ZINC000602762105 400566496 /nfs/dbraw/zinc/56/64/96/400566496.db2.gz HLAZRYNQBCPVAY-QGZVFWFLSA-N 0 1 314.429 3.370 20 30 DGEDMN N#CCn1c(C(=O)[C@@H](C#N)c2ccccn2)cc2ccccc21 ZINC000591861690 400566784 /nfs/dbraw/zinc/56/67/84/400566784.db2.gz BFPQDCGWNVOALM-AWEZNQCLSA-N 0 1 300.321 3.050 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N(C)CCC(C)(C)C)c(C#N)c1C ZINC000592145235 400614732 /nfs/dbraw/zinc/61/47/32/400614732.db2.gz PENRSRDWEDNIHL-GFCCVEGCSA-N 0 1 305.422 3.463 20 30 DGEDMN CCCN(CC(=O)N1CCCCCC1)Cc1ccc(C#N)cc1 ZINC000047740091 400629922 /nfs/dbraw/zinc/62/99/22/400629922.db2.gz BDLGCCXGWRMHJM-UHFFFAOYSA-N 0 1 313.445 3.173 20 30 DGEDMN CC(C)CCN(CC(=O)N(CCC#N)c1ccccc1)C1CC1 ZINC000047912289 400633666 /nfs/dbraw/zinc/63/36/66/400633666.db2.gz WWZJSTVHISMJKR-UHFFFAOYSA-N 0 1 313.445 3.444 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)NC2(C#N)CCCCC2)cc1 ZINC000047959779 400636330 /nfs/dbraw/zinc/63/63/30/400636330.db2.gz JVIUPLNCCHFRAB-MRXNPFEDSA-N 0 1 313.445 3.331 20 30 DGEDMN CC(=O)Nc1cccc2c1CCN(Cc1ccc(C#N)c(C)c1)C2 ZINC000618593491 400637063 /nfs/dbraw/zinc/63/70/63/400637063.db2.gz QXJFOVXZHDIBIX-UHFFFAOYSA-N 0 1 319.408 3.383 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(F)c(F)c2)cc1C#N ZINC000134980195 400582426 /nfs/dbraw/zinc/58/24/26/400582426.db2.gz XRYGDWKKIVHYRQ-UHFFFAOYSA-N 0 1 302.324 3.477 20 30 DGEDMN CC(C)[C@@H](NCc1cnc2c(C#N)cnn2c1)c1ccccc1F ZINC000597195702 400583319 /nfs/dbraw/zinc/58/33/19/400583319.db2.gz NAJDQUSJSFNTCB-QGZVFWFLSA-N 0 1 323.375 3.227 20 30 DGEDMN C[C@](C#N)(NC(=O)c1cc2[nH]cnc2cc1F)C1CCCCC1 ZINC000597249718 400599648 /nfs/dbraw/zinc/59/96/48/400599648.db2.gz YOQBKNCBBGCBDQ-QGZVFWFLSA-N 0 1 314.364 3.294 20 30 DGEDMN C/C(=C\c1ccccc1C(F)(F)F)CN1CC[C@](O)(CC#N)C1 ZINC000592522749 400663429 /nfs/dbraw/zinc/66/34/29/400663429.db2.gz QVWCCAQUGMERPH-QSOAKEGCSA-N 0 1 324.346 3.459 20 30 DGEDMN C/C(=C/c1ccccc1OC(F)F)CN1CC[C@](O)(CC#N)C1 ZINC000592522242 400664034 /nfs/dbraw/zinc/66/40/34/400664034.db2.gz JRMSBTDTBONWPS-OJNOIJSXSA-N 0 1 322.355 3.042 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000592524118 400664077 /nfs/dbraw/zinc/66/40/77/400664077.db2.gz WAMZJVRTQONBIB-QGZVFWFLSA-N 0 1 316.788 3.450 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(-c3cccc(F)c3)s2)C1 ZINC000592522960 400664117 /nfs/dbraw/zinc/66/41/17/400664117.db2.gz UPBHLNRYWAEMRA-KRWDZBQOSA-N 0 1 316.401 3.405 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1c1ccc(C)cc1 ZINC000076876782 400677703 /nfs/dbraw/zinc/67/77/03/400677703.db2.gz SYBKIDSDHIEPRC-FUHWJXTLSA-N 0 1 313.445 3.140 20 30 DGEDMN CCCCC1CCC(C(=O)NC2(C#N)CCN(C)CC2)CC1 ZINC000077037516 400686912 /nfs/dbraw/zinc/68/69/12/400686912.db2.gz FSBZMXYLBIMSSW-UHFFFAOYSA-N 0 1 305.466 3.087 20 30 DGEDMN COc1cc(OC)c(C2CCN(CCCC#N)CC2)c(OC)c1 ZINC000603846497 400722860 /nfs/dbraw/zinc/72/28/60/400722860.db2.gz DZSQEWZSMDNOGO-UHFFFAOYSA-N 0 1 318.417 3.196 20 30 DGEDMN N#Cc1ccc(CN2C[C@H]3CN(c4ccccc4)C[C@@H]3C2)c(F)c1 ZINC000603865308 400726648 /nfs/dbraw/zinc/72/66/48/400726648.db2.gz WGQQWQGPDGADNX-ROUUACIJSA-N 0 1 321.399 3.266 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000162029448 400742611 /nfs/dbraw/zinc/74/26/11/400742611.db2.gz VFEKRSKZYZTPSD-AWEZNQCLSA-N 0 1 318.392 3.253 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(Cc2cc(C#N)ccc2F)C1 ZINC000162110634 400743020 /nfs/dbraw/zinc/74/30/20/400743020.db2.gz PMLOXXPTIBBCPE-CQSZACIVSA-N 0 1 318.392 3.253 20 30 DGEDMN C[C@H](C#N)N(C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)C1CC1 ZINC000598126434 400745832 /nfs/dbraw/zinc/74/58/32/400745832.db2.gz VPZHGSKFTUCHNY-SNVBAGLBSA-N 0 1 314.776 3.247 20 30 DGEDMN N#CC(C(=O)c1n[nH]c2c1CCCC2)c1ccc2ccccc2n1 ZINC000613290939 400690716 /nfs/dbraw/zinc/69/07/16/400690716.db2.gz PJJZLFHBHPXEPO-CQSZACIVSA-N 0 1 316.364 3.327 20 30 DGEDMN N#Cc1c(Cl)nsc1N[C@@H]1CCN(Cc2ccccc2)C1 ZINC000051265284 400698138 /nfs/dbraw/zinc/69/81/38/400698138.db2.gz HYOPZZRIGYPHLG-GFCCVEGCSA-N 0 1 318.833 3.355 20 30 DGEDMN N#C[C@H](Cc1ccccc1)Sc1nc(-c2ccccc2O)n[nH]1 ZINC000603597340 400702811 /nfs/dbraw/zinc/70/28/11/400702811.db2.gz AJNCEIRJHDKVEG-ZDUSSCGKSA-N 0 1 322.393 3.404 20 30 DGEDMN CCc1nc(C)c(C(=O)C(C#N)c2nc3ccccc3[nH]2)s1 ZINC000051897181 400709021 /nfs/dbraw/zinc/70/90/21/400709021.db2.gz VSESVDFXKYNHBK-JTQLQIEISA-N 0 1 310.382 3.380 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N(C)CCc1ccccc1 ZINC000053047818 400762041 /nfs/dbraw/zinc/76/20/41/400762041.db2.gz NBRNBIIIQQOCBJ-OAHLLOKOSA-N 0 1 307.397 3.060 20 30 DGEDMN C[C@@H]1[C@H](C)N(C(=O)C[C@H](CC#N)c2ccccc2)[C@@H](C)CN1C ZINC000593189593 400785742 /nfs/dbraw/zinc/78/57/42/400785742.db2.gz VFJMZSFWDATQHH-DFGXFYAUSA-N 0 1 313.445 3.013 20 30 DGEDMN C[C@@H]1[C@@H](C)N(Cc2ccccc2)[C@@H](C)CN1C(=O)CCCC#N ZINC000593224131 400794524 /nfs/dbraw/zinc/79/45/24/400794524.db2.gz CAAYQSPSEWOKBW-GVDBMIGSSA-N 0 1 313.445 3.190 20 30 DGEDMN C=CCN(CC1CCC(CCC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000179819131 400881047 /nfs/dbraw/zinc/88/10/47/400881047.db2.gz LBAMLTGPZAOACN-JCYILVPMSA-N 0 1 313.507 3.268 20 30 DGEDMN CCC(C)(C)C(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593354052 400826036 /nfs/dbraw/zinc/82/60/36/400826036.db2.gz HWNLHWQEZNNPAZ-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN N#Cc1cccc(CN2CCC(NC(=O)C3=CCCCC3)CC2)c1 ZINC000593351881 400826526 /nfs/dbraw/zinc/82/65/26/400826526.db2.gz UZFSFCDFHYZERD-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](C)c1cccc(OCC)c1 ZINC000180690800 400885083 /nfs/dbraw/zinc/88/50/83/400885083.db2.gz GNJGJHROMBMWFA-HNNXBMFYSA-N 0 1 304.434 3.161 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccnc(OC3CCC3)c2)n1 ZINC000180774217 400885471 /nfs/dbraw/zinc/88/54/71/400885471.db2.gz UMYORXLNJRJLNN-ZDUSSCGKSA-N 0 1 313.382 3.268 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnc(OC3CCC3)c2)n1 ZINC000180774217 400885479 /nfs/dbraw/zinc/88/54/79/400885479.db2.gz UMYORXLNJRJLNN-ZDUSSCGKSA-N 0 1 313.382 3.268 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1C[C@H](c2ccccc2)OCC1(C)C ZINC000598591319 400838710 /nfs/dbraw/zinc/83/87/10/400838710.db2.gz ZGRGPXIMJCMQIF-IAGOWNOFSA-N 0 1 316.445 3.139 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1C[C@@H](c2ccccc2)OCC1(C)C ZINC000598591321 400838765 /nfs/dbraw/zinc/83/87/65/400838765.db2.gz ZGRGPXIMJCMQIF-SJORKVTESA-N 0 1 316.445 3.139 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000593427704 400845098 /nfs/dbraw/zinc/84/50/98/400845098.db2.gz XQHNIAJCHIGCQA-NSHDSACASA-N 0 1 311.389 3.257 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000598621691 400845220 /nfs/dbraw/zinc/84/52/20/400845220.db2.gz XISGWHJTBAINJY-CZUORRHYSA-N 0 1 312.417 3.001 20 30 DGEDMN Cc1cccc([C@H](NC[C@H](O)CC(C)(C)C#N)c2ccccn2)c1 ZINC000598722159 400865564 /nfs/dbraw/zinc/86/55/64/400865564.db2.gz AFTJCCRQZDSJOF-MJGOQNOKSA-N 0 1 323.440 3.370 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@H](CC(=O)N(C)C)c1ccccc1 ZINC000614406839 400896239 /nfs/dbraw/zinc/89/62/39/400896239.db2.gz BHZNRHGNBAYOQQ-LJQANCHMSA-N 0 1 321.424 3.176 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccsc1 ZINC000619746368 400897399 /nfs/dbraw/zinc/89/73/99/400897399.db2.gz QAOKMBLBGHEOJL-BLLLJJGKSA-N 0 1 311.410 3.076 20 30 DGEDMN CCCOc1cccc(C(=O)Nc2nc(-c3ccco3)n[nH]2)c1 ZINC000079814728 400898489 /nfs/dbraw/zinc/89/84/89/400898489.db2.gz PHNAYASHYQTUKF-UHFFFAOYSA-N 0 1 312.329 3.490 20 30 DGEDMN C=CCNC(=O)CN[C@@H](c1oc2ccccc2c1C)C(C)C ZINC000183265825 400900021 /nfs/dbraw/zinc/90/00/21/400900021.db2.gz RXRHIYYHSDPBGX-QGZVFWFLSA-N 0 1 300.402 3.330 20 30 DGEDMN C=CCNC(=O)CN[C@H](c1oc2ccccc2c1C)C(C)C ZINC000183265843 400900151 /nfs/dbraw/zinc/90/01/51/400900151.db2.gz RXRHIYYHSDPBGX-KRWDZBQOSA-N 0 1 300.402 3.330 20 30 DGEDMN C[C@H](C#N)CN[C@@H](C)CC(=O)Nc1ccccc1C(F)(F)F ZINC000183833069 400902460 /nfs/dbraw/zinc/90/24/60/400902460.db2.gz YNPVIRYUJLKVMZ-MNOVXSKESA-N 0 1 313.323 3.172 20 30 DGEDMN N#CC1(C(=O)Nc2nc3cc(Cl)c(F)cc3[nH]2)CCCC1 ZINC000598892019 400906591 /nfs/dbraw/zinc/90/65/91/400906591.db2.gz BVPIIDKQFNBCJK-UHFFFAOYSA-N 0 1 306.728 3.378 20 30 DGEDMN C=Cn1cc(CNCC#Cc2ccc(C(F)(F)F)cc2)cn1 ZINC000194352671 400919594 /nfs/dbraw/zinc/91/95/94/400919594.db2.gz BBAWKRUZHVELCL-UHFFFAOYSA-N 0 1 305.303 3.144 20 30 DGEDMN N#CCSc1ccccc1NC(=O)CN1CC[C@@H]2CCC[C@@H]21 ZINC000614600842 400921701 /nfs/dbraw/zinc/92/17/01/400921701.db2.gz MCRSFMVOKRJWGS-ZFWWWQNUSA-N 0 1 315.442 3.115 20 30 DGEDMN CCOC(=O)[C@]1(C(C)C)CCN(Cc2cc(C#N)ccc2F)C1 ZINC000194872028 400922492 /nfs/dbraw/zinc/92/24/92/400922492.db2.gz LGLVUTNCOHDMAN-GOSISDBHSA-N 0 1 318.392 3.109 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCN(c3ccc(Cl)cc3F)C2)o1 ZINC000599008078 400926988 /nfs/dbraw/zinc/92/69/88/400926988.db2.gz GTNNQAOODYGBBO-GFCCVEGCSA-N 0 1 319.767 3.312 20 30 DGEDMN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc(C)c(C#N)c1 ZINC000599303689 401017070 /nfs/dbraw/zinc/01/70/70/401017070.db2.gz DZFSQHDJILNPED-MOPGFXCFSA-N 0 1 313.445 3.088 20 30 DGEDMN COc1cccnc1NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000599097069 400958128 /nfs/dbraw/zinc/95/81/28/400958128.db2.gz FEMXSTWWIBBXHC-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN COC(=O)c1cnc(C2CCN(CCC(C)(C)C#N)CC2)s1 ZINC000599555777 401075563 /nfs/dbraw/zinc/07/55/63/401075563.db2.gz WFZUNGHHTPTFCJ-UHFFFAOYSA-N 0 1 321.446 3.049 20 30 DGEDMN C[C@H]1CN(Cc2cccc(C#N)c2)CC[C@@H]1C(=O)OC(C)(C)C ZINC000599585168 401085450 /nfs/dbraw/zinc/08/54/50/401085450.db2.gz YKXLYSQTPJFTJX-YOEHRIQHSA-N 0 1 314.429 3.358 20 30 DGEDMN Cc1ccc([C@H](NC[C@H](O)CC2(C#N)CC2)c2ccccn2)cc1 ZINC000599391775 401041357 /nfs/dbraw/zinc/04/13/57/401041357.db2.gz LVUKVODLTCUKRL-MJGOQNOKSA-N 0 1 321.424 3.124 20 30 DGEDMN Cc1nc(N[C@@H]2CCCN3CCCC[C@H]23)c(Cl)cc1C#N ZINC000594604377 401128209 /nfs/dbraw/zinc/12/82/09/401128209.db2.gz YTUZUJOFPOBJTC-HUUCEWRRSA-N 0 1 304.825 3.344 20 30 DGEDMN CCC(C#N)(CC)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000599618805 401092366 /nfs/dbraw/zinc/09/23/66/401092366.db2.gz CURQSPMRWJNLDU-OAHLLOKOSA-N 0 1 321.852 3.389 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(CC3CC3)c2)n1 ZINC000633925740 401148001 /nfs/dbraw/zinc/14/80/01/401148001.db2.gz SRYDLLHSIWYUST-ZDUSSCGKSA-N 0 1 314.414 3.363 20 30 DGEDMN COC[C@@H](NCc1ccc(C#N)c(C)c1)c1cccc(OC)c1 ZINC000594969052 401212894 /nfs/dbraw/zinc/21/28/94/401212894.db2.gz LVUALGSBIBJDMD-LJQANCHMSA-N 0 1 310.397 3.353 20 30 DGEDMN CCCCO[C@@H](C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000065617864 401275000 /nfs/dbraw/zinc/27/50/00/401275000.db2.gz IMONRDCIAOLWDR-NSHDSACASA-N 0 1 318.377 3.274 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)[C@@H](O)C(F)(F)F)cc1Cl ZINC000631235135 401433284 /nfs/dbraw/zinc/43/32/84/401433284.db2.gz HMDNGMOGVVVOSL-NOZJJQNGSA-N 0 1 323.742 3.306 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000623175535 401469209 /nfs/dbraw/zinc/46/92/09/401469209.db2.gz ZMULTOMWRDPLKC-IUODEOHRSA-N 0 1 315.442 3.352 20 30 DGEDMN C=CCC[C@H](NC[C@H](n1cncn1)C(F)(F)F)c1ccco1 ZINC000631693901 401537856 /nfs/dbraw/zinc/53/78/56/401537856.db2.gz VRZXNIQXUOONIE-AAEUAGOBSA-N 0 1 314.311 3.272 20 30 DGEDMN Cn1cc(C[N@@H+](C)CCCOc2cccc(Cl)c2)cc1C#N ZINC000191262368 165240339 /nfs/dbraw/zinc/24/03/39/165240339.db2.gz SZSATACCONAWSJ-UHFFFAOYSA-N 0 1 317.820 3.451 20 30 DGEDMN Cn1cc(CN2CC[C@@H](c3c(F)cccc3F)C2)cc1C#N ZINC000191503587 165254366 /nfs/dbraw/zinc/25/43/66/165254366.db2.gz SYAHQNRJPXBKTC-CYBMUJFWSA-N 0 1 301.340 3.164 20 30 DGEDMN CN(CCOc1ccccc1F)Cc1ccc(C#N)c(F)c1 ZINC000191896991 165273565 /nfs/dbraw/zinc/27/35/65/165273565.db2.gz KTYBGXSJSGOYCR-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN N#Cc1ccc(CN2CCCN(c3ccccc3)CC2)cc1F ZINC000191954640 165276480 /nfs/dbraw/zinc/27/64/80/165276480.db2.gz UQPUMBLNOULHSX-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H]1CCCc2c(O)cccc21 ZINC000192361019 165290101 /nfs/dbraw/zinc/29/01/01/165290101.db2.gz QHNLRZSCWBWBJV-KRWDZBQOSA-N 0 1 308.381 3.440 20 30 DGEDMN N#Cc1ccc(CN2CCC(CO)(c3ccccc3)CC2)s1 ZINC000353743582 165915180 /nfs/dbraw/zinc/91/51/80/165915180.db2.gz CVOWYGYFTNIFRI-UHFFFAOYSA-N 0 1 312.438 3.146 20 30 DGEDMN COc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1[N+](=O)[O-] ZINC000269647761 432191440 /nfs/dbraw/zinc/19/14/40/432191440.db2.gz ZQFHQGJJNWGKKK-UHFFFAOYSA-N 0 1 323.352 3.254 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000150895979 432200471 /nfs/dbraw/zinc/20/04/71/432200471.db2.gz YCFJLECELSZPAO-UHFFFAOYSA-N 0 1 305.378 3.212 20 30 DGEDMN C#CCN(C)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000191009737 432211677 /nfs/dbraw/zinc/21/16/77/432211677.db2.gz GPCZLEPZVYIFJS-UHFFFAOYSA-N 0 1 306.409 3.024 20 30 DGEDMN Cc1nn(C)c2ncc(CN[C@@H](CCC#N)c3ccccc3)cc12 ZINC000130433220 227021294 /nfs/dbraw/zinc/02/12/94/227021294.db2.gz GGIHREAVMSZMML-SFHVURJKSA-N 0 1 319.412 3.411 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cc(F)cc(Cl)c1 ZINC000150752174 227054730 /nfs/dbraw/zinc/05/47/30/227054730.db2.gz RKVMBRKWMMZXIN-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN N#CCC[C@@H](C#N)CSc1nc(-c2cc(F)cc(F)c2)n[nH]1 ZINC000151120147 227058348 /nfs/dbraw/zinc/05/83/48/227058348.db2.gz GDNAAQHWSDRRAX-VIFPVBQESA-N 0 1 319.340 3.286 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(Br)c2)cc1O ZINC000189752422 228491942 /nfs/dbraw/zinc/49/19/42/228491942.db2.gz VYFMOICEIDZCPZ-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN Cc1cc(C)n(CCC[NH+]=C([O-])N2CCC(C(C)C)CC2)n1 ZINC000330935882 229009933 /nfs/dbraw/zinc/00/99/33/229009933.db2.gz PLFDUEVLNCWGSU-UHFFFAOYSA-N 0 1 306.454 3.172 20 30 DGEDMN N#CCCCCN1CCN(CCOc2ccccc2Cl)CC1 ZINC000338745694 229067925 /nfs/dbraw/zinc/06/79/25/229067925.db2.gz XHBMSGHHZNEMSM-UHFFFAOYSA-N 0 1 321.852 3.030 20 30 DGEDMN Cc1cccc(CN(C)[C@@H](C)C(=O)NC2(C#N)CCCCC2)c1 ZINC000340408283 229089048 /nfs/dbraw/zinc/08/90/48/229089048.db2.gz IPUKOYVSGIVLOL-INIZCTEOSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cc(C(C)C)nn3C)[nH]c21 ZINC000353098370 229270070 /nfs/dbraw/zinc/27/00/70/229270070.db2.gz QJANIOZPHONLSJ-GFCCVEGCSA-N 0 1 321.384 3.218 20 30 DGEDMN N#CCC(=O)Nc1ccc2nc(C(F)(F)C(F)(F)F)[nH]c2c1 ZINC000357613644 229352535 /nfs/dbraw/zinc/35/25/35/229352535.db2.gz YZMLGSHDDZHOCZ-UHFFFAOYSA-N 0 1 318.205 3.069 20 30 DGEDMN CN(C)Cc1ccc(CNc2nc3ccccn3c2C#N)cc1F ZINC000302183858 230148338 /nfs/dbraw/zinc/14/83/38/230148338.db2.gz OSTJBXRGEJGSKM-UHFFFAOYSA-N 0 1 323.375 3.019 20 30 DGEDMN C[C@@H](C(=O)Nc1ncc(C(F)(F)F)[nH]1)c1cccc(C#N)c1 ZINC000360366164 269827928 /nfs/dbraw/zinc/82/79/28/269827928.db2.gz RAVUHHLGULLQKF-MRVPVSSYSA-N 0 1 308.263 3.042 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)Nc2ccc(C#N)cc2Cl)C[C@H]1C ZINC000346751476 264090196 /nfs/dbraw/zinc/09/01/96/264090196.db2.gz CGRJMJFMSVLJTR-VXGBXAGGSA-N 0 1 320.824 3.158 20 30 DGEDMN Cc1ccc(C#N)c(N2CCN(Cc3ccccc3)C(C)(C)C2)n1 ZINC000346832467 264105204 /nfs/dbraw/zinc/10/52/04/264105204.db2.gz NNZGNGFLWSAPCB-UHFFFAOYSA-N 0 1 320.440 3.363 20 30 DGEDMN CC[C@@H](CO)N(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000347345517 264202199 /nfs/dbraw/zinc/20/21/99/264202199.db2.gz MEFOZPPTFMCJDD-SFHVURJKSA-N 0 1 312.388 3.470 20 30 DGEDMN N#Cc1ccc(CN[C@H](CO)c2ccc(C(F)(F)F)cc2)cc1 ZINC000533921428 270005189 /nfs/dbraw/zinc/00/51/89/270005189.db2.gz LYLCWINAESYVJF-MRXNPFEDSA-N 0 1 320.314 3.400 20 30 DGEDMN CCN(CC(=O)NCc1cccc(C#N)c1)Cc1ccc(C)cc1 ZINC000520183690 267053594 /nfs/dbraw/zinc/05/35/94/267053594.db2.gz LEFOSKREBWGJMH-UHFFFAOYSA-N 0 1 321.424 3.005 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC(F)(F)C[C@H]1C ZINC000528766306 267571641 /nfs/dbraw/zinc/57/16/41/267571641.db2.gz KXILWVYHALJANL-GFCCVEGCSA-N 0 1 310.344 3.065 20 30 DGEDMN Cc1ccc2cccc(S(=O)(=O)Nc3ccc(C#N)cc3)c2n1 ZINC000530770149 268047778 /nfs/dbraw/zinc/04/77/78/268047778.db2.gz CJTUFMXQYAHUMU-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#Cc1ccc(CSCC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC000352619757 268082578 /nfs/dbraw/zinc/08/25/78/268082578.db2.gz WNMOCAIXCPKQGG-UHFFFAOYSA-N 0 1 322.393 3.306 20 30 DGEDMN N#Cc1cccc(CN2CC[C@]3(C2)OCc2ccccc23)c1F ZINC000352023531 268247626 /nfs/dbraw/zinc/24/76/26/268247626.db2.gz YPEDHCZFSSMAKL-LJQANCHMSA-N 0 1 308.356 3.329 20 30 DGEDMN N#Cc1csc(CN2CC[C@@H](n3ncc4ccccc43)C2)c1 ZINC000358797047 268320015 /nfs/dbraw/zinc/32/00/15/268320015.db2.gz KLCYVKWBZKHAGK-OAHLLOKOSA-N 0 1 308.410 3.416 20 30 DGEDMN N#Cc1csc(CNCCCCc2ccc(F)c(F)c2)n1 ZINC000352885461 268320356 /nfs/dbraw/zinc/32/03/56/268320356.db2.gz FJPBHBPLPRNRMQ-UHFFFAOYSA-N 0 1 307.369 3.405 20 30 DGEDMN COc1ccccc1C[C@H]1CCC[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000191285379 432245332 /nfs/dbraw/zinc/24/53/32/432245332.db2.gz KXKWETOYJWCKTI-QGZVFWFLSA-N 0 1 309.413 3.112 20 30 DGEDMN C[C@@](O)(CNCc1ccc(F)c(C(F)(F)F)c1)C(F)(F)F ZINC000270472913 432248507 /nfs/dbraw/zinc/24/85/07/432248507.db2.gz ICXMQVBSEIUAIZ-SNVBAGLBSA-N 0 1 319.220 3.247 20 30 DGEDMN C=CCN[C@H](CO)c1ccc(Br)cc1C(F)(F)F ZINC000280596874 273486619 /nfs/dbraw/zinc/48/66/19/273486619.db2.gz UTKKVBJHRIFEGS-LLVKDONJSA-N 0 1 324.140 3.277 20 30 DGEDMN CN(C)[C@H](CNc1nc2c(cc1C#N)CCCC2)c1ccco1 ZINC000292490255 273815723 /nfs/dbraw/zinc/81/57/23/273815723.db2.gz YRSZHBGQZGJJBZ-MRXNPFEDSA-N 0 1 310.401 3.140 20 30 DGEDMN C[C@H]1CCN(C(=O)c2ccc(C#N)c(O)c2)c2c(O)cccc21 ZINC000188872549 274559150 /nfs/dbraw/zinc/55/91/50/274559150.db2.gz SFXCFLUPDVOFQM-NSHDSACASA-N 0 1 308.337 3.123 20 30 DGEDMN O[C@@H]1CC[C@H]2CN(CC#Cc3ccccc3Cl)CC[C@@H]2C1 ZINC000451699234 275054411 /nfs/dbraw/zinc/05/44/11/275054411.db2.gz KVACCSDXORZSKL-IXDOHACOSA-N 0 1 303.833 3.174 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC[C@@H]2c2ccco2)CC1 ZINC000076509647 275381619 /nfs/dbraw/zinc/38/16/19/275381619.db2.gz UUYDBDHZQCILNT-QGZVFWFLSA-N 0 1 314.429 3.069 20 30 DGEDMN CC1(C#N)CCN(C[C@@H](O)c2ccc(C(F)(F)F)cc2)CC1 ZINC000291227433 275805080 /nfs/dbraw/zinc/80/50/80/275805080.db2.gz SXVRSZBDJOAPDQ-CQSZACIVSA-N 0 1 312.335 3.364 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]([C@H]2CCCCN2CCC#N)C1 ZINC000495797240 277193202 /nfs/dbraw/zinc/19/32/02/277193202.db2.gz CFOYHPXSHDQCSF-LSDHHAIUSA-N 0 1 307.438 3.012 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000457060274 277818884 /nfs/dbraw/zinc/81/88/84/277818884.db2.gz AJIVELYDZNQFSS-UHFFFAOYSA-N 0 1 306.372 3.074 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000108325104 431132669 /nfs/dbraw/zinc/13/26/69/431132669.db2.gz PARMENVJCOQGNS-ZDUSSCGKSA-N 0 1 305.447 3.217 20 30 DGEDMN CCOc1ncc(C(=O)C(C#N)c2ccccn2)cc1Cl ZINC000112483811 431279172 /nfs/dbraw/zinc/27/91/72/431279172.db2.gz XBCOSMUFDIQRED-NSHDSACASA-N 0 1 301.733 3.019 20 30 DGEDMN C[C@@H](C#N)Sc1nc(-c2ccccc2Br)n[nH]1 ZINC000007252121 431337958 /nfs/dbraw/zinc/33/79/58/431337958.db2.gz PPYAEIXJOPKRGN-ZETCQYMHSA-N 0 1 309.192 3.238 20 30 DGEDMN C#CCOc1ccc(CNCc2ccc(OCC3CC3)nc2)cc1 ZINC000113331691 431342824 /nfs/dbraw/zinc/34/28/24/431342824.db2.gz MRSGAHUHIXFEKR-UHFFFAOYSA-N 0 1 322.408 3.172 20 30 DGEDMN N#Cc1ccc([C@H](NCCCCCc2ccccc2)C(N)=O)cc1 ZINC000115599346 431462862 /nfs/dbraw/zinc/46/28/62/431462862.db2.gz QPGBDYYCWOPZAY-IBGZPJMESA-N 0 1 321.424 3.087 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000074512843 431427968 /nfs/dbraw/zinc/42/79/68/431427968.db2.gz UYBSDNXBAWSWQD-CQSZACIVSA-N 0 1 315.417 3.160 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000075672500 431493406 /nfs/dbraw/zinc/49/34/06/431493406.db2.gz WOGSIAFCOWIMJH-GHMZBOCLSA-N 0 1 300.324 3.047 20 30 DGEDMN N#Cc1cc(F)ccc1CSc1nc(-c2ccccn2)n[nH]1 ZINC000117115549 431545177 /nfs/dbraw/zinc/54/51/77/431545177.db2.gz SYXTUKBIQKGJNL-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN O=C(C[C@H]1CCCO1)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000076365932 431547857 /nfs/dbraw/zinc/54/78/57/431547857.db2.gz MBPMJRYDNRBNAI-SECBINFHSA-N 0 1 306.753 3.282 20 30 DGEDMN C[C@H]1C[C@]1(C#N)C(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000450189684 280021589 /nfs/dbraw/zinc/02/15/89/280021589.db2.gz ALVZPQLAAXFJCB-WPPNPWJKSA-N 0 1 308.263 3.070 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(C2OCCO2)cc1 ZINC000077418230 431594987 /nfs/dbraw/zinc/59/49/87/431594987.db2.gz RBOIJMIOLHPINS-UHFFFAOYSA-N 0 1 323.392 3.034 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](C)c1cc2cccc(OCC)c2o1 ZINC000078595777 431686093 /nfs/dbraw/zinc/68/60/93/431686093.db2.gz YUZCCAZDHRUSRI-STQMWFEESA-N 0 1 316.401 3.173 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](CC)c1ccccc1OC(F)F ZINC000119530968 431704106 /nfs/dbraw/zinc/70/41/06/431704106.db2.gz HCLOKOBONAEMNF-WCQYABFASA-N 0 1 312.360 3.019 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](CC)c1ccccc1OC(F)F ZINC000119530838 431705477 /nfs/dbraw/zinc/70/54/77/431705477.db2.gz HCLOKOBONAEMNF-AAEUAGOBSA-N 0 1 312.360 3.019 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000121482430 431791150 /nfs/dbraw/zinc/79/11/50/431791150.db2.gz ZAUYKPIHXRVQRD-GOSISDBHSA-N 0 1 316.445 3.183 20 30 DGEDMN C=CCN(Cc1cnc[nH]1)Cc1cc(Br)ccc1F ZINC000092737140 431911080 /nfs/dbraw/zinc/91/10/80/431911080.db2.gz XTFWBVFGMAGLCF-UHFFFAOYSA-N 0 1 324.197 3.500 20 30 DGEDMN C[C@@H](CC#N)N(C)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093353006 431914178 /nfs/dbraw/zinc/91/41/78/431914178.db2.gz RWACCCRMJVUBIV-QMMMGPOBSA-N 0 1 307.304 3.266 20 30 DGEDMN C=CCCN1CCN(C(=O)Nc2ccc(C)c(Cl)c2)CC1 ZINC000093216691 431914472 /nfs/dbraw/zinc/91/44/72/431914472.db2.gz BCNMKXYRRRBIEY-UHFFFAOYSA-N 0 1 307.825 3.374 20 30 DGEDMN C=CCc1cc(CN2CCS[C@H](C)[C@@H]2C)cc(OC)c1O ZINC000093500333 431915402 /nfs/dbraw/zinc/91/54/02/431915402.db2.gz ZNNPWUCKNLNPFA-QWHCGFSZSA-N 0 1 307.459 3.455 20 30 DGEDMN C=CCc1cc(CN2CCS[C@H](CC)C2)cc(OC)c1O ZINC000093502152 431915762 /nfs/dbraw/zinc/91/57/62/431915762.db2.gz NVLKMHZAXCYTEX-OAHLLOKOSA-N 0 1 307.459 3.457 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000047004283 431904758 /nfs/dbraw/zinc/90/47/58/431904758.db2.gz OKRUGIUZDWPTPQ-MRXNPFEDSA-N 0 1 315.461 3.041 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000127471135 431973738 /nfs/dbraw/zinc/97/37/38/431973738.db2.gz FXTKPHHKCITSKR-HDMKZQKVSA-N 0 1 313.445 3.388 20 30 DGEDMN C=CCOc1ccc(CN2CCC3(CC2)OCCO3)cc1Cl ZINC000126057752 431941113 /nfs/dbraw/zinc/94/11/13/431941113.db2.gz HVVVDFGGXFUZQY-UHFFFAOYSA-N 0 1 323.820 3.244 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCCC[C@H]2C)c1C ZINC000051559808 431952346 /nfs/dbraw/zinc/95/23/46/431952346.db2.gz JVASYJUORYYUIP-MRXNPFEDSA-N 0 1 313.445 3.425 20 30 DGEDMN CC(C)c1ccc(CN(C)[C@H](C)C(=O)N(C)CCC#N)cc1 ZINC000057597521 431993169 /nfs/dbraw/zinc/99/31/69/431993169.db2.gz NWHZHLRIDAKTTF-OAHLLOKOSA-N 0 1 301.434 3.002 20 30 DGEDMN CN(Cc1cc(C#N)ccc1Br)C[C@H]1CCCOC1 ZINC000191788329 432303479 /nfs/dbraw/zinc/30/34/79/432303479.db2.gz NPEJLZFPUPKVLR-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2sccc2C#N)[C@@H]2CCCC[C@H]21 ZINC000248422633 432288321 /nfs/dbraw/zinc/28/83/21/432288321.db2.gz MRHGFLWUFJKVMU-KWCYVHTRSA-N 0 1 303.431 3.069 20 30 DGEDMN C=CCN(CCOC)Cc1cccc(OCC(F)(F)F)c1 ZINC000191757060 432299811 /nfs/dbraw/zinc/29/98/11/432299811.db2.gz SJQHDEVCAMCRJF-UHFFFAOYSA-N 0 1 303.324 3.262 20 30 DGEDMN CCN(C)[C@H](C(=O)N(C)Cc1cccc(C#N)c1)c1ccccc1 ZINC000057828653 431994093 /nfs/dbraw/zinc/99/40/93/431994093.db2.gz UDOOKADEXBTEEG-IBGZPJMESA-N 0 1 321.424 3.210 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cn(-c3ccccc3)nc2C)n1 ZINC000102594884 431995565 /nfs/dbraw/zinc/99/55/65/431995565.db2.gz VNOPWTPBONXNFD-CQSZACIVSA-N 0 1 322.393 3.436 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@H](C)c1ccc(C#N)cc1 ZINC000060477600 431999593 /nfs/dbraw/zinc/99/95/93/431999593.db2.gz JRNCWRUADZQLTE-MRXNPFEDSA-N 0 1 311.429 3.142 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1Cc2ccccc2[C@@H](c2ccccc2)C1 ZINC000248861065 432324100 /nfs/dbraw/zinc/32/41/00/432324100.db2.gz UUPUUAKHMKRTKI-OXJNMPFZSA-N 0 1 320.436 3.325 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CCc2c(F)cccc2C1 ZINC000152799315 432358617 /nfs/dbraw/zinc/35/86/17/432358617.db2.gz WHCIUHVYLJIMAI-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN N#C[C@@H]1CCC[C@@H](NCc2nccn2CCc2ccccc2)C1 ZINC000192938947 432430397 /nfs/dbraw/zinc/43/03/97/432430397.db2.gz LHHALVCDQAAWKG-QZTJIDSGSA-N 0 1 308.429 3.298 20 30 DGEDMN N#C[C@H]1CCC[C@H](NCc2nccn2CCc2ccccc2)C1 ZINC000192938934 432430407 /nfs/dbraw/zinc/43/04/07/432430407.db2.gz LHHALVCDQAAWKG-ROUUACIJSA-N 0 1 308.429 3.298 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC2CCC2)c2ccccc2)CC1 ZINC000175399530 432432507 /nfs/dbraw/zinc/43/25/07/432432507.db2.gz XJYRCOWBSCCUTG-UHFFFAOYSA-N 0 1 310.441 3.165 20 30 DGEDMN CCc1ccc([C@@H](COC)NCCOc2ccccc2C#N)o1 ZINC000184827891 432438233 /nfs/dbraw/zinc/43/82/33/432438233.db2.gz DCFMFWIMOYCHMO-MRXNPFEDSA-N 0 1 314.385 3.070 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)c3cc(C#N)c[nH]3)[nH]c2c1 ZINC000175476092 432439954 /nfs/dbraw/zinc/43/99/54/432439954.db2.gz QBRCRXLGUSIFRS-MRXNPFEDSA-N 0 1 319.368 3.048 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)Nc1sc2c(c1C#N)CCC2 ZINC000193175322 432455494 /nfs/dbraw/zinc/45/54/94/432455494.db2.gz CTBSTMLPJAIZOK-LBPRGKRZSA-N 0 1 317.458 3.333 20 30 DGEDMN COCCOc1cc(C)ccc1CNCc1cc(C#N)cs1 ZINC000153450800 432409332 /nfs/dbraw/zinc/40/93/32/432409332.db2.gz INRWAKFWNPTCFY-UHFFFAOYSA-N 0 1 316.426 3.243 20 30 DGEDMN Cc1oc(NC(=O)CN[C@@H](C)c2cccs2)c(C#N)c1C ZINC000192773148 432413655 /nfs/dbraw/zinc/41/36/55/432413655.db2.gz WNQJALYEDWGKQP-JTQLQIEISA-N 0 1 303.387 3.119 20 30 DGEDMN COc1ccc2oc(C=C(C)c3nc(C)c(C#N)c(=O)[nH]3)cc2c1 ZINC000193610554 432485379 /nfs/dbraw/zinc/48/53/79/432485379.db2.gz VTNMXUAESVZSKS-UXBLZVDNSA-N 0 1 321.336 3.265 20 30 DGEDMN C=C(C)CN1CCC(Nc2nnc(C(F)(F)F)s2)CC1 ZINC000154454438 432499467 /nfs/dbraw/zinc/49/94/67/432499467.db2.gz UNTTVSFAIAYWRS-UHFFFAOYSA-N 0 1 306.357 3.009 20 30 DGEDMN COCCN(CCCOc1cccc(C#N)c1)Cc1ccco1 ZINC000155545149 432560230 /nfs/dbraw/zinc/56/02/30/432560230.db2.gz IWKFLBULBXBUKP-UHFFFAOYSA-N 0 1 314.385 3.069 20 30 DGEDMN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000185599550 432514993 /nfs/dbraw/zinc/51/49/93/432514993.db2.gz IRHVDEKABFEGML-XHDPSFHLSA-N 0 1 305.809 3.271 20 30 DGEDMN N#Cc1ccc(CN2CCCN(c3ccccc3)CC2)c(F)c1 ZINC000194081157 432523943 /nfs/dbraw/zinc/52/39/43/432523943.db2.gz BIHHJGJTYWLMOE-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000272692175 432533366 /nfs/dbraw/zinc/53/33/66/432533366.db2.gz QSTAAJPZBDCHON-UHFFFAOYSA-N 0 1 319.361 3.092 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN[C@H](CO)c1ccc(F)cc1 ZINC000272971830 432539334 /nfs/dbraw/zinc/53/93/34/432539334.db2.gz CDDFRPDHXWSMCI-GOSISDBHSA-N 0 1 312.388 3.450 20 30 DGEDMN N#Cc1ccc(CSc2nc(-c3ccccc3O)n[nH]2)cc1 ZINC000194883425 432584354 /nfs/dbraw/zinc/58/43/54/432584354.db2.gz KTPJULRJJPTRBB-UHFFFAOYSA-N 0 1 308.366 3.341 20 30 DGEDMN C[C@H](CN(C)CC(=O)NC1(C#N)CCCCC1)c1ccccc1 ZINC000263862910 432585207 /nfs/dbraw/zinc/58/52/07/432585207.db2.gz ACDWISZXQYULEP-MRXNPFEDSA-N 0 1 313.445 3.065 20 30 DGEDMN C=CCCC(=O)Nc1ccc(CN2CCSCC2)cc1C ZINC000263984677 432600745 /nfs/dbraw/zinc/60/07/45/432600745.db2.gz LRVNRWREZWYRRD-UHFFFAOYSA-N 0 1 304.459 3.449 20 30 DGEDMN CCN(CC)[C@@H](C(=O)NCc1cccc(C#N)c1)c1ccccc1 ZINC000264139136 432619167 /nfs/dbraw/zinc/61/91/67/432619167.db2.gz HGQZJNYOGCRREA-LJQANCHMSA-N 0 1 321.424 3.258 20 30 DGEDMN CC(=O)Nc1ccc(C)c(C(=O)C(C#N)c2nc(C)cs2)c1 ZINC000187234562 432653288 /nfs/dbraw/zinc/65/32/88/432653288.db2.gz JWRVRXNAJVTKCO-CQSZACIVSA-N 0 1 313.382 3.208 20 30 DGEDMN CC(=O)Nc1ccc(C)c(C(=O)[C@@H](C#N)c2nc(C)cs2)c1 ZINC000187234562 432653293 /nfs/dbraw/zinc/65/32/93/432653293.db2.gz JWRVRXNAJVTKCO-CQSZACIVSA-N 0 1 313.382 3.208 20 30 DGEDMN C=C1CCN(CCC(=O)Nc2sc3c(c2C#N)CCC3)CC1 ZINC000264520432 432654677 /nfs/dbraw/zinc/65/46/77/432654677.db2.gz ZZSMPPVKEUXYCU-UHFFFAOYSA-N 0 1 315.442 3.089 20 30 DGEDMN CC(C)c1nnc(-c2ccccc2NC(=O)c2cc(C#N)c[nH]2)[nH]1 ZINC000177752305 432656719 /nfs/dbraw/zinc/65/67/19/432656719.db2.gz NADKEIXPCFIBCY-UHFFFAOYSA-N 0 1 320.356 3.047 20 30 DGEDMN Cc1ccccc1N1CCN(Cc2ccc(OCC#N)cc2)CC1 ZINC000264558866 432659572 /nfs/dbraw/zinc/65/95/72/432659572.db2.gz NQPZEOQRGMVKPL-UHFFFAOYSA-N 0 1 321.424 3.220 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000187922993 432693546 /nfs/dbraw/zinc/69/35/46/432693546.db2.gz VALBKJKUHWNFQG-MAUKXSAKSA-N 0 1 304.434 3.443 20 30 DGEDMN CC(C)OCc1cccc(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000188372600 432722133 /nfs/dbraw/zinc/72/21/33/432722133.db2.gz VTPIETIKRNYNBX-UHFFFAOYSA-N 0 1 310.353 3.441 20 30 DGEDMN C=CCC[C@H](NC(=O)NC[C@@H]1CCC[N@H+](C)C1)c1ccccc1 ZINC000159143910 432672059 /nfs/dbraw/zinc/67/20/59/432672059.db2.gz UBIKPKJZEJVJDT-WMZOPIPTSA-N 0 1 315.461 3.335 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1ccoc1)C1CCCCC1 ZINC000266018660 432751292 /nfs/dbraw/zinc/75/12/92/432751292.db2.gz VUMXPNIOAPUTEX-UHFFFAOYSA-N 0 1 317.433 3.176 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)Nc1cc(F)cc(Cl)c1O ZINC000275833404 432753808 /nfs/dbraw/zinc/75/38/08/432753808.db2.gz GGMQAWBCXDOLLT-AWEZNQCLSA-N 0 1 301.745 3.352 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(C(F)(F)F)ccc2O)cc1O ZINC000189033734 432760570 /nfs/dbraw/zinc/76/05/70/432760570.db2.gz LHGXJHYJNVLZFC-UHFFFAOYSA-N 0 1 322.242 3.241 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cc(C#N)ccc2Cl)cc1O ZINC000160716180 432737580 /nfs/dbraw/zinc/73/75/80/432737580.db2.gz KOHUEBUXFCVEKD-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(-c3ncco3)c2)cc1O ZINC000188725739 432741788 /nfs/dbraw/zinc/74/17/88/432741788.db2.gz ZNNDAEBXTOAPKC-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN Cc1nc(CC(C)C)c(NC(=O)c2ccc(C#N)c(O)c2)s1 ZINC000188759599 432743709 /nfs/dbraw/zinc/74/37/09/432743709.db2.gz AATHMAAKEAFFEW-UHFFFAOYSA-N 0 1 315.398 3.480 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(OC(C)(C)C)CC1 ZINC000162588400 432828264 /nfs/dbraw/zinc/82/82/64/432828264.db2.gz KQFYYCUNNOFODE-OAHLLOKOSA-N 0 1 310.482 3.079 20 30 DGEDMN Cc1ccccc1[C@@H]1CN(CCCSCC#N)[C@@H](C)CO1 ZINC000245495246 432837133 /nfs/dbraw/zinc/83/71/33/432837133.db2.gz PCEDDWXAGJIQEB-RDJZCZTQSA-N 0 1 304.459 3.404 20 30 DGEDMN CN(CCCC(C)(C)C#N)[C@H]1CCN(c2ccccc2F)C1=O ZINC000266953694 432801750 /nfs/dbraw/zinc/80/17/50/432801750.db2.gz VLHNYKSBYHXJLU-INIZCTEOSA-N 0 1 317.408 3.193 20 30 DGEDMN C=CCCN(C)[C@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000276374382 432802647 /nfs/dbraw/zinc/80/26/47/432802647.db2.gz HIXRZINZGXOMAG-LLVKDONJSA-N 0 1 302.334 3.214 20 30 DGEDMN C=CCC[N@H+](C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000276390839 432804217 /nfs/dbraw/zinc/80/42/17/432804217.db2.gz JGSHYFXPTIEGOE-JTQLQIEISA-N 0 1 311.769 3.083 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000276390839 432804219 /nfs/dbraw/zinc/80/42/19/432804219.db2.gz JGSHYFXPTIEGOE-JTQLQIEISA-N 0 1 311.769 3.083 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@@H+](C)CCNC(=O)OC(C)(C)C ZINC000268315443 432863231 /nfs/dbraw/zinc/86/32/31/432863231.db2.gz CZAVMLLKMDMQJN-ZDUSSCGKSA-N 0 1 303.406 3.076 20 30 DGEDMN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330157384 432895678 /nfs/dbraw/zinc/89/56/78/432895678.db2.gz ZHRRQJWVNWIARX-OSRDXIQISA-N 0 1 302.422 3.354 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)Nc2c(C)n[nH]c2C)CCCC1(C)C ZINC000277876657 432876627 /nfs/dbraw/zinc/87/66/27/432876627.db2.gz VCKXNPWVYROJKF-AWEZNQCLSA-N 0 1 304.438 3.032 20 30 DGEDMN CCCN1CCC[C@@H]1C(=O)Nc1ccc2nnc(C(C)C)n2c1 ZINC000329928980 432883572 /nfs/dbraw/zinc/88/35/72/432883572.db2.gz NASADFOCMFDMLY-CQSZACIVSA-N 0 1 315.421 3.315 20 30 DGEDMN Cc1cnc(NC(=O)N2CCC[C@H](c3nc(C)c(C)s3)C2)o1 ZINC000329988412 432886263 /nfs/dbraw/zinc/88/62/63/432886263.db2.gz HCIWXVMYIBBWQN-LBPRGKRZSA-N 0 1 320.418 3.481 20 30 DGEDMN O=C(N[C@H]1CCCc2cn[nH]c21)N1CCc2c1cc(F)cc2F ZINC000330049298 432891009 /nfs/dbraw/zinc/89/10/09/432891009.db2.gz KQOKSIXBTVZVDR-ZDUSSCGKSA-N 0 1 318.327 3.042 20 30 DGEDMN CC[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@@H](C)O1 ZINC000245564310 432844327 /nfs/dbraw/zinc/84/43/27/432844327.db2.gz QMBYCZHGPRQVDI-QAPCUYQASA-N 0 1 301.434 3.036 20 30 DGEDMN O=C(Nc1cccc(F)c1)C1CCN([C@@H]2CCCNC2=O)CC1 ZINC000330166202 432895023 /nfs/dbraw/zinc/89/50/23/432895023.db2.gz XTJHYDWMJIHASU-OAHLLOKOSA-N 0 1 319.380 3.245 20 30 DGEDMN C=C1CCN(Cc2cn(CCC#N)nc2-c2ccncc2)CC1 ZINC000278993985 432924027 /nfs/dbraw/zinc/92/40/27/432924027.db2.gz BSFRLXVSNGXBLV-UHFFFAOYSA-N 0 1 307.401 3.011 20 30 DGEDMN CC(C)c1ccsc1C(=O)N[C@H](CO)CN1CCCCC1 ZINC000331361133 432939118 /nfs/dbraw/zinc/93/91/18/432939118.db2.gz YQXGYBCXNXBITG-ZDUSSCGKSA-N 0 1 310.463 3.023 20 30 DGEDMN C[C@H](NC(=O)[C@@H]1CCCN1C1CC1)c1ccc2c(c1)OCO2 ZINC000330238702 432899660 /nfs/dbraw/zinc/89/96/60/432899660.db2.gz ZZVJKIXURPTWFI-FZMZJTMJSA-N 0 1 302.374 3.060 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2nc3ccccc3n2C)cc1 ZINC000296369766 432901082 /nfs/dbraw/zinc/90/10/82/432901082.db2.gz JETNKNMBPBBSSZ-HNNXBMFYSA-N 0 1 319.408 3.436 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@H]2CC[C@H](C)CC2)cn1 ZINC000330319559 432902983 /nfs/dbraw/zinc/90/29/83/432902983.db2.gz RHZMANHAKJYXBJ-AULYBMBSSA-N 0 1 301.394 3.176 20 30 DGEDMN CC[C@@H]1CC[C@H](C)N1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000330644158 432921764 /nfs/dbraw/zinc/92/17/64/432921764.db2.gz FMAPZIRTDJRZNY-SMDDNHRTSA-N 0 1 305.809 3.413 20 30 DGEDMN C=C[C@H](CO)N[C@@H](C)c1ccc(OC(F)F)cc1OC(F)F ZINC000289618852 432968343 /nfs/dbraw/zinc/96/83/43/432968343.db2.gz DLETVLDFHVAHLY-DTWKUNHWSA-N 0 1 323.286 3.087 20 30 DGEDMN C#Cc1ccccc1CC(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000289813371 432974505 /nfs/dbraw/zinc/97/45/05/432974505.db2.gz OPQASSMRMKHGBW-SFHVURJKSA-N 0 1 324.424 3.003 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)N1CCCCC1 ZINC000297790871 433018669 /nfs/dbraw/zinc/01/86/69/433018669.db2.gz HHSJXRCINJVDDG-INIZCTEOSA-N 0 1 313.445 3.171 20 30 DGEDMN COc1ccc(C(=O)C2CCN([C@H](C)CCC#N)CC2)cc1 ZINC000297841634 433022545 /nfs/dbraw/zinc/02/25/45/433022545.db2.gz KNFPQCPFUWGJHJ-CQSZACIVSA-N 0 1 300.402 3.282 20 30 DGEDMN C=CCOc1cccc(CN2C[C@@H](C)OC3(CCOCC3)C2)c1 ZINC000280422333 433048331 /nfs/dbraw/zinc/04/83/31/433048331.db2.gz IUKLUOSCUYQESR-MRXNPFEDSA-N 0 1 317.429 3.021 20 30 DGEDMN CN(C)[C@@H](CNc1c(C#N)cccc1[N+](=O)[O-])c1ccsc1 ZINC000425212459 433120022 /nfs/dbraw/zinc/12/00/22/433120022.db2.gz WFNUHESZROMEKF-AWEZNQCLSA-N 0 1 316.386 3.243 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)Cc3c(F)cccc3C#N)ccc12 ZINC000354568332 433156790 /nfs/dbraw/zinc/15/67/90/433156790.db2.gz ZGWQEQDLHMHANN-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@@H](C)C[C@H]2c2ccc(C)o2)C1=O ZINC000337200789 433214596 /nfs/dbraw/zinc/21/45/96/433214596.db2.gz ZZXZETNRGPTDNU-KBMXLJTQSA-N 0 1 302.418 3.148 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc3ccccc3n2C)nn1C(C)C ZINC000453583033 433215625 /nfs/dbraw/zinc/21/56/25/433215625.db2.gz FVQJPXAQMHUZNL-ZDUSSCGKSA-N 0 1 321.384 3.149 20 30 DGEDMN N#Cc1cc2c(nc1NC[C@H](c1ccco1)N1CCCC1)CCC2 ZINC000298693457 433215940 /nfs/dbraw/zinc/21/59/40/433215940.db2.gz BRCXYCCSTOPVFV-QGZVFWFLSA-N 0 1 322.412 3.284 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000280909037 433221696 /nfs/dbraw/zinc/22/16/96/433221696.db2.gz DEVTZRDJYMRCCP-CABCVRRESA-N 0 1 305.422 3.070 20 30 DGEDMN COC(=O)c1ccc(CN[C@H]2CCCc3cc(C#N)ccc32)o1 ZINC000341704606 433222041 /nfs/dbraw/zinc/22/20/41/433222041.db2.gz HMNRDQICUVTSQC-INIZCTEOSA-N 0 1 310.353 3.105 20 30 DGEDMN C=CCN1CC[C@H](Sc2nc3ccc(Cl)cc3[nH]2)C1=O ZINC000280911569 433223411 /nfs/dbraw/zinc/22/34/11/433223411.db2.gz FSOXGPNUDDWZKZ-LBPRGKRZSA-N 0 1 307.806 3.095 20 30 DGEDMN COc1cc(CN[C@@H](C)c2cccc(NC(C)=O)c2)ccc1C#N ZINC000281594986 433316983 /nfs/dbraw/zinc/31/69/83/433316983.db2.gz FGIUTHQJCMKZDR-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2N1C[C@@H](C)N(C)[C@H](C)C1 ZINC000338785986 433321604 /nfs/dbraw/zinc/32/16/04/433321604.db2.gz CWRSGAZKYMYQDB-CHWSQXEVSA-N 0 1 312.392 3.083 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC3(CCC3)[C@@H]2C2CCOCC2)c1 ZINC000425543554 433291864 /nfs/dbraw/zinc/29/18/64/433291864.db2.gz BGMOFQQMYYEIMA-SFHVURJKSA-N 0 1 314.404 3.478 20 30 DGEDMN Cn1nccc1[C@H]1CCCCN1Cc1ccc(OCC#N)cc1 ZINC000281553562 433309622 /nfs/dbraw/zinc/30/96/22/433309622.db2.gz XPVOLWGHNVYZJB-GOSISDBHSA-N 0 1 310.401 3.050 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NCc2ccc(C#N)c(OC)c2)n[nH]1 ZINC000281720176 433359239 /nfs/dbraw/zinc/35/92/39/433359239.db2.gz PCBMKKXMZMLOFL-NEPJUHHUSA-N 0 1 313.405 3.049 20 30 DGEDMN COc1ccc(C#N)cc1C[N@H+]1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000375012096 433332215 /nfs/dbraw/zinc/33/22/15/433332215.db2.gz JUFLUECFPDZABM-DLBZAZTESA-N 0 1 314.429 3.205 20 30 DGEDMN CC(C)N(CC(=O)NC1(C#N)CCC1)[C@H](C)c1ccsc1 ZINC000421010710 433380555 /nfs/dbraw/zinc/38/05/55/433380555.db2.gz OUKMPGIFOCCZDD-CYBMUJFWSA-N 0 1 305.447 3.082 20 30 DGEDMN CCCN(CC(=O)NCc1ccccc1)Cc1ccc(C#N)cc1 ZINC000339161393 433404490 /nfs/dbraw/zinc/40/44/90/433404490.db2.gz QWWHZPVTPKLAJN-UHFFFAOYSA-N 0 1 321.424 3.087 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CN([C@H]1CC[C@@H](C#N)C1)C2 ZINC000421042054 433408810 /nfs/dbraw/zinc/40/88/10/433408810.db2.gz PEKIXZUQSKVTQD-HIFRSBDPSA-N 0 1 300.402 3.360 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCCOc1ccccc1 ZINC000448455234 433409597 /nfs/dbraw/zinc/40/95/97/433409597.db2.gz RFZRFUVMLGWIEB-UHFFFAOYSA-N 0 1 310.397 3.468 20 30 DGEDMN CC[C@H](C(=O)Nc1cccc(C#N)c1)N(C)Cc1ccccc1 ZINC000339234469 433461049 /nfs/dbraw/zinc/46/10/49/433461049.db2.gz WGYYEVXUGHHGCE-GOSISDBHSA-N 0 1 307.397 3.407 20 30 DGEDMN Cc1cc([C@@H](C)NCCSCc2ccccc2C#N)nn1C ZINC000282042319 433474752 /nfs/dbraw/zinc/47/47/52/433474752.db2.gz GBNXKIBDYJOTHA-CQSZACIVSA-N 0 1 314.458 3.184 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CCN(c2cccc(C#N)n2)CC1 ZINC000355129238 433479913 /nfs/dbraw/zinc/47/99/13/433479913.db2.gz RUAZQLHPBIYJIF-GOSISDBHSA-N 0 1 324.403 3.366 20 30 DGEDMN N#Cc1ccc(CNCc2ccnc(N3CCCCC3)c2)cc1F ZINC000421339200 433484201 /nfs/dbraw/zinc/48/42/01/433484201.db2.gz FTLXKHUMBZNUEJ-UHFFFAOYSA-N 0 1 324.403 3.372 20 30 DGEDMN N#Cc1ccc(CN2CC3(CCOCC3)[C@H]2C2CC2)cc1F ZINC000421379445 433523986 /nfs/dbraw/zinc/52/39/86/433523986.db2.gz IVEUFKWVOCVVCC-QGZVFWFLSA-N 0 1 300.377 3.088 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)C(=O)N2CCCC2)cc1Cl ZINC000282355587 433577322 /nfs/dbraw/zinc/57/73/22/433577322.db2.gz VUBANEDZAOVLFY-ZDUSSCGKSA-N 0 1 322.836 3.005 20 30 DGEDMN N#CCCCC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000292625486 433584406 /nfs/dbraw/zinc/58/44/06/433584406.db2.gz NUOTYWMMAALCKD-MRXNPFEDSA-N 0 1 319.836 3.287 20 30 DGEDMN N#Cc1ccc(CNCc2ccncc2OCC(F)(F)F)cc1 ZINC000282398885 433592580 /nfs/dbraw/zinc/59/25/80/433592580.db2.gz YLLWXPJAGYVYAR-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN C=CCCCC(=O)N1CC[N@@H+](Cc2ccccc2)C[C@@H](C)C1 ZINC000456330303 433597817 /nfs/dbraw/zinc/59/78/17/433597817.db2.gz JDQYHYPYGVZJLE-QGZVFWFLSA-N 0 1 300.446 3.323 20 30 DGEDMN C=CCOCCN(C)Cc1cc(OC)c(OC)cc1SC ZINC000293037579 433611023 /nfs/dbraw/zinc/61/10/23/433611023.db2.gz JMNWFCBFGSWYKJ-UHFFFAOYSA-N 0 1 311.447 3.060 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CC)C[C@H]1CC)c1ccccc1 ZINC000456368912 433624668 /nfs/dbraw/zinc/62/46/68/433624668.db2.gz FPNOAQQGRJAORD-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN Cc1ccnc(NC2CCN(Cc3ccc(F)cc3)CC2)c1C#N ZINC000366784874 292988215 /nfs/dbraw/zinc/98/82/15/292988215.db2.gz QGECZIXRLGZVKP-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCC(C)(C)C2)c1 ZINC000362411007 433702274 /nfs/dbraw/zinc/70/22/74/433702274.db2.gz RBLJJFUPFQGUPO-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN CN(C)[C@H](CNc1ccc2cc(C#N)ccc2n1)c1ccco1 ZINC000301831848 433746197 /nfs/dbraw/zinc/74/61/97/433746197.db2.gz SVEAYSGIDGOOJX-MRXNPFEDSA-N 0 1 306.369 3.414 20 30 DGEDMN C[C@H](NC1(c2cccc(C#N)c2)CC1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000283717127 433764831 /nfs/dbraw/zinc/76/48/31/433764831.db2.gz AURQGVRODVAVMS-LBPRGKRZSA-N 0 1 322.368 3.389 20 30 DGEDMN Cn1cc(CN2CC3(CCOCC3)[C@H]2c2ccccc2)cc1C#N ZINC000414560369 433723687 /nfs/dbraw/zinc/72/36/87/433723687.db2.gz QYHMZQRWASRSNA-LJQANCHMSA-N 0 1 321.424 3.250 20 30 DGEDMN C[C@@H](NCc1ccnc(OC(F)F)c1)c1cccc(C#N)c1 ZINC000283763048 433773146 /nfs/dbraw/zinc/77/31/46/433773146.db2.gz BENPCHLKODSZRL-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCC(C(F)(F)F)(C(F)(F)F)C2)C1 ZINC000429692138 433778857 /nfs/dbraw/zinc/77/88/57/433778857.db2.gz QXJXKJOFXNHWJZ-DTWKUNHWSA-N 0 1 300.246 3.495 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(C)nn2C)cc1Cl ZINC000283909506 433796633 /nfs/dbraw/zinc/79/66/33/433796633.db2.gz KMYFQAHZPPLSQF-UHFFFAOYSA-N 0 1 305.809 3.237 20 30 DGEDMN N#Cc1ccc(NCc2n[nH]c(COc3ccccc3)n2)c(F)c1 ZINC000302277818 433819373 /nfs/dbraw/zinc/81/93/73/433819373.db2.gz UEAGZGXUTTURNC-UHFFFAOYSA-N 0 1 323.331 3.007 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@@]4(C#N)C[C@H]4C)C3)[nH]c2c1 ZINC000449836310 433826735 /nfs/dbraw/zinc/82/67/35/433826735.db2.gz SLTRKDZYDGVZGI-PJIJBLCYSA-N 0 1 322.412 3.127 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000351982697 433832516 /nfs/dbraw/zinc/83/25/16/433832516.db2.gz OVGGAESDEZHSFD-IBGZPJMESA-N 0 1 321.399 3.334 20 30 DGEDMN C[C@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C#N)cc1 ZINC000344083092 433848558 /nfs/dbraw/zinc/84/85/58/433848558.db2.gz AKEARAQHYWGRSC-KXBFYZLASA-N 0 1 321.424 3.428 20 30 DGEDMN N#CCCn1cc(CN2CCC[C@@H]2CF)c(-c2ccccc2)n1 ZINC000293889700 433913345 /nfs/dbraw/zinc/91/33/45/433913345.db2.gz DQBJJIUAUDVPPH-QGZVFWFLSA-N 0 1 312.392 3.398 20 30 DGEDMN C=CCC1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCCC1 ZINC000450000222 433919813 /nfs/dbraw/zinc/91/98/13/433919813.db2.gz SCXQOSRBMLMEFQ-UHFFFAOYSA-N 0 1 301.365 3.455 20 30 DGEDMN Cc1cccc(CN(CCOCCC#N)Cc2ccccn2)c1 ZINC000293830256 433888938 /nfs/dbraw/zinc/88/89/38/433888938.db2.gz PRZMLEDVWMOTPT-UHFFFAOYSA-N 0 1 309.413 3.323 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1ccc(F)cc1C#N ZINC000303697765 433963600 /nfs/dbraw/zinc/96/36/00/433963600.db2.gz AOTDPTNMOLHCCP-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000457067974 433963539 /nfs/dbraw/zinc/96/35/39/433963539.db2.gz LLFIBGVNBPMQEC-UHFFFAOYSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCOCCN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000294072605 433985660 /nfs/dbraw/zinc/98/56/60/433985660.db2.gz KTWVNYFQRYMHGM-ZDUSSCGKSA-N 0 1 323.742 3.184 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2CC2CCCCC2)CC1 ZINC000363201269 433993308 /nfs/dbraw/zinc/99/33/08/433993308.db2.gz YPORTKPYFIDLHB-IBGZPJMESA-N 0 1 316.489 3.293 20 30 DGEDMN Cc1c(C#N)c(NC[C@H](c2ccco2)N(C)C)nc2ccccc12 ZINC000450218606 434037027 /nfs/dbraw/zinc/03/70/27/434037027.db2.gz VTHWAKQRQYBZPH-QGZVFWFLSA-N 0 1 320.396 3.723 20 30 DGEDMN CN(CCc1ccccc1[N+](=O)[O-])Cc1cccc(C#N)c1F ZINC000352570126 434045550 /nfs/dbraw/zinc/04/55/50/434045550.db2.gz CNXPNUUFCBDYDM-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(c2ccccn2)CC1 ZINC000363488178 434070729 /nfs/dbraw/zinc/07/07/29/434070729.db2.gz JNXQKESYXJYFAG-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN Cc1[nH]ncc1CNc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC000353218461 434071605 /nfs/dbraw/zinc/07/16/05/434071605.db2.gz KAWGDXJQPWQHTD-UHFFFAOYSA-N 0 1 305.341 3.389 20 30 DGEDMN COc1ccc(CN[C@H]2CCOc3c(OC)cccc32)cc1C#N ZINC000363570261 434087067 /nfs/dbraw/zinc/08/70/67/434087067.db2.gz XLGPWXCWRPLMTM-INIZCTEOSA-N 0 1 324.380 3.189 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCC(c3cc(C)[nH]n3)CC2)cc1 ZINC000294343320 434094284 /nfs/dbraw/zinc/09/42/84/434094284.db2.gz APRSLBHYLBOCSA-UHFFFAOYSA-N 0 1 321.424 3.038 20 30 DGEDMN C[C@@H](N[C@@H](CO)c1cccc(F)c1)c1ccc(C#N)c(F)c1 ZINC000346134915 434140954 /nfs/dbraw/zinc/14/09/54/434140954.db2.gz KNAZNQALGACFSB-DIFFPNOSSA-N 0 1 302.324 3.221 20 30 DGEDMN COc1ccc2c(c1)CN(CCOc1cccc(C#N)c1)CC2 ZINC000353512268 434188753 /nfs/dbraw/zinc/18/87/53/434188753.db2.gz ULKVPJVDAYGUOE-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN C[N@H+](Cc1ccc(C#N)cc1OC(F)F)C[C@H]1CCCCO1 ZINC000437436892 434260928 /nfs/dbraw/zinc/26/09/28/434260928.db2.gz DMKSSHKCLCVZFQ-CQSZACIVSA-N 0 1 310.344 3.161 20 30 DGEDMN CN(Cc1ccc(C#N)cc1OC(F)F)C[C@H]1CCCCO1 ZINC000437436892 434260939 /nfs/dbraw/zinc/26/09/39/434260939.db2.gz DMKSSHKCLCVZFQ-CQSZACIVSA-N 0 1 310.344 3.161 20 30 DGEDMN CCOC[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000437160164 434226059 /nfs/dbraw/zinc/22/60/59/434226059.db2.gz PEPQXPXXQYMMLC-AWEZNQCLSA-N 0 1 324.371 3.408 20 30 DGEDMN Cc1oc(NC(=O)CNC(C)(C)c2cccs2)c(C#N)c1C ZINC000348316504 434289213 /nfs/dbraw/zinc/28/92/13/434289213.db2.gz IMMBZRFSCLDERW-UHFFFAOYSA-N 0 1 317.414 3.293 20 30 DGEDMN C=CCCCN1CCCC[C@H]1c1nnc(C2CCOCC2)o1 ZINC000365173057 434291258 /nfs/dbraw/zinc/29/12/58/434291258.db2.gz XMNDZJNSVFEHKK-HNNXBMFYSA-N 0 1 305.422 3.457 20 30 DGEDMN O=C(NC1CCC(F)(F)CC1)c1n[nH]nc1-c1ccccc1 ZINC000328730175 434303892 /nfs/dbraw/zinc/30/38/92/434303892.db2.gz FXRCQUIUMNMBRG-UHFFFAOYSA-N 0 1 306.316 3.354 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)N[C@@H]2CCC(C)(C)C[C@H]2C)n[nH]1 ZINC000328949706 434333885 /nfs/dbraw/zinc/33/38/85/434333885.db2.gz WQPXZSFQQDGTRG-GRYCIOLGSA-N 0 1 307.442 3.147 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)c1cnc([C@H]2CCCO2)s1 ZINC000328812151 434315528 /nfs/dbraw/zinc/31/55/28/434315528.db2.gz BHPIMKSMWBZYFI-GHMZBOCLSA-N 0 1 318.402 3.100 20 30 DGEDMN CC(C)c1nc([C@@H](C)NC(=O)NC2CCC(C)(C)CC2)n[nH]1 ZINC000328913390 434330481 /nfs/dbraw/zinc/33/04/81/434330481.db2.gz IBSMJFSJHFSQCV-LLVKDONJSA-N 0 1 307.442 3.462 20 30 DGEDMN C[C@@H](C[N@H+]1CCC[C@@H](C)C1)NC(=O)c1ccc2nsnc2c1 ZINC000329129163 434363460 /nfs/dbraw/zinc/36/34/60/434363460.db2.gz ZWQOFYLPDWGRET-NEPJUHHUSA-N 0 1 318.446 3.116 20 30 DGEDMN Cc1ccsc1CCNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000329310311 434391526 /nfs/dbraw/zinc/39/15/26/434391526.db2.gz PMBWRLPJHHDUKD-UHFFFAOYSA-N 0 1 318.446 3.116 20 30 DGEDMN COC1(CNC(=O)c2cc3[nH]cnc3cc2F)CCCCC1 ZINC000329223299 434375747 /nfs/dbraw/zinc/37/57/47/434375747.db2.gz XVCSIEYWTNTRCJ-UHFFFAOYSA-N 0 1 305.353 3.356 20 30 DGEDMN Cc1nsc(NCC2(N3CCSCC3)CCCC2)c1C#N ZINC000329259058 434382309 /nfs/dbraw/zinc/38/23/09/434382309.db2.gz DNCJEYOVBNCKIG-UHFFFAOYSA-N 0 1 322.503 3.097 20 30 DGEDMN O=C(N[C@H](c1cccs1)C1CC1)[C@H]1CCc2[nH]nnc2C1 ZINC000329002396 434339529 /nfs/dbraw/zinc/33/95/29/434339529.db2.gz LOTNKNWRRIZDQK-HZMBPMFUSA-N 0 1 302.403 3.079 20 30 DGEDMN O=C(N[C@H](c1cccs1)C1CC1)[C@H]1CCc2nn[nH]c2C1 ZINC000329002396 434339536 /nfs/dbraw/zinc/33/95/36/434339536.db2.gz LOTNKNWRRIZDQK-HZMBPMFUSA-N 0 1 302.403 3.079 20 30 DGEDMN COc1ccc(-c2n[nH]c(S[C@H]3CC[C@H](C#N)C3)n2)cc1 ZINC000450692823 434343657 /nfs/dbraw/zinc/34/36/57/434343657.db2.gz AQDBPRNYSDQUGO-GWCFXTLKSA-N 0 1 300.387 3.265 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1OC(F)F)c1cccnc1 ZINC000340782412 434355765 /nfs/dbraw/zinc/35/57/65/434355765.db2.gz WMNJGHPAUWEGNX-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H]1OCC[C@H]1C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000329089887 434357860 /nfs/dbraw/zinc/35/78/60/434357860.db2.gz ISUQPBRTYJVDAL-JVLSTEMRSA-N 0 1 315.373 3.427 20 30 DGEDMN C=C[C@H](CC(=O)NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000458015367 434445762 /nfs/dbraw/zinc/44/57/62/434445762.db2.gz CXOJCVKFVCSRAF-CYBMUJFWSA-N 0 1 312.417 3.078 20 30 DGEDMN COC[C@H](NCc1ccc(Br)cc1C#N)C(C)C ZINC000394119861 434400063 /nfs/dbraw/zinc/40/00/63/434400063.db2.gz ADXHVGABQBRTGN-AWEZNQCLSA-N 0 1 311.223 3.081 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)C[C@@H]2CCO[C@@H](C(C)C)C2)n[nH]1 ZINC000329484671 434419383 /nfs/dbraw/zinc/41/93/83/434419383.db2.gz WUUICUYXWHKMCZ-JGGQBBKZSA-N 0 1 307.438 3.447 20 30 DGEDMN Cn1cc(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)cc1C#N ZINC000359731307 434423435 /nfs/dbraw/zinc/42/34/35/434423435.db2.gz AVEWUYXFOJGMLA-ZWKOTPCHSA-N 0 1 313.376 3.046 20 30 DGEDMN COCCCCN(C)Cc1ccc(Br)cc1C#N ZINC000397342422 434437160 /nfs/dbraw/zinc/43/71/60/434437160.db2.gz WJDKPTYQADPMMX-UHFFFAOYSA-N 0 1 311.223 3.179 20 30 DGEDMN CC[C@@H]1CCCC[C@@H]1[NH+]=C([O-])N1CC[C@H](n2cc(C)cn2)C1 ZINC000329600900 434439097 /nfs/dbraw/zinc/43/90/97/434439097.db2.gz KHKXLVBAMPKPGE-PMPSAXMXSA-N 0 1 304.438 3.321 20 30 DGEDMN Cc1cnn(CCNC([O-])=[NH+][C@H]2CCCCC23CCCC3)c1 ZINC000329604183 434442018 /nfs/dbraw/zinc/44/20/18/434442018.db2.gz GRMLKFWBDYBORI-HNNXBMFYSA-N 0 1 304.438 3.198 20 30 DGEDMN Cc1cnn(CC[NH+]=C([O-])N[C@H]2CCCCC23CCCC3)c1 ZINC000329604183 434442021 /nfs/dbraw/zinc/44/20/21/434442021.db2.gz GRMLKFWBDYBORI-HNNXBMFYSA-N 0 1 304.438 3.198 20 30 DGEDMN Cc1noc(C2CC2)c1NC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000329691019 434462421 /nfs/dbraw/zinc/46/24/21/434462421.db2.gz DGRZAVVJRKAHKC-UHFFFAOYSA-N 0 1 315.377 3.009 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1[NH+]=C([O-])N1CCC[C@@H](n2cc(C)cn2)C1 ZINC000329775004 434479500 /nfs/dbraw/zinc/47/95/00/434479500.db2.gz MUKQHNVLDUSSGL-OAGGEKHMSA-N 0 1 304.438 3.321 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)c(F)c2)c(C(C)(C)C)n1 ZINC000404533671 434514883 /nfs/dbraw/zinc/51/48/83/434514883.db2.gz BCZCJGHIVILHNF-UHFFFAOYSA-N 0 1 300.381 3.018 20 30 DGEDMN C[C@H](NCc1ccc(F)c(C#N)c1)c1ccc([S@](C)=O)cc1 ZINC000360207197 434549558 /nfs/dbraw/zinc/54/95/58/434549558.db2.gz FPTIERPJVRADTB-YTEVENLXSA-N 0 1 316.401 3.286 20 30 DGEDMN C=CCc1cc(CN2CCOCC3(CCC3)C2)cc(OC)c1O ZINC000368100737 434565995 /nfs/dbraw/zinc/56/59/95/434565995.db2.gz WCCWLKIBECAYCB-UHFFFAOYSA-N 0 1 317.429 3.132 20 30 DGEDMN COC1(C(F)(F)F)CCN(Cc2ccc(C#N)c(C)c2)CC1 ZINC000418097563 434587963 /nfs/dbraw/zinc/58/79/63/434587963.db2.gz KGLCAZPSZYRQBK-UHFFFAOYSA-N 0 1 312.335 3.410 20 30 DGEDMN Cc1ccccc1OCCN1CCC(C#N)(c2ccccn2)CC1 ZINC000369215500 434591900 /nfs/dbraw/zinc/59/19/00/434591900.db2.gz QBPHLCSKTQFRBD-UHFFFAOYSA-N 0 1 321.424 3.326 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCCC[C@H]1c1ccccc1 ZINC000329746629 295384008 /nfs/dbraw/zinc/38/40/08/295384008.db2.gz RXCNZWMSLZMDKH-QAPCUYQASA-N 0 1 324.428 3.408 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](c2ccccc2)c2ccc(C)cc2)C1=O ZINC000410419121 434643615 /nfs/dbraw/zinc/64/36/15/434643615.db2.gz PJYVEZBXIGCQAT-VQTJNVASSA-N 0 1 320.436 3.461 20 30 DGEDMN COC(=O)CC[N@H+](Cc1ccc(C#N)cc1Cl)CC(C)C ZINC000439448697 434602590 /nfs/dbraw/zinc/60/25/90/434602590.db2.gz FBZIBSNUPVCOOZ-UHFFFAOYSA-N 0 1 308.809 3.233 20 30 DGEDMN C=C(CC)CN[C@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000439458642 434605560 /nfs/dbraw/zinc/60/55/60/434605560.db2.gz TZSTVALFOSXUFB-ZDUSSCGKSA-N 0 1 310.323 3.469 20 30 DGEDMN COc1c(O)cccc1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000418149488 434607032 /nfs/dbraw/zinc/60/70/32/434607032.db2.gz VEJMBYICJSNOTQ-QGZVFWFLSA-N 0 1 308.381 3.440 20 30 DGEDMN C=CCSc1ccccc1C(=O)NCc1n[nH]c(C(C)C)n1 ZINC000452425657 434607287 /nfs/dbraw/zinc/60/72/87/434607287.db2.gz SJHSPRKQUSDRFM-UHFFFAOYSA-N 0 1 316.430 3.136 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000459571805 434612323 /nfs/dbraw/zinc/61/23/23/434612323.db2.gz CXLBFCGUWVMLNX-SFHVURJKSA-N 0 1 318.392 3.251 20 30 DGEDMN COc1ccc(CN[C@@H]2CC[C@H](C#N)C2)c(Br)c1 ZINC000424201921 434656190 /nfs/dbraw/zinc/65/61/90/434656190.db2.gz PGRQAQIEXYDGSF-CMPLNLGQSA-N 0 1 309.207 3.240 20 30 DGEDMN C=CCCC1(C(=O)Nc2ccc(F)c(-c3nc[nH]n3)c2)CC1 ZINC000410792136 434675204 /nfs/dbraw/zinc/67/52/04/434675204.db2.gz MGSOXVAUPDLWBP-UHFFFAOYSA-N 0 1 300.337 3.296 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@H](C)c2cccc(C#N)c2)c1 ZINC000360772035 434687747 /nfs/dbraw/zinc/68/77/47/434687747.db2.gz ZQCAMQRFTHXXHY-LLVKDONJSA-N 0 1 308.337 3.209 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000411260930 434752679 /nfs/dbraw/zinc/75/26/79/434752679.db2.gz PCPDRAUQZASHFM-SFHVURJKSA-N 0 1 311.429 3.153 20 30 DGEDMN C[C@H](CN(C)Cc1ccc(C#N)cc1F)C(=O)OC(C)(C)C ZINC000459777870 434714953 /nfs/dbraw/zinc/71/49/53/434714953.db2.gz SQDMDBVJSSXIDU-GFCCVEGCSA-N 0 1 306.381 3.107 20 30 DGEDMN C[C@H](CN(C)CC#Cc1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000459819695 434730466 /nfs/dbraw/zinc/73/04/66/434730466.db2.gz ZXCBIKYHYXEEIG-CQSZACIVSA-N 0 1 305.393 3.087 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(Cl)cc1 ZINC000459824858 434730957 /nfs/dbraw/zinc/73/09/57/434730957.db2.gz KIWYLHDFOXQIEY-AHIWAGSCSA-N 0 1 321.852 3.434 20 30 DGEDMN CCCCNC(=O)[C@@H](C)N(CCC)Cc1ccc(C#N)cc1 ZINC000411160597 434734979 /nfs/dbraw/zinc/73/49/79/434734979.db2.gz APUNXWUKHNVPRM-OAHLLOKOSA-N 0 1 301.434 3.075 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@H](C)N(CCC)Cc1ccc(C#N)cc1 ZINC000411171269 434736765 /nfs/dbraw/zinc/73/67/65/434736765.db2.gz ZGQVGTVWIHNLRT-CVEARBPZSA-N 0 1 315.461 3.464 20 30 DGEDMN COc1cc(CNCc2ccc3c(c2)OCCCO3)ccc1C#N ZINC000440805298 434743893 /nfs/dbraw/zinc/74/38/93/434743893.db2.gz JIHVFZANNKTINF-UHFFFAOYSA-N 0 1 324.380 3.018 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@H](C)C(=O)NC1CCCC1 ZINC000411202209 434744357 /nfs/dbraw/zinc/74/43/57/434744357.db2.gz UJQJNOGFJUWHTR-MRXNPFEDSA-N 0 1 300.446 3.164 20 30 DGEDMN CCN(CC)C(=O)[C@@H](NCC(C)(C)CC#N)c1ccccc1 ZINC000419343485 434775550 /nfs/dbraw/zinc/77/55/50/434775550.db2.gz CSFVBTFKEVAPCM-INIZCTEOSA-N 0 1 301.434 3.126 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@H](C)[C@@H](C)[C@@H]2C)c(C#N)c1C ZINC000419368764 434778635 /nfs/dbraw/zinc/77/86/35/434778635.db2.gz GMUWKSUSTQKRDY-LFSVMHDDSA-N 0 1 303.406 3.071 20 30 DGEDMN N#CC1(CCN2CCN(c3ccc(F)cn3)CC2)CCCCC1 ZINC000411485821 434788633 /nfs/dbraw/zinc/78/86/33/434788633.db2.gz RRPBESOWBLWAKX-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN CCNC(=O)CN[C@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000411505657 434791260 /nfs/dbraw/zinc/79/12/60/434791260.db2.gz OQFMABHFMHXSPB-CQSZACIVSA-N 0 1 307.397 3.012 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000492024350 434902307 /nfs/dbraw/zinc/90/23/07/434902307.db2.gz PKLYQZQAMPARAW-CVEARBPZSA-N 0 1 317.433 3.167 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](C(C)(C)C)C[C@H]1C ZINC000553990742 434908454 /nfs/dbraw/zinc/90/84/54/434908454.db2.gz FPSWXSZILUBUEJ-RKVPGOIHSA-N 0 1 307.482 3.187 20 30 DGEDMN Cc1nn2c(CNCC3(CC#N)CC3)c(C(C)C)nc2s1 ZINC000521163754 434873507 /nfs/dbraw/zinc/87/35/07/434873507.db2.gz XUWIXUMUBBWXAI-UHFFFAOYSA-N 0 1 303.435 3.006 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2nc(-c3ccc(Cl)cc3)n[nH]2)c1 ZINC000522240017 434918969 /nfs/dbraw/zinc/91/89/69/434918969.db2.gz CMYKCADSZXJANL-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1cnn2cc(CN3CCCC[C@H]3c3ccccc3)cnc12 ZINC000574324118 434969925 /nfs/dbraw/zinc/96/99/25/434969925.db2.gz UOCGBDQQEXCWDD-SFHVURJKSA-N 0 1 317.396 3.328 20 30 DGEDMN COc1cc(C)c([C@@H](C)NCc2ccc(C#N)cn2)cc1OC ZINC000554359857 434923890 /nfs/dbraw/zinc/92/38/90/434923890.db2.gz WIVJFGMGKMWNMD-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN CC[C@H](C(=O)NCC#Cc1ccccc1)N(C)Cc1ccccc1 ZINC000555548520 434977973 /nfs/dbraw/zinc/97/79/73/434977973.db2.gz CVBKEINERRWWIG-HXUWFJFHSA-N 0 1 320.436 3.065 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@@H]2CCCCN2CC)c1 ZINC000524596327 434982960 /nfs/dbraw/zinc/98/29/60/434982960.db2.gz YGWJLGHNZXUDDA-KRWDZBQOSA-N 0 1 302.418 3.454 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@H]2CCCCN2CC)c1 ZINC000524596328 434983289 /nfs/dbraw/zinc/98/32/89/434983289.db2.gz YGWJLGHNZXUDDA-QGZVFWFLSA-N 0 1 302.418 3.454 20 30 DGEDMN CC1(C#N)CCC(NCc2noc(-c3cccs3)n2)CC1 ZINC000556158469 434997687 /nfs/dbraw/zinc/99/76/87/434997687.db2.gz RZYDGOYDYWZCRC-UHFFFAOYSA-N 0 1 302.403 3.360 20 30 DGEDMN Cc1ccc(C)c([C@H]2CCCN2CC(=O)NC2(C#N)CCC2)c1 ZINC000527135603 435010018 /nfs/dbraw/zinc/01/00/18/435010018.db2.gz WQBYTXWTHWMPOR-QGZVFWFLSA-N 0 1 311.429 3.003 20 30 DGEDMN Cc1ccc(C)c([C@@H]2CCCN2CC(=O)NC2(C#N)CCC2)c1 ZINC000527135602 435010076 /nfs/dbraw/zinc/01/00/76/435010076.db2.gz WQBYTXWTHWMPOR-KRWDZBQOSA-N 0 1 311.429 3.003 20 30 DGEDMN C=CC[C@H](CC)NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000557854483 435070225 /nfs/dbraw/zinc/07/02/25/435070225.db2.gz DPSANPUIJPNZHH-QMMMGPOBSA-N 0 1 309.765 3.251 20 30 DGEDMN C=CC[C@@H](CC)NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000557854482 435070853 /nfs/dbraw/zinc/07/08/53/435070853.db2.gz DPSANPUIJPNZHH-MRVPVSSYSA-N 0 1 309.765 3.251 20 30 DGEDMN N#CCC[C@H](C#N)CNCCc1csc(-c2ccccc2)n1 ZINC000576283076 435055448 /nfs/dbraw/zinc/05/54/48/435055448.db2.gz MNQQONHKNJXROE-CQSZACIVSA-N 0 1 310.426 3.386 20 30 DGEDMN Cc1cccc([C@H](C)N2CCN(c3cnccc3C#N)CC2)c1 ZINC000559259528 435126771 /nfs/dbraw/zinc/12/67/71/435126771.db2.gz DJVOTPRUNLPOOO-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN CN(CC[C@H]1CCCO1)Cc1cc(C#N)ccc1Br ZINC000493741012 435189991 /nfs/dbraw/zinc/18/99/91/435189991.db2.gz WBSSWVCLLNDRSY-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN C[C@@H](C#N)CN(C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000494454870 435195530 /nfs/dbraw/zinc/19/55/30/435195530.db2.gz QJSOXXWTXDAQHL-LSDHHAIUSA-N 0 1 321.465 3.258 20 30 DGEDMN CC(C)N(CCC#N)C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000495458688 435203942 /nfs/dbraw/zinc/20/39/42/435203942.db2.gz MCSUAZUDKAWZCB-QGZVFWFLSA-N 0 1 309.454 3.258 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2cc(Cl)ccc2Cl)CC1 ZINC000561170886 435204374 /nfs/dbraw/zinc/20/43/74/435204374.db2.gz VDSQKRMYBYSETG-CYBMUJFWSA-N 0 1 316.228 3.385 20 30 DGEDMN CCN(CCC#N)C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000496184078 435210029 /nfs/dbraw/zinc/21/00/29/435210029.db2.gz BEZGSTRGSYVMEH-HUUCEWRRSA-N 0 1 309.454 3.258 20 30 DGEDMN C=CC1CCN(CC(=O)Nc2ccc(Br)cc2)CC1 ZINC000533424206 435235691 /nfs/dbraw/zinc/23/56/91/435235691.db2.gz IQOBATCNJAVDNG-UHFFFAOYSA-N 0 1 323.234 3.286 20 30 DGEDMN C=C(CC)C[N@H+]1Cc2cccc(Br)c2C[C@H]1C(=O)[O-] ZINC000582426211 435240823 /nfs/dbraw/zinc/24/08/23/435240823.db2.gz FNKCVEDPFTXKMP-AWEZNQCLSA-N 0 1 324.218 3.227 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)CO[C@H](C)c1ccc(Cl)cc1 ZINC000566428269 435286076 /nfs/dbraw/zinc/28/60/76/435286076.db2.gz CXOOQOJAGBUUNH-DAXOMENPSA-N 0 1 310.825 3.060 20 30 DGEDMN C=CCCCCCNC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000566438348 435286111 /nfs/dbraw/zinc/28/61/11/435286111.db2.gz HSNUQMPAOAIHQO-UHFFFAOYSA-N 0 1 321.440 3.433 20 30 DGEDMN N#CCC1(CN2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)CC1 ZINC000639495928 435320281 /nfs/dbraw/zinc/32/02/81/435320281.db2.gz JTNMGWCMYBENOH-OAHLLOKOSA-N 0 1 300.377 3.414 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H](CC(C)C)C2)c1C#N ZINC000535965566 435364634 /nfs/dbraw/zinc/36/46/34/435364634.db2.gz ZUMCEOHKQMZYQQ-CQSZACIVSA-N 0 1 300.402 3.213 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000535418757 435332065 /nfs/dbraw/zinc/33/20/65/435332065.db2.gz UMJGIZOENBHLDN-UHFFFAOYSA-N 0 1 304.300 3.379 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cnc(N2CCCC2)nc1 ZINC000535823780 435356196 /nfs/dbraw/zinc/35/61/96/435356196.db2.gz YMHFZTCCSMSQMF-UHFFFAOYSA-N 0 1 322.456 3.308 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H](C)C[C@H](C)C2)c1C#N ZINC000535964338 435364280 /nfs/dbraw/zinc/36/42/80/435364280.db2.gz PTVRGCHUYDFJFV-KGLIPLIRSA-N 0 1 300.402 3.213 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000639733485 435404861 /nfs/dbraw/zinc/40/48/61/435404861.db2.gz GJVOFRWVNBOMAX-UHFFFAOYSA-N 0 1 313.405 3.381 20 30 DGEDMN CC#CCCNCc1cn(-c2ccc(Cl)c(Cl)c2)nn1 ZINC000482159493 435454678 /nfs/dbraw/zinc/45/46/78/435454678.db2.gz WLFZGJXWMKXOQE-UHFFFAOYSA-N 0 1 309.200 3.077 20 30 DGEDMN C=CC1CCN(Cc2cn3ccc(Br)cc3n2)CC1 ZINC000569160989 435508300 /nfs/dbraw/zinc/50/83/00/435508300.db2.gz CEBYLLFTWPMNFJ-UHFFFAOYSA-N 0 1 320.234 3.495 20 30 DGEDMN C=C(CC)CN1CCN(CCOc2ccccc2Cl)CC1 ZINC000540193358 435592832 /nfs/dbraw/zinc/59/28/32/435592832.db2.gz FOOMTACMPNCDJJ-UHFFFAOYSA-N 0 1 308.853 3.303 20 30 DGEDMN C=C(C)CCn1cc([C@H](N)c2ccc(Br)cc2)nn1 ZINC000641069343 435682699 /nfs/dbraw/zinc/68/26/99/435682699.db2.gz GOLILOMMMDRDNK-CQSZACIVSA-N 0 1 321.222 3.055 20 30 DGEDMN Cc1cc(CNCc2cc3ccccc3n(C)c2=O)ccc1C#N ZINC000491482700 435758357 /nfs/dbraw/zinc/75/83/57/435758357.db2.gz CJLBZHRPQXJQRM-UHFFFAOYSA-N 0 1 317.392 3.008 20 30 DGEDMN C=C(C)[C@H](CO)N1CC[C@H](c2cccc(Br)c2)C1 ZINC000650009865 435788168 /nfs/dbraw/zinc/78/81/68/435788168.db2.gz QPMRNWUHVODWHP-ZFWWWQNUSA-N 0 1 310.235 3.175 20 30 DGEDMN C#CCN(C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1)C1CC1 ZINC000491698896 435796279 /nfs/dbraw/zinc/79/62/79/435796279.db2.gz CZBTVDAZKDIHKP-UHFFFAOYSA-N 0 1 304.300 3.130 20 30 DGEDMN C#CCN1CCC(C(=O)N(C(C)C)[C@@H](C)c2ccsc2)CC1 ZINC000491740817 435802457 /nfs/dbraw/zinc/80/24/57/435802457.db2.gz KHFQOKIUOCXCPJ-HNNXBMFYSA-N 0 1 318.486 3.391 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC(c2ccccc2)CC1 ZINC000641856086 435838886 /nfs/dbraw/zinc/83/88/86/435838886.db2.gz FTHWTWXBZAANFC-UHFFFAOYSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCCC1(CNC(=O)NCc2n[nH]c(C3CC3)n2)CCCC1 ZINC000664988998 435863048 /nfs/dbraw/zinc/86/30/48/435863048.db2.gz HSEQQRNEANDZFV-UHFFFAOYSA-N 0 1 317.437 3.008 20 30 DGEDMN C=C(C)C[N@@H+](CC)Cc1coc(-c2ccc(C(=O)[O-])cc2)n1 ZINC000652369806 435961186 /nfs/dbraw/zinc/96/11/86/435961186.db2.gz BHORVSFHWCXDCO-UHFFFAOYSA-N 0 1 300.358 3.438 20 30 DGEDMN C=C(C)C[N@H+](CC)Cc1coc(-c2ccc(C(=O)[O-])cc2)n1 ZINC000652369806 435961191 /nfs/dbraw/zinc/96/11/91/435961191.db2.gz BHORVSFHWCXDCO-UHFFFAOYSA-N 0 1 300.358 3.438 20 30 DGEDMN CN(CC#Cc1cccc(Cl)c1)[C@@H](CO)Cc1ccccc1 ZINC000661091469 435962945 /nfs/dbraw/zinc/96/29/45/435962945.db2.gz MPIZJPNJARLTTF-LJQANCHMSA-N 0 1 313.828 3.227 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc3c2OCCCO3)n1 ZINC000651259397 436155341 /nfs/dbraw/zinc/15/53/41/436155341.db2.gz PNLYHJXFDYAGSA-GFCCVEGCSA-N 0 1 314.366 3.103 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2cccc3c2OCCCO3)n1 ZINC000651259397 436155346 /nfs/dbraw/zinc/15/53/46/436155346.db2.gz PNLYHJXFDYAGSA-GFCCVEGCSA-N 0 1 314.366 3.103 20 30 DGEDMN C=CCCn1cc(CNC[C@H](C)Oc2ccccc2Cl)nn1 ZINC000657376667 436165253 /nfs/dbraw/zinc/16/52/53/436165253.db2.gz HPIIJSDAEAKDEA-ZDUSSCGKSA-N 0 1 320.824 3.065 20 30 DGEDMN C=CCN([C@@H]1CCN(c2ccccc2OC)C1=O)C(C)(C)C ZINC000661756782 436177952 /nfs/dbraw/zinc/17/79/52/436177952.db2.gz VVGGWJMQGDHITO-OAHLLOKOSA-N 0 1 302.418 3.087 20 30 DGEDMN C=CCCn1cc(CN(CCC)[C@H]2C[C@@H](OCC)C2(C)C)nn1 ZINC000653559127 436205228 /nfs/dbraw/zinc/20/52/28/436205228.db2.gz KDLYXRCUDFJSEG-DLBZAZTESA-N 0 1 320.481 3.270 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](Cc3ccc(F)cc3)C2)nn1 ZINC000653558030 436205438 /nfs/dbraw/zinc/20/54/38/436205438.db2.gz RNWRBEFVIGPHNZ-INIZCTEOSA-N 0 1 314.408 3.058 20 30 DGEDMN C=CCCn1cc(CN(CCCC)[C@@H]2CCCC[C@H]2OC)nn1 ZINC000653744875 436255580 /nfs/dbraw/zinc/25/55/80/436255580.db2.gz VPHXGTFFVDEXGC-QZTJIDSGSA-N 0 1 320.481 3.414 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N(Cc1ccccc1)C1CC1 ZINC000662078155 436266302 /nfs/dbraw/zinc/26/63/02/436266302.db2.gz BTZCCONCVOHTCJ-KDURUIRLSA-N 0 1 310.441 3.361 20 30 DGEDMN CCOc1ccc(CNCc2cc(C#N)cs2)cc1OC ZINC000177684786 312782759 /nfs/dbraw/zinc/78/27/59/312782759.db2.gz ZOFRAZQZNXVEIO-UHFFFAOYSA-N 0 1 302.399 3.317 20 30 DGEDMN CN(CCOc1cccc(Cl)c1)Cc1ccc(C#N)cn1 ZINC000562383257 315015859 /nfs/dbraw/zinc/01/58/59/315015859.db2.gz WZZSGWIGNGMQOO-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN COc1ccccc1CC1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000564028800 315153011 /nfs/dbraw/zinc/15/30/11/315153011.db2.gz AJNDSNSUGNHENK-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cn1)c1ccc(NC(=O)C2CC2)cc1 ZINC000565162782 315236250 /nfs/dbraw/zinc/23/62/50/315236250.db2.gz ILZAOTAEOHOODC-CYBMUJFWSA-N 0 1 320.396 3.153 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(C#N)c(F)c2)c1Cl ZINC000575836870 316067019 /nfs/dbraw/zinc/06/70/19/316067019.db2.gz SGEWWJHFRJLXAZ-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(C#N)cc2)c1Cl ZINC000179951135 323218261 /nfs/dbraw/zinc/21/82/61/323218261.db2.gz IGSAQOICLFQMLL-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(F)c(C#N)c2)c1Cl ZINC000179993303 323219314 /nfs/dbraw/zinc/21/93/14/323219314.db2.gz HDISVBKIANYHKD-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN COc1cc(C#N)ccc1OCCN[C@@H](C)c1nc(C)cs1 ZINC000184181712 323357759 /nfs/dbraw/zinc/35/77/59/323357759.db2.gz NMSSNBYIIZOOCE-LBPRGKRZSA-N 0 1 317.414 3.061 20 30 DGEDMN N#Cc1ccc(/C=C/c2nc(-c3ccn4cncc4c3)no2)cc1 ZINC000351116236 323547739 /nfs/dbraw/zinc/54/77/39/323547739.db2.gz ZQPFOAWKYNLLPT-AATRIKPKSA-N 0 1 313.320 3.426 20 30 DGEDMN CC(C)CN(C(=O)[C@@H](C)Cc1cnc[nH]1)c1ccc(C#N)cc1 ZINC000580582491 324016274 /nfs/dbraw/zinc/01/62/74/324016274.db2.gz POTTZFCBOKLLDJ-AWEZNQCLSA-N 0 1 310.401 3.149 20 30 DGEDMN CCN(C(=O)[C@@H](C)Cc1cnc[nH]1)[C@@H](C)c1ccc(C#N)cc1 ZINC000581080673 324072084 /nfs/dbraw/zinc/07/20/84/324072084.db2.gz UBVVEWUPLIAHEV-KBPBESRZSA-N 0 1 310.401 3.070 20 30 DGEDMN Cc1cc(CNCc2ccnc(OC3CCC3)c2)ccc1C#N ZINC000443999504 533709187 /nfs/dbraw/zinc/70/91/87/533709187.db2.gz CNQZKQZSFJIGFS-UHFFFAOYSA-N 0 1 307.397 3.483 20 30 DGEDMN C=CCOc1ccc(CN2CCC(C(=O)OCC)CC2)cc1 ZINC000148943986 331809858 /nfs/dbraw/zinc/80/98/58/331809858.db2.gz BKDXBPKGMQXRGH-UHFFFAOYSA-N 0 1 303.402 3.027 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)[C@@]3(C#N)C[C@H]3C)[nH]c2c1 ZINC000560637442 332371893 /nfs/dbraw/zinc/37/18/93/332371893.db2.gz ULNREDVVOFJGJF-HNJNHCNJSA-N 0 1 308.385 3.085 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(OC)c(OC)c1 ZINC000022772321 333398283 /nfs/dbraw/zinc/39/82/83/333398283.db2.gz IMTDDXPRRCERLY-UHFFFAOYSA-N 0 1 311.381 3.006 20 30 DGEDMN COC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ccc(CC#N)cc1 ZINC000331932839 336153502 /nfs/dbraw/zinc/15/35/02/336153502.db2.gz DSJHOBSHSVAYHW-BZSNNMDCSA-N 0 1 312.413 3.059 20 30 DGEDMN CC(C)CCC[C@@H](C)NC(=O)CN1CCC(CCC#N)CC1 ZINC000582239028 336390200 /nfs/dbraw/zinc/39/02/00/336390200.db2.gz INGHOIMGRPMWSC-MRXNPFEDSA-N 0 1 307.482 3.333 20 30 DGEDMN C=CCC[C@@H](CO)NCc1csc(-c2ccc(F)cc2)n1 ZINC000320981946 337088433 /nfs/dbraw/zinc/08/84/33/337088433.db2.gz HUTDJWIEPLVMTK-AWEZNQCLSA-N 0 1 306.406 3.366 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000499426690 340036722 /nfs/dbraw/zinc/03/67/22/340036722.db2.gz ZODASNAOFOIHEM-DLBZAZTESA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H]1/C=C/c1ccccc1 ZINC000505821617 340178772 /nfs/dbraw/zinc/17/87/72/340178772.db2.gz YZHMJWUZVCLLMN-JXOMPUQVSA-N 0 1 310.441 3.365 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cccc(OC(C)(C)C)n1 ZINC000507371269 340218279 /nfs/dbraw/zinc/21/82/79/340218279.db2.gz YWNFNPXMUBQYRE-UHFFFAOYSA-N 0 1 320.418 3.034 20 30 DGEDMN N#CC1(F)CCN(CCc2cccc(OC(F)(F)F)c2)CC1 ZINC000510922014 340314933 /nfs/dbraw/zinc/31/49/33/340314933.db2.gz ZXLLRKRNOVOUKK-UHFFFAOYSA-N 0 1 316.298 3.455 20 30 DGEDMN C=CCC[C@H](C(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)c1ccccc1 ZINC000513066376 340391737 /nfs/dbraw/zinc/39/17/37/340391737.db2.gz YVPBTWBYHRDDMI-JZXOWHBKSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000540557940 340936143 /nfs/dbraw/zinc/93/61/43/340936143.db2.gz DAWXWCQFCGHVOR-RHSMWYFYSA-N 0 1 321.440 3.472 20 30 DGEDMN N#CCc1ccc(CN2CCC[C@@H](OCC(F)(F)F)C2)cc1 ZINC000543205883 341036621 /nfs/dbraw/zinc/03/66/21/341036621.db2.gz HXTFSDPQHIHLSL-OAHLLOKOSA-N 0 1 312.335 3.296 20 30 DGEDMN CC[N@@H+](CCCSCC#N)CC(=O)Nc1c(C)cccc1C ZINC000072486776 341085551 /nfs/dbraw/zinc/08/55/51/341085551.db2.gz CGRPZQMLVPZXDB-UHFFFAOYSA-N 0 1 319.474 3.211 20 30 DGEDMN CCN(CCCSCC#N)CC(=O)Nc1c(C)cccc1C ZINC000072486776 341085552 /nfs/dbraw/zinc/08/55/52/341085552.db2.gz CGRPZQMLVPZXDB-UHFFFAOYSA-N 0 1 319.474 3.211 20 30 DGEDMN Cc1cncc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)c1 ZINC000131935294 341113818 /nfs/dbraw/zinc/11/38/18/341113818.db2.gz UAWYTGKUBSFVOM-GFCCVEGCSA-N 0 1 305.259 3.294 20 30 DGEDMN C=CCCC(=O)CSc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000134666249 341221386 /nfs/dbraw/zinc/22/13/86/341221386.db2.gz FSJNSWZXBSWKCI-UHFFFAOYSA-N 0 1 303.387 3.108 20 30 DGEDMN CN(CCC1CC1)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000135298838 341235927 /nfs/dbraw/zinc/23/59/27/341235927.db2.gz IQHGKGDWWSHKSW-UHFFFAOYSA-N 0 1 319.836 3.319 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(C)C[C@H]1C(C)C)c1ccccc1 ZINC000135445457 341239680 /nfs/dbraw/zinc/23/96/80/341239680.db2.gz CFERLCYOLMWTHS-AEFFLSMTSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000551251240 341335539 /nfs/dbraw/zinc/33/55/39/341335539.db2.gz ZJCLHOXBGFDUAV-KRWDZBQOSA-N 0 1 300.446 3.370 20 30 DGEDMN CC[C@@]1(C)CCCN([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000247687192 341369012 /nfs/dbraw/zinc/36/90/12/341369012.db2.gz VEXFEVVSCWSSSX-WBVHZDCISA-N 0 1 305.466 3.230 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](c2cccc(F)c2)C1 ZINC000552514582 341397040 /nfs/dbraw/zinc/39/70/40/341397040.db2.gz HKUPTUJIENTRDD-RDJZCZTQSA-N 0 1 318.436 3.428 20 30 DGEDMN CC[C@H](CC#N)NCCCc1nc(-c2ccc(C)c(F)c2)no1 ZINC000565169471 341519152 /nfs/dbraw/zinc/51/91/52/341519152.db2.gz ALUZNUPIHUPFOG-CQSZACIVSA-N 0 1 316.380 3.399 20 30 DGEDMN Fc1ccc(C#CCN2CCC(C3CCOCC3)CC2)cc1 ZINC000153370423 534463416 /nfs/dbraw/zinc/46/34/16/534463416.db2.gz HTNOWITVEXHAEQ-UHFFFAOYSA-N 0 1 301.405 3.316 20 30 DGEDMN Cc1ccc2nc(C(=O)C(C#N)c3cc(C)nc(C)n3)ccc2c1 ZINC000344713973 534490906 /nfs/dbraw/zinc/49/09/06/534490906.db2.gz AVVVAWXASFCEQZ-HNNXBMFYSA-N 0 1 316.364 3.440 20 30 DGEDMN C=CCC(C)(C)CNC(=O)[C@H]1CCc2[nH]c(C(C)C)nc2C1 ZINC000489940895 534549634 /nfs/dbraw/zinc/54/96/34/534549634.db2.gz JVAWBQUAGIJSDF-ZDUSSCGKSA-N 0 1 303.450 3.357 20 30 DGEDMN N#CCCCNCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000344323128 534612508 /nfs/dbraw/zinc/61/25/08/534612508.db2.gz HUPDMLZCGZDPGR-HNNXBMFYSA-N 0 1 308.809 3.291 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@H](C#N)c3ccccc3F)c2[nH]1 ZINC000338535325 534668477 /nfs/dbraw/zinc/66/84/77/534668477.db2.gz GTLPFHFXLMFWQB-OAHLLOKOSA-N 0 1 308.316 3.005 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(C)[C@H](C)c1ccccc1OC ZINC000489501849 534693691 /nfs/dbraw/zinc/69/36/91/534693691.db2.gz XKDXLOKENKSTSD-CVEARBPZSA-N 0 1 316.445 3.255 20 30 DGEDMN Cn1c2ccc(CN[C@@H](CCC#N)c3ccccc3)cc2oc1=O ZINC000352693609 534709699 /nfs/dbraw/zinc/70/96/99/534709699.db2.gz PKDBJVSWGFLOHD-INIZCTEOSA-N 0 1 321.380 3.266 20 30 DGEDMN N#Cc1ccccc1CSCCNCc1cc(F)ncc1F ZINC000294801135 534814436 /nfs/dbraw/zinc/81/44/36/534814436.db2.gz PKZGVXYVNPRFQP-UHFFFAOYSA-N 0 1 319.380 3.254 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000470775739 534875732 /nfs/dbraw/zinc/87/57/32/534875732.db2.gz ZBZGDDVXBRSBHQ-ZDUSSCGKSA-N 0 1 319.380 3.427 20 30 DGEDMN C=CCOc1ccc(CN(CC(=O)NCCC)C(C)(C)C)cc1 ZINC000474156798 534882308 /nfs/dbraw/zinc/88/23/08/534882308.db2.gz GUEHNOVRLKPVAC-UHFFFAOYSA-N 0 1 318.461 3.378 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(Cc1ccco1)Cc1ccccc1 ZINC000181285317 526503191 /nfs/dbraw/zinc/50/31/91/526503191.db2.gz CAQLSTWCDVVVLD-UHFFFAOYSA-N 0 1 312.413 3.316 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](C)c2ccccc2C(F)(F)F)C1=O ZINC000337174061 526514889 /nfs/dbraw/zinc/51/48/89/526514889.db2.gz GUEMPLITAUNGJZ-RISCZKNCSA-N 0 1 312.335 3.143 20 30 DGEDMN C=C(C)CN(CCC(C)(C)C(=O)OC)Cc1cnn(C(C)C)c1 ZINC000352904116 526551605 /nfs/dbraw/zinc/55/16/05/526551605.db2.gz SNBBKQHMBNILMZ-UHFFFAOYSA-N 0 1 321.465 3.431 20 30 DGEDMN C=CCNC(=O)NC[C@@H]1CCCCN1Cc1cccc(Cl)c1 ZINC000344967374 526613375 /nfs/dbraw/zinc/61/33/75/526613375.db2.gz PXXLCMJLSABMNW-INIZCTEOSA-N 0 1 321.852 3.180 20 30 DGEDMN C#CCCCC(=O)Nc1cc(CN2CCSCC2)ccc1C ZINC000192246156 526683841 /nfs/dbraw/zinc/68/38/41/526683841.db2.gz UTOFUSUCCATQGE-UHFFFAOYSA-N 0 1 316.470 3.286 20 30 DGEDMN C=CCOCCCC(=O)Nc1[nH]nc(-c2ccc(C)o2)c1C ZINC000161607112 526743309 /nfs/dbraw/zinc/74/33/09/526743309.db2.gz LUOVOGICJLBMEB-UHFFFAOYSA-N 0 1 303.362 3.208 20 30 DGEDMN Cc1oc(NC(=O)CN2CCC[C@H]2CC(C)C)c(C#N)c1C ZINC000154905539 526776466 /nfs/dbraw/zinc/77/64/66/526776466.db2.gz VBZAFQSFZSJDKQ-AWEZNQCLSA-N 0 1 303.406 3.217 20 30 DGEDMN C=C(C)[C@H](Nc1ncnc2[nH]cnc21)c1ccc(F)c(F)c1 ZINC000302421858 526821448 /nfs/dbraw/zinc/82/14/48/526821448.db2.gz OIWVYMXVHNWXFA-LBPRGKRZSA-N 0 1 301.300 3.360 20 30 DGEDMN CC(C)(C)c1nc(CN2CCC[C@@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000331366418 526841352 /nfs/dbraw/zinc/84/13/52/526841352.db2.gz ADKVDUQLFHUTAF-JKSUJKDBSA-N 0 1 323.444 3.374 20 30 DGEDMN C=CCOc1ccc(CN2CCC(C)(CO)CC2)cc1Cl ZINC000184075311 526866416 /nfs/dbraw/zinc/86/64/16/526866416.db2.gz QMWFVSGGRQEEGI-UHFFFAOYSA-N 0 1 309.837 3.499 20 30 DGEDMN C=C(CN[C@@H](c1nnc[nH]1)c1ccc(F)cc1)c1ccccc1 ZINC000340202165 526882919 /nfs/dbraw/zinc/88/29/19/526882919.db2.gz BJKCNPSBZVCVJC-QGZVFWFLSA-N 0 1 308.360 3.336 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2ccc(/C=C/C)cc2OC)CC1 ZINC000341990600 526903048 /nfs/dbraw/zinc/90/30/48/526903048.db2.gz DEZDJYQXPQOPRY-BDUNBXCCSA-N 0 1 317.429 3.120 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2c2ccc(C)cc2)CC1 ZINC000126848536 526918040 /nfs/dbraw/zinc/91/80/40/526918040.db2.gz NQHCEWOSWFLIFJ-FQEVSTJZSA-N 0 1 324.468 3.394 20 30 DGEDMN C=CC1CCN(C(=O)[C@@H](c2ccccc2)N(CC)CC)CC1 ZINC000347836974 526972325 /nfs/dbraw/zinc/97/23/25/526972325.db2.gz VGXNQFLVSFHUDD-GOSISDBHSA-N 0 1 300.446 3.494 20 30 DGEDMN C=CCSCCNC(=O)[C@@H](c1ccccc1)N(CC)CC ZINC000171472526 526998057 /nfs/dbraw/zinc/99/80/57/526998057.db2.gz PPIKRPIPJHWVOY-MRXNPFEDSA-N 0 1 306.475 3.105 20 30 DGEDMN C=CCSCCN[C@@H](C)c1nnn(-c2ccccc2)c1C ZINC000358036191 527005085 /nfs/dbraw/zinc/00/50/85/527005085.db2.gz KNFQEMGHDOKRBX-ZDUSSCGKSA-N 0 1 302.447 3.146 20 30 DGEDMN C=CC[C@@H](CO)CN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000346615941 527083196 /nfs/dbraw/zinc/08/31/96/527083196.db2.gz AJOLVUMOBJEUHV-RDTXWAMCSA-N 0 1 300.377 3.084 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000353030383 527152943 /nfs/dbraw/zinc/15/29/43/527152943.db2.gz DFPFYJADBCATPQ-GJZGRUSLSA-N 0 1 307.438 3.316 20 30 DGEDMN C=CCCCCNC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000351614971 527158366 /nfs/dbraw/zinc/15/83/66/527158366.db2.gz JPHKNEZNKUWDCJ-UHFFFAOYSA-N 0 1 307.413 3.043 20 30 DGEDMN CC(C)(CNc1ncc(C#N)cc1F)N1CCc2ccccc2C1 ZINC000413046759 527185464 /nfs/dbraw/zinc/18/54/64/527185464.db2.gz QEYYPEIJFPMRHS-UHFFFAOYSA-N 0 1 324.403 3.341 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCc1c(F)cccc1Cl ZINC000348124717 527332810 /nfs/dbraw/zinc/33/28/10/527332810.db2.gz SZOPMQDZYORVNE-UHFFFAOYSA-N 0 1 313.785 3.297 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1ccccc1OC(F)F ZINC000348120519 527333206 /nfs/dbraw/zinc/33/32/06/527333206.db2.gz OGYJODDBQJMRGY-UHFFFAOYSA-N 0 1 313.329 3.063 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1ncc(-c2ccccc2)o1 ZINC000348120346 527334149 /nfs/dbraw/zinc/33/41/49/527334149.db2.gz NOPLLFATXZFVAI-UHFFFAOYSA-N 0 1 314.370 3.117 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(Cl)ccc1OC(C)C ZINC000357334774 527419402 /nfs/dbraw/zinc/41/94/02/527419402.db2.gz QRRCEXKBZHXCBX-UHFFFAOYSA-N 0 1 303.811 3.445 20 30 DGEDMN C=CCC[C@@H](NC(=O)c1ncn[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000358467239 527445140 /nfs/dbraw/zinc/44/51/40/527445140.db2.gz LHUMJPSLODGHNS-GFCCVEGCSA-N 0 1 324.306 3.261 20 30 DGEDMN C=CCC[C@@H](NC(=O)c1nc[nH]n1)c1ccc(C(F)(F)F)cc1 ZINC000358467239 527445146 /nfs/dbraw/zinc/44/51/46/527445146.db2.gz LHUMJPSLODGHNS-GFCCVEGCSA-N 0 1 324.306 3.261 20 30 DGEDMN C=CCC[C@@H](NCc1ccccc1OCCO)c1ccco1 ZINC000356083113 527449263 /nfs/dbraw/zinc/44/92/63/527449263.db2.gz AGMLLHMVYUFZJF-MRXNPFEDSA-N 0 1 301.386 3.448 20 30 DGEDMN C=CCC[C@H](NCc1ccccc1OCCO)c1ccco1 ZINC000356083112 527485042 /nfs/dbraw/zinc/48/50/42/527485042.db2.gz AGMLLHMVYUFZJF-INIZCTEOSA-N 0 1 301.386 3.448 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)c2ccc3cncn3c2)cc1 ZINC000339876219 527499059 /nfs/dbraw/zinc/49/90/59/527499059.db2.gz CDBNIJGBJCUFEL-UHFFFAOYSA-N 0 1 304.353 3.388 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000135487763 527523443 /nfs/dbraw/zinc/52/34/43/527523443.db2.gz WJXXEZGABIOSMZ-AEFFLSMTSA-N 0 1 304.434 3.016 20 30 DGEDMN CC(=Cc1coc(-c2ccccc2)n1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435342390 527535749 /nfs/dbraw/zinc/53/57/49/527535749.db2.gz GBEUQRARTXDIMN-DHZHZOJOSA-N 0 1 318.336 3.166 20 30 DGEDMN C=CCN(C)C(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000161912300 527564342 /nfs/dbraw/zinc/56/43/42/527564342.db2.gz MBWMGNFFMOUQPD-MRXNPFEDSA-N 0 1 321.852 3.304 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)CN(C)[C@@H]1CCSC1 ZINC000343472782 527596072 /nfs/dbraw/zinc/59/60/72/527596072.db2.gz BNHGSHYQVLNCPO-MRXNPFEDSA-N 0 1 310.507 3.019 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000359421919 527656794 /nfs/dbraw/zinc/65/67/94/527656794.db2.gz TVCRKGVVOFFSJH-UHFFFAOYSA-N 0 1 320.805 3.333 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1sccc1C#N ZINC000173539685 527715320 /nfs/dbraw/zinc/71/53/20/527715320.db2.gz KMPZZHWKXZHHHA-UHFFFAOYSA-N 0 1 318.446 3.210 20 30 DGEDMN CCCN(CC#N)Cc1nc2ccc(Br)cc2[nH]1 ZINC000159736685 527879448 /nfs/dbraw/zinc/87/94/48/527879448.db2.gz UIZGRYPYNKMOQC-UHFFFAOYSA-N 0 1 307.195 3.061 20 30 DGEDMN CCN(CC(=O)N(CC)CCC#N)Cc1ccc2ccccc2c1 ZINC000353432105 527894146 /nfs/dbraw/zinc/89/41/46/527894146.db2.gz ADXAYWPFJBCWTF-UHFFFAOYSA-N 0 1 323.440 3.424 20 30 DGEDMN CCCN(Cc1n[nH]c(C(C)(C)C)n1)Cc1ccc(C#N)cc1 ZINC000292532667 528153481 /nfs/dbraw/zinc/15/34/81/528153481.db2.gz FRQGCGHCVCBZAE-UHFFFAOYSA-N 0 1 311.433 3.386 20 30 DGEDMN CCN(CCC#N)C(=O)CN[C@H](C)c1oc2ccccc2c1C ZINC000183628410 528364199 /nfs/dbraw/zinc/36/41/99/528364199.db2.gz SRRNKBCOYVMSPC-CQSZACIVSA-N 0 1 313.401 3.154 20 30 DGEDMN CC(C)c1nc(CNc2ccc(C#N)c(C(F)(F)F)c2)n[nH]1 ZINC000294888779 528446208 /nfs/dbraw/zinc/44/62/08/528446208.db2.gz FYJREMJRHBNQND-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN CC(C)c1nc(CNc2ccc(C(F)(F)F)cc2C#N)n[nH]1 ZINC000295030014 528447199 /nfs/dbraw/zinc/44/71/99/528447199.db2.gz VOKWKKAKPIINDW-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1c1ccc(C)cc1 ZINC000339075949 528621310 /nfs/dbraw/zinc/62/13/10/528621310.db2.gz SYBKIDSDHIEPRC-WMZOPIPTSA-N 0 1 313.445 3.140 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C)c1cc2ccccc2o1 ZINC000182646081 528626261 /nfs/dbraw/zinc/62/62/61/528626261.db2.gz GGTOSFBCFIFBHU-UONOGXRCSA-N 0 1 313.401 3.092 20 30 DGEDMN CCOc1ccc2nc(Sc3nc(C)cc(C#N)n3)[nH]c2c1 ZINC000318121589 528626448 /nfs/dbraw/zinc/62/64/48/528626448.db2.gz QHVKLTMODVRIJP-UHFFFAOYSA-N 0 1 311.370 3.083 20 30 DGEDMN CCN(Cc1ccc(Cl)cc1)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346279979 528783206 /nfs/dbraw/zinc/78/32/06/528783206.db2.gz OFCUYNGXOXTWCQ-CYBMUJFWSA-N 0 1 319.836 3.113 20 30 DGEDMN CCC1(C)CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC1 ZINC000337683744 529021152 /nfs/dbraw/zinc/02/11/52/529021152.db2.gz JFRHPYFHPFVGSD-UHFFFAOYSA-N 0 1 305.466 3.183 20 30 DGEDMN CC1(C)CN(C[C@@H](O)c2ccc(C#N)cc2)[C@H]1c1ccncc1 ZINC000292445979 529121783 /nfs/dbraw/zinc/12/17/83/529121783.db2.gz NICDVTOYPPAGHB-MSOLQXFVSA-N 0 1 307.397 3.070 20 30 DGEDMN CC[C@@H]1CCCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000341171712 529301281 /nfs/dbraw/zinc/30/12/81/529301281.db2.gz SJCPAQOUMXTFAQ-OAHLLOKOSA-N 0 1 319.836 3.461 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ncc(CN[C@@H]2CC[C@H](C#N)C2)s1 ZINC000496039520 534918746 /nfs/dbraw/zinc/91/87/46/534918746.db2.gz AZNQMXADPYOBCR-WDEREUQCSA-N 0 1 322.434 3.272 20 30 DGEDMN O=C(NCc1cc(=O)[nH]c2ccccc12)[C@H]1CCCN1C1CC1 ZINC000330222048 546496467 /nfs/dbraw/zinc/49/64/67/546496467.db2.gz MBWGKRUJGXHUCW-MRXNPFEDSA-N 0 1 311.385 3.024 20 30 DGEDMN N#Cc1cnn2cc(CNCc3ccc(C4CCC4)cc3)cnc12 ZINC000592513732 547029607 /nfs/dbraw/zinc/02/96/07/547029607.db2.gz GUWKILYPYOQWAT-UHFFFAOYSA-N 0 1 317.396 3.158 20 30 DGEDMN N#CC(C(=O)c1cnc(C2CC2)nc1)c1nc(C2CC2)cs1 ZINC000647380211 547068178 /nfs/dbraw/zinc/06/81/78/547068178.db2.gz UTHJSYUMEUIIMO-LBPRGKRZSA-N 0 1 310.382 3.178 20 30 DGEDMN C=CCOc1ccccc1CN1CC(COC)(C2CCC2)C1 ZINC000668512861 547469957 /nfs/dbraw/zinc/46/99/57/547469957.db2.gz QOVNSELSMRPYMD-UHFFFAOYSA-N 0 1 301.430 3.500 20 30 DGEDMN COCC#CCN[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC000678737682 548754572 /nfs/dbraw/zinc/75/45/72/548754572.db2.gz SNRDUACYZUSKCQ-MRXNPFEDSA-N 0 1 322.408 3.239 20 30 DGEDMN Cc1ncc(C(=O)[C@@H](C#N)c2ccc3ccccc3n2)c(C)n1 ZINC000679089967 548828685 /nfs/dbraw/zinc/82/86/85/548828685.db2.gz OVSZRMHMWMYSJG-AWEZNQCLSA-N 0 1 302.337 3.132 20 30 DGEDMN Cc1ncc(C(=O)C(C#N)c2ccc3ccccc3n2)c(C)n1 ZINC000679089967 548828687 /nfs/dbraw/zinc/82/86/87/548828687.db2.gz OVSZRMHMWMYSJG-AWEZNQCLSA-N 0 1 302.337 3.132 20 30 DGEDMN C=CCOCCN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000680350090 549035963 /nfs/dbraw/zinc/03/59/63/549035963.db2.gz YDRNTATWUWESBA-SFHVURJKSA-N 0 1 300.377 3.411 20 30 DGEDMN C(#Cc1ccccc1)CN[C@@H](Cn1cccn1)c1ccccc1 ZINC000683017575 549415925 /nfs/dbraw/zinc/41/59/25/549415925.db2.gz NVNMFDSOFDPIHA-FQEVSTJZSA-N 0 1 301.393 3.266 20 30 DGEDMN Cn1cc(C(=O)[C@@H](C#N)c2ccc3ccccc3n2)c(C2CC2)n1 ZINC000685783434 549792338 /nfs/dbraw/zinc/79/23/38/549792338.db2.gz VFSCHSKQFHLLID-AWEZNQCLSA-N 0 1 316.364 3.336 20 30 DGEDMN Cn1cc(C(=O)C(C#N)c2ccc3ccccc3n2)c(C2CC2)n1 ZINC000685783434 549792342 /nfs/dbraw/zinc/79/23/42/549792342.db2.gz VFSCHSKQFHLLID-AWEZNQCLSA-N 0 1 316.364 3.336 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2cc(Cl)ccc2O)cc1 ZINC000730980710 574541060 /nfs/dbraw/zinc/54/10/60/574541060.db2.gz XDRISDANTYXHHI-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2c(O)cccc2Cl)c1 ZINC000731052644 574542632 /nfs/dbraw/zinc/54/26/32/574542632.db2.gz DBQZXPQEEUSWIM-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN CCN(CC)c1ccc(/C=[NH+]\[C@@H]2CC[N@@H+](C)[C@@H](C)C2)c(O)c1 ZINC000741465100 574549684 /nfs/dbraw/zinc/54/96/84/574549684.db2.gz SXVISEFNMOXEKG-RRNXIHKHSA-N 0 1 303.450 3.140 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CN=Nc2ccccc2S(C)(=O)=O)o1 ZINC000731932637 574562566 /nfs/dbraw/zinc/56/25/66/574562566.db2.gz DMENZWJGFAVPPG-DGCLKSJQSA-N 0 1 318.398 3.253 20 30 DGEDMN CC(N=Nc1ccccc1S(C)(=O)=O)c1ccc(F)c(F)c1 ZINC000731932997 574562922 /nfs/dbraw/zinc/56/29/22/574562922.db2.gz ICNFJNNUFUDRAK-UHFFFAOYSA-N 0 1 324.352 3.204 20 30 DGEDMN C#CCOc1ccc(CNCc2cccnc2OC(C)C)cc1 ZINC000733324674 574615815 /nfs/dbraw/zinc/61/58/15/574615815.db2.gz RUWBKKWEOGYURF-UHFFFAOYSA-N 0 1 310.397 3.171 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2cccc3c2OCCO3)cc1 ZINC000733331726 574616989 /nfs/dbraw/zinc/61/69/89/574616989.db2.gz RCHHWZHTARHGIG-CQSZACIVSA-N 0 1 324.380 3.038 20 30 DGEDMN COCCOc1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000733749895 574636396 /nfs/dbraw/zinc/63/63/96/574636396.db2.gz DVGGEFYVQUKSHX-UHFFFAOYSA-N 0 1 322.368 3.101 20 30 DGEDMN CC(=O)Nc1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000733748348 574636559 /nfs/dbraw/zinc/63/65/59/574636559.db2.gz RZIDZQPCMYBHCU-UHFFFAOYSA-N 0 1 305.341 3.034 20 30 DGEDMN C#CCN(CCc1nc2ccccc2n1C)Cc1ccc(F)cc1 ZINC000733898168 574641841 /nfs/dbraw/zinc/64/18/41/574641841.db2.gz YQPPLYLTGXFKLA-UHFFFAOYSA-N 0 1 321.399 3.390 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCc2ccc(CC)cc2)c1 ZINC000734483932 574662193 /nfs/dbraw/zinc/66/21/93/574662193.db2.gz MUPBELCNKCKSTL-UHFFFAOYSA-N 0 1 320.436 3.343 20 30 DGEDMN Cc1ccc(OCC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000735791453 574714956 /nfs/dbraw/zinc/71/49/56/574714956.db2.gz YWUAEQVXUVNZAQ-OAHLLOKOSA-N 0 1 319.364 3.435 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CSc3ccncc3)[nH]c21 ZINC000735788297 574714979 /nfs/dbraw/zinc/71/49/79/574714979.db2.gz DDOKJBFFKSVHBC-ZDUSSCGKSA-N 0 1 322.393 3.235 20 30 DGEDMN Cc1nc(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cs1 ZINC000735790785 574715015 /nfs/dbraw/zinc/71/50/15/574715015.db2.gz QAVNGNOLZANROE-GFCCVEGCSA-N 0 1 310.382 3.055 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC(C)(C)CC2)o1 ZINC000735939798 574721745 /nfs/dbraw/zinc/72/17/45/574721745.db2.gz TUMPIFVAGUYXMS-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCc2ccc(C)cc2)c1 ZINC000736308656 574741894 /nfs/dbraw/zinc/74/18/94/574741894.db2.gz LPVYAPIFINCFTH-UHFFFAOYSA-N 0 1 306.409 3.089 20 30 DGEDMN c1ccc(C(CC2CC2)N=Nc2ccc(-c3nn[nH]n3)cc2)cc1 ZINC000738427984 574868879 /nfs/dbraw/zinc/86/88/79/574868879.db2.gz ZWBKZCVCAXQYFX-UHFFFAOYSA-N 0 1 318.384 3.483 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3cccc(F)c3)C2)cc1 ZINC000739105323 574892195 /nfs/dbraw/zinc/89/21/95/574892195.db2.gz KGLCMPSXEKSCCZ-SJLPKXTDSA-N 0 1 324.355 3.313 20 30 DGEDMN COc1ccc(CN=Nc2ccnc(N(C)C)n2)c2ccccc12 ZINC000739418029 574902486 /nfs/dbraw/zinc/90/24/86/574902486.db2.gz JOBWVAINCWUGME-UHFFFAOYSA-N 0 1 321.384 3.150 20 30 DGEDMN CC(C)CN(CCC#N)CCCOc1ccc([N+](=O)[O-])cc1 ZINC000739914322 574915616 /nfs/dbraw/zinc/91/56/16/574915616.db2.gz IJEYBDNLDYMCNJ-UHFFFAOYSA-N 0 1 305.378 3.235 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC2CCC[C@H]2c2ccc(F)cc2)n1 ZINC000741160224 574952582 /nfs/dbraw/zinc/95/25/82/574952582.db2.gz VDSPKGAITGLISD-ZDUSSCGKSA-N 0 1 300.337 3.365 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccc2ccccc2n1 ZINC000741455079 574958275 /nfs/dbraw/zinc/95/82/75/574958275.db2.gz HSVPXHOLKQVIQQ-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN CC(=NNc1cc(Cl)nc(C)n1)c1cccc([N+](=O)[O-])c1 ZINC000741766353 574969547 /nfs/dbraw/zinc/96/95/47/574969547.db2.gz RBMRMIUQQWLJSN-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN CCC(=O)Nc1cccc(CNCc2ccc(OC)c(C#N)c2)c1 ZINC000742367491 574992611 /nfs/dbraw/zinc/99/26/11/574992611.db2.gz XCDUQZJIQITKND-UHFFFAOYSA-N 0 1 323.396 3.205 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2c[nH]nc2-c2cccs2)c1 ZINC000742381673 574994453 /nfs/dbraw/zinc/99/44/53/574994453.db2.gz CBWVAORYUIMXEK-UHFFFAOYSA-N 0 1 312.373 3.439 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc2c(c1)COC2 ZINC000743438894 575051255 /nfs/dbraw/zinc/05/12/55/575051255.db2.gz XRLOUMFCTJFLOU-UHFFFAOYSA-N 0 1 306.369 3.440 20 30 DGEDMN Cc1cc(N=NC2COc3cc(Cl)cc(Cl)c32)ncn1 ZINC000743441103 575051265 /nfs/dbraw/zinc/05/12/65/575051265.db2.gz BZCBXFKIQZDXEC-UHFFFAOYSA-N 0 1 309.156 3.300 20 30 DGEDMN COc1cc(CN=Nc2cccc(F)c2F)ccc1OCC#N ZINC000743443039 575051882 /nfs/dbraw/zinc/05/18/82/575051882.db2.gz NVVBIJKJUACIDJ-UHFFFAOYSA-N 0 1 317.295 3.322 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC2(C1)CCOCC2 ZINC000743872771 575067448 /nfs/dbraw/zinc/06/74/48/575067448.db2.gz CGFWZQRGNWITNT-UHFFFAOYSA-N 0 1 320.820 3.245 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc(-c3cnn(C)c3)c2)n1 ZINC000744010779 575068470 /nfs/dbraw/zinc/06/84/70/575068470.db2.gz OXYBBMKCJBVOQV-HNNXBMFYSA-N 0 1 322.393 3.342 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@H]2CCCC[C@H]21)C1CCCCC1 ZINC000744212631 575078558 /nfs/dbraw/zinc/07/85/58/575078558.db2.gz ZZYFXQHDHACKJA-IEBWSBKVSA-N 0 1 316.489 3.436 20 30 DGEDMN CN(C)c1ccc(C=NNCCCOc2ccccc2)s1 ZINC000729147830 575220297 /nfs/dbraw/zinc/22/02/97/575220297.db2.gz LLQGRXBYIBJGCK-UHFFFAOYSA-N 0 1 303.431 3.207 20 30 DGEDMN CC[C@H]1CCCCCN1Cc1nc2ccccc2c(=O)n1CC#N ZINC000744403955 575340198 /nfs/dbraw/zinc/34/01/98/575340198.db2.gz BXWWHSZLGJSMGV-HNNXBMFYSA-N 0 1 324.428 3.075 20 30 DGEDMN C#CCN(CC#CC)CCC(=O)Nc1cc(Cl)ccc1Cl ZINC000744410604 575340735 /nfs/dbraw/zinc/34/07/35/575340735.db2.gz PDWXCYOJTBNZBO-UHFFFAOYSA-N 0 1 323.223 3.281 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1ccc2c(c1)NC(=O)CO2 ZINC000744539562 575348524 /nfs/dbraw/zinc/34/85/24/575348524.db2.gz NTYYLAABSKEMNO-UHFFFAOYSA-N 0 1 317.295 3.132 20 30 DGEDMN FC(F)(F)c1ccc(N=NCc2cnc3ccccc3n2)nc1 ZINC000744661295 575351523 /nfs/dbraw/zinc/35/15/23/575351523.db2.gz BFFDZOYWSJPNBH-UHFFFAOYSA-N 0 1 317.274 3.490 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)C[C@@H]1CC1(Cl)Cl ZINC000746659320 575468055 /nfs/dbraw/zinc/46/80/55/575468055.db2.gz NOYISOCKMBFVBN-AWEZNQCLSA-N 0 1 313.228 3.200 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1scnc1C ZINC000746682057 575469729 /nfs/dbraw/zinc/46/97/29/575469729.db2.gz PHOOIQAKOMBAKO-UHFFFAOYSA-N 0 1 306.347 3.204 20 30 DGEDMN N#Cc1ccc(F)c(COC(=O)c2c(F)cc(O)cc2F)c1 ZINC000746985588 575488260 /nfs/dbraw/zinc/48/82/60/575488260.db2.gz FUAUQUQRNQNUIJ-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194155 575504814 /nfs/dbraw/zinc/50/48/14/575504814.db2.gz VOPYVHUYUXNXEE-DHCBQETCSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194155 575504819 /nfs/dbraw/zinc/50/48/19/575504819.db2.gz VOPYVHUYUXNXEE-DHCBQETCSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)=C(O)C=CC2CCOCC2)n1 ZINC000747194155 575504823 /nfs/dbraw/zinc/50/48/23/575504823.db2.gz VOPYVHUYUXNXEE-DHCBQETCSA-N 0 1 304.415 3.426 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C2CCCC2)cc1 ZINC000750687215 575745739 /nfs/dbraw/zinc/74/57/39/575745739.db2.gz LXKJBOBRMNNHBO-BLLLJJGKSA-N 0 1 314.385 3.169 20 30 DGEDMN O=C(Cc1[nH]nc2ccccc21)OCC#Cc1ccc(Cl)cc1 ZINC000750882842 575757717 /nfs/dbraw/zinc/75/77/17/575757717.db2.gz BIAJCWKKYRHNSD-UHFFFAOYSA-N 0 1 324.767 3.354 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000751151559 575771836 /nfs/dbraw/zinc/77/18/36/575771836.db2.gz HWZWBSOYKNOLPA-ZAZJYDDPSA-N 0 1 316.788 3.424 20 30 DGEDMN C#CCCSc1nc(-c2ccc(Br)cc2)n[nH]1 ZINC000751756965 575803553 /nfs/dbraw/zinc/80/35/53/575803553.db2.gz UDWOVFGZXYRVDB-UHFFFAOYSA-N 0 1 308.204 3.350 20 30 DGEDMN CN(C)c1nccc(N=NCc2cnc(-c3ccccc3)s2)n1 ZINC000739418257 575914468 /nfs/dbraw/zinc/91/44/68/575914468.db2.gz LODQCYFMKAAXJY-UHFFFAOYSA-N 0 1 324.413 3.112 20 30 DGEDMN COC(=O)c1ccc(C=NNc2cccc(C(F)(F)F)n2)cc1 ZINC000739422293 575915035 /nfs/dbraw/zinc/91/50/35/575915035.db2.gz TUZUBENROGTEEJ-UHFFFAOYSA-N 0 1 323.274 3.333 20 30 DGEDMN CSCC[C@@H](C)N(C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126650567 575916346 /nfs/dbraw/zinc/91/63/46/575916346.db2.gz BFNHKTWNJCMPLE-OAHLLOKOSA-N 0 1 319.474 3.007 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=CC=Cc1ccccc1 ZINC000725860083 575935901 /nfs/dbraw/zinc/93/59/01/575935901.db2.gz WQGXHPOYHISXEH-ODGAGTQYSA-N 0 1 307.378 3.233 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN(C)CC(C)(C)C)cc1 ZINC000726971192 576089613 /nfs/dbraw/zinc/08/96/13/576089613.db2.gz ABHXIEUUPCLCAR-UHFFFAOYSA-N 0 1 301.434 3.220 20 30 DGEDMN C[C@H]1COC2(CCCC2)CN1Cc1ccc(OCC#N)cc1 ZINC000852638885 620636776 /nfs/dbraw/zinc/63/67/76/620636776.db2.gz PZAHZQQQTYWWEC-HNNXBMFYSA-N 0 1 300.402 3.122 20 30 DGEDMN C#CCCN(CC#Cc1ccc(C(F)(F)F)cc1)CCOC ZINC000852752056 620646265 /nfs/dbraw/zinc/64/62/65/620646265.db2.gz NLRYRCCZIUPQEC-UHFFFAOYSA-N 0 1 309.331 3.029 20 30 DGEDMN C#CCCN(CCOC)Cc1c(C)cc(C)c(C(C)=O)c1C ZINC000852753137 620646320 /nfs/dbraw/zinc/64/63/20/620646320.db2.gz YTIXOEBHRSAKLD-UHFFFAOYSA-N 0 1 301.430 3.286 20 30 DGEDMN C=CCN(CC(=O)N1CCC(Cc2ccccc2)CC1)C(C)C ZINC000917070845 620655242 /nfs/dbraw/zinc/65/52/42/620655242.db2.gz HNDMXFKDOKJIMF-UHFFFAOYSA-N 0 1 314.473 3.364 20 30 DGEDMN COc1cccc(O)c1/C=N/C[C@H]1CCN1Cc1ccccc1 ZINC000852997653 620658490 /nfs/dbraw/zinc/65/84/90/620658490.db2.gz AINVBJLKYSIGKH-RBYWHNRPSA-N 0 1 310.397 3.094 20 30 DGEDMN C=CCN(CC(=O)N(Cc1ccc(C)cc1)C1CC1)C(C)C ZINC000917168120 620659235 /nfs/dbraw/zinc/65/92/35/620659235.db2.gz NZXZCWHGXXETKS-UHFFFAOYSA-N 0 1 300.446 3.382 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CC[C@@](C)(C(=O)[O-])C2)cc1Cl ZINC000263494548 604674097 /nfs/dbraw/zinc/67/40/97/604674097.db2.gz JKRBORZOYCQBCS-MRXNPFEDSA-N 0 1 309.793 3.201 20 30 DGEDMN C=CCCC(=O)O[C@@H](CN(C)C)c1cc(F)c(F)c(F)c1 ZINC000853211531 620677295 /nfs/dbraw/zinc/67/72/95/620677295.db2.gz AYTAWMVLOCPREM-ZDUSSCGKSA-N 0 1 301.308 3.216 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC[C@@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000853254225 620682312 /nfs/dbraw/zinc/68/23/12/620682312.db2.gz NGUOXGNGAVOYFU-NXEZZACHSA-N 0 1 302.300 3.257 20 30 DGEDMN CC(C)n1ccnc1CN=Nc1cncc(Br)c1 ZINC000853326374 620686645 /nfs/dbraw/zinc/68/66/45/620686645.db2.gz ZENCYKHMBGQMFJ-UHFFFAOYSA-N 0 1 308.183 3.068 20 30 DGEDMN Cn1cc(C=NNc2ccc([N+](=O)[O-])cc2F)c(C(C)(C)C)n1 ZINC000853396291 620692082 /nfs/dbraw/zinc/69/20/82/620692082.db2.gz DKPYWSBQHFNCLD-UHFFFAOYSA-N 0 1 319.340 3.211 20 30 DGEDMN Fc1ccc(C(C[C@@H]2CCCO2)N=Nc2ccncc2F)cc1 ZINC000853403533 620693184 /nfs/dbraw/zinc/69/31/84/620693184.db2.gz ZNHUYXHUAPMYEO-AWEZNQCLSA-N 0 1 317.339 3.167 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@H](c2cccc(F)c2)CC1=O ZINC000853597642 620714223 /nfs/dbraw/zinc/71/42/23/620714223.db2.gz CULMLFULRVSDCT-NXHRZFHOSA-N 0 1 314.404 3.282 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@@H](c2cccc(F)c2)CC1=O ZINC000853597643 620714587 /nfs/dbraw/zinc/71/45/87/620714587.db2.gz CULMLFULRVSDCT-RYQLBKOJSA-N 0 1 314.404 3.282 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@H](c2ccccc2F)CC1=O ZINC000853598134 620714504 /nfs/dbraw/zinc/71/45/04/620714504.db2.gz LOGWROUZVPANBK-OAGGEKHMSA-N 0 1 314.404 3.282 20 30 DGEDMN C=CC[C@@H](CO)N[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926152804 617794375 /nfs/dbraw/zinc/79/43/75/617794375.db2.gz KUHWMOCKZFGBQL-WPRPVWTQSA-N 0 1 308.731 3.341 20 30 DGEDMN N#Cc1cc(CN(CCCO)[C@@H]2CCc3ccccc32)cs1 ZINC000892042260 617950474 /nfs/dbraw/zinc/95/04/74/617950474.db2.gz NCXPAXWSUJOIEK-GOSISDBHSA-N 0 1 312.438 3.492 20 30 DGEDMN Oc1ccc2c(c1)CCC2N=Nc1cncc(Br)c1 ZINC000780235587 617997574 /nfs/dbraw/zinc/99/75/74/617997574.db2.gz HMZDEAVITPIHRV-UHFFFAOYSA-N 0 1 318.174 3.312 20 30 DGEDMN N#CC(C(=O)CC[C@@H]1CCc2ccccc21)C(=O)NC1CCCC1 ZINC000892530304 618100350 /nfs/dbraw/zinc/10/03/50/618100350.db2.gz MXSNTNBJAIFFCQ-MAUKXSAKSA-N 0 1 324.424 3.264 20 30 DGEDMN COc1cc(C)cc2c1OCCC2=NNc1nc2ccccc2[nH]1 ZINC000920362160 620797342 /nfs/dbraw/zinc/79/73/42/620797342.db2.gz OGMZSNGGPLVRKR-UHFFFAOYSA-N 0 1 322.368 3.479 20 30 DGEDMN Oc1cc2c(cc1CN=Nc1nccc3sccc31)OCO2 ZINC000920374992 620797817 /nfs/dbraw/zinc/79/78/17/620797817.db2.gz NZJYIDDFOYXBRC-UHFFFAOYSA-N 0 1 313.338 3.177 20 30 DGEDMN C=CCCCCCCCNCc1nc(Br)nn1C ZINC000894789639 618454625 /nfs/dbraw/zinc/45/46/25/618454625.db2.gz HVDAEHCZFWZXTC-UHFFFAOYSA-N 0 1 315.259 3.194 20 30 DGEDMN CSc1ccc(CN(C)C[C@@H](O)c2cccc(C#N)c2)o1 ZINC000895133267 618547312 /nfs/dbraw/zinc/54/73/12/618547312.db2.gz HXDJUIDYXBYGEU-OAHLLOKOSA-N 0 1 302.399 3.039 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(C(F)(F)F)nc2N(C)C)C1 ZINC000895201935 618574272 /nfs/dbraw/zinc/57/42/72/618574272.db2.gz HVPZXYCUOGYORZ-GFCCVEGCSA-N 0 1 311.351 3.012 20 30 DGEDMN COC(=O)c1[nH]c2ccccc2c1CN1CCC[C@@H](CC#N)C1 ZINC000895228154 618577797 /nfs/dbraw/zinc/57/77/97/618577797.db2.gz IXPNLGUYGAKCNF-ZDUSSCGKSA-N 0 1 311.385 3.080 20 30 DGEDMN N#C[C@@H]1CCC[C@H](NCC(=O)Nc2cccc3ccccc32)C1 ZINC000895488638 618790558 /nfs/dbraw/zinc/79/05/58/618790558.db2.gz RSXGFRCGECXAKU-ZBFHGGJFSA-N 0 1 307.397 3.450 20 30 DGEDMN C[C@H](CC(C)(C)C#N)NCC(=O)Nc1cccc2cccnc21 ZINC000895960405 618853505 /nfs/dbraw/zinc/85/35/05/618853505.db2.gz CNFKAGTZJGAHRI-CYBMUJFWSA-N 0 1 310.401 3.091 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1nc(-c2cccc(OC)c2)cs1 ZINC000896034827 618864590 /nfs/dbraw/zinc/86/45/90/618864590.db2.gz HIRVFWQBWKRSAA-CQSZACIVSA-N 0 1 318.442 3.235 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H](c2ccc(C)o2)N2CCCC2)cc1 ZINC000896064905 618869691 /nfs/dbraw/zinc/86/96/91/618869691.db2.gz KBZFNTZIWVNVML-GOSISDBHSA-N 0 1 322.408 3.136 20 30 DGEDMN COc1ncc(C(C)=NNc2nc3ccccc3[nH]2)cc1Cl ZINC000788953957 625302980 /nfs/dbraw/zinc/30/29/80/625302980.db2.gz GSFPLDVWFVXIFK-UHFFFAOYSA-N 0 1 315.764 3.456 20 30 DGEDMN C=C(C)COc1cc(CN2CCO[C@H](C)CC2)ccc1OC ZINC000896584359 618930679 /nfs/dbraw/zinc/93/06/79/618930679.db2.gz NYXOZQFJPJHLKK-OAHLLOKOSA-N 0 1 305.418 3.261 20 30 DGEDMN CC[C@H](CNCc1cc(C#N)cnc1Cl)C(=O)OC(C)(C)C ZINC000896631487 618937319 /nfs/dbraw/zinc/93/73/19/618937319.db2.gz YFKODXZRTJDZOS-GFCCVEGCSA-N 0 1 323.824 3.064 20 30 DGEDMN CO[C@@H]1COCC[C@H]1C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840706859 618975425 /nfs/dbraw/zinc/97/54/25/618975425.db2.gz LQOZIAJDMOWNCD-VXTSIUFBSA-N 0 1 318.200 3.169 20 30 DGEDMN Cc1cc(F)c(CN[C@@H](C)CC2(C#N)CCOCC2)c(F)c1 ZINC000897212682 619029842 /nfs/dbraw/zinc/02/98/42/619029842.db2.gz HLCAHURWXORQDL-ZDUSSCGKSA-N 0 1 308.372 3.462 20 30 DGEDMN Cc1cccc(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)c1O ZINC000897595650 619164330 /nfs/dbraw/zinc/16/43/30/619164330.db2.gz DZTZUJHAPHXBNR-GOSISDBHSA-N 0 1 308.381 3.146 20 30 DGEDMN Cc1cc(Cl)cc(CN2CCC3(CC2)CC(=O)C=CO3)c1 ZINC000897599265 619165107 /nfs/dbraw/zinc/16/51/07/619165107.db2.gz HPVFRUQVAFGCNG-UHFFFAOYSA-N 0 1 305.805 3.486 20 30 DGEDMN COCCOc1cccc(CN(C)Cc2cc(C#N)cs2)c1 ZINC000897626024 619168281 /nfs/dbraw/zinc/16/82/81/619168281.db2.gz UCTRQVDGCWTCIH-UHFFFAOYSA-N 0 1 316.426 3.277 20 30 DGEDMN C[C@@H](NCc1ccc(N(C)CCC#N)cc1)c1cncs1 ZINC000897933879 619194522 /nfs/dbraw/zinc/19/45/22/619194522.db2.gz AGJUCTZYKSTKKH-CYBMUJFWSA-N 0 1 300.431 3.344 20 30 DGEDMN COc1cc(CN[C@H](C)c2cnn(CC3CCC3)c2)ccc1C#N ZINC000898182768 619223958 /nfs/dbraw/zinc/22/39/58/619223958.db2.gz WBJRLJYWBSZBAD-CQSZACIVSA-N 0 1 324.428 3.414 20 30 DGEDMN C[C@@H](C(=O)NCC(F)(C(F)(F)F)C(F)(F)F)C(F)(F)F ZINC000899096264 619311304 /nfs/dbraw/zinc/31/13/04/619311304.db2.gz TVVQOIFLVYWEON-VKHMYHEASA-N 0 1 323.130 3.134 20 30 DGEDMN N#CCCCCCC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC000899023780 619306952 /nfs/dbraw/zinc/30/69/52/619306952.db2.gz BUXBEDAZHDGGCU-UHFFFAOYSA-N 0 1 322.412 3.337 20 30 DGEDMN O=C(C=Cc1ccc2nc[nH]c2c1)c1cnn(CC2CCC2)c1 ZINC000899421853 619340038 /nfs/dbraw/zinc/34/00/38/619340038.db2.gz JDUMQHKHWJRSSN-ALCCZGGFSA-N 0 1 306.369 3.456 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)c1 ZINC000900562019 619436583 /nfs/dbraw/zinc/43/65/83/619436583.db2.gz KJTCNWLZFYGCEZ-ACJLOTCBSA-N 0 1 307.397 3.110 20 30 DGEDMN Cc1ccc(Cn2cc(CN(C(C)C)C(C)C)nn2)cc1C#N ZINC000900543863 619436665 /nfs/dbraw/zinc/43/66/65/619436665.db2.gz CJSLNHKDVASGBQ-UHFFFAOYSA-N 0 1 311.433 3.125 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000901037470 619468284 /nfs/dbraw/zinc/46/82/84/619468284.db2.gz BPWHTSUPOSYJOX-DIFFPNOSSA-N 0 1 323.400 3.018 20 30 DGEDMN CC(=NNc1nc2ccncc2s1)c1ccnn1C1CCC1 ZINC000901271621 619482555 /nfs/dbraw/zinc/48/25/55/619482555.db2.gz PCQJHMYFZDVDDF-UHFFFAOYSA-N 0 1 312.402 3.449 20 30 DGEDMN C[C@H]1CC(NN=C2CC(C)(C)Oc3ccc(N)cc32)C[C@H](C)O1 ZINC000901304238 619483152 /nfs/dbraw/zinc/48/31/52/619483152.db2.gz ROLOEWVBPLZYEU-RYUDHWBXSA-N 0 1 317.433 3.080 20 30 DGEDMN C=CCOc1ccccc1C[N@@H+]1CCC[C@@H](CCC(=O)[O-])C1 ZINC000901553954 619514969 /nfs/dbraw/zinc/51/49/69/619514969.db2.gz SRAWKSKVLKRBGQ-HNNXBMFYSA-N 0 1 303.402 3.328 20 30 DGEDMN C=CCOc1ccccc1C[N@H+]1CC2(CCC2)[C@@](F)(C(=O)[O-])C1 ZINC000901914549 619560248 /nfs/dbraw/zinc/56/02/48/619560248.db2.gz IHFOCGQXIJEPTA-SFHVURJKSA-N 0 1 319.376 3.030 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCCCCC1(C#N)CCC1 ZINC000902705109 619664586 /nfs/dbraw/zinc/66/45/86/619664586.db2.gz SILCWPLBWCZLTG-OAHLLOKOSA-N 0 1 306.454 3.223 20 30 DGEDMN C[C@H](NCc1ccc(N2CCCC2)cc1)c1cc(C#N)ccn1 ZINC000902741900 619668935 /nfs/dbraw/zinc/66/89/35/619668935.db2.gz HGXLAONZMDBHFU-HNNXBMFYSA-N 0 1 306.413 3.404 20 30 DGEDMN C[C@H](NCCOc1ccccc1Cl)c1cc(C#N)ccn1 ZINC000902886902 619688400 /nfs/dbraw/zinc/68/84/00/619688400.db2.gz VXWKNCJGCMOFPH-LBPRGKRZSA-N 0 1 301.777 3.336 20 30 DGEDMN CC(=NNCCc1ccccn1)c1[nH]c(-c2ccccc2)nc1C ZINC000789103222 625363826 /nfs/dbraw/zinc/36/38/26/625363826.db2.gz PZTCNYOZIWMTQS-UHFFFAOYSA-N 0 1 319.412 3.336 20 30 DGEDMN C[C@@H](NC[C@@H]1COC2(CCCCCC2)O1)c1cc(C#N)ccn1 ZINC000902926621 619694107 /nfs/dbraw/zinc/69/41/07/619694107.db2.gz MSKMJPUZSUFXRV-GDBMZVCRSA-N 0 1 315.417 3.070 20 30 DGEDMN C[C@H](NC[C@@H]1COC2(CCCCCC2)O1)c1cc(C#N)ccn1 ZINC000902926627 619694173 /nfs/dbraw/zinc/69/41/73/619694173.db2.gz MSKMJPUZSUFXRV-GOEBONIOSA-N 0 1 315.417 3.070 20 30 DGEDMN C[C@@H](NCC1(C#N)CCC1)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000903035734 619705065 /nfs/dbraw/zinc/70/50/65/619705065.db2.gz ULOKSXMNWGXGNU-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN C[C@@H](NCC1(C#N)CCC1)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000903035732 619705255 /nfs/dbraw/zinc/70/52/55/619705255.db2.gz ULOKSXMNWGXGNU-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN N#Cc1ccc(Cn2cc(N[C@H]3CCCc4cn[nH]c43)cn2)cc1 ZINC000903076758 619711852 /nfs/dbraw/zinc/71/18/52/619711852.db2.gz BPOHWNXKODTRDD-KRWDZBQOSA-N 0 1 318.384 3.016 20 30 DGEDMN C[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1cccc(C#N)c1[O-] ZINC000903266020 619748869 /nfs/dbraw/zinc/74/88/69/619748869.db2.gz JMETUQHABSHSIQ-CXMBCZLWSA-N 0 1 312.344 3.386 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)c1ccc(C#N)cc1 ZINC000903636745 619793036 /nfs/dbraw/zinc/79/30/36/619793036.db2.gz NHSFRFIHRAJZDX-UHFFFAOYSA-N 0 1 323.396 3.414 20 30 DGEDMN C#CCC1(C(=O)Nc2cnn(-c3ccncc3)c2)CCCCC1 ZINC000788201190 619822417 /nfs/dbraw/zinc/82/24/17/619822417.db2.gz VBPZLMXGZZSEEO-UHFFFAOYSA-N 0 1 308.385 3.180 20 30 DGEDMN O=C([C@H]1CCCc2[nH]ncc21)N(O)CCCCc1ccccc1 ZINC000904197074 619896572 /nfs/dbraw/zinc/89/65/72/619896572.db2.gz QKILRDBMSVJQER-HNNXBMFYSA-N 0 1 313.401 3.070 20 30 DGEDMN CCN(C)c1ccc(/C=C/C(=O)c2cccc(CN(C)C)c2)cn1 ZINC000905078180 619967944 /nfs/dbraw/zinc/96/79/44/619967944.db2.gz MYKFVKKZWVYSNG-PKNBQFBNSA-N 0 1 323.440 3.495 20 30 DGEDMN CCn1nc(C)c(/C=C/C(=O)c2cccc(CN(C)C)c2)c1C ZINC000905078831 619967950 /nfs/dbraw/zinc/96/79/50/619967950.db2.gz WVLWOQSDAXFLBP-ZHACJKMWSA-N 0 1 311.429 3.478 20 30 DGEDMN COc1cc(/C=C/C(=O)c2cccc(CN(C)C)c2)sn1 ZINC000905077944 619968052 /nfs/dbraw/zinc/96/80/52/619968052.db2.gz JQLFKAWILKWKDD-BQYQJAHWSA-N 0 1 302.399 3.109 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cnc(Cl)n2C)c1 ZINC000905078090 619968112 /nfs/dbraw/zinc/96/81/12/619968112.db2.gz KNTAMOYNPGNOKH-FPLPWBNLSA-N 0 1 303.793 3.031 20 30 DGEDMN CC[C@@](O)(CNCc1ccc(SC)c(C#N)c1)C(F)(F)F ZINC000905463912 620001395 /nfs/dbraw/zinc/00/13/95/620001395.db2.gz AVULAMVFCTZRIF-CYBMUJFWSA-N 0 1 318.364 3.073 20 30 DGEDMN CCOCc1nc(C)cc(N=NC(C)c2ccc(N)cc2C)n1 ZINC000905501948 620002492 /nfs/dbraw/zinc/00/24/92/620002492.db2.gz VNIAMHHDASXWQB-UHFFFAOYSA-N 0 1 313.405 3.048 20 30 DGEDMN Cc1nc(NC(=O)c2ccc(CN3CCCCC3)o2)ccc1C#N ZINC000905883672 620038235 /nfs/dbraw/zinc/03/82/35/620038235.db2.gz USUUTTGHADYCCV-UHFFFAOYSA-N 0 1 324.384 3.093 20 30 DGEDMN C[N@H+]1CCCC[C@H]1CCn1[n-]c(C(C)(C)C)c(CCC#N)c1=O ZINC000906498407 620101003 /nfs/dbraw/zinc/10/10/03/620101003.db2.gz AMRKOHOOJLRVST-AWEZNQCLSA-N 0 1 318.465 3.217 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CC[C@@H](c2[nH]ncc2N)C1)c1ccccc1 ZINC000907420456 620156360 /nfs/dbraw/zinc/15/63/60/620156360.db2.gz UMRXWAMGNVIDJK-HZPDHXFCSA-N 0 1 324.428 3.058 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1cccc2[nH]c(C)nc21 ZINC000908663146 620216817 /nfs/dbraw/zinc/21/68/17/620216817.db2.gz RVJZMDZUVZEHJG-HNNXBMFYSA-N 0 1 311.385 3.011 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1cc(CCCCC)[nH]n1 ZINC000908667072 620216986 /nfs/dbraw/zinc/21/69/86/620216986.db2.gz WRDVBUSLQMNINS-INIZCTEOSA-N 0 1 317.433 3.282 20 30 DGEDMN C#CCSCC(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000908851916 620228427 /nfs/dbraw/zinc/22/84/27/620228427.db2.gz RUJRGEACLJZZBG-JTQLQIEISA-N 0 1 321.833 3.102 20 30 DGEDMN O=C(C#CC1CC1)Nc1c(C2CC2)n[nH]c1-c1cccc(F)c1 ZINC000909714843 620253009 /nfs/dbraw/zinc/25/30/09/620253009.db2.gz CVJNHTZHINJGPM-UHFFFAOYSA-N 0 1 309.344 3.445 20 30 DGEDMN Cn1cc(CCC(=O)Nc2cc(C#N)ccc2O)c2ccccc21 ZINC000151135018 620424223 /nfs/dbraw/zinc/42/42/23/620424223.db2.gz SJKCHGAHNIPEJY-UHFFFAOYSA-N 0 1 319.364 3.327 20 30 DGEDMN Cc1ccc2c(Cl)c(C(=O)NCC#CCN(C)C)sc2c1 ZINC000913518147 620447506 /nfs/dbraw/zinc/44/75/06/620447506.db2.gz GCWXOFORCRBODM-UHFFFAOYSA-N 0 1 320.845 3.158 20 30 DGEDMN O=[N+]([O-])c1ccc(Cl)c(C=NNc2cncc(Cl)n2)c1 ZINC000914556990 620541432 /nfs/dbraw/zinc/54/14/32/620541432.db2.gz KQKARGPAPOPRLO-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2nc3ccccc3o2)nc(C)n1 ZINC000915103410 620568139 /nfs/dbraw/zinc/56/81/39/620568139.db2.gz SBDQWUIKUUOOOZ-ZDUSSCGKSA-N 0 1 320.352 3.044 20 30 DGEDMN CC(=NNc1nc(C)cc(=O)[nH]1)c1[nH]c(-c2ccccc2)nc1C ZINC000915110297 620568196 /nfs/dbraw/zinc/56/81/96/620568196.db2.gz PTCABVGPZDCDJC-UHFFFAOYSA-N 0 1 322.372 3.025 20 30 DGEDMN CC(=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1)c1scnc1C ZINC000915112178 620568203 /nfs/dbraw/zinc/56/82/03/620568203.db2.gz XNGMLYVBPUKFGE-UHFFFAOYSA-N 0 1 307.329 3.442 20 30 DGEDMN COc1cc(C=NNc2cc(C)nc(C)n2)ccc1OC(F)F ZINC000915970962 620609828 /nfs/dbraw/zinc/60/98/28/620609828.db2.gz VYIRHLPGDQKOGW-UHFFFAOYSA-N 0 1 322.315 3.149 20 30 DGEDMN Cc1cc(N=NCc2cc(Br)cs2)nc(C)n1 ZINC000915970455 620610067 /nfs/dbraw/zinc/61/00/67/620610067.db2.gz CXEIHLVJDCYLPZ-UHFFFAOYSA-N 0 1 311.208 3.363 20 30 DGEDMN Fc1ccc2c(c1)C(=NNCCN1CCCCC1)CCS2 ZINC000915973916 620610485 /nfs/dbraw/zinc/61/04/85/620610485.db2.gz OMNYLWXNQSWLRS-UHFFFAOYSA-N 0 1 307.438 3.101 20 30 DGEDMN Cc1ccc(C=NNc2ncnc3sccc32)cc1[N+](=O)[O-] ZINC000916367876 620627077 /nfs/dbraw/zinc/62/70/77/620627077.db2.gz AEMWPLUWEXUCRK-UHFFFAOYSA-N 0 1 313.342 3.354 20 30 DGEDMN COc1ccc2c(c1)CCN(Cc1ccc(OCC#N)cc1)C2 ZINC000852550446 620628539 /nfs/dbraw/zinc/62/85/39/620628539.db2.gz HWOZKFWNDZXOHY-UHFFFAOYSA-N 0 1 308.381 3.156 20 30 DGEDMN C[C@@H]1CCCC[C@H]1CC(=O)[C@H](C#N)C(=O)NC1CCCCC1 ZINC000920790053 620822910 /nfs/dbraw/zinc/82/29/10/620822910.db2.gz NAHINDKYJLYOQN-YCPHGPKFSA-N 0 1 304.434 3.361 20 30 DGEDMN CCN(CC)[C@H](C/N=C\c1c(O)cccc1OC)c1ccco1 ZINC000921260556 620846100 /nfs/dbraw/zinc/84/61/00/620846100.db2.gz AZHUBONVOHIOII-VUFYJBQKSA-N 0 1 316.401 3.496 20 30 DGEDMN Cn1c(C=NNc2cc(F)c(F)cc2F)nc2ccccc21 ZINC000755730264 620889455 /nfs/dbraw/zinc/88/94/55/620889455.db2.gz HINBNGRSUZVVQT-UHFFFAOYSA-N 0 1 304.275 3.437 20 30 DGEDMN CC[C@H](C#N)Oc1cc(CNCc2ccco2)ccc1OC ZINC000113347775 620905190 /nfs/dbraw/zinc/90/51/90/620905190.db2.gz YXODMJJOQSZMNO-CQSZACIVSA-N 0 1 300.358 3.259 20 30 DGEDMN C[C@@H](NCc1csc(C#N)c1)c1ccc([S@](C)=O)cc1 ZINC000921931291 620969848 /nfs/dbraw/zinc/96/98/48/620969848.db2.gz FDZLTSAZXVKAEI-GIPWTMENSA-N 0 1 304.440 3.208 20 30 DGEDMN C=CCCOCCNCc1cc(C#N)ccc1Br ZINC000921937412 620970205 /nfs/dbraw/zinc/97/02/05/620970205.db2.gz BSOMQVXGTRIGBX-UHFFFAOYSA-N 0 1 309.207 3.003 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2ccnnc2)cc(OC)c1OC ZINC000925355332 621002130 /nfs/dbraw/zinc/00/21/30/621002130.db2.gz FIWPFVFOJIPSSS-CYBMUJFWSA-N 0 1 313.401 3.073 20 30 DGEDMN C[C@@H]1CN(C(C)(C)C/N=C/c2cc(Cl)ccc2O)C[C@H](C)O1 ZINC000011115517 621006691 /nfs/dbraw/zinc/00/66/91/621006691.db2.gz DBNWBSZCHRQYEP-KWAVKNKRSA-N 0 1 324.852 3.352 20 30 DGEDMN CC(C)[C@@H](O)[C@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000922260783 621014045 /nfs/dbraw/zinc/01/40/45/621014045.db2.gz MQOJFILTYVEYCN-IAGOWNOFSA-N 0 1 300.427 3.468 20 30 DGEDMN C#CCOCCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856777053 621020315 /nfs/dbraw/zinc/02/03/15/621020315.db2.gz OUTRTEDRAQJBHN-SECBINFHSA-N 0 1 306.715 3.054 20 30 DGEDMN N#CC(C(=O)[C@H]1CC(=O)c2ccccc21)c1nc2ccccc2[nH]1 ZINC000789336488 625461227 /nfs/dbraw/zinc/46/12/27/625461227.db2.gz NUJOAEGOUOWYCO-KBPBESRZSA-N 0 1 315.332 3.109 20 30 DGEDMN COCCOc1ccccc1CNCc1ccc(C)c(C#N)c1 ZINC000922759823 621095303 /nfs/dbraw/zinc/09/53/03/621095303.db2.gz VHVNRTQZFBTHDF-UHFFFAOYSA-N 0 1 310.397 3.182 20 30 DGEDMN C#CCC1(NCc2ccc(OC)c(O)c2Br)CCC1 ZINC000922998478 621145505 /nfs/dbraw/zinc/14/55/05/621145505.db2.gz UPGXBXRQXSYKIQ-UHFFFAOYSA-N 0 1 324.218 3.199 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000858299356 621172796 /nfs/dbraw/zinc/17/27/96/621172796.db2.gz UPHVCMSZMHVXKC-SUMWQHHRSA-N 0 1 305.805 3.009 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000858299354 621172875 /nfs/dbraw/zinc/17/28/75/621172875.db2.gz UPHVCMSZMHVXKC-DYVFJYSZSA-N 0 1 305.805 3.009 20 30 DGEDMN C[C@@H](NCc1ccc(N(C)CCC#N)cc1)c1ccns1 ZINC000858317548 621175197 /nfs/dbraw/zinc/17/51/97/621175197.db2.gz TWDGGGXDZLQYGE-CYBMUJFWSA-N 0 1 300.431 3.344 20 30 DGEDMN C#CC[C@H](CCOC)NCc1csc(-c2ccccc2)n1 ZINC000858333302 621176877 /nfs/dbraw/zinc/17/68/77/621176877.db2.gz BQKLXADKZVCUQT-OAHLLOKOSA-N 0 1 300.427 3.328 20 30 DGEDMN C#CC[C@@H](COC)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000858334537 621176952 /nfs/dbraw/zinc/17/69/52/621176952.db2.gz BBRGRPVOJVTZKJ-LBPRGKRZSA-N 0 1 305.324 3.353 20 30 DGEDMN C#CC[C@@H](CCOC)Nc1nccc2cc(OC)c(OC)cc21 ZINC000858858858 621226615 /nfs/dbraw/zinc/22/66/15/621226615.db2.gz SQAXNAVPJKWMGP-AWEZNQCLSA-N 0 1 314.385 3.092 20 30 DGEDMN C[C@@H](CN(C)Cc1ccc(F)c(C#N)c1)NC(=O)OC(C)(C)C ZINC000860093491 621365374 /nfs/dbraw/zinc/36/53/74/621365374.db2.gz CDEQGLXOJBPHFK-LBPRGKRZSA-N 0 1 321.396 3.042 20 30 DGEDMN N#CCOc1ccc(CN2CCc3c(CC#N)cccc3C2)cc1 ZINC000860106267 621367550 /nfs/dbraw/zinc/36/75/50/621367550.db2.gz CMCOSIDQHQBYPV-UHFFFAOYSA-N 0 1 317.392 3.213 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CC1 ZINC000860452338 621406149 /nfs/dbraw/zinc/40/61/49/621406149.db2.gz WPWGDKDDEHUJHP-XHDPSFHLSA-N 0 1 300.383 3.288 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000860533921 621415668 /nfs/dbraw/zinc/41/56/68/621415668.db2.gz ZHVQRLMDTXKMIO-IAQYHMDHSA-N 0 1 318.373 3.427 20 30 DGEDMN Cc1cc(N=NCc2cnc(-c3ccncc3)s2)nc(C)n1 ZINC000753163099 621429184 /nfs/dbraw/zinc/42/91/84/621429184.db2.gz NHSAJOUKDSNPGA-UHFFFAOYSA-N 0 1 310.386 3.058 20 30 DGEDMN C#CCOCCN[C@H](c1ccc(F)cc1)c1ccc(OC)cc1 ZINC000753770933 621464852 /nfs/dbraw/zinc/46/48/52/621464852.db2.gz SVAQKVKAMIBPLE-LJQANCHMSA-N 0 1 313.372 3.163 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(F)c(Cl)c1 ZINC000753938747 621472742 /nfs/dbraw/zinc/47/27/42/621472742.db2.gz MGYOOMZJYNXVMQ-OKILXGFUSA-N 0 1 308.788 3.063 20 30 DGEDMN C#CCN(Cc1ccnc(N(C)C)c1)[C@@H]1CCc2ccccc21 ZINC000754016833 621480090 /nfs/dbraw/zinc/48/00/90/621480090.db2.gz YXHZHMFRRYHNTP-LJQANCHMSA-N 0 1 305.425 3.270 20 30 DGEDMN C#C[C@H](CC)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000754357369 621504944 /nfs/dbraw/zinc/50/49/44/621504944.db2.gz KEJXHZRAVBWLKS-HXUWFJFHSA-N 0 1 320.436 3.460 20 30 DGEDMN CC[C@@H](C)NN=C(C)c1cc(Br)cc(F)c1O ZINC000790183479 621508608 /nfs/dbraw/zinc/50/86/08/621508608.db2.gz SHRJCSSNLRALTG-SSDOTTSWSA-N 0 1 303.175 3.406 20 30 DGEDMN CCCCC=[NH+]n1c(SCC(=O)[O-])nnc1-c1ccoc1C ZINC000755598057 621580081 /nfs/dbraw/zinc/58/00/81/621580081.db2.gz BOHIWRCBADGGRJ-UHFFFAOYSA-N 0 1 322.390 3.047 20 30 DGEDMN CCCn1nc(C)c(C=NNc2nc3ccccc3n2C)c1C ZINC000755648907 621583689 /nfs/dbraw/zinc/58/36/89/621583689.db2.gz RVNOEKFWUIMRAC-UHFFFAOYSA-N 0 1 310.405 3.243 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc(Br)ccc2OC)C1 ZINC000862443654 621590883 /nfs/dbraw/zinc/59/08/83/621590883.db2.gz RQUUYBCZBIFGJN-LBPRGKRZSA-N 0 1 308.219 3.303 20 30 DGEDMN CCc1nc(CN=Nc2ccc(OC)cc2[N+](=O)[O-])cs1 ZINC000755800701 621594745 /nfs/dbraw/zinc/59/47/45/621594745.db2.gz ABWJOVBXGSXNNN-UHFFFAOYSA-N 0 1 306.347 3.068 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2ccccc2)[C@H](C(C)C)C1 ZINC000862690772 621609715 /nfs/dbraw/zinc/60/97/15/621609715.db2.gz LPMRKRGXUJSQDW-IBGZPJMESA-N 0 1 312.457 3.159 20 30 DGEDMN FC(F)(F)c1sccc1C=NNCCN1CCCCC1 ZINC000863081156 621637980 /nfs/dbraw/zinc/63/79/80/621637980.db2.gz ZVIWEVMDRNXINJ-UHFFFAOYSA-N 0 1 305.369 3.176 20 30 DGEDMN CN(Cc1cccs1)c1ccc(C=NNCC(C)(C)O)cc1 ZINC000863136937 621642179 /nfs/dbraw/zinc/64/21/79/621642179.db2.gz PQDOASJAQZMADF-UHFFFAOYSA-N 0 1 317.458 3.079 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)CCCc1sccc1C ZINC000756543311 621646338 /nfs/dbraw/zinc/64/63/38/621646338.db2.gz PUBAIZPFFUBAEO-HNNXBMFYSA-N 0 1 305.443 3.020 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@@H](C)c1ccc(F)cc1F ZINC000756541526 621646701 /nfs/dbraw/zinc/64/67/01/621646701.db2.gz CIIKXIBMNLTHHE-UKRRQHHQSA-N 0 1 321.367 3.099 20 30 DGEDMN c1ccc2c(c1)NCCCC2=NNC[C@@H]1COc2ccccc2O1 ZINC000863199362 621646814 /nfs/dbraw/zinc/64/68/14/621646814.db2.gz XVDHHOJLQMXACR-CQSZACIVSA-N 0 1 323.396 3.026 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1c[nH]c2cc(C)ccc12 ZINC000756554332 621647871 /nfs/dbraw/zinc/64/78/71/621647871.db2.gz XYBAGRAOXDDWEY-QGZVFWFLSA-N 0 1 324.424 3.050 20 30 DGEDMN CCC(=NNc1ccncc1Cl)c1ccc2c(c1)OCCO2 ZINC000756842568 621667512 /nfs/dbraw/zinc/66/75/12/621667512.db2.gz VHJVSJLJWBGFFG-UHFFFAOYSA-N 0 1 317.776 3.154 20 30 DGEDMN CCOc1ccc(/C=N/[C@@H]2CN(C)Cc3ccccc32)c(O)c1 ZINC000756840732 621667637 /nfs/dbraw/zinc/66/76/37/621667637.db2.gz GWVUMEBKPSNWSI-JLWFYQMASA-N 0 1 310.397 3.397 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2cccc(C#N)c2)c(OC)c1 ZINC000756902597 621671594 /nfs/dbraw/zinc/67/15/94/621671594.db2.gz SVIVTSRLEALTEZ-UHFFFAOYSA-N 0 1 323.352 3.190 20 30 DGEDMN C#CCN(CC(=O)N(Cc1ccccc1)C(C)C)C1CCCC1 ZINC000756910875 621672492 /nfs/dbraw/zinc/67/24/92/621672492.db2.gz DGWKDUVDMUKHNE-UHFFFAOYSA-N 0 1 312.457 3.301 20 30 DGEDMN O=C(/C=C/c1nccn1Cc1ccccc1)c1ccc(O)cc1O ZINC000757471413 621714958 /nfs/dbraw/zinc/71/49/58/621714958.db2.gz DPCOKKADDOEHJL-CMDGGOBGSA-N 0 1 320.348 3.239 20 30 DGEDMN Clc1ccc(N=NCc2cn3cccnc3n2)c(Cl)c1 ZINC000757564386 621724775 /nfs/dbraw/zinc/72/47/75/621724775.db2.gz SMLBGJJTGAHABK-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN C=CCOCC[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000757637180 621730165 /nfs/dbraw/zinc/73/01/65/621730165.db2.gz GFSCVHKUITVCQV-SNVBAGLBSA-N 0 1 300.196 3.008 20 30 DGEDMN FC(F)(F)c1cnc(N=NC2CN3CCC2CC3)c(Cl)c1 ZINC000864372776 621761770 /nfs/dbraw/zinc/76/17/70/621761770.db2.gz WOHPYWIFGHGFBZ-UHFFFAOYSA-N 0 1 318.730 3.247 20 30 DGEDMN Cc1ccn(CN2CCC[C@@H]2CCc2ccccc2)c(=O)c1C#N ZINC000758193611 621765133 /nfs/dbraw/zinc/76/51/33/621765133.db2.gz VCMNNOHKWZXFJW-GOSISDBHSA-N 0 1 321.424 3.083 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H]2CCCc3[nH]ncc32)cc1 ZINC000758201097 621765798 /nfs/dbraw/zinc/76/57/98/621765798.db2.gz LJTAAQCYVHBHML-OAHLLOKOSA-N 0 1 308.385 3.085 20 30 DGEDMN C#CCCCC(=O)OCc1nc2ccc(Br)cc2[nH]1 ZINC000759175528 621831929 /nfs/dbraw/zinc/83/19/29/621831929.db2.gz FEHDZQRLOCKPKN-UHFFFAOYSA-N 0 1 321.174 3.172 20 30 DGEDMN COc1cc(F)cc(NN=Cc2cc(-c3cccnc3)[nH]n2)c1 ZINC000759210168 621837132 /nfs/dbraw/zinc/83/71/32/621837132.db2.gz ONDRCNHVDSMRRS-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCc3cccnc3)[nH]c21 ZINC000759365987 621850153 /nfs/dbraw/zinc/85/01/53/621850153.db2.gz ISKCSHUCFGMATD-AWEZNQCLSA-N 0 1 304.353 3.075 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C2(c3ccc(F)cc3)CCC2)c1 ZINC000759716735 621885481 /nfs/dbraw/zinc/88/54/81/621885481.db2.gz BXCJEOMMEBPUDU-UHFFFAOYSA-N 0 1 310.328 3.463 20 30 DGEDMN Cc1cccc(C(NCC(=O)NCCC#N)c2cccc(C)c2)c1 ZINC000759778325 621889652 /nfs/dbraw/zinc/88/96/52/621889652.db2.gz UZRWLBBXJFQDDJ-UHFFFAOYSA-N 0 1 321.424 3.012 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@@H]1CC12CCC2 ZINC000759834461 621894441 /nfs/dbraw/zinc/89/44/41/621894441.db2.gz PUQXWKWNFZYPBY-MNOVXSKESA-N 0 1 320.751 3.317 20 30 DGEDMN C#CCN(CC#C)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000759910485 621901291 /nfs/dbraw/zinc/90/12/91/621901291.db2.gz IGTBHIFVIXDEDK-UHFFFAOYSA-N 0 1 319.389 3.000 20 30 DGEDMN CCOc1ccccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865426226 621918560 /nfs/dbraw/zinc/91/85/60/621918560.db2.gz HTWABPTXAQPILY-UHFFFAOYSA-N 0 1 309.413 3.313 20 30 DGEDMN CCOc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1 ZINC000865430882 621918856 /nfs/dbraw/zinc/91/88/56/621918856.db2.gz TUZYAOAYPSAVOE-UHFFFAOYSA-N 0 1 309.413 3.313 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3ncccc3C)[nH]c21 ZINC000760218932 621922000 /nfs/dbraw/zinc/92/20/00/621922000.db2.gz NXYRHSKZHPDGNB-MYSGNRETSA-N 0 1 316.364 3.464 20 30 DGEDMN CC(=NNc1cc(C)ccn1)c1cnn(Cc2ccccc2)c1 ZINC000760259950 621925406 /nfs/dbraw/zinc/92/54/06/621925406.db2.gz JSPOVGBXSDITOS-UHFFFAOYSA-N 0 1 305.385 3.471 20 30 DGEDMN N#Cc1ccc2[nH]cc(C=NNc3cnnc4ccccc43)c2c1 ZINC000760259300 621925446 /nfs/dbraw/zinc/92/54/46/621925446.db2.gz UGSUFUDPFJNMTA-UHFFFAOYSA-N 0 1 312.336 3.429 20 30 DGEDMN N#C[C@H](C(=O)C1(Cc2ccc(Cl)cc2)CC1)c1ccncn1 ZINC000760334528 621932764 /nfs/dbraw/zinc/93/27/64/621932764.db2.gz PDFBHZZEYNVFSH-AWEZNQCLSA-N 0 1 311.772 3.329 20 30 DGEDMN CC(C)(Oc1ccc(Cl)cc1)C(=O)C(C#N)c1ccncn1 ZINC000760342896 621933757 /nfs/dbraw/zinc/93/37/57/621933757.db2.gz YSVJKQZXQYBDJX-ZDUSSCGKSA-N 0 1 315.760 3.164 20 30 DGEDMN N#C[C@@H](C(=O)Cc1c[nH]c2ccc(Cl)cc12)c1ccncn1 ZINC000760347638 621934412 /nfs/dbraw/zinc/93/44/12/621934412.db2.gz WXOLLTMBICTDQX-CYBMUJFWSA-N 0 1 310.744 3.030 20 30 DGEDMN C=C(Br)CN(CC)[C@@H]1CCN(Cc2ccccc2)C1 ZINC000760865767 621969526 /nfs/dbraw/zinc/96/95/26/621969526.db2.gz HGOXZCMEQFJQBY-MRXNPFEDSA-N 0 1 323.278 3.491 20 30 DGEDMN Cc1nc(CNC2CCN(c3ccccc3C#N)CC2)cs1 ZINC000865696426 621979404 /nfs/dbraw/zinc/97/94/04/621979404.db2.gz CWCPLMSMSSORSQ-UHFFFAOYSA-N 0 1 312.442 3.082 20 30 DGEDMN Clc1oc2ccccc2c1C=NNCCN1CCCCC1 ZINC000761260551 621997499 /nfs/dbraw/zinc/99/74/99/621997499.db2.gz FJAKDNRLKTZMCP-UHFFFAOYSA-N 0 1 305.809 3.496 20 30 DGEDMN N#Cc1c(F)ccc(C=NNc2ccc(Cl)nn2)c1Cl ZINC000761742646 622027399 /nfs/dbraw/zinc/02/73/99/622027399.db2.gz BVPHYOZNKDYWPA-UHFFFAOYSA-N 0 1 310.119 3.240 20 30 DGEDMN Cc1cc(N=NCc2ccc(F)c(C#N)c2Cl)nc(C)n1 ZINC000761782043 622029200 /nfs/dbraw/zinc/02/92/00/622029200.db2.gz IYXIDYRUESPXPB-UHFFFAOYSA-N 0 1 303.728 3.204 20 30 DGEDMN C[C@H]1CC(N=Nc2ncc(C(F)(F)F)cc2Cl)CN1C ZINC000761795262 622029925 /nfs/dbraw/zinc/02/99/25/622029925.db2.gz YSCFTMZYCBJOFF-ZETCQYMHSA-N 0 1 306.719 3.246 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CN1C ZINC000761792824 622030102 /nfs/dbraw/zinc/03/01/02/622030102.db2.gz HFIPDKFWUKXBQV-QMMMGPOBSA-N 0 1 316.283 3.106 20 30 DGEDMN Cc1cc(C)c([N-][NH+]=C2c3ccc(N(C)C)cc3NC2=O)c(C)c1 ZINC000761809743 622030588 /nfs/dbraw/zinc/03/05/88/622030588.db2.gz KIMNAMYPXQPZDL-UHFFFAOYSA-N 0 1 322.412 3.446 20 30 DGEDMN Cn1cc(N=NC2CCc3ccc(OC(F)(F)F)cc32)cn1 ZINC000761865600 622035645 /nfs/dbraw/zinc/03/56/45/622035645.db2.gz AIOBNEGEDOQNFG-UHFFFAOYSA-N 0 1 310.279 3.081 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000762022683 622047050 /nfs/dbraw/zinc/04/70/50/622047050.db2.gz CKQLCZFXHKSWNZ-TZMCWYRMSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1nc2ccc(C(=O)Nc3cc(C#N)ccc3O)cc2s1 ZINC000762192166 622061635 /nfs/dbraw/zinc/06/16/35/622061635.db2.gz SZHXENDELWLSTJ-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN CC(C)CN1CCC([NH2+][C@H](C)c2cccc(C#N)c2[O-])CC1 ZINC000866227395 622070339 /nfs/dbraw/zinc/07/03/39/622070339.db2.gz ZPLKPVKCQDWXRR-CQSZACIVSA-N 0 1 301.434 3.035 20 30 DGEDMN N#Cc1cccc(COC(=O)c2ccc(O)c(Cl)c2)c1F ZINC000762827619 622102502 /nfs/dbraw/zinc/10/25/02/622102502.db2.gz CGCSLBPBWQCDGZ-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN CCOc1cccc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)n1 ZINC000763163258 622127270 /nfs/dbraw/zinc/12/72/70/622127270.db2.gz HQBHYSBUHYSFLY-UHFFFAOYSA-N 0 1 317.299 3.081 20 30 DGEDMN CCOc1cccc(C=NNc2nc3cc(F)c(F)cc3[nH]2)n1 ZINC000763163258 622127274 /nfs/dbraw/zinc/12/72/74/622127274.db2.gz HQBHYSBUHYSFLY-UHFFFAOYSA-N 0 1 317.299 3.081 20 30 DGEDMN CN(Cc1ccc(F)cc1F)C[C@H](O)c1ccc(C#N)cc1 ZINC000763232128 622131961 /nfs/dbraw/zinc/13/19/61/622131961.db2.gz FFNOHQVTVYMLFG-KRWDZBQOSA-N 0 1 302.324 3.002 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc3c(s2)-c2ccccc2CC3)NO1 ZINC000763260090 622133840 /nfs/dbraw/zinc/13/38/40/622133840.db2.gz PBLRPKVAZNLATP-JTQLQIEISA-N 0 1 312.394 3.366 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000866586931 622142612 /nfs/dbraw/zinc/14/26/12/622142612.db2.gz FNDQBFXGBGSCMM-MRXNPFEDSA-N 0 1 316.408 3.313 20 30 DGEDMN C(=NN=c1nc[nH]c2sccc21)c1ccn(-c2ccccc2)n1 ZINC000766691290 622347236 /nfs/dbraw/zinc/34/72/36/622347236.db2.gz UQXXQVNFRJOCKH-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN C=C(C)CNC(=S)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000766704709 622347408 /nfs/dbraw/zinc/34/74/08/622347408.db2.gz MTXZIGAPEXZATF-HZPDHXFCSA-N 0 1 317.502 3.032 20 30 DGEDMN c1cn(-c2ccccc2)nc1CN=Nc1cnnc2ccccc12 ZINC000766704995 622347772 /nfs/dbraw/zinc/34/77/72/622347772.db2.gz NWCPPUGSQVPKBM-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN C[C@H]1CC(=NOCC(F)(F)F)CN(Cc2ccccc2)C1 ZINC000767388692 622395610 /nfs/dbraw/zinc/39/56/10/622395610.db2.gz VJXGBUJVOASCTO-LBPRGKRZSA-N 0 1 300.324 3.463 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccccc2)[C@@H](C)C2CC2)CC1 ZINC000869881248 622412768 /nfs/dbraw/zinc/41/27/68/622412768.db2.gz XYDMOUHSCSJMMO-INIZCTEOSA-N 0 1 310.441 3.163 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cnc(C2CCCC2)s1 ZINC000767776188 622421324 /nfs/dbraw/zinc/42/13/24/622421324.db2.gz MCBISWLDELOYTG-UHFFFAOYSA-N 0 1 306.479 3.210 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2cc3ccccc3o2)cc1 ZINC000767828653 622424027 /nfs/dbraw/zinc/42/40/27/622424027.db2.gz RCYPKYQSKKEKKQ-UHFFFAOYSA-N 0 1 309.369 3.336 20 30 DGEDMN COC[C@@H]1CCCC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000767950419 622430056 /nfs/dbraw/zinc/43/00/56/622430056.db2.gz UYMBDUZJRBLLLG-CQSZACIVSA-N 0 1 322.836 3.491 20 30 DGEDMN CCOC1CC2(C[C@@H]2C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000870207353 622442413 /nfs/dbraw/zinc/44/24/13/622442413.db2.gz FFXRPOYWSJKNSA-UWCWSIQASA-N 0 1 323.396 3.253 20 30 DGEDMN CCOC1CC2(C[C@H]2C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000870207350 622442531 /nfs/dbraw/zinc/44/25/31/622442531.db2.gz FFXRPOYWSJKNSA-AWTNTWDUSA-N 0 1 323.396 3.253 20 30 DGEDMN CC(C)(C)c1cc(C(=O)C(C#N)c2ccncn2)cc(Cl)n1 ZINC000870286837 622452001 /nfs/dbraw/zinc/45/20/01/622452001.db2.gz DNGRFVVTEYVHGH-LLVKDONJSA-N 0 1 314.776 3.313 20 30 DGEDMN N#CC(C(=O)CCc1ccccc1C(F)(F)F)c1ccncn1 ZINC000870288825 622452372 /nfs/dbraw/zinc/45/23/72/622452372.db2.gz YZGQSTKORIERKY-GFCCVEGCSA-N 0 1 319.286 3.304 20 30 DGEDMN CN(C)c1ccc(CN=Nc2cc(C#N)cc(Cl)n2)s1 ZINC000768374848 622452711 /nfs/dbraw/zinc/45/27/11/622452711.db2.gz LSDCBVPRPCONGL-UHFFFAOYSA-N 0 1 305.794 3.180 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NCc2cc(F)c(F)c(F)c2)c1 ZINC000768376268 622453239 /nfs/dbraw/zinc/45/32/39/622453239.db2.gz RYYMDDCHTRRMCF-UHFFFAOYSA-N 0 1 310.666 3.470 20 30 DGEDMN CCCCCCCCC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000870412800 622466129 /nfs/dbraw/zinc/46/61/29/622466129.db2.gz YWKSFBZIRYEVGS-UHFFFAOYSA-N 0 1 322.453 3.066 20 30 DGEDMN CC(C)(C(=O)OCc1cc(C2CC2)[nH]n1)c1ccc(C#N)cc1 ZINC000870466500 622471826 /nfs/dbraw/zinc/47/18/26/622471826.db2.gz WMIXPJSXUKIEPI-UHFFFAOYSA-N 0 1 309.369 3.180 20 30 DGEDMN C#CCOc1ccccc1CN(Cc1ccccc1)C[C@H](C)O ZINC000768893940 622483927 /nfs/dbraw/zinc/48/39/27/622483927.db2.gz WAYJSNUTXPKPPW-KRWDZBQOSA-N 0 1 309.409 3.082 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2CCCC[C@H]2C(F)(F)F)c1 ZINC000870690974 622497141 /nfs/dbraw/zinc/49/71/41/622497141.db2.gz IJKXQQNWTMIWRB-QWHCGFSZSA-N 0 1 312.291 3.337 20 30 DGEDMN C=CCC1(COC(=O)c2cc3[nH]cnc3cc2F)CCOCC1 ZINC000870811197 622514925 /nfs/dbraw/zinc/51/49/25/622514925.db2.gz FGFUBVFCFQTMQN-UHFFFAOYSA-N 0 1 318.348 3.232 20 30 DGEDMN C#CCCOc1ccc(CN2CC(C)(C)OCC2(C)C)cc1 ZINC000769444757 622527966 /nfs/dbraw/zinc/52/79/66/622527966.db2.gz FKVPHIMXWZQFHE-UHFFFAOYSA-N 0 1 301.430 3.478 20 30 DGEDMN CC(=O)[C@@H]1C[C@H](CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1(C)C ZINC000871071887 622543179 /nfs/dbraw/zinc/54/31/79/622543179.db2.gz GLGMOXQWUDYISK-OLZOCXBDSA-N 0 1 324.384 3.014 20 30 DGEDMN CC(C)(CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)C(F)(F)F ZINC000871072173 622543186 /nfs/dbraw/zinc/54/31/86/622543186.db2.gz IWUYCVQQWXQQST-UHFFFAOYSA-N 0 1 310.279 3.352 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3([C@@H]4CCCCO4)CCC3)[nH]c2c1 ZINC000871070998 622543251 /nfs/dbraw/zinc/54/32/51/622543251.db2.gz DWPPXZIGNHGBIN-HNNXBMFYSA-N 0 1 324.384 3.112 20 30 DGEDMN C[C@@H]1C(=O)CC[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1(C)C ZINC000871071235 622543275 /nfs/dbraw/zinc/54/32/75/622543275.db2.gz BQZDLZKFCMISFR-PWSUYJOCSA-N 0 1 324.384 3.014 20 30 DGEDMN CC[C@H](CC(F)(F)F)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871072410 622543647 /nfs/dbraw/zinc/54/36/47/622543647.db2.gz LFPNEKGDKPABHC-SECBINFHSA-N 0 1 310.279 3.352 20 30 DGEDMN CCSc1cccc(CN=Nc2ccnc(N(C)C)n2)c1 ZINC000769791527 622560699 /nfs/dbraw/zinc/56/06/99/622560699.db2.gz QAWAUXAZLPVVFM-UHFFFAOYSA-N 0 1 301.419 3.101 20 30 DGEDMN Cn1nnc2cc(CN=Nc3c(F)cccc3Cl)ccc21 ZINC000769827024 622566277 /nfs/dbraw/zinc/56/62/77/622566277.db2.gz MIQDKMOYTYPPBK-UHFFFAOYSA-N 0 1 303.728 3.207 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@H](CO)c2ccsc2)cc1 ZINC000769991606 622587268 /nfs/dbraw/zinc/58/72/68/622587268.db2.gz YXYDUNXQQSCUMC-SUMWQHHRSA-N 0 1 301.411 3.144 20 30 DGEDMN CC(=O)CCCCCCC(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000123609016 622615536 /nfs/dbraw/zinc/61/55/36/622615536.db2.gz NZUNRZKKLBEYQS-INIZCTEOSA-N 0 1 314.385 3.264 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C(C)C ZINC000770860672 622676130 /nfs/dbraw/zinc/67/61/30/622676130.db2.gz NCKAGWNYMKRJIC-QMTHXVAHSA-N 0 1 306.362 3.283 20 30 DGEDMN CN1CCN(c2ccc(/C=C/C(=O)c3ccc(F)cc3)cc2)CC1 ZINC000771519975 622770719 /nfs/dbraw/zinc/77/07/19/622770719.db2.gz DLCNBOJUHABPND-NYYWCZLTSA-N 0 1 324.399 3.474 20 30 DGEDMN Cn1cc(CNCC#Cc2ccccc2)c(-c2ccccc2)n1 ZINC000771839951 622801435 /nfs/dbraw/zinc/80/14/35/622801435.db2.gz ABWHGGBYOSQWQB-UHFFFAOYSA-N 0 1 301.393 3.228 20 30 DGEDMN Cn1nc2c(c1C=NNc1ccc(C(F)(F)F)cn1)CCCC2 ZINC000872327227 622891317 /nfs/dbraw/zinc/89/13/17/622891317.db2.gz BBOOOXDCJPPIAJ-UHFFFAOYSA-N 0 1 323.322 3.159 20 30 DGEDMN c1cc2cnccc2c(N=NC2CCCN3CCSC[C@H]23)c1 ZINC000872351228 622894073 /nfs/dbraw/zinc/89/40/73/622894073.db2.gz SMZLMEQSYRLHRE-QGZVFWFLSA-N 0 1 312.442 3.214 20 30 DGEDMN N#Cc1ccc2c(c1)CC/C(=C/c1cc(O)ccc1[N+](=O)[O-])C2=O ZINC000772803939 622942519 /nfs/dbraw/zinc/94/25/19/622942519.db2.gz AGNNJGURHATNPV-JYRVWZFOSA-N 0 1 320.304 3.385 20 30 DGEDMN O=C1c2cc(F)ccc2OC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000772805939 622943170 /nfs/dbraw/zinc/94/31/70/622943170.db2.gz JGNKGVJRTCNTQZ-YHYXMXQVSA-N 0 1 315.256 3.098 20 30 DGEDMN CCn1nc(C)c(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])c1C ZINC000772806577 622943297 /nfs/dbraw/zinc/94/32/97/622943297.db2.gz MDFCADLFULJOMJ-YVMONPNESA-N 0 1 315.329 3.030 20 30 DGEDMN O=C1c2cc(F)ccc2O/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000772807226 622943351 /nfs/dbraw/zinc/94/33/51/622943351.db2.gz QJAXORBEFBNJKS-MKMNVTDBSA-N 0 1 301.229 3.056 20 30 DGEDMN CSC1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1 ZINC000872627924 622944691 /nfs/dbraw/zinc/94/46/91/622944691.db2.gz MZRDTLLXDGKXGI-UHFFFAOYSA-N 0 1 312.442 3.207 20 30 DGEDMN Cc1cc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)cc(C#N)n1 ZINC000872647336 622947730 /nfs/dbraw/zinc/94/77/30/622947730.db2.gz CSTCXENABOYFBB-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN Oc1ccc(Cl)c(F)c1C=NNc1ccc(Cl)nn1 ZINC000790142791 625608037 /nfs/dbraw/zinc/60/80/37/625608037.db2.gz HSZHOMQOUCQLIH-UHFFFAOYSA-N 0 1 301.108 3.074 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@H](CO)c2ccc(F)cc2)cc1 ZINC000773008380 622964739 /nfs/dbraw/zinc/96/47/39/622964739.db2.gz LDIBOOAPUHQMMF-IFXJQAMLSA-N 0 1 313.372 3.222 20 30 DGEDMN CC(C)c1nccnc1N=NCc1ccc([N+](=O)[O-])cc1F ZINC000773090363 622982297 /nfs/dbraw/zinc/98/22/97/622982297.db2.gz GATVBWTVNUXPMP-UHFFFAOYSA-N 0 1 303.297 3.093 20 30 DGEDMN Cc1cccnc1N=NC(C)c1cc2c(s1)SCC(=O)N2 ZINC000790188316 625613981 /nfs/dbraw/zinc/61/39/81/625613981.db2.gz XJNVFZQTUQCQOI-UHFFFAOYSA-N 0 1 318.427 3.332 20 30 DGEDMN CN(CCCNCc1cccc(F)c1C#N)C(=O)OC(C)(C)C ZINC000873040845 623023924 /nfs/dbraw/zinc/02/39/24/623023924.db2.gz BOZNRKNFCVCDPI-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN Cc1cccnc1N=NC1CCN(CCC(F)(F)F)CC1 ZINC000790186971 625614332 /nfs/dbraw/zinc/61/43/32/625614332.db2.gz RYPGEYBSCLKFDO-UHFFFAOYSA-N 0 1 300.328 3.206 20 30 DGEDMN Cc1cccnc1N=NCc1ccc(O)c(Br)c1 ZINC000790193891 625614905 /nfs/dbraw/zinc/61/49/05/625614905.db2.gz RHWGHLVOLUAIQK-UHFFFAOYSA-N 0 1 306.163 3.304 20 30 DGEDMN Cc1cccnc1NN=C1c2ccc(Cl)c(Cl)c2NC1=O ZINC000790193597 625615138 /nfs/dbraw/zinc/61/51/38/625615138.db2.gz JDXLLYGLMVFDKH-UHFFFAOYSA-N 0 1 321.167 3.465 20 30 DGEDMN COC(=O)c1ccc2[nH]cc(C=NNc3ncccc3C)c2c1 ZINC000790193220 625615192 /nfs/dbraw/zinc/61/51/92/625615192.db2.gz YUSDHLYZUSCUHF-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN CC(C)N(C)c1ccc(C=[NH+]Nc2ccccc2C(=O)[O-])cn1 ZINC000790150386 625609155 /nfs/dbraw/zinc/60/91/55/625609155.db2.gz WFOHDEMWYAEAPH-UHFFFAOYSA-N 0 1 312.373 3.070 20 30 DGEDMN COCC1(C)CCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000773722055 623063950 /nfs/dbraw/zinc/06/39/50/623063950.db2.gz UDDWYUFHPPVPPB-UHFFFAOYSA-N 0 1 322.836 3.491 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C(C)=CC(C)(C)C)n1 ZINC000774026676 623100449 /nfs/dbraw/zinc/10/04/49/623100449.db2.gz LRALPFRGWDDFBA-ZJRUKIMVSA-N 0 1 320.414 3.488 20 30 DGEDMN C#CCCCCC(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000774286324 623127798 /nfs/dbraw/zinc/12/77/98/623127798.db2.gz FSEFCKQUKCGIKA-UHFFFAOYSA-N 0 1 302.765 3.257 20 30 DGEDMN COc1c(Br)cccc1CN=Nc1ccc(F)cn1 ZINC000774385975 623138964 /nfs/dbraw/zinc/13/89/64/623138964.db2.gz VYACZMONFPPPAS-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000873522628 623166789 /nfs/dbraw/zinc/16/67/89/623166789.db2.gz FHEHERSVYRSIPJ-JKSUJKDBSA-N 0 1 300.402 3.146 20 30 DGEDMN C#C[C@@H](NCc1cc(C)cc(Br)c1)[C@@H]1CCCO1 ZINC000775013250 623216085 /nfs/dbraw/zinc/21/60/85/623216085.db2.gz MDQVFHZJILVBJG-CABCVRRESA-N 0 1 308.219 3.028 20 30 DGEDMN C=CC[C@H](Sc1nc(C=Cc2ccc(C)o2)n[nH]1)C(=O)OC ZINC000882091104 625631056 /nfs/dbraw/zinc/63/10/56/625631056.db2.gz MDECJEONWGLEGX-BCPZQOPPSA-N 0 1 319.386 3.086 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](CC)c1ccccc1OC ZINC000775079448 623228351 /nfs/dbraw/zinc/22/83/51/623228351.db2.gz NNEUFIJVYNYDMD-INIZCTEOSA-N 0 1 304.434 3.161 20 30 DGEDMN C=CC[C@@H](Sc1nc(C=Cc2ccc(C)o2)n[nH]1)C(=O)OC ZINC000882091116 625631549 /nfs/dbraw/zinc/63/15/49/625631549.db2.gz MDECJEONWGLEGX-IDVQTMNDSA-N 0 1 319.386 3.086 20 30 DGEDMN CC(=O)c1cc(F)cc(C[NH2+][C@@H](C)c2cccc(C#N)c2)c1[O-] ZINC000775338359 623256011 /nfs/dbraw/zinc/25/60/11/623256011.db2.gz OZBXJZQVRZZNHF-NSHDSACASA-N 0 1 312.344 3.456 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(OCC(C)C)c1)[C@H]1CCCO1 ZINC000775339180 623256183 /nfs/dbraw/zinc/25/61/83/623256183.db2.gz AOWIBBCYSHTONS-IAGOWNOFSA-N 0 1 317.429 3.000 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(OCC(C)C)c1)[C@H]1CCCO1 ZINC000775339178 623256442 /nfs/dbraw/zinc/25/64/42/623256442.db2.gz AOWIBBCYSHTONS-DLBZAZTESA-N 0 1 317.429 3.000 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(O[C@H](C)CC)c1)[C@@H]1CCCO1 ZINC000775343666 623257039 /nfs/dbraw/zinc/25/70/39/623257039.db2.gz XFVFDTXLTLEUBV-PVAVHDDUSA-N 0 1 317.429 3.143 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC(F)F)c(Cl)c1)[C@H]1CCCO1 ZINC000775343273 623257454 /nfs/dbraw/zinc/25/74/54/623257454.db2.gz VTJYBYWFAWXBHE-TZMCWYRMSA-N 0 1 315.747 3.212 20 30 DGEDMN C#C[C@H](NCc1ccc(OCC=C)c(Cl)c1)[C@H]1CCCO1 ZINC000775352309 623258762 /nfs/dbraw/zinc/25/87/62/623258762.db2.gz WRZFICXJJUEEQA-DOTOQJQBSA-N 0 1 305.805 3.175 20 30 DGEDMN C#CC[C@@H](NCC(=O)NCCc1ccccc1C)c1ccccc1 ZINC000775398357 623267341 /nfs/dbraw/zinc/26/73/41/623267341.db2.gz HGYMSLXEJCZTTL-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)Cc1ccccc1C)c1ccccc1 ZINC000775397714 623268064 /nfs/dbraw/zinc/26/80/64/623268064.db2.gz AHBJIHWDSBYKJW-FQEVSTJZSA-N 0 1 320.436 3.308 20 30 DGEDMN CN1CCc2cc(CN=Nc3cccc(F)c3[N+](=O)[O-])ccc21 ZINC000790309160 625634413 /nfs/dbraw/zinc/63/44/13/625634413.db2.gz WINVSVGBSURNAR-UHFFFAOYSA-N 0 1 314.320 3.172 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1ccccc1 ZINC000775400450 623269074 /nfs/dbraw/zinc/26/90/74/623269074.db2.gz PLOKLADUDWOVHY-SJLPKXTDSA-N 0 1 300.446 3.234 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)[C@H]1CCCC[C@@H]1C)c1ccccc1 ZINC000775399785 623269118 /nfs/dbraw/zinc/26/91/18/623269118.db2.gz JQAGTOQBMUAKOY-WDSOQIARSA-N 0 1 312.457 3.378 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1cccc(C(C)=O)c1)c1ccccc1 ZINC000775401308 623269139 /nfs/dbraw/zinc/26/91/39/623269139.db2.gz ZEVDVOLRANFAAD-LJQANCHMSA-N 0 1 320.392 3.182 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cccc(OC(F)(F)F)c1)[C@H]1CCCO1 ZINC000775571389 623292089 /nfs/dbraw/zinc/29/20/89/623292089.db2.gz GMTYRWHOPIZFDF-BYCMXARLSA-N 0 1 313.319 3.417 20 30 DGEDMN N#CCc1ccc(COC(=O)c2cc(-c3ccco3)n[nH]2)cc1 ZINC000776112767 623380355 /nfs/dbraw/zinc/38/03/55/623380355.db2.gz YCPFPYHGRYFBKZ-UHFFFAOYSA-N 0 1 307.309 3.093 20 30 DGEDMN C[C@@H](N[C@@H](CO)c1cccc(F)c1)c1ccc(OCC#N)cc1 ZINC000776165194 623387357 /nfs/dbraw/zinc/38/73/57/623387357.db2.gz OFQBKFULODDOJC-ACJLOTCBSA-N 0 1 314.360 3.112 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNc2cnccc2-n2cccn2)cc1 ZINC000776258150 623402746 /nfs/dbraw/zinc/40/27/46/623402746.db2.gz SYPIDQGPRXKZNO-AWEZNQCLSA-N 0 1 319.368 3.170 20 30 DGEDMN CC(C)(C#N)CCOC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000776393366 623423475 /nfs/dbraw/zinc/42/34/75/623423475.db2.gz GCFSAOOQLHNPBL-UHFFFAOYSA-N 0 1 324.384 3.446 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(C#N)c(F)c2)cc1C#N ZINC000776745396 623458863 /nfs/dbraw/zinc/45/88/63/623458863.db2.gz FIFCRELQZGAXRZ-GFCCVEGCSA-N 0 1 309.344 3.428 20 30 DGEDMN C#CCOc1ccc([C@H](C)Nc2cnn(-c3ccncc3)c2)cc1 ZINC000777567586 623542748 /nfs/dbraw/zinc/54/27/48/623542748.db2.gz VRGPEFSEMRIOHR-HNNXBMFYSA-N 0 1 318.380 3.452 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2nncc3ccccc32)c(F)c1 ZINC000777877936 623579184 /nfs/dbraw/zinc/57/91/84/623579184.db2.gz QSONXAJMWOHPQE-UHFFFAOYSA-N 0 1 311.276 3.123 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000876321300 623584236 /nfs/dbraw/zinc/58/42/36/623584236.db2.gz ZIFIPEIGIIHIDO-LBPRGKRZSA-N 0 1 307.442 3.281 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNc1ccc2nn[nH]c2c1 ZINC000778174325 623620321 /nfs/dbraw/zinc/62/03/21/623620321.db2.gz RMZSVHQLHCRACU-UHFFFAOYSA-N 0 1 312.760 3.235 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNc1ccc2n[nH]nc2c1 ZINC000778174325 623620323 /nfs/dbraw/zinc/62/03/23/623620323.db2.gz RMZSVHQLHCRACU-UHFFFAOYSA-N 0 1 312.760 3.235 20 30 DGEDMN Cc1cccc(O)c1CN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000876511636 623630814 /nfs/dbraw/zinc/63/08/14/623630814.db2.gz QCJAFVGOVOUWKI-IBGZPJMESA-N 0 1 321.424 3.083 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)Cc1ccc(Cl)nn1 ZINC000876592342 623654174 /nfs/dbraw/zinc/65/41/74/623654174.db2.gz HWBVWETXHIMHNE-UHFFFAOYSA-N 0 1 300.793 3.414 20 30 DGEDMN CC(=NN=c1cc(Cl)[nH]c(N)n1)c1nc2ccccc2s1 ZINC000778551274 623668209 /nfs/dbraw/zinc/66/82/09/623668209.db2.gz UWECENWWNHSBQC-UHFFFAOYSA-N 0 1 318.793 3.158 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)n1 ZINC000876803997 623710150 /nfs/dbraw/zinc/71/01/50/623710150.db2.gz LOMUDSWQTHBPFF-CVEARBPZSA-N 0 1 313.426 3.103 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H](COCC)C1 ZINC000779164487 623737267 /nfs/dbraw/zinc/73/72/67/623737267.db2.gz SPKLJFVGUPRWII-AWEZNQCLSA-N 0 1 307.821 3.210 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H]2COCC[C@@H]21 ZINC000779164945 623737690 /nfs/dbraw/zinc/73/76/90/623737690.db2.gz WFRCEDZHDNADOW-PBHICJAKSA-N 0 1 319.832 3.353 20 30 DGEDMN CO[C@H](CNCc1cc(C#N)cnc1Cl)c1ccccc1 ZINC000876958975 623754977 /nfs/dbraw/zinc/75/49/77/623754977.db2.gz MRPBSDPOVLKDFX-OAHLLOKOSA-N 0 1 301.777 3.084 20 30 DGEDMN Cc1ccccc1OCCCNCc1cc(C#N)cnc1Cl ZINC000877015033 623766460 /nfs/dbraw/zinc/76/64/60/623766460.db2.gz WLEJQYLCAZXFIM-UHFFFAOYSA-N 0 1 315.804 3.474 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C(C)(C)c1cccnc1 ZINC000779456508 623772468 /nfs/dbraw/zinc/77/24/68/623772468.db2.gz KKYCTWRFMBDHCC-AWEZNQCLSA-N 0 1 318.380 3.122 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cc(OC)ns2)c1 ZINC000877046053 623775557 /nfs/dbraw/zinc/77/55/57/623775557.db2.gz HJWYMRNACQXQOQ-CYBMUJFWSA-N 0 1 317.414 3.122 20 30 DGEDMN C[C@H](CNCc1cc(C#N)cnc1Cl)Oc1cccc(F)c1 ZINC000877064500 623780893 /nfs/dbraw/zinc/78/08/93/623780893.db2.gz RJRKUFODNKILQC-LLVKDONJSA-N 0 1 319.767 3.303 20 30 DGEDMN C[C@@H](CNCc1cc(C#N)cnc1Cl)Oc1ccc(F)cc1 ZINC000877067152 623782821 /nfs/dbraw/zinc/78/28/21/623782821.db2.gz BNQVHDGPJQKYDO-NSHDSACASA-N 0 1 319.767 3.303 20 30 DGEDMN COc1cccc(N=NC2CN(Cc3ccccc3)C[C@H]2C)n1 ZINC000779817494 623814304 /nfs/dbraw/zinc/81/43/04/623814304.db2.gz PXLDSJCHSWQYRW-CQSZACIVSA-N 0 1 310.401 3.010 20 30 DGEDMN COCC[C@@H](NCc1ccc(O[C@@H](C)C#N)cc1)c1ccco1 ZINC000779996092 623846761 /nfs/dbraw/zinc/84/67/61/623846761.db2.gz YMOGHMOCXRHXID-WMLDXEAASA-N 0 1 314.385 3.438 20 30 DGEDMN Cc1ccc(N=NCc2cnn(Cc3ccc(F)cc3)c2)nc1 ZINC000780216034 623879726 /nfs/dbraw/zinc/87/97/26/623879726.db2.gz HVCOVDLLSNIKOG-UHFFFAOYSA-N 0 1 309.348 3.220 20 30 DGEDMN Fc1ccc(Cn2cc(CN=Nc3ccc(F)cn3)cn2)cc1 ZINC000780224716 623884462 /nfs/dbraw/zinc/88/44/62/623884462.db2.gz HEPSLOAFGHSWLC-UHFFFAOYSA-N 0 1 313.311 3.051 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cc2ccccc2s1 ZINC000780238664 623889016 /nfs/dbraw/zinc/88/90/16/623889016.db2.gz YTGHUOFSHMFBDO-ZIAGYGMSSA-N 0 1 312.442 3.485 20 30 DGEDMN COc1ccc(NN=C(C)c2nc3c(s2)CCCC3)nc1 ZINC000780269646 623900516 /nfs/dbraw/zinc/90/05/16/623900516.db2.gz UMSFYQMGFPIIFM-UHFFFAOYSA-N 0 1 302.403 3.262 20 30 DGEDMN COc1ccc(N=NC2C[C@H](C)N(Cc3ccccc3)C2)nc1 ZINC000780268045 623900626 /nfs/dbraw/zinc/90/06/26/623900626.db2.gz PKKHSCCKISFDLW-AWEZNQCLSA-N 0 1 310.401 3.153 20 30 DGEDMN CN1CC[C@@H](NCCC#N)C[C@@H]1c1ccc(Br)cc1 ZINC000877484122 623905874 /nfs/dbraw/zinc/90/58/74/623905874.db2.gz VXWQASCEZAQHMQ-HUUCEWRRSA-N 0 1 322.250 3.088 20 30 DGEDMN C[C@H](O)C[C@@H]1CCCCCN1Cc1cc(C#N)cnc1Cl ZINC000877517841 623920439 /nfs/dbraw/zinc/92/04/39/623920439.db2.gz QQFUESSCNZMZIQ-WFASDCNBSA-N 0 1 307.825 3.122 20 30 DGEDMN N#Cc1ccc(O)c(NS(=O)(=O)c2cccc(C(F)F)c2)c1 ZINC000780396908 623922512 /nfs/dbraw/zinc/92/25/12/623922512.db2.gz VCMGIQSDFAWAIO-UHFFFAOYSA-N 0 1 324.308 3.002 20 30 DGEDMN CC(C)OC(=O)C[C@@H](NCc1cc(C#N)cnc1Cl)C(C)C ZINC000877618710 623963474 /nfs/dbraw/zinc/96/34/74/623963474.db2.gz CQZPYBHRQXBLPL-CQSZACIVSA-N 0 1 323.824 3.063 20 30 DGEDMN CC[C@@H](NCc1cc(C#N)cnc1Cl)[C@@H](O)c1ccccc1 ZINC000877833184 624062680 /nfs/dbraw/zinc/06/26/80/624062680.db2.gz UQVUGXVEMBTXQL-CVEARBPZSA-N 0 1 315.804 3.208 20 30 DGEDMN C=CC[N@H+](Cc1ccccc1)C[C@@H]1CCC2(CCOCC2)O1 ZINC000877916945 624091622 /nfs/dbraw/zinc/09/16/22/624091622.db2.gz MNCPHZUDBKZMDN-SFHVURJKSA-N 0 1 301.430 3.403 20 30 DGEDMN C=CC[N@H+](Cc1ccccc1)C[C@H]1CC2(CO1)CCOCC2 ZINC000877917049 624092184 /nfs/dbraw/zinc/09/21/84/624092184.db2.gz NPKGTTSYFGGZKX-GOSISDBHSA-N 0 1 301.430 3.260 20 30 DGEDMN CC(=O)Nc1ccc(C(C)N=Nc2cccc([N+](=O)[O-])c2)cc1 ZINC000781571992 624095627 /nfs/dbraw/zinc/09/56/27/624095627.db2.gz OVTUCNJURMPGDC-UHFFFAOYSA-N 0 1 312.329 3.389 20 30 DGEDMN CC(=O)Nc1ccc(C(C)=NN=c2[nH]c3ccccc3n2C)cc1 ZINC000781589294 624099317 /nfs/dbraw/zinc/09/93/17/624099317.db2.gz GUSZVTFCGXYCBA-UHFFFAOYSA-N 0 1 321.384 3.368 20 30 DGEDMN O=C1c2ccccc2CN1N=Cc1cc(Cl)cc(F)c1O ZINC000781595262 624100237 /nfs/dbraw/zinc/10/02/37/624100237.db2.gz OKKSHTIZPBPVAI-UHFFFAOYSA-N 0 1 304.708 3.175 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC(C)(C)C2)c1 ZINC000781763920 624141178 /nfs/dbraw/zinc/14/11/78/624141178.db2.gz ZNOOWMYWYYZRAP-IUODEOHRSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(=O)[C@](C#N)(CC[N@@H+]1CCS[C@@H](C)[C@H]1C)c1ccccc1 ZINC000878114296 624173687 /nfs/dbraw/zinc/17/36/87/624173687.db2.gz HYKXVWVHIKYKEL-RVKKMQEKSA-N 0 1 316.470 3.253 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCS[C@@H](C)[C@H]1C)c1ccccc1 ZINC000878114296 624173689 /nfs/dbraw/zinc/17/36/89/624173689.db2.gz HYKXVWVHIKYKEL-RVKKMQEKSA-N 0 1 316.470 3.253 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H]1CC2(CO1)CCOCC2 ZINC000878159407 624193560 /nfs/dbraw/zinc/19/35/60/624193560.db2.gz KZTGHHUZEJMSJK-KRWDZBQOSA-N 0 1 308.466 3.224 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000878491744 624289769 /nfs/dbraw/zinc/28/97/69/624289769.db2.gz RUFVTBSQRVYSPL-HNAYVOBHSA-N 0 1 323.440 3.333 20 30 DGEDMN Cc1ccc(NC(=O)C[C@@H](C)NCc2ccc(C#N)cn2)c(C)c1 ZINC000783294762 624335837 /nfs/dbraw/zinc/33/58/37/624335837.db2.gz VTXCDELXKKALKL-OAHLLOKOSA-N 0 1 322.412 3.077 20 30 DGEDMN C[C@H](CN1C[C@H](C)N(CC#N)[C@@H](C)C1)c1cccc(Cl)c1 ZINC000878772123 624357023 /nfs/dbraw/zinc/35/70/23/624357023.db2.gz FYMPKFOBPOZJJP-ILXRZTDVSA-N 0 1 305.853 3.362 20 30 DGEDMN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@@H](CC#N)C1 ZINC000878886214 624380199 /nfs/dbraw/zinc/38/01/99/624380199.db2.gz CSROZORNYFRVEK-WMZOPIPTSA-N 0 1 313.445 3.362 20 30 DGEDMN CC(C)[C@@H](C(=O)O[C@@H](C)c1ccc(C#N)cc1)N1CCCC1 ZINC000783775321 624395603 /nfs/dbraw/zinc/39/56/03/624395603.db2.gz DMARERIYKIEOFB-YOEHRIQHSA-N 0 1 300.402 3.283 20 30 DGEDMN Cc1cc(COC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)ccc1C#N ZINC000783781586 624396380 /nfs/dbraw/zinc/39/63/80/624396380.db2.gz YSIPKSNQDSIBKG-KKUMJFAQSA-N 0 1 300.402 3.171 20 30 DGEDMN CC[C@@H]1CCC[C@H](C=Nn2cnnc2-n2nc(C)cc2C)C1 ZINC000783812042 624397885 /nfs/dbraw/zinc/39/78/85/624397885.db2.gz YGVKYOYCKRWVCH-CABCVRRESA-N 0 1 300.410 3.131 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC000879223135 624453756 /nfs/dbraw/zinc/45/37/56/624453756.db2.gz MOKXULBPDSYWBX-CJNGLKHVSA-N 0 1 315.417 3.046 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@@H](CCC#N)c1ccccc1 ZINC000879255853 624466636 /nfs/dbraw/zinc/46/66/36/624466636.db2.gz RNBBZLDIUDOSQY-RPVQJOFSSA-N 0 1 322.412 3.237 20 30 DGEDMN CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)[C@@H]1CCC[C@@H]1C#N ZINC000879308604 624494233 /nfs/dbraw/zinc/49/42/33/624494233.db2.gz DSAIDEOLUINGSC-BZUAXINKSA-N 0 1 321.465 3.258 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](CN2CCC[C@](C)(C#N)C2)C1 ZINC000784452923 624526653 /nfs/dbraw/zinc/52/66/53/624526653.db2.gz FTZKZTPPGHCBQI-CRAIPNDOSA-N 0 1 321.465 3.259 20 30 DGEDMN Cc1ccccc1NC(=O)[C@H](C)N(C)CCc1cccc(C#N)c1 ZINC000879434502 624546743 /nfs/dbraw/zinc/54/67/43/624546743.db2.gz KGNCGEUSDMFNSU-INIZCTEOSA-N 0 1 321.424 3.368 20 30 DGEDMN CC[C@@H](C)CCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000784833250 624585922 /nfs/dbraw/zinc/58/59/22/624585922.db2.gz MFZCHAMYVVREMA-KGLIPLIRSA-N 0 1 301.390 3.335 20 30 DGEDMN CC[C@H](C)CCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000784833243 624586118 /nfs/dbraw/zinc/58/61/18/624586118.db2.gz MFZCHAMYVVREMA-KBPBESRZSA-N 0 1 301.390 3.335 20 30 DGEDMN CCOC(=O)c1sc(C2CCN(CCCC#N)CC2)nc1C ZINC000879677837 624638276 /nfs/dbraw/zinc/63/82/76/624638276.db2.gz OVEXCNUEDAIDKI-UHFFFAOYSA-N 0 1 321.446 3.111 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@H]1CCC[N@H+]2CC1(CC#N)CC1 ZINC000879756011 624667306 /nfs/dbraw/zinc/66/73/06/624667306.db2.gz QCJVMNNNBUOKFE-HUUCEWRRSA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@H]1CCCN2CC1(CC#N)CC1 ZINC000879756011 624667310 /nfs/dbraw/zinc/66/73/10/624667310.db2.gz QCJVMNNNBUOKFE-HUUCEWRRSA-N 0 1 319.449 3.154 20 30 DGEDMN C[C@@]1(C(F)(F)F)CCN(CCOCC(F)(F)C(F)F)C1 ZINC000880003202 624748745 /nfs/dbraw/zinc/74/87/45/624748745.db2.gz BLTLEIIORAEGOW-SECBINFHSA-N 0 1 311.241 3.178 20 30 DGEDMN Cc1csc([C@H]2CCN(Cc3cc(C#N)ccc3F)C2)n1 ZINC000880006531 624750305 /nfs/dbraw/zinc/75/03/05/624750305.db2.gz PBKIAVOTZDHWPQ-ZDUSSCGKSA-N 0 1 301.390 3.452 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CC[C@H](c2nc(C)cs2)C1 ZINC000880008298 624750827 /nfs/dbraw/zinc/75/08/27/624750827.db2.gz BQAQJQDQFSZXOF-HNNXBMFYSA-N 0 1 313.426 3.321 20 30 DGEDMN N#Cc1ccc(COC(=O)c2cccc3[nH]ncc32)c(Cl)c1 ZINC000785958459 624771336 /nfs/dbraw/zinc/77/13/36/624771336.db2.gz QMPYXASINUWBQP-UHFFFAOYSA-N 0 1 311.728 3.445 20 30 DGEDMN C=CCC1(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CCCC1 ZINC000880229866 624855247 /nfs/dbraw/zinc/85/52/47/624855247.db2.gz AKFNMKKOUHTGKW-BBRMVZONSA-N 0 1 301.434 3.328 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000880250923 624869245 /nfs/dbraw/zinc/86/92/45/624869245.db2.gz WVLZIDGEOYAASM-UHFFFAOYSA-N 0 1 320.399 3.464 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786533748 624913161 /nfs/dbraw/zinc/91/31/61/624913161.db2.gz HSFFXSQSDPLSSJ-AWEZNQCLSA-N 0 1 320.820 3.491 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786546141 624918580 /nfs/dbraw/zinc/91/85/80/624918580.db2.gz HIOYNLAXZCLWPG-WFASDCNBSA-N 0 1 320.820 3.491 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786556589 624920493 /nfs/dbraw/zinc/92/04/93/624920493.db2.gz ARQOQOKZDJSQRV-ZDUSSCGKSA-N 0 1 322.355 3.116 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@]1(C)c1ccccc1 ZINC000880370920 624939125 /nfs/dbraw/zinc/93/91/25/624939125.db2.gz WSHBQICFEKUNFB-RBUKOAKNSA-N 0 1 313.445 3.052 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CCCCO3)CC2)c([N+](=O)[O-])c1 ZINC000880458097 624960269 /nfs/dbraw/zinc/96/02/69/624960269.db2.gz DLYBBEVRQHYIJV-UHFFFAOYSA-N 0 1 315.373 3.002 20 30 DGEDMN N#Cc1cc(F)ccc1COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786879836 624960873 /nfs/dbraw/zinc/96/08/73/624960873.db2.gz JANNORRHLHTCQW-QGZVFWFLSA-N 0 1 316.376 3.148 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@@H]2CCCN2C2CCCC2)c(F)c1 ZINC000786884326 624961465 /nfs/dbraw/zinc/96/14/65/624961465.db2.gz SYJRZPYHMQQGDU-KRWDZBQOSA-N 0 1 316.376 3.148 20 30 DGEDMN C#CC[C@@H](NC(=O)Nc1cc(CN(C)C)ccn1)c1ccccc1 ZINC000880599070 624985515 /nfs/dbraw/zinc/98/55/15/624985515.db2.gz SVIUJDMAKIDMSW-QGZVFWFLSA-N 0 1 322.412 3.029 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880659010 624995909 /nfs/dbraw/zinc/99/59/09/624995909.db2.gz VNUZWDBYUZJNHT-LGWLGOQQSA-N 0 1 301.434 3.327 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NN[C@@H]1CCOC1 ZINC000788439833 625171628 /nfs/dbraw/zinc/17/16/28/625171628.db2.gz NTWWMCMLYKEKSM-CZUORRHYSA-N 0 1 307.413 3.020 20 30 DGEDMN COc1cccc(NN=C(C)c2ccc(C#N)cc2Cl)n1 ZINC000788439808 625172366 /nfs/dbraw/zinc/17/23/66/625172366.db2.gz XVHDEGYEEHTICE-UHFFFAOYSA-N 0 1 300.749 3.451 20 30 DGEDMN Cc1cc2ccccc2nc1N=NCc1ccccc1C(N)=O ZINC000788726663 625251895 /nfs/dbraw/zinc/25/18/95/625251895.db2.gz YPMRMRLJGBJXSG-UHFFFAOYSA-N 0 1 304.353 3.088 20 30 DGEDMN COc1ccc(N=NCc2nc3ccc(OC)cc3s2)nc1 ZINC000788725682 625252022 /nfs/dbraw/zinc/25/20/22/625252022.db2.gz JBHUCTQTPCIOPK-UHFFFAOYSA-N 0 1 314.370 3.155 20 30 DGEDMN CCN1CC[C@H]1CNC(=O)c1ccccc1-c1ccccc1C#N ZINC000882481898 625737678 /nfs/dbraw/zinc/73/76/78/625737678.db2.gz MXDVAMRCYJACTE-INIZCTEOSA-N 0 1 319.408 3.049 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(C#N)c(F)c1)N1[C@H](C)CC[C@H]1C ZINC000791391081 625789977 /nfs/dbraw/zinc/78/99/77/625789977.db2.gz LKARKEHKTSWXLO-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN CSc1cc(C(=O)Nc2cc(C#N)ccc2O)ccc1F ZINC000129877410 625792487 /nfs/dbraw/zinc/79/24/87/625792487.db2.gz WPSMWEDTQGUPSX-UHFFFAOYSA-N 0 1 302.330 3.377 20 30 DGEDMN C=CCCc1ccc(S(=O)(=O)Nc2cnn(CC)c2C)cc1 ZINC000882770739 625812426 /nfs/dbraw/zinc/81/24/26/625812426.db2.gz YDGWONNTSHVEAI-UHFFFAOYSA-N 0 1 319.430 3.131 20 30 DGEDMN Cc1cc(C#N)cc(N2CCN([C@H](C)c3ccccc3)CC2)n1 ZINC000882810503 625824818 /nfs/dbraw/zinc/82/48/18/625824818.db2.gz QLFQEBYGCPDKAU-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2cnc3ccccc3c2)c1 ZINC000792275407 625933054 /nfs/dbraw/zinc/93/30/54/625933054.db2.gz GZFWFGIMYCFXFY-UHFFFAOYSA-N 0 1 317.348 3.383 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)c1cccc(F)c1 ZINC000796385740 626045582 /nfs/dbraw/zinc/04/55/82/626045582.db2.gz XKVOPZWJIUFXMG-GOSISDBHSA-N 0 1 319.376 3.023 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000796693453 626073952 /nfs/dbraw/zinc/07/39/52/626073952.db2.gz RHIIUNRFQKREEV-HZMBPMFUSA-N 0 1 304.346 3.037 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H]3CCC(=O)[C@@H]3C)[nH]c21 ZINC000796720177 626076031 /nfs/dbraw/zinc/07/60/31/626076031.db2.gz UFJHKYXFKNWYEK-FRRDWIJNSA-N 0 1 309.369 3.053 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2CC(C)(C)C2)cc1 ZINC000796744325 626078338 /nfs/dbraw/zinc/07/83/38/626078338.db2.gz IEYCGXKIKGAIQY-OAHLLOKOSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2coc3ccccc23)nc(C)n1 ZINC000796777869 626081539 /nfs/dbraw/zinc/08/15/39/626081539.db2.gz UPGYUBOYJJHEOR-HNNXBMFYSA-N 0 1 305.337 3.259 20 30 DGEDMN Cc1oc(C2CC2)nc1C(=O)C(C#N)C(=O)Nc1cccc(C)c1 ZINC000796790555 626083299 /nfs/dbraw/zinc/08/32/99/626083299.db2.gz RSRJOGCLTPPTOD-CQSZACIVSA-N 0 1 323.352 3.130 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000796791303 626083696 /nfs/dbraw/zinc/08/36/96/626083696.db2.gz APRHYDGWZUCQLU-OLZOCXBDSA-N 0 1 302.374 3.169 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2[C@H](C)[C@H]2c2ccccc2)nc(C)n1 ZINC000797549069 626152064 /nfs/dbraw/zinc/15/20/64/626152064.db2.gz UMNXOHJQNOBPNK-BGIURUKPSA-N 0 1 305.381 3.319 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=Cc2ccncn2)s1 ZINC000797571443 626153776 /nfs/dbraw/zinc/15/37/76/626153776.db2.gz RMRVMWLMLDPPLR-QMAVJUDZSA-N 0 1 312.398 3.120 20 30 DGEDMN C[C@@H]([NH2+]CC1(CC#N)CC1)c1cc(Br)ccc1[O-] ZINC000797586706 626154990 /nfs/dbraw/zinc/15/49/90/626154990.db2.gz KQNQUDGRICBZCK-SNVBAGLBSA-N 0 1 309.207 3.499 20 30 DGEDMN C#C[C@H](NCc1ccc(N(C)c2ccccc2)nc1)[C@H]1CCCO1 ZINC000797876167 626176014 /nfs/dbraw/zinc/17/60/14/626176014.db2.gz YFFKSILIPLMVQO-RBUKOAKNSA-N 0 1 321.424 3.120 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000797942009 626182939 /nfs/dbraw/zinc/18/29/39/626182939.db2.gz JMMCGGGZMJODBD-NWDGAFQWSA-N 0 1 322.359 3.006 20 30 DGEDMN C#Cc1cncc(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)c1 ZINC000798166927 626199194 /nfs/dbraw/zinc/19/91/94/626199194.db2.gz JSZWTBTXASCPFI-UHFFFAOYSA-N 0 1 316.364 3.459 20 30 DGEDMN Cc1cccnc1[C@@H]1CCCC(=NNCCN2CCCCC2)C1 ZINC000799108820 626272720 /nfs/dbraw/zinc/27/27/20/626272720.db2.gz LFXHTZUPHGUTEC-QGZVFWFLSA-N 0 1 314.477 3.479 20 30 DGEDMN COc1ccccc1CON=Cc1cn(-c2ccncc2)nc1C ZINC000799117594 626273639 /nfs/dbraw/zinc/27/36/39/626273639.db2.gz LGYQAAWMGZCRDL-UHFFFAOYSA-N 0 1 322.368 3.135 20 30 DGEDMN FC(F)(F)c1cc(C=NNCc2cccc3cccnc32)[nH]n1 ZINC000799476141 626303773 /nfs/dbraw/zinc/30/37/73/626303773.db2.gz XFTMQRFQBFVGIJ-UHFFFAOYSA-N 0 1 319.290 3.100 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000799719057 626324120 /nfs/dbraw/zinc/32/41/20/626324120.db2.gz FBTVWNIOFAAIJW-MWLCHTKSSA-N 0 1 312.200 3.214 20 30 DGEDMN c1cncc([C@@H]2CCCC(=NNCCN3CCCCC3)C2)c1 ZINC000799876610 626332690 /nfs/dbraw/zinc/33/26/90/626332690.db2.gz VMTUPRWYWYHGCP-MRXNPFEDSA-N 0 1 300.450 3.171 20 30 DGEDMN COc1ccc(N=NCc2cccc(OC)c2F)c([N+](=O)[O-])c1 ZINC000799882937 626333236 /nfs/dbraw/zinc/33/32/36/626333236.db2.gz JWGWYPBITOWQLC-UHFFFAOYSA-N 0 1 319.292 3.197 20 30 DGEDMN CC(C)(COCc1ccccc1)C(=O)Nc1cc(C#N)ccc1O ZINC000800525595 626366457 /nfs/dbraw/zinc/36/64/57/626366457.db2.gz ZBORSWGOVAQUKM-UHFFFAOYSA-N 0 1 324.380 3.445 20 30 DGEDMN CC(=NNc1ccccc1OC(F)(F)F)c1cn(C)c(C)n1 ZINC000800815966 626376330 /nfs/dbraw/zinc/37/63/30/626376330.db2.gz VOLCOMFMSGGDOW-UHFFFAOYSA-N 0 1 312.295 3.463 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1nc(Cl)cs1 ZINC000800818411 626376975 /nfs/dbraw/zinc/37/69/75/626376975.db2.gz WKUOJLBYOGTACO-UHFFFAOYSA-N 0 1 313.741 3.168 20 30 DGEDMN C#C[C@H](N[C@@H]1CCc2ccc(Br)cc21)[C@@H]1CCCO1 ZINC000800982094 626388057 /nfs/dbraw/zinc/38/80/57/626388057.db2.gz CLDQYZWCMKYEHQ-XHSDSOJGSA-N 0 1 320.230 3.207 20 30 DGEDMN CC(C)(C)SCCN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000801933086 626426535 /nfs/dbraw/zinc/42/65/35/626426535.db2.gz HYWJUZQKVRLWFY-KRWDZBQOSA-N 0 1 317.502 3.401 20 30 DGEDMN C=C(C)C[C@@H](CO)[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000883391125 626670563 /nfs/dbraw/zinc/67/05/63/626670563.db2.gz CRNXXTZQKYQLRN-PWSUYJOCSA-N 0 1 314.223 3.132 20 30 DGEDMN CCCCCCC[C@H](C)CNC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000806499864 626717855 /nfs/dbraw/zinc/71/78/55/626717855.db2.gz UXQDNXAXOAGCKZ-STQMWFEESA-N 0 1 309.458 3.470 20 30 DGEDMN C#CCC(CC#C)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000806839368 626736957 /nfs/dbraw/zinc/73/69/57/626736957.db2.gz GBELXQVBFQSHNG-LLVKDONJSA-N 0 1 313.788 3.056 20 30 DGEDMN CC(=NNc1ccccc1[N+](=O)[O-])c1cnnn1-c1ccccc1 ZINC000807943967 626779122 /nfs/dbraw/zinc/77/91/22/626779122.db2.gz UENJRQPDAPTATN-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2nccnc2C2CCC2)c(F)c1 ZINC000807992905 626784168 /nfs/dbraw/zinc/78/41/68/626784168.db2.gz QAERGWYLXHCAEH-UHFFFAOYSA-N 0 1 315.308 3.237 20 30 DGEDMN N#CC(C(=O)Cc1cccc(OC(F)(F)F)c1)c1ccccn1 ZINC000134088559 626855379 /nfs/dbraw/zinc/85/53/79/626855379.db2.gz PXRREIOERQFYBV-CYBMUJFWSA-N 0 1 320.270 3.399 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)[C@@H](N)Cc2cc3ccccc3o2)C1 ZINC000884401751 626876247 /nfs/dbraw/zinc/87/62/47/626876247.db2.gz ZYJLEZCSJVOHMV-PBHICJAKSA-N 0 1 312.413 3.117 20 30 DGEDMN Cn1nc2c(c1C=NNc1c(F)cccc1Cl)CSCC2 ZINC000884450786 626881808 /nfs/dbraw/zinc/88/18/08/626881808.db2.gz SQAIOZGYWTYQFL-UHFFFAOYSA-N 0 1 324.812 3.448 20 30 DGEDMN Cc1cc(N2CC[C@@](O)(CC#N)C2)c2ccc(C)c(Cl)c2n1 ZINC000884542489 626899683 /nfs/dbraw/zinc/89/96/83/626899683.db2.gz FJVFKUKBQJFAEK-KRWDZBQOSA-N 0 1 315.804 3.360 20 30 DGEDMN C#Cc1ccc(CN2CCO[C@]3(CCc4ccccc43)C2)cc1 ZINC000809581287 626922509 /nfs/dbraw/zinc/92/25/09/626922509.db2.gz KRLXZHPDGMXBCA-OAQYLSRUSA-N 0 1 303.405 3.342 20 30 DGEDMN C#Cc1ccc(CN2CCN(C(C)=O)C3(CCCCC3)C2)cc1 ZINC000809592898 626924287 /nfs/dbraw/zinc/92/42/87/626924287.db2.gz KGPUSFLKSDIELL-UHFFFAOYSA-N 0 1 310.441 3.035 20 30 DGEDMN CC(=O)c1ccc(C#N)c(N2CCC(c3nc(C)c(C)[nH]3)CC2)c1 ZINC000884707465 626932439 /nfs/dbraw/zinc/93/24/39/626932439.db2.gz MVJWGTPYAYXASJ-UHFFFAOYSA-N 0 1 322.412 3.485 20 30 DGEDMN C#C[C@@H](C)NCc1cccc(Br)c1OC(F)F ZINC000809683674 626935370 /nfs/dbraw/zinc/93/53/70/626935370.db2.gz JNDWEBJHEMFBMA-MRVPVSSYSA-N 0 1 304.134 3.162 20 30 DGEDMN C#C[C@@H](C)NCc1c(C)nn(Cc2ccccc2Cl)c1C ZINC000809683624 626935606 /nfs/dbraw/zinc/93/56/06/626935606.db2.gz GICJOMWJVVXDSJ-GFCCVEGCSA-N 0 1 301.821 3.313 20 30 DGEDMN C#C[C@H](CC)NCc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000809686447 626936022 /nfs/dbraw/zinc/93/60/22/626936022.db2.gz SEQKJWCKZBGNLE-GFCCVEGCSA-N 0 1 314.341 3.365 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccccc1N1CCOCC1 ZINC000809717338 626940610 /nfs/dbraw/zinc/94/06/10/626940610.db2.gz GHRIDUHOIBIBNF-UHFFFAOYSA-N 0 1 320.436 3.159 20 30 DGEDMN Cn1c(CN[C@@H]2CCc3ccc(C#N)cc32)nc2cc(F)ccc21 ZINC000810225596 626990377 /nfs/dbraw/zinc/99/03/77/626990377.db2.gz HUQUGRMOYKLPQR-MRXNPFEDSA-N 0 1 320.371 3.361 20 30 DGEDMN COc1cc(CN[C@@H]2CCc3nc(Cl)ccc32)ccc1C#N ZINC000810251188 626992690 /nfs/dbraw/zinc/99/26/90/626992690.db2.gz UTXDKSLKRJGLNZ-CQSZACIVSA-N 0 1 313.788 3.392 20 30 DGEDMN C[C@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1cccc(C#N)c1 ZINC000810568935 627017852 /nfs/dbraw/zinc/01/78/52/627017852.db2.gz SAPSLYWMOLFBIH-SCLBCKFNSA-N 0 1 318.392 3.375 20 30 DGEDMN C#Cc1ccccc1CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000810590525 627020545 /nfs/dbraw/zinc/02/05/45/627020545.db2.gz OFKWKRJGSNIWPJ-INIZCTEOSA-N 0 1 313.360 3.272 20 30 DGEDMN C#Cc1ccccc1CC(=O)Nc1[nH]nc(-c2ccc(C)o2)c1C ZINC000810645139 627031047 /nfs/dbraw/zinc/03/10/47/627031047.db2.gz SKLGCVZHCQTIRG-UHFFFAOYSA-N 0 1 319.364 3.449 20 30 DGEDMN CC(=Cc1ccc(Cl)cc1)C(=O)[C@H](C#N)C(=O)N1CCCC1 ZINC000136379182 627063031 /nfs/dbraw/zinc/06/30/31/627063031.db2.gz WVNLPYCYGWPHFD-WQTVUKCASA-N 0 1 316.788 3.075 20 30 DGEDMN N#CC1(C[C@@H](O)CN(Cc2ccc(F)cc2F)C2CC2)CCC1 ZINC000885958799 627070328 /nfs/dbraw/zinc/07/03/28/627070328.db2.gz LLWVVFVKEFMYGN-MRXNPFEDSA-N 0 1 320.383 3.374 20 30 DGEDMN C[C@H]1c2c(F)cccc2CCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000886016988 627084844 /nfs/dbraw/zinc/08/48/44/627084844.db2.gz PVWAKBNKFBWQDP-ZFWWWQNUSA-N 0 1 302.393 3.190 20 30 DGEDMN N#CC1(C[C@H](O)CN[C@@H](c2ccccc2)c2ccccn2)CCC1 ZINC000886046907 627093030 /nfs/dbraw/zinc/09/30/30/627093030.db2.gz SGCMJLVHAIHROL-HKUYNNGSSA-N 0 1 321.424 3.205 20 30 DGEDMN Cc1[nH]nc(CNc2ccc(OC(F)(F)F)c(C#N)c2)c1C ZINC000886107656 627106687 /nfs/dbraw/zinc/10/66/87/627106687.db2.gz WVDSFLBIUJQZED-UHFFFAOYSA-N 0 1 310.279 3.409 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CC[C@@H](C2CC2)O1 ZINC000886203344 627120625 /nfs/dbraw/zinc/12/06/25/627120625.db2.gz SUMNQNPQPBGDLN-AEFFLSMTSA-N 0 1 305.418 3.108 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCc3cccc(Cl)c3C2)CCC1 ZINC000886242975 627126782 /nfs/dbraw/zinc/12/67/82/627126782.db2.gz KSMCBVZYVGTVFA-AWEZNQCLSA-N 0 1 304.821 3.143 20 30 DGEDMN COc1cc(CNCc2ccc(SC)c(C#N)c2)sn1 ZINC000886277070 627130062 /nfs/dbraw/zinc/13/00/62/627130062.db2.gz FXRVGKVIKKVSEA-UHFFFAOYSA-N 0 1 305.428 3.035 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2C)C1 ZINC000886356260 627142142 /nfs/dbraw/zinc/14/21/42/627142142.db2.gz XEDZHBIYSXMVES-UONOGXRCSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)C1 ZINC000886361363 627143014 /nfs/dbraw/zinc/14/30/14/627143014.db2.gz DNTQGYHWNCURPR-KBPBESRZSA-N 0 1 304.821 3.321 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)CN3[C@H](C)CC[C@H]3C)cc2n1 ZINC000886647017 627179552 /nfs/dbraw/zinc/17/95/52/627179552.db2.gz AIEUDMOBXTWGTC-ZIAGYGMSSA-N 0 1 312.417 3.034 20 30 DGEDMN C[C@@H](N[C@H]1CCc2c1cccc2F)C(=O)Nc1ccccc1C#N ZINC000886921035 627214327 /nfs/dbraw/zinc/21/43/27/627214327.db2.gz VEAHOEQWORPSME-XIKOKIGWSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)Cc1cnc[nH]1)[C@H]1CCCc2ccccc21 ZINC000887232002 627235191 /nfs/dbraw/zinc/23/51/91/627235191.db2.gz HFUZZNFNGZXCCP-KXBFYZLASA-N 0 1 321.424 3.128 20 30 DGEDMN C[C@H]1C(N=Nc2ccncc2Cl)CCN1Cc1ccccc1 ZINC000811643972 627297759 /nfs/dbraw/zinc/29/77/59/627297759.db2.gz OPLGKOPAHIJLDJ-ZDUSSCGKSA-N 0 1 314.820 3.219 20 30 DGEDMN CCc1cc(CNc2cccc(Br)c2C#N)n[nH]1 ZINC000811805958 627323151 /nfs/dbraw/zinc/32/31/51/627323151.db2.gz WGTKXGSWRXXWOK-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000887925087 627342821 /nfs/dbraw/zinc/34/28/21/627342821.db2.gz HRYNQPQBWSBHFI-SNVBAGLBSA-N 0 1 318.327 3.378 20 30 DGEDMN COc1ccc(F)cc1CN=Nc1ccc(Br)cn1 ZINC000812225876 627361504 /nfs/dbraw/zinc/36/15/04/627361504.db2.gz QWBWUBMGINDORG-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CC(C)OCCON=C1CN(Cc2ccccc2)CC[C@H]1C ZINC000812239904 627363998 /nfs/dbraw/zinc/36/39/98/627363998.db2.gz DHTPUIMNIFUVKI-MRXNPFEDSA-N 0 1 304.434 3.326 20 30 DGEDMN C#C[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccc(Cl)cc1 ZINC000812352028 627373918 /nfs/dbraw/zinc/37/39/18/627373918.db2.gz YDUFTMULHTVCEG-CQSZACIVSA-N 0 1 313.788 3.046 20 30 DGEDMN CON(C[C@@H]1CCC[C@H](C)C1)C(=O)c1ccc(C#N)c(O)c1 ZINC000812422240 627384490 /nfs/dbraw/zinc/38/44/90/627384490.db2.gz VJIUSEVEOYKZCF-QWHCGFSZSA-N 0 1 302.374 3.094 20 30 DGEDMN CON(Cc1ccccc1Cl)C(=O)c1ccc(C#N)c(O)c1 ZINC000812425051 627385669 /nfs/dbraw/zinc/38/56/69/627385669.db2.gz QTXSBUSPNGCFBP-UHFFFAOYSA-N 0 1 316.744 3.121 20 30 DGEDMN C#C[C@H](NCc1cc(OC)c(OC)cc1O)c1ccc(F)cc1 ZINC000812792360 627421142 /nfs/dbraw/zinc/42/11/42/627421142.db2.gz WOTZOPRBOSQTNQ-HNNXBMFYSA-N 0 1 315.344 3.013 20 30 DGEDMN CO[C@@](C)(C(=O)[C@@H](C#N)c1nc2ccccc2s1)C1CC1 ZINC000813057741 627444340 /nfs/dbraw/zinc/44/43/40/627444340.db2.gz QMEKRMJVEYKRBH-BDJLRTHQSA-N 0 1 300.383 3.288 20 30 DGEDMN N#CC(C(=O)CC[C@@H]1CCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813211826 627467624 /nfs/dbraw/zinc/46/76/24/627467624.db2.gz RIYMPOKKCGCWCO-ZYHUDNBSSA-N 0 1 312.291 3.093 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813212092 627467658 /nfs/dbraw/zinc/46/76/58/627467658.db2.gz WZGXPMVDQHJZRE-ONGXEEELSA-N 0 1 314.332 3.420 20 30 DGEDMN CCCCCCCCCN1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000814643422 627580085 /nfs/dbraw/zinc/58/00/85/627580085.db2.gz YVUVNHXMBAYELQ-MRXNPFEDSA-N 0 1 303.512 3.494 20 30 DGEDMN CCCCCCCCN(C)Cc1ccccc1S(N)(=O)=O ZINC000814654909 627581833 /nfs/dbraw/zinc/58/18/33/627581833.db2.gz PTLCLKQACWWATQ-UHFFFAOYSA-N 0 1 312.479 3.126 20 30 DGEDMN Cc1sc2ncnc(N=NC(C)c3ccc(N)nc3)c2c1C ZINC000814726090 627587100 /nfs/dbraw/zinc/58/71/00/627587100.db2.gz JSIQDLOUMVCOKY-UHFFFAOYSA-N 0 1 312.402 3.121 20 30 DGEDMN CC(=[NH+]Nc1ccccc1C(=O)[O-])c1cc(F)c(N)c(F)c1 ZINC000814768489 627589480 /nfs/dbraw/zinc/58/94/80/627589480.db2.gz DFAOATPVTNSWOY-UHFFFAOYSA-N 0 1 305.284 3.081 20 30 DGEDMN N#Cc1csc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000814833106 627596710 /nfs/dbraw/zinc/59/67/10/627596710.db2.gz XONMZEXLSNTJPC-UHFFFAOYSA-N 0 1 307.722 3.022 20 30 DGEDMN CCC(N=Nc1ccc(F)c([N+](=O)[O-])c1)c1ccc(F)cn1 ZINC000814904982 627605851 /nfs/dbraw/zinc/60/58/51/627605851.db2.gz IWLTXQZWLFUTAA-UHFFFAOYSA-N 0 1 306.272 3.494 20 30 DGEDMN CC1(C)Cc2nc(N)sc2C(N=Nc2cccc(F)n2)C1 ZINC000814928110 627609313 /nfs/dbraw/zinc/60/93/13/627609313.db2.gz WTYXUIHLCHJHAX-UHFFFAOYSA-N 0 1 305.382 3.048 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=C1CCCc2ccc(N)cc21 ZINC000814935556 627611001 /nfs/dbraw/zinc/61/10/01/627611001.db2.gz NWIFESSKVVDGEW-AWEZNQCLSA-N 0 1 309.413 3.366 20 30 DGEDMN Fc1ccc(NN=Cc2ccc(-n3cncn3)cc2)c(F)c1F ZINC000814945750 627612179 /nfs/dbraw/zinc/61/21/79/627612179.db2.gz OMAHHVYGNJHQIF-UHFFFAOYSA-N 0 1 317.274 3.131 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@@H](CC)N1CCc2ccccc2C1 ZINC000814978925 627615387 /nfs/dbraw/zinc/61/53/87/627615387.db2.gz AXRZZHHQQVNNCK-MRXNPFEDSA-N 0 1 322.399 3.151 20 30 DGEDMN CCCCCCOc1cccc(CN=Nc2cnnn2C)c1 ZINC000814982415 627615896 /nfs/dbraw/zinc/61/58/96/627615896.db2.gz ZIPYNRAORKACNL-UHFFFAOYSA-N 0 1 301.394 3.220 20 30 DGEDMN C[C@@H](NCCOCCC#N)c1nc2ccccc2n1C(F)F ZINC000815098192 627626042 /nfs/dbraw/zinc/62/60/42/627626042.db2.gz CLTBSGODKFSEIH-LLVKDONJSA-N 0 1 308.332 3.012 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@H]1CCCCN1Cc1ccccc1 ZINC000815134652 627635148 /nfs/dbraw/zinc/63/51/48/627635148.db2.gz AYDWWJBJMHCSGC-MRXNPFEDSA-N 0 1 322.399 3.369 20 30 DGEDMN CCCCC1(C(=O)OCC(=O)[C@@H](C#N)c2nc(C)cs2)CC1 ZINC000924429004 627639206 /nfs/dbraw/zinc/63/92/06/627639206.db2.gz URJVTUXUERJPLQ-GFCCVEGCSA-N 0 1 320.414 3.141 20 30 DGEDMN CCCCC1(C(=O)OCC(=O)C(C#N)c2nc(C)cs2)CC1 ZINC000924429004 627639211 /nfs/dbraw/zinc/63/92/11/627639211.db2.gz URJVTUXUERJPLQ-GFCCVEGCSA-N 0 1 320.414 3.141 20 30 DGEDMN C[C@H](CC(C)(C)C#N)NCc1cccnc1OCC(F)(F)F ZINC000924638207 627661447 /nfs/dbraw/zinc/66/14/47/627661447.db2.gz ZXKAPYXBIXDRIT-LLVKDONJSA-N 0 1 315.339 3.441 20 30 DGEDMN COc1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1Cl ZINC000815877724 627708802 /nfs/dbraw/zinc/70/88/02/627708802.db2.gz DJMKELAJHMTPKJ-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN Cc1ccc(S(=O)(=O)C[C@H](C)N[C@@H](C)CC(C)(C)C#N)cc1 ZINC000926205783 627861174 /nfs/dbraw/zinc/86/11/74/627861174.db2.gz JXNFMLTZSYMBIR-GJZGRUSLSA-N 0 1 322.474 3.075 20 30 DGEDMN Cc1c(Cl)c(C=NNc2cccc(C(F)(F)F)n2)nn1C ZINC000817326390 627882928 /nfs/dbraw/zinc/88/29/28/627882928.db2.gz VPQLMDWYOHWFMY-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN COc1cc(CN[C@@H](C)c2cnn(C3CCC3)c2)ccc1C#N ZINC000926449590 627897604 /nfs/dbraw/zinc/89/76/04/627897604.db2.gz WFALFLSZCAOSRI-ZDUSSCGKSA-N 0 1 310.401 3.339 20 30 DGEDMN Cc1cc2ncc([C@H](C)NCc3ccc(C)c(C#N)c3)c(C)n2n1 ZINC000926967931 627990917 /nfs/dbraw/zinc/99/09/17/627990917.db2.gz YUXXXSQNMOIDSY-AWEZNQCLSA-N 0 1 319.412 3.377 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](c2ccccc2)[C@H](C)CC)CC1 ZINC000928655032 628172798 /nfs/dbraw/zinc/17/27/98/628172798.db2.gz IUNGIXDFUGMOHT-APWZRJJASA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC(NC(=O)CCCCCc2cccs2)CC1 ZINC000928655116 628172813 /nfs/dbraw/zinc/17/28/13/628172813.db2.gz LBCHLNRHOAFZDR-UHFFFAOYSA-N 0 1 318.486 3.065 20 30 DGEDMN C#CCCCCC(=O)Nc1nc2c(s1)CN(C(C)(C)C)CC2 ZINC000819679131 628178679 /nfs/dbraw/zinc/17/86/79/628178679.db2.gz WANRUUKQKDQQPL-UHFFFAOYSA-N 0 1 319.474 3.432 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccccc2C(C)(C)C)CC1 ZINC000929191211 628223381 /nfs/dbraw/zinc/22/33/81/628223381.db2.gz KHXQAVPYSLJYMP-UHFFFAOYSA-N 0 1 313.445 3.203 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCCC[C@H]2C)c1 ZINC000820761761 628295351 /nfs/dbraw/zinc/29/53/51/628295351.db2.gz SECZLRARMHIGOB-HYVNUMGLSA-N 0 1 312.413 3.146 20 30 DGEDMN N#C[C@H](OC(=O)c1cc2[nH]cnc2cc1F)C1CCCCC1 ZINC000820778605 628297724 /nfs/dbraw/zinc/29/77/24/628297724.db2.gz IHZDERNNNUGIRO-HNNXBMFYSA-N 0 1 301.321 3.331 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)C=C[C@H]2CCCO2)s1 ZINC000820961094 628319726 /nfs/dbraw/zinc/31/97/26/628319726.db2.gz FEASEXHOIFQKIL-UXFRKABBSA-N 0 1 304.415 3.352 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@H]2CCCO2)s1 ZINC000820961094 628319728 /nfs/dbraw/zinc/31/97/28/628319728.db2.gz FEASEXHOIFQKIL-UXFRKABBSA-N 0 1 304.415 3.352 20 30 DGEDMN C#CCn1ccc(CN(CC)C[C@H](C)c2cc(F)cc(F)c2)n1 ZINC000824308320 628649086 /nfs/dbraw/zinc/64/90/86/628649086.db2.gz YNFJBJMNZPPSHM-AWEZNQCLSA-N 0 1 317.383 3.420 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC[C@H](C)[C@H]2C)o1 ZINC000824352757 628653904 /nfs/dbraw/zinc/65/39/04/628653904.db2.gz LTFTVXJBDYZHTI-VHSXEESVSA-N 0 1 317.397 3.202 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)C[C@@H](C)CC(C)C)o1 ZINC000824390881 628657183 /nfs/dbraw/zinc/65/71/83/628657183.db2.gz FCDYGBWGGHXGPZ-VIFPVBQESA-N 0 1 305.386 3.023 20 30 DGEDMN C#C[C@H](N[C@@H](COC)CC1CCOCC1)c1ccc(Cl)cc1 ZINC000825949245 628813465 /nfs/dbraw/zinc/81/34/65/628813465.db2.gz RPAFNMYENAYTKE-MSOLQXFVSA-N 0 1 321.848 3.436 20 30 DGEDMN CC(C)[N@H+](CC#Cc1ccc(C(F)(F)F)cc1)CCC(=O)[O-] ZINC000826936034 628905202 /nfs/dbraw/zinc/90/52/02/628905202.db2.gz WVKNKLULRVVBBC-UHFFFAOYSA-N 0 1 313.319 3.242 20 30 DGEDMN C=CC[C@@H]1CCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000827052692 628917352 /nfs/dbraw/zinc/91/73/52/628917352.db2.gz UYVLXSMEMXLUIS-OAHLLOKOSA-N 0 1 302.418 3.304 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1cc(Cl)cc(Cl)c1[O-] ZINC000827823245 628994661 /nfs/dbraw/zinc/99/46/61/628994661.db2.gz MBZVRQCVUFIQKV-UHFFFAOYSA-N 0 1 302.201 3.171 20 30 DGEDMN C#CCOc1ccccc1CN1Cc2cnn(C)c2CC(C)(C)C1 ZINC000828019584 629015510 /nfs/dbraw/zinc/01/55/10/629015510.db2.gz WQBXZTWQTYKFRX-UHFFFAOYSA-N 0 1 323.440 3.017 20 30 DGEDMN C[N@@H+](CC#Cc1ccc(Cl)cc1)C1CCC(NC(=O)[O-])CC1 ZINC000828085852 629021331 /nfs/dbraw/zinc/02/13/31/629021331.db2.gz MABCUSWVUUTYNJ-UHFFFAOYSA-N 0 1 320.820 3.202 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)[C@@H]1c1ccccc1 ZINC000828411115 629051645 /nfs/dbraw/zinc/05/16/45/629051645.db2.gz DPYRCAWFWRWXTM-BVGQSLNGSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)[C@@H]1c1ccccc1 ZINC000828411115 629051647 /nfs/dbraw/zinc/05/16/47/629051647.db2.gz DPYRCAWFWRWXTM-BVGQSLNGSA-N 0 1 306.365 3.377 20 30 DGEDMN N#CC1(CC(=O)N2CCc3nc[nH]c3C23CCC3)CCCCC1 ZINC000829062010 629125055 /nfs/dbraw/zinc/12/50/55/629125055.db2.gz RXMXZUPSOOOSDA-UHFFFAOYSA-N 0 1 312.417 3.038 20 30 DGEDMN N#C[C@H](NC(=O)c1cccc2nc(C(F)F)[nH]c21)C1CCCC1 ZINC000829368551 629153197 /nfs/dbraw/zinc/15/31/97/629153197.db2.gz IGXNDERUFUOMDZ-LBPRGKRZSA-N 0 1 318.327 3.313 20 30 DGEDMN C=CC(C)(C)C(=O)NOCc1ccc(F)cc1Br ZINC000829748685 629187978 /nfs/dbraw/zinc/18/79/78/629187978.db2.gz UHRLFZLBVHSKOA-UHFFFAOYSA-N 0 1 316.170 3.348 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000829778884 629191413 /nfs/dbraw/zinc/19/14/13/629191413.db2.gz JKIXHKHHEIOZAB-STQMWFEESA-N 0 1 306.356 3.027 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(SC)cc2)C1 ZINC000829793418 629193636 /nfs/dbraw/zinc/19/36/36/629193636.db2.gz DFCOLKBTPPYXDB-CQSZACIVSA-N 0 1 302.443 3.082 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2ccccc2C(F)(F)F)CC1 ZINC000830311848 629251576 /nfs/dbraw/zinc/25/15/76/629251576.db2.gz XMDDQXAYSIFGKI-GFCCVEGCSA-N 0 1 324.346 3.378 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H](c1cccc(C#N)c1)C(F)(F)F ZINC000831095169 629337527 /nfs/dbraw/zinc/33/75/27/629337527.db2.gz AACJFQQRWAGUMY-NSHDSACASA-N 0 1 318.245 3.489 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)c3coc(C#N)c3)C2)n[nH]1 ZINC000831926296 629429476 /nfs/dbraw/zinc/42/94/76/629429476.db2.gz SLDLYXVCBUUIRL-LBPRGKRZSA-N 0 1 312.373 3.018 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@H]2Cc2ccccc2)cc1 ZINC000833071340 629554568 /nfs/dbraw/zinc/55/45/68/629554568.db2.gz FPTONKVIVZCVNH-ZWKOTPCHSA-N 0 1 306.365 3.001 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1occc1C(=O)[O-] ZINC000833064780 629554575 /nfs/dbraw/zinc/55/45/75/629554575.db2.gz NKWPCDKHJRCPCG-JKSUJKDBSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1occc1C(=O)[O-] ZINC000833064780 629554580 /nfs/dbraw/zinc/55/45/80/629554580.db2.gz NKWPCDKHJRCPCG-JKSUJKDBSA-N 0 1 310.353 3.250 20 30 DGEDMN C=CC[N@@H+](Cc1cccs1)Cc1ccc(OCC(=O)[O-])cc1 ZINC000833374536 629594396 /nfs/dbraw/zinc/59/43/96/629594396.db2.gz PDARCXQQGNAFEM-UHFFFAOYSA-N 0 1 317.410 3.400 20 30 DGEDMN C[C@]1(C#N)CCC[N@@H+](Cc2c(O)c(C(=O)[O-])cc3ccccc32)C1 ZINC000833551140 629619163 /nfs/dbraw/zinc/61/91/63/629619163.db2.gz GXUKRMMXMGFFAM-LJQANCHMSA-N 0 1 324.380 3.369 20 30 DGEDMN C[C@@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@H]1c1ccccc1 ZINC000833630373 629633034 /nfs/dbraw/zinc/63/30/34/629633034.db2.gz SASWJXQHOSLZAE-FZKQIMNGSA-N 0 1 306.365 3.449 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@H]1c1ccccc1 ZINC000833630373 629633037 /nfs/dbraw/zinc/63/30/37/629633037.db2.gz SASWJXQHOSLZAE-FZKQIMNGSA-N 0 1 306.365 3.449 20 30 DGEDMN C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC000833633385 629633572 /nfs/dbraw/zinc/63/35/72/629633572.db2.gz VCSIXWIWDWFJJJ-CYBMUJFWSA-N 0 1 306.365 3.203 20 30 DGEDMN C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC000833633385 629633575 /nfs/dbraw/zinc/63/35/75/629633575.db2.gz VCSIXWIWDWFJJJ-CYBMUJFWSA-N 0 1 306.365 3.203 20 30 DGEDMN CCS[C@H]1CC[C@@H]([N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC000833667864 629638619 /nfs/dbraw/zinc/63/86/19/629638619.db2.gz NAVBRFHGRJEKMQ-KGLIPLIRSA-N 0 1 318.442 3.362 20 30 DGEDMN C=CCSCCN[C@@H](C)c1nc(Br)cs1 ZINC000834634548 629743994 /nfs/dbraw/zinc/74/39/94/629743994.db2.gz CCQSCDZKHLYTOA-QMMMGPOBSA-N 0 1 307.282 3.475 20 30 DGEDMN Cc1cc(N=NC2CCc3cc(F)c(F)c(F)c32)nc(C)n1 ZINC000834940208 629810149 /nfs/dbraw/zinc/81/01/49/629810149.db2.gz HTRWSRVSYDSFJD-UHFFFAOYSA-N 0 1 306.291 3.273 20 30 DGEDMN COC(=O)c1cccc(N=NCc2cnn(C(C)(C)C)c2)c1F ZINC000835018038 629824086 /nfs/dbraw/zinc/82/40/86/629824086.db2.gz FDWPTRYDUZIKSR-UHFFFAOYSA-N 0 1 318.352 3.010 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)CC2CC(F)(F)C2)cc1 ZINC000835061931 629841764 /nfs/dbraw/zinc/84/17/64/629841764.db2.gz LOFAGUVDWDWKKA-AWEZNQCLSA-N 0 1 320.339 3.332 20 30 DGEDMN CCC[C@@H]1CCC[C@H]1C(=O)[C@@H](C#N)C(=O)NC1CCCCC1 ZINC000835064705 629842234 /nfs/dbraw/zinc/84/22/34/629842234.db2.gz YHFSXQKINVCQES-FVQBIDKESA-N 0 1 304.434 3.361 20 30 DGEDMN C#CCO[C@@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000835068005 629842754 /nfs/dbraw/zinc/84/27/54/629842754.db2.gz LWFXJSIFXNBDIE-DZGCQCFKSA-N 0 1 324.405 3.333 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C2=CC[C@@H](C)CC2)n1 ZINC000835111228 629855473 /nfs/dbraw/zinc/85/54/73/629855473.db2.gz YEMFLAMDFZHOJV-ZYHUDNBSSA-N 0 1 318.398 3.242 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)O[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000835152353 629864863 /nfs/dbraw/zinc/86/48/63/629864863.db2.gz QUZSZLJHHJUGLB-PXWWUCIGSA-N 0 1 320.776 3.412 20 30 DGEDMN C=CCONC(=O)Cc1csc(-c2ccc(CC)cc2)n1 ZINC000837047222 630206703 /nfs/dbraw/zinc/20/67/03/630206703.db2.gz ZBAUKXORWOKMPF-UHFFFAOYSA-N 0 1 302.399 3.149 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000837374590 630250150 /nfs/dbraw/zinc/25/01/50/630250150.db2.gz YVSSRGTUPFLMQI-OAHLLOKOSA-N 0 1 311.385 3.402 20 30 DGEDMN N#CCc1ccc(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)cc1 ZINC000837602470 630283907 /nfs/dbraw/zinc/28/39/07/630283907.db2.gz XNZJGLCVVWZTEW-LJQANCHMSA-N 0 1 320.392 3.184 20 30 DGEDMN N#Cc1cscc1C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000837745341 630306683 /nfs/dbraw/zinc/30/66/83/630306683.db2.gz UJWYTGPWRUWLDN-HNNXBMFYSA-N 0 1 312.394 3.051 20 30 DGEDMN C[C@H](Cc1cnn(C)c1)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000840708853 630350802 /nfs/dbraw/zinc/35/08/02/630350802.db2.gz JCCWUIFTIPUWMC-PRILJTSFSA-N 0 1 312.200 3.483 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCO[C@H](C3CCC3)C2)cc1 ZINC000840954167 630384099 /nfs/dbraw/zinc/38/40/99/630384099.db2.gz HXPRNSVYJNHSRQ-IBGZPJMESA-N 0 1 313.445 3.037 20 30 DGEDMN C#CCCOc1ccc(CN[C@H]2COCc3ccccc32)cc1 ZINC000841889789 630473419 /nfs/dbraw/zinc/47/34/19/630473419.db2.gz DASNUVABEOJLKI-FQEVSTJZSA-N 0 1 307.393 3.450 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN[C@H]2COCc3ccccc32)cc1 ZINC000841891392 630473547 /nfs/dbraw/zinc/47/35/47/630473547.db2.gz OYGRYLUZHCMSLO-LIRRHRJNSA-N 0 1 308.381 3.339 20 30 DGEDMN CN(C)c1ccc(C(N)=NOC[C@H]2CCCCC2(F)F)cc1 ZINC000842022438 630479196 /nfs/dbraw/zinc/47/91/96/630479196.db2.gz GUADCBDXPNWUIW-CYBMUJFWSA-N 0 1 311.376 3.215 20 30 DGEDMN CC(Cn1nc(C)cc1C)=NOCCN(C)Cc1ccccc1 ZINC000842021038 630479201 /nfs/dbraw/zinc/47/92/01/630479201.db2.gz BNLLERTYMADWMV-UHFFFAOYSA-N 0 1 314.433 3.024 20 30 DGEDMN CC[C@@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000842291802 630511988 /nfs/dbraw/zinc/51/19/88/630511988.db2.gz OTVWTRDAUDOEOM-GOEBONIOSA-N 0 1 304.434 3.361 20 30 DGEDMN CCCCCCO[C@@H](C)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000842290261 630512015 /nfs/dbraw/zinc/51/20/15/630512015.db2.gz WDQOANCEUHIJGN-HOCLYGCPSA-N 0 1 322.449 3.130 20 30 DGEDMN CCO[C@H](CC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000842295016 630512463 /nfs/dbraw/zinc/51/24/63/630512463.db2.gz QXYPYUOLQSUJIG-TZMCWYRMSA-N 0 1 315.398 3.196 20 30 DGEDMN CC(=NNc1cc(F)c(F)cc1F)c1cc2n(n1)CCCC2 ZINC000842527156 630544262 /nfs/dbraw/zinc/54/42/62/630544262.db2.gz JQCKMDAJKXVYLL-UHFFFAOYSA-N 0 1 308.307 3.473 20 30 DGEDMN CC(=NNC12CC(C1)C2)c1cc(Br)cc(F)c1O ZINC000842547109 630546465 /nfs/dbraw/zinc/54/64/65/630546465.db2.gz OFTYGIGRBTUOAR-UHFFFAOYSA-N 0 1 313.170 3.160 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](CN2C[C@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628359 630555166 /nfs/dbraw/zinc/55/51/66/630555166.db2.gz BIMYSHQTJLQDSF-IEBWSBKVSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628359 630555167 /nfs/dbraw/zinc/55/51/67/630555167.db2.gz BIMYSHQTJLQDSF-IEBWSBKVSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C(=O)C3(CCC3)[C@@H]2c2ccccc2)C1 ZINC000842628809 630555890 /nfs/dbraw/zinc/55/58/90/630555890.db2.gz GVAUHIBOHVJKOG-MJGOQNOKSA-N 0 1 322.452 3.433 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C)OC3(CCC3)C2)c1O ZINC000842641457 630557663 /nfs/dbraw/zinc/55/76/63/630557663.db2.gz VZOUFBZCCWUXOU-CQSZACIVSA-N 0 1 317.429 3.273 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cccc(O)c2Br)C1 ZINC000843424258 630656146 /nfs/dbraw/zinc/65/61/46/630656146.db2.gz WXMRAWVBGZSZQA-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2C[C@@H](C)OC3(CCC3)C2)cc1 ZINC000843435978 630659052 /nfs/dbraw/zinc/65/90/52/630659052.db2.gz YCTKATMVUZPSQG-HUUCEWRRSA-N 0 1 300.402 3.121 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2cc(-c3ccc(C)cc3)[nH]n2)C1 ZINC000844003115 630706376 /nfs/dbraw/zinc/70/63/76/630706376.db2.gz MDQGMHREJUCTOE-HNNXBMFYSA-N 0 1 307.397 3.261 20 30 DGEDMN CCc1cccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1 ZINC000844567876 630739901 /nfs/dbraw/zinc/73/99/01/630739901.db2.gz WPAZWWVSYDRESQ-UHFFFAOYSA-N 0 1 300.309 3.030 20 30 DGEDMN C=CCNC(=O)[C@H](C)Nc1ccc(N(CCC)CCC)cc1 ZINC000844634280 630745509 /nfs/dbraw/zinc/74/55/09/630745509.db2.gz NJRJYVXAWLQQJW-HNNXBMFYSA-N 0 1 303.450 3.416 20 30 DGEDMN Cc1ocnc1C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000105757548 631057603 /nfs/dbraw/zinc/05/76/03/631057603.db2.gz CKOZVXCZOGVEPM-CQSZACIVSA-N 0 1 319.320 3.097 20 30 DGEDMN C=C[C@@H](C)ONC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000845634103 631184440 /nfs/dbraw/zinc/18/44/40/631184440.db2.gz JNDDTDXTBJGTMN-DOMZBBRYSA-N 0 1 314.389 3.224 20 30 DGEDMN C=C[C@H](C)ONC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000845634106 631184553 /nfs/dbraw/zinc/18/45/53/631184553.db2.gz JNDDTDXTBJGTMN-WFASDCNBSA-N 0 1 314.389 3.224 20 30 DGEDMN N#CC(C(=O)[C@H]1CC1(Cl)Cl)=C(O)C1(c2ccccc2)CC1 ZINC000845839454 631198368 /nfs/dbraw/zinc/19/83/68/631198368.db2.gz HNNKSJJPICGPPN-NWDGAFQWSA-N 0 1 322.191 3.190 20 30 DGEDMN N#CC(C(=O)CCc1cncs1)=C(O)C1(c2ccccc2)CC1 ZINC000845843181 631198797 /nfs/dbraw/zinc/19/87/97/631198797.db2.gz KGQPISZYVFMLIH-HNNXBMFYSA-N 0 1 324.405 3.085 20 30 DGEDMN CSCCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842967 631198821 /nfs/dbraw/zinc/19/88/21/631198821.db2.gz XYEQVAVHYQGUED-CQSZACIVSA-N 0 1 301.411 3.139 20 30 DGEDMN N#CC(C(=O)C1(c2ccccc2)CC1)=C(O)[C@@H]1CCCCS1 ZINC000845846522 631199031 /nfs/dbraw/zinc/19/90/31/631199031.db2.gz USSNNFWGNSCJSE-CABCVRRESA-N 0 1 313.422 3.282 20 30 DGEDMN CCCCCCCCCS(=O)(=O)N[C@H]1CCN(C)[C@@H](C)C1 ZINC000845876375 631200374 /nfs/dbraw/zinc/20/03/74/631200374.db2.gz ACJIBQFTHNRODI-HOTGVXAUSA-N 0 1 318.527 3.139 20 30 DGEDMN CC[C@@H]1COC(C)(C)CN1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000846025989 631206725 /nfs/dbraw/zinc/20/67/25/631206725.db2.gz BGXCOTGMVWWEIB-GOEBONIOSA-N 0 1 302.418 3.367 20 30 DGEDMN C=C(C)CONC(=O)C(C)(C)c1ccccc1Br ZINC000846533705 631256129 /nfs/dbraw/zinc/25/61/29/631256129.db2.gz LFJVKGVFLVQKIC-UHFFFAOYSA-N 0 1 312.207 3.351 20 30 DGEDMN C=C(C)[C@H](NC[C@H]1CN(CC)C(=O)O1)c1ccc(F)c(F)c1 ZINC000846862283 631331957 /nfs/dbraw/zinc/33/19/57/631331957.db2.gz UKTWCZHJHANSBX-WFASDCNBSA-N 0 1 310.344 3.012 20 30 DGEDMN CC(C)OC(=O)C[C@H](NCc1ccc(F)cc1C#N)C(C)C ZINC000847082805 631399884 /nfs/dbraw/zinc/39/98/84/631399884.db2.gz KRAJRGUWXAPEIM-INIZCTEOSA-N 0 1 306.381 3.153 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)OCc1cc(C2CC2)[nH]n1 ZINC000848009548 631595010 /nfs/dbraw/zinc/59/50/10/631595010.db2.gz HTBDXORIZXFBRI-LBPRGKRZSA-N 0 1 309.369 3.081 20 30 DGEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)OCc2cc(C3CC3)[nH]n2)c1 ZINC000848009995 631595499 /nfs/dbraw/zinc/59/54/99/631595499.db2.gz QASMBMXPAIGSOV-JKSUJKDBSA-N 0 1 307.353 3.006 20 30 DGEDMN C[C@@H]1C[C@@H](/N=C\c2cc(Cl)cc(Cl)c2O)c2ncnn21 ZINC000849170345 631852783 /nfs/dbraw/zinc/85/27/83/631852783.db2.gz OGKXWCTVNVRCJC-XCLZSOQUSA-N 0 1 311.172 3.415 20 30 DGEDMN C=CCCNCc1nc2c(s1)CN(C(=O)OC(C)(C)C)CC2 ZINC000850354751 632122909 /nfs/dbraw/zinc/12/29/09/632122909.db2.gz XUFOKNHOOLKENK-UHFFFAOYSA-N 0 1 323.462 3.102 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H]2CCCn3nccc32)c1 ZINC000850441756 632154293 /nfs/dbraw/zinc/15/42/93/632154293.db2.gz BRUBZDIGWHWHKN-RDJZCZTQSA-N 0 1 310.401 3.189 20 30 DGEDMN COc1cccc([C@@H](OC)C(=O)[C@@H](C#N)c2nc(C)cs2)c1 ZINC000850737174 632210329 /nfs/dbraw/zinc/21/03/29/632210329.db2.gz SBDQCKHKCGPEPN-UKRRQHHQSA-N 0 1 316.382 3.024 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@]23CCCC[C@@H]2C3(F)F)nc(C)n1 ZINC000850755689 632216073 /nfs/dbraw/zinc/21/60/73/632216073.db2.gz KNDATLFQICRMEZ-RCBQFDQVSA-N 0 1 319.355 3.485 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCc3cc(F)ccc32)nc(C)n1 ZINC000850754931 632216163 /nfs/dbraw/zinc/21/61/63/632216163.db2.gz AMWRMTVHEWQAFS-HOTGVXAUSA-N 0 1 309.344 3.139 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCC1(C)C ZINC000850759606 632216973 /nfs/dbraw/zinc/21/69/73/632216973.db2.gz QFORPBXPECBDNK-STQMWFEESA-N 0 1 314.385 3.169 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCCC1)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC000850757499 632217172 /nfs/dbraw/zinc/21/71/72/632217172.db2.gz LJXJDLWGRGOJHC-OAGGEKHMSA-N 0 1 316.445 3.361 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)C1CC2(C1)CCCCC2 ZINC000850767885 632219067 /nfs/dbraw/zinc/21/90/67/632219067.db2.gz JETJHYBTGKAAGR-HNNXBMFYSA-N 0 1 302.418 3.115 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)C(=O)C1CC2(C1)CCCCC2 ZINC000850782892 632223747 /nfs/dbraw/zinc/22/37/47/632223747.db2.gz TYQMGQBNOUZXBE-AWEZNQCLSA-N 0 1 311.385 3.089 20 30 DGEDMN Cc1ccn([C@H](C)CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)n1 ZINC000850850024 632237080 /nfs/dbraw/zinc/23/70/80/632237080.db2.gz OJHAVEPEUDSANL-KGLIPLIRSA-N 0 1 321.384 3.204 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000850977412 632275370 /nfs/dbraw/zinc/27/53/70/632275370.db2.gz UMYKHKFYSLWTQB-KBPBESRZSA-N 0 1 318.804 3.101 20 30 DGEDMN C=C(C)[C@H](CC(=O)OC[C@H]1CCN1Cc1ccccc1)OCC ZINC000851467363 632418134 /nfs/dbraw/zinc/41/81/34/632418134.db2.gz UVDNJXRYLOCMBP-MSOLQXFVSA-N 0 1 317.429 3.175 20 30 DGEDMN CC(C)CN(CCC#N)CC[C@H]1Sc2ccccc2NC1=O ZINC000851777721 632490456 /nfs/dbraw/zinc/49/04/56/632490456.db2.gz ADVHQJSZRASROF-MRXNPFEDSA-N 0 1 317.458 3.361 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccccc1OC(F)F ZINC000852098710 632553128 /nfs/dbraw/zinc/55/31/28/632553128.db2.gz UJVCOKPMUCERBF-BDJLRTHQSA-N 0 1 310.344 3.007 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)s1)CC(C)(C)NC(=O)OC(C)(C)C ZINC000852305845 632583526 /nfs/dbraw/zinc/58/35/26/632583526.db2.gz UEXODQVJAPQQCD-UHFFFAOYSA-N 0 1 323.462 3.355 20 30 DGEDMN CN(Cc1ccc(C#N)s1)CC(C)(C)NC(=O)OC(C)(C)C ZINC000852305845 632583528 /nfs/dbraw/zinc/58/35/28/632583528.db2.gz UEXODQVJAPQQCD-UHFFFAOYSA-N 0 1 323.462 3.355 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2C)CC1(C)C ZINC000995386299 660200837 /nfs/dbraw/zinc/20/08/37/660200837.db2.gz VXDFDAIWNPWBJO-OAHLLOKOSA-N 0 1 306.837 3.188 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@@H](C)C1 ZINC000944193319 660215058 /nfs/dbraw/zinc/21/50/58/660215058.db2.gz UZTYHHJRGNFOPX-JXFKEZNVSA-N 0 1 322.452 3.110 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001032210309 660227030 /nfs/dbraw/zinc/22/70/30/660227030.db2.gz DGXOBPAFNGSRRJ-IBGZPJMESA-N 0 1 312.457 3.121 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CCCC1)c1ccc(Cl)s1 ZINC000175118738 659915984 /nfs/dbraw/zinc/91/59/84/659915984.db2.gz PABMBAIKPVHTDR-GXSJLCMTSA-N 0 1 324.833 3.273 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(F)=C2CCCC2)CC1(C)C ZINC000995652764 660235076 /nfs/dbraw/zinc/23/50/76/660235076.db2.gz NJSZFXZROGRIHC-CYBMUJFWSA-N 0 1 314.832 3.363 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)coc2C)CC1(C)C ZINC000995762446 660270837 /nfs/dbraw/zinc/27/08/37/660270837.db2.gz INWUMECYBGPNEP-ZDUSSCGKSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1(C)C ZINC000996082747 660275059 /nfs/dbraw/zinc/27/50/59/660275059.db2.gz VIRANIVKVAEKDL-JONQDZQNSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CC3(CCC3)C2)CC1(C)C ZINC000996175847 660277148 /nfs/dbraw/zinc/27/71/48/660277148.db2.gz FWTLNKHFYGEXCT-AWEZNQCLSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(F)CCCCC2)CC1(C)C ZINC000996487581 660283560 /nfs/dbraw/zinc/28/35/60/660283560.db2.gz MORIDTJAZMPZDK-ZDUSSCGKSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](C)n2cccc2)CC1(C)C ZINC000996536197 660284649 /nfs/dbraw/zinc/28/46/49/660284649.db2.gz NHINSDJVYGOWKV-HUUCEWRRSA-N 0 1 323.868 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC3CCC2CC3)CC1(C)C ZINC000996679875 660290279 /nfs/dbraw/zinc/29/02/79/660290279.db2.gz NPYFMQPEBNFCOJ-SSHXOBKSSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(C)cc1C ZINC001032547998 660343780 /nfs/dbraw/zinc/34/37/80/660343780.db2.gz VPQRCRWQRDMYIV-OALUTQOASA-N 0 1 312.457 3.097 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)C2CC(C)C2)CC1 ZINC000997959932 660360291 /nfs/dbraw/zinc/36/02/91/660360291.db2.gz KQUUFLMJXIGNDU-AVVWSFFYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001016069372 660357062 /nfs/dbraw/zinc/35/70/62/660357062.db2.gz SAEAYAOTAYIQSQ-AWEZNQCLSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1C1CC1 ZINC001032590224 660363478 /nfs/dbraw/zinc/36/34/78/660363478.db2.gz UDGFNVIONCQIQR-GJZGRUSLSA-N 0 1 300.402 3.022 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(CNCc2cscn2)CC1 ZINC000998043768 660365745 /nfs/dbraw/zinc/36/57/45/660365745.db2.gz KKIPJSWEEWKQSH-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001032602682 660372753 /nfs/dbraw/zinc/37/27/53/660372753.db2.gz WOPNESASAZBYFO-COXVUDFISA-N 0 1 318.848 3.305 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001032605612 660374264 /nfs/dbraw/zinc/37/42/64/660374264.db2.gz DHOULQRVGQZXIS-BJLQDIEVSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001032606528 660375152 /nfs/dbraw/zinc/37/51/52/660375152.db2.gz WCVUWMGGUZYATA-CMKODMSKSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2cc(C)ccc2C)C1 ZINC001032614319 660378945 /nfs/dbraw/zinc/37/89/45/660378945.db2.gz MUKUVWMIAMANCF-OALUTQOASA-N 0 1 324.468 3.445 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H](C)N2CCC(C)CC2)cc1 ZINC000073602663 660382682 /nfs/dbraw/zinc/38/26/82/660382682.db2.gz ZFRBTEBGCSAERW-QGZVFWFLSA-N 0 1 313.445 3.362 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCCc2ccccc21 ZINC001032685079 660413275 /nfs/dbraw/zinc/41/32/75/660413275.db2.gz ANZMEFUGYMWMID-WFXMLNOXSA-N 0 1 324.468 3.142 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC000999232482 660411331 /nfs/dbraw/zinc/41/13/31/660411331.db2.gz LZDCRGOZWMAQJK-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC1CCCC1)C(C)C ZINC001032661036 660408397 /nfs/dbraw/zinc/40/83/97/660408397.db2.gz NYQAKTJOGSRORO-FHWLQOOXSA-N 0 1 316.489 3.147 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCC=CCCC2)C1 ZINC000999476118 660419598 /nfs/dbraw/zinc/41/95/98/660419598.db2.gz PSLDWSYBGZLXLH-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC001032696795 660414028 /nfs/dbraw/zinc/41/40/28/660414028.db2.gz QDHYRMOFCJPWOJ-WFXMLNOXSA-N 0 1 324.468 3.216 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(F)ccc2C)C1 ZINC000999829708 660429013 /nfs/dbraw/zinc/42/90/13/660429013.db2.gz ASSQPLNFZHNNGA-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1C(F)F ZINC001032757979 660439538 /nfs/dbraw/zinc/43/95/38/660439538.db2.gz VMMJTKQPMKAQKG-QWRGUYRKSA-N 0 1 312.385 3.161 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(CC)s1 ZINC001032770338 660442310 /nfs/dbraw/zinc/44/23/10/660442310.db2.gz UFOFVOKDCLOWED-KBPBESRZSA-N 0 1 304.459 3.094 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001032810218 660453217 /nfs/dbraw/zinc/45/32/17/660453217.db2.gz WYAWZERGEXJJDN-GKVPXEHWSA-N 0 1 302.462 3.064 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCc2ccccc2C1 ZINC001032824320 660458989 /nfs/dbraw/zinc/45/89/89/660458989.db2.gz JBFRJGPQYYLSCH-IRFCIJBXSA-N 0 1 324.468 3.043 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2csc(C)c2C)C[C@H]1C ZINC001054680497 660467572 /nfs/dbraw/zinc/46/75/72/660467572.db2.gz NIMQGQUQORJWNM-OTYXRUKQSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2c(C)cc(C)cc2C)C1 ZINC001043730825 660478030 /nfs/dbraw/zinc/47/80/30/660478030.db2.gz GSRVJXFPIGTROA-UHFFFAOYSA-N 0 1 314.473 3.263 20 30 DGEDMN Cn1cc(/C=C\C(=O)c2cc(F)ccc2O)c(-c2ccncc2)n1 ZINC000255690589 660486748 /nfs/dbraw/zinc/48/67/48/660486748.db2.gz MLUKBHLZTDWZFM-RQOWECAXSA-N 0 1 323.327 3.223 20 30 DGEDMN C#CCN1CCC(NC(=O)C2CC(C)(C)CC(C)(C)C2)CC1 ZINC001003381476 660492284 /nfs/dbraw/zinc/49/22/84/660492284.db2.gz RHNMIUCGPWDYGJ-UHFFFAOYSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3c(cc(C)cc3C)[nH]2)CC1 ZINC001003392968 660492612 /nfs/dbraw/zinc/49/26/12/660492612.db2.gz WOGANTANZKJUAX-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(-c3ccccc3)o2)CC1 ZINC001003674665 660499793 /nfs/dbraw/zinc/49/97/93/660499793.db2.gz ZDNHRXWQZJJFTN-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(C(C)(C)C)oc2C)CC1 ZINC001003707102 660501100 /nfs/dbraw/zinc/50/11/00/660501100.db2.gz QVMBNKBITKKQDB-UHFFFAOYSA-N 0 1 316.445 3.103 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001003804064 660509954 /nfs/dbraw/zinc/50/99/54/660509954.db2.gz COCNOZIVXHVLAR-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H]1C1CCN(C(=O)CC(C)C)CC1 ZINC001004329712 660542755 /nfs/dbraw/zinc/54/27/55/660542755.db2.gz BFIJMAGFZKXMRF-INIZCTEOSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2oc(C(F)F)cc2C)C1 ZINC001033164774 660571120 /nfs/dbraw/zinc/57/11/20/660571120.db2.gz IFCZDTGOLQIISH-GFCCVEGCSA-N 0 1 312.360 3.248 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)C1 ZINC001033191706 660583471 /nfs/dbraw/zinc/58/34/71/660583471.db2.gz KOXHKENHKCLYPZ-MCIONIFRSA-N 0 1 318.383 3.074 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001033313684 660607372 /nfs/dbraw/zinc/60/73/72/660607372.db2.gz YBKLQSNIHBMRJN-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001033292218 660604272 /nfs/dbraw/zinc/60/42/72/660604272.db2.gz DNIWXHMVURUYRD-MRXNPFEDSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001033298382 660605527 /nfs/dbraw/zinc/60/55/27/660605527.db2.gz GATVIGSEEDFMMX-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001033309031 660607028 /nfs/dbraw/zinc/60/70/28/660607028.db2.gz JRYRDRWTPKPTEG-HNNXBMFYSA-N 0 1 311.429 3.117 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3occc3s2)C1 ZINC001033408788 660619042 /nfs/dbraw/zinc/61/90/42/660619042.db2.gz FDWAUGHJKFUWFD-GFCCVEGCSA-N 0 1 304.415 3.217 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CCN(Cc3ccsc3)C2)C1 ZINC001033400525 660620143 /nfs/dbraw/zinc/62/01/43/660620143.db2.gz WEZOZLGCJHHQQE-HNNXBMFYSA-N 0 1 304.459 3.137 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001033571075 660634449 /nfs/dbraw/zinc/63/44/49/660634449.db2.gz LDULRUYLEBXHFQ-ZBFHGGJFSA-N 0 1 312.413 3.255 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001033585288 660636032 /nfs/dbraw/zinc/63/60/32/660636032.db2.gz JTEFWVOQZNHYMC-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](N(C)C(=O)c2oc3ccccc3c2C)C1 ZINC001033636442 660643043 /nfs/dbraw/zinc/64/30/43/660643043.db2.gz YZVJDTRWXCKPHM-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001033682750 660649458 /nfs/dbraw/zinc/64/94/58/660649458.db2.gz ZLAGMZFXDQXIAL-CZUORRHYSA-N 0 1 306.837 3.162 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2c(CC)oc3ccccc32)C1 ZINC001033687596 660649960 /nfs/dbraw/zinc/64/99/60/660649960.db2.gz AHVVTBGYCBBXRM-AWEZNQCLSA-N 0 1 312.413 3.328 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001033896507 660676552 /nfs/dbraw/zinc/67/65/52/660676552.db2.gz DRLZJHYHNADZJX-KRWDZBQOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(OCCC)c2)C1 ZINC001033846257 660669823 /nfs/dbraw/zinc/66/98/23/660669823.db2.gz PSONILIOBVVVQR-QGZVFWFLSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001033844273 660669871 /nfs/dbraw/zinc/66/98/71/660669871.db2.gz QNALVHAUURSMTC-QGZVFWFLSA-N 0 1 316.445 3.034 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001033859117 660672065 /nfs/dbraw/zinc/67/20/65/660672065.db2.gz OZFPUOGMSIRZDT-OIAUPDTQSA-N 0 1 316.489 3.168 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001033871061 660673703 /nfs/dbraw/zinc/67/37/03/660673703.db2.gz BHJRFQLSRVIQGM-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc(F)c2Cl)C1 ZINC001033973662 660687468 /nfs/dbraw/zinc/68/74/68/660687468.db2.gz UZGFHCPLBCHVMP-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001033963845 660689150 /nfs/dbraw/zinc/68/91/50/660689150.db2.gz FAZQLZOHSNYUFO-MRXNPFEDSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001033963844 660689161 /nfs/dbraw/zinc/68/91/61/660689161.db2.gz FAZQLZOHSNYUFO-INIZCTEOSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc(C)c2Cl)C1 ZINC001033992688 660691203 /nfs/dbraw/zinc/69/12/03/660691203.db2.gz WKBJPHLGEVXYLG-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001034017731 660694709 /nfs/dbraw/zinc/69/47/09/660694709.db2.gz TVZNKVZOIOQKMA-OAHLLOKOSA-N 0 1 304.434 3.127 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccn2C2CCCC2)C1 ZINC001034005572 660695074 /nfs/dbraw/zinc/69/50/74/660695074.db2.gz NSQXMGIUZQLXFB-KRWDZBQOSA-N 0 1 315.461 3.326 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc3c(s2)CCC3)C1 ZINC001034037443 660698062 /nfs/dbraw/zinc/69/80/62/660698062.db2.gz YWCCAFLLIOEPDP-HNNXBMFYSA-N 0 1 318.486 3.349 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2CC(C(C)(C)C)C2)C1 ZINC001034052490 660699615 /nfs/dbraw/zinc/69/96/15/660699615.db2.gz JHSYVQQYKJHZAW-JCYILVPMSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccccc2C(C)C)C1 ZINC001034234384 660726097 /nfs/dbraw/zinc/72/60/97/660726097.db2.gz MQALSCKZDCZRBA-KRWDZBQOSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCCN(C[C@@H](F)CC)C1 ZINC001034248247 660727686 /nfs/dbraw/zinc/72/76/86/660727686.db2.gz XLAOWMLSAAFVDD-JKSUJKDBSA-N 0 1 321.440 3.007 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCCN(C[C@H](F)CC)C1 ZINC001034248246 660727885 /nfs/dbraw/zinc/72/78/85/660727885.db2.gz XLAOWMLSAAFVDD-HZPDHXFCSA-N 0 1 321.440 3.007 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001034337996 660738401 /nfs/dbraw/zinc/73/84/01/660738401.db2.gz JXGVUHPMKDWABU-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001034337201 660738573 /nfs/dbraw/zinc/73/85/73/660738573.db2.gz UTZBQIHPUNXOID-QGZVFWFLSA-N 0 1 323.440 3.084 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2ccccc2-n2cccc2)C1 ZINC001034378541 660745060 /nfs/dbraw/zinc/74/50/60/660745060.db2.gz UVSPTVNXMVWJJQ-QGZVFWFLSA-N 0 1 323.440 3.248 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001034428317 660749136 /nfs/dbraw/zinc/74/91/36/660749136.db2.gz MOWUTSJAGIGGAX-LSDHHAIUSA-N 0 1 319.449 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001034411016 660749804 /nfs/dbraw/zinc/74/98/04/660749804.db2.gz MJTZWNFZFUBTPC-INIZCTEOSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001034448256 660752715 /nfs/dbraw/zinc/75/27/15/660752715.db2.gz OFLIEWBSVUORAP-LSDHHAIUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001034418267 660751262 /nfs/dbraw/zinc/75/12/62/660751262.db2.gz SUZOMHHGEIDNKC-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001034480360 660757676 /nfs/dbraw/zinc/75/76/76/660757676.db2.gz JDKVTKMIIXJTPW-LJQANCHMSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2sccc2COC)C1 ZINC001034488922 660762153 /nfs/dbraw/zinc/76/21/53/660762153.db2.gz ZJDZKFICUAJASH-OAHLLOKOSA-N 0 1 322.474 3.055 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001034488270 660762475 /nfs/dbraw/zinc/76/24/75/660762475.db2.gz STIUBXVOEVDQFZ-INIZCTEOSA-N 0 1 316.445 3.012 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001034448254 660752803 /nfs/dbraw/zinc/75/28/03/660752803.db2.gz OFLIEWBSVUORAP-HUUCEWRRSA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001034517532 660765991 /nfs/dbraw/zinc/76/59/91/660765991.db2.gz ATUHZISOZDKCFK-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC001034500560 660766814 /nfs/dbraw/zinc/76/68/14/660766814.db2.gz FQWCQVXVUIDOMX-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CC=C(C)CC2)C1 ZINC001034535651 660769525 /nfs/dbraw/zinc/76/95/25/660769525.db2.gz STLWLBAVORAJPV-IEBWSBKVSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC001034541024 660770304 /nfs/dbraw/zinc/77/03/04/660770304.db2.gz MTULRIYPRIHRSO-KRWDZBQOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC001034544786 660771596 /nfs/dbraw/zinc/77/15/96/660771596.db2.gz RBTBXYZMHODYLK-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001034544129 660771637 /nfs/dbraw/zinc/77/16/37/660771637.db2.gz FKDSUSMMOGCPHI-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2CC(C(C)(C)C)C2)C1 ZINC001034545326 660772076 /nfs/dbraw/zinc/77/20/76/660772076.db2.gz RBCNDDKPVIIJQY-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2CC(c3ccccc3)C2)C1 ZINC001034548525 660772547 /nfs/dbraw/zinc/77/25/47/660772547.db2.gz OVFLAUFHDDQLOA-MHJFOBGBSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001034556873 660774183 /nfs/dbraw/zinc/77/41/83/660774183.db2.gz KETLXGHKXRMHGJ-LJQANCHMSA-N 0 1 312.457 3.029 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC001005691072 660789684 /nfs/dbraw/zinc/78/96/84/660789684.db2.gz NPVXWVBZTJERRG-UXHICEINSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](c2ccccc2)C2CC2)CC1 ZINC001005703426 660791443 /nfs/dbraw/zinc/79/14/43/660791443.db2.gz GROLPWDJHZLKNM-HXUWFJFHSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001035037298 660811342 /nfs/dbraw/zinc/81/13/42/660811342.db2.gz PMBLLGTZJPRBKX-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H](F)C(C)C)CC2 ZINC001035215371 660830778 /nfs/dbraw/zinc/83/07/78/660830778.db2.gz LZKNITBXOSCARY-CQSZACIVSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1C[C@H]1C(C)C)CC2 ZINC001035245468 660832903 /nfs/dbraw/zinc/83/29/03/660832903.db2.gz RCWZFWYWSFVSIE-HOTGVXAUSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@H](C)C1CC1)CC2 ZINC001035259395 660836003 /nfs/dbraw/zinc/83/60/03/660836003.db2.gz WDTCEXOGKUXRPI-AWEZNQCLSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1CC(C)(C)C)CC2 ZINC001035707984 660846045 /nfs/dbraw/zinc/84/60/45/660846045.db2.gz ACFOPRKZASWLCY-DLBZAZTESA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCC[C@H]1CC)CC2 ZINC001035734039 660847894 /nfs/dbraw/zinc/84/78/94/660847894.db2.gz NQVKSVNZUJILRD-AEFFLSMTSA-N 0 1 304.478 3.313 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCC[C@@H]1CC)CC2 ZINC001035733428 660847965 /nfs/dbraw/zinc/84/79/65/660847965.db2.gz CEJGOPGXXMFNNK-HKUYNNGSSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC2(CN(CCC(F)(F)F)C2)CC1 ZINC001035767230 660849760 /nfs/dbraw/zinc/84/97/60/660849760.db2.gz NALYABWIZNTYLK-UHFFFAOYSA-N 0 1 318.383 3.075 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(CC(C)C)cc1)CC2 ZINC001035848704 660855809 /nfs/dbraw/zinc/85/58/09/660855809.db2.gz GWLWLOMZZZTLQP-UHFFFAOYSA-N 0 1 324.468 3.056 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)CCC1CCCCC1)CC2 ZINC001035844812 660858636 /nfs/dbraw/zinc/85/86/36/660858636.db2.gz PZJRCUFGDBRPSZ-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1(CC)CCCCC1)CC2 ZINC001035856034 660860122 /nfs/dbraw/zinc/86/01/22/660860122.db2.gz ILQVEPMRTGNHJQ-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC3CCC3)CC[C@H]21 ZINC001036679482 660944294 /nfs/dbraw/zinc/94/42/94/660944294.db2.gz HWQRDYOXGUBGCX-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3C(C)(C)C3(C)C)CC[C@H]21 ZINC001036680437 660945280 /nfs/dbraw/zinc/94/52/80/660945280.db2.gz WJFPVVCFLDQSJF-UONOGXRCSA-N 0 1 324.896 3.344 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001006148082 660965915 /nfs/dbraw/zinc/96/59/15/660965915.db2.gz UQQAXJRMEOHFNV-IAGOWNOFSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccsc3C)CC[C@H]21 ZINC001036708989 660960262 /nfs/dbraw/zinc/96/02/62/660960262.db2.gz OOCUNJSSVBMPSV-UKRRQHHQSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(C)(C)C3CC3)CC[C@H]21 ZINC001036710499 660961037 /nfs/dbraw/zinc/96/10/37/660961037.db2.gz LTYQVQYDRCXXDO-GDBMZVCRSA-N 0 1 324.896 3.488 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc3cc(C)c(C)cc3o2)CC1 ZINC001006298280 660973188 /nfs/dbraw/zinc/97/31/88/660973188.db2.gz ISDGDGGVWNMKRR-UHFFFAOYSA-N 0 1 324.424 3.219 20 30 DGEDMN C#CCOc1cccc([C@H](C)OC(=O)c2ccc3cncn3c2)c1 ZINC000793146108 661007750 /nfs/dbraw/zinc/00/77/50/661007750.db2.gz FNSMZHWTTNHQGG-AWEZNQCLSA-N 0 1 320.348 3.264 20 30 DGEDMN Clc1cccc(NN=Cc2cccc(Br)n2)n1 ZINC000793174047 661009994 /nfs/dbraw/zinc/00/99/94/661009994.db2.gz HDABXDQWCIRROP-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN COc1cc(OC)c(C=NNc2cccc(Cl)n2)c(OC)c1 ZINC000793176088 661010318 /nfs/dbraw/zinc/01/03/18/661010318.db2.gz YNAQBNFSVDVDRK-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN CCOC(=O)c1scnc1/C=C\C(=O)c1ccc(C)cc1O ZINC000794940866 661115059 /nfs/dbraw/zinc/11/50/59/661115059.db2.gz DICUDAVNYLDVGN-SREVYHEPSA-N 0 1 317.366 3.230 20 30 DGEDMN CC(N=Nc1cnnc2ccccc12)c1ccc2[nH]c(=O)oc2c1 ZINC000794912347 661113126 /nfs/dbraw/zinc/11/31/26/661113126.db2.gz CXKYASALAYUEQT-UHFFFAOYSA-N 0 1 319.324 3.313 20 30 DGEDMN CC[C@@H](C)Oc1cc(C=NNc2cncnc2)ccc1OC ZINC000794979698 661118357 /nfs/dbraw/zinc/11/83/57/661118357.db2.gz PMTDEWZFEBUCBL-GFCCVEGCSA-N 0 1 300.362 3.109 20 30 DGEDMN CC(=NNc1cncnc1)c1cc(C)c(Br)s1 ZINC000794976791 661118588 /nfs/dbraw/zinc/11/85/88/661118588.db2.gz QFSDQSQSTKFERB-UHFFFAOYSA-N 0 1 311.208 3.445 20 30 DGEDMN O=[N+]([O-])c1ccc2c(c1)C(=NNc1cccc(F)n1)CCC2 ZINC000795005988 661120939 /nfs/dbraw/zinc/12/09/39/661120939.db2.gz HWBOLIHXNGBPFE-UHFFFAOYSA-N 0 1 300.293 3.281 20 30 DGEDMN C=CCNN=Cc1ccc(N2CCC(c3ccccc3)=N2)cc1 ZINC000795003655 661121093 /nfs/dbraw/zinc/12/10/93/661121093.db2.gz PINVATZKESRKLX-UHFFFAOYSA-N 0 1 304.397 3.411 20 30 DGEDMN COc1ccc(OC(F)F)c(C=NNc2cccc(F)n2)c1 ZINC000795010218 661121379 /nfs/dbraw/zinc/12/13/79/661121379.db2.gz XTBRRORHLRCOKK-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN COCCCON=C(C)c1cc(Br)cc(F)c1O ZINC000795056200 661124533 /nfs/dbraw/zinc/12/45/33/661124533.db2.gz VAECBGFEVAADCE-UHFFFAOYSA-N 0 1 320.158 3.071 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc(C)ccc1C ZINC000969130597 655526827 /nfs/dbraw/zinc/52/68/27/655526827.db2.gz MTBLWSQXQXTSSD-KRWDZBQOSA-N 0 1 300.446 3.464 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1sccc1C(C)C ZINC000969153994 655528569 /nfs/dbraw/zinc/52/85/69/655528569.db2.gz USUFWSVKUKPWSK-HNNXBMFYSA-N 0 1 318.486 3.479 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCCCC1(C)C ZINC000969158176 655529002 /nfs/dbraw/zinc/52/90/02/655529002.db2.gz DGJCIUWBAOEADT-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCCC(C)(C)C1 ZINC000969161499 655529640 /nfs/dbraw/zinc/52/96/40/655529640.db2.gz YETLZBCORJSZSO-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000187207495 655543502 /nfs/dbraw/zinc/54/35/02/655543502.db2.gz SZXYWRQGCKNDNU-UHFFFAOYSA-N 0 1 321.336 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC000969584576 655551447 /nfs/dbraw/zinc/55/14/47/655551447.db2.gz JULXNDKRIWWPER-TZMCWYRMSA-N 0 1 322.399 3.429 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC000969986013 655566792 /nfs/dbraw/zinc/56/67/92/655566792.db2.gz VAGTWNJDYRORAU-CQSZACIVSA-N 0 1 311.429 3.021 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3ccccc3s2)C1 ZINC000970073171 655571904 /nfs/dbraw/zinc/57/19/04/655571904.db2.gz ZVNLZXMFUWHRPV-GFCCVEGCSA-N 0 1 300.427 3.137 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C2CN(Cc3ccsc3)C2)CC1 ZINC000970376797 655596842 /nfs/dbraw/zinc/59/68/42/655596842.db2.gz NHVLBIFSZRWGAF-CQSZACIVSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C(C)(C)F)C1 ZINC001039178534 655601109 /nfs/dbraw/zinc/60/11/09/655601109.db2.gz RVIRVSTVFHIUFO-KGLIPLIRSA-N 0 1 316.848 3.190 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC000970710468 655613831 /nfs/dbraw/zinc/61/38/31/655613831.db2.gz KHHNFNQNPANNIA-CQSZACIVSA-N 0 1 310.397 3.183 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(Cl)c3occc32)C1 ZINC000970724636 655614985 /nfs/dbraw/zinc/61/49/85/655614985.db2.gz GCXIQKVWNSMXMP-NSHDSACASA-N 0 1 318.804 3.322 20 30 DGEDMN CCCC(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)CC[C@H]21 ZINC001021499837 655620336 /nfs/dbraw/zinc/62/03/36/655620336.db2.gz PDKPNFLMJAUBND-VQTJNVASSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC000970787740 655620935 /nfs/dbraw/zinc/62/09/35/655620935.db2.gz DJRPXXWJBKYTPI-SNVBAGLBSA-N 0 1 313.228 3.230 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC000970810134 655623932 /nfs/dbraw/zinc/62/39/32/655623932.db2.gz JSLLRKZECXMGLC-NSHDSACASA-N 0 1 318.804 3.322 20 30 DGEDMN CC(C)C(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)CC[C@H]21 ZINC001021528024 655625350 /nfs/dbraw/zinc/62/53/50/655625350.db2.gz VIIRHPBXKSYLRM-VQTJNVASSA-N 0 1 324.468 3.007 20 30 DGEDMN C[C@H](CCC(=O)C(C#N)C(=O)NC1CCCC1)c1ccccc1 ZINC000160433662 655632634 /nfs/dbraw/zinc/63/26/34/655632634.db2.gz APNYFJUVWFGVCV-RHSMWYFYSA-N 0 1 312.413 3.338 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2oc3ccccc3c2CC)C1 ZINC000970955311 655642472 /nfs/dbraw/zinc/64/24/72/655642472.db2.gz URXOCRBFGCASIN-ZDUSSCGKSA-N 0 1 312.413 3.231 20 30 DGEDMN C#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)C2CCCCCCC2)C1 ZINC001021631764 655645983 /nfs/dbraw/zinc/64/59/83/655645983.db2.gz ZXJDPRAHSGIOJO-MOPGFXCFSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC000970996531 655648485 /nfs/dbraw/zinc/64/84/85/655648485.db2.gz KWTHRDDVELOCHT-HNNXBMFYSA-N 0 1 310.441 3.490 20 30 DGEDMN Cc1ccn(CN2C[C@@H](C)C[C@H]2c2ccccc2)c(=O)c1C#N ZINC000795743310 661170669 /nfs/dbraw/zinc/17/06/69/661170669.db2.gz JOVARFFFRRDBJE-KSSFIOAISA-N 0 1 307.397 3.069 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1C ZINC001039319217 655663375 /nfs/dbraw/zinc/66/33/75/655663375.db2.gz ODEQPIIOFVVYDA-CABCVRRESA-N 0 1 304.459 3.312 20 30 DGEDMN Cc1cc(Cl)ncc1NS(=O)(=O)c1ccc(C#N)cc1C ZINC000178144416 655714950 /nfs/dbraw/zinc/71/49/50/655714950.db2.gz CCHBVQFFWKUANY-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C[C@H]2C=CCCC2)C1 ZINC001022270798 655725348 /nfs/dbraw/zinc/72/53/48/655725348.db2.gz UXONQDDCTPLOOQ-ZYSHUDEJSA-N 0 1 323.440 3.041 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)C1CCCCC1 ZINC001039451147 655731195 /nfs/dbraw/zinc/73/11/95/655731195.db2.gz KQPAIPRCFFKILK-UHOSZYNNSA-N 0 1 316.489 3.291 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000933770067 655732267 /nfs/dbraw/zinc/73/22/67/655732267.db2.gz JKKMXPPFRSQIDT-PWSUYJOCSA-N 0 1 304.337 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC000972073499 655762063 /nfs/dbraw/zinc/76/20/63/655762063.db2.gz SJSDYXMZMHQRAY-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC[C@H]1CCN1[C@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000934469352 655777537 /nfs/dbraw/zinc/77/75/37/655777537.db2.gz SMFCWIIGDYJXDA-SJORKVTESA-N 0 1 311.429 3.281 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC000972248208 655782801 /nfs/dbraw/zinc/78/28/01/655782801.db2.gz XJVIPZAOSWNGBA-IEBWSBKVSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cscc1C(F)F ZINC001038253681 655811353 /nfs/dbraw/zinc/81/13/53/655811353.db2.gz QAQJLGNGUABRBQ-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN Cc1[nH]c2ccccc2c1CC(=O)Nc1cc(C#N)ccc1O ZINC000176816681 655847592 /nfs/dbraw/zinc/84/75/92/655847592.db2.gz SCDYBWORWMFEGT-UHFFFAOYSA-N 0 1 305.337 3.235 20 30 DGEDMN Cc1oc(-c2ccco2)nc1CC(=O)Nc1cc(C#N)ccc1O ZINC000176827708 655849313 /nfs/dbraw/zinc/84/93/13/655849313.db2.gz YMVBDTFWCMNTED-UHFFFAOYSA-N 0 1 323.308 3.002 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C[C@@H]1C ZINC000947586862 655854838 /nfs/dbraw/zinc/85/48/38/655854838.db2.gz ZVJQXPVBGCOTSP-JKSUJKDBSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(CC)o3)CC[C@H]21 ZINC001036752143 661195655 /nfs/dbraw/zinc/19/56/55/661195655.db2.gz SOIIVVGIKQRXFK-DZGCQCFKSA-N 0 1 322.836 3.131 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC000972719631 655873577 /nfs/dbraw/zinc/87/35/77/655873577.db2.gz XOHKNLWWRRCASG-MRXNPFEDSA-N 0 1 318.848 3.072 20 30 DGEDMN O=C(/C=C/c1cn2cc(Cl)ccc2n1)c1ccc(O)cc1O ZINC000176954393 655884322 /nfs/dbraw/zinc/88/43/22/655884322.db2.gz ZKPIJFUWOGHAKL-GORDUTHDSA-N 0 1 314.728 3.295 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001024438102 655906212 /nfs/dbraw/zinc/90/62/12/655906212.db2.gz GOVTUQCEEHDPGI-ARFHVFGLSA-N 0 1 318.486 3.317 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c(C)oc2ccccc21 ZINC001024456218 655907235 /nfs/dbraw/zinc/90/72/35/655907235.db2.gz SAZOQSAPCUKHLE-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2cc(C)cn2c1 ZINC001024475450 655909389 /nfs/dbraw/zinc/90/93/89/655909389.db2.gz OPKIQNIAWPTBOV-SFHVURJKSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCCCN1C[C@@H](F)CC ZINC001024479892 655909697 /nfs/dbraw/zinc/90/96/97/655909697.db2.gz SLCSQYXYGJTAFF-HOTGVXAUSA-N 0 1 321.440 3.007 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(CC)CCC3)CC[C@@H]21 ZINC001036795256 661202297 /nfs/dbraw/zinc/20/22/97/661202297.db2.gz JUSVXWJCNHMCCB-GJZGRUSLSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(F)ccc1CC ZINC001024530344 655913545 /nfs/dbraw/zinc/91/35/45/655913545.db2.gz GJBWKWKWAVSLFF-MRXNPFEDSA-N 0 1 304.409 3.158 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc(C(C)(C)C)on1 ZINC001024549993 655915828 /nfs/dbraw/zinc/91/58/28/655915828.db2.gz ATJKFGZXVSZYPE-AWEZNQCLSA-N 0 1 319.449 3.133 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001024592386 655921817 /nfs/dbraw/zinc/92/18/17/655921817.db2.gz OIILURNQITUPFF-KRWDZBQOSA-N 0 1 323.440 3.084 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1 ZINC001024632734 655926317 /nfs/dbraw/zinc/92/63/17/655926317.db2.gz ZSYUFTXZOGROKF-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN Oc1ccccc1/C=N/CC1(CN2CCOCC2)CCCCC1 ZINC000177545462 655931831 /nfs/dbraw/zinc/93/18/31/655931831.db2.gz CGUKVHDARUOJFR-XSFVSMFZSA-N 0 1 316.445 3.094 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)ccc1C ZINC001024715264 655933184 /nfs/dbraw/zinc/93/31/84/655933184.db2.gz AKKXDFJFHJOCPG-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C(C)C)oc1C ZINC001024739001 655934054 /nfs/dbraw/zinc/93/40/54/655934054.db2.gz WTSLGHIAHMEOSO-HNNXBMFYSA-N 0 1 304.434 3.482 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C(C)C)oc1C ZINC001024739013 655934217 /nfs/dbraw/zinc/93/42/17/655934217.db2.gz WTSLGHIAHMEOSO-OAHLLOKOSA-N 0 1 304.434 3.482 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc2ccccc2c1 ZINC001024775997 655937816 /nfs/dbraw/zinc/93/78/16/655937816.db2.gz NPPPTUPXLJTIQA-HXUWFJFHSA-N 0 1 320.436 3.447 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001024793726 655939431 /nfs/dbraw/zinc/93/94/31/655939431.db2.gz ABROXWOCIBWOLC-QGZVFWFLSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc2ccccc2o1 ZINC001024791096 655939769 /nfs/dbraw/zinc/93/97/69/655939769.db2.gz UVRPFJHKEFZNNA-MRXNPFEDSA-N 0 1 310.397 3.040 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccccc1CC(C)C ZINC001024816497 655942707 /nfs/dbraw/zinc/94/27/07/655942707.db2.gz LTGKNFKSHSFUCK-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1csc(C2CC2)n1 ZINC001024825131 655943031 /nfs/dbraw/zinc/94/30/31/655943031.db2.gz SJLALAXRQMDMTQ-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001024830453 655943804 /nfs/dbraw/zinc/94/38/04/655943804.db2.gz QGIMAHHPEGXGAO-HXUWFJFHSA-N 0 1 322.452 3.471 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001024830453 655943805 /nfs/dbraw/zinc/94/38/05/655943805.db2.gz QGIMAHHPEGXGAO-HXUWFJFHSA-N 0 1 322.452 3.471 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1cc(Br)ccc1Cl ZINC000236425095 655953921 /nfs/dbraw/zinc/95/39/21/655953921.db2.gz XZPDJNYFEHCSEN-UHFFFAOYSA-N 0 1 318.598 3.175 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@H]1CNCc1nc(C)cs1 ZINC001025040804 655958678 /nfs/dbraw/zinc/95/86/78/655958678.db2.gz MJEBJUABVOFWLG-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001007351344 655968868 /nfs/dbraw/zinc/96/88/68/655968868.db2.gz KIHAGMVHBVDACK-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1sccc1C ZINC001025184492 655973553 /nfs/dbraw/zinc/97/35/53/655973553.db2.gz POCRZDFTIRWLAX-CVEARBPZSA-N 0 1 316.470 3.149 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C)CCC(C)CC1 ZINC001018325942 655979185 /nfs/dbraw/zinc/97/91/85/655979185.db2.gz YHKQFURSPWSZFG-RJSVMHHESA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)C(F)=C1CCCC1 ZINC001025220808 655980475 /nfs/dbraw/zinc/98/04/75/655980475.db2.gz JGJSMAAKFNMBCR-IRXDYDNUSA-N 0 1 318.436 3.263 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ccoc1Cl ZINC001025224327 655981393 /nfs/dbraw/zinc/98/13/93/655981393.db2.gz OINZIKIUWRTJLU-LSDHHAIUSA-N 0 1 320.820 3.025 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2ccccc2F)C1 ZINC001025313464 655992876 /nfs/dbraw/zinc/99/28/76/655992876.db2.gz RYQFOUKOGLABEM-KBPBESRZSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2ccoc2C)C1 ZINC001025335765 655995384 /nfs/dbraw/zinc/99/53/84/655995384.db2.gz DJJYVKFUOFGYIH-JSGCOSHPSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2CC(F)(F)C2)C1 ZINC001025376081 656001871 /nfs/dbraw/zinc/00/18/71/656001871.db2.gz VBHOOFLTXYLPBQ-NWDGAFQWSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2CC3(CC3)C2)C1 ZINC001025526715 656030225 /nfs/dbraw/zinc/03/02/25/656030225.db2.gz ASAQHZZIPMDDBR-ZIAGYGMSSA-N 0 1 310.869 3.146 20 30 DGEDMN O=C(NCc1nc2c(s1)CCCC2)c1ccc2cncn2c1 ZINC000328799501 656079487 /nfs/dbraw/zinc/07/94/87/656079487.db2.gz HGNZJVYBBDRMBT-UHFFFAOYSA-N 0 1 312.398 3.174 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)Cc1ccc(F)cc1 ZINC000122695602 656079564 /nfs/dbraw/zinc/07/95/64/656079564.db2.gz HGNRVPJLFHIHLX-AWEZNQCLSA-N 0 1 307.328 3.131 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(Cl)c2F)CC1 ZINC000949980453 656206635 /nfs/dbraw/zinc/20/66/35/656206635.db2.gz VFEGSGNOUFFTJV-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cscc2C(F)F)C(C)(C)C1 ZINC000974585451 656215542 /nfs/dbraw/zinc/21/55/42/656215542.db2.gz GMOBMHOQJNRBAK-LBPRGKRZSA-N 0 1 314.401 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C(C)(C)C1 ZINC000974599306 656216068 /nfs/dbraw/zinc/21/60/68/656216068.db2.gz XODUFDHYMNPHPH-INIZCTEOSA-N 0 1 315.461 3.005 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2csc(C)c2C)C(C)(C)C1 ZINC000974618923 656216765 /nfs/dbraw/zinc/21/67/65/656216765.db2.gz GWNPNTSPAXITJC-HNNXBMFYSA-N 0 1 306.475 3.381 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc3c2CCCC3)C(C)(C)C1 ZINC000974618877 656217174 /nfs/dbraw/zinc/21/71/74/656217174.db2.gz GFQHAKCTENNKKV-IBGZPJMESA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C)c(F)cc2F)C(C)(C)C1 ZINC000974711503 656224126 /nfs/dbraw/zinc/22/41/26/656224126.db2.gz SYUJIDXVLTUSHL-INIZCTEOSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3ccc(C)nc32)C(C)(C)C1 ZINC000974711906 656224187 /nfs/dbraw/zinc/22/41/87/656224187.db2.gz NQAUHDSFIAIECI-KRWDZBQOSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)ccc2CC)C(C)(C)C1 ZINC000974772589 656228334 /nfs/dbraw/zinc/22/83/34/656228334.db2.gz HEMGYICLNWPIEB-MRXNPFEDSA-N 0 1 304.409 3.014 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)C(C)(C)C1 ZINC000974793595 656229351 /nfs/dbraw/zinc/22/93/51/656229351.db2.gz XMXXHMYARAQKOK-QGZVFWFLSA-N 0 1 312.457 3.121 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C(C)(C)C1 ZINC000974893995 656239019 /nfs/dbraw/zinc/23/90/19/656239019.db2.gz JSWJTHSDZKLPPT-MRXNPFEDSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2C)C(C)(C)C1 ZINC000975006415 656249014 /nfs/dbraw/zinc/24/90/14/656249014.db2.gz LDRIURNLLZZKIJ-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C2(C)CC2)C(C)(C)C1 ZINC000975044452 656251145 /nfs/dbraw/zinc/25/11/45/656251145.db2.gz UVVQFQMMLAXXKE-GOSISDBHSA-N 0 1 324.468 3.202 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[N@@H+](CCC(F)(F)F)CC2(C)C)C1 ZINC000975032926 656251970 /nfs/dbraw/zinc/25/19/70/656251970.db2.gz ZXCGERLDROJRDF-LBPRGKRZSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CN(CCC(F)(F)F)CC2(C)C)C1 ZINC000975032926 656251973 /nfs/dbraw/zinc/25/19/73/656251973.db2.gz ZXCGERLDROJRDF-LBPRGKRZSA-N 0 1 318.383 3.122 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)cc2Cl)C(C)(C)C1 ZINC000975081339 656254381 /nfs/dbraw/zinc/25/43/81/656254381.db2.gz SUWRVDWDNFCUOB-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(F)c3ccccc23)C(C)(C)C1 ZINC000975083800 656254994 /nfs/dbraw/zinc/25/49/94/656254994.db2.gz AJUXWANLJNCMBN-SFHVURJKSA-N 0 1 324.399 3.052 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000975108425 656256619 /nfs/dbraw/zinc/25/66/19/656256619.db2.gz JKAJCPMYRFLLPA-CYBMUJFWSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000975108425 656256621 /nfs/dbraw/zinc/25/66/21/656256621.db2.gz JKAJCPMYRFLLPA-CYBMUJFWSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000975108426 656256658 /nfs/dbraw/zinc/25/66/58/656256658.db2.gz JKAJCPMYRFLLPA-ZDUSSCGKSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000975108426 656256660 /nfs/dbraw/zinc/25/66/60/656256660.db2.gz JKAJCPMYRFLLPA-ZDUSSCGKSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)C(C)(C)C1 ZINC000975096917 656257648 /nfs/dbraw/zinc/25/76/48/656257648.db2.gz OGHAKTNEHNGBLL-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OCC)c(C)c2)C(C)(C)C1 ZINC000975111590 656258259 /nfs/dbraw/zinc/25/82/59/656258259.db2.gz IYIPTVCXGRECGF-KRWDZBQOSA-N 0 1 316.445 3.020 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027356130 656295118 /nfs/dbraw/zinc/29/51/18/656295118.db2.gz FWOXVLBXUQFAGW-YLIUPVCFSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000977318515 656322016 /nfs/dbraw/zinc/32/20/16/656322016.db2.gz KRMHKSMSXDZRKW-AWEZNQCLSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)CCCC3)C(C)(C)C1 ZINC000977558963 656342425 /nfs/dbraw/zinc/34/24/25/656342425.db2.gz QXOUKVXIGCMNNG-SFHVURJKSA-N 0 1 312.457 3.192 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C(C)(C)C1 ZINC000977558260 656342857 /nfs/dbraw/zinc/34/28/57/656342857.db2.gz ORGJDCYHXFSSOY-LJQANCHMSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(CC)s2)C(C)(C)C1 ZINC000977573641 656343966 /nfs/dbraw/zinc/34/39/66/656343966.db2.gz GNDKXJUNPDXCJL-OAHLLOKOSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)C(C)(C)C1 ZINC000977633875 656348985 /nfs/dbraw/zinc/34/89/85/656348985.db2.gz FWCVPICBWXGULD-MRXNPFEDSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(C3CCCCC3)CC2)CC1 ZINC001006341050 661257181 /nfs/dbraw/zinc/25/71/81/661257181.db2.gz RLVVRYINZPGLAL-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN O=C(N[C@@H]1CCCN(CC#Cc2ccccc2)CC1)C1CCCC1 ZINC001052143438 656416154 /nfs/dbraw/zinc/41/61/54/656416154.db2.gz WBKVVZLLRBXKHO-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2cccs2)CC1 ZINC001052158146 656417751 /nfs/dbraw/zinc/41/77/51/656417751.db2.gz INEVSYCHSWJWMY-CYBMUJFWSA-N 0 1 312.866 3.014 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccccc2Br)CC1 ZINC000717435233 661264604 /nfs/dbraw/zinc/26/46/04/661264604.db2.gz VLYOJBMTCLGMHQ-UHFFFAOYSA-N 0 1 310.235 3.352 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccc(Cl)c1C ZINC001027898972 656467496 /nfs/dbraw/zinc/46/74/96/656467496.db2.gz SJEJGSKQUVZAIX-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC000980294052 656479985 /nfs/dbraw/zinc/47/99/85/656479985.db2.gz TWXKWJPVSYLCDR-HXUWFJFHSA-N 0 1 318.420 3.133 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC000980294052 656479989 /nfs/dbraw/zinc/47/99/89/656479989.db2.gz TWXKWJPVSYLCDR-HXUWFJFHSA-N 0 1 318.420 3.133 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(F)=C1CCCC1 ZINC001039356740 656488310 /nfs/dbraw/zinc/48/83/10/656488310.db2.gz UOBYKIHARSMJOI-CVEARBPZSA-N 0 1 306.425 3.425 20 30 DGEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1Cl ZINC001039378913 656490281 /nfs/dbraw/zinc/49/02/81/656490281.db2.gz NMISHQJAJBBBKG-OLZOCXBDSA-N 0 1 322.861 3.104 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCC2(CC2)CC1 ZINC001039405871 656494976 /nfs/dbraw/zinc/49/49/76/656494976.db2.gz MPUZLGKJWPMCGN-SJORKVTESA-N 0 1 302.462 3.208 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1occc1Cl ZINC001039431886 656500905 /nfs/dbraw/zinc/50/09/05/656500905.db2.gz XCWAILYEFUBEQM-OLZOCXBDSA-N 0 1 308.809 3.188 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001039442688 656502325 /nfs/dbraw/zinc/50/23/25/656502325.db2.gz OJXFSWGFSLOTFD-LFDJNIOPSA-N 0 1 316.489 3.454 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cccc(C)c1 ZINC001039444970 656502941 /nfs/dbraw/zinc/50/29/41/656502941.db2.gz YHNLHTQMWDDOMZ-SXLOBPIMSA-N 0 1 324.468 3.187 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2ccccc2C1 ZINC001039444098 656503072 /nfs/dbraw/zinc/50/30/72/656503072.db2.gz RQVWXGQSNZZISU-ZCNNSNEGSA-N 0 1 324.468 3.043 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1CCCCCC1 ZINC001039444810 656503292 /nfs/dbraw/zinc/50/32/92/656503292.db2.gz TZLSWNHXULLKOG-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCC(C)(C)C1 ZINC001039458545 656506788 /nfs/dbraw/zinc/50/67/88/656506788.db2.gz BPILBLLPTRXRTD-KSZLIROESA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)cc1C ZINC001039465332 656508029 /nfs/dbraw/zinc/50/80/29/656508029.db2.gz ARTOOFYRHAZCLJ-MSOLQXFVSA-N 0 1 310.441 3.006 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CC(c2ccccc2)C1 ZINC001039477161 656511654 /nfs/dbraw/zinc/51/16/54/656511654.db2.gz LMZJKEOBFLUWKD-TUNPWDSISA-N 0 1 324.468 3.432 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CCC3CCCC3)C[C@@H]2C1 ZINC001048655551 656529563 /nfs/dbraw/zinc/52/95/63/656529563.db2.gz MKIAMJTZNZMODC-IYBDPMFKSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001027954467 656530885 /nfs/dbraw/zinc/53/08/85/656530885.db2.gz OHXOOJQDIGUNDR-INIZCTEOSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001039896854 656540784 /nfs/dbraw/zinc/54/07/84/656540784.db2.gz SLHSMWOHODHYQG-MJQMVNBJSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)(C)C(C)(C)C)C[C@@H]2C1 ZINC001048982558 656550754 /nfs/dbraw/zinc/55/07/54/656550754.db2.gz VGJMNDNUBCBIHH-OKILXGFUSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3C[C@]3(CC)C(C)C)C[C@@H]2C1 ZINC001049141344 656564511 /nfs/dbraw/zinc/56/45/11/656564511.db2.gz OELLETBLUOHMDW-UIBIWLFHSA-N 0 1 324.896 3.201 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC000950051157 656567691 /nfs/dbraw/zinc/56/76/91/656567691.db2.gz GATBSTGTTLAUCV-HOCLYGCPSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3ccc(C)c(C)c3)C[C@@H]2C1 ZINC001049198815 656572292 /nfs/dbraw/zinc/57/22/92/656572292.db2.gz HBSLWOYJFVIYPU-CALCHBBNSA-N 0 1 318.848 3.060 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@@H]3C=CCC3)C2)CC1 ZINC001040600325 656599141 /nfs/dbraw/zinc/59/91/41/656599141.db2.gz AXULLCFEYJFHEC-MRXNPFEDSA-N 0 1 322.880 3.410 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049408927 656616040 /nfs/dbraw/zinc/61/60/40/656616040.db2.gz XQXLHBIHJCHYNQ-SPJGTIGYSA-N 0 1 322.452 3.070 20 30 DGEDMN CC(C)CC(=O)N1CC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041022825 656623371 /nfs/dbraw/zinc/62/33/71/656623371.db2.gz NQZDIEQOEOCYDE-OAQYLSRUSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)CO[C@@H]2CCCC[C@H]2CC)CC1 ZINC000981137537 656629151 /nfs/dbraw/zinc/62/91/51/656629151.db2.gz VGGQAVDJIGLGGL-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(CCC)s1 ZINC001049498155 656630675 /nfs/dbraw/zinc/63/06/75/656630675.db2.gz MHOOZPLIINHREJ-HZPDHXFCSA-N 0 1 316.470 3.013 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2sccc2C)CC1 ZINC000981149818 656634171 /nfs/dbraw/zinc/63/41/71/656634171.db2.gz UCTLDGCMGJLXCY-HZPDHXFCSA-N 0 1 318.486 3.270 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)C1CCCC1 ZINC001049523617 656634940 /nfs/dbraw/zinc/63/49/40/656634940.db2.gz LYRLRCUSEVXQBS-ZWKOTPCHSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2c(o1)c(F)ccc2C ZINC001028043636 656641179 /nfs/dbraw/zinc/64/11/79/656641179.db2.gz UALKWSWMQDHNCS-ZDUSSCGKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc3c2CCCC3)CC1 ZINC000981233229 656653925 /nfs/dbraw/zinc/65/39/25/656653925.db2.gz OAXMMRZXQMIHJN-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662263 656660097 /nfs/dbraw/zinc/66/00/97/656660097.db2.gz FTTBAYLCXYJHSE-CVEARBPZSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662263 656660101 /nfs/dbraw/zinc/66/01/01/656660101.db2.gz FTTBAYLCXYJHSE-CVEARBPZSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001041639550 656661382 /nfs/dbraw/zinc/66/13/82/656661382.db2.gz WMDXRCBQMZXVPR-QOCAVEGASA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001028071959 656664099 /nfs/dbraw/zinc/66/40/99/656664099.db2.gz BIATZJRGDWFNON-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2occc2s1 ZINC001049719230 656673622 /nfs/dbraw/zinc/67/36/22/656673622.db2.gz QOVBVYHNVGBEOJ-OLZOCXBDSA-N 0 1 316.426 3.359 20 30 DGEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750802 656679401 /nfs/dbraw/zinc/67/94/01/656679401.db2.gz NZQCNBRHUAQWRR-ROUUACIJSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)C1CCCCC1 ZINC001049773798 656685652 /nfs/dbraw/zinc/68/56/52/656685652.db2.gz SGCBPVYVBOOLAP-ZWKOTPCHSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2sccc2c1 ZINC001049822318 656692504 /nfs/dbraw/zinc/69/25/04/656692504.db2.gz MSKGPOONIFIWOV-SJORKVTESA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(CC)c(CC)o1 ZINC001049846656 656697512 /nfs/dbraw/zinc/69/75/12/656697512.db2.gz LBPJTLUNVCVRHZ-JKSUJKDBSA-N 0 1 316.445 3.269 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCCc3ccccc32)CC1 ZINC000981457405 656700054 /nfs/dbraw/zinc/70/00/54/656700054.db2.gz NDDVVMIWUCHTOR-IBGZPJMESA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(CC)c(C)s1 ZINC001049886319 656713916 /nfs/dbraw/zinc/71/39/16/656713916.db2.gz SRSWKLYFEGXECI-CVEARBPZSA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(CC)c(C)s1 ZINC001049886320 656714110 /nfs/dbraw/zinc/71/41/10/656714110.db2.gz SRSWKLYFEGXECI-HOTGVXAUSA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3scc(C)c3Cl)C[C@H]21 ZINC001042075537 656719687 /nfs/dbraw/zinc/71/96/87/656719687.db2.gz DANWTMDDYTVZJR-CHWSQXEVSA-N 0 1 324.877 3.432 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912925 656720117 /nfs/dbraw/zinc/72/01/17/656720117.db2.gz QWXMWBWWFIRKSZ-DLBZAZTESA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3csc4ccccc34)C[C@@H]21 ZINC001042169901 656730467 /nfs/dbraw/zinc/73/04/67/656730467.db2.gz NSXUBFOZMDFOEV-YOEHRIQHSA-N 0 1 324.449 3.071 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CCC[C@H]2c2ccccc2)CC1 ZINC000981595818 656732027 /nfs/dbraw/zinc/73/20/27/656732027.db2.gz UXGCZZIZMBBFEX-OALUTQOASA-N 0 1 312.457 3.291 20 30 DGEDMN CCCN(Cc1cnn(CCF)c1)Cc1ccc(C#N)cc1 ZINC000929269395 661292811 /nfs/dbraw/zinc/29/28/11/661292811.db2.gz JXNPYPCTHKDHAZ-UHFFFAOYSA-N 0 1 300.381 3.136 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052726467 656754154 /nfs/dbraw/zinc/75/41/54/656754154.db2.gz NSFMPMFMPXRJHU-HSALFYBXSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CCc2ccccc2)CC1 ZINC000981740787 656756840 /nfs/dbraw/zinc/75/68/40/656756840.db2.gz CQHZFWHZTGTWCZ-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](C)c2ccc(C)o2)CC1 ZINC001052772941 656765916 /nfs/dbraw/zinc/76/59/16/656765916.db2.gz CUOJETAUSCPEQA-HUUCEWRRSA-N 0 1 324.852 3.415 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001038887750 656780893 /nfs/dbraw/zinc/78/08/93/656780893.db2.gz AAFLJQJCFKRQBU-WBVHZDCISA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(F)cc2C)CC1 ZINC001052882321 656781236 /nfs/dbraw/zinc/78/12/36/656781236.db2.gz DOSYWIHMWYFCMH-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2ccccc2F)CC1 ZINC001052910309 656788255 /nfs/dbraw/zinc/78/82/55/656788255.db2.gz LIFPGOVKSWKKCJ-HNNXBMFYSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccccc2-n2cccc2)CC1 ZINC000981868579 656790800 /nfs/dbraw/zinc/79/08/00/656790800.db2.gz BQNVFIGTGDMDKW-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(Cl)c(C)c2)CC1 ZINC000981868487 656791090 /nfs/dbraw/zinc/79/10/90/656791090.db2.gz XSBWWEZNUDFKAQ-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc3c2CCCC3)C1 ZINC001042777823 656797787 /nfs/dbraw/zinc/79/77/87/656797787.db2.gz IYFIZGZNWXJXFL-UHFFFAOYSA-N 0 1 312.457 3.288 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc3ccc(C)nc32)C1 ZINC001043030840 656806328 /nfs/dbraw/zinc/80/63/28/656806328.db2.gz NLVPZAFYPLGOMS-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2cc3occc3s2)CC1 ZINC000981945135 656819071 /nfs/dbraw/zinc/81/90/71/656819071.db2.gz FXFOGLLYKPWXNT-UHFFFAOYSA-N 0 1 304.415 3.218 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001028165602 656827509 /nfs/dbraw/zinc/82/75/09/656827509.db2.gz YGIGZVOOXVEMBR-AWEZNQCLSA-N 0 1 304.434 3.266 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCCC[C@H]2C2CC2)C1 ZINC001043453544 656830029 /nfs/dbraw/zinc/83/00/29/656830029.db2.gz KVPFVPUVNHKUHJ-ROUUACIJSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCCC[C@H]2C2CC2)C1 ZINC001043453545 656830432 /nfs/dbraw/zinc/83/04/32/656830432.db2.gz KVPFVPUVNHKUHJ-ZWKOTPCHSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccccc1O[C@H](C)CC ZINC001028171799 656832364 /nfs/dbraw/zinc/83/23/64/656832364.db2.gz JGHGDKNKKAESHD-HZPDHXFCSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC000981987928 656847251 /nfs/dbraw/zinc/84/72/51/656847251.db2.gz NVXOENRXQDYLOK-DYLHUKMJSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC000981987931 656848363 /nfs/dbraw/zinc/84/83/63/656848363.db2.gz NVXOENRXQDYLOK-MNDMWVCDSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC000981988208 656848911 /nfs/dbraw/zinc/84/89/11/656848911.db2.gz YGNRHARDGFHBIU-RDJZCZTQSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccccc2C2(C)CC2)C1 ZINC001043839206 656860555 /nfs/dbraw/zinc/86/05/55/656860555.db2.gz WZNUJIFANBVNKN-UHFFFAOYSA-N 0 1 312.457 3.461 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(C)c(OCC)c2)C1 ZINC001043996746 656870181 /nfs/dbraw/zinc/87/01/81/656870181.db2.gz UZJMCXWLRINGPI-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001044026447 656873515 /nfs/dbraw/zinc/87/35/15/656873515.db2.gz FHZYSLQQMISTFG-DLBZAZTESA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001044065942 656877455 /nfs/dbraw/zinc/87/74/55/656877455.db2.gz CSVKEJSEOVNTQQ-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1ccoc1 ZINC001054031469 656884469 /nfs/dbraw/zinc/88/44/69/656884469.db2.gz DLTSOYDVAXZBTP-SFHVURJKSA-N 0 1 310.397 3.012 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)C2)C1 ZINC000982085101 656885152 /nfs/dbraw/zinc/88/51/52/656885152.db2.gz YQWDAZFUVNTAJK-IYARVYRRSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H]1CC=CCC1)C2 ZINC001054083638 656907688 /nfs/dbraw/zinc/90/76/88/656907688.db2.gz JCTXXMPNFCSKKC-WMZOPIPTSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001044318791 656908549 /nfs/dbraw/zinc/90/85/49/656908549.db2.gz OFNUZQQCWIMVSW-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)CC1 ZINC000982165587 656908579 /nfs/dbraw/zinc/90/85/79/656908579.db2.gz MYWWYOSPRGOGDP-RBUKOAKNSA-N 0 1 312.457 3.209 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3C[C@@H](C)C[C@H](C)C3)C2)C1 ZINC000982167068 656909717 /nfs/dbraw/zinc/90/97/17/656909717.db2.gz ZPLGAWVBMFEXOT-IRXDYDNUSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C=C/C1CC1)C2 ZINC001054105944 656911279 /nfs/dbraw/zinc/91/12/79/656911279.db2.gz HCOLEASCIRLFDI-FUTAKVPZSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H]3CCCCC3(C)C)C2)C1 ZINC000982174922 656912983 /nfs/dbraw/zinc/91/29/83/656912983.db2.gz LMVDSQZXRKPWLQ-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC000982226916 656921053 /nfs/dbraw/zinc/92/10/53/656921053.db2.gz DJMPYCXSIHFWSA-ROUUACIJSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C(C)(C)CC)C2 ZINC001054187480 656929932 /nfs/dbraw/zinc/92/99/32/656929932.db2.gz SLUXBWCITMIKJX-QGZVFWFLSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001028249166 656942706 /nfs/dbraw/zinc/94/27/06/656942706.db2.gz HAPXZFCMZSSJJQ-IBGZPJMESA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C)c(C)c1Cl ZINC001028262722 656950904 /nfs/dbraw/zinc/95/09/04/656950904.db2.gz AVIZCWYLUFZQPJ-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCCC[C@H]3C)cc2C1 ZINC001054279148 656959427 /nfs/dbraw/zinc/95/94/27/656959427.db2.gz VOCGMSSOXQYHOZ-OXQOHEQNSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(Cl)ccc1Cl ZINC001028282359 656969206 /nfs/dbraw/zinc/96/92/06/656969206.db2.gz BJCPPOMTMJCVDN-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1csc2c1CC[C@@H](C)C2 ZINC001028301055 656985608 /nfs/dbraw/zinc/98/56/08/656985608.db2.gz NOHAKUZZCHTEMI-KGLIPLIRSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(CCC)c(C)s1 ZINC001028306054 656986497 /nfs/dbraw/zinc/98/64/97/656986497.db2.gz DFGPVDLPHBQIKG-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2c(s1)CCCCC2 ZINC001028303477 656987655 /nfs/dbraw/zinc/98/76/55/656987655.db2.gz OLADHYXNGCHMMX-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001028313159 656990787 /nfs/dbraw/zinc/99/07/87/656990787.db2.gz VURDJWXASUOCGI-AWEZNQCLSA-N 0 1 321.490 3.345 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(C)(C)C)s1 ZINC001028331927 656994568 /nfs/dbraw/zinc/99/45/68/656994568.db2.gz XFAMYJVOGHGAKS-CYBMUJFWSA-N 0 1 306.475 3.426 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2ccccc2n1CC ZINC001028334383 656994929 /nfs/dbraw/zinc/99/49/29/656994929.db2.gz XQQSNGJLGFKQIL-MRXNPFEDSA-N 0 1 311.429 3.041 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001028338073 656996867 /nfs/dbraw/zinc/99/68/67/656996867.db2.gz XFLUJQKCQJUPCB-VQIMIIECSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc3occc3c2)C[C@@H]1C ZINC001054685496 657000725 /nfs/dbraw/zinc/00/07/25/657000725.db2.gz CJGRDYKUMCPARI-NHYWBVRUSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2c(C)cccc2C)C[C@H]1C ZINC001054694430 657001536 /nfs/dbraw/zinc/00/15/36/657001536.db2.gz MZXUKKVKWLVCME-RHSMWYFYSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sc(C)cc2C)C[C@H]1C ZINC001054696360 657002115 /nfs/dbraw/zinc/00/21/15/657002115.db2.gz NCNZLTQYAKDIBT-MFKMUULPSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C2CCCC2)C[C@H]1C ZINC001054740867 657005487 /nfs/dbraw/zinc/00/54/87/657005487.db2.gz XBIQNQJXRQXRMR-DOMZBBRYSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(CC)s2)C[C@H]1C ZINC001054879495 657017440 /nfs/dbraw/zinc/01/74/40/657017440.db2.gz QTBNNWLINLVVPW-MFKMUULPSA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C(F)F)CCCC2)C[C@H]1C ZINC001055002919 657030922 /nfs/dbraw/zinc/03/09/22/657030922.db2.gz DGJKFBGXRGQTLU-PWSUYJOCSA-N 0 1 320.811 3.001 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)CC1 ZINC001045580712 657039440 /nfs/dbraw/zinc/03/94/40/657039440.db2.gz NMDKBVAIWZCZTD-RBUKOAKNSA-N 0 1 324.468 3.011 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(C(C)C)s2)CC1 ZINC001045649610 657050042 /nfs/dbraw/zinc/05/00/42/657050042.db2.gz QGXSYTLEWIEKKC-UHFFFAOYSA-N 0 1 318.486 3.479 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C(C)(F)F)cc2)CC1 ZINC001045653207 657050852 /nfs/dbraw/zinc/05/08/52/657050852.db2.gz YGFFKPLCEQFQJO-UHFFFAOYSA-N 0 1 320.383 3.016 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C/C=C\Cl)C1 ZINC001045843634 657073635 /nfs/dbraw/zinc/07/36/35/657073635.db2.gz MHRFGWOLJQMGAW-MLVZXCIBSA-N 0 1 308.853 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2cc(C)ccc2C)C1 ZINC001000080457 657091615 /nfs/dbraw/zinc/09/16/15/657091615.db2.gz FZSZFBOYMKCPIC-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H]1C[C@H]1CC)C2 ZINC001045968125 657109647 /nfs/dbraw/zinc/10/96/47/657109647.db2.gz JZRJFABXVLPZBB-NUJGCVRESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc(OCC)c2)C1 ZINC001000316833 657112848 /nfs/dbraw/zinc/11/28/48/657112848.db2.gz HIBJDSCNWSAECX-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)c(C)s2)C1 ZINC001000867613 657159891 /nfs/dbraw/zinc/15/98/91/657159891.db2.gz VMHQBGKZZGAOIW-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001046420588 657159888 /nfs/dbraw/zinc/15/98/88/657159888.db2.gz GULCUXSHHIANOF-SBHAEUEKSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001046451988 657163028 /nfs/dbraw/zinc/16/30/28/657163028.db2.gz QYBOETMFJZEYSL-LJQANCHMSA-N 0 1 316.445 3.199 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001000969468 657169928 /nfs/dbraw/zinc/16/99/28/657169928.db2.gz LOMHDCAMWFNEOW-CZUORRHYSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001046514658 657171924 /nfs/dbraw/zinc/17/19/24/657171924.db2.gz MRRKAERQZYPYMX-IBGZPJMESA-N 0 1 311.429 3.165 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C2CC(C)(C)CC(C)(C)C2)CC1 ZINC001001002799 657173465 /nfs/dbraw/zinc/17/34/65/657173465.db2.gz VTVHHPHJUPXVPL-UHFFFAOYSA-N 0 1 316.489 3.220 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001046533546 657173986 /nfs/dbraw/zinc/17/39/86/657173986.db2.gz PRRLQXPCXDHYRK-GOSISDBHSA-N 0 1 318.848 3.174 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2coc3ccccc23)C1 ZINC001001067461 657180164 /nfs/dbraw/zinc/18/01/64/657180164.db2.gz JEHZELTUTRFXBH-CYBMUJFWSA-N 0 1 318.804 3.380 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(Cl)cc2C)CC1 ZINC001001108286 657184602 /nfs/dbraw/zinc/18/46/02/657184602.db2.gz AOORLSJNTANEQC-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C)c(Cl)c2)CC1 ZINC001001105225 657185215 /nfs/dbraw/zinc/18/52/15/657185215.db2.gz KBJCBVMPEPZMLL-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001046715719 657208609 /nfs/dbraw/zinc/20/86/09/657208609.db2.gz SLNPTFXTMYIUIZ-IBGZPJMESA-N 0 1 300.446 3.364 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001046739650 657209912 /nfs/dbraw/zinc/20/99/12/657209912.db2.gz SAPUHTACOPIHII-FQEVSTJZSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046757450 657213326 /nfs/dbraw/zinc/21/33/26/657213326.db2.gz HHEARPWZSOCPEY-FQEVSTJZSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C2CCC(C(C)(C)C)CC2)CC1 ZINC001001423081 657217587 /nfs/dbraw/zinc/21/75/87/657217587.db2.gz NNMWBEVMOOGRSU-UHFFFAOYSA-N 0 1 316.489 3.220 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001046794103 657220747 /nfs/dbraw/zinc/22/07/47/657220747.db2.gz MYBLPEJPOJRFLS-OAHLLOKOSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001046795464 657221512 /nfs/dbraw/zinc/22/15/12/657221512.db2.gz SNSUBGBZHDRFBZ-HNNXBMFYSA-N 0 1 312.335 3.086 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001046858706 657237718 /nfs/dbraw/zinc/23/77/18/657237718.db2.gz VYUAEUOMFMVQEV-PZJWPPBQSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2oc3ccccc3c2CC)C1 ZINC001046864083 657238885 /nfs/dbraw/zinc/23/88/85/657238885.db2.gz NSGKIYGARKHLLB-IBGZPJMESA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001046879457 657242184 /nfs/dbraw/zinc/24/21/84/657242184.db2.gz MIOAGHBKDRXVKO-INIZCTEOSA-N 0 1 308.372 3.179 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC001046937395 657246837 /nfs/dbraw/zinc/24/68/37/657246837.db2.gz JOLQEZHMPFLDJO-CQSZACIVSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2ccc(CC)cc2)C1 ZINC001046994011 657249775 /nfs/dbraw/zinc/24/97/75/657249775.db2.gz BEUULWSSLXCNEL-MRXNPFEDSA-N 0 1 322.399 3.122 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001050157100 657262110 /nfs/dbraw/zinc/26/21/10/657262110.db2.gz YUMIZZMHMGLVLH-CEXWTWQISA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc3sccc3c2)CC1 ZINC000983481789 657270736 /nfs/dbraw/zinc/27/07/36/657270736.db2.gz BIWQOSHFAYFXSV-UHFFFAOYSA-N 0 1 312.438 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccccc3F)C2)CC1 ZINC001050412678 657302249 /nfs/dbraw/zinc/30/22/49/657302249.db2.gz AIBLHFNJRHDXGU-UHFFFAOYSA-N 0 1 322.811 3.116 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)/C=C(\C)C3CC3)C2)CC1 ZINC001050447612 657309387 /nfs/dbraw/zinc/30/93/87/657309387.db2.gz CFCOLZQRPWFUFG-UKTHLTGXSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)=C3CCC3)C2)CC1 ZINC001050515925 657319142 /nfs/dbraw/zinc/31/91/42/657319142.db2.gz UMXGXHVELRAAEG-UHFFFAOYSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC[C@H]4C[C@H]4C3)C2)CC1 ZINC001050571987 657325923 /nfs/dbraw/zinc/32/59/23/657325923.db2.gz SLKOFWVZZZFJPU-XHSDSOJGSA-N 0 1 322.880 3.100 20 30 DGEDMN CN(Cc1ccc(Cl)s1)C[C@H](O)c1ccc(C#N)cc1 ZINC000048972011 657328818 /nfs/dbraw/zinc/32/88/18/657328818.db2.gz ZMCOGWPWBNQLMF-AWEZNQCLSA-N 0 1 306.818 3.439 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CC=CCC3)C2)CC1 ZINC001050616320 657331439 /nfs/dbraw/zinc/33/14/39/657331439.db2.gz RUJPHWHRCWCMKQ-MRXNPFEDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCCC[C@@H]3C)C2)CC1 ZINC001050637543 657334861 /nfs/dbraw/zinc/33/48/61/657334861.db2.gz BBHNYGCHMXXVJK-GOEBONIOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccsc3)cccc2C1 ZINC001051278873 657379858 /nfs/dbraw/zinc/37/98/58/657379858.db2.gz HNMBJVKBXZEVSY-UHFFFAOYSA-N 0 1 312.438 3.222 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CCCC34CC4)cccc2C1 ZINC001051292744 657382609 /nfs/dbraw/zinc/38/26/09/657382609.db2.gz IWGYLZRQIFGKBZ-LJQANCHMSA-N 0 1 324.468 3.427 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3CC4(CCC4)C3)cccc2C1 ZINC001051296927 657383165 /nfs/dbraw/zinc/38/31/65/657383165.db2.gz DZQPIHYKPYICSG-UHFFFAOYSA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCC[C@@H]3CC)cccc2C1 ZINC001051299372 657383622 /nfs/dbraw/zinc/38/36/22/657383622.db2.gz IGJDAOPOCNVEDI-JXFKEZNVSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3CC4(CC4)C3)cccc2C1 ZINC001051300497 657383666 /nfs/dbraw/zinc/38/36/66/657383666.db2.gz WDUKYXOZEOOBNA-UHFFFAOYSA-N 0 1 310.441 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2oc(C(C)C)nc2C)C1 ZINC000968399970 657405189 /nfs/dbraw/zinc/40/51/89/657405189.db2.gz JOIWNYCBZOOBMO-DZGCQCFKSA-N 0 1 319.449 3.123 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2oc(C(C)C)nc2C)C1 ZINC000968399971 657405359 /nfs/dbraw/zinc/40/53/59/657405359.db2.gz JOIWNYCBZOOBMO-HIFRSBDPSA-N 0 1 319.449 3.123 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccc(C)cc2)CC1 ZINC000957373622 657409462 /nfs/dbraw/zinc/40/94/62/657409462.db2.gz VVMBWSYKFAQVTL-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC000968423014 657409620 /nfs/dbraw/zinc/40/96/20/657409620.db2.gz LJTGIHBZASJCSX-WMLDXEAASA-N 0 1 318.436 3.116 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968423389 657409928 /nfs/dbraw/zinc/40/99/28/657409928.db2.gz RUNMCFLSBRVHHB-RHSMWYFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC000968423016 657410079 /nfs/dbraw/zinc/41/00/79/657410079.db2.gz LJTGIHBZASJCSX-YOEHRIQHSA-N 0 1 318.436 3.116 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968430001 657411413 /nfs/dbraw/zinc/41/14/13/657411413.db2.gz AOVOMOPKNJPVQR-CJNGLKHVSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968439615 657412264 /nfs/dbraw/zinc/41/22/64/657412264.db2.gz ISTOGHOSLHTIET-CJNGLKHVSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cccc3ccccc32)CC1 ZINC000957418556 657414151 /nfs/dbraw/zinc/41/41/51/657414151.db2.gz FDMMOVOPRURAFI-UHFFFAOYSA-N 0 1 322.452 3.493 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3c2CCC3)C1 ZINC000957447329 657418697 /nfs/dbraw/zinc/41/86/97/657418697.db2.gz NIEJSHHOINDKHE-UHFFFAOYSA-N 0 1 312.457 3.288 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528835 657421736 /nfs/dbraw/zinc/42/17/36/657421736.db2.gz HHRQYMRKCQZKEM-GDBMZVCRSA-N 0 1 304.434 3.248 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528835 657421739 /nfs/dbraw/zinc/42/17/39/657421739.db2.gz HHRQYMRKCQZKEM-GDBMZVCRSA-N 0 1 304.434 3.248 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC000249726379 657424985 /nfs/dbraw/zinc/42/49/85/657424985.db2.gz QGGLFFMCSGMVQS-QNSHHTMESA-N 0 1 311.168 3.297 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC000968557571 657426287 /nfs/dbraw/zinc/42/62/87/657426287.db2.gz NLDBQEKGFKNYCB-FUHWJXTLSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC000968565112 657427446 /nfs/dbraw/zinc/42/74/46/657427446.db2.gz DPXYPPNIJNCGKU-DOTOQJQBSA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC000968566572 657428165 /nfs/dbraw/zinc/42/81/65/657428165.db2.gz UZFWHPMVPJBXAS-BRSBDYLESA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC000968566574 657428270 /nfs/dbraw/zinc/42/82/70/657428270.db2.gz UZFWHPMVPJBXAS-XLAORIBOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC000968575323 657430770 /nfs/dbraw/zinc/43/07/70/657430770.db2.gz UZFDCDHSRUKQSG-MOAASNJQSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592904 657434771 /nfs/dbraw/zinc/43/47/71/657434771.db2.gz QGTUOQUXWHIJNZ-BZNIZROVSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592904 657434776 /nfs/dbraw/zinc/43/47/76/657434776.db2.gz QGTUOQUXWHIJNZ-BZNIZROVSA-N 0 1 324.827 3.414 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2csc3c2CCCC3)CC1 ZINC000957575547 657435059 /nfs/dbraw/zinc/43/50/59/657435059.db2.gz GCUMJEBHBKOURX-UHFFFAOYSA-N 0 1 318.486 3.351 20 30 DGEDMN C=CCCN1CCN(C(=O)CC(C)(C)c2ccc(C)cc2)CC1 ZINC000957587736 657436593 /nfs/dbraw/zinc/43/65/93/657436593.db2.gz YLXDWSIPQLZZLW-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC000968598558 657437658 /nfs/dbraw/zinc/43/76/58/657437658.db2.gz HZVWTZMWQFEVCJ-OXJNMPFZSA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968619828 657444726 /nfs/dbraw/zinc/44/47/26/657444726.db2.gz PPHQWSIASIAWOQ-CRAIPNDOSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968619402 657444791 /nfs/dbraw/zinc/44/47/91/657444791.db2.gz MDGOIUCKRLSEMY-XJKSGUPXSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968619925 657444837 /nfs/dbraw/zinc/44/48/37/657444837.db2.gz QJTNLETTYCDIPK-KXBFYZLASA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968624468 657447271 /nfs/dbraw/zinc/44/72/71/657447271.db2.gz UDJNNHQEVDAHSK-YOEHRIQHSA-N 0 1 300.446 3.238 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968628066 657448764 /nfs/dbraw/zinc/44/87/64/657448764.db2.gz RWNWOTIHYPXOEG-CZUORRHYSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968627950 657448773 /nfs/dbraw/zinc/44/87/73/657448773.db2.gz QFWZHXBLHYVOJO-SWLSCSKDSA-N 0 1 306.475 3.245 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC=C(C)C)CC1 ZINC001029452966 657454965 /nfs/dbraw/zinc/45/49/65/657454965.db2.gz DXXHTGRVIHOFIV-HDICACEKSA-N 0 1 302.462 3.374 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968682213 657455919 /nfs/dbraw/zinc/45/59/19/657455919.db2.gz PASZVZHBTNETGU-WBVHZDCISA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC000957754250 657459665 /nfs/dbraw/zinc/45/96/65/657459665.db2.gz GESZQZREVURMML-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692897 657461278 /nfs/dbraw/zinc/46/12/78/657461278.db2.gz QPIHKBMWCPFJJV-MVYVIFSASA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968708665 657465213 /nfs/dbraw/zinc/46/52/13/657465213.db2.gz DIPKSEPHTUYTRS-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC000968709884 657466165 /nfs/dbraw/zinc/46/61/65/657466165.db2.gz MFWGJOUAPHPGNJ-XJKSGUPXSA-N 0 1 322.399 3.425 20 30 DGEDMN CC(=O)NC[C@@H]1CCCCCN1CC#Cc1ccc(Cl)cc1 ZINC000968715591 657467799 /nfs/dbraw/zinc/46/77/99/657467799.db2.gz ABGSUKGKPAXBLU-SFHVURJKSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2Cc3c2cccc3Cl)CC1 ZINC000957849886 657469726 /nfs/dbraw/zinc/46/97/26/657469726.db2.gz ZWDNRSKITKQXPI-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1sccc1C ZINC000968730347 657473937 /nfs/dbraw/zinc/47/39/37/657473937.db2.gz VTAWKWFQNOXEED-HNNXBMFYSA-N 0 1 304.459 3.054 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cscc1Cl ZINC000968905236 657512900 /nfs/dbraw/zinc/51/29/00/657512900.db2.gz XXLLIBIBEAFDBG-CYBMUJFWSA-N 0 1 324.877 3.399 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCC=CCCC1 ZINC000968913476 657513467 /nfs/dbraw/zinc/51/34/67/657513467.db2.gz HBGBACOQVZRQJU-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(Cl)c(C)c1 ZINC000969007738 657532258 /nfs/dbraw/zinc/53/22/58/657532258.db2.gz GRKIBXVGWUVYFC-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000969085274 657551876 /nfs/dbraw/zinc/55/18/76/657551876.db2.gz RTCGPHFFSIUCCN-FHWLQOOXSA-N 0 1 318.505 3.443 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC(N(C)Cc2ccccc2C#N)CC1 ZINC000985280948 657594031 /nfs/dbraw/zinc/59/40/31/657594031.db2.gz VHKXMMQEDHDDBI-HNNXBMFYSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C(=O)N[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001007301869 657595183 /nfs/dbraw/zinc/59/51/83/657595183.db2.gz YHDSCHMGKALNKE-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN CC(C(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001007301869 657595193 /nfs/dbraw/zinc/59/51/93/657595193.db2.gz YHDSCHMGKALNKE-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001007347123 657597102 /nfs/dbraw/zinc/59/71/02/657597102.db2.gz QKTZWZSYNVINJY-NSHDSACASA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC001007412564 657606017 /nfs/dbraw/zinc/60/60/17/657606017.db2.gz NZDGUBBZRIOQNJ-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC001007402218 657606918 /nfs/dbraw/zinc/60/69/18/657606918.db2.gz VYVZQHOASRZKRA-QGZVFWFLSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001007499804 657613566 /nfs/dbraw/zinc/61/35/66/657613566.db2.gz QHNJHJMBIUZTJE-OIAUPDTQSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001007859801 657640281 /nfs/dbraw/zinc/64/02/81/657640281.db2.gz QAUMJZVUOPMHDO-SFHVURJKSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCc3c2cccc3Cl)C1 ZINC001007953627 657648155 /nfs/dbraw/zinc/64/81/55/657648155.db2.gz PFDRYHQZLBZFJB-CJNGLKHVSA-N 0 1 318.848 3.136 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001008084369 657658033 /nfs/dbraw/zinc/65/80/33/657658033.db2.gz AFKIXTPUHDGXLI-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2csc(C(C)C)n2)C[C@@H]1C ZINC000947813649 657665957 /nfs/dbraw/zinc/66/59/57/657665957.db2.gz ADZJNNQJUXFOCM-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc3ccsc3c2)C1 ZINC001008180716 657667958 /nfs/dbraw/zinc/66/79/58/657667958.db2.gz BXVDOMXBCFPNNH-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC000985455485 657682858 /nfs/dbraw/zinc/68/28/58/657682858.db2.gz FCXMFPXJZAUUFN-UHFFFAOYSA-N 0 1 321.808 3.050 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@]2(C)CC=CCC2)CC1 ZINC000985451461 657683210 /nfs/dbraw/zinc/68/32/10/657683210.db2.gz UIXOOLAAFREXDJ-KRWDZBQOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC001008349309 657685721 /nfs/dbraw/zinc/68/57/21/657685721.db2.gz IRSPLNCMCFRFAU-GOSISDBHSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nccc3ccccc32)C1 ZINC001008344760 657685741 /nfs/dbraw/zinc/68/57/41/657685741.db2.gz USTNCYBMAKGAEV-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001008636302 657712769 /nfs/dbraw/zinc/71/27/69/657712769.db2.gz GTBPGFUGSSBWKI-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC001008793921 657730351 /nfs/dbraw/zinc/73/03/51/657730351.db2.gz KBUOWLGCLMQOTB-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001008835692 657734127 /nfs/dbraw/zinc/73/41/27/657734127.db2.gz PRFFAFOZMNALBB-GBESFXJTSA-N 0 1 312.457 3.255 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001008891324 657736472 /nfs/dbraw/zinc/73/64/72/657736472.db2.gz IMLDXLFBDQXSOV-ZDUSSCGKSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001009141257 657750241 /nfs/dbraw/zinc/75/02/41/657750241.db2.gz YKLKRRYCPGFHHN-ZBFHGGJFSA-N 0 1 312.413 3.303 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001009221077 657757335 /nfs/dbraw/zinc/75/73/35/657757335.db2.gz TXEWSAYEXYLJBD-QGZVFWFLSA-N 0 1 324.424 3.267 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC001009295606 657764062 /nfs/dbraw/zinc/76/40/62/657764062.db2.gz ITRKLCIMKLQVFG-MRXNPFEDSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC000985591542 657777257 /nfs/dbraw/zinc/77/72/57/657777257.db2.gz UPJHIOJHRSMSAD-DZGCQCFKSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC000985613099 657784432 /nfs/dbraw/zinc/78/44/32/657784432.db2.gz OZDYPYHTDZKZJN-CJNGLKHVSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccc3ccccc3c2)C1 ZINC001009447599 657787611 /nfs/dbraw/zinc/78/76/11/657787611.db2.gz IEMLJZSUTTXVNS-IBGZPJMESA-N 0 1 308.425 3.149 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC001009721924 657810893 /nfs/dbraw/zinc/81/08/93/657810893.db2.gz FPSAOQAMYBCLOP-KRWDZBQOSA-N 0 1 312.457 3.183 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001009702954 657811011 /nfs/dbraw/zinc/81/10/11/657811011.db2.gz NYGYGFRBIHSPCJ-OAHLLOKOSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC000985725432 657821339 /nfs/dbraw/zinc/82/13/39/657821339.db2.gz CRABTZVVSTVMSM-RDJZCZTQSA-N 0 1 312.885 3.344 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000985771352 657842921 /nfs/dbraw/zinc/84/29/21/657842921.db2.gz OCXLYQVXLNWAJV-UHFFFAOYSA-N 0 1 324.827 3.044 20 30 DGEDMN C[C@H]1[C@H](NCc2ccccc2C#N)CCN1C(=O)CC(C)(C)C ZINC000985977862 657942697 /nfs/dbraw/zinc/94/26/97/657942697.db2.gz SVHAQJXPOGYGNP-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2c(C)cccc2C)[C@H]1C ZINC000986317692 658037361 /nfs/dbraw/zinc/03/73/61/658037361.db2.gz PZQRXTRUTLBFNE-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sc(C)cc2C)[C@@H]1C ZINC000986332063 658038669 /nfs/dbraw/zinc/03/86/69/658038669.db2.gz FKRYIUKSQBDZOT-OLZOCXBDSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cscc2Cl)[C@H]1C ZINC000986396843 658045543 /nfs/dbraw/zinc/04/55/43/658045543.db2.gz QECVHHNDHOXQCG-CABZTGNLSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)n(CC)c2C)[C@@H]1C ZINC000986512042 658057857 /nfs/dbraw/zinc/05/78/57/658057857.db2.gz HTIFPYZJIBKAAL-ZBFHGGJFSA-N 0 1 323.868 3.070 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC2CCC(C)(C)CC2)CC1 ZINC001006488087 658069103 /nfs/dbraw/zinc/06/91/03/658069103.db2.gz FAFIXVYYMBGPPQ-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccoc2C2CC2)[C@@H]1C ZINC000986737983 658096188 /nfs/dbraw/zinc/09/61/88/658096188.db2.gz ZSGLSOMOHJNUOS-BXUZGUMPSA-N 0 1 308.809 3.102 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc(C)ccc2C)[C@@H]1C ZINC000986791092 658096246 /nfs/dbraw/zinc/09/62/46/658096246.db2.gz DWKIVCZTGCSNFP-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001015347500 658113560 /nfs/dbraw/zinc/11/35/60/658113560.db2.gz CGHWZMXHZYQYHM-CQSZACIVSA-N 0 1 300.402 3.025 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001015467845 658123823 /nfs/dbraw/zinc/12/38/23/658123823.db2.gz RFPSMCITCAJNCW-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)c2ccnc3ccccc32)c1 ZINC000189708611 658143133 /nfs/dbraw/zinc/14/31/33/658143133.db2.gz MXRUNNLXMDNBOY-UHFFFAOYSA-N 0 1 315.376 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccccc2C)[C@H]1C ZINC000987053118 658146677 /nfs/dbraw/zinc/14/66/77/658146677.db2.gz HGRTTZUDVPYREQ-DOTOQJQBSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccc(CC)cc2)[C@H]1C ZINC000987078547 658152227 /nfs/dbraw/zinc/15/22/27/658152227.db2.gz VIILDBCEVFLRHK-WMLDXEAASA-N 0 1 320.864 3.123 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(C/C=C/c3ccccc3)C2)C1 ZINC001015663541 658159908 /nfs/dbraw/zinc/15/99/08/658159908.db2.gz UHNXHAWKIHMMQI-AHKGRUIUSA-N 0 1 310.441 3.247 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN([C@@H](C)c3ccc(F)cc3)C2)C1 ZINC001015672791 658164498 /nfs/dbraw/zinc/16/44/98/658164498.db2.gz WISRLFWMKHPYOD-YOEHRIQHSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3sccc3[nH]2)[C@H]1C ZINC000987308756 658190640 /nfs/dbraw/zinc/19/06/40/658190640.db2.gz DYAZGOKYNFVZTE-WDEREUQCSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(CC(C)C)c[nH]2)[C@@H]1C ZINC000987365091 658194208 /nfs/dbraw/zinc/19/42/08/658194208.db2.gz GXIOAZLQSLWGGP-UKRRQHHQSA-N 0 1 323.868 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3[nH]ccc3s2)[C@H]1C ZINC000987421403 658203161 /nfs/dbraw/zinc/20/31/61/658203161.db2.gz LNUSUBSNJZBLMP-WDEREUQCSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(Cl)c3occc32)C1 ZINC001015978604 658215103 /nfs/dbraw/zinc/21/51/03/658215103.db2.gz JIOAGHKSSIQPOO-LLVKDONJSA-N 0 1 304.777 3.076 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001016003567 658216476 /nfs/dbraw/zinc/21/64/76/658216476.db2.gz KDJBOUHLVQSKDS-INIZCTEOSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3c(c2)CCC3)[C@@H]1C ZINC000987490714 658219011 /nfs/dbraw/zinc/21/90/11/658219011.db2.gz GYMTZKCAUGDZCQ-DYVFJYSZSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(C)s2)[C@@H]1C ZINC000987492524 658220256 /nfs/dbraw/zinc/22/02/56/658220256.db2.gz KMQSYCDHTNGRMW-YPMHNXCESA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001016035522 658223956 /nfs/dbraw/zinc/22/39/56/658223956.db2.gz XNUUDYLQRVDSLJ-AWEZNQCLSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccn2C(C)C)[C@H]1C ZINC000987539274 658227551 /nfs/dbraw/zinc/22/75/51/658227551.db2.gz RVGWUTDGSCSCPM-KBPBESRZSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(C2CC2)C2CC2)[C@H]1C ZINC000987584732 658235968 /nfs/dbraw/zinc/23/59/68/658235968.db2.gz KGXJLAXRKJMHCQ-LRDDRELGSA-N 0 1 310.869 3.144 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001016129117 658240336 /nfs/dbraw/zinc/24/03/36/658240336.db2.gz RAOJVMALHBBSMF-GFCCVEGCSA-N 0 1 304.777 3.076 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001016227917 658258105 /nfs/dbraw/zinc/25/81/05/658258105.db2.gz CQYUCPJGEPJLKG-MRXNPFEDSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)Cc2cccs2)CC1 ZINC001016473520 658288680 /nfs/dbraw/zinc/28/86/80/658288680.db2.gz DWRVARYJUJNIOC-AWEZNQCLSA-N 0 1 324.877 3.014 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2sccc2C)CC1 ZINC001016476650 658289920 /nfs/dbraw/zinc/28/99/20/658289920.db2.gz MMTXSUVQNXKIFT-CYBMUJFWSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CC2CCCC2)CC1 ZINC001016488190 658292094 /nfs/dbraw/zinc/29/20/94/658292094.db2.gz CEGUFWIAUCDQBS-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H]2CC=CCC2)CC1 ZINC001016499734 658294288 /nfs/dbraw/zinc/29/42/88/658294288.db2.gz JHGMGYPGTAHSEQ-GJZGRUSLSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cc(C)c(C)o2)CC1 ZINC001016575712 658302772 /nfs/dbraw/zinc/30/27/72/658302772.db2.gz NTXZSMXATOIJCU-HNNXBMFYSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2CCCC23CC3)CC1 ZINC001016685436 658316347 /nfs/dbraw/zinc/31/63/47/658316347.db2.gz PFFQBBVIOUSSBG-GJZGRUSLSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H](C)c2ccco2)CC1 ZINC001016818999 658337339 /nfs/dbraw/zinc/33/73/39/658337339.db2.gz IHLKXUMLCLAJBE-UKRRQHHQSA-N 0 1 322.836 3.106 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2C[C@H](C)C[C@@H](C(=O)[O-])C2)cc1Cl ZINC000263034332 658421080 /nfs/dbraw/zinc/42/10/80/658421080.db2.gz HQVIIDZLXTZYCB-TZMCWYRMSA-N 0 1 323.820 3.447 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccsc1C ZINC001017538260 658436790 /nfs/dbraw/zinc/43/67/90/658436790.db2.gz LDOYMJWDXFQYRE-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2ccc(F)cc2)C1 ZINC000989115130 658438012 /nfs/dbraw/zinc/43/80/12/658438012.db2.gz RYNHEPJMVFAMTA-XJKSGUPXSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1C[C@H]1c1ccccc1 ZINC001017559271 658438949 /nfs/dbraw/zinc/43/89/49/658438949.db2.gz QACBTUASXPRXTB-HAGHYFMRSA-N 0 1 324.468 3.432 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)sc2C)C1 ZINC000989144311 658443259 /nfs/dbraw/zinc/44/32/59/658443259.db2.gz YKFWPCVJZOSYIO-GXFFZTMASA-N 0 1 312.866 3.310 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CCC2)cc1 ZINC001017601588 658443156 /nfs/dbraw/zinc/44/31/56/658443156.db2.gz IHDDWLGVYMIVET-BGYRXZFFSA-N 0 1 322.452 3.266 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1(c2ccccc2)CC1 ZINC001017603482 658443446 /nfs/dbraw/zinc/44/34/46/658443446.db2.gz PEEWGJFZBBSKGO-KDURUIRLSA-N 0 1 324.468 3.360 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)C2CCC3(CC3)CC2)C1 ZINC000989197572 658450280 /nfs/dbraw/zinc/45/02/80/658450280.db2.gz AQDNGXFMSLFRRI-ZFWWWQNUSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966292122 658463239 /nfs/dbraw/zinc/46/32/39/658463239.db2.gz BNFMHJZHEKDSAI-DNVCBOLYSA-N 0 1 312.457 3.192 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccn(C(C)C)c2C)C1 ZINC000989330929 658465565 /nfs/dbraw/zinc/46/55/65/658465565.db2.gz VYVPLCQPFWGLIM-UKRRQHHQSA-N 0 1 323.868 3.323 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966327468 658467306 /nfs/dbraw/zinc/46/73/06/658467306.db2.gz POZGUCWNCGPAIJ-UZLBHIALSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occc1Cl ZINC001017900994 658475492 /nfs/dbraw/zinc/47/54/92/658475492.db2.gz BSURYMFILNXCRU-BETUJISGSA-N 0 1 308.809 3.188 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc[nH]c2C2CCC2)C1 ZINC000989447000 658487246 /nfs/dbraw/zinc/48/72/46/658487246.db2.gz GCKDXUHNVMWRFS-TZMCWYRMSA-N 0 1 321.852 3.227 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc3c(o2)CCCC3)C1 ZINC000966660918 658488777 /nfs/dbraw/zinc/48/87/77/658488777.db2.gz FAPYPOAJFUTINF-HOCLYGCPSA-N 0 1 316.445 3.175 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)c1ccccc1 ZINC001018004688 658489998 /nfs/dbraw/zinc/48/99/98/658489998.db2.gz KVKXYBGLSTWIQW-KSZLIROESA-N 0 1 316.420 3.339 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CC1CCCCC1 ZINC001018029416 658494122 /nfs/dbraw/zinc/49/41/22/658494122.db2.gz ZTVKOYBPMBZLMT-YTQUADARSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C1CCC1 ZINC001018226252 658520892 /nfs/dbraw/zinc/52/08/92/658520892.db2.gz VNPUOWIJONSQIU-HDICACEKSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)cc3ccoc32)C1 ZINC000967058948 658536311 /nfs/dbraw/zinc/53/63/11/658536311.db2.gz IWFPPFMBALOVTB-MLGOLLRUSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCc2ccccc21 ZINC001018327705 658538536 /nfs/dbraw/zinc/53/85/36/658538536.db2.gz WQDPHVWBJFUSSR-LVCYWYKZSA-N 0 1 324.468 3.142 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC000989699753 658545299 /nfs/dbraw/zinc/54/52/99/658545299.db2.gz URDUZFDVOMAWDR-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccccc2Cl)C1 ZINC000989708326 658547737 /nfs/dbraw/zinc/54/77/37/658547737.db2.gz SQVXWDBNBAHXIU-NWDGAFQWSA-N 0 1 313.228 3.285 20 30 DGEDMN C=CCN1CCCN(C(=O)CC[C@H]2CCc3ccccc32)CC1 ZINC000989718997 658553979 /nfs/dbraw/zinc/55/39/79/658553979.db2.gz DPZKOULZNZHBKI-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2c(C)oc(C)c2C)C1 ZINC000967284450 658563154 /nfs/dbraw/zinc/56/31/54/658563154.db2.gz NEMURXVMTCCWBJ-MLGOLLRUSA-N 0 1 304.434 3.221 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC000967309379 658567672 /nfs/dbraw/zinc/56/76/72/658567672.db2.gz FIVZEEPMRBTJRG-UONOGXRCSA-N 0 1 314.385 3.166 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@]2(C)CCc3ccccc32)CC1 ZINC000989765989 658568404 /nfs/dbraw/zinc/56/84/04/658568404.db2.gz PFODABSWVAPTDI-HXUWFJFHSA-N 0 1 312.457 3.001 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)C=C2CCCCC2)C1 ZINC001018590883 658571595 /nfs/dbraw/zinc/57/15/95/658571595.db2.gz JEBWBLCSIPKOJZ-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)Cc2ccc(F)cc2)CC1 ZINC000989778032 658575635 /nfs/dbraw/zinc/57/56/35/658575635.db2.gz SIUDBJDAPVHKFY-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397620 658576130 /nfs/dbraw/zinc/57/61/30/658576130.db2.gz QISJTFRPJKKOAF-XJKSGUPXSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CCCN(C(=O)C2CC(c3ccc(F)cc3)C2)CC1 ZINC000989798238 658581519 /nfs/dbraw/zinc/58/15/19/658581519.db2.gz PJCVMUQFTYBQKZ-UHFFFAOYSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CCC)s2)C1 ZINC001018918780 658598718 /nfs/dbraw/zinc/59/87/18/658598718.db2.gz QMHQGWZVDCCXKU-LBPRGKRZSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001019232019 658617404 /nfs/dbraw/zinc/61/74/04/658617404.db2.gz FFEHXELGBAKWPA-AWEZNQCLSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC000967676742 658618984 /nfs/dbraw/zinc/61/89/84/658618984.db2.gz JVHWDHGGABMKAF-RDTXWAMCSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC000967699598 658620248 /nfs/dbraw/zinc/62/02/48/658620248.db2.gz DSXCIPVFWUSPDK-BLLLJJGKSA-N 0 1 304.409 3.069 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001019321668 658631286 /nfs/dbraw/zinc/63/12/86/658631286.db2.gz KMNNBKWXMNIXFM-ZDUSSCGKSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001019425647 658644065 /nfs/dbraw/zinc/64/40/65/658644065.db2.gz NLKXZSABNXEUMD-ZDUSSCGKSA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001019445243 658647282 /nfs/dbraw/zinc/64/72/82/658647282.db2.gz LQPFADINEHHSRQ-HOCLYGCPSA-N 0 1 306.837 3.042 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@]2(NC(=O)c3ccccc3O)CCC[C@H]12 ZINC000989960181 658650533 /nfs/dbraw/zinc/65/05/33/658650533.db2.gz DPGGWEMIARDSAV-PKOBYXMFSA-N 0 1 314.429 3.085 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968034005 658655763 /nfs/dbraw/zinc/65/57/63/658655763.db2.gz GFMNOOOBGTUOHY-MAUKXSAKSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2OC(C)C)C1 ZINC001019689757 658660853 /nfs/dbraw/zinc/66/08/53/658660853.db2.gz YQMVQRIIQOGNMN-AWEZNQCLSA-N 0 1 322.836 3.030 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968083259 658666406 /nfs/dbraw/zinc/66/64/06/658666406.db2.gz WULJJURXGWOOEZ-LPHOPBHVSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3ccccc32)C1 ZINC001019730547 658670145 /nfs/dbraw/zinc/67/01/45/658670145.db2.gz UXQZZLLHLCWVST-HNNXBMFYSA-N 0 1 314.816 3.396 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968189401 658678534 /nfs/dbraw/zinc/67/85/34/658678534.db2.gz HKGKVRNQIJRQAJ-WMLDXEAASA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)C)cn2)C1 ZINC000968331312 658699847 /nfs/dbraw/zinc/69/98/47/658699847.db2.gz NOLNAQXBEJUKKQ-QAPCUYQASA-N 0 1 315.461 3.221 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC000968336317 658700711 /nfs/dbraw/zinc/70/07/11/658700711.db2.gz RYZFDSPHVMOGNE-KBXCAEBGSA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)cc2F)C1 ZINC000968349628 658706545 /nfs/dbraw/zinc/70/65/45/658706545.db2.gz MPBMCWMVMCKKPO-WMLDXEAASA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353060 658707134 /nfs/dbraw/zinc/70/71/34/658707134.db2.gz CJIWWVKFLDKRES-IUODEOHRSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001038356738 658745379 /nfs/dbraw/zinc/74/53/79/658745379.db2.gz DXMBLSWKEXZDII-BZSNNMDCSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc2c3c(cccc31)CC2 ZINC001038483187 658757010 /nfs/dbraw/zinc/75/70/10/658757010.db2.gz DQGJDFFYQHHNOQ-KRWDZBQOSA-N 0 1 320.436 3.319 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2cc(Cl)ccc2o1 ZINC001038488233 658757353 /nfs/dbraw/zinc/75/73/53/658757353.db2.gz NYBGGZHBCHURSW-AWEZNQCLSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1c(Cl)oc2ccccc21 ZINC001038616918 658770783 /nfs/dbraw/zinc/77/07/83/658770783.db2.gz OZEFEIQFRMQDDC-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2Cc2ccccc2C)CC1 ZINC001038660107 658775894 /nfs/dbraw/zinc/77/58/94/658775894.db2.gz GDEYCHJYVGRWEJ-IBGZPJMESA-N 0 1 312.457 3.432 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCN2Cc2cccc(C)c2)CC1 ZINC001038662862 658777087 /nfs/dbraw/zinc/77/70/87/658777087.db2.gz VMDBNHLZLOQQLM-LJQANCHMSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(C)C)nc1CC ZINC001038712245 658786412 /nfs/dbraw/zinc/78/64/12/658786412.db2.gz JIDYUOXIRFPBGK-OAHLLOKOSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(F)c2ccccc12 ZINC001038728611 658789525 /nfs/dbraw/zinc/78/95/25/658789525.db2.gz OTJSRAOHXVHTKP-CQSZACIVSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cc(F)c(C)cc3F)CCC[C@H]12 ZINC000991139386 658827919 /nfs/dbraw/zinc/82/79/19/658827919.db2.gz ACLVCEKCPIWMRN-WMZOPIPTSA-N 0 1 320.383 3.186 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H](C)N2CCC[C@@H](C)C2)cc1 ZINC000249346597 658836758 /nfs/dbraw/zinc/83/67/58/658836758.db2.gz UUZAQGAOAGQNQQ-SJORKVTESA-N 0 1 313.445 3.362 20 30 DGEDMN C=CCc1cc(OC)cc(CN(C)Cc2c(C)noc2C)c1O ZINC000163995719 658912529 /nfs/dbraw/zinc/91/25/29/658912529.db2.gz KXZPGBITOUOUMI-UHFFFAOYSA-N 0 1 316.401 3.366 20 30 DGEDMN Cc1cn2c(/C=C/C(=O)c3ccc(O)cc3O)c(C)nc2s1 ZINC000156636443 658932724 /nfs/dbraw/zinc/93/27/24/658932724.db2.gz NAXDBSRVDPSCPJ-AATRIKPKSA-N 0 1 314.366 3.320 20 30 DGEDMN CCOC(=O)c1ccc(/C=C/C(=O)c2cc(F)ccc2O)o1 ZINC000156673890 658933302 /nfs/dbraw/zinc/93/33/02/658933302.db2.gz LEWFAUQZMXYPLM-QPJJXVBHSA-N 0 1 304.273 3.197 20 30 DGEDMN Cc1nn(C)c2ncc(/C=C/C(=O)c3ccc(C)cc3O)cc12 ZINC000156705738 658935466 /nfs/dbraw/zinc/93/54/66/658935466.db2.gz WXLKGFVDLSSQFR-FNORWQNLSA-N 0 1 307.353 3.187 20 30 DGEDMN C=C(Br)CNCc1cc(Cl)cc(OC)c1O ZINC000164772825 658944955 /nfs/dbraw/zinc/94/49/55/658944955.db2.gz XDHGUTXBOUIIFA-UHFFFAOYSA-N 0 1 306.587 3.052 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(-c2ccccc2)ccn1 ZINC001038848271 658948222 /nfs/dbraw/zinc/94/82/22/658948222.db2.gz HTODPVWQPDMRFA-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001038869552 658950356 /nfs/dbraw/zinc/95/03/56/658950356.db2.gz RRWAIXXQOFJALP-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001038902569 658955036 /nfs/dbraw/zinc/95/50/36/658955036.db2.gz PBFOWPHRPVIHFW-INIZCTEOSA-N 0 1 309.413 3.062 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc(C2CCCC2)c1 ZINC001038927502 658958132 /nfs/dbraw/zinc/95/81/32/658958132.db2.gz XWMHZRLBXYTKPD-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cccc(Cl)c1Cl ZINC001038956114 658963806 /nfs/dbraw/zinc/96/38/06/658963806.db2.gz MAWJGUYTESYPPA-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1csc2c1CC[C@@H](C)C2 ZINC001038993374 658975037 /nfs/dbraw/zinc/97/50/37/658975037.db2.gz ZBSYGQOVXYIYJL-KGLIPLIRSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1csc(CC(C)C)n1 ZINC001039075744 658994196 /nfs/dbraw/zinc/99/41/96/658994196.db2.gz BWORBWIPMQFHMH-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C(C)(F)F)C1 ZINC001039178035 659025178 /nfs/dbraw/zinc/02/51/78/659025178.db2.gz KUJIAKZTSOFQST-QWHCGFSZSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C2CC=CC2)C1 ZINC001039209086 659040857 /nfs/dbraw/zinc/04/08/57/659040857.db2.gz INYNULPRWVSHFB-IRXDYDNUSA-N 0 1 322.880 3.408 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(C#N)cc2Cl)ccc1O ZINC000169256148 659162837 /nfs/dbraw/zinc/16/28/37/659162837.db2.gz BXHUTWDMWPCUIV-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCOC1)c1nc2ccccc2n1C(F)F ZINC000171038054 659256132 /nfs/dbraw/zinc/25/61/32/659256132.db2.gz WTMHIBUGEZNYOY-GHMZBOCLSA-N 0 1 319.311 3.034 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2CC23CCC3)CC1 ZINC000948817203 659256368 /nfs/dbraw/zinc/25/63/68/659256368.db2.gz SYLJQEFHRXVQLM-LSDHHAIUSA-N 0 1 310.869 3.242 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C(C)C)cc1Cl ZINC000172021292 659311156 /nfs/dbraw/zinc/31/11/56/659311156.db2.gz ZDSYHFIFDGIWCR-JQWIXIFHSA-N 0 1 322.792 3.288 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc3sccc3c2)CC1 ZINC001006232729 659333171 /nfs/dbraw/zinc/33/31/71/659333171.db2.gz NMVWNCHHHFGMGC-UHFFFAOYSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)C[C@@H](O)c1ccc(F)cc1 ZINC000063300281 659376762 /nfs/dbraw/zinc/37/67/62/659376762.db2.gz QAAKVTDYLGDLKR-LJQANCHMSA-N 0 1 310.372 3.419 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC[C@H](C)C2)cc1 ZINC000173986097 659407870 /nfs/dbraw/zinc/40/78/70/659407870.db2.gz GOPGVIQKTGQEGR-WOSRLPQWSA-N 0 1 314.385 3.169 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)c3ccccc3n2)CC1 ZINC000948911788 659410365 /nfs/dbraw/zinc/41/03/65/659410365.db2.gz GSQNIXNHHPKVFE-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C#Cc1ccc(C(=O)NC2CN(CC3CCC4(CC4)CC3)C2)cc1 ZINC001030777201 659467673 /nfs/dbraw/zinc/46/76/73/659467673.db2.gz OODRVAQOFIPWDN-UHFFFAOYSA-N 0 1 322.452 3.052 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ccoc3C3CC3)CCC[C@H]12 ZINC000992137362 659471644 /nfs/dbraw/zinc/47/16/44/659471644.db2.gz NIIIOMGTXFURCI-YJBOKZPZSA-N 0 1 300.402 3.070 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ccc(F)c(C)c3)CCC[C@H]12 ZINC000992151906 659474324 /nfs/dbraw/zinc/47/43/24/659474324.db2.gz IHFARCZWTASZDZ-WMZOPIPTSA-N 0 1 302.393 3.047 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C)cc3Cl)CCC[C@@H]12 ZINC000992263016 659502612 /nfs/dbraw/zinc/50/26/12/659502612.db2.gz LSLNRLCHBVBXFG-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(Cl)ccc3C)CCC[C@H]12 ZINC000992332624 659526929 /nfs/dbraw/zinc/52/69/29/659526929.db2.gz PLFHRXOBWFMEMS-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=C1CCC(C(=O)NC2CN(CC3CC4(CCC4)C3)C2)CC1 ZINC001030903440 659527708 /nfs/dbraw/zinc/52/77/08/659527708.db2.gz GVQOVCCCEYNCTR-UHFFFAOYSA-N 0 1 302.462 3.114 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001015168782 659537947 /nfs/dbraw/zinc/53/79/47/659537947.db2.gz BFGAHHAWHILYOQ-CQSZACIVSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C(C)(C)C)cc3)CCC[C@H]12 ZINC000992437994 659550096 /nfs/dbraw/zinc/55/00/96/659550096.db2.gz NMQQGCAOYHMRMY-GHTZIAJQSA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc4cc(C)ccc4o3)CCC[C@@H]12 ZINC000992493468 659563071 /nfs/dbraw/zinc/56/30/71/659563071.db2.gz IXADTHPIHALYSW-QUCCMNQESA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(C)CN1CC[C@@]2(NC(=O)c3ccc(F)s3)CCC[C@@H]12 ZINC000992525718 659571308 /nfs/dbraw/zinc/57/13/08/659571308.db2.gz XHRKQTRMZFOLKC-CJNGLKHVSA-N 0 1 308.422 3.190 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CC)c(CC)c3)CCC[C@H]12 ZINC000992528649 659571836 /nfs/dbraw/zinc/57/18/36/659571836.db2.gz NPILAKFCYKKNPW-FPOVZHCZSA-N 0 1 324.468 3.171 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2cccc3sccc32)C1 ZINC001031052603 659622417 /nfs/dbraw/zinc/62/24/17/659622417.db2.gz PSNJGNDQUNJMBH-UHFFFAOYSA-N 0 1 314.454 3.210 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2Cl)[C@@H]1C ZINC000993362762 659720810 /nfs/dbraw/zinc/72/08/10/659720810.db2.gz OKWAGNPRNNGMMB-AAEUAGOBSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3c2CCCC3)[C@H]1C ZINC000993367661 659722432 /nfs/dbraw/zinc/72/24/32/659722432.db2.gz ORSNLLIGBMJJSG-BEFAXECRSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)[C@H]1C ZINC000993393499 659726856 /nfs/dbraw/zinc/72/68/56/659726856.db2.gz JCMBKEUNGGVWLR-RHSMWYFYSA-N 0 1 316.420 3.012 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C3CCC3)cc2)[C@@H]1C ZINC000993401000 659728136 /nfs/dbraw/zinc/72/81/36/659728136.db2.gz XHTNLRAUDPSJIQ-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)ccc2F)[C@H]1C ZINC000993437577 659740263 /nfs/dbraw/zinc/74/02/63/659740263.db2.gz APOKABLJORIFDE-WBMJQRKESA-N 0 1 308.372 3.124 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1C ZINC000993476711 659747086 /nfs/dbraw/zinc/74/70/86/659747086.db2.gz LWRRJUACMYSYFG-CHWSQXEVSA-N 0 1 324.371 3.132 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)noc2C(C)C)[C@@H]1C ZINC000993463441 659749332 /nfs/dbraw/zinc/74/93/32/659749332.db2.gz FTVWYMPCNNBXOD-GJZGRUSLSA-N 0 1 319.449 3.265 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)[C@@H]1C ZINC000993486395 659753252 /nfs/dbraw/zinc/75/32/52/659753252.db2.gz MTCHPFMWDYZSCK-MAUKXSAKSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3cc(F)ccc23)[C@H]1C ZINC000993522046 659756174 /nfs/dbraw/zinc/75/61/74/659756174.db2.gz OTLRIAODKGASFS-MLGOLLRUSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2CCCCCCC2)[C@@H]1C ZINC000993564594 659763052 /nfs/dbraw/zinc/76/30/52/659763052.db2.gz CTOOFXMVHQURGR-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)cc(Cl)c2)[C@@H]1C ZINC000993609931 659765760 /nfs/dbraw/zinc/76/57/60/659765760.db2.gz DZUVGSIMNCHBAE-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(CCCC)cc2)[C@H]1C ZINC000993591763 659768563 /nfs/dbraw/zinc/76/85/63/659768563.db2.gz VZYAPHUZWSIULS-VQIMIIECSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)nc2C2CC2)[C@H]1C ZINC000993655646 659771435 /nfs/dbraw/zinc/77/14/35/659771435.db2.gz HOOIAAFABQQACI-RHSMWYFYSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)nc2C2CC2)[C@@H]1C ZINC000993655660 659772209 /nfs/dbraw/zinc/77/22/09/659772209.db2.gz HOOIAAFABQQACI-YOEHRIQHSA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H]1C ZINC000993664400 659772812 /nfs/dbraw/zinc/77/28/12/659772812.db2.gz SHYSFSSBQNNHBB-WBVHZDCISA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H]1C ZINC000993666202 659773392 /nfs/dbraw/zinc/77/33/92/659773392.db2.gz WYACBEGLWBUVGC-ZBFHGGJFSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@H]1C ZINC000993666195 659773434 /nfs/dbraw/zinc/77/34/34/659773434.db2.gz WYACBEGLWBUVGC-GDBMZVCRSA-N 0 1 311.429 3.245 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@@H]1C ZINC000993684812 659776243 /nfs/dbraw/zinc/77/62/43/659776243.db2.gz PHHNJIJOUKOBFW-WMLDXEAASA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C2CCC2)C2CCC2)[C@@H]1C ZINC000993703235 659782437 /nfs/dbraw/zinc/78/24/37/659782437.db2.gz LWKCPRPIKZHJLW-YJBOKZPZSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cncc3sccc32)[C@H]1C ZINC000993727572 659786631 /nfs/dbraw/zinc/78/66/31/659786631.db2.gz LIVJZQVJTXEXSM-IUODEOHRSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2C)[C@H]1C ZINC000993733015 659787543 /nfs/dbraw/zinc/78/75/43/659787543.db2.gz PYEPVDLAYQTCIL-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@@H]1C ZINC000993862620 659795134 /nfs/dbraw/zinc/79/51/34/659795134.db2.gz QYFYTQKXJFAJKF-GXTWGEPZSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(F)c2F)[C@H]1C ZINC000993862633 659795145 /nfs/dbraw/zinc/79/51/45/659795145.db2.gz QYFYTQKXJFAJKF-OCCSQVGLSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@@H]1C ZINC000993842977 659795629 /nfs/dbraw/zinc/79/56/29/659795629.db2.gz JVELKQPVBMYCNO-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(F)c2Cl)[C@@H]1C ZINC000993867122 659798536 /nfs/dbraw/zinc/79/85/36/659798536.db2.gz WYOFTSHLPIHZRS-WFASDCNBSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(CC)CC)no2)[C@@H]1C ZINC000993933902 659800091 /nfs/dbraw/zinc/80/00/91/659800091.db2.gz LBTMCFYPWWOKFJ-ZFWWWQNUSA-N 0 1 319.449 3.347 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)[C@@H]1C ZINC000993950195 659805054 /nfs/dbraw/zinc/80/50/54/659805054.db2.gz LLRLLHIGBQFWSY-RPTYLJJZSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2F)[C@H]1C ZINC000994007247 659808343 /nfs/dbraw/zinc/80/83/43/659808343.db2.gz OJKOIRJOZBRJLV-PBHICJAKSA-N 0 1 318.436 3.258 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@@H]1C ZINC000994015031 659809220 /nfs/dbraw/zinc/80/92/20/659809220.db2.gz ZWDDBCUZULYONT-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2C)[C@H]1C ZINC000994015028 659809623 /nfs/dbraw/zinc/80/96/23/659809623.db2.gz ZWDDBCUZULYONT-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@@H]1C ZINC000994096054 659813032 /nfs/dbraw/zinc/81/30/32/659813032.db2.gz UOXVWMAKWQSSQJ-CADBVGFASA-N 0 1 318.505 3.441 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sccc2COC)[C@H]1C ZINC000994235073 659822567 /nfs/dbraw/zinc/82/25/67/659822567.db2.gz VEUQQVSRDZYUSG-UKRRQHHQSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ccccc3n2)[C@@H]1C ZINC000994270982 659830054 /nfs/dbraw/zinc/83/00/54/659830054.db2.gz AXPAKEZPHFUXLQ-HOCLYGCPSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CCC2)[C@H]1C ZINC000994296121 659834296 /nfs/dbraw/zinc/83/42/96/659834296.db2.gz QEPPPHAQCUUGEX-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cc3ccccc3o2)[C@@H]1C ZINC000994335500 659839374 /nfs/dbraw/zinc/83/93/74/659839374.db2.gz HDJCKPMCPYSGQK-HOCLYGCPSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3ccccc3o2)[C@@H]1C ZINC000994335500 659839378 /nfs/dbraw/zinc/83/93/78/659839378.db2.gz HDJCKPMCPYSGQK-HOCLYGCPSA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@@H]1C ZINC000994342706 659841519 /nfs/dbraw/zinc/84/15/19/659841519.db2.gz AXAJADMJNXWDJQ-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1C ZINC000994342692 659841545 /nfs/dbraw/zinc/84/15/45/659841545.db2.gz AXAJADMJNXWDJQ-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1C ZINC000994342680 659841933 /nfs/dbraw/zinc/84/19/33/659841933.db2.gz AXAJADMJNXWDJQ-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1C ZINC000994367589 659845603 /nfs/dbraw/zinc/84/56/03/659845603.db2.gz UHXXVOODXQYNMA-ZIAGYGMSSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)cc(C)cc2C)[C@@H]1C ZINC000994374227 659846726 /nfs/dbraw/zinc/84/67/26/659846726.db2.gz IGDAKGYTRAUCQS-ROUUACIJSA-N 0 1 312.457 3.218 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H]1C ZINC000994441399 659854550 /nfs/dbraw/zinc/85/45/50/659854550.db2.gz MZCWACUIRUXQFB-ZBFHGGJFSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccccc2CC(C)C)[C@H]1C ZINC000994497205 659862865 /nfs/dbraw/zinc/86/28/65/659862865.db2.gz QLQIHFOTEWCXSX-APWZRJJASA-N 0 1 312.457 3.101 20 30 DGEDMN COc1cccc([C@H](C)CC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000175553356 659925009 /nfs/dbraw/zinc/92/50/09/659925009.db2.gz KSAVLQOZNMACBS-GFCCVEGCSA-N 0 1 310.353 3.405 20 30 DGEDMN CC(=Cc1cccc(Cl)c1)C(=O)[C@H](C#N)C(=O)N1CCCC1 ZINC000179602779 659934785 /nfs/dbraw/zinc/93/47/85/659934785.db2.gz PGMYAFZYCNKSCT-RZXPCSSPSA-N 0 1 316.788 3.075 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001031740091 659938763 /nfs/dbraw/zinc/93/87/63/659938763.db2.gz OVPMIFWFKYRYAW-IYARVYRRSA-N 0 1 304.478 3.217 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2cc(C)ccc2C)C[C@H]1C ZINC000939055199 659979314 /nfs/dbraw/zinc/97/93/14/659979314.db2.gz VROOZZCNNSOBAE-RHSMWYFYSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@@]3(C)CC)C2)CC1 ZINC001040901239 659991892 /nfs/dbraw/zinc/99/18/92/659991892.db2.gz ISRNIQSWKPRJEL-DOTOQJQBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C)c2C)C[C@H]1C ZINC000939646141 660008359 /nfs/dbraw/zinc/00/83/59/660008359.db2.gz CXKQWYMALWIJGA-MLGOLLRUSA-N 0 1 306.837 3.106 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2C2CC2)C[C@H]1C ZINC000939675854 660008926 /nfs/dbraw/zinc/00/89/26/660008926.db2.gz WAJIIBLSDXQNOR-QMTHXVAHSA-N 0 1 324.877 3.428 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cscc2Cl)C(C)(C)C1 ZINC000940817104 660067535 /nfs/dbraw/zinc/06/75/35/660067535.db2.gz DZMAOBOGMXWZPA-CQSZACIVSA-N 0 1 324.877 3.255 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(CC)s2)C(C)(C)C1 ZINC000940873336 660071033 /nfs/dbraw/zinc/07/10/33/660071033.db2.gz LDIMCJNONGMMET-INIZCTEOSA-N 0 1 318.486 3.164 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000941074630 660082919 /nfs/dbraw/zinc/08/29/19/660082919.db2.gz CREWFCLLBGCVSP-AWEZNQCLSA-N 0 1 324.877 3.255 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cccc(OC)c2C)C(C)(C)C1 ZINC000941063165 660083897 /nfs/dbraw/zinc/08/38/97/660083897.db2.gz BTEIVBHUSMANGC-QGZVFWFLSA-N 0 1 316.445 3.020 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)cc2C)C(C)(C)C1 ZINC000941175728 660091592 /nfs/dbraw/zinc/09/15/92/660091592.db2.gz ZPWZHKINUAXYBY-MRXNPFEDSA-N 0 1 304.409 3.150 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2C2CCC2)C(C)(C)C1 ZINC000941191428 660093196 /nfs/dbraw/zinc/09/31/96/660093196.db2.gz SEBQXOHCLXGRHX-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3ccccc3o2)C(C)(C)C1 ZINC000941197528 660093836 /nfs/dbraw/zinc/09/38/36/660093836.db2.gz CINGOKCIBOVKIA-SFHVURJKSA-N 0 1 324.424 3.286 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cccc3cnccc32)C(C)(C)C1 ZINC000941220979 660096346 /nfs/dbraw/zinc/09/63/46/660096346.db2.gz JHXSDLMUZKCBDW-GOSISDBHSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000941225894 660096900 /nfs/dbraw/zinc/09/69/00/660096900.db2.gz JXPZERFJSHJVEH-GOSISDBHSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000941225894 660096903 /nfs/dbraw/zinc/09/69/03/660096903.db2.gz JXPZERFJSHJVEH-GOSISDBHSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2c(C)ccn2C)CC1 ZINC000948323114 660101952 /nfs/dbraw/zinc/10/19/52/660101952.db2.gz ACHAAUOXHDOTNW-HNNXBMFYSA-N 0 1 323.868 3.013 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cc3ccccc3nc2C)[C@H](C)C1 ZINC000942099146 660137072 /nfs/dbraw/zinc/13/70/72/660137072.db2.gz HCPXBOOOHPERPA-KDOFPFPSSA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3sccc3s2)[C@H](C)C1 ZINC000942184618 660142254 /nfs/dbraw/zinc/14/22/54/660142254.db2.gz VJZXMALIJHZLSJ-NEPJUHHUSA-N 0 1 318.467 3.036 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cscc3s2)[C@H](C)C1 ZINC000942521686 660154447 /nfs/dbraw/zinc/15/44/47/660154447.db2.gz YUZPLHLMDDKOMV-DGCLKSJQSA-N 0 1 318.467 3.036 20 30 DGEDMN CC[C@H](C)Sc1nc(-c2ccc(OC)cc2)c(C#N)c(=O)[nH]1 ZINC000071948176 660157985 /nfs/dbraw/zinc/15/79/85/660157985.db2.gz CUWQZSFZCXZNCZ-JTQLQIEISA-N 0 1 315.398 3.208 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cncc(C(=O)OC)c1 ZINC000929362995 661301117 /nfs/dbraw/zinc/30/11/17/661301117.db2.gz XNMIKSAOKNAXMS-UHFFFAOYSA-N 0 1 310.397 3.099 20 30 DGEDMN CN(Cc1nccn1CC(F)(F)F)CC1CCC(C#N)CC1 ZINC000929511037 661314254 /nfs/dbraw/zinc/31/42/54/661314254.db2.gz PXJDCECYSXCDKH-UHFFFAOYSA-N 0 1 314.355 3.207 20 30 DGEDMN N#CC[C@@H](O)CN1CCC(Sc2ccc(Cl)cc2)CC1 ZINC000930059653 661362016 /nfs/dbraw/zinc/36/20/16/661362016.db2.gz BBUXSJVUVGDCGM-CYBMUJFWSA-N 0 1 310.850 3.171 20 30 DGEDMN C[C@H]1CN(CCCCC2(C#N)CCC2)CCN1CC(F)(F)F ZINC000930134518 661369976 /nfs/dbraw/zinc/36/99/76/661369976.db2.gz SQHOMNVMAGSGDL-AWEZNQCLSA-N 0 1 317.399 3.419 20 30 DGEDMN N#C[C@@H]1CCCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000930558835 661410767 /nfs/dbraw/zinc/41/07/67/661410767.db2.gz HBLRHJVKAIYEJR-VIFPVBQESA-N 0 1 319.315 3.268 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H]2Cc2cc(F)ccc2F)c1 ZINC000930740993 661426292 /nfs/dbraw/zinc/42/62/92/661426292.db2.gz HBGVJAPPLBWFQY-KRWDZBQOSA-N 0 1 313.351 3.439 20 30 DGEDMN C[C@@H]1CN(C[C@@H]2CCC3(CCCCCC3)O2)C[C@H](C)N1CC#N ZINC000930827641 661433361 /nfs/dbraw/zinc/43/33/61/661433361.db2.gz GHBOWUBARCCFJP-KSZLIROESA-N 0 1 319.493 3.177 20 30 DGEDMN CC(C)C[C@H](C#N)NC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000931574706 661490253 /nfs/dbraw/zinc/49/02/53/661490253.db2.gz XHDLCEORYPODMH-SECBINFHSA-N 0 1 306.316 3.169 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000931685935 661498385 /nfs/dbraw/zinc/49/83/85/661498385.db2.gz UPBHJMAZXYERAY-PUZFROQSSA-N 0 1 306.409 3.315 20 30 DGEDMN Cc1nc([C@@H]2CC[N@@H+](Cc3ccccc3C(C)(C)C#N)C2)no1 ZINC000931943620 661524666 /nfs/dbraw/zinc/52/46/66/661524666.db2.gz FABGJPYLSCGCHZ-OAHLLOKOSA-N 0 1 310.401 3.169 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc3c(c2)C=CCCC3)CC1 ZINC000931947273 661525431 /nfs/dbraw/zinc/52/54/31/661525431.db2.gz WHAVFUJMWYOQHL-UHFFFAOYSA-N 0 1 323.440 3.255 20 30 DGEDMN Cn1ccc(C2CCN(Cc3cc(Cl)cc(C#N)c3)CC2)n1 ZINC000932140558 661541835 /nfs/dbraw/zinc/54/18/35/661541835.db2.gz ZZVJEEHAFYHTIK-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CNC(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000932705379 661593141 /nfs/dbraw/zinc/59/31/41/661593141.db2.gz ZVKAKRYSTOMMAH-WCQYABFASA-N 0 1 302.846 3.222 20 30 DGEDMN COc1ccc([C@@H](C)NCC(=O)Nc2cccc(C#N)c2)cc1C ZINC000933486598 661636505 /nfs/dbraw/zinc/63/65/05/661636505.db2.gz VFXSFQCMPHVMEC-CQSZACIVSA-N 0 1 323.396 3.165 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1[N+](=O)[O-])c1ccc2c(c1)CCO2 ZINC000933490214 661636937 /nfs/dbraw/zinc/63/69/37/661636937.db2.gz SLWGNYFCIAZHSY-GFCCVEGCSA-N 0 1 323.352 3.252 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCO[C@@H](c3ccc(C#N)cc3)C2)C[C@H](C)O1 ZINC000933631744 661647177 /nfs/dbraw/zinc/64/71/77/661647177.db2.gz KYLYOFLJQMIRBG-WXSAJPJJSA-N 0 1 314.429 3.135 20 30 DGEDMN COc1ccc([C@H]2CCCN2Cc2ccc(OCC#N)cc2)nc1 ZINC000934349625 661700847 /nfs/dbraw/zinc/70/08/47/661700847.db2.gz OAHXUBKVYCBHKL-LJQANCHMSA-N 0 1 323.396 3.330 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N1CCC[C@@H]1c1cccs1 ZINC000934467351 661709262 /nfs/dbraw/zinc/70/92/62/661709262.db2.gz WVBIQLDNHOKAFM-RBSFLKMASA-N 0 1 304.459 3.451 20 30 DGEDMN C#C[C@@H]1CCCCN1Cc1ccc(SC(=O)N(C)C)cc1 ZINC000934512122 661712241 /nfs/dbraw/zinc/71/22/41/661712241.db2.gz DYGHWVNVHXIJHA-OAHLLOKOSA-N 0 1 302.443 3.448 20 30 DGEDMN C[C@H](NCc1ccc(C#N)s1)c1cnn(CC2CCC2)c1 ZINC000934558763 661715363 /nfs/dbraw/zinc/71/53/63/661715363.db2.gz HHDFCOZNJPHEKH-LBPRGKRZSA-N 0 1 300.431 3.467 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000934645323 661721897 /nfs/dbraw/zinc/72/18/97/661721897.db2.gz HTTKZOHRXWAXAJ-UHFFFAOYSA-N 0 1 305.809 3.438 20 30 DGEDMN C=CCCCN(CC)Cc1nc(-c2ccc([N+](=O)[O-])cc2)no1 ZINC000934648250 661722202 /nfs/dbraw/zinc/72/22/02/661722202.db2.gz LXRWXDRYYHSXLW-UHFFFAOYSA-N 0 1 316.361 3.433 20 30 DGEDMN Cc1c(Cl)cccc1N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000934729057 661730678 /nfs/dbraw/zinc/73/06/78/661730678.db2.gz XDPQAEWGEAXHEQ-GJZGRUSLSA-N 0 1 303.837 3.463 20 30 DGEDMN CC1=C(C(=O)Nc2ccccc2O[C@H](C)C#N)CCN1C(C)C ZINC000935299603 661775115 /nfs/dbraw/zinc/77/51/15/661775115.db2.gz XIWUXVPDIYCOPG-CYBMUJFWSA-N 0 1 313.401 3.304 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc3ccsc32)C[C@H]1C ZINC000947899276 661936690 /nfs/dbraw/zinc/93/66/90/661936690.db2.gz HXNFIQFVNDVFDV-UKRRQHHQSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)C[C@@H]1C ZINC000947915763 661937775 /nfs/dbraw/zinc/93/77/75/661937775.db2.gz FPTSFGKIOWSOHS-DZGCQCFKSA-N 0 1 318.848 3.173 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(CC)oc3ccccc32)C[C@H]1C ZINC000948075218 661948824 /nfs/dbraw/zinc/94/88/24/661948824.db2.gz XIBWHXPBAGXCIC-HUUCEWRRSA-N 0 1 324.424 3.211 20 30 DGEDMN CC(C)C(=O)N(C)[C@@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC000948205605 661959842 /nfs/dbraw/zinc/95/98/42/661959842.db2.gz JWJGXVSFYOXQCC-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H](C)n2cccc2)CC1 ZINC000948286953 661965740 /nfs/dbraw/zinc/96/57/40/661965740.db2.gz XSNRZQUERGJJKZ-CVEARBPZSA-N 0 1 323.868 3.115 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2(C)CC(=C)C2)CC1 ZINC000948747021 661995038 /nfs/dbraw/zinc/99/50/38/661995038.db2.gz MQANNZHZDIRKSA-OAHLLOKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CCOc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000076842908 662001910 /nfs/dbraw/zinc/00/19/10/662001910.db2.gz VORFWZCSCPJDDF-OAHLLOKOSA-N 0 1 316.316 3.330 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC000948845724 662002557 /nfs/dbraw/zinc/00/25/57/662002557.db2.gz WTTKFQOFDHMNNJ-HRCADAONSA-N 0 1 310.869 3.098 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC=CCC1 ZINC000948893592 662006092 /nfs/dbraw/zinc/00/60/92/662006092.db2.gz AVEOTAIXYQXZHM-QUCCMNQESA-N 0 1 322.452 3.082 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2c3ccccc3CCN2CC)cc1 ZINC000948946972 662008711 /nfs/dbraw/zinc/00/87/11/662008711.db2.gz RZLFJSDOMHFFBH-FQEVSTJZSA-N 0 1 318.420 3.017 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CCC1(C)C ZINC000948954333 662009521 /nfs/dbraw/zinc/00/95/21/662009521.db2.gz LNPUDWUNIFTBPP-RBUKOAKNSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@]2(C)[C@@H]3Cc4ccccc4[C@@H]32)CC1 ZINC000948993182 662011290 /nfs/dbraw/zinc/01/12/90/662011290.db2.gz SWHDJEGZCURDOM-SVFBPWRDSA-N 0 1 324.468 3.073 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cccc(C(F)F)c2)CC1 ZINC000949265829 662013521 /nfs/dbraw/zinc/01/35/21/662013521.db2.gz GACUQDYLWUCLHT-UHFFFAOYSA-N 0 1 322.399 3.277 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCCC[C@@H]2C2CC2)CC1 ZINC000949465350 662016423 /nfs/dbraw/zinc/01/64/23/662016423.db2.gz VVQSSPOPVCQESF-MSOLQXFVSA-N 0 1 304.478 3.313 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CC3CCC(C)CC3)CC2)cc1 ZINC000949597202 662020698 /nfs/dbraw/zinc/02/06/98/662020698.db2.gz NQSOFFGTARDBSW-UHFFFAOYSA-N 0 1 324.468 3.252 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC000950051335 662043125 /nfs/dbraw/zinc/04/31/25/662043125.db2.gz LXYZSPPZSGKEOH-SFHVURJKSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN(CCOC)Cc1cc(=O)oc2cc(C)c(C)cc12 ZINC000191088880 662053775 /nfs/dbraw/zinc/05/37/75/662053775.db2.gz OKYOIQGMZGYWOW-UHFFFAOYSA-N 0 1 301.386 3.044 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)c2cccc(F)c2)CC1 ZINC000950413671 662065002 /nfs/dbraw/zinc/06/50/02/662065002.db2.gz NOEUZQLJULZKNG-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C[C@H]1CC2(CCC2)C(=O)O1 ZINC000191954893 662084496 /nfs/dbraw/zinc/08/44/96/662084496.db2.gz ZINGNFSXIALUIC-QGZVFWFLSA-N 0 1 315.413 3.169 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(C)c(F)c2)C1 ZINC000950810502 662089475 /nfs/dbraw/zinc/08/94/75/662089475.db2.gz NQVAXVBUCDYMED-UHFFFAOYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)Cc2cccs2)CC1 ZINC000951103848 662113797 /nfs/dbraw/zinc/11/37/97/662113797.db2.gz HDHUKGRYADFFKL-HNNXBMFYSA-N 0 1 306.475 3.037 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(Cl)c(OC)c2)CC1 ZINC000951204582 662119033 /nfs/dbraw/zinc/11/90/33/662119033.db2.gz KBPNYZSBSWPAHV-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccn(C(C)C)c2C)C1 ZINC000951218432 662120229 /nfs/dbraw/zinc/12/02/29/662120229.db2.gz YZMXIHONOKABCY-UHFFFAOYSA-N 0 1 317.477 3.490 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](CC)Cc2cccc(C)c2)CC1 ZINC000951361089 662126847 /nfs/dbraw/zinc/12/68/47/662126847.db2.gz RGYONPKVAMVBPM-LJQANCHMSA-N 0 1 314.473 3.284 20 30 DGEDMN CCO[C@H](C(=O)[C@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000194483376 662166555 /nfs/dbraw/zinc/16/65/55/662166555.db2.gz OMLXQFUMRVJQIN-ZFWWWQNUSA-N 0 1 300.383 3.406 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(C)cc(F)c2)C1 ZINC000952322877 662181951 /nfs/dbraw/zinc/18/19/51/662181951.db2.gz RRVUZSZSWABXEB-UHFFFAOYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc(OC)c2C)C1 ZINC000952370969 662185328 /nfs/dbraw/zinc/18/53/28/662185328.db2.gz KNMRZLVPNFIQBG-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)C(=O)C(C#N)c1nc2ccccc2o1 ZINC000195492927 662190570 /nfs/dbraw/zinc/19/05/70/662190570.db2.gz OEJAWIATRWJSQK-SKDRFNHKSA-N 0 1 309.325 3.413 20 30 DGEDMN Cc1noc(C)c1[C@H](C)C(=O)C(C#N)c1nc2ccccc2o1 ZINC000195492924 662190733 /nfs/dbraw/zinc/19/07/33/662190733.db2.gz OEJAWIATRWJSQK-JOYOIKCWSA-N 0 1 309.325 3.413 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000245944567 662245282 /nfs/dbraw/zinc/24/52/82/662245282.db2.gz UJNQNXLTRUXJCO-DLTWYDFYSA-N 0 1 316.445 3.361 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CC[C@@H]2C(C)C)CC1 ZINC000089828666 662248970 /nfs/dbraw/zinc/24/89/70/662248970.db2.gz MZGWKOMVGOOFLX-LJQANCHMSA-N 0 1 324.468 3.336 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1F ZINC001017307626 662327216 /nfs/dbraw/zinc/32/72/16/662327216.db2.gz DWTJCTVOARHCSI-GASCZTMLSA-N 0 1 302.393 3.081 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)Cc2cc(C)c(C)cc2O)c1 ZINC000092143309 662328138 /nfs/dbraw/zinc/32/81/38/662328138.db2.gz PILBJKKNHBXDPZ-UHFFFAOYSA-N 0 1 322.408 3.061 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3ccncc3c2)C1 ZINC000957098491 662350279 /nfs/dbraw/zinc/35/02/79/662350279.db2.gz QYZWIPBPRMBSIY-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc3c([nH]2)CCCC3)C1 ZINC000957106336 662350802 /nfs/dbraw/zinc/35/08/02/662350802.db2.gz YXDNSCWOWVMSCN-UHFFFAOYSA-N 0 1 315.461 3.006 20 30 DGEDMN CC(C)C[C@@H]1COCCN1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093340113 662353689 /nfs/dbraw/zinc/35/36/89/662353689.db2.gz MZHHZNGPCVDGCF-DOTOQJQBSA-N 0 1 302.418 3.224 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc[nH]c2C2CCC2)[C@H]1C ZINC000986971772 685354997 /nfs/dbraw/zinc/35/49/97/685354997.db2.gz NQFOYUCSXKPFLH-WFASDCNBSA-N 0 1 321.852 3.227 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc[nH]c2C2CCC2)[C@@H]1C ZINC000986971769 685355137 /nfs/dbraw/zinc/35/51/37/685355137.db2.gz NQFOYUCSXKPFLH-DOMZBBRYSA-N 0 1 321.852 3.227 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](C)c2cccc(Cl)c2)CC1 ZINC001004180548 685364619 /nfs/dbraw/zinc/36/46/19/685364619.db2.gz CMUFTBDXAMCDNJ-AWEZNQCLSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(Br)CNC[C@H](O)c1cccc(C(F)(F)F)c1 ZINC000161439629 685100968 /nfs/dbraw/zinc/10/09/68/685100968.db2.gz RBIYJZCLUQCPKU-NSHDSACASA-N 0 1 324.140 3.237 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2C[C@H](C)C[C@H](C(=O)[O-])C2)cc1Cl ZINC000263034330 685125086 /nfs/dbraw/zinc/12/50/86/685125086.db2.gz HQVIIDZLXTZYCB-OCCSQVGLSA-N 0 1 323.820 3.447 20 30 DGEDMN C[C@@H](NCC(C)(C)C#N)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000385987417 685175171 /nfs/dbraw/zinc/17/51/71/685175171.db2.gz DOGNKNZCGKVXIA-ZIAGYGMSSA-N 0 1 309.454 3.304 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccoc2C(F)(F)F)CC[C@H]1C ZINC001071537448 686682355 /nfs/dbraw/zinc/68/23/55/686682355.db2.gz ZMUJQEMPKYQZAQ-MNOVXSKESA-N 0 1 316.323 3.067 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3ccccc3nc2C)CC[C@H]1C ZINC001071545620 686685424 /nfs/dbraw/zinc/68/54/24/686685424.db2.gz BVXSFWUKKCLHCJ-PBHICJAKSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2conc2C2CCCC2)CC[C@H]1C ZINC001071562036 686688739 /nfs/dbraw/zinc/68/87/39/686688739.db2.gz YRHGDDVDKGCPLX-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC[C@H]1C ZINC001071582801 686695021 /nfs/dbraw/zinc/69/50/21/686695021.db2.gz AQERAIXORYCZNW-OWYHZJEWSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)CC[C@@H]1C ZINC001071621723 686705037 /nfs/dbraw/zinc/70/50/37/686705037.db2.gz BYKJFBFLKVOYOF-KBPBESRZSA-N 0 1 314.420 3.357 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(COC)cs2)CC[C@@H]1C ZINC001071653531 686713851 /nfs/dbraw/zinc/71/38/51/686713851.db2.gz ZOQZHPKANDXKOW-DZGCQCFKSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@H]1C ZINC001071685460 686722280 /nfs/dbraw/zinc/72/22/80/686722280.db2.gz CAPABWMMDLYUTM-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)nc2C2CC2)CC[C@@H]1C ZINC001071715932 686732304 /nfs/dbraw/zinc/73/23/04/686732304.db2.gz MICKTNHXPOEILU-GOEBONIOSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@H]1C ZINC001071731446 686735205 /nfs/dbraw/zinc/73/52/05/686735205.db2.gz DNPHAPRVONBTQM-ZBFHGGJFSA-N 0 1 311.429 3.245 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)CC[C@@H]1C ZINC001071739865 686738235 /nfs/dbraw/zinc/73/82/35/686738235.db2.gz ZIQAJWKRNDRDQJ-HOCLYGCPSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2cccs2)CC[C@H]1C ZINC001071741499 686739282 /nfs/dbraw/zinc/73/92/82/686739282.db2.gz HMAIBXGNGVOFAO-ZIAGYGMSSA-N 0 1 306.475 3.181 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)c2cccs2)CC[C@H]1C ZINC001071741746 686739306 /nfs/dbraw/zinc/73/93/06/686739306.db2.gz JWMNOZPXHZDSOW-CABCVRRESA-N 0 1 318.486 3.018 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccsc3c2)CC[C@H]1C ZINC001071743153 686740247 /nfs/dbraw/zinc/74/02/47/686740247.db2.gz XEYSOQBUPRNXKS-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cncc3sccc32)CC[C@@H]1C ZINC001071766230 686744913 /nfs/dbraw/zinc/74/49/13/686744913.db2.gz RMIKRCBGTUGPJS-STQMWFEESA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@@H]1C ZINC001071772934 686748066 /nfs/dbraw/zinc/74/80/66/686748066.db2.gz TWIIEGYPPZJJMT-YOEHRIQHSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@H]1C ZINC001071791871 686754940 /nfs/dbraw/zinc/75/49/40/686754940.db2.gz BJAGFYNNTIUVSX-GDBMZVCRSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)CC[C@@H]1C ZINC001071828725 686763210 /nfs/dbraw/zinc/76/32/10/686763210.db2.gz SSDPUWNNAIGQAA-HOCLYGCPSA-N 0 1 315.461 3.282 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)cc2Cl)CC[C@H]1C ZINC001071835513 686765251 /nfs/dbraw/zinc/76/52/51/686765251.db2.gz OAWHYQZNMNYCCE-CABCVRRESA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C)c3ccccc23)CC[C@H]1C ZINC001071847396 686770593 /nfs/dbraw/zinc/77/05/93/686770593.db2.gz KOCAXUZOQXTLMV-IAGOWNOFSA-N 0 1 320.436 3.364 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@@H]1C ZINC001071883449 686780732 /nfs/dbraw/zinc/78/07/32/686780732.db2.gz LMDTZWCIMMVMAL-HNAYVOBHSA-N 0 1 310.441 3.415 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@@H]1C ZINC001071883748 686781559 /nfs/dbraw/zinc/78/15/59/686781559.db2.gz QKQZIMLVDQVZIC-OXJNMPFZSA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@@H]1C ZINC001071890966 686783595 /nfs/dbraw/zinc/78/35/95/686783595.db2.gz UZHWQFXUAYLPJZ-WFASDCNBSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cccnc3c2)CC[C@@H]1C ZINC001071913856 686790626 /nfs/dbraw/zinc/79/06/26/686790626.db2.gz JNARSWXMQVXDQT-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3nc(C)ccc3c2)CC[C@H]1C ZINC001071920931 686791369 /nfs/dbraw/zinc/79/13/69/686791369.db2.gz ZDNFIGFVQYVRJR-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sccc2COC)CC[C@H]1C ZINC001071992150 686806980 /nfs/dbraw/zinc/80/69/80/686806980.db2.gz KHTNQFVHFDNBTG-HIFRSBDPSA-N 0 1 322.474 3.053 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C3CC3)s2)CC[C@@H]1C ZINC001071988535 686807134 /nfs/dbraw/zinc/80/71/34/686807134.db2.gz JFQGRUIMVDFTQK-ZFWWWQNUSA-N 0 1 316.470 3.232 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@H]1C ZINC001071998305 686810080 /nfs/dbraw/zinc/81/00/80/686810080.db2.gz IIKFTKUFYWESLT-DNVCBOLYSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2OC(C)C)CC[C@@H]1C ZINC001072008174 686813538 /nfs/dbraw/zinc/81/35/38/686813538.db2.gz BHOODUWNGJOSEU-JKSUJKDBSA-N 0 1 316.445 3.243 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2OC(C)C)CC[C@H]1C ZINC001072008171 686813617 /nfs/dbraw/zinc/81/36/17/686813617.db2.gz BHOODUWNGJOSEU-CVEARBPZSA-N 0 1 316.445 3.243 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C3CC3)s2)CC[C@H]1C ZINC001072008349 686814268 /nfs/dbraw/zinc/81/42/68/686814268.db2.gz FABSVHCURPEWIE-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3ccccc3c2)CC[C@@H]1C ZINC001072019848 686827146 /nfs/dbraw/zinc/82/71/46/686827146.db2.gz PNOUHZJGAVDMQV-OXJNMPFZSA-N 0 1 320.436 3.446 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)cccc2F)CC[C@@H]1C ZINC001072023133 686827873 /nfs/dbraw/zinc/82/78/73/686827873.db2.gz MFVCEGNLXWTJSQ-QWHCGFSZSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)cc2Cl)CC[C@H]1C ZINC001072043742 686839788 /nfs/dbraw/zinc/83/97/88/686839788.db2.gz DIZRAXXFWLANTN-YPMHNXCESA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2sccc2C2CC2)CC[C@@H]1C ZINC001072045499 686842348 /nfs/dbraw/zinc/84/23/48/686842348.db2.gz RQCSCIGGRFEGGN-JSGCOSHPSA-N 0 1 304.459 3.394 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C3CC3)cc2)CC[C@H]1C ZINC001072092476 686860611 /nfs/dbraw/zinc/86/06/11/686860611.db2.gz SCPYQHPROFNCSV-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2C(C)C)CC[C@@H]1C ZINC001072092676 686861335 /nfs/dbraw/zinc/86/13/35/686861335.db2.gz VTUROIFFUGFTEQ-GJZGRUSLSA-N 0 1 318.486 3.478 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@@H]1C ZINC001072109769 686871279 /nfs/dbraw/zinc/87/12/79/686871279.db2.gz MKZXHHZIPKTVKV-MVYVIFSASA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)CC[C@@H]1C ZINC001072122466 686877138 /nfs/dbraw/zinc/87/71/38/686877138.db2.gz IPRWTFGMEMYMBW-XJKSGUPXSA-N 0 1 320.383 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)[C@H]1C ZINC001074759970 687291515 /nfs/dbraw/zinc/29/15/15/687291515.db2.gz IZVRZNZMKNDYGH-GVRJEKJASA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC3CCC2CC3)[C@H]1C ZINC001075131286 687338981 /nfs/dbraw/zinc/33/89/81/687338981.db2.gz IFVCNNMMCIUNOT-WVTZPBJPSA-N 0 1 310.869 3.144 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(F)c4ccccc4c3)[C@@H]2C1 ZINC001075861302 687448342 /nfs/dbraw/zinc/44/83/42/687448342.db2.gz URCQZIPZLOQSSY-HNAYVOBHSA-N 0 1 324.399 3.311 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCC3CCCCCC3)[C@@H]2C1 ZINC001076056458 687471029 /nfs/dbraw/zinc/47/10/29/687471029.db2.gz VIMAYVFKYANEIQ-RBUKOAKNSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C)c(C)cc3Cl)[C@@H]2C1 ZINC001076128168 687483587 /nfs/dbraw/zinc/48/35/87/687483587.db2.gz HCRKJKXNIITDAI-WMLDXEAASA-N 0 1 318.848 3.289 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)CC3CCCCC3)[C@@H]2C1 ZINC001076317588 687508073 /nfs/dbraw/zinc/50/80/73/687508073.db2.gz FFNJSVVMEAAIKA-GBESFXJTSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C(C)C)s3)[C@@H]2C1 ZINC001076617329 687544232 /nfs/dbraw/zinc/54/42/32/687544232.db2.gz XNXWOWKJIYEKJL-UONOGXRCSA-N 0 1 304.459 3.204 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001080066353 687917200 /nfs/dbraw/zinc/91/72/00/687917200.db2.gz DLKBEARSGWOWTO-BXUZGUMPSA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001080197993 687928757 /nfs/dbraw/zinc/92/87/57/687928757.db2.gz ULCACTYFIDBWBZ-RHSMWYFYSA-N 0 1 312.413 3.286 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001080731918 688009685 /nfs/dbraw/zinc/00/96/85/688009685.db2.gz OZKVOQZCALBCRC-RVKKMQEKSA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001081008020 688033431 /nfs/dbraw/zinc/03/34/31/688033431.db2.gz ULLJPDWNMLNIMD-FRQCXROJSA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(C)=C(C)C2)C[C@H]1C ZINC001082529209 688119238 /nfs/dbraw/zinc/11/92/38/688119238.db2.gz JBHBFQMBNPSLFL-FVQBIDKESA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCCC2(F)F)C[C@H]1C ZINC001082663808 688121851 /nfs/dbraw/zinc/12/18/51/688121851.db2.gz GUPIZMSQWWHZPI-RAIGVLPGSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1cc(C)ccc1C ZINC001660586677 1196500190 /nfs/dbraw/zinc/50/01/90/1196500190.db2.gz QYFRVNHQJTZVJO-UHFFFAOYSA-N 0 1 322.880 3.427 20 30 DGEDMN C=CCCCN(CC)[C@H](C)C(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001620646671 1192057477 /nfs/dbraw/zinc/05/74/77/1192057477.db2.gz KVHXXZUFYXWUPK-CQSZACIVSA-N 0 1 320.433 3.277 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001586053866 1192304041 /nfs/dbraw/zinc/30/40/41/1192304041.db2.gz ATNXSKVIJNCNRA-HXUWFJFHSA-N 0 1 317.392 3.428 20 30 DGEDMN C[C@@H]1Cc2cccc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)c2O1 ZINC001587347510 1192394598 /nfs/dbraw/zinc/39/45/98/1192394598.db2.gz OQGJYSWDRLGBKL-SNVBAGLBSA-N 0 1 318.336 3.010 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C(F)(F)c3ccccc3)[nH]c2c1 ZINC001587347196 1192393749 /nfs/dbraw/zinc/39/37/49/1192393749.db2.gz AXRNTGAYTPXGIJ-UHFFFAOYSA-N 0 1 312.279 3.165 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1cc(Cl)ccc1O ZINC001620838136 1192413414 /nfs/dbraw/zinc/41/34/14/1192413414.db2.gz FUTFEWUUVUUCOR-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN C#CCOc1ccc(CNCc2cccc(C(F)(F)F)n2)cc1 ZINC001620942576 1192638129 /nfs/dbraw/zinc/63/81/29/1192638129.db2.gz MTTYSPHNDRVXOZ-UHFFFAOYSA-N 0 1 320.314 3.402 20 30 DGEDMN C#CC[C@H](CO)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC001620948708 1192643809 /nfs/dbraw/zinc/64/38/09/1192643809.db2.gz OAIIBZDWTUIXGQ-GFCCVEGCSA-N 0 1 312.394 3.030 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1cc(C#N)c(F)cc1C ZINC001594630053 1192646580 /nfs/dbraw/zinc/64/65/80/1192646580.db2.gz QQWXUYFNZHWCEE-UHFFFAOYSA-N 0 1 305.397 3.456 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cncc4ccccc43)[nH]c2c1 ZINC001595735301 1192668506 /nfs/dbraw/zinc/66/85/06/1192668506.db2.gz WPZODBHEVZIYKX-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN COc1cccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1C ZINC001595740082 1192668510 /nfs/dbraw/zinc/66/85/10/1192668510.db2.gz JUQKOHUMHGYBIL-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC(CC)CC)C1 ZINC001671971962 1176048448 /nfs/dbraw/zinc/04/84/48/1176048448.db2.gz KUHDBQFTZRDMJD-OAHLLOKOSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1cccc(OC)c1C ZINC001690968527 1176049933 /nfs/dbraw/zinc/04/99/33/1176049933.db2.gz WRLRZMHGMRULTF-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C[C@@H](C)C2CC2)C1 ZINC001671972461 1176079277 /nfs/dbraw/zinc/07/92/77/1176079277.db2.gz SGFNKLZJDUSHDU-UKRRQHHQSA-N 0 1 314.420 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(Cl)s1)C1CC1 ZINC001670550343 1176167875 /nfs/dbraw/zinc/16/78/75/1176167875.db2.gz LWENKMJGYUUKNK-JTQLQIEISA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1c(C)nsc1C ZINC001670730470 1176250648 /nfs/dbraw/zinc/25/06/48/1176250648.db2.gz ZPBRCOZGRUZADZ-HNNXBMFYSA-N 0 1 321.490 3.310 20 30 DGEDMN C=CCCCN1CC[C@H]1CN(C)C(=O)c1cc(COC)cs1 ZINC001691050969 1176277479 /nfs/dbraw/zinc/27/74/79/1176277479.db2.gz TVMLJIOOQDELIL-HNNXBMFYSA-N 0 1 322.474 3.007 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001691056019 1176280783 /nfs/dbraw/zinc/28/07/83/1176280783.db2.gz UCLGOBODQJWPJQ-DLBZAZTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001691097329 1176311014 /nfs/dbraw/zinc/31/10/14/1176311014.db2.gz JUJHOBHLIRUBEV-BBRMVZONSA-N 0 1 306.837 3.210 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H](C)C1CCCCC1 ZINC001691100352 1176312915 /nfs/dbraw/zinc/31/29/15/1176312915.db2.gz RWDBSCGFMODGTB-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(c2ccc(Cl)cc2)CC1 ZINC001691109210 1176319091 /nfs/dbraw/zinc/31/90/91/1176319091.db2.gz SKZSPXYLFDLOQD-MRXNPFEDSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001691161719 1176348162 /nfs/dbraw/zinc/34/81/62/1176348162.db2.gz PGDJBQFEBCLFRN-KKUMJFAQSA-N 0 1 321.490 3.038 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H](C)c1cc(F)ccc1F ZINC001691176799 1176358798 /nfs/dbraw/zinc/35/87/98/1176358798.db2.gz AWUHGUMHCNYJRU-OLZOCXBDSA-N 0 1 323.387 3.014 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C/C=C\c1ccccc1)C1CC1 ZINC001691221144 1176398537 /nfs/dbraw/zinc/39/85/37/1176398537.db2.gz KYWRCWBSRLDIHU-FLIBITNWSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1cscn1 ZINC001691293306 1176427345 /nfs/dbraw/zinc/42/73/45/1176427345.db2.gz BEJCEYDLCYWKEB-GDBMZVCRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1cc(F)ccc1F ZINC001691333267 1176443212 /nfs/dbraw/zinc/44/32/12/1176443212.db2.gz WMTYLSWHFONNMW-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H]1CC[N@H+]1CCc1cccs1 ZINC001691395286 1176462380 /nfs/dbraw/zinc/46/23/80/1176462380.db2.gz IDEIRISSJVXTRA-JKSUJKDBSA-N 0 1 320.502 3.426 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CC1CCCCCC1 ZINC001691402495 1176468701 /nfs/dbraw/zinc/46/87/01/1176468701.db2.gz MEUUIFFYXKCKBQ-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CC[N@H+]2CC2CC2)CCCCC1 ZINC001691416496 1176475442 /nfs/dbraw/zinc/47/54/42/1176475442.db2.gz KSCDAZMPJJKNNO-KRWDZBQOSA-N 0 1 304.478 3.456 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CC[N@H+]2CCCC=C)CCCCC1 ZINC001691416658 1176475645 /nfs/dbraw/zinc/47/56/45/1176475645.db2.gz NZOHBTLNQVHJPR-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(F)cc2C)C1 ZINC001691921840 1176534307 /nfs/dbraw/zinc/53/43/07/1176534307.db2.gz IYJCTOHKZBLWAY-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1cccc(Cl)c1 ZINC001725505449 1176593473 /nfs/dbraw/zinc/59/34/73/1176593473.db2.gz MXYJRHYPPATKHN-LBPRGKRZSA-N 0 1 315.244 3.072 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001691777581 1176618587 /nfs/dbraw/zinc/61/85/87/1176618587.db2.gz WWWMIGMFMPTMPD-IBGZPJMESA-N 0 1 312.457 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)C1CCCCC1 ZINC001731624978 1176621808 /nfs/dbraw/zinc/62/18/08/1176621808.db2.gz WWQLVZCMFIKMGO-JSGCOSHPSA-N 0 1 300.874 3.440 20 30 DGEDMN C#CCCCCC(=O)N[C@]1(C)CCN(Cc2ccsc2)C1 ZINC001671629951 1176656833 /nfs/dbraw/zinc/65/68/33/1176656833.db2.gz HTZFCGZUQUIVPN-QGZVFWFLSA-N 0 1 304.459 3.022 20 30 DGEDMN Cc1cc(CCC(=O)N(Cc2ccc(C#N)cc2)CC(C)C)n[nH]1 ZINC001597990379 1192758019 /nfs/dbraw/zinc/75/80/19/1192758019.db2.gz XBWWVKHBNJFGFY-UHFFFAOYSA-N 0 1 324.428 3.207 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](CC)CC2CCCC2)CC1 ZINC001752309545 1176725402 /nfs/dbraw/zinc/72/54/02/1176725402.db2.gz XVZCCYFFRQYOFN-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(CC)cc1 ZINC001671372631 1176761931 /nfs/dbraw/zinc/76/19/31/1176761931.db2.gz FVENFNBWRQHHRH-INIZCTEOSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001752425145 1176769341 /nfs/dbraw/zinc/76/93/41/1176769341.db2.gz QFMCYXSQSWLHQB-GOEBONIOSA-N 0 1 310.869 3.312 20 30 DGEDMN CCC(CC)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC000586064033 1177028193 /nfs/dbraw/zinc/02/81/93/1177028193.db2.gz JZIIQGUZCGUNQJ-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@H](COC)NCc1cc(Br)c(Cl)s1 ZINC000308948828 1177084623 /nfs/dbraw/zinc/08/46/23/1177084623.db2.gz ONBDBEJKHDRMPR-SSDOTTSWSA-N 0 1 310.644 3.455 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1ccc(C)nc1C ZINC001752816845 1177194938 /nfs/dbraw/zinc/19/49/38/1177194938.db2.gz FHLVAMIFWZJTNA-SFHVURJKSA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@@H](CC)CC(C)C ZINC001691546308 1177306918 /nfs/dbraw/zinc/30/69/18/1177306918.db2.gz QOGRVYNWDVEEPK-ZDUSSCGKSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001483698524 1177409812 /nfs/dbraw/zinc/40/98/12/1177409812.db2.gz QOAALAPPOTXBOS-AEFFLSMTSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC[C@H](C)c1ccccc1 ZINC001483698525 1177410186 /nfs/dbraw/zinc/41/01/86/1177410186.db2.gz QOAALAPPOTXBOS-FUHWJXTLSA-N 0 1 300.446 3.337 20 30 DGEDMN Cc1cc(F)cc2c1C[N@H+]([C@H](C(=O)[O-])c1cccc(C#N)c1)CC2 ZINC001600231448 1192814730 /nfs/dbraw/zinc/81/47/30/1192814730.db2.gz WTJYJNLPBZYAAO-SFHVURJKSA-N 0 1 324.355 3.190 20 30 DGEDMN Cc1cc(F)ccc1C[N@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001600233612 1192816532 /nfs/dbraw/zinc/81/65/32/1192816532.db2.gz VNGVDKLEWGONNM-KRWDZBQOSA-N 0 1 312.344 3.264 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@H]2c2sccc2C)CC1 ZINC001687475509 1177537800 /nfs/dbraw/zinc/53/78/00/1177537800.db2.gz GRJZNTTWAYIUAA-HZPDHXFCSA-N 0 1 318.486 3.270 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001691665795 1177617608 /nfs/dbraw/zinc/61/76/08/1177617608.db2.gz LGCODNZNNGDGRE-MOPGFXCFSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001691665798 1177617720 /nfs/dbraw/zinc/61/77/20/1177617720.db2.gz LGCODNZNNGDGRE-RTBURBONSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCCN1CC[C@@H]1CN(C)C(=O)c1sc(CC)nc1C ZINC001691665532 1177618512 /nfs/dbraw/zinc/61/85/12/1177618512.db2.gz GONOJBQPSNINQT-CQSZACIVSA-N 0 1 321.490 3.126 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)Cc1ccccc1 ZINC001691669761 1177627197 /nfs/dbraw/zinc/62/71/97/1177627197.db2.gz ACHIOMDZTGDLAX-GOSISDBHSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCCc2ccccc21 ZINC001691674871 1177674223 /nfs/dbraw/zinc/67/42/23/1177674223.db2.gz NTOQSMVWPJOOEQ-QZTJIDSGSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2C[C@H](C)OC)CCCCC1 ZINC001691677292 1177677543 /nfs/dbraw/zinc/67/75/43/1177677543.db2.gz APWOSRYNJLRUTL-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccc(CC)cc1 ZINC001691679367 1177681681 /nfs/dbraw/zinc/68/16/81/1177681681.db2.gz UIHYRVIVAZZCMX-IBGZPJMESA-N 0 1 314.473 3.290 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C23CCC(CC2)CC3)CC1 ZINC001113661533 1177727094 /nfs/dbraw/zinc/72/70/94/1177727094.db2.gz XXDFLCBSJBMBKD-LRYGQEGESA-N 0 1 304.478 3.456 20 30 DGEDMN C#CC[C@H](CC(=O)N1CCN(CCC(=C)C)CC1)c1ccccc1 ZINC001113736042 1177729442 /nfs/dbraw/zinc/72/94/42/1177729442.db2.gz CGXGTKABCJHWMA-HXUWFJFHSA-N 0 1 324.468 3.294 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001691867344 1177785018 /nfs/dbraw/zinc/78/50/18/1177785018.db2.gz RXOZYZZWXFMKGZ-GMNCBBECSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCC[C@@H](CO)NCc1ccc(C(F)(F)F)nc1Cl ZINC001181752360 1177815949 /nfs/dbraw/zinc/81/59/49/1177815949.db2.gz HKJPKYAJMMGEHF-JTQLQIEISA-N 0 1 308.731 3.171 20 30 DGEDMN Cc1ccc([C@H](C)[NH2+][C@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC001600374524 1192839451 /nfs/dbraw/zinc/83/94/51/1192839451.db2.gz ZJLCHGBHRYNRRT-NHYWBVRUSA-N 0 1 300.383 3.405 20 30 DGEDMN N#Cc1ccc(CNCc2cccc3c2OC(F)(F)O3)cc1 ZINC001621018964 1192858407 /nfs/dbraw/zinc/85/84/07/1192858407.db2.gz SNTNSYTYPLSKLH-UHFFFAOYSA-N 0 1 302.280 3.170 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCc3ccc(Cl)cc3C2)CCC1 ZINC001331254740 1178165698 /nfs/dbraw/zinc/16/56/98/1178165698.db2.gz PKKPRAIZZUXEFQ-INIZCTEOSA-N 0 1 304.821 3.143 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1Cl ZINC001331407532 1178205718 /nfs/dbraw/zinc/20/57/18/1178205718.db2.gz RWVIDAIGQOZRGU-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc2scnc21 ZINC001331437540 1178213869 /nfs/dbraw/zinc/21/38/69/1178213869.db2.gz ATHQYLWGKDQEMG-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2ccccc2COCc2ccccc2)NO1 ZINC001331502153 1178228631 /nfs/dbraw/zinc/22/86/31/1178228631.db2.gz BQNWDNDFGQAZBF-AWEZNQCLSA-N 0 1 324.380 3.256 20 30 DGEDMN Cc1nc2ccc(CN3C[C@@H](C)N(CC#N)[C@H](C)C3)cc2s1 ZINC001331580612 1178252256 /nfs/dbraw/zinc/25/22/56/1178252256.db2.gz DFVSPQQNNNMJCU-CHWSQXEVSA-N 0 1 314.458 3.023 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(c2cccc(CC#N)n2)CC1 ZINC001331925784 1178310003 /nfs/dbraw/zinc/31/00/03/1178310003.db2.gz XKUKIDGTJVVHOQ-MRXNPFEDSA-N 0 1 306.413 3.031 20 30 DGEDMN Cc1ccccc1[C@@H](C(=O)[O-])[N@@H+](C)CCc1cccc(C#N)c1 ZINC001600565824 1192876184 /nfs/dbraw/zinc/87/61/84/1192876184.db2.gz MVOKDLBGKHGBOX-SFHVURJKSA-N 0 1 308.381 3.167 20 30 DGEDMN C#CCCCCCC(=O)N[C@]12CCC[C@H]1N(C/C=C\Cl)CC2 ZINC001332101280 1178358916 /nfs/dbraw/zinc/35/89/16/1178358916.db2.gz SSTDVGQKSMOJKL-ZQRAMTPHSA-N 0 1 322.880 3.436 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)C(C)C ZINC001332731650 1178484540 /nfs/dbraw/zinc/48/45/40/1178484540.db2.gz BVLZHODKDOXOQW-BWTSREIZSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001332862159 1178510112 /nfs/dbraw/zinc/51/01/12/1178510112.db2.gz XTNLZQLDWPQSER-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CC[C@H](C)N1CCC(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001333071433 1178549768 /nfs/dbraw/zinc/54/97/68/1178549768.db2.gz NWGXCDUXOKAWGX-HNNXBMFYSA-N 0 1 307.459 3.198 20 30 DGEDMN C=CC[N@@H+]1CC=C(CNC(=O)CC(C)(C)CC(F)(F)F)CC1 ZINC001333444953 1178605984 /nfs/dbraw/zinc/60/59/84/1178605984.db2.gz ORYMKSLAVWFFRA-UHFFFAOYSA-N 0 1 318.383 3.289 20 30 DGEDMN Cc1[nH+]c(N[C@H]2CCCc3cc(C#N)ccc32)ccc1C(=O)[O-] ZINC001600714175 1192895016 /nfs/dbraw/zinc/89/50/16/1192895016.db2.gz DNKQYVFCOPZFEP-INIZCTEOSA-N 0 1 307.353 3.449 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccnn1C1CCC1 ZINC001333597877 1178635869 /nfs/dbraw/zinc/63/58/69/1178635869.db2.gz RVVXRNINUSIJIT-CQSZACIVSA-N 0 1 310.401 3.361 20 30 DGEDMN Cc1ccc(CN[C@H](C)CC2(C#N)CCOCC2)c(Cl)n1 ZINC001334385936 1178757221 /nfs/dbraw/zinc/75/72/21/1178757221.db2.gz XPLRWIYTZHDEHB-CYBMUJFWSA-N 0 1 307.825 3.232 20 30 DGEDMN Cc1ccc(CN[C@@H](C)CC2(C#N)CCOCC2)c(Cl)n1 ZINC001334385938 1178757339 /nfs/dbraw/zinc/75/73/39/1178757339.db2.gz XPLRWIYTZHDEHB-ZDUSSCGKSA-N 0 1 307.825 3.232 20 30 DGEDMN N#Cc1ccc(CN2CCN(CCc3ccc(F)cc3)CC2)cc1 ZINC001334860422 1178816295 /nfs/dbraw/zinc/81/62/95/1178816295.db2.gz YRDPVCOIJCGMAG-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN N#CC1(CC[N@@H+]2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)CCCCC1 ZINC001601054558 1192914170 /nfs/dbraw/zinc/91/41/70/1192914170.db2.gz OBGXNVIFRNMGGM-CQSZACIVSA-N 0 1 318.339 3.190 20 30 DGEDMN N#CC1(CC[N@H+](CCc2ccccc2)CC(=O)[O-])CCCCC1 ZINC001601054102 1192914388 /nfs/dbraw/zinc/91/43/88/1192914388.db2.gz FXINWTXCBKLERW-UHFFFAOYSA-N 0 1 314.429 3.480 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC001601064236 1192915084 /nfs/dbraw/zinc/91/50/84/1192915084.db2.gz PRPZYZYXWNNQTO-CYBMUJFWSA-N 0 1 309.369 3.059 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC[C@H](c3ccccn3)C2)cccc1C(=O)[O-] ZINC001601082442 1192916316 /nfs/dbraw/zinc/91/63/16/1192916316.db2.gz UTVSMWSHKWMGFN-HNNXBMFYSA-N 0 1 321.380 3.031 20 30 DGEDMN N#Cc1ccc(C[N@H+](C[C@@H]2CCCC[C@@H]2C(=O)[O-])C2CC2)cc1 ZINC001601097646 1192918919 /nfs/dbraw/zinc/91/89/19/1192918919.db2.gz JIECIZFJDLZSGK-WMZOPIPTSA-N 0 1 312.413 3.414 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@@H]21 ZINC001601088706 1192917364 /nfs/dbraw/zinc/91/73/64/1192917364.db2.gz DVHHIYODFNFEBQ-ULQDDVLXSA-N 0 1 316.376 3.163 20 30 DGEDMN N#Cc1ccc(C[N@H+](C[C@@H]2CCCC[C@H]2C(=O)[O-])C2CC2)cc1 ZINC001601097643 1192918422 /nfs/dbraw/zinc/91/84/22/1192918422.db2.gz JIECIZFJDLZSGK-FUHWJXTLSA-N 0 1 312.413 3.414 20 30 DGEDMN C=C(C)CN(C)c1nnc([C@H]2CCCCN2C2CCCC2)n1C ZINC001341799667 1179063850 /nfs/dbraw/zinc/06/38/50/1179063850.db2.gz YCQWCTCVNQISSW-MRXNPFEDSA-N 0 1 317.481 3.297 20 30 DGEDMN C=C(C)CN(C)c1nnc([C@@H]2CCCCN2C2CCCC2)n1C ZINC001341799666 1179064521 /nfs/dbraw/zinc/06/45/21/1179064521.db2.gz YCQWCTCVNQISSW-INIZCTEOSA-N 0 1 317.481 3.297 20 30 DGEDMN N#C[C@@H](C(=O)C[C@H]1C=CCCC1)c1nc(N)c2ccccc2n1 ZINC001342595215 1179158463 /nfs/dbraw/zinc/15/84/63/1179158463.db2.gz IRSBDKNILLIBJP-JSGCOSHPSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(C(=O)C1(c2cccnc2)CCCC1)c1cccc(F)n1 ZINC001342690274 1179174973 /nfs/dbraw/zinc/17/49/73/1179174973.db2.gz BWCPDXVAQDNSEZ-CQSZACIVSA-N 0 1 309.344 3.304 20 30 DGEDMN N#CC(C(=O)Cc1cccc(Cl)c1F)c1cccc(F)n1 ZINC001342694169 1179175096 /nfs/dbraw/zinc/17/50/96/1179175096.db2.gz OZPSHKYZALRRPX-SNVBAGLBSA-N 0 1 306.699 3.432 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2cccc(Cl)c2)CC1 ZINC001342923136 1179210490 /nfs/dbraw/zinc/21/04/90/1179210490.db2.gz BKIMEVDVXOZNJW-AWEZNQCLSA-N 0 1 313.788 3.273 20 30 DGEDMN N#CC(C(=O)[C@]12C[C@H]1COC21CCC1)c1ccc2ccccc2n1 ZINC001343289712 1179251798 /nfs/dbraw/zinc/25/17/98/1179251798.db2.gz UPLQNHURYSBRSO-MDOVXXIYSA-N 0 1 318.376 3.370 20 30 DGEDMN N#CC(C(=O)C1=CCCCCC1)c1nc(N)c2ccccc2n1 ZINC001343462840 1179280301 /nfs/dbraw/zinc/28/03/01/1179280301.db2.gz LQLXRUASXZLLNT-AWEZNQCLSA-N 0 1 306.369 3.279 20 30 DGEDMN N#C[C@@H](C(=O)C1=CCCCCC1)c1nc(N)c2ccccc2n1 ZINC001343462840 1179280308 /nfs/dbraw/zinc/28/03/08/1179280308.db2.gz LQLXRUASXZLLNT-AWEZNQCLSA-N 0 1 306.369 3.279 20 30 DGEDMN N#CC(=C(O)C1=CCCCCC1)c1nc(N)c2ccccc2n1 ZINC001343462840 1179280311 /nfs/dbraw/zinc/28/03/11/1179280311.db2.gz LQLXRUASXZLLNT-AWEZNQCLSA-N 0 1 306.369 3.279 20 30 DGEDMN Cc1nc(C(F)(F)F)ccc1C(=O)C(C#N)c1cccc(F)n1 ZINC001344004077 1179363181 /nfs/dbraw/zinc/36/31/81/1179363181.db2.gz JMQCQBQRRSTZKZ-SNVBAGLBSA-N 0 1 323.249 3.433 20 30 DGEDMN N#CC(=C([O-])c1cccc(C[NH+]2CCCC2)c1)c1cccc(F)n1 ZINC001344043559 1179369418 /nfs/dbraw/zinc/36/94/18/1179369418.db2.gz ITOJVUBXKVMGON-INIZCTEOSA-N 0 1 323.371 3.307 20 30 DGEDMN N#C[C@H](C(=O)c1cccc(CN2CCCC2)c1)c1cccc(F)n1 ZINC001344043559 1179369425 /nfs/dbraw/zinc/36/94/25/1179369425.db2.gz ITOJVUBXKVMGON-INIZCTEOSA-N 0 1 323.371 3.307 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001344322176 1179413050 /nfs/dbraw/zinc/41/30/50/1179413050.db2.gz JJFHWAYIKAURGX-DZGCQCFKSA-N 0 1 313.788 3.444 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2cccc(Cl)c2)CC1 ZINC001344321966 1179413089 /nfs/dbraw/zinc/41/30/89/1179413089.db2.gz FLWFOGUFBBVMNV-CQSZACIVSA-N 0 1 313.788 3.464 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccncc1Cl ZINC001345242391 1179548292 /nfs/dbraw/zinc/54/82/92/1179548292.db2.gz HSYJVFRARDGVTF-GFCCVEGCSA-N 0 1 301.777 3.488 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C)[C@H](C)C1 ZINC001346626937 1179728029 /nfs/dbraw/zinc/72/80/29/1179728029.db2.gz FERFECBNOFZUBF-QWHCGFSZSA-N 0 1 314.437 3.035 20 30 DGEDMN C=C(C)C[C@H]([NH2+]Cc1csc(-c2ccccc2)n1)C(=O)[O-] ZINC001602755081 1192996174 /nfs/dbraw/zinc/99/61/74/1192996174.db2.gz GUXFIFKNIDBJGK-AWEZNQCLSA-N 0 1 302.399 3.319 20 30 DGEDMN C=C(C)C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(Br)s1 ZINC001602760708 1192996751 /nfs/dbraw/zinc/99/67/51/1192996751.db2.gz FVDMWINPQDHEKT-JTQLQIEISA-N 0 1 304.209 3.144 20 30 DGEDMN C=C(C)C[N@H+](C)[C@H](C(=O)[O-])c1ccc(Br)s1 ZINC001602760708 1192996753 /nfs/dbraw/zinc/99/67/53/1192996753.db2.gz FVDMWINPQDHEKT-JTQLQIEISA-N 0 1 304.209 3.144 20 30 DGEDMN C=C(Cl)C[NH2+][C@H](C(=O)[O-])c1ccccc1OC(F)(F)F ZINC001602791511 1192998352 /nfs/dbraw/zinc/99/83/52/1192998352.db2.gz SPEUVMSTONYAHH-JTQLQIEISA-N 0 1 309.671 3.053 20 30 DGEDMN C=CC[N@@H+](Cc1cc(Cl)cc(-c2nn[nH]n2)c1)C(C)(C)C ZINC001602852294 1193000227 /nfs/dbraw/zinc/00/02/27/1193000227.db2.gz NOSWCMBFOHGHMH-UHFFFAOYSA-N 0 1 305.813 3.307 20 30 DGEDMN C=CCOc1cccc(C[N@@H+](C)Cc2cc(C(=O)[O-])c(C)o2)c1 ZINC001602921718 1193002921 /nfs/dbraw/zinc/00/29/21/1193002921.db2.gz NMRTYOPFBCAZBC-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN CCC1(CC)CN(CCCCC2(C#N)CCC2)CC[S@]1=O ZINC001351313013 1180199280 /nfs/dbraw/zinc/19/92/80/1180199280.db2.gz NGBCWLAHHGEHCE-OAQYLSRUSA-N 0 1 310.507 3.474 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001603230360 1193011854 /nfs/dbraw/zinc/01/18/54/1193011854.db2.gz UBQUGJNTGZPSTA-GFCCVEGCSA-N 0 1 321.446 3.351 20 30 DGEDMN C=C(C)CCC(=O)Nc1cc(Cl)ccc1N1CCN(C)CC1 ZINC001351593859 1180226924 /nfs/dbraw/zinc/22/69/24/1180226924.db2.gz ITDMNBMYQWTEJV-UHFFFAOYSA-N 0 1 321.852 3.387 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC(=C)CC1 ZINC001352074269 1180291249 /nfs/dbraw/zinc/29/12/49/1180291249.db2.gz IFNLDWWJRBRIHU-UHFFFAOYSA-N 0 1 320.400 3.164 20 30 DGEDMN CC(C)(CCC#N)C[N@H+]1C[C@@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC001603591236 1193022513 /nfs/dbraw/zinc/02/25/13/1193022513.db2.gz BDQRBXLQZCCXHP-HZPDHXFCSA-N 0 1 300.402 3.117 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)CCCCCCCCCC)[C@H](O)C1 ZINC001100083405 1180366439 /nfs/dbraw/zinc/36/64/39/1180366439.db2.gz RZANCBKJSPVDAE-QZTJIDSGSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCCCCCCCC)[C@H](O)C1 ZINC001100083405 1180366447 /nfs/dbraw/zinc/36/64/47/1180366447.db2.gz RZANCBKJSPVDAE-QZTJIDSGSA-N 0 1 324.509 3.255 20 30 DGEDMN C[C@@H](CNC(=O)CCC1CCCC1)NCc1ccccc1C#N ZINC001480418757 1180408488 /nfs/dbraw/zinc/40/84/88/1180408488.db2.gz QJXWMWQAEFTAPM-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC(C)C[N@H+](Cc1ccccn1)Cc1cccc(C(=O)[O-])c1C#N ZINC001603814849 1193033320 /nfs/dbraw/zinc/03/33/20/1193033320.db2.gz OCWQDWFABHSIBH-UHFFFAOYSA-N 0 1 323.396 3.310 20 30 DGEDMN CC(C)C[N@@H+](Cc1ccccn1)Cc1cccc(C(=O)[O-])c1C#N ZINC001603814849 1193033322 /nfs/dbraw/zinc/03/33/22/1193033322.db2.gz OCWQDWFABHSIBH-UHFFFAOYSA-N 0 1 323.396 3.310 20 30 DGEDMN C=CCCCCCN(C)C(=O)[C@H](N)Cc1ccc2cc[nH]c2c1 ZINC001353349157 1180534696 /nfs/dbraw/zinc/53/46/96/1180534696.db2.gz CGLXVYGADYHORN-QGZVFWFLSA-N 0 1 313.445 3.243 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100364889 1180618402 /nfs/dbraw/zinc/61/84/02/1180618402.db2.gz ZAPRJWPKHXCYND-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100371825 1180624107 /nfs/dbraw/zinc/62/41/07/1180624107.db2.gz GZROELDZOLNRGM-GHXNOFRVSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100569103 1180798852 /nfs/dbraw/zinc/79/88/52/1180798852.db2.gz LYMXQSZQKZWMFR-YRNVUSSQSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100595166 1180834955 /nfs/dbraw/zinc/83/49/55/1180834955.db2.gz OTEYAJTZVCMZDH-ZUEQELCBSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100623614 1180860569 /nfs/dbraw/zinc/86/05/69/1180860569.db2.gz XHDGPOHCZLYDDC-XYOKQWHBSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100645141 1180886126 /nfs/dbraw/zinc/88/61/26/1180886126.db2.gz VRIIHHKCROIPNA-MQBCKMQZSA-N 0 1 321.490 3.038 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(C(C)(C)C)CC2)c(Cl)c1 ZINC001355001782 1180957259 /nfs/dbraw/zinc/95/72/59/1180957259.db2.gz GXQXRTFQWJLPTB-UHFFFAOYSA-N 0 1 319.836 3.269 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2Nc1nc(Cl)nc2[nH]cnc21 ZINC001355313826 1181034559 /nfs/dbraw/zinc/03/45/59/1181034559.db2.gz XHMQBQGQSOCLGF-LBPRGKRZSA-N 0 1 324.775 3.367 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@H+]1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC001604459640 1193073702 /nfs/dbraw/zinc/07/37/02/1193073702.db2.gz DATNROBNSZWLGF-FOIQADDNSA-N 0 1 320.392 3.348 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@@H]1CC=CCC1 ZINC001356265468 1181167219 /nfs/dbraw/zinc/16/72/19/1181167219.db2.gz IKSAEIWBVGBTNQ-KGLIPLIRSA-N 0 1 312.369 3.089 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1Cc2ccccc2C1 ZINC001357126351 1181356505 /nfs/dbraw/zinc/35/65/05/1181356505.db2.gz LANQDFSXGKSCBQ-UHFFFAOYSA-N 0 1 320.400 3.073 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CCc2ccccc21 ZINC001604787041 1193092822 /nfs/dbraw/zinc/09/28/22/1193092822.db2.gz VQMNNUNWMDJKOM-KUHUBIRLSA-N 0 1 320.392 3.346 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@@H+](CCCC1(C#N)CCOCC1)CC1CC1 ZINC001604890489 1193098272 /nfs/dbraw/zinc/09/82/72/1193098272.db2.gz WMKBQTLHJFFKSZ-QGZVFWFLSA-N 0 1 322.449 3.052 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1ccccc1C(F)(F)F ZINC001604980713 1193103398 /nfs/dbraw/zinc/10/33/98/1193103398.db2.gz MAZLPEUEJPSXTP-BXKDBHETSA-N 0 1 300.280 3.113 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC001474595184 1181593887 /nfs/dbraw/zinc/59/38/87/1181593887.db2.gz HEMYJRVPOJMVAS-MRXNPFEDSA-N 0 1 320.440 3.380 20 30 DGEDMN CC[C@@]([NH2+]CC#Cc1ccc(F)cc1)(C(=O)[O-])c1ccccc1 ZINC001605091887 1193112345 /nfs/dbraw/zinc/11/23/45/1193112345.db2.gz OGKIPNSVYZPSPB-IBGZPJMESA-N 0 1 311.356 3.157 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001492973247 1181752577 /nfs/dbraw/zinc/75/25/77/1181752577.db2.gz NLRVUMIVDIADBD-UHFFFAOYSA-N 0 1 314.473 3.449 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@H]2CCCN2C(C)C)c1 ZINC001435022776 1181784994 /nfs/dbraw/zinc/78/49/94/1181784994.db2.gz FPXAEEGTCIGMPP-QGZVFWFLSA-N 0 1 302.418 3.453 20 30 DGEDMN Cc1nc2ccc(O)cc2cc1C(=O)Nc1cc(C#N)ccc1O ZINC001447062456 1182182977 /nfs/dbraw/zinc/18/29/77/1182182977.db2.gz LFHILTHLEVXDFN-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc(Cl)c(O)c1 ZINC001448840440 1182215159 /nfs/dbraw/zinc/21/51/59/1182215159.db2.gz INIRHANILILMJX-HNNXBMFYSA-N 0 1 312.756 3.335 20 30 DGEDMN Cc1ccc2nc(NC(=O)C(=O)C=Cc3ccc(F)cc3)[nH]c2c1 ZINC001450301549 1182246984 /nfs/dbraw/zinc/24/69/84/1182246984.db2.gz VLNHWSUDZRDIKY-UITAMQMPSA-N 0 1 323.327 3.231 20 30 DGEDMN N#CCc1ccc(CNC(=O)c2ccc(O)c(Cl)c2)cc1 ZINC001452266428 1182284665 /nfs/dbraw/zinc/28/46/65/1182284665.db2.gz FFDOFFOGMYZEDE-UHFFFAOYSA-N 0 1 300.745 3.042 20 30 DGEDMN N#Cc1ccc2[nH]c(NC(=O)c3ccc(Cl)cc3O)nc2c1 ZINC001454326091 1182337757 /nfs/dbraw/zinc/33/77/57/1182337757.db2.gz GHRQEXMBCIPYBT-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN CC(C)[C@@H](C(=O)Nc1cc(F)cc(C#N)c1)N1CCCCC1 ZINC001454719035 1182352252 /nfs/dbraw/zinc/35/22/52/1182352252.db2.gz MKWREQCFIHTXQR-INIZCTEOSA-N 0 1 303.381 3.146 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(-c3ccon3)cc2)cc1O ZINC001456140599 1182399721 /nfs/dbraw/zinc/39/97/21/1182399721.db2.gz WLTIXHRVHFZAGW-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)co1 ZINC001458470458 1182528516 /nfs/dbraw/zinc/52/85/16/1182528516.db2.gz NTXMARJPNUGJGA-UHFFFAOYSA-N 0 1 302.240 3.217 20 30 DGEDMN COC(=O)C1(c2ccc(CN(CCC#N)CC(C)C)cc2)CC1 ZINC001459544511 1182593846 /nfs/dbraw/zinc/59/38/46/1182593846.db2.gz YHJUFCCPEISGER-UHFFFAOYSA-N 0 1 314.429 3.263 20 30 DGEDMN CN(c1ccccc1)[C@@H]1CCN(CC#Cc2ccc(F)cc2)C1 ZINC001460526447 1182693669 /nfs/dbraw/zinc/69/36/69/1182693669.db2.gz ACLNKUCTKXPYLJ-HXUWFJFHSA-N 0 1 308.400 3.388 20 30 DGEDMN C=C(CC)CN1C[C@H](C)O[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001460727856 1182713919 /nfs/dbraw/zinc/71/39/19/1182713919.db2.gz SADZPKMRZUYVQR-YJBOKZPZSA-N 0 1 324.465 3.053 20 30 DGEDMN CCOC(=O)[C@@]1(F)CN(CCCCC2(C#N)CCC2)C[C@@H]1CC ZINC001460754129 1182718285 /nfs/dbraw/zinc/71/82/85/1182718285.db2.gz NAAPKCPQMLKIQF-MAUKXSAKSA-N 0 1 324.440 3.464 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)c2nc(Cc3ccc(F)cc3)no2)C1 ZINC001460755711 1182718820 /nfs/dbraw/zinc/71/88/20/1182718820.db2.gz DDMBSJZUBXTWJT-ZFWWWQNUSA-N 0 1 313.376 3.206 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H]2COc2ccc(F)cc2)c1 ZINC001460849059 1182730451 /nfs/dbraw/zinc/73/04/51/1182730451.db2.gz HWIMSJTUJZXZEO-KRWDZBQOSA-N 0 1 311.360 3.136 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@@H]1[C@@H]1CCCO1 ZINC001460956921 1182745830 /nfs/dbraw/zinc/74/58/30/1182745830.db2.gz YXVICTKDLWQGTR-AEFFLSMTSA-N 0 1 300.402 3.100 20 30 DGEDMN C=CCC[C@H](CO)NCc1c(F)cc(Br)cc1F ZINC001461500009 1182820358 /nfs/dbraw/zinc/82/03/58/1182820358.db2.gz FIPNZJXNGSQMJV-SNVBAGLBSA-N 0 1 320.177 3.144 20 30 DGEDMN C#Cc1cccc(CNCc2ccc(F)c(F)c2N(C)C)c1 ZINC001461579737 1182832025 /nfs/dbraw/zinc/83/20/25/1182832025.db2.gz IUBKARCPDNNOAA-UHFFFAOYSA-N 0 1 300.352 3.302 20 30 DGEDMN Cc1cc(F)cc(C)c1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001461919800 1182877365 /nfs/dbraw/zinc/87/73/65/1182877365.db2.gz JOPLKXZWPNZOMM-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)CCCCC1 ZINC001462749154 1182991787 /nfs/dbraw/zinc/99/17/87/1182991787.db2.gz OPCDSUDUIJWQOF-CVEARBPZSA-N 0 1 322.518 3.209 20 30 DGEDMN C=C[C@@H](C(=O)NCCc1nc2ccc(F)cc2[nH]1)c1ccccc1 ZINC001465435013 1183149553 /nfs/dbraw/zinc/14/95/53/1183149553.db2.gz MOIZRLHUTDCOKG-OAHLLOKOSA-N 0 1 323.371 3.331 20 30 DGEDMN COc1cc(C(=O)Nc2ccc3nc(C)[nH]c3c2)ccc1C#N ZINC001465896275 1183175656 /nfs/dbraw/zinc/17/56/56/1183175656.db2.gz BUCALRRFPITZDJ-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN Cc1ccc(CO[C@H](C)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC001466536242 1183210332 /nfs/dbraw/zinc/21/03/32/1183210332.db2.gz ATZWMCKAZGYWQH-CYBMUJFWSA-N 0 1 310.353 3.116 20 30 DGEDMN C=CCN(C(=O)c1nnc2ccccc2c1O)c1ccccc1 ZINC001468643711 1183348952 /nfs/dbraw/zinc/34/89/52/1183348952.db2.gz YOSUXYLFEHEOPD-UHFFFAOYSA-N 0 1 305.337 3.168 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CC(=O)Nc1ccc(F)c(F)c1O ZINC001468787240 1183359370 /nfs/dbraw/zinc/35/93/70/1183359370.db2.gz WRALPOPPFLSFAJ-UHFFFAOYSA-N 0 1 316.307 3.340 20 30 DGEDMN CCCCCCCCNC(=O)N1CC[N@H+](C2CC2)C[C@H](C)C1 ZINC001469424325 1183407707 /nfs/dbraw/zinc/40/77/07/1183407707.db2.gz LTNNGESNRBWNRA-INIZCTEOSA-N 0 1 309.498 3.473 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2cc(C#N)ccc2C)n[nH]1 ZINC001472103854 1183616851 /nfs/dbraw/zinc/61/68/51/1183616851.db2.gz IUEYNZRIYZMDNF-QGZVFWFLSA-N 0 1 308.385 3.266 20 30 DGEDMN C#CCCCC(=O)Nc1nc(SCc2ccc(C)cc2)n[nH]1 ZINC001472357891 1183638432 /nfs/dbraw/zinc/63/84/32/1183638432.db2.gz YGDKEQSWZXSSRU-UHFFFAOYSA-N 0 1 314.414 3.147 20 30 DGEDMN CC[C@H]1CCCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635718 1183659995 /nfs/dbraw/zinc/65/99/95/1183659995.db2.gz NWNRZQNBIFMCTC-DZGCQCFKSA-N 0 1 323.400 3.498 20 30 DGEDMN COc1cc(CNCC2(C#N)CCOCC2)cc2ccccc21 ZINC001474296946 1183897960 /nfs/dbraw/zinc/89/79/60/1183897960.db2.gz VBZGVCLRWPOAET-UHFFFAOYSA-N 0 1 310.397 3.258 20 30 DGEDMN C#Cc1ccc(CN2CC[C@]3(C2)CC(=O)c2ccccc2O3)cc1 ZINC001474578559 1183943243 /nfs/dbraw/zinc/94/32/43/1183943243.db2.gz ZXHGPJGRXQIEDL-OAQYLSRUSA-N 0 1 317.388 3.278 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC001478965941 1184189708 /nfs/dbraw/zinc/18/97/08/1184189708.db2.gz RGAODYCGMXFFNA-BRWVUGGUSA-N 0 1 307.482 3.103 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC001478965943 1184191204 /nfs/dbraw/zinc/19/12/04/1184191204.db2.gz RGAODYCGMXFFNA-IXDOHACOSA-N 0 1 307.482 3.103 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCCc1ccccc1 ZINC001479241386 1184213584 /nfs/dbraw/zinc/21/35/84/1184213584.db2.gz PVQCKOVMQBLYDT-SFHVURJKSA-N 0 1 300.446 3.118 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1cccc(C)c1 ZINC001479249975 1184218202 /nfs/dbraw/zinc/21/82/02/1184218202.db2.gz MLGHJZYFDRLJIK-SJLPKXTDSA-N 0 1 300.446 3.207 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCCCC1(C)C ZINC001479272904 1184223341 /nfs/dbraw/zinc/22/33/41/1184223341.db2.gz BZXVMGAJBPKBCF-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001479641295 1184283138 /nfs/dbraw/zinc/28/31/38/1184283138.db2.gz UHTFTAMGAKENAU-SCTDSRPQSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(CCC)s1 ZINC001479735317 1184305912 /nfs/dbraw/zinc/30/59/12/1184305912.db2.gz OVUKZLPATMQDKQ-HNNXBMFYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C[C@H](C(=O)N1CCCC[C@H]1CN(C)CC#CC)c1ccccc1 ZINC001479739615 1184310440 /nfs/dbraw/zinc/31/04/40/1184310440.db2.gz FFAMLCXPDUKIPV-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(CCF)CC(C)(C)C1 ZINC001479745416 1184311995 /nfs/dbraw/zinc/31/19/95/1184311995.db2.gz KJMQRWSIINHUJW-INIZCTEOSA-N 0 1 310.457 3.331 20 30 DGEDMN N#CC1(CCCC[N@@H+]2Cc3ccccc3C[C@@H]2C(=O)[O-])CCC1 ZINC001608175437 1193280958 /nfs/dbraw/zinc/28/09/58/1193280958.db2.gz XTLUEFQUVWQPKD-QGZVFWFLSA-N 0 1 312.413 3.362 20 30 DGEDMN N#CCc1cccc2c1CC[N@@H+](Cc1ccc(/C=C/C(=O)[O-])o1)C2 ZINC001608189083 1193282190 /nfs/dbraw/zinc/28/21/90/1193282190.db2.gz AILBIDODANDBRE-VOTSOKGWSA-N 0 1 322.364 3.002 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(/C=C/C(=O)[O-])o1)C2 ZINC001608189083 1193282193 /nfs/dbraw/zinc/28/21/93/1193282193.db2.gz AILBIDODANDBRE-VOTSOKGWSA-N 0 1 322.364 3.002 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001479985100 1184403822 /nfs/dbraw/zinc/40/38/22/1184403822.db2.gz XWUYYISCIMMVRY-DTHCKZEYSA-N 0 1 308.853 3.232 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2C=CC=CC=C2)CC1 ZINC001479993861 1184405552 /nfs/dbraw/zinc/40/55/52/1184405552.db2.gz UQJAKXWJYHPWJW-UHFFFAOYSA-N 0 1 312.457 3.011 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001480218311 1184461981 /nfs/dbraw/zinc/46/19/81/1184461981.db2.gz INBCPCSZYUIGKT-HDICACEKSA-N 0 1 311.429 3.041 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](CCCC)C(C)C ZINC001480329752 1184496108 /nfs/dbraw/zinc/49/61/08/1184496108.db2.gz CONIXJLPHMLGAI-ZWKOTPCHSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001480363191 1184505853 /nfs/dbraw/zinc/50/58/53/1184505853.db2.gz OJUMVGKNMIWMDD-HRCADAONSA-N 0 1 310.869 3.146 20 30 DGEDMN O=C([O-])[C@H](Cc1cc2ccccc2o1)[NH2+]CC#Cc1ccccc1 ZINC001608539424 1193291713 /nfs/dbraw/zinc/29/17/13/1193291713.db2.gz CXTBLIAOUBQGHH-SFHVURJKSA-N 0 1 319.360 3.070 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1cccc(C)c1Cl ZINC001480502788 1184538800 /nfs/dbraw/zinc/53/88/00/1184538800.db2.gz HDBFRCOFVMWSIM-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1c(C)cc(C)cc1C ZINC001480528323 1184543193 /nfs/dbraw/zinc/54/31/93/1184543193.db2.gz FJRLKPSZONSPOR-HNNXBMFYSA-N 0 1 308.853 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1cc(C)cc(C)c1 ZINC001480566958 1184549645 /nfs/dbraw/zinc/54/96/45/1184549645.db2.gz XYGKAVJQPXKBSN-HNNXBMFYSA-N 0 1 308.853 3.083 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2c(Cl)cccc2OC)C1 ZINC001480611897 1184558610 /nfs/dbraw/zinc/55/86/10/1184558610.db2.gz LLJIRCWKKSDMQJ-ZDUSSCGKSA-N 0 1 322.836 3.005 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@@H](NCc2ncc(C)o2)C1 ZINC001480696055 1184578875 /nfs/dbraw/zinc/57/88/75/1184578875.db2.gz GOBDSECEDXDRTC-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2nocc2C)C1 ZINC001480705839 1184581685 /nfs/dbraw/zinc/58/16/85/1184581685.db2.gz WARLWBQUDGCOMU-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)CC2CCC2)C1 ZINC001480721951 1184589147 /nfs/dbraw/zinc/58/91/47/1184589147.db2.gz ISUUHHLKFMBHBH-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493132866 1184654679 /nfs/dbraw/zinc/65/46/79/1184654679.db2.gz XHEOHHLLFIQETQ-ZHPQLQEBSA-N 0 1 323.440 3.161 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001493163430 1184662333 /nfs/dbraw/zinc/66/23/33/1184662333.db2.gz VNDYAZDDQXGKCL-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC001493183760 1184664924 /nfs/dbraw/zinc/66/49/24/1184664924.db2.gz CJGZRFXMNYXFTN-LBPRGKRZSA-N 0 1 312.866 3.306 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001493188875 1184666149 /nfs/dbraw/zinc/66/61/49/1184666149.db2.gz ZVZTYBNWHBUICR-KBPBESRZSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001493207606 1184668546 /nfs/dbraw/zinc/66/85/46/1184668546.db2.gz SCMCZZDXAZROPO-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001493622831 1184720401 /nfs/dbraw/zinc/72/04/01/1184720401.db2.gz XWURTVDIEOAVPJ-IAGOWNOFSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1(C(F)F)CCC1)C(C)C ZINC001493866565 1184753047 /nfs/dbraw/zinc/75/30/47/1184753047.db2.gz FCTMHSBDJGDBAZ-UHFFFAOYSA-N 0 1 322.827 3.391 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cccc(F)c1C)c1ccccc1 ZINC001493888046 1184758208 /nfs/dbraw/zinc/75/82/08/1184758208.db2.gz AUYNRQWGXYXZPB-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cccc(C)c1F)c1ccccc1 ZINC001493890315 1184759767 /nfs/dbraw/zinc/75/97/67/1184759767.db2.gz YXEDNPVCDBBBAQ-GOSISDBHSA-N 0 1 324.399 3.218 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccoc1C(C)C)c1ccccc1 ZINC001493904979 1184761814 /nfs/dbraw/zinc/76/18/14/1184761814.db2.gz MDKWAIWHHNFWKY-SFHVURJKSA-N 0 1 324.424 3.487 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(CC)ccn2)C1 ZINC001493949177 1184774131 /nfs/dbraw/zinc/77/41/31/1184774131.db2.gz HFYVCXBSCUYUFY-KRWDZBQOSA-N 0 1 315.461 3.147 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(C)n2)C1 ZINC001493965453 1184778506 /nfs/dbraw/zinc/77/85/06/1184778506.db2.gz SVSHSABDIAOVKS-QGZVFWFLSA-N 0 1 315.461 3.201 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001494163853 1184822485 /nfs/dbraw/zinc/82/24/85/1184822485.db2.gz UKOOCJRPCVFCAJ-CABCVRRESA-N 0 1 324.852 3.224 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2CCCc3ccccc32)C1 ZINC001494406851 1184866808 /nfs/dbraw/zinc/86/68/08/1184866808.db2.gz PNGFYKUUNCLUBK-KXBFYZLASA-N 0 1 312.457 3.262 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001494437892 1184869746 /nfs/dbraw/zinc/86/97/46/1184869746.db2.gz WDMUDPKQRITLGI-CQSZACIVSA-N 0 1 304.478 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC/C=C/c1ccccc1 ZINC001494672758 1184903240 /nfs/dbraw/zinc/90/32/40/1184903240.db2.gz LVALDFRBGGTHQW-KXQQJKGRSA-N 0 1 310.441 3.341 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001494781995 1184926261 /nfs/dbraw/zinc/92/62/61/1184926261.db2.gz SRSCOQYLHKNGBA-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001494849154 1184942195 /nfs/dbraw/zinc/94/21/95/1184942195.db2.gz WQVDNEPIXNWIEW-MJGOQNOKSA-N 0 1 315.461 3.025 20 30 DGEDMN CC[C@H](CNC(=O)CC(C)(C)C)NCc1cc(F)ccc1C#N ZINC001494938602 1184967109 /nfs/dbraw/zinc/96/71/09/1184967109.db2.gz CEAUDJDDBLSEJP-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN(Cc1ccc(-c2nn[nH]n2)cc1)CC(C)C ZINC001609052298 1193322221 /nfs/dbraw/zinc/32/22/21/1193322221.db2.gz WWQFIMJSWWGOIT-UHFFFAOYSA-N 0 1 305.813 3.077 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2cnccc2c1 ZINC001495083075 1184993988 /nfs/dbraw/zinc/99/39/88/1184993988.db2.gz FYPIHHTVYGVMAM-MRXNPFEDSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)c(CC)s1 ZINC001495104064 1184997627 /nfs/dbraw/zinc/99/76/27/1184997627.db2.gz ULDZUDXOMOQVQX-LBPRGKRZSA-N 0 1 314.882 3.469 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001495117096 1184999531 /nfs/dbraw/zinc/99/95/31/1184999531.db2.gz KBCQRXUVSAOGKN-YOEHRIQHSA-N 0 1 322.880 3.411 20 30 DGEDMN C[C@](CNCc1ccccc1C#N)(NC(=O)C1=CCCC1)C1CC1 ZINC001495243854 1185016530 /nfs/dbraw/zinc/01/65/30/1185016530.db2.gz VGNKNCZEJSJZTC-HXUWFJFHSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CC(C)(F)F)CC1 ZINC001495531230 1185070945 /nfs/dbraw/zinc/07/09/45/1185070945.db2.gz OVQXYJIXVPCUSW-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001495870874 1185109314 /nfs/dbraw/zinc/10/93/14/1185109314.db2.gz QSZQUCZSTOKUMU-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1cc(C2CC2)no1 ZINC001496130398 1185137478 /nfs/dbraw/zinc/13/74/78/1185137478.db2.gz JUTBSFWSMAPVCG-CYBMUJFWSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC1(C)C)C1CCCCC1 ZINC001496314779 1185165947 /nfs/dbraw/zinc/16/59/47/1185165947.db2.gz YBGHVSQFACYEQM-GJZGRUSLSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(C)c(C)o1 ZINC001496463631 1185193445 /nfs/dbraw/zinc/19/34/45/1185193445.db2.gz GLMGPKUTMRWCIM-XHDPSFHLSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1oc(C)cc1C ZINC001496468235 1185194159 /nfs/dbraw/zinc/19/41/59/1185194159.db2.gz OBMPJEIWIQRTOG-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1cc(F)ccc1C ZINC001496763322 1185242579 /nfs/dbraw/zinc/24/25/79/1185242579.db2.gz DYMHNXHMQLFTQE-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001496780649 1185245740 /nfs/dbraw/zinc/24/57/40/1185245740.db2.gz PBOJGMUBVZGKGS-KRWDZBQOSA-N 0 1 314.473 3.156 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCNCc1csc(C)n1 ZINC001497263132 1185305379 /nfs/dbraw/zinc/30/53/79/1185305379.db2.gz MDDXAADEVMLXPI-WBMJQRKESA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCCC(=O)NC/C=C\CN[C@@H](C)c1ccc(F)cc1F ZINC001497539792 1185349829 /nfs/dbraw/zinc/34/98/29/1185349829.db2.gz DCWXQWGWNHTJDC-SZZPACECSA-N 0 1 308.372 3.254 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1ccc(F)cc1F ZINC001497685450 1185375979 /nfs/dbraw/zinc/37/59/79/1185375979.db2.gz LZOQHALUFNSEHI-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001497883907 1185405212 /nfs/dbraw/zinc/40/52/12/1185405212.db2.gz NOZZUBAERRZIEX-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001498021233 1185426110 /nfs/dbraw/zinc/42/61/10/1185426110.db2.gz IBUICNYCTJPFCI-LBPRGKRZSA-N 0 1 309.479 3.001 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001498033706 1185427169 /nfs/dbraw/zinc/42/71/69/1185427169.db2.gz XYTRQJNWXDDAHW-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)Cc2cnccc2C)CC1 ZINC001498375585 1185481942 /nfs/dbraw/zinc/48/19/42/1185481942.db2.gz MCLQCEIOWAGNKN-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1c(Cl)cccc1Cl ZINC001498438164 1185495417 /nfs/dbraw/zinc/49/54/17/1185495417.db2.gz UQTMFFAQARYYSB-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1ncc(C(C)C)o1 ZINC001498591906 1185520390 /nfs/dbraw/zinc/52/03/90/1185520390.db2.gz JRUSRSDAANHWSW-UHFFFAOYSA-N 0 1 321.465 3.338 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCNCc1nc(C)sc1C ZINC001498618345 1185524619 /nfs/dbraw/zinc/52/46/19/1185524619.db2.gz AHKINNMNXQUTPX-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001499334768 1185639353 /nfs/dbraw/zinc/63/93/53/1185639353.db2.gz GRUHJUAZRHSKKH-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001499604547 1185675974 /nfs/dbraw/zinc/67/59/74/1185675974.db2.gz VUSVWEHWVLYOMR-DNXKIMQPSA-N 0 1 322.880 3.432 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc(C)cc2Cl)C1 ZINC001499696793 1185685199 /nfs/dbraw/zinc/68/51/99/1185685199.db2.gz UQOORAFWLJHBLS-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H](C)CC(F)(F)F)C1 ZINC001499709697 1185686219 /nfs/dbraw/zinc/68/62/19/1185686219.db2.gz OQWMDKZLAXWNQJ-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N(C)C1CN(CCCC(C)(C)C)C1 ZINC001499715173 1185687508 /nfs/dbraw/zinc/68/75/08/1185687508.db2.gz NIHIUGMIXGAODL-LJQANCHMSA-N 0 1 324.509 3.184 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)Cc2ccccc2)C1 ZINC001499795227 1185696001 /nfs/dbraw/zinc/69/60/01/1185696001.db2.gz KPTHNXGMMKUGKX-IAGOWNOFSA-N 0 1 314.473 3.363 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cscn2)C[C@@H]1C ZINC001499833437 1185703650 /nfs/dbraw/zinc/70/36/50/1185703650.db2.gz ZRZPSTDPXSBYPD-KBPBESRZSA-N 0 1 321.490 3.215 20 30 DGEDMN C#CCN(C(=O)C/C=C(\C)C=C)C1CCN(C/C=C\Cl)CC1 ZINC001500048224 1185734178 /nfs/dbraw/zinc/73/41/78/1185734178.db2.gz DVLLJXOBJUFTCN-HXDASWHBSA-N 0 1 320.864 3.188 20 30 DGEDMN C#CCN(C(=O)C[C@@H](CC)C(C)(C)C)C1CCN(CC#C)CC1 ZINC001500054177 1185735217 /nfs/dbraw/zinc/73/52/17/1185735217.db2.gz JKJVMNZPYQRPBV-QGZVFWFLSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C(C)(C)CC)CC1 ZINC001500443942 1185793719 /nfs/dbraw/zinc/79/37/19/1185793719.db2.gz BREDDAHUTFIIMN-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001501007769 1185855298 /nfs/dbraw/zinc/85/52/98/1185855298.db2.gz KIMQAJQXRBPWAS-ULQDDVLXSA-N 0 1 322.880 3.292 20 30 DGEDMN CCc1ccccc1[C@@H](CNC(C)=O)NCc1ccc(C#N)cc1 ZINC001501015493 1185855736 /nfs/dbraw/zinc/85/57/36/1185855736.db2.gz HBDXZOJZEKCZBD-HXUWFJFHSA-N 0 1 321.424 3.088 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H](C)C1CC1)c1ccccc1CC ZINC001501023323 1185856943 /nfs/dbraw/zinc/85/69/43/1185856943.db2.gz WIZNFBIGGMSGMF-KXBFYZLASA-N 0 1 312.457 3.065 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CC(C)=C(C)C)cccc2C1 ZINC001501854580 1185932228 /nfs/dbraw/zinc/93/22/28/1185932228.db2.gz XIRGVWYFPKMFEQ-UHFFFAOYSA-N 0 1 324.468 3.431 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CCC1)c1cccs1 ZINC001501907155 1185937087 /nfs/dbraw/zinc/93/70/87/1185937087.db2.gz JZOHLMLDOWWQRV-UHFFFAOYSA-N 0 1 324.449 3.042 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CCN(CCF)CC2(C)C)CCCCC1 ZINC001502838956 1186023266 /nfs/dbraw/zinc/02/32/66/1186023266.db2.gz PNSWKEXSLZVQCT-MRXNPFEDSA-N 0 1 322.468 3.146 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)C(C)C ZINC001503690010 1186051485 /nfs/dbraw/zinc/05/14/85/1186051485.db2.gz CUWAPPHWCYPFFW-APWZRJJASA-N 0 1 312.457 3.017 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2Cc3ccccc3[C@H]2NCC#CC)CC1 ZINC001505415791 1186072016 /nfs/dbraw/zinc/07/20/16/1186072016.db2.gz STFNXPHGNICHBO-WOJBJXKFSA-N 0 1 322.452 3.128 20 30 DGEDMN CC1CC(C(=O)N2CCC[C@H]2CN(C)CC#Cc2ccccc2)C1 ZINC001505426537 1186075709 /nfs/dbraw/zinc/07/57/09/1186075709.db2.gz UFHSSAJZLXCFMG-UUKMXZOPSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCCc2ccccc21 ZINC001505435518 1186079042 /nfs/dbraw/zinc/07/90/42/1186079042.db2.gz JJDIFJTWZWKTFM-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cccnc1 ZINC001505443750 1186082049 /nfs/dbraw/zinc/08/20/49/1186082049.db2.gz QCHQBBZNHFMYLQ-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC001505443750 1186082059 /nfs/dbraw/zinc/08/20/59/1186082059.db2.gz QCHQBBZNHFMYLQ-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001505469704 1186090613 /nfs/dbraw/zinc/09/06/13/1186090613.db2.gz UKOCIXKHJNDXBR-QGZVFWFLSA-N 0 1 323.440 3.404 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C\Cl ZINC001505612944 1186114121 /nfs/dbraw/zinc/11/41/21/1186114121.db2.gz BMDZOQLWMBIOSL-UKOINSAQSA-N 0 1 307.265 3.391 20 30 DGEDMN Cc1[nH+]c(NCc2ccc(C#N)c(Cl)c2)ccc1C(=O)[O-] ZINC001610558620 1193398364 /nfs/dbraw/zinc/39/83/64/1193398364.db2.gz QBLNYOSASGGYAJ-UHFFFAOYSA-N 0 1 301.733 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1ccc(Cl)s1 ZINC001505704979 1186127450 /nfs/dbraw/zinc/12/74/50/1186127450.db2.gz CWKOLWOVDAIJRK-VHSXEESVSA-N 0 1 321.273 3.179 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001506641935 1186266203 /nfs/dbraw/zinc/26/62/03/1186266203.db2.gz PYNGLOVSMGLNDL-LRYGQEGESA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001506639652 1186266410 /nfs/dbraw/zinc/26/64/10/1186266410.db2.gz KOWUPJRCWKBYQI-MRXNPFEDSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001506677062 1186273728 /nfs/dbraw/zinc/27/37/28/1186273728.db2.gz CYXFQROQVHWEHE-DOTOQJQBSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)C(C)(C)C1 ZINC001506751778 1186287414 /nfs/dbraw/zinc/28/74/14/1186287414.db2.gz DWGSDAHLEBYXNI-IRXDYDNUSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(Br)CN[C@@H]1C[C@@H](C)N(C(=O)CCCCC)C1 ZINC001507300144 1186384836 /nfs/dbraw/zinc/38/48/36/1186384836.db2.gz LSKFEHKYQWCDIJ-CHWSQXEVSA-N 0 1 317.271 3.054 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC001507444820 1186430231 /nfs/dbraw/zinc/43/02/31/1186430231.db2.gz SJTBRZOPWJINNJ-UKRRQHHQSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CCN(Cc2cncs2)CC1 ZINC001508080188 1186477342 /nfs/dbraw/zinc/47/73/42/1186477342.db2.gz KEIFLVKIDAUNJY-ZDUSSCGKSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCC[C@@H](C)[N@@H+]1CC=C(CNC(=O)c2ccccc2O)CC1 ZINC001508187853 1186487333 /nfs/dbraw/zinc/48/73/33/1186487333.db2.gz PWASDIGCORUIPM-OAHLLOKOSA-N 0 1 314.429 3.109 20 30 DGEDMN CC(C)C#CC(=O)NCC1=CCN(C/C=C/c2ccccc2)CC1 ZINC001508201837 1186487848 /nfs/dbraw/zinc/48/78/48/1186487848.db2.gz LHRFPPROQIGLFM-RMKNXTFCSA-N 0 1 322.452 3.108 20 30 DGEDMN Cc1ccc(F)c2[nH]c(C(=O)Nc3cc(C#N)ccc3O)cc21 ZINC001546332149 1186652412 /nfs/dbraw/zinc/65/24/12/1186652412.db2.gz ACUYCITWQQDYTK-UHFFFAOYSA-N 0 1 309.300 3.445 20 30 DGEDMN C[C@H](COCC(=O)Nc1cc(C#N)ccc1O)c1ccccc1 ZINC001546332513 1186654939 /nfs/dbraw/zinc/65/49/39/1186654939.db2.gz HTCOIDAXIQAILY-CYBMUJFWSA-N 0 1 310.353 3.023 20 30 DGEDMN COc1cccc(C(F)F)c1C(=O)Nc1cc(C#N)ccc1O ZINC001546334377 1186671463 /nfs/dbraw/zinc/67/14/63/1186671463.db2.gz JMCRSJXSDJMRSC-UHFFFAOYSA-N 0 1 318.279 3.462 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CCC1 ZINC001514868707 1186733282 /nfs/dbraw/zinc/73/32/82/1186733282.db2.gz MNAAYXYKLYZCCG-BXUZGUMPSA-N 0 1 306.431 3.432 20 30 DGEDMN Cc1cc(N2CCCN(Cc3ccc(F)cc3)CC2)ncc1C#N ZINC001611754783 1193441164 /nfs/dbraw/zinc/44/11/64/1193441164.db2.gz CZRBIDYCELMRPB-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN Cc1cnc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)c1 ZINC001515115249 1186744930 /nfs/dbraw/zinc/74/49/30/1186744930.db2.gz SHJXWODUSUQVGF-CYBMUJFWSA-N 0 1 304.353 3.373 20 30 DGEDMN N#C[C@H](C(=O)c1ccn(-c2cccc(F)c2)n1)c1cccc(F)n1 ZINC001516711152 1186790208 /nfs/dbraw/zinc/79/02/08/1186790208.db2.gz RSOSDZVIGMIRAR-ZDUSSCGKSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2cccc(F)c2)n1)c1cccc(F)n1 ZINC001516711152 1186790210 /nfs/dbraw/zinc/79/02/10/1186790210.db2.gz RSOSDZVIGMIRAR-ZDUSSCGKSA-N 0 1 324.290 3.036 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)[C@H](C#N)c1ncc(F)cc1F ZINC001518063199 1186830838 /nfs/dbraw/zinc/83/08/38/1186830838.db2.gz DRFVPMDFIMZSLI-GMXABZIVSA-N 0 1 308.328 3.131 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCO[C@H]1C1CC1)c1cc(C(F)(F)F)ccn1 ZINC001518935609 1186857536 /nfs/dbraw/zinc/85/75/36/1186857536.db2.gz MQBSNIJUFXPQKB-HUBLWGQQSA-N 0 1 324.302 3.092 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001520069396 1186914799 /nfs/dbraw/zinc/91/47/99/1186914799.db2.gz QLXADEOGQAJCPD-ZDUSSCGKSA-N 0 1 305.805 3.084 20 30 DGEDMN N#Cc1nc(NCCCCc2ccnc(N)c2)ccc1Cl ZINC001612979072 1193465284 /nfs/dbraw/zinc/46/52/84/1193465284.db2.gz KOBSKJABRKVAJH-UHFFFAOYSA-N 0 1 301.781 3.019 20 30 DGEDMN CCn1nc(C2CC2)cc1C(=O)C(C#N)c1nc(C)cs1 ZINC001523760510 1187186209 /nfs/dbraw/zinc/18/62/09/1187186209.db2.gz JPBZUXUKCNMIOL-LLVKDONJSA-N 0 1 300.387 3.035 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCOC3(CCCC3)C2)nc(C)n1 ZINC001524018728 1187209399 /nfs/dbraw/zinc/20/93/99/1187209399.db2.gz NEPOUYYJBCPPEN-HUUCEWRRSA-N 0 1 313.401 3.009 20 30 DGEDMN N#CC(C(=O)Cc1c(F)cccc1Cl)c1cccc(F)n1 ZINC001524696285 1187261251 /nfs/dbraw/zinc/26/12/51/1187261251.db2.gz QPLNAUJUAURGBG-SNVBAGLBSA-N 0 1 306.699 3.432 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1ccc(OC)cc1 ZINC001526588754 1187376225 /nfs/dbraw/zinc/37/62/25/1187376225.db2.gz ZEYJJWAPFCFPNO-HNNXBMFYSA-N 0 1 311.385 3.066 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NC(=O)c1cccc(F)c1O ZINC001528931955 1187521337 /nfs/dbraw/zinc/52/13/37/1187521337.db2.gz CBWPHWNQEXTMOL-INIZCTEOSA-N 0 1 310.328 3.210 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC001529884751 1187586009 /nfs/dbraw/zinc/58/60/09/1187586009.db2.gz TUVWICWODZCLJR-KOHRHEQBSA-N 0 1 319.493 3.474 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(F)c(Cl)c3)[nH]c2c1 ZINC001530616074 1187637419 /nfs/dbraw/zinc/63/74/19/1187637419.db2.gz ZXTYOPJICQXDRE-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C#C[C@H](CCC)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001535493347 1187963447 /nfs/dbraw/zinc/96/34/47/1187963447.db2.gz UMTPBEHDYXAXSG-MOPGFXCFSA-N 0 1 312.457 3.268 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC001536371758 1188004580 /nfs/dbraw/zinc/00/45/80/1188004580.db2.gz KQBBLFZCELMAJB-CVEARBPZSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC001536371861 1188004706 /nfs/dbraw/zinc/00/47/06/1188004706.db2.gz KQBBLFZCELMAJB-JKSUJKDBSA-N 0 1 300.446 3.152 20 30 DGEDMN N#CCc1ccc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)cc1 ZINC001540417727 1188238389 /nfs/dbraw/zinc/23/83/89/1188238389.db2.gz YVINVAPCPJLPQD-UHFFFAOYSA-N 0 1 318.336 3.101 20 30 DGEDMN Cc1noc(C(C)C)c1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001543404310 1188331113 /nfs/dbraw/zinc/33/11/13/1188331113.db2.gz RQRKRGFNTKUDBJ-UHFFFAOYSA-N 0 1 309.329 3.107 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001543408193 1188331890 /nfs/dbraw/zinc/33/18/90/1188331890.db2.gz ULAZJEJFEILUQJ-VIFPVBQESA-N 0 1 323.356 3.172 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(CN2CCCC2)o1)C1CCCCC1 ZINC001544952410 1188415835 /nfs/dbraw/zinc/41/58/35/1188415835.db2.gz IHHBITJSDMTOOP-INIZCTEOSA-N 0 1 315.417 3.078 20 30 DGEDMN C=CCCSCCN1CCN(c2cccc(OC)c2)CC1 ZINC001656870789 1196076979 /nfs/dbraw/zinc/07/69/79/1196076979.db2.gz PGAKOVRXKHAYBX-UHFFFAOYSA-N 0 1 306.475 3.127 20 30 DGEDMN CN(Cc1cc(F)cc(F)c1)C[C@H](O)c1cccc(C#N)c1 ZINC001617728738 1193578956 /nfs/dbraw/zinc/57/89/56/1193578956.db2.gz QCJSLLOKUBUXMV-KRWDZBQOSA-N 0 1 302.324 3.002 20 30 DGEDMN CN(Cc1cc(F)cc(F)c1)C[C@@H](O)c1cccc(C#N)c1 ZINC001617728741 1193579057 /nfs/dbraw/zinc/57/90/57/1193579057.db2.gz QCJSLLOKUBUXMV-QGZVFWFLSA-N 0 1 302.324 3.002 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCC2CCC2)C1 ZINC001566735549 1188965142 /nfs/dbraw/zinc/96/51/42/1188965142.db2.gz MPAYSYXWQWRFIA-INIZCTEOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC001556215241 1188990176 /nfs/dbraw/zinc/99/01/76/1188990176.db2.gz VNBQJYQUXNDDEG-GOSISDBHSA-N 0 1 316.445 3.396 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)/C=C(\C)c1ccc(OC)cc1 ZINC001556465998 1189001830 /nfs/dbraw/zinc/00/18/30/1189001830.db2.gz GCWKIRSYAOHTCH-FOCLMDBBSA-N 0 1 316.445 3.065 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@H](CC)Oc1ccccc1C ZINC001556466466 1189002348 /nfs/dbraw/zinc/00/23/48/1189002348.db2.gz ZJIUQKLTILHRPG-KRWDZBQOSA-N 0 1 318.461 3.119 20 30 DGEDMN CN1CCN(C(=O)CC2(C#N)CCCCC2)C[C@H]1C(C)(C)C ZINC001557281244 1189053365 /nfs/dbraw/zinc/05/33/65/1189053365.db2.gz MUEBOQKSNADCRU-HNNXBMFYSA-N 0 1 305.466 3.039 20 30 DGEDMN CC(C)C[C@H](C(=O)NCC#CCN(C)C)c1ccc(Cl)cc1 ZINC001557899421 1189092100 /nfs/dbraw/zinc/09/21/00/1189092100.db2.gz NTMRBXZHSUHFRN-KRWDZBQOSA-N 0 1 320.864 3.151 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC001559513528 1189212895 /nfs/dbraw/zinc/21/28/95/1189212895.db2.gz BOUXSHMLMOTRPB-LSDHHAIUSA-N 0 1 315.310 3.371 20 30 DGEDMN C#CC(C)(C)N(C)Cc1ccc(Br)c([N+](=O)[O-])c1 ZINC001559746838 1189237467 /nfs/dbraw/zinc/23/74/67/1189237467.db2.gz LPXDBZSEWOVETA-UHFFFAOYSA-N 0 1 311.179 3.201 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)[C@@H](Cc2ccccc2)C1 ZINC001559967390 1189264231 /nfs/dbraw/zinc/26/42/31/1189264231.db2.gz AZVQORSLRXAYAF-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCCN1CCc1ccc(C#N)cc1 ZINC001560026095 1189269580 /nfs/dbraw/zinc/26/95/80/1189269580.db2.gz MWYYTBRZFUGRRT-LJQANCHMSA-N 0 1 314.429 3.297 20 30 DGEDMN CN(Cc1cccc(F)c1C#N)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001560877623 1189398809 /nfs/dbraw/zinc/39/88/09/1189398809.db2.gz YCDGFYCKJGNARU-QGZVFWFLSA-N 0 1 321.359 3.037 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)OC(C)(C)C)CN1CC#Cc1ccccc1 ZINC001560893683 1189402402 /nfs/dbraw/zinc/40/24/02/1189402402.db2.gz UPLRYJNPAFYDJI-WMZOPIPTSA-N 0 1 313.441 3.480 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(Cl)c2C)CC1 ZINC001565502971 1189654114 /nfs/dbraw/zinc/65/41/14/1189654114.db2.gz UQLHVCPASIWNMU-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@H]1CCN1Cc1cccc(C)c1 ZINC001565822811 1189676859 /nfs/dbraw/zinc/67/68/59/1189676859.db2.gz MYTWBNZYVRTMRN-LJQANCHMSA-N 0 1 312.457 3.221 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2CC2=CCCCC2)C1 ZINC001565826438 1189679530 /nfs/dbraw/zinc/67/95/30/1189679530.db2.gz MEAYBBMUQCAXEB-QGZVFWFLSA-N 0 1 302.462 3.376 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1(c2ccccc2)CCCC1 ZINC001565833459 1189683956 /nfs/dbraw/zinc/68/39/56/1189683956.db2.gz OGZCWXQRDYEHLF-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cc(C)ccc2OC)[C@@H]1C ZINC001566082467 1189730465 /nfs/dbraw/zinc/73/04/65/1189730465.db2.gz CKGFVLBHUXMLSU-WBVHZDCISA-N 0 1 316.445 3.049 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1ccccc1Cl ZINC001566448673 1189773223 /nfs/dbraw/zinc/77/32/23/1189773223.db2.gz QIGBKTLUFATLPN-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN CN(CCCNC(=O)CCC1(C)CC1)Cc1ccccc1C#N ZINC001566505424 1189786152 /nfs/dbraw/zinc/78/61/52/1189786152.db2.gz JMVUWQTXEROSOR-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC001566622937 1189830082 /nfs/dbraw/zinc/83/00/82/1189830082.db2.gz DIBZYKGKQKGPFG-MRXNPFEDSA-N 0 1 306.475 3.493 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001566666576 1189850341 /nfs/dbraw/zinc/85/03/41/1189850341.db2.gz JXDDCTVTQBYJBO-AWEZNQCLSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@@H]2CCc3c2cccc3F)C1 ZINC001566672302 1189852080 /nfs/dbraw/zinc/85/20/80/1189852080.db2.gz PDTFRSOKTBGZIC-RDTXWAMCSA-N 0 1 316.420 3.360 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566672817 1189853114 /nfs/dbraw/zinc/85/31/14/1189853114.db2.gz WUZYZDZSMMAACS-GOSISDBHSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccoc2CC)C1 ZINC001566735012 1189882379 /nfs/dbraw/zinc/88/23/79/1189882379.db2.gz GDZJQBPDVYTHSB-CQSZACIVSA-N 0 1 324.852 3.379 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)Cc2ccccc2)C1 ZINC001566738874 1189884800 /nfs/dbraw/zinc/88/48/00/1189884800.db2.gz OWHZYRUOUSXDNW-QGZVFWFLSA-N 0 1 320.864 3.152 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cc(C)[nH]c2C)C1 ZINC001566743815 1189888170 /nfs/dbraw/zinc/88/81/70/1189888170.db2.gz RJQNTJMYIQLZFG-OAHLLOKOSA-N 0 1 323.868 3.168 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)no1 ZINC001566748615 1189889967 /nfs/dbraw/zinc/88/99/67/1189889967.db2.gz RDHMDNMXEKNFRQ-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001566926509 1189956087 /nfs/dbraw/zinc/95/60/87/1189956087.db2.gz AJPBFPSSFLBMPM-ZWOKBUDYSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001566926508 1189957053 /nfs/dbraw/zinc/95/70/53/1189957053.db2.gz AJPBFPSSFLBMPM-QKLQHJQFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C(C)(C)C2CC2)C1 ZINC001566963091 1189972668 /nfs/dbraw/zinc/97/26/68/1189972668.db2.gz PRWDNPBREXLZNL-KGLIPLIRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001566986906 1189981693 /nfs/dbraw/zinc/98/16/93/1189981693.db2.gz DXOAFTKJQICGLC-FHERZECASA-N 0 1 319.449 3.102 20 30 DGEDMN CC(C)(C)CCC1(O)CC(C(=O)Nc2cc(C#N)ccc2O)C1 ZINC001567072715 1190021773 /nfs/dbraw/zinc/02/17/73/1190021773.db2.gz IRPRVTDQBQRJMY-UHFFFAOYSA-N 0 1 316.401 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001567076329 1190022276 /nfs/dbraw/zinc/02/22/76/1190022276.db2.gz DDRRGPLYLUSLKF-NSHDSACASA-N 0 1 319.836 3.245 20 30 DGEDMN CC/C(C)=C\C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001567191404 1190059455 /nfs/dbraw/zinc/05/94/55/1190059455.db2.gz GXNGZAYWGIWEJC-ZWSWSHGQSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@@H](N(C)CCF)C2)CCCCC1 ZINC001567198936 1190064762 /nfs/dbraw/zinc/06/47/62/1190064762.db2.gz APYSYHDOLOMDQP-MRXNPFEDSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H](C)NC/C(Cl)=C/Cl ZINC001567216024 1190074990 /nfs/dbraw/zinc/07/49/90/1190074990.db2.gz LSTQFZKPRBCUJT-ZGSOTFDTSA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1ccc(F)c(Cl)c1 ZINC001567298109 1190117187 /nfs/dbraw/zinc/11/71/87/1190117187.db2.gz JAYXWSXBXRXOJI-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001567305565 1190122620 /nfs/dbraw/zinc/12/26/20/1190122620.db2.gz GJFLZOQBDKIICG-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN CCC[C@@H](C(=O)NCCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001567307468 1190123990 /nfs/dbraw/zinc/12/39/90/1190123990.db2.gz ZVFPNCDCKRSQOW-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1 ZINC001567367992 1190156182 /nfs/dbraw/zinc/15/61/82/1190156182.db2.gz MNFORKXLIBZVOE-QGZVFWFLSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001567587209 1190221922 /nfs/dbraw/zinc/22/19/22/1190221922.db2.gz JXQVBSFZJXMCKB-SNVBAGLBSA-N 0 1 321.273 3.306 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001567587207 1190222340 /nfs/dbraw/zinc/22/23/40/1190222340.db2.gz JXQVBSFZJXMCKB-JTQLQIEISA-N 0 1 321.273 3.306 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CC(C)C1)c1ccc(C(C)C)cc1 ZINC001567654391 1190238452 /nfs/dbraw/zinc/23/84/52/1190238452.db2.gz QHLVUOMELYHICG-PPSBMQLTSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCc1cccc(C)c1)c1ccccc1 ZINC001567661280 1190240666 /nfs/dbraw/zinc/24/06/66/1190240666.db2.gz VGFOMABHGSAGFI-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)cc2C)C1 ZINC001567689053 1190254560 /nfs/dbraw/zinc/25/45/60/1190254560.db2.gz PYQRQYMPKNBMRV-SFHVURJKSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001567706040 1190265054 /nfs/dbraw/zinc/26/50/54/1190265054.db2.gz RCEWXOGKHONFCI-KBMXLJTQSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CCc2ccccc2)C1 ZINC001567713161 1190270137 /nfs/dbraw/zinc/27/01/37/1190270137.db2.gz XVFQXKGHMANTFQ-KRWDZBQOSA-N 0 1 320.864 3.200 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(C)(C)C2CCC2)C1 ZINC001567734884 1190277484 /nfs/dbraw/zinc/27/74/84/1190277484.db2.gz HIYMIXUJMNXCMJ-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](F)c2ccccc2)C1 ZINC001567739488 1190281382 /nfs/dbraw/zinc/28/13/82/1190281382.db2.gz ZFQATSMVSQGLGG-GOEBONIOSA-N 0 1 324.827 3.230 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001567751512 1190288201 /nfs/dbraw/zinc/28/82/01/1190288201.db2.gz JLCSQCVUOLYGJS-UHFFFAOYSA-N 0 1 323.868 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001567801167 1190301539 /nfs/dbraw/zinc/30/15/39/1190301539.db2.gz WQFRFTJZVOMPFE-CHWSQXEVSA-N 0 1 322.399 3.223 20 30 DGEDMN CCC/C=C/CCN1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001567856404 1190322593 /nfs/dbraw/zinc/32/25/93/1190322593.db2.gz QNBYCYVRIMJECJ-FNQZAJIHSA-N 0 1 302.462 3.067 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001567878353 1190332163 /nfs/dbraw/zinc/33/21/63/1190332163.db2.gz ANGCOGVOQSGYMN-PBHICJAKSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001567922745 1190354121 /nfs/dbraw/zinc/35/41/21/1190354121.db2.gz YKKQDYLFEWIPOT-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NCc1nc(C)cs1 ZINC001567963139 1190378403 /nfs/dbraw/zinc/37/84/03/1190378403.db2.gz YOTVZCSNBKOYPQ-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NCc1nc(C)cs1 ZINC001567963140 1190378847 /nfs/dbraw/zinc/37/88/47/1190378847.db2.gz YOTVZCSNBKOYPQ-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2[nH]ccc21 ZINC001567978144 1190384757 /nfs/dbraw/zinc/38/47/57/1190384757.db2.gz LEXRITWXYPUVLR-LBPRGKRZSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C2CC2)cc1 ZINC001567988649 1190388811 /nfs/dbraw/zinc/38/88/11/1190388811.db2.gz GSRJPULTAXOUDZ-INIZCTEOSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)CCC2CCCC2)C1 ZINC001568213198 1190453851 /nfs/dbraw/zinc/45/38/51/1190453851.db2.gz NOMHJMFCPBJHIB-SJLPKXTDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C/C=C/Cc1ccccc1)CC2 ZINC001568250281 1190462463 /nfs/dbraw/zinc/46/24/63/1190462463.db2.gz AOJBVNFTDGWPFZ-VOTSOKGWSA-N 0 1 324.468 3.286 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC2 ZINC001568252064 1190463264 /nfs/dbraw/zinc/46/32/64/1190463264.db2.gz APCFPANPQBQUKU-JKSUJKDBSA-N 0 1 306.494 3.415 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1oc(CC)nc1C ZINC001568273745 1190469952 /nfs/dbraw/zinc/46/99/52/1190469952.db2.gz FTIKIRZCAAVNKM-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(OCCC)c1 ZINC001568276610 1190470769 /nfs/dbraw/zinc/47/07/69/1190470769.db2.gz HZPQBODMPRZBFP-CQSZACIVSA-N 0 1 324.852 3.278 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1cc(C)ccc1C ZINC001568296925 1190476752 /nfs/dbraw/zinc/47/67/52/1190476752.db2.gz LGLNNDFYFYBIGN-OAHLLOKOSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001568302516 1190480117 /nfs/dbraw/zinc/48/01/17/1190480117.db2.gz BIHOCJHDRIQJGA-RDJZCZTQSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1sccc1F ZINC001568327693 1190491596 /nfs/dbraw/zinc/49/15/96/1190491596.db2.gz NAPVJPTUGDXPMR-NSHDSACASA-N 0 1 318.845 3.374 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc[nH]c1)C1CCCCC1 ZINC001568343970 1190498362 /nfs/dbraw/zinc/49/83/62/1190498362.db2.gz UKLJORUIKNXDSF-OAHLLOKOSA-N 0 1 309.841 3.036 20 30 DGEDMN CC(C)CCC(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001568442329 1190523645 /nfs/dbraw/zinc/52/36/45/1190523645.db2.gz UEKNXIZKDAKKJW-SFHVURJKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2ccc(C)c(F)c2)C1 ZINC001568495852 1190547318 /nfs/dbraw/zinc/54/73/18/1190547318.db2.gz YOXHTMJQHXMMMH-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)Cc1ccccc1C ZINC001568800242 1190589851 /nfs/dbraw/zinc/58/98/51/1190589851.db2.gz FIXJUCJQCOGXLN-HNNXBMFYSA-N 0 1 322.880 3.364 20 30 DGEDMN CC(C)(CC(=O)NC/C=C/CNCC#Cc1ccccc1)C1CC1 ZINC001568846696 1190594431 /nfs/dbraw/zinc/59/44/31/1190594431.db2.gz FFQLDNXSOVVMPI-VOTSOKGWSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1ccc(Cl)cc1F ZINC001569013354 1190608131 /nfs/dbraw/zinc/60/81/31/1190608131.db2.gz LCHGLLKEHFUGKO-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1cc(Cl)ccc1F ZINC001569011913 1190608178 /nfs/dbraw/zinc/60/81/78/1190608178.db2.gz ZGOLRZKMGWSDLS-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001569073064 1190616378 /nfs/dbraw/zinc/61/63/78/1190616378.db2.gz DJWRAVWWVQIKBR-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=Cc1ccc(C(=O)N[C@@H](C)CNCc2ccccc2C#N)cc1 ZINC001569080912 1190617998 /nfs/dbraw/zinc/61/79/98/1190617998.db2.gz QIBZEXWVQHRESM-HNNXBMFYSA-N 0 1 319.408 3.109 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001569115758 1190628463 /nfs/dbraw/zinc/62/84/63/1190628463.db2.gz TXEMRADUXUBPFU-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N(C)CCCN[C@H](C)c1nc2ccccc2o1 ZINC001569187663 1190651122 /nfs/dbraw/zinc/65/11/22/1190651122.db2.gz CKIGGUTVEMLOHQ-CQSZACIVSA-N 0 1 315.417 3.293 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCCC2(CC2)C1)C1CC1 ZINC001569303486 1190662753 /nfs/dbraw/zinc/66/27/53/1190662753.db2.gz QGACPFJHNROTAR-LSDHHAIUSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CC[C@@H]1CCCC1(F)F ZINC001569359887 1190675351 /nfs/dbraw/zinc/67/53/51/1190675351.db2.gz OBWQLUSLXDVSGD-GJZGRUSLSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1ccc(C(F)F)nc1 ZINC001569362196 1190676370 /nfs/dbraw/zinc/67/63/70/1190676370.db2.gz WZFFBDHPWMMXPG-JSGCOSHPSA-N 0 1 323.387 3.178 20 30 DGEDMN C=CCSc1ccccc1C(=O)Nc1cccc2[nH]nnc21 ZINC001569372920 1190681057 /nfs/dbraw/zinc/68/10/57/1190681057.db2.gz MTYHUQKNIUXNBH-UHFFFAOYSA-N 0 1 310.382 3.488 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CC)CC1CCCCC1 ZINC001569376329 1190683031 /nfs/dbraw/zinc/68/30/31/1190683031.db2.gz IPFMMCQUEVIRSE-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CCC)CC1CCCC1 ZINC001569377417 1190684903 /nfs/dbraw/zinc/68/49/03/1190684903.db2.gz WMFYWTRCQNUUJG-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)c1ccccc1 ZINC001569448469 1190700471 /nfs/dbraw/zinc/70/04/71/1190700471.db2.gz AWZOTCQZUQYJKB-RLLQIKCJSA-N 0 1 324.468 3.269 20 30 DGEDMN N#CC[C@H](CC(=O)Nc1n[nH]c2cc(O)ccc21)c1ccccc1 ZINC001569458756 1190702140 /nfs/dbraw/zinc/70/21/40/1190702140.db2.gz BKEXHUSQGHBKQH-CYBMUJFWSA-N 0 1 320.352 3.295 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001569477118 1190705161 /nfs/dbraw/zinc/70/51/61/1190705161.db2.gz BASQUVCQDIMQHH-XNHCWWPPSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001569504506 1190709801 /nfs/dbraw/zinc/70/98/01/1190709801.db2.gz PQPYZYBGZWQATH-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2CCO[C@@H](CC)C2)C1 ZINC001569503837 1190710022 /nfs/dbraw/zinc/71/00/22/1190710022.db2.gz DYCRWPLWTLFFSM-WMZOPIPTSA-N 0 1 324.509 3.305 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001569556972 1190716390 /nfs/dbraw/zinc/71/63/90/1190716390.db2.gz MDBKTTRKXLKNJZ-ZACQAIPSSA-N 0 1 318.848 3.123 20 30 DGEDMN C#CCN(C(=O)C[C@H](C=C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569591745 1190720824 /nfs/dbraw/zinc/72/08/24/1190720824.db2.gz XONFPXDKRIDXLD-IAGOWNOFSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccsc1)C1CCCC1 ZINC001569598983 1190721345 /nfs/dbraw/zinc/72/13/45/1190721345.db2.gz PVUUCYBIWOOSTG-AWEZNQCLSA-N 0 1 312.866 3.379 20 30 DGEDMN CC(C)C#CC(=O)N[C@]1(C)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001569637136 1190729571 /nfs/dbraw/zinc/72/95/71/1190729571.db2.gz GKWAYQGDURKQCT-HNAYVOBHSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCCCC(=O)N[C@]1(C)CCN(Cc2ccc(C)nc2C)C1 ZINC001569646578 1190732132 /nfs/dbraw/zinc/73/21/32/1190732132.db2.gz NYHURRRDZGVMCN-LJQANCHMSA-N 0 1 315.461 3.135 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001569726822 1190751297 /nfs/dbraw/zinc/75/12/97/1190751297.db2.gz URPHHIRCLZLYPL-NRFANRHFSA-N 0 1 324.468 3.031 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(CC(C)C)CC(C)C ZINC001569915627 1190774257 /nfs/dbraw/zinc/77/42/57/1190774257.db2.gz SWUJVJHLGLDCRH-RBUKOAKNSA-N 0 1 318.505 3.393 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C)(C)C3CC3)cccc2C1 ZINC001570078313 1190791445 /nfs/dbraw/zinc/79/14/45/1190791445.db2.gz SPRHACIQUPNRCT-UHFFFAOYSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1CCC(F)(F)C1 ZINC001570085495 1190793221 /nfs/dbraw/zinc/79/32/21/1190793221.db2.gz MEIDFTHLGPXETF-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN CCCCC(=O)N(C)CCCN(C)Cc1cc(C#N)ccc1F ZINC001570190655 1190814056 /nfs/dbraw/zinc/81/40/56/1190814056.db2.gz SKDYMTURDQVSCN-UHFFFAOYSA-N 0 1 319.424 3.168 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1ccccc1F ZINC001570204207 1190819531 /nfs/dbraw/zinc/81/95/31/1190819531.db2.gz IRPXALDYZPCQST-UHFFFAOYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001570441409 1190859129 /nfs/dbraw/zinc/85/91/29/1190859129.db2.gz TXYDXDHKMCHHBU-IEBWSBKVSA-N 0 1 324.468 3.219 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CC(C)=C(C)C ZINC001570478181 1190867269 /nfs/dbraw/zinc/86/72/69/1190867269.db2.gz KPIATPGHEWUMDR-HXUWFJFHSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)C/C=C\Cl ZINC001570538065 1190879960 /nfs/dbraw/zinc/87/99/60/1190879960.db2.gz KIOHFQYKKVURPW-HMWXGYMHSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]1CN(C)C/C=C\Cl ZINC001570538065 1190879962 /nfs/dbraw/zinc/87/99/62/1190879962.db2.gz KIOHFQYKKVURPW-HMWXGYMHSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1Cl ZINC001570591732 1190896092 /nfs/dbraw/zinc/89/60/92/1190896092.db2.gz BNZVHMPVVOCCGQ-VXGBXAGGSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001570643436 1190909046 /nfs/dbraw/zinc/90/90/46/1190909046.db2.gz GOGFCHKDMGMYRP-KBUPBQIOSA-N 0 1 300.874 3.438 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CC(C)(C)CNCc1coc(C)n1 ZINC001570675589 1190915734 /nfs/dbraw/zinc/91/57/34/1190915734.db2.gz MKACXYKCIXBNKW-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1cnoc1C ZINC001570824718 1190959787 /nfs/dbraw/zinc/95/97/87/1190959787.db2.gz DNGCWNUNGNFTHT-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001570827393 1190960970 /nfs/dbraw/zinc/96/09/70/1190960970.db2.gz QBBZBAYOCFLARK-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001570827394 1190961066 /nfs/dbraw/zinc/96/10/66/1190961066.db2.gz QBBZBAYOCFLARK-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001570845253 1190964351 /nfs/dbraw/zinc/96/43/51/1190964351.db2.gz FQFVXJXFCKPFRX-CYBMUJFWSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001570849293 1190965275 /nfs/dbraw/zinc/96/52/75/1190965275.db2.gz FAENPUUDEYFKLV-AWEZNQCLSA-N 0 1 318.436 3.283 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)CCC=C)C2)C1 ZINC001570881829 1190969412 /nfs/dbraw/zinc/96/94/12/1190969412.db2.gz HCBIVQKCVABAOW-LJQANCHMSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)ccc1F ZINC001571368872 1191003717 /nfs/dbraw/zinc/00/37/17/1191003717.db2.gz NXIJXXCCOYKLEF-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001571388580 1191010834 /nfs/dbraw/zinc/01/08/34/1191010834.db2.gz STKJLNNECGELQL-CQSZACIVSA-N 0 1 324.852 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)c1ccsc1 ZINC001571405046 1191016266 /nfs/dbraw/zinc/01/62/66/1191016266.db2.gz YGDVUPMBVZQTTD-NWDGAFQWSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)CCCC(C)C ZINC001571407379 1191017080 /nfs/dbraw/zinc/01/70/80/1191017080.db2.gz VTOWQCBNAURENW-CYBMUJFWSA-N 0 1 319.287 3.158 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001571411125 1191018063 /nfs/dbraw/zinc/01/80/63/1191018063.db2.gz XOCAMHQXPUJZKN-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1ccccc1C#N)CC2 ZINC001571496474 1191031638 /nfs/dbraw/zinc/03/16/38/1191031638.db2.gz FIBXBYNOKZXJIA-AZUAARDMSA-N 0 1 323.440 3.138 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)[C@H]1C ZINC001571513027 1191037050 /nfs/dbraw/zinc/03/70/50/1191037050.db2.gz NKVGXPXJEMORTJ-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN CC[C@@H](C)C(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001571610280 1191056344 /nfs/dbraw/zinc/05/63/44/1191056344.db2.gz LFYGBPWYAQEFLD-MRXNPFEDSA-N 0 1 315.461 3.273 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(Cl)ccc1C)C(C)C ZINC001571615128 1191061258 /nfs/dbraw/zinc/06/12/58/1191061258.db2.gz GVSNSVHIHVLTGB-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1sc(C)cc1C)C(C)C ZINC001571615975 1191062405 /nfs/dbraw/zinc/06/24/05/1191062405.db2.gz LXVNJSGOQDOQPE-UHFFFAOYSA-N 0 1 320.502 3.100 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](C)CC1CCCCC1)C(C)C ZINC001571615235 1191063456 /nfs/dbraw/zinc/06/34/56/1191063456.db2.gz KETRPSQZBLVHSF-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001571688201 1191083266 /nfs/dbraw/zinc/08/32/66/1191083266.db2.gz FETHBVYEPNXUOK-UHFFFAOYSA-N 0 1 318.383 3.289 20 30 DGEDMN C=CCN(C(=O)[C@H](N)[C@H](C)OCc1ccccc1)c1ccccc1 ZINC001574920570 1191458869 /nfs/dbraw/zinc/45/88/69/1191458869.db2.gz CQQVCFBVNMOSEY-QFBILLFUSA-N 0 1 324.424 3.138 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccccc1COC(C)(C)CC ZINC001574969813 1191460472 /nfs/dbraw/zinc/46/04/72/1191460472.db2.gz NKJFPLMPCDTRCO-HNNXBMFYSA-N 0 1 302.418 3.071 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)C1(Cc2c[nH]nn2)CCCCC1 ZINC001575073955 1191465035 /nfs/dbraw/zinc/46/50/35/1191465035.db2.gz TVIUTTFOTLYXPL-UHFFFAOYSA-N 0 1 318.465 3.406 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)C1(Cc2cnn[nH]2)CCCCC1 ZINC001575073955 1191465039 /nfs/dbraw/zinc/46/50/39/1191465039.db2.gz TVIUTTFOTLYXPL-UHFFFAOYSA-N 0 1 318.465 3.406 20 30 DGEDMN C=CCOCCN1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC001618091617 1193724726 /nfs/dbraw/zinc/72/47/26/1193724726.db2.gz DBCYPXRJSZFCFQ-UHFFFAOYSA-N 0 1 302.462 3.130 20 30 DGEDMN C[C@@H]1CCC[C@](C#N)(NC(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001618411001 1193738039 /nfs/dbraw/zinc/73/80/39/1193738039.db2.gz MPULHDWFOPUGTI-KDOFPFPSSA-N 0 1 315.417 3.078 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1cc(F)ccc1[N+](=O)[O-] ZINC001620516126 1193774862 /nfs/dbraw/zinc/77/48/62/1193774862.db2.gz FYMCKOADRFBLFR-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN N#Cc1ccc([C@H]2CN(CC3(O)CCCCCC3)CCO2)cc1 ZINC001620624430 1193784064 /nfs/dbraw/zinc/78/40/64/1193784064.db2.gz XLQIPSKJVNSNHA-GOSISDBHSA-N 0 1 314.429 3.017 20 30 DGEDMN Cc1nn(-c2ccccc2F)cc1CNCc1cccc(C#N)c1 ZINC001620714720 1193794314 /nfs/dbraw/zinc/79/43/14/1193794314.db2.gz JPUJTJLUMJNNLX-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN N#Cc1ccc(CNCc2ccnc(C(F)(F)F)c2)cc1F ZINC001621344344 1193831027 /nfs/dbraw/zinc/83/10/27/1193831027.db2.gz MMOUXURRWYHCLI-UHFFFAOYSA-N 0 1 309.266 3.401 20 30 DGEDMN N#Cc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)s1 ZINC001621398702 1193837882 /nfs/dbraw/zinc/83/78/82/1193837882.db2.gz OVHKMNJVKOYUPL-UHFFFAOYSA-N 0 1 307.762 3.471 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccccc2Nc2ccncc2)cc1 ZINC001626601840 1194085146 /nfs/dbraw/zinc/08/51/46/1194085146.db2.gz FGYVUVMNMVVSEE-UHFFFAOYSA-N 0 1 313.360 3.481 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2cccc3nc[nH]c32)cc1 ZINC001627364862 1194124662 /nfs/dbraw/zinc/12/46/62/1194124662.db2.gz WRCBRVUENCCIFB-UHFFFAOYSA-N 0 1 304.353 3.432 20 30 DGEDMN N#Cc1cc(NC(=O)c2ncc3ccccc3c2O)ccc1F ZINC001627830077 1194146233 /nfs/dbraw/zinc/14/62/33/1194146233.db2.gz BINLIDLOONLNMP-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001628237331 1194168249 /nfs/dbraw/zinc/16/82/49/1194168249.db2.gz VIDYJGGDOLDFTD-UHFFFAOYSA-N 0 1 319.368 3.008 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)[C@@H](C)N2CCc3ccccc3C2)C1 ZINC001632373161 1194384862 /nfs/dbraw/zinc/38/48/62/1194384862.db2.gz CCVHQULBFJTLPQ-SJORKVTESA-N 0 1 312.457 3.248 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001671152827 1194406514 /nfs/dbraw/zinc/40/65/14/1194406514.db2.gz HTEUOKDACIEOEF-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538485 1194441920 /nfs/dbraw/zinc/44/19/20/1194441920.db2.gz SDPIWQCQHFEAQF-PIGZYNQJSA-N 0 1 301.390 3.351 20 30 DGEDMN N#Cc1c(N)c(NC(=O)c2ccc3ccccc3c2O)ccc1F ZINC001634589874 1194503064 /nfs/dbraw/zinc/50/30/64/1194503064.db2.gz YFWWEVJCNRMNGJ-UHFFFAOYSA-N 0 1 321.311 3.391 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(C[C@@H]3CC[C@H]4C[C@H]4C3)C2)CCC1 ZINC001671168178 1194553014 /nfs/dbraw/zinc/55/30/14/1194553014.db2.gz SLBWJILORCYTLE-IXDOHACOSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(C)C[C@@H]1CC(C)C)c1ccccc1 ZINC001635697116 1194566445 /nfs/dbraw/zinc/56/64/45/1194566445.db2.gz IPLBVFYKPBXDPO-ROUUACIJSA-N 0 1 300.446 3.145 20 30 DGEDMN N#CCCCCC(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001636202931 1194599279 /nfs/dbraw/zinc/59/92/79/1194599279.db2.gz OAIPHJVXKFSOEK-UHFFFAOYSA-N 0 1 320.356 3.042 20 30 DGEDMN N#C[C@H](NC(=O)c1cccc(C(F)(F)F)c1O)C1CCCC1 ZINC001636732652 1194630404 /nfs/dbraw/zinc/63/04/04/1194630404.db2.gz IELJGTKEWRHUTD-LBPRGKRZSA-N 0 1 312.291 3.223 20 30 DGEDMN C#C[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1ccc(Cl)cc1 ZINC001638463755 1194720646 /nfs/dbraw/zinc/72/06/46/1194720646.db2.gz TUORGBJTSCOMMC-CQSZACIVSA-N 0 1 321.710 3.428 20 30 DGEDMN C#Cc1ccc(NC(=O)c2ccc(Br)c(O)c2)cc1 ZINC001639117118 1194751893 /nfs/dbraw/zinc/75/18/93/1194751893.db2.gz CYXSHLPXYSFKRP-UHFFFAOYSA-N 0 1 316.154 3.388 20 30 DGEDMN C=CCCOCCCCC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001639608919 1194773741 /nfs/dbraw/zinc/77/37/41/1194773741.db2.gz UXXAZLFITADAJO-UHFFFAOYSA-N 0 1 321.465 3.429 20 30 DGEDMN C=CC[C@H](CC)NC(=O)c1cc(Br)cc(F)c1O ZINC001639669107 1194776601 /nfs/dbraw/zinc/77/66/01/1194776601.db2.gz VFTZSVAPAAYLSA-VIFPVBQESA-N 0 1 316.170 3.378 20 30 DGEDMN CSc1c(F)cccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001640320589 1194806912 /nfs/dbraw/zinc/80/69/12/1194806912.db2.gz OQWAFUFFEZCPOO-UHFFFAOYSA-N 0 1 302.330 3.377 20 30 DGEDMN N#C[C@H](CCc1ccccc1)Sc1nc(-c2cccnc2)n[nH]1 ZINC001640873602 1194830762 /nfs/dbraw/zinc/83/07/62/1194830762.db2.gz UNMFHMMXDDKDLL-HNNXBMFYSA-N 0 1 321.409 3.484 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CC(N2CCCC2)C1)c1ccccc1 ZINC001641159153 1194842132 /nfs/dbraw/zinc/84/21/32/1194842132.db2.gz KFHXDQMHMNVWLU-LJQANCHMSA-N 0 1 312.457 3.433 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C1CN(CC(C)C)C1)c1ccccc1 ZINC001671201977 1194887233 /nfs/dbraw/zinc/88/72/33/1194887233.db2.gz KSSCEJAIJRDBQU-MRXNPFEDSA-N 0 1 300.446 3.145 20 30 DGEDMN CCCN(C)c1ccccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001642114794 1194888696 /nfs/dbraw/zinc/88/86/96/1194888696.db2.gz FHCFKRVPGPWORN-UHFFFAOYSA-N 0 1 309.369 3.362 20 30 DGEDMN COc1cc(C#N)ccc1CN(CCO)[C@H](C)c1ccccc1 ZINC001642329056 1194902582 /nfs/dbraw/zinc/90/25/82/1194902582.db2.gz MINNAOOECSNJFF-OAHLLOKOSA-N 0 1 310.397 3.122 20 30 DGEDMN C=CC[C@H]1N(CC(=O)Nc2ccnn2CCC)CCCC1(C)C ZINC001642917493 1194953980 /nfs/dbraw/zinc/95/39/80/1194953980.db2.gz AXXIEONJZBFYBZ-OAHLLOKOSA-N 0 1 318.465 3.298 20 30 DGEDMN Cc1cccc2c(CC(=O)NOc3cccc(C#N)c3)c[nH]c21 ZINC001643517985 1195031783 /nfs/dbraw/zinc/03/17/83/1195031783.db2.gz ODRIQFZACJUUEN-UHFFFAOYSA-N 0 1 305.337 3.001 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCc1ccc2c(c1)OCO2 ZINC001644529286 1195115625 /nfs/dbraw/zinc/11/56/25/1195115625.db2.gz ZUPYFYMYDLKBMF-UHFFFAOYSA-N 0 1 311.356 3.080 20 30 DGEDMN CCC#C[C@@H](C)N1CCN([C@@H](C)c2cccc(OC)c2)CC1 ZINC001644578830 1195117826 /nfs/dbraw/zinc/11/78/26/1195117826.db2.gz FXEPJSHLTDPNQJ-SJORKVTESA-N 0 1 300.446 3.176 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)CCc2ccc(C#N)cc2)n[nH]1 ZINC001645507677 1195189919 /nfs/dbraw/zinc/18/99/19/1195189919.db2.gz UCYIFONFCIPINA-SFHVURJKSA-N 0 1 322.412 3.276 20 30 DGEDMN CC(C)C#CC(=O)Nc1nc2cc(Br)c(F)cc2[nH]1 ZINC001647814556 1195255555 /nfs/dbraw/zinc/25/55/55/1195255555.db2.gz CTOIRYHXHDECOZ-UHFFFAOYSA-N 0 1 324.153 3.062 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2cccc(CC#N)c2)n[nH]1 ZINC001647858210 1195259183 /nfs/dbraw/zinc/25/91/83/1195259183.db2.gz DDPBBIPLXUBFTP-KRWDZBQOSA-N 0 1 308.385 3.152 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2cccc(CC#N)c2)n[nH]1 ZINC001647858212 1195259845 /nfs/dbraw/zinc/25/98/45/1195259845.db2.gz DDPBBIPLXUBFTP-QGZVFWFLSA-N 0 1 308.385 3.152 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)Nc1ccc2cncn2c1 ZINC001647938110 1195266546 /nfs/dbraw/zinc/26/65/46/1195266546.db2.gz FBHREWPWFVLVGJ-CYBMUJFWSA-N 0 1 304.353 3.023 20 30 DGEDMN C=CC[C@H]1CCN1[C@H](C)C(=O)N(C)Cc1c(F)cccc1Cl ZINC001648549957 1195308867 /nfs/dbraw/zinc/30/88/67/1195308867.db2.gz AVDNZVRFBQCMMD-OLZOCXBDSA-N 0 1 324.827 3.476 20 30 DGEDMN N#Cc1cccc(CNCc2cc3ccc(F)cc3[nH]c2=O)c1 ZINC001648772797 1195330057 /nfs/dbraw/zinc/33/00/57/1195330057.db2.gz XKKGCHMUNUXZNJ-UHFFFAOYSA-N 0 1 307.328 3.241 20 30 DGEDMN CC(C)c1ncc(C(=O)N(O)CCCCc2ccccc2)[nH]1 ZINC001651225949 1195428472 /nfs/dbraw/zinc/42/84/72/1195428472.db2.gz DZWMXLYMKQMOAQ-UHFFFAOYSA-N 0 1 301.390 3.387 20 30 DGEDMN Cc1nsc(N[C@@H]2CCN(Cc3ccc(C)cc3)C2)c1C#N ZINC001651351018 1195448254 /nfs/dbraw/zinc/44/82/54/1195448254.db2.gz RNVCDVNXWLSMEX-OAHLLOKOSA-N 0 1 312.442 3.318 20 30 DGEDMN Cc1ccc(CNC(=O)c2ccc(O)c(Cl)c2)cc1C#N ZINC001651555913 1195478753 /nfs/dbraw/zinc/47/87/53/1195478753.db2.gz SKXCKQVHHACSBY-UHFFFAOYSA-N 0 1 300.745 3.156 20 30 DGEDMN C[C@@H](C#N)CNC[C@H](CCC(C)(C)C)NC(=O)OC(C)(C)C ZINC001652170421 1195557136 /nfs/dbraw/zinc/55/71/36/1195557136.db2.gz ZGRVSHPKKFUDFE-KBPBESRZSA-N 0 1 311.470 3.455 20 30 DGEDMN C=CCO[C@@H]1CCN([C@@H](CC)C(=O)N(C)c2ccc(C)cc2)C1 ZINC001652993491 1195679267 /nfs/dbraw/zinc/67/92/67/1195679267.db2.gz GCRWCBOUSHPTGN-MSOLQXFVSA-N 0 1 316.445 3.013 20 30 DGEDMN C#CCCN1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC001653180238 1195695509 /nfs/dbraw/zinc/69/55/09/1195695509.db2.gz NTUHGPVELNPCOM-UHFFFAOYSA-N 0 1 313.832 3.029 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN([C@@H](C)c2ccc3c(c2)CCC3)CC1 ZINC001654015625 1195767139 /nfs/dbraw/zinc/76/71/39/1195767139.db2.gz VIGTUIYZLLUMPW-OXJNMPFZSA-N 0 1 311.473 3.402 20 30 DGEDMN C#CCCN1CCN(Cc2cccc(OC(C)(C)C)c2)CC1 ZINC001654043209 1195770511 /nfs/dbraw/zinc/77/05/11/1195770511.db2.gz HAJINNJDRCILMZ-UHFFFAOYSA-N 0 1 300.446 3.005 20 30 DGEDMN N#Cc1c(N)sc2c1CCN([C@H]1CCc3ccc(F)cc31)C2 ZINC001654998729 1195862646 /nfs/dbraw/zinc/86/26/46/1195862646.db2.gz HZMULRJVSSWFMF-HNNXBMFYSA-N 0 1 313.401 3.387 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(c2ncc(C#N)cc2F)CC1 ZINC001655189013 1195888307 /nfs/dbraw/zinc/88/83/07/1195888307.db2.gz WYJHLIPKGHHIOX-SFHVURJKSA-N 0 1 324.403 3.366 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1c(F)cccc1F ZINC001656080337 1195995637 /nfs/dbraw/zinc/99/56/37/1195995637.db2.gz DHIJGKGHRQQRGJ-KBPBESRZSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccccc1C ZINC001656109202 1196004216 /nfs/dbraw/zinc/00/42/16/1196004216.db2.gz GOUDGAZQALBBBC-IRXDYDNUSA-N 0 1 300.446 3.207 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC[C@H]1CCc2ccccc21 ZINC001656109229 1196004344 /nfs/dbraw/zinc/00/43/44/1196004344.db2.gz GZLPSAKXTAVXLP-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CCCCCCCNS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC001656111621 1196004927 /nfs/dbraw/zinc/00/49/27/1196004927.db2.gz SMJOBQWAQUJWJK-UHFFFAOYSA-N 0 1 309.435 3.120 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc2ccccc2n1C ZINC001656513515 1196046220 /nfs/dbraw/zinc/04/62/20/1196046220.db2.gz KCTLBJIOPCJQSG-UHFFFAOYSA-N 0 1 304.393 3.480 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1CCC(C2CC2)CC1 ZINC001657079910 1196102025 /nfs/dbraw/zinc/10/20/25/1196102025.db2.gz VIWJLEIVCABEPU-ACBHZAAOSA-N 0 1 316.489 3.149 20 30 DGEDMN C#Cc1cccc(CNCc2cnn(-c3ccc(F)cc3)c2)c1 ZINC001657532351 1196152310 /nfs/dbraw/zinc/15/23/10/1196152310.db2.gz QQYZGYWWQJUKDW-UHFFFAOYSA-N 0 1 305.356 3.283 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@H](NCCC2CCCCC2)[C@@H](C#N)C1 ZINC001657689615 1196169895 /nfs/dbraw/zinc/16/98/95/1196169895.db2.gz ILVGZVNTHMOGKH-HOTGVXAUSA-N 0 1 321.465 3.305 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@](O)(C2CC2)C1 ZINC001658530015 1196269854 /nfs/dbraw/zinc/26/98/54/1196269854.db2.gz POIMLACJYGZMRP-SFHVURJKSA-N 0 1 319.832 3.089 20 30 DGEDMN C=C(C)C[C@@H](NCc1cc(Cl)c(F)cc1O)C(=O)OCC ZINC001659921225 1196429224 /nfs/dbraw/zinc/42/92/24/1196429224.db2.gz OAHMGBACADDCCC-CYBMUJFWSA-N 0 1 315.772 3.172 20 30 DGEDMN C#CCC1(NCc2c(Br)cccc2[N+](=O)[O-])CCC1 ZINC001660283906 1196465959 /nfs/dbraw/zinc/46/59/59/1196465959.db2.gz KLXXUYJYXBDFQW-UHFFFAOYSA-N 0 1 323.190 3.393 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1ccccc1F ZINC001660290645 1196466864 /nfs/dbraw/zinc/46/68/64/1196466864.db2.gz HCFYJAIYIVMYSU-GOSISDBHSA-N 0 1 306.425 3.366 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001660809993 1196527445 /nfs/dbraw/zinc/52/74/45/1196527445.db2.gz CIKKNTUHRPASFC-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN CC1(C)[C@H](NCC2(C#N)CCOCC2)C[C@H]1Oc1ccccc1 ZINC001660886524 1196538732 /nfs/dbraw/zinc/53/87/32/1196538732.db2.gz AQNQZTGYLHFLIO-IAGOWNOFSA-N 0 1 314.429 3.142 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001661097523 1196565484 /nfs/dbraw/zinc/56/54/84/1196565484.db2.gz RBZOIZCZBFDJRV-ROUUACIJSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc2[nH]ccc2c1)C1CC1 ZINC001661163740 1196574912 /nfs/dbraw/zinc/57/49/12/1196574912.db2.gz YLKZGHAKFXMFFO-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccoc2C)C1 ZINC001661201489 1196580102 /nfs/dbraw/zinc/58/01/02/1196580102.db2.gz CAJIHWDKADAXLX-CQSZACIVSA-N 0 1 310.825 3.125 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC[C@@H](C)c1ccccc1 ZINC001661266763 1196590373 /nfs/dbraw/zinc/59/03/73/1196590373.db2.gz HBIUAMCWBYYCBY-RLLQIKCJSA-N 0 1 324.468 3.269 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCCC1CCCCC1 ZINC001661890143 1196659830 /nfs/dbraw/zinc/65/98/30/1196659830.db2.gz BLTAHQFGDVZCKX-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCCC[C@@H]2CNCC(=C)Cl)cc1 ZINC001661938097 1196664308 /nfs/dbraw/zinc/66/43/08/1196664308.db2.gz KZGLSEDQDHQEPE-QGZVFWFLSA-N 0 1 316.832 3.005 20 30 DGEDMN CC(C)C#CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1C/C=C\Cl ZINC001661978549 1196669533 /nfs/dbraw/zinc/66/95/33/1196669533.db2.gz VLIOKDVJQXIAHQ-WGTSBNPKSA-N 0 1 322.880 3.244 20 30 DGEDMN C=CCCC(=O)NC1CCC(NCc2ncc(C3CC3)o2)CC1 ZINC001662095621 1196680642 /nfs/dbraw/zinc/68/06/42/1196680642.db2.gz SIZQCGLKUDLUHQ-UHFFFAOYSA-N 0 1 317.433 3.035 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001662219513 1196696240 /nfs/dbraw/zinc/69/62/40/1196696240.db2.gz JKRAFEGOGSISES-JHJVBQTASA-N 0 1 312.866 3.007 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1ccc(C)cc1C ZINC001662298306 1196703954 /nfs/dbraw/zinc/70/39/54/1196703954.db2.gz NCKQZJZCSWRJBU-OAHLLOKOSA-N 0 1 308.853 3.083 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1cccc(Cl)c1F ZINC001662308577 1196704776 /nfs/dbraw/zinc/70/47/76/1196704776.db2.gz HCEIEXWAOOFRBM-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN CC(C)c1ccoc1C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001662313849 1196706684 /nfs/dbraw/zinc/70/66/84/1196706684.db2.gz FPUSQMMTRXJLGI-INIZCTEOSA-N 0 1 324.424 3.163 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1cccc2ccccc21 ZINC001662395605 1196716943 /nfs/dbraw/zinc/71/69/43/1196716943.db2.gz YJLZXPFHQQLFEH-CQSZACIVSA-N 0 1 316.832 3.229 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1ccc(CC)cc1 ZINC001662415674 1196719344 /nfs/dbraw/zinc/71/93/44/1196719344.db2.gz YZZUMVCDJYFMLK-AWEZNQCLSA-N 0 1 308.853 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)c1ccc(OC)cc1 ZINC001662420911 1196719984 /nfs/dbraw/zinc/71/99/84/1196719984.db2.gz VGHGDGIWBDDCCJ-JSGCOSHPSA-N 0 1 324.852 3.036 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)s2)c(C2CCCC2)n1 ZINC001662686501 1196740673 /nfs/dbraw/zinc/74/06/73/1196740673.db2.gz IHVRRPKIVWPRJY-UHFFFAOYSA-N 0 1 300.431 3.301 20 30 DGEDMN C=CCOc1ccc(CNCc2ccc(C#N)s2)cc1OC ZINC001662686772 1196740689 /nfs/dbraw/zinc/74/06/89/1196740689.db2.gz SFLOCHSOUXLTNT-UHFFFAOYSA-N 0 1 314.410 3.483 20 30 DGEDMN CC(C)CC(=O)N(C)CCCN(C)Cc1cc(C#N)ccc1F ZINC001673413038 1196805694 /nfs/dbraw/zinc/80/56/94/1196805694.db2.gz ZFPNWGLPVYPWQH-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001663502312 1196887425 /nfs/dbraw/zinc/88/74/25/1196887425.db2.gz BXWAFJOBRBVVKO-IBGZPJMESA-N 0 1 324.468 3.003 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001663524269 1196894681 /nfs/dbraw/zinc/89/46/81/1196894681.db2.gz WQNBEGQRSDTUGY-JSGCOSHPSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001663547418 1196901843 /nfs/dbraw/zinc/90/18/43/1196901843.db2.gz GOSHTQSPWIJVLQ-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN CCCN(CC#Cc1ccc(F)cc1)CCNC(=O)CC(C)C ZINC001663649739 1196920765 /nfs/dbraw/zinc/92/07/65/1196920765.db2.gz WMURYGAJOGIYDC-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=CCCC(=O)N1CCC([C@H](C)N[C@@H](C)c2ncc(C)o2)CC1 ZINC001664424681 1196996977 /nfs/dbraw/zinc/99/69/77/1196996977.db2.gz CYXXJHOXMSZYCA-GJZGRUSLSA-N 0 1 319.449 3.227 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1(C(F)F)CC1)C(C)C ZINC001664510530 1197007890 /nfs/dbraw/zinc/00/78/90/1197007890.db2.gz MZQUVZKEMLZOGE-UHFFFAOYSA-N 0 1 308.800 3.001 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C)cc1 ZINC001664630148 1197023335 /nfs/dbraw/zinc/02/33/35/1197023335.db2.gz YLJMMTZSDOXPSR-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2sccc2C)C1 ZINC001664651162 1197026313 /nfs/dbraw/zinc/02/63/13/1197026313.db2.gz NOJDORHZBPPATO-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN(Cc2ncc(C)s2)C1 ZINC001664716352 1197042925 /nfs/dbraw/zinc/04/29/25/1197042925.db2.gz BETUBFPRBBVHCG-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](F)c2ccccc2)C1 ZINC001664745027 1197047650 /nfs/dbraw/zinc/04/76/50/1197047650.db2.gz DEEQBUJOIUYMQH-HOCLYGCPSA-N 0 1 324.827 3.278 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(C)cc2C)C1 ZINC001664755807 1197051450 /nfs/dbraw/zinc/05/14/50/1197051450.db2.gz YROWXUNHXKHYDH-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CC3CCC2CC3)C1 ZINC001664760479 1197054715 /nfs/dbraw/zinc/05/47/15/1197054715.db2.gz UBHMLQKDYQZSDO-MYBQVCMBSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C23CCC(CC2)C3)C1 ZINC001664818431 1197063027 /nfs/dbraw/zinc/06/30/27/1197063027.db2.gz SSZFMZIUOPJNRO-CKDBGZEDSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(C)CCCCC2)C1 ZINC001664835396 1197065983 /nfs/dbraw/zinc/06/59/83/1197065983.db2.gz GNAZSAZYLLVHNV-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(C)Cc2ncc(C)s2)CC1 ZINC001664868628 1197072875 /nfs/dbraw/zinc/07/28/75/1197072875.db2.gz JYQXNMLYORAQPO-UHFFFAOYSA-N 0 1 321.490 3.088 20 30 DGEDMN C#Cc1ccc(NC(=O)N2C[C@@H](C)N(CC)C[C@H]2C)c(Cl)c1 ZINC001664986162 1197083863 /nfs/dbraw/zinc/08/38/63/1197083863.db2.gz ZWCIWNUIQDNNAI-CHWSQXEVSA-N 0 1 319.836 3.268 20 30 DGEDMN C[C@H]1C[C@@H](C(=O)OC(C)(C)C)CN(Cc2csc(C#N)c2)C1 ZINC001665026787 1197089555 /nfs/dbraw/zinc/08/95/55/1197089555.db2.gz FXUBTCMOSOVLGP-GXTWGEPZSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001665324364 1197122975 /nfs/dbraw/zinc/12/29/75/1197122975.db2.gz PJUUAEVKAZGMGC-UHFFFAOYSA-N 0 1 300.446 3.208 20 30 DGEDMN CCN(CC#Cc1ccccc1)CCOc1ccccc1OC ZINC001665345008 1197126138 /nfs/dbraw/zinc/12/61/38/1197126138.db2.gz DGQXUVZUZFHBPS-UHFFFAOYSA-N 0 1 309.409 3.448 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2CCCc1cccc(F)c1 ZINC001665378944 1197130060 /nfs/dbraw/zinc/13/00/60/1197130060.db2.gz JXJMUFRREQDWAC-ROUUACIJSA-N 0 1 316.420 3.010 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001665405668 1197133053 /nfs/dbraw/zinc/13/30/53/1197133053.db2.gz OOQDYZBXJWPAGB-PMACEKPBSA-N 0 1 324.468 3.364 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001665664492 1197167133 /nfs/dbraw/zinc/16/71/33/1197167133.db2.gz NFHFKWKMQISOLG-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001665732820 1197182448 /nfs/dbraw/zinc/18/24/48/1197182448.db2.gz YKIXTKLRSBBCJZ-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)N[C@H](C)c1nc2ccccc2o1 ZINC001665765977 1197190462 /nfs/dbraw/zinc/19/04/62/1197190462.db2.gz RDFJDCWOBVLFNP-KGLIPLIRSA-N 0 1 315.417 3.339 20 30 DGEDMN C=CCCC(=O)NCCC1CCN([C@H](C)c2ncc(C)o2)CC1 ZINC001666355470 1197255385 /nfs/dbraw/zinc/25/53/85/1197255385.db2.gz LHPPTJIRLJWXLT-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC001666694465 1197278736 /nfs/dbraw/zinc/27/87/36/1197278736.db2.gz HMUSTWIRSFXIII-MRXNPFEDSA-N 0 1 321.440 3.330 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)C2(C)CCCC2)C1 ZINC001666713216 1197279598 /nfs/dbraw/zinc/27/95/98/1197279598.db2.gz JKAOCHRYAXNING-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C/C=C(/C)C(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001666751907 1197282852 /nfs/dbraw/zinc/28/28/52/1197282852.db2.gz NSUZDAFDFBMDAI-CQPUUCJISA-N 0 1 311.429 3.043 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H](CC)C(C)(C)C)CC2 ZINC001666834497 1197288553 /nfs/dbraw/zinc/28/85/53/1197288553.db2.gz JEHNEFRISYWXEY-QGZVFWFLSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001667008724 1197307843 /nfs/dbraw/zinc/30/78/43/1197307843.db2.gz ZRBHQIVYXBQJDQ-LESCRADOSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CCNCc1ccon1 ZINC001667278793 1197334615 /nfs/dbraw/zinc/33/46/15/1197334615.db2.gz DXZWPIOTKLPMFO-DOTOQJQBSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccsc1 ZINC001667358314 1197340782 /nfs/dbraw/zinc/34/07/82/1197340782.db2.gz GGLWUSFNIQSGIA-RISCZKNCSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CSC(C)C ZINC001667358745 1197340976 /nfs/dbraw/zinc/34/09/76/1197340976.db2.gz IYXJUXPNSASFLN-TZMCWYRMSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001667612066 1197368182 /nfs/dbraw/zinc/36/81/82/1197368182.db2.gz ZJWXGNDJIIWMCA-AWEZNQCLSA-N 0 1 324.415 3.457 20 30 DGEDMN CCN(CCN[C@@H](C)c1ccc(F)cc1F)C(=O)C#CC(C)C ZINC001668423038 1197414435 /nfs/dbraw/zinc/41/44/35/1197414435.db2.gz PLEZTQLQTZMLAW-AWEZNQCLSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)c1ccsc1 ZINC001668555939 1197419870 /nfs/dbraw/zinc/41/98/70/1197419870.db2.gz ZYXKLWRUQVBOFI-GFCCVEGCSA-N 0 1 300.855 3.042 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cc2ccccc2o1 ZINC001668978622 1197435636 /nfs/dbraw/zinc/43/56/36/1197435636.db2.gz LDZKFGABZKLFPX-BQYQJAHWSA-N 0 1 312.413 3.407 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001669208114 1197444039 /nfs/dbraw/zinc/44/40/39/1197444039.db2.gz QXUGSXJVTQSQRS-MBVDDHJVSA-N 0 1 320.864 3.188 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001669516435 1197460222 /nfs/dbraw/zinc/46/02/22/1197460222.db2.gz VYHQGHGMDMBBGH-OWTLUSIOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001671351360 1197535654 /nfs/dbraw/zinc/53/56/54/1197535654.db2.gz NDVGTYNAQMEKGN-KIWPFMIBSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(CC)CC)c1ccccc1CC ZINC001672182897 1197595461 /nfs/dbraw/zinc/59/54/61/1197595461.db2.gz KZKLDPMPZGPUEM-GOSISDBHSA-N 0 1 314.473 3.455 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ccns1 ZINC001672521753 1197614911 /nfs/dbraw/zinc/61/49/11/1197614911.db2.gz IQHHQPLFIWVCPQ-JKSUJKDBSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1ccns1 ZINC001672521753 1197614912 /nfs/dbraw/zinc/61/49/12/1197614912.db2.gz IQHHQPLFIWVCPQ-JKSUJKDBSA-N 0 1 319.474 3.065 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](CC)CCCC)[C@@H]2C1 ZINC001672558083 1197617380 /nfs/dbraw/zinc/61/73/80/1197617380.db2.gz KVVMPHIEZUFKBT-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)CC(C)C ZINC001672933835 1197629637 /nfs/dbraw/zinc/62/96/37/1197629637.db2.gz MAZWSOZRMVITMW-UHFFFAOYSA-N 0 1 314.473 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001673083684 1197637128 /nfs/dbraw/zinc/63/71/28/1197637128.db2.gz NOWIVQGKRQJDKQ-CYBMUJFWSA-N 0 1 312.866 3.393 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001673381556 1197653029 /nfs/dbraw/zinc/65/30/29/1197653029.db2.gz SFIHYLFMZFSWSY-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1Cc2ccccc2CN1CC(=C)C ZINC001673635367 1197682197 /nfs/dbraw/zinc/68/21/97/1197682197.db2.gz ZFLLKBBMJAMGEM-IBGZPJMESA-N 0 1 312.457 3.462 20 30 DGEDMN CC[C@@H](F)CN1CC[C@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001674018407 1197722828 /nfs/dbraw/zinc/72/28/28/1197722828.db2.gz MKSNPFXPEFTXHC-CABCVRRESA-N 0 1 310.457 3.001 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001674115475 1197733130 /nfs/dbraw/zinc/73/31/30/1197733130.db2.gz QKGMLRGEJZJIIB-ZIAGYGMSSA-N 0 1 319.474 3.279 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H](C)C1 ZINC001674126397 1197735158 /nfs/dbraw/zinc/73/51/58/1197735158.db2.gz DXCOJVUTAHLJHR-RDJZCZTQSA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CC(C)(C)CC(F)F ZINC001674470154 1197780855 /nfs/dbraw/zinc/78/08/55/1197780855.db2.gz UAXXHASMYXIBFG-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C(C)(C)C)cc1 ZINC001674495480 1197788073 /nfs/dbraw/zinc/78/80/73/1197788073.db2.gz JPZGRPBRDDVBOI-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC(C)(C)CNCc1csc(C)n1 ZINC001674851455 1197890991 /nfs/dbraw/zinc/89/09/91/1197890991.db2.gz ARXHHYFNIPZHQN-UHFFFAOYSA-N 0 1 323.506 3.238 20 30 DGEDMN CCCC[C@H](CNCc1ccccc1C#N)NC(=O)C=C(C)C ZINC001675213864 1198007327 /nfs/dbraw/zinc/00/73/27/1198007327.db2.gz NFYVFSIOCJPYGC-GOSISDBHSA-N 0 1 313.445 3.289 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1cnoc1C ZINC001675263200 1198026272 /nfs/dbraw/zinc/02/62/72/1198026272.db2.gz NKEKBGLNYJXTLQ-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001675354651 1198053063 /nfs/dbraw/zinc/05/30/63/1198053063.db2.gz VGJLCUKFKQYUJN-QFBILLFUSA-N 0 1 314.473 3.439 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C2(C(F)(F)F)CCC2)C(C)(C)C1 ZINC001675459238 1198071293 /nfs/dbraw/zinc/07/12/93/1198071293.db2.gz VZGSGRQSLHWGJO-GFCCVEGCSA-N 0 1 318.383 3.122 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)CC(C)(C)C)C(C)(C)C1 ZINC001675486170 1198077491 /nfs/dbraw/zinc/07/74/91/1198077491.db2.gz JCXIUWAAJYRLRJ-HNNXBMFYSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C(C)(C)C1 ZINC001675486172 1198079044 /nfs/dbraw/zinc/07/90/44/1198079044.db2.gz JCXIUWAAJYRLRJ-OAHLLOKOSA-N 0 1 306.494 3.299 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001676306082 1198282416 /nfs/dbraw/zinc/28/24/16/1198282416.db2.gz ZJHFMQPBLDOWRI-HUUCEWRRSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C)cc1Cl ZINC001676428804 1198318784 /nfs/dbraw/zinc/31/87/84/1198318784.db2.gz JPVPXODCAAIHKU-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCc2ccsc2)[C@H]1C ZINC001676873244 1198417533 /nfs/dbraw/zinc/41/75/33/1198417533.db2.gz OSKZBTPXWMZCRU-NVXWUHKLSA-N 0 1 318.486 3.063 20 30 DGEDMN CN(C)CC#CCNC(=O)N1CCC[C@@H]1CCC1CCCCC1 ZINC001676930392 1198438933 /nfs/dbraw/zinc/43/89/33/1198438933.db2.gz OORCWNLFJCRXLN-GOSISDBHSA-N 0 1 319.493 3.086 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H](NCC(=C)Cl)C(C)(C)C1 ZINC001676998496 1198462370 /nfs/dbraw/zinc/46/23/70/1198462370.db2.gz JNHBCLYAECCUOI-HNNXBMFYSA-N 0 1 310.869 3.334 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001677282146 1198508296 /nfs/dbraw/zinc/50/82/96/1198508296.db2.gz RBFIGNDIHUECHX-MAUKXSAKSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001677282147 1198508971 /nfs/dbraw/zinc/50/89/71/1198508971.db2.gz RBFIGNDIHUECHX-QAPCUYQASA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCc1ccc(CNCCF)cc1F ZINC001677644814 1198567920 /nfs/dbraw/zinc/56/79/20/1198567920.db2.gz MFJOYGMEIITBTF-QGZVFWFLSA-N 0 1 310.388 3.103 20 30 DGEDMN C=C[C@H](C)ONC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001682405254 1199160109 /nfs/dbraw/zinc/16/01/09/1199160109.db2.gz RSVUIJSQTUGMOX-GTPINHCMSA-N 0 1 323.481 3.035 20 30 DGEDMN N#Cc1ccc(Oc2nc(Cl)nc3nc[nH]c32)c(Cl)c1 ZINC000312451716 1199751942 /nfs/dbraw/zinc/75/19/42/1199751942.db2.gz YSQLNNNHCHKCRQ-UHFFFAOYSA-N 0 1 306.112 3.324 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2CCc2cccs2)C1 ZINC001688888824 1199943924 /nfs/dbraw/zinc/94/39/24/1199943924.db2.gz OXBWHODHKXPQET-HNNXBMFYSA-N 0 1 318.486 3.180 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(c2ccccc2C)CC1 ZINC001688898311 1199950428 /nfs/dbraw/zinc/95/04/28/1199950428.db2.gz PYRGUYGVOLBHLM-KRWDZBQOSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001753859406 1200008264 /nfs/dbraw/zinc/00/82/64/1200008264.db2.gz NMAVVSOUHCOERX-UHFFFAOYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H]1CC ZINC001689175535 1200052923 /nfs/dbraw/zinc/05/29/23/1200052923.db2.gz OAOZLZMJWWRMMC-JKSUJKDBSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@@H]1CC ZINC001689184644 1200053714 /nfs/dbraw/zinc/05/37/14/1200053714.db2.gz NJOMMYCTBJRRBO-HYVNUMGLSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001689312681 1200089956 /nfs/dbraw/zinc/08/99/56/1200089956.db2.gz HKOSUCFPXCHUSU-RYRKJORJSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001689316576 1200092233 /nfs/dbraw/zinc/09/22/33/1200092233.db2.gz CDYKBVCMBILNIK-HHXXYDBFSA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001689349093 1200103363 /nfs/dbraw/zinc/10/33/63/1200103363.db2.gz WVQFJPYSOFKEKC-OALUTQOASA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C)(C)c1cccc(F)c1 ZINC001754169124 1200122801 /nfs/dbraw/zinc/12/28/01/1200122801.db2.gz GKXGHPZWNHPDQA-PLNGDYQASA-N 0 1 324.827 3.118 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001698593405 1200130870 /nfs/dbraw/zinc/13/08/70/1200130870.db2.gz IVXUPPIYSVVYSB-SUMWQHHRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)n(C(C)C)c1C ZINC001754252188 1200155692 /nfs/dbraw/zinc/15/56/92/1200155692.db2.gz ZJKDTLNCJQNWMU-GFCCVEGCSA-N 0 1 311.857 3.146 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001754273477 1200167028 /nfs/dbraw/zinc/16/70/28/1200167028.db2.gz SYRXJLAMDJICIX-KBXCAEBGSA-N 0 1 319.424 3.071 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001754326215 1200215940 /nfs/dbraw/zinc/21/59/40/1200215940.db2.gz FLUXGTZBRGJBOB-AEFFLSMTSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001754326216 1200216477 /nfs/dbraw/zinc/21/64/77/1200216477.db2.gz FLUXGTZBRGJBOB-FUHWJXTLSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN[C@H](C)c1ncc(C)o1 ZINC001754399725 1200252829 /nfs/dbraw/zinc/25/28/29/1200252829.db2.gz IWBQHOURBALVFQ-CQSZACIVSA-N 0 1 307.438 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1ncc(C)s1)C1CC1 ZINC001754448323 1200267469 /nfs/dbraw/zinc/26/74/69/1200267469.db2.gz XIFZBUFGJXKQBC-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1sccc1C1CC1)C1CC1 ZINC001754458822 1200274232 /nfs/dbraw/zinc/27/42/32/1200274232.db2.gz KPPHIJFFDDLLAE-CQSZACIVSA-N 0 1 324.877 3.476 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1sccc1Cl ZINC001689798868 1200283932 /nfs/dbraw/zinc/28/39/32/1200283932.db2.gz VTGDCYSUXCUPRD-UHFFFAOYSA-N 0 1 312.866 3.143 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2cc(C)cc(C)c2)C1 ZINC001754494399 1200305231 /nfs/dbraw/zinc/30/52/31/1200305231.db2.gz REOVTTHVXUPBQY-GOSISDBHSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cccc(Cl)c2F)CC1 ZINC001754527774 1200339803 /nfs/dbraw/zinc/33/98/03/1200339803.db2.gz JIQLHFIZYOFTFC-UHFFFAOYSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H]1CCCC1(F)F ZINC001689952062 1200343801 /nfs/dbraw/zinc/34/38/01/1200343801.db2.gz SUDOWOJWFLWYKW-ZDUSSCGKSA-N 0 1 322.827 3.393 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001690020611 1200369638 /nfs/dbraw/zinc/36/96/38/1200369638.db2.gz QALFBXOPHGDGGV-PQMHYQBVSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCCC(=O)N(C)C1CCN(Cc2c(C)nsc2C)CC1 ZINC001690018600 1200369927 /nfs/dbraw/zinc/36/99/27/1200369927.db2.gz KUNFEUXXFAFXIM-UHFFFAOYSA-N 0 1 321.490 3.149 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(F)ccc2CC)C1 ZINC001754577491 1200373954 /nfs/dbraw/zinc/37/39/54/1200373954.db2.gz XJOVVOVNEJHQMJ-AWEZNQCLSA-N 0 1 318.436 3.499 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001754580859 1200375681 /nfs/dbraw/zinc/37/56/81/1200375681.db2.gz PLOMGYCMHDSWAQ-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001754582776 1200377465 /nfs/dbraw/zinc/37/74/65/1200377465.db2.gz BZBNZPYTMAQVEE-MRXNPFEDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccc(Cl)cc2)C1 ZINC001754585800 1200381367 /nfs/dbraw/zinc/38/13/67/1200381367.db2.gz CNXXAHHLVXBLOM-AWEZNQCLSA-N 0 1 320.864 3.380 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001690046607 1200382873 /nfs/dbraw/zinc/38/28/73/1200382873.db2.gz MRDCDFGYCDPGKX-HOCLYGCPSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001754587358 1200383931 /nfs/dbraw/zinc/38/39/31/1200383931.db2.gz KUPSIKWSGFRDIU-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CC(C)(C)c2ccccc2)C1 ZINC001754587379 1200383955 /nfs/dbraw/zinc/38/39/55/1200383955.db2.gz LFUKNZVFEGAFGZ-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001754587276 1200384061 /nfs/dbraw/zinc/38/40/61/1200384061.db2.gz ISICRFACCDYXFU-ZDUSSCGKSA-N 0 1 322.399 3.177 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001754634885 1200408357 /nfs/dbraw/zinc/40/83/57/1200408357.db2.gz AOQSOMHCTCZZAG-IRXDYDNUSA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)C1CC1)C1CCN(C/C=C\Cl)CC1 ZINC001754643240 1200411640 /nfs/dbraw/zinc/41/16/40/1200411640.db2.gz PWQOAPFQGRXZSY-NOKKCYSASA-N 0 1 322.880 3.101 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001690135896 1200413836 /nfs/dbraw/zinc/41/38/36/1200413836.db2.gz XCYUUPGAEDSVNV-SFHVURJKSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001690137021 1200415097 /nfs/dbraw/zinc/41/50/97/1200415097.db2.gz YWPVQSXTWXFKKY-MFOYZWKCSA-N 0 1 302.462 3.235 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](CCC)C(C)C)CC1 ZINC001690136318 1200416111 /nfs/dbraw/zinc/41/61/11/1200416111.db2.gz JSMPSZFGISIIQG-SFHVURJKSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCC=CCCC1)C1CC1 ZINC001690156203 1200425477 /nfs/dbraw/zinc/42/54/77/1200425477.db2.gz KYZSPLPGDMONLT-HOTGVXAUSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2cc(F)ccc2C)C1 ZINC001754667409 1200428905 /nfs/dbraw/zinc/42/89/05/1200428905.db2.gz QVWCJXNXVSMYIY-SFHVURJKSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2cc(F)ccc2C)C1 ZINC001754667408 1200429424 /nfs/dbraw/zinc/42/94/24/1200429424.db2.gz QVWCJXNXVSMYIY-GOSISDBHSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC001690192300 1200445930 /nfs/dbraw/zinc/44/59/30/1200445930.db2.gz MCRILNXNYPLXOV-GJZGRUSLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@]2(C)CCCN(C/C=C/Cl)C2)C1 ZINC001754730073 1200475574 /nfs/dbraw/zinc/47/55/74/1200475574.db2.gz CEAPBZCKUIASFH-BIENSFFJSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@]2(C)CCCN(C[C@H](F)CC)C2)C1 ZINC001754730920 1200476110 /nfs/dbraw/zinc/47/61/10/1200476110.db2.gz NQYMWRHSPUKPKI-WBVHZDCISA-N 0 1 310.457 3.309 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](C2CCN(CCF)CC2)C1 ZINC001754783518 1200486128 /nfs/dbraw/zinc/48/61/28/1200486128.db2.gz NDUYDXNFXYVTJA-GOSISDBHSA-N 0 1 322.468 3.100 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](C2CCN(CCF)CC2)C1 ZINC001754783519 1200486508 /nfs/dbraw/zinc/48/65/08/1200486508.db2.gz NDUYDXNFXYVTJA-SFHVURJKSA-N 0 1 322.468 3.100 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@@H]1C(C)C ZINC001754946659 1200548902 /nfs/dbraw/zinc/54/89/02/1200548902.db2.gz RBZOHJOTHCXPAG-MOPGFXCFSA-N 0 1 312.457 3.050 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccccc1C ZINC001690459573 1200571897 /nfs/dbraw/zinc/57/18/97/1200571897.db2.gz QQUDOWWUQWYFIV-SJORKVTESA-N 0 1 300.446 3.255 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CC)s2)CCC1 ZINC001754991039 1200577272 /nfs/dbraw/zinc/57/72/72/1200577272.db2.gz WLTCTGQZEASUGY-UHFFFAOYSA-N 0 1 312.866 3.305 20 30 DGEDMN C=CCCCn1c([C@H](C)CC)nnc1N1CCN(CC)[C@H](C)C1 ZINC001690471278 1200578614 /nfs/dbraw/zinc/57/86/14/1200578614.db2.gz ZIGFLCNSDJNLPA-HZPDHXFCSA-N 0 1 319.497 3.288 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001755028590 1200588932 /nfs/dbraw/zinc/58/89/32/1200588932.db2.gz NXSUZYGIZGQJCI-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CCC1(C(=O)N(C)CCCN(C)Cc2ccc(C#N)s2)CC1 ZINC001755041186 1200608755 /nfs/dbraw/zinc/60/87/55/1200608755.db2.gz GSSKIRCLVOYILO-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001690568956 1200630679 /nfs/dbraw/zinc/63/06/79/1200630679.db2.gz QPXSUDRNDOYJMS-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1cccc(F)c1Cl ZINC001690573440 1200631406 /nfs/dbraw/zinc/63/14/06/1200631406.db2.gz VTTJUNISORLVCE-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001690573317 1200631520 /nfs/dbraw/zinc/63/15/20/1200631520.db2.gz SIUXXNPXGIMOQC-ZQHYZAEZSA-N 0 1 318.848 3.142 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)c3ccco3)cc2C1 ZINC001755102486 1200637246 /nfs/dbraw/zinc/63/72/46/1200637246.db2.gz VUHOVMQIMLNUDG-HNNXBMFYSA-N 0 1 322.408 3.038 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ccc(F)cc2F)CCC1 ZINC001690619414 1200653085 /nfs/dbraw/zinc/65/30/85/1200653085.db2.gz VFBNFGFROSAHMV-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1OCC(C)C ZINC001690631635 1200659845 /nfs/dbraw/zinc/65/98/45/1200659845.db2.gz HVIMGCOJCSESRB-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN CC[C@H](C)CCC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001690633946 1200660516 /nfs/dbraw/zinc/66/05/16/1200660516.db2.gz NDUYWQAQELPXRW-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2conc2C)[C@@H](C)C1 ZINC001755160526 1200666274 /nfs/dbraw/zinc/66/62/74/1200666274.db2.gz PMJMRKJZFCIGQY-XJKSGUPXSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001690651919 1200671855 /nfs/dbraw/zinc/67/18/55/1200671855.db2.gz JGGRIWGLCRDSQJ-WFASDCNBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)F ZINC001755171892 1200677944 /nfs/dbraw/zinc/67/79/44/1200677944.db2.gz FZWLJPMGRFVQQB-INIZCTEOSA-N 0 1 304.409 3.026 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001755195449 1200692275 /nfs/dbraw/zinc/69/22/75/1200692275.db2.gz QBQVHGNFKQJYAW-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)Cc1ccc(F)c(Cl)c1 ZINC001755198676 1200696218 /nfs/dbraw/zinc/69/62/18/1200696218.db2.gz FMLOCOIYORYTPH-AWEZNQCLSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001755248630 1200733968 /nfs/dbraw/zinc/73/39/68/1200733968.db2.gz JCYQHSWYYMKWSX-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2scnc21 ZINC001755252268 1200738528 /nfs/dbraw/zinc/73/85/28/1200738528.db2.gz IWICPBHKGREMCL-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1sccc1C1CC1 ZINC001755270582 1200751935 /nfs/dbraw/zinc/75/19/35/1200751935.db2.gz YHFPXZHHMPAYHG-QWRGUYRKSA-N 0 1 312.866 3.474 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001755309306 1200790946 /nfs/dbraw/zinc/79/09/46/1200790946.db2.gz WUJSJRHTKDJPNH-ZDUSSCGKSA-N 0 1 314.429 3.108 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001691784593 1200807123 /nfs/dbraw/zinc/80/71/23/1200807123.db2.gz IACHXAWMKJAWMS-OKZBNKHCSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](CCC)CC1CCCCC1 ZINC001755320276 1200809290 /nfs/dbraw/zinc/80/92/90/1200809290.db2.gz PLDGWJKHPMHNSN-WMZOPIPTSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C1CCC(CCCC)CC1 ZINC001755324618 1200817497 /nfs/dbraw/zinc/81/74/97/1200817497.db2.gz OUVDWOOTNXTUBI-WWDZGPRUSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccc(F)c2C)C1 ZINC001691853509 1200838401 /nfs/dbraw/zinc/83/84/01/1200838401.db2.gz XWNQSAKCXZLLLR-INIZCTEOSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc(Cl)c[nH]1 ZINC001755356664 1200839024 /nfs/dbraw/zinc/83/90/24/1200839024.db2.gz UKQWBWFGGPBHPF-LBPRGKRZSA-N 0 1 318.248 3.299 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001755385740 1200868691 /nfs/dbraw/zinc/86/86/91/1200868691.db2.gz BVLXFHMXWYOQPZ-CQSZACIVSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCC(=O)N1CC[C@]2(C1)CN(CCCC(C)(C)C)CCO2 ZINC001755400869 1200875299 /nfs/dbraw/zinc/87/52/99/1200875299.db2.gz MDXQJTZTPKEGML-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001755401158 1200875319 /nfs/dbraw/zinc/87/53/19/1200875319.db2.gz FAORNUFOADSCII-RTBURBONSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(CC=C)CC[C@@H]1c1cccc(F)c1 ZINC001692154349 1200950005 /nfs/dbraw/zinc/95/00/05/1200950005.db2.gz YNEWHXOIAJHCQU-QZTJIDSGSA-N 0 1 316.420 3.252 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001755562377 1200954096 /nfs/dbraw/zinc/95/40/96/1200954096.db2.gz MTALBDGNOAPJSI-YLHGKKIISA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)Cc1ccccc1C ZINC001755572582 1200961435 /nfs/dbraw/zinc/96/14/35/1200961435.db2.gz XURURIZBVZZBDK-QGZVFWFLSA-N 0 1 320.864 3.117 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001755582255 1200969577 /nfs/dbraw/zinc/96/95/77/1200969577.db2.gz OSPMZHWGFSPRTO-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H]1CCC(F)(F)C1 ZINC001755590402 1200974607 /nfs/dbraw/zinc/97/46/07/1200974607.db2.gz NABNWBZDMRGAHI-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001692260741 1200993504 /nfs/dbraw/zinc/99/35/04/1200993504.db2.gz PQAUWEILEUCELA-ZVDOUQERSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H](C)c2ccc(CC)cc2)C1 ZINC001692335107 1201024336 /nfs/dbraw/zinc/02/43/36/1201024336.db2.gz DOBATMFIAIVNNN-INIZCTEOSA-N 0 1 314.473 3.367 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)SC ZINC001692359904 1201035526 /nfs/dbraw/zinc/03/55/26/1201035526.db2.gz HGCUBUWAZQMYHX-JYJNAYRXSA-N 0 1 310.507 3.160 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)SC ZINC001692359903 1201035940 /nfs/dbraw/zinc/03/59/40/1201035940.db2.gz HGCUBUWAZQMYHX-HRCADAONSA-N 0 1 310.507 3.160 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)CSC(C)C ZINC001692356526 1201036423 /nfs/dbraw/zinc/03/64/23/1201036423.db2.gz OUDBPUVHAMWVPA-HOTGVXAUSA-N 0 1 310.507 3.160 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)c1 ZINC001692364458 1201037082 /nfs/dbraw/zinc/03/70/82/1201037082.db2.gz BPPAZSBVJBBPBS-QYZOEREBSA-N 0 1 324.468 3.309 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001755719031 1201039947 /nfs/dbraw/zinc/03/99/47/1201039947.db2.gz SZHZVXQOUPGLBL-CHWSQXEVSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001692382900 1201046664 /nfs/dbraw/zinc/04/66/64/1201046664.db2.gz HUAFEAFBMKVLCQ-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN CCCc1[nH]ncc1C(=O)Nc1cccc(OCCCC#N)c1 ZINC000132128979 1201166115 /nfs/dbraw/zinc/16/61/15/1201166115.db2.gz NVKBFIXJNRYCLV-UHFFFAOYSA-N 0 1 312.373 3.297 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC001698571694 1201241313 /nfs/dbraw/zinc/24/13/13/1201241313.db2.gz WZUXUAIOMRAKBF-RBUKOAKNSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001698574295 1201244173 /nfs/dbraw/zinc/24/41/73/1201244173.db2.gz IJSRRUUVMBOZMY-PBHICJAKSA-N 0 1 320.864 3.237 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698576104 1201246739 /nfs/dbraw/zinc/24/67/39/1201246739.db2.gz JXCNGNBZNRMOBJ-MAUKXSAKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](c1cccnc1)C(C)C ZINC001698616676 1201288803 /nfs/dbraw/zinc/28/88/03/1201288803.db2.gz WVRONGXMJDZTBM-CVEARBPZSA-N 0 1 323.868 3.058 20 30 DGEDMN CCC(=O)N[C@]1(CNCc2ccccc2C#N)CCCC[C@@H]1C ZINC001698657384 1201321897 /nfs/dbraw/zinc/32/18/97/1201321897.db2.gz ROFPCBGTWRTZQO-KXBFYZLASA-N 0 1 313.445 3.123 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1F ZINC001698823625 1201473113 /nfs/dbraw/zinc/47/31/13/1201473113.db2.gz RYTQONNZNLPCBY-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN Cc1ccc(C(=O)N(C)[C@H](C)CNCc2ccccc2C#N)cc1 ZINC001698856385 1201512839 /nfs/dbraw/zinc/51/28/39/1201512839.db2.gz VBHHRUDCBVZSGK-MRXNPFEDSA-N 0 1 321.424 3.117 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1csc(C)n1 ZINC001698937090 1201588411 /nfs/dbraw/zinc/58/84/11/1201588411.db2.gz ANBTUWKQZCXGPB-BBRMVZONSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001699288373 1201778290 /nfs/dbraw/zinc/77/82/90/1201778290.db2.gz JZLARUYXSKHPKT-ONEGZZNKSA-N 0 1 317.820 3.104 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1cccc(C2CC2)c1 ZINC001699289432 1201778474 /nfs/dbraw/zinc/77/84/74/1201778474.db2.gz RZLWIXNFNGYYJG-NSCUHMNNSA-N 0 1 318.848 3.121 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001752395557 1201833082 /nfs/dbraw/zinc/83/30/82/1201833082.db2.gz NEKIQSOPGXKNNY-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2(CCC)CC2)CC1 ZINC001752425963 1201836288 /nfs/dbraw/zinc/83/62/88/1201836288.db2.gz TYEZLCBVUSTINN-AWEZNQCLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001699426563 1201858217 /nfs/dbraw/zinc/85/82/17/1201858217.db2.gz TWAZYFWOWIEBEC-KKUMJFAQSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001752654615 1201887617 /nfs/dbraw/zinc/88/76/17/1201887617.db2.gz AWTISLLUYBPXCX-MGPQQGTHSA-N 0 1 322.399 3.304 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC001699467438 1201907902 /nfs/dbraw/zinc/90/79/02/1201907902.db2.gz KSVBUYHIGKVQOG-UIAACRFSSA-N 0 1 320.436 3.013 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1Cc1ccsc1 ZINC001752819530 1201942747 /nfs/dbraw/zinc/94/27/47/1201942747.db2.gz XYCPGXMNTDNRNH-INIZCTEOSA-N 0 1 304.459 3.022 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](C)C1CCCCC1 ZINC001752824317 1201952332 /nfs/dbraw/zinc/95/23/32/1201952332.db2.gz UPCJIHQMHBNTRX-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)/C(C)=C/CC ZINC001752831645 1201956647 /nfs/dbraw/zinc/95/66/47/1201956647.db2.gz JXBSDDBPJNGSPN-NCNAPHLGSA-N 0 1 302.462 3.212 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCC[N@@H+]1C/C=C/Cl ZINC001752831270 1201957024 /nfs/dbraw/zinc/95/70/24/1201957024.db2.gz CTCUDBDWDKVMGK-SCGCXBKJSA-N 0 1 322.880 3.388 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001699621634 1201985530 /nfs/dbraw/zinc/98/55/30/1201985530.db2.gz LFODVSJDZXDFJV-INIZCTEOSA-N 0 1 320.502 3.474 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(CC(C)C)s1 ZINC001752878261 1201989315 /nfs/dbraw/zinc/98/93/15/1201989315.db2.gz KPFGFWQPEFTKOQ-LBPRGKRZSA-N 0 1 314.882 3.407 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CC/C=C\c1ccccc1 ZINC001699626593 1201989603 /nfs/dbraw/zinc/98/96/03/1201989603.db2.gz MOABQPMTORTZHN-XSZJWBAASA-N 0 1 312.457 3.493 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001752881461 1201991991 /nfs/dbraw/zinc/99/19/91/1201991991.db2.gz BFHIBQXWAWXLLY-LSDHHAIUSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CC1(CC(=O)NC[C@H]2CCN2CCOC(C)C)CCCCC1 ZINC001699633922 1201999268 /nfs/dbraw/zinc/99/92/68/1201999268.db2.gz SFMYHUNEFPDSSQ-QGZVFWFLSA-N 0 1 322.493 3.129 20 30 DGEDMN Cc1cc(C)cc(C(=O)NC[C@H](C)NCC#Cc2ccccc2)c1 ZINC001752912912 1202009821 /nfs/dbraw/zinc/00/98/21/1202009821.db2.gz FZBAWPSUFXOMGC-SFHVURJKSA-N 0 1 320.436 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1CC(c2ccc(F)cc2)C1 ZINC001752924175 1202014823 /nfs/dbraw/zinc/01/48/23/1202014823.db2.gz MJBXBSZQBXPQSX-GRTSSRMGSA-N 0 1 324.827 3.166 20 30 DGEDMN O=C(C[C@@H]1C=CCCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001699654475 1202016108 /nfs/dbraw/zinc/01/61/08/1202016108.db2.gz BOPBTYIPZRLQSH-LJQANCHMSA-N 0 1 322.452 3.023 20 30 DGEDMN O=C(C[C@H]1C=CCCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001699654474 1202016618 /nfs/dbraw/zinc/01/66/18/1202016618.db2.gz BOPBTYIPZRLQSH-IBGZPJMESA-N 0 1 322.452 3.023 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CNCc2ncoc2C(C)C)C1 ZINC001752949392 1202019795 /nfs/dbraw/zinc/01/97/95/1202019795.db2.gz GAHXIXQIUXMFAL-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](c2ccccc2)C(C)C)CC1 ZINC001699665855 1202023671 /nfs/dbraw/zinc/02/36/71/1202023671.db2.gz RQDIYATWHXTYPW-MRXNPFEDSA-N 0 1 320.864 3.417 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C/C=C\c1ccc(C)cc1 ZINC001699685130 1202032868 /nfs/dbraw/zinc/03/28/68/1202032868.db2.gz GCEFTZCLSPBDSF-UNQUKWGNSA-N 0 1 322.452 3.097 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@]3(C2)CCCN(CCF)C3)CCCC1 ZINC001699697071 1202039328 /nfs/dbraw/zinc/03/93/28/1202039328.db2.gz LYSSFVFKVCOMNB-GOSISDBHSA-N 0 1 322.468 3.407 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCCC[C@H]2C2CC2)C1 ZINC001699715921 1202048296 /nfs/dbraw/zinc/04/82/96/1202048296.db2.gz LMBXHDSEHLCWHP-ROUUACIJSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC[C@@H](N(C)CCF)C1)c1ccccc1 ZINC001753001176 1202051337 /nfs/dbraw/zinc/05/13/37/1202051337.db2.gz YBIPSWQWQIGCTO-MSOLQXFVSA-N 0 1 318.436 3.239 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001699723713 1202053715 /nfs/dbraw/zinc/05/37/15/1202053715.db2.gz UXELYLPNFNILLQ-LZQZEXGQSA-N 0 1 312.457 3.287 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001699725377 1202054265 /nfs/dbraw/zinc/05/42/65/1202054265.db2.gz CSBUXIASFZZZGJ-MRXNPFEDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@@H](C)NCc2nc(C)oc2C)CC1 ZINC001753013348 1202059402 /nfs/dbraw/zinc/05/94/02/1202059402.db2.gz HTEBNRMDTBYACB-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1cccs1 ZINC001699765761 1202071485 /nfs/dbraw/zinc/07/14/85/1202071485.db2.gz KZVTZTYAKGXBSU-CYBMUJFWSA-N 0 1 312.866 3.014 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC001699774228 1202075118 /nfs/dbraw/zinc/07/51/18/1202075118.db2.gz OVCBAIQCARLWQU-LBPRGKRZSA-N 0 1 324.877 3.109 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)Cc1ccc(CCCC)cc1 ZINC001753105084 1202137008 /nfs/dbraw/zinc/13/70/08/1202137008.db2.gz ZKIUYRWWZBWDRD-LJQANCHMSA-N 0 1 314.473 3.338 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001753111372 1202141173 /nfs/dbraw/zinc/14/11/73/1202141173.db2.gz HPXKVEXGGIRGQU-IEBWSBKVSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H]1C=CCCC1)c1ccccc1CC ZINC001699936011 1202141512 /nfs/dbraw/zinc/14/15/12/1202141512.db2.gz VLMKWTCPJCMJFA-XLIONFOSSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@](C)(F)CCCC)C[C@@H]2C1 ZINC001699990152 1202159939 /nfs/dbraw/zinc/15/99/39/1202159939.db2.gz UUUNPOKIARJYTC-LZWOXQAQSA-N 0 1 316.848 3.048 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001700007824 1202162337 /nfs/dbraw/zinc/16/23/37/1202162337.db2.gz OZAMMVXOALPCTN-VQTJNVASSA-N 0 1 322.452 3.070 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001700007822 1202162920 /nfs/dbraw/zinc/16/29/20/1202162920.db2.gz OZAMMVXOALPCTN-UXHICEINSA-N 0 1 322.452 3.070 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(F)c(F)cc1Cl ZINC001753261170 1202190479 /nfs/dbraw/zinc/19/04/79/1202190479.db2.gz RBTFIRABNXOCOT-UHFFFAOYSA-N 0 1 323.170 3.032 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2sccc2s1 ZINC001753202740 1202182537 /nfs/dbraw/zinc/18/25/37/1202182537.db2.gz WHHNNKKMWAIVLP-UHFFFAOYSA-N 0 1 314.863 3.377 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001753205000 1202183511 /nfs/dbraw/zinc/18/35/11/1202183511.db2.gz CVALAZKPUUPRNF-LJQANCHMSA-N 0 1 318.436 3.005 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001700122761 1202184471 /nfs/dbraw/zinc/18/44/71/1202184471.db2.gz IBOSKWFYXKHKCD-QGZVFWFLSA-N 0 1 324.468 3.287 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001700173416 1202194042 /nfs/dbraw/zinc/19/40/42/1202194042.db2.gz WPWFWLWAJUNWHF-SUSILRQXSA-N 0 1 317.458 3.057 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc2occc2[nH]1)C(C)C ZINC001753286457 1202195274 /nfs/dbraw/zinc/19/52/74/1202195274.db2.gz AXZVEDXNXVOBBW-UHFFFAOYSA-N 0 1 323.824 3.344 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccccc2)C1 ZINC001753319559 1202208207 /nfs/dbraw/zinc/20/82/07/1202208207.db2.gz HPPMLTBKPFKROR-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H]1CCN(Cc2csc(C)n2)C1 ZINC001753331936 1202215004 /nfs/dbraw/zinc/21/50/04/1202215004.db2.gz DJFVYPAKIDXSBJ-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CCC(F)F)C2 ZINC001700226022 1202215221 /nfs/dbraw/zinc/21/52/21/1202215221.db2.gz HVALFKZYFFLMRT-HNNXBMFYSA-N 0 1 320.811 3.099 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CC(C)(F)F)cc2C1 ZINC001700252521 1202218739 /nfs/dbraw/zinc/21/87/39/1202218739.db2.gz NHMGKPJQWMAULZ-UHFFFAOYSA-N 0 1 308.372 3.240 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700291430 1202228122 /nfs/dbraw/zinc/22/81/22/1202228122.db2.gz CTQUVKPYSQWJFF-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC[C@@H]2CCC[C@H](C)C2)C1 ZINC001753374985 1202234221 /nfs/dbraw/zinc/23/42/21/1202234221.db2.gz WECAYROFXQRYNB-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2c(F)cccc2Cl)C1 ZINC001753392040 1202238595 /nfs/dbraw/zinc/23/85/95/1202238595.db2.gz IHIKZXKTHXZBMK-GFCCVEGCSA-N 0 1 324.827 3.177 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CC1CCCC1 ZINC001700329025 1202239246 /nfs/dbraw/zinc/23/92/46/1202239246.db2.gz APBMZEPUEUBVSO-HXUWFJFHSA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)c2ccccc2)C1 ZINC001753393405 1202239315 /nfs/dbraw/zinc/23/93/15/1202239315.db2.gz NLJQBICBJQERAP-HNNXBMFYSA-N 0 1 300.446 3.119 20 30 DGEDMN CC1CCC(CN2CC(NC(=O)CC#Cc3ccccc3)C2)CC1 ZINC001753395497 1202240365 /nfs/dbraw/zinc/24/03/65/1202240365.db2.gz LQQAANNVBQHMIY-UHFFFAOYSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCCCCC(=O)NCC1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001753401037 1202241813 /nfs/dbraw/zinc/24/18/13/1202241813.db2.gz QPTLGRWJHBGSDQ-HNNXBMFYSA-N 0 1 316.420 3.128 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CC)C2CCC(C)CC2)C1 ZINC001753403793 1202242173 /nfs/dbraw/zinc/24/21/73/1202242173.db2.gz JEGQOEOORIPNOF-VJFUWPCTSA-N 0 1 306.494 3.463 20 30 DGEDMN CN(Cc1ccsc1)C[C@H]1CCCN1C(=O)C#CC(C)(C)C ZINC001700352900 1202245811 /nfs/dbraw/zinc/24/58/11/1202245811.db2.gz IDNKZGGBYVKHEE-MRXNPFEDSA-N 0 1 318.486 3.220 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC001700389933 1202259550 /nfs/dbraw/zinc/25/95/50/1202259550.db2.gz HUTFYFPUWCFKGO-VXGBXAGGSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CC1(c2ccccc2)CC1 ZINC001700400409 1202262505 /nfs/dbraw/zinc/26/25/05/1202262505.db2.gz MJGALXBSJBUMSQ-GJZGRUSLSA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(Cl)c1F ZINC001700417588 1202267666 /nfs/dbraw/zinc/26/76/66/1202267666.db2.gz HXZLHSLOVZZBRN-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)c(CC)s1 ZINC001700428954 1202270463 /nfs/dbraw/zinc/27/04/63/1202270463.db2.gz BLUXNTJXZJYJCC-NWDGAFQWSA-N 0 1 314.882 3.468 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2ccccc2n1 ZINC001753495445 1202275143 /nfs/dbraw/zinc/27/51/43/1202275143.db2.gz HNYXNVDNLUGKGP-CQSZACIVSA-N 0 1 317.820 3.085 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2conc2C)CCCC1 ZINC001753498076 1202276063 /nfs/dbraw/zinc/27/60/63/1202276063.db2.gz OHIUTHXGMWOFBA-INIZCTEOSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001700483172 1202292372 /nfs/dbraw/zinc/29/23/72/1202292372.db2.gz LJBLVMNGQJRTHV-AWEZNQCLSA-N 0 1 320.864 3.366 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C=C(/C)CC)CC2 ZINC001753603735 1202296968 /nfs/dbraw/zinc/29/69/68/1202296968.db2.gz LMOMYUCSVOPUNM-KAMYIIQDSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCC1(C(=O)N2CCC[C@@H]2CNCC(=C)Cl)CCCCC1 ZINC001753602037 1202297859 /nfs/dbraw/zinc/29/78/59/1202297859.db2.gz ICQYKYMFICVFOK-MRXNPFEDSA-N 0 1 322.880 3.293 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001700521157 1202302302 /nfs/dbraw/zinc/30/23/02/1202302302.db2.gz DOKHUTFRCJLXPG-NEXGVSGLSA-N 0 1 324.896 3.296 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001753656132 1202306984 /nfs/dbraw/zinc/30/69/84/1202306984.db2.gz KTAKEDPDOFMFTR-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001753694403 1202315499 /nfs/dbraw/zinc/31/54/99/1202315499.db2.gz WWQHZAXYEFNKFK-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl ZINC001753711696 1202318721 /nfs/dbraw/zinc/31/87/21/1202318721.db2.gz VSHDIOIVPVMRJW-CDDNCNDGSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(C)nc(C)c1 ZINC001753735148 1202324279 /nfs/dbraw/zinc/32/42/79/1202324279.db2.gz QAHHIPUJPKDKRY-INIZCTEOSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCNCc2csc(C)n2)C1 ZINC001700675955 1202332922 /nfs/dbraw/zinc/33/29/22/1202332922.db2.gz SKAVXLQOOADIHR-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C1CC(F)(F)C1 ZINC001753805844 1202337092 /nfs/dbraw/zinc/33/70/92/1202337092.db2.gz SHOHBCCTNOXDRW-MFKMUULPSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001700755071 1202348862 /nfs/dbraw/zinc/34/88/62/1202348862.db2.gz GBEDAMRXTKGSKR-ZRUQZJFASA-N 0 1 324.827 3.418 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCC[C@@H]1CCC)C(C)C ZINC001700973779 1202374269 /nfs/dbraw/zinc/37/42/69/1202374269.db2.gz MGGIOCBDPPNAGJ-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)C(C)(C)C ZINC001700984839 1202376762 /nfs/dbraw/zinc/37/67/62/1202376762.db2.gz HOPOJDZFNHNJPV-QXSJWSMHSA-N 0 1 324.896 3.462 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001700999643 1202378915 /nfs/dbraw/zinc/37/89/15/1202378915.db2.gz ZJONKHTUMADKJN-QAPCUYQASA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(C/C=C\c2ccccc2)CC1 ZINC001701046334 1202384655 /nfs/dbraw/zinc/38/46/55/1202384655.db2.gz WCUMXHXODBHVMH-YFHOEESVSA-N 0 1 310.441 3.414 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@H](CC)CCC)c(F)c1 ZINC001701067764 1202386579 /nfs/dbraw/zinc/38/65/79/1202386579.db2.gz SXKYTDSTPAJKGU-MRXNPFEDSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@@H](C)C(CC)CC)c(F)c1 ZINC001701066189 1202386829 /nfs/dbraw/zinc/38/68/29/1202386829.db2.gz UAWGRXCJAJYVLH-AWEZNQCLSA-N 0 1 318.436 3.237 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1ccc(CCCC)cc1 ZINC001707046070 1202568764 /nfs/dbraw/zinc/56/87/64/1202568764.db2.gz KVVHZXXYAOEEAU-LJQANCHMSA-N 0 1 314.473 3.290 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001707049350 1202569194 /nfs/dbraw/zinc/56/91/94/1202569194.db2.gz HPDQPRMNFHOSEY-IEBWSBKVSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CC1(CC(=O)N(C)C[C@@H]2CCN2[C@H](C)COC)CCCCC1 ZINC001707052969 1202569589 /nfs/dbraw/zinc/56/95/89/1202569589.db2.gz GVOOZFVLAXPJMO-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CCC)CC2CCCC2)[C@H]1C ZINC001707260150 1202579005 /nfs/dbraw/zinc/57/90/05/1202579005.db2.gz DGSTVVRVERELEA-NXHRZFHOSA-N 0 1 304.478 3.195 20 30 DGEDMN CCN(CCCNC(=O)C1(C)CCC1)Cc1ccccc1C#N ZINC001707461372 1202586472 /nfs/dbraw/zinc/58/64/72/1202586472.db2.gz ZXYMJQXOQJENAI-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C(C)C)cc1 ZINC001723424173 1202595687 /nfs/dbraw/zinc/59/56/87/1202595687.db2.gz VVXDOAQXZJUIHA-IBGZPJMESA-N 0 1 312.457 3.304 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001723932551 1202596206 /nfs/dbraw/zinc/59/62/06/1202596206.db2.gz WCFYWLHIRANEMI-UELRPHRMSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCCCC1(F)F ZINC001713019481 1202610395 /nfs/dbraw/zinc/61/03/95/1202610395.db2.gz RTLYMFOKWOYAKZ-ZDUSSCGKSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(CC)cc1 ZINC001713028723 1202611383 /nfs/dbraw/zinc/61/13/83/1202611383.db2.gz HBKSTAKRTWKWHV-UHFFFAOYSA-N 0 1 308.853 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2sc(C)nc2C)C1 ZINC001713061867 1202613876 /nfs/dbraw/zinc/61/38/76/1202613876.db2.gz LOHSXMWXBKIKDQ-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CCC(F)(F)F)CC1 ZINC001713093415 1202616454 /nfs/dbraw/zinc/61/64/54/1202616454.db2.gz PUOKPNZJDRLFFK-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CSCCC)C1 ZINC001713272204 1202634043 /nfs/dbraw/zinc/63/40/43/1202634043.db2.gz SPGUGZKNBHUFRV-UONOGXRCSA-N 0 1 318.914 3.099 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1OC ZINC001713144420 1202621652 /nfs/dbraw/zinc/62/16/52/1202621652.db2.gz OOAILZWMORYKOY-OKILXGFUSA-N 0 1 320.458 3.012 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCCCC ZINC001713271227 1202633743 /nfs/dbraw/zinc/63/37/43/1202633743.db2.gz ZHCLHOYFILZRTQ-QZTJIDSGSA-N 0 1 304.478 3.436 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CSCCC)C1 ZINC001713272203 1202633994 /nfs/dbraw/zinc/63/39/94/1202633994.db2.gz SPGUGZKNBHUFRV-KGLIPLIRSA-N 0 1 318.914 3.099 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001713305422 1202637839 /nfs/dbraw/zinc/63/78/39/1202637839.db2.gz PYMPTNVIILLZCQ-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001713463121 1202653397 /nfs/dbraw/zinc/65/33/97/1202653397.db2.gz QLZROPFJMVUCCC-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1[C@H](C)c1ccccc1F ZINC001713504823 1202661986 /nfs/dbraw/zinc/66/19/86/1202661986.db2.gz QGDIVYKJLDCBLK-CVEARBPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CCC)Cc2ccccn2)CC1 ZINC001713550754 1202669019 /nfs/dbraw/zinc/66/90/19/1202669019.db2.gz MWCHVTCSDCHDRA-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001713629024 1202674087 /nfs/dbraw/zinc/67/40/87/1202674087.db2.gz LSMYVFDNKOVYGG-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN CC#CCN[C@H](CNC(=O)Cc1cccc(C)c1)c1ccccc1 ZINC001713701859 1202678768 /nfs/dbraw/zinc/67/87/68/1202678768.db2.gz PKECYNCYNLCTRZ-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001713720073 1202681124 /nfs/dbraw/zinc/68/11/24/1202681124.db2.gz NHEUAVVIJHHQPA-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001713854573 1202696078 /nfs/dbraw/zinc/69/60/78/1202696078.db2.gz XOEVNTAFMPCNGQ-UHFFFAOYSA-N 0 1 316.489 3.360 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001713878214 1202698113 /nfs/dbraw/zinc/69/81/13/1202698113.db2.gz UKYUGWHQKDPYME-KRWDZBQOSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001713878392 1202698226 /nfs/dbraw/zinc/69/82/26/1202698226.db2.gz ACSDTTIFVGODDA-CABCVRRESA-N 0 1 318.436 3.159 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CSCCC)C1 ZINC001713886645 1202698978 /nfs/dbraw/zinc/69/89/78/1202698978.db2.gz SWZPHGJEDPRBPC-AWEZNQCLSA-N 0 1 318.914 3.053 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2CC3(CC3)C2)C1 ZINC001713898304 1202700685 /nfs/dbraw/zinc/70/06/85/1202700685.db2.gz USSOLEWAJZJPBP-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CCCCCC ZINC001713912177 1202701553 /nfs/dbraw/zinc/70/15/53/1202701553.db2.gz QWUCHJHFPDQCSB-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001713936248 1202703907 /nfs/dbraw/zinc/70/39/07/1202703907.db2.gz TXQHXXAWLRSTPA-NJAFHUGGSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NCc1ccncc1Cl ZINC001714103717 1202776891 /nfs/dbraw/zinc/77/68/91/1202776891.db2.gz HJVRDSZJFYRCQR-YOEHRIQHSA-N 0 1 323.868 3.322 20 30 DGEDMN O=C(C#CC1CC1)NC[C@H]1CCCCN1C/C=C\c1ccccc1 ZINC001714103495 1202777525 /nfs/dbraw/zinc/77/75/25/1202777525.db2.gz JBIGBVFKFPOYLR-QKWWCAPFSA-N 0 1 322.452 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NCc1ccncc1Cl ZINC001714103715 1202777921 /nfs/dbraw/zinc/77/79/21/1202777921.db2.gz HJVRDSZJFYRCQR-RHSMWYFYSA-N 0 1 323.868 3.322 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NCc1ncc(C)s1 ZINC001714106597 1202779433 /nfs/dbraw/zinc/77/94/33/1202779433.db2.gz KYPSZJUHMOAACX-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@H](C)CC(C)(C)C ZINC001714116311 1202787321 /nfs/dbraw/zinc/78/73/21/1202787321.db2.gz ZBSAZMOGAYJBNV-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2cccc(C)c2)CCC1 ZINC001714262677 1202852325 /nfs/dbraw/zinc/85/23/25/1202852325.db2.gz WEIBWBJUABSMOC-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001714270116 1202856347 /nfs/dbraw/zinc/85/63/47/1202856347.db2.gz WIXVHJGHQXBHOB-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001714270118 1202856363 /nfs/dbraw/zinc/85/63/63/1202856363.db2.gz WIXVHJGHQXBHOB-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001714279383 1202861473 /nfs/dbraw/zinc/86/14/73/1202861473.db2.gz REUWVFSLPWMYLI-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CCC(C)(CC)C(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001714304307 1202873256 /nfs/dbraw/zinc/87/32/56/1202873256.db2.gz MXGBDQRCPMJRSH-OAHLLOKOSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001714306872 1202873993 /nfs/dbraw/zinc/87/39/93/1202873993.db2.gz LEBCDKRXDREBHY-JYJNAYRXSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)Cc1ccccc1 ZINC001714357099 1202894265 /nfs/dbraw/zinc/89/42/65/1202894265.db2.gz YFMGRWXKRBDGIT-RHSMWYFYSA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2ccc(Cl)nc2)C1 ZINC001714431955 1202929358 /nfs/dbraw/zinc/92/93/58/1202929358.db2.gz QKXLNAZOWFTFDB-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C2(CC)CCCCC2)C1 ZINC001714483554 1202955712 /nfs/dbraw/zinc/95/57/12/1202955712.db2.gz OAJSXBKJKHYAIX-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(CC)Cc1ccc(Cl)nc1 ZINC001714596833 1203035134 /nfs/dbraw/zinc/03/51/34/1203035134.db2.gz RIQDEXZDRPOOHH-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001714600923 1203040531 /nfs/dbraw/zinc/04/05/31/1203040531.db2.gz JNCUZJGDOUJFGN-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001714620340 1203056145 /nfs/dbraw/zinc/05/61/45/1203056145.db2.gz LICRNGBTJFYQBW-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCCCC(=O)NC[C@H]1CCN(Cc2ccccc2C#N)C1 ZINC001714628882 1203062501 /nfs/dbraw/zinc/06/25/01/1203062501.db2.gz YQPVKAPXDVWAAR-MRXNPFEDSA-N 0 1 313.445 3.077 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc(CCC)c(C)s1)C1CC1 ZINC001714676256 1203096173 /nfs/dbraw/zinc/09/61/73/1203096173.db2.gz FURGUVLAQBJIGU-UHFFFAOYSA-N 0 1 318.486 3.227 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1coc2ccc(C)cc12 ZINC001714714694 1203107886 /nfs/dbraw/zinc/10/78/86/1203107886.db2.gz FBFMBSARHJUNMX-UHFFFAOYSA-N 0 1 320.820 3.084 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCC=C(Cl)Cl)CC1 ZINC001714752554 1203118694 /nfs/dbraw/zinc/11/86/94/1203118694.db2.gz OPQNPNVJDCBURA-UHFFFAOYSA-N 0 1 305.249 3.100 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001714822656 1203139676 /nfs/dbraw/zinc/13/96/76/1203139676.db2.gz OJQZZRAWXLRFTD-RBSFLKMASA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2ncsc2c1 ZINC001714842425 1203148700 /nfs/dbraw/zinc/14/87/00/1203148700.db2.gz CXJRCUOQDFNNAW-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CCC=CCCC1)c1ccccc1 ZINC001714848183 1203149842 /nfs/dbraw/zinc/14/98/42/1203149842.db2.gz PXQKZKDIOMBRKQ-RBUKOAKNSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C)sc1C)c1ccccc1 ZINC001714847870 1203150211 /nfs/dbraw/zinc/15/02/11/1203150211.db2.gz OWKVDXDCFNCVSN-QGZVFWFLSA-N 0 1 312.438 3.059 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2sccc2CC)C1 ZINC001714859526 1203159687 /nfs/dbraw/zinc/15/96/87/1203159687.db2.gz DSZHMSJPWUOGDZ-HNNXBMFYSA-N 0 1 306.475 3.423 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccsc2)C1 ZINC001714869393 1203166216 /nfs/dbraw/zinc/16/62/16/1203166216.db2.gz FRANSZPRGLMFIB-DOTOQJQBSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC2=CCCCC2)C1 ZINC001714890765 1203180602 /nfs/dbraw/zinc/18/06/02/1203180602.db2.gz GCTPVZUOHLUXGO-MRXNPFEDSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@H](F)CC)C1 ZINC001714904232 1203189951 /nfs/dbraw/zinc/18/99/51/1203189951.db2.gz FFOUTYZSJAVJKT-ZWKOTPCHSA-N 0 1 318.436 3.414 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@H](F)CC)C1 ZINC001714904228 1203190224 /nfs/dbraw/zinc/19/02/24/1203190224.db2.gz FFOUTYZSJAVJKT-QZTJIDSGSA-N 0 1 318.436 3.414 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC001714944137 1203210256 /nfs/dbraw/zinc/21/02/56/1203210256.db2.gz ZRPDZNOPLHICKE-MRXNPFEDSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001714958734 1203218014 /nfs/dbraw/zinc/21/80/14/1203218014.db2.gz LZUOHXCOIKWBJS-OAHLLOKOSA-N 0 1 323.440 3.450 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001714969563 1203220355 /nfs/dbraw/zinc/22/03/55/1203220355.db2.gz YANPWBLNBORLNZ-UHFFFAOYSA-N 0 1 314.473 3.052 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001714976530 1203223018 /nfs/dbraw/zinc/22/30/18/1203223018.db2.gz CMFRXMHUBQLHRW-IBGZPJMESA-N 0 1 314.473 3.188 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001714980504 1203225188 /nfs/dbraw/zinc/22/51/88/1203225188.db2.gz WTSVQIDXPOXLIK-LJQANCHMSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001715041161 1203250806 /nfs/dbraw/zinc/25/08/06/1203250806.db2.gz AZZJBZPVCNTEIV-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN CC[C@@H](CNC(=O)CCC1CCC1)NCc1ccccc1C#N ZINC001715054093 1203256772 /nfs/dbraw/zinc/25/67/72/1203256772.db2.gz UBXRSJVSJICTKJ-SFHVURJKSA-N 0 1 313.445 3.123 20 30 DGEDMN CC[C@H](C)CC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001715209597 1203296456 /nfs/dbraw/zinc/29/64/56/1203296456.db2.gz MFALQZNUJBALQS-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(C2CCC2)CCC1 ZINC001715211567 1203296707 /nfs/dbraw/zinc/29/67/07/1203296707.db2.gz HCFUVUQNUFGQFV-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1Cl ZINC001715330143 1203317541 /nfs/dbraw/zinc/31/75/41/1203317541.db2.gz GYZVNQFYEZYOJC-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](CNCc1ccccc1C#N)CC(C)C ZINC001715382734 1203330167 /nfs/dbraw/zinc/33/01/67/1203330167.db2.gz GTLFEQRYVWLGNL-IQXODGKNSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1occc1C ZINC001715390186 1203332330 /nfs/dbraw/zinc/33/23/30/1203332330.db2.gz KORLFCSUNVILMV-CQSZACIVSA-N 0 1 312.841 3.004 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001715497626 1203352876 /nfs/dbraw/zinc/35/28/76/1203352876.db2.gz XBAGZHSVNZQCKH-KAPURRDVSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1nc(C)oc1C)C(C)C ZINC001715718713 1203383999 /nfs/dbraw/zinc/38/39/99/1203383999.db2.gz FNBSSZXNFJZBNS-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1nc(C)sc1C)C(C)C ZINC001715726402 1203384816 /nfs/dbraw/zinc/38/48/16/1203384816.db2.gz LMNXLDIXGWIAQR-AWEZNQCLSA-N 0 1 323.506 3.203 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)CCNCc1ccccc1C#N ZINC001715733441 1203385823 /nfs/dbraw/zinc/38/58/23/1203385823.db2.gz KAINFEQPQXKAAR-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCc2ccccc21 ZINC001715743004 1203386795 /nfs/dbraw/zinc/38/67/95/1203386795.db2.gz KWNSYEMWWLEGPD-KRWDZBQOSA-N 0 1 320.864 3.297 20 30 DGEDMN CCC(=CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1)CC ZINC001715801158 1203393682 /nfs/dbraw/zinc/39/36/82/1203393682.db2.gz IAUUMWYVNQXUPX-UHFFFAOYSA-N 0 1 313.445 3.195 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1cc(Cl)ccc1F ZINC001715944415 1203408470 /nfs/dbraw/zinc/40/84/70/1203408470.db2.gz APHAPJFKJGIQQX-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001715979782 1203415018 /nfs/dbraw/zinc/41/50/18/1203415018.db2.gz ULUBMUXLWZRIOU-ZDUSSCGKSA-N 0 1 323.506 3.391 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001715989659 1203417020 /nfs/dbraw/zinc/41/70/20/1203417020.db2.gz KLMVRXNBCONCRD-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001716021766 1203425673 /nfs/dbraw/zinc/42/56/73/1203425673.db2.gz AJHXTOFLNGNZOL-UHFFFAOYSA-N 0 1 306.837 3.100 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2cscn2)CCCC1 ZINC001716201822 1203448551 /nfs/dbraw/zinc/44/85/51/1203448551.db2.gz XRPGCUFXEPYWCL-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCC[C@@H]1C1CC1 ZINC001716231424 1203451838 /nfs/dbraw/zinc/45/18/38/1203451838.db2.gz OHJHFNROXFNFGW-HZPDHXFCSA-N 0 1 312.885 3.393 20 30 DGEDMN CCN(CCNCc1ccc(C#N)cc1F)C(=O)C(C)(C)CC ZINC001716237148 1203452152 /nfs/dbraw/zinc/45/21/52/1203452152.db2.gz OWXINLZXNMRIIG-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1ccccc1F ZINC001716299053 1203458114 /nfs/dbraw/zinc/45/81/14/1203458114.db2.gz GPFMUGXTLINGRO-FPLPWBNLSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001716342256 1203460855 /nfs/dbraw/zinc/46/08/55/1203460855.db2.gz PWHMEKVZZFMXFC-DHCBQETCSA-N 0 1 324.827 3.334 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1ccccc1F ZINC001716347271 1203461196 /nfs/dbraw/zinc/46/11/96/1203461196.db2.gz FRTUEVOYSAWQHD-FPLPWBNLSA-N 0 1 304.409 3.190 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1cccc(Cl)n1 ZINC001716348364 1203461646 /nfs/dbraw/zinc/46/16/46/1203461646.db2.gz WHJVTLHGFZTDCK-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)Cc1ccccc1C ZINC001716381268 1203463506 /nfs/dbraw/zinc/46/35/06/1203463506.db2.gz KHDODHWGIDOMPF-IDTUSYRASA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001716382598 1203463844 /nfs/dbraw/zinc/46/38/44/1203463844.db2.gz JBCZIRPKOBLYNF-OOPCZODUSA-N 0 1 324.827 3.019 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(C)(C)C)cc1 ZINC001716420788 1203470049 /nfs/dbraw/zinc/47/00/49/1203470049.db2.gz IVGXBVOIDTXFOH-CYBMUJFWSA-N 0 1 308.853 3.445 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001716464992 1203479114 /nfs/dbraw/zinc/47/91/14/1203479114.db2.gz IMKBTQNFIPJIOS-GOEBONIOSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCCC(=O)N[C@@H](CN[C@H](C)c1c(F)cccc1F)C1CC1 ZINC001716606692 1203505787 /nfs/dbraw/zinc/50/57/87/1203505787.db2.gz UNGBYZNYBGBTHR-WBMJQRKESA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1ccccc1F)C1CC1 ZINC001716625150 1203508490 /nfs/dbraw/zinc/50/84/90/1203508490.db2.gz MZDIGWNSSHIFLZ-LRDDRELGSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)cc(C)c1)C1CC1 ZINC001716627418 1203508629 /nfs/dbraw/zinc/50/86/29/1203508629.db2.gz SWHGGBTZLLNTBA-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001716691294 1203525851 /nfs/dbraw/zinc/52/58/51/1203525851.db2.gz GRCSPXOJESNEFP-AWEZNQCLSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001716694333 1203526789 /nfs/dbraw/zinc/52/67/89/1203526789.db2.gz IAAYKKNBZBAZNH-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2CC(C)C)CC1 ZINC001716701855 1203528139 /nfs/dbraw/zinc/52/81/39/1203528139.db2.gz DVUYZYIFYAYUTD-UHFFFAOYSA-N 0 1 320.864 3.490 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C2CCC(OC)CC2)C1 ZINC001716746747 1203536077 /nfs/dbraw/zinc/53/60/77/1203536077.db2.gz RPONTPOOOYUOND-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001716750042 1203536717 /nfs/dbraw/zinc/53/67/17/1203536717.db2.gz UXKFGMZEDFKWQK-UONOGXRCSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)c2ccccc2C)C1 ZINC001716754589 1203538229 /nfs/dbraw/zinc/53/82/29/1203538229.db2.gz RPMDUXFMYDLDSF-UHFFFAOYSA-N 0 1 314.473 3.381 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1c(F)cccc1F ZINC001716778215 1203541440 /nfs/dbraw/zinc/54/14/40/1203541440.db2.gz JRUHGCHHTBLQGF-AWEZNQCLSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccs1)C1CCCC1 ZINC001716813789 1203546428 /nfs/dbraw/zinc/54/64/28/1203546428.db2.gz OJDXZQTYHYEKJG-ZDUSSCGKSA-N 0 1 312.866 3.379 20 30 DGEDMN C#CCCCCC(=O)N[C@]1(C)CCN(Cc2ccccc2F)C1 ZINC001716838204 1203552061 /nfs/dbraw/zinc/55/20/61/1203552061.db2.gz JXVXTBCGDMJKHU-LJQANCHMSA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001716850213 1203555546 /nfs/dbraw/zinc/55/55/46/1203555546.db2.gz LEKFJVPKIZYPNH-FXAWDEMLSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001716886919 1203561537 /nfs/dbraw/zinc/56/15/37/1203561537.db2.gz TUKMKKDELNCBPR-XHSDSOJGSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2ccccn2)C1 ZINC001716898128 1203565479 /nfs/dbraw/zinc/56/54/79/1203565479.db2.gz PCNGZUBSWSXREQ-LJQANCHMSA-N 0 1 315.461 3.156 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C)c(C)o1)c1ccccc1CC ZINC001716953723 1203569517 /nfs/dbraw/zinc/56/95/17/1203569517.db2.gz GJFXWENJGOSIRS-SFHVURJKSA-N 0 1 324.424 3.153 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(CCCC)CC3)C[C@@H]2C1 ZINC001717012418 1203577337 /nfs/dbraw/zinc/57/73/37/1203577337.db2.gz KFMAMBXQLHMREU-GASCZTMLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001717085708 1203583296 /nfs/dbraw/zinc/58/32/96/1203583296.db2.gz QFHZDJYFADRCJG-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C[C@@H](C)CC)cccc2C1 ZINC001717143447 1203589640 /nfs/dbraw/zinc/58/96/40/1203589640.db2.gz JLUXETNXMBEHGG-INIZCTEOSA-N 0 1 312.457 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H]3C=CCCC3)cccc2C1 ZINC001717143545 1203590162 /nfs/dbraw/zinc/59/01/62/1203590162.db2.gz NSDAYTGUHJDREA-KRWDZBQOSA-N 0 1 322.452 3.041 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001717191250 1203595978 /nfs/dbraw/zinc/59/59/78/1203595978.db2.gz JZCDHWLHHQTFOG-IEBWSBKVSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CC1CCCC1 ZINC001717242533 1203608645 /nfs/dbraw/zinc/60/86/45/1203608645.db2.gz DFQIGSMXDMSWQQ-HXUWFJFHSA-N 0 1 324.468 3.133 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@]2(CCN(CCF)C2)C1 ZINC001717247169 1203609851 /nfs/dbraw/zinc/60/98/51/1203609851.db2.gz YDCYXTNBXWOFKT-SFHVURJKSA-N 0 1 308.441 3.039 20 30 DGEDMN C=CCCC(=O)NCc1ccc2c(c1)CN(CC[C@@H](C)F)C2 ZINC001717269088 1203610608 /nfs/dbraw/zinc/61/06/08/1203610608.db2.gz ABMLNNAIURBKKH-CQSZACIVSA-N 0 1 304.409 3.333 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCc3ccco3)cc2C1 ZINC001717269622 1203610657 /nfs/dbraw/zinc/61/06/57/1203610657.db2.gz SZHDOQFWFYNFNJ-UHFFFAOYSA-N 0 1 324.424 3.420 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C[C@H](C)SC)cc2C1 ZINC001717270461 1203611259 /nfs/dbraw/zinc/61/12/59/1203611259.db2.gz YJQKSCQBZOEZHN-AWEZNQCLSA-N 0 1 318.486 3.336 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H](C)C1 ZINC001717338089 1203620834 /nfs/dbraw/zinc/62/08/34/1203620834.db2.gz PZHBNGBOWKIQGU-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(C2CCCCC2)CC1 ZINC001717374418 1203629349 /nfs/dbraw/zinc/62/93/49/1203629349.db2.gz OFDGQALUCSQTPW-SFHVURJKSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001717390623 1203633518 /nfs/dbraw/zinc/63/35/18/1203633518.db2.gz JCMVRIINCLNTFA-QBEXNJGHSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001717426921 1203642082 /nfs/dbraw/zinc/64/20/82/1203642082.db2.gz MOEGAAZNYPZVKJ-HUUCEWRRSA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccsc1Cl ZINC001717429704 1203642422 /nfs/dbraw/zinc/64/24/22/1203642422.db2.gz XEGZHDMXAXSLHA-DTWKUNHWSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccsc1Cl ZINC001717429705 1203642582 /nfs/dbraw/zinc/64/25/82/1203642582.db2.gz XEGZHDMXAXSLHA-IUCAKERBSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc2c([nH]1)CCC2 ZINC001717533331 1203674376 /nfs/dbraw/zinc/67/43/76/1203674376.db2.gz ZPFLADNURVRHCK-AWEZNQCLSA-N 0 1 323.868 3.134 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001717542743 1203678225 /nfs/dbraw/zinc/67/82/25/1203678225.db2.gz LAASWFJDBVUHGV-INIZCTEOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)C[C@@H](C)CCC)C2)C1 ZINC001717571462 1203683222 /nfs/dbraw/zinc/68/32/22/1203683222.db2.gz AZOJAPOLGFMZTP-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC001717575081 1203683320 /nfs/dbraw/zinc/68/33/20/1203683320.db2.gz WJHQDWMKCXFNRN-LJQANCHMSA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)[C@H](C)CCCC)C2)C1 ZINC001717573045 1203683929 /nfs/dbraw/zinc/68/39/29/1203683929.db2.gz IVXVTCDHPAYPCA-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)c1cccs1 ZINC001717741643 1203707789 /nfs/dbraw/zinc/70/77/89/1203707789.db2.gz UKRYEMLEIULNCQ-NWDGAFQWSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(F)c1Cl ZINC001717744226 1203708587 /nfs/dbraw/zinc/70/85/87/1203708587.db2.gz XYFHFWORAHLOSN-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@]1(C)CCc2ccccc21 ZINC001717757222 1203711575 /nfs/dbraw/zinc/71/15/75/1203711575.db2.gz MAUVQBNRJQXCPJ-KBXCAEBGSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ccncc2Cl)[C@@H]1C ZINC001717792819 1203712565 /nfs/dbraw/zinc/71/25/65/1203712565.db2.gz AYTXFFIXMUPQED-XJKSGUPXSA-N 0 1 321.852 3.170 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCCC2(CC2)C1)C(C)C ZINC001717948450 1203733316 /nfs/dbraw/zinc/73/33/16/1203733316.db2.gz MPUBNGOBUKVBQE-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2cc(F)ccc2s1 ZINC001722916293 1203951925 /nfs/dbraw/zinc/95/19/25/1203951925.db2.gz VBWNGVBUKAOWDX-GFCCVEGCSA-N 0 1 318.417 3.114 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2cc(C)on2)CCCC1 ZINC001723224801 1203960338 /nfs/dbraw/zinc/96/03/38/1203960338.db2.gz XCULJPMOGJWJSP-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(C)c1C)C1CC1 ZINC001723349499 1203964922 /nfs/dbraw/zinc/96/49/22/1203964922.db2.gz DIBZCBANRDJZJB-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCCCC1(C)C)C1CC1 ZINC001723356067 1203965261 /nfs/dbraw/zinc/96/52/61/1203965261.db2.gz NCKZGWCIUSZHHC-GJZGRUSLSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1csnc1C ZINC001723418668 1203969159 /nfs/dbraw/zinc/96/91/59/1203969159.db2.gz YFZQRPNVMHUCOY-AWEZNQCLSA-N 0 1 307.463 3.002 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001723416145 1203969585 /nfs/dbraw/zinc/96/95/85/1203969585.db2.gz ICNIOPWSWUVPKB-INIZCTEOSA-N 0 1 304.434 3.447 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001723450739 1203973364 /nfs/dbraw/zinc/97/33/64/1203973364.db2.gz KZKYPNXYRBKTNW-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2sccc2Cl)CC1 ZINC001723521303 1203980247 /nfs/dbraw/zinc/98/02/47/1203980247.db2.gz STLSUQBBFPZSJO-UHFFFAOYSA-N 0 1 312.866 3.496 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@]2(C1)CCCN(CCF)C2 ZINC001723677827 1203988124 /nfs/dbraw/zinc/98/81/24/1203988124.db2.gz ALXTUABDOHOPGH-GOSISDBHSA-N 0 1 308.441 3.039 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001723777265 1203992811 /nfs/dbraw/zinc/99/28/11/1203992811.db2.gz VMYCHBYDNKSYFX-PBHICJAKSA-N 0 1 316.445 3.054 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001723896632 1203998156 /nfs/dbraw/zinc/99/81/56/1203998156.db2.gz GLUAMBGDSBXJQN-ZVHGMHCTSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001723905618 1203998319 /nfs/dbraw/zinc/99/83/19/1203998319.db2.gz NQNYLVWIEBJISN-SRXBQZRASA-N 0 1 319.276 3.488 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001723905619 1203998410 /nfs/dbraw/zinc/99/84/10/1203998410.db2.gz NQNYLVWIEBJISN-SUIFULHWSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1(C2CCC2)CCC1 ZINC001723928289 1203998702 /nfs/dbraw/zinc/99/87/02/1203998702.db2.gz HCFUVUQNUFGQFV-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001723978790 1204000269 /nfs/dbraw/zinc/00/02/69/1204000269.db2.gz ZTMUUJQCPPILAS-KPXZUXBZSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCN(C(C)=O)C1CCN(Cc2ccc(C)cc2Cl)CC1 ZINC001724027708 1204002482 /nfs/dbraw/zinc/00/24/82/1204002482.db2.gz FZQLBSUOSHHOAR-UHFFFAOYSA-N 0 1 318.848 3.095 20 30 DGEDMN C#CCN(C(=O)CCC1(C)CC1)C1CCN(C/C=C/Cl)CC1 ZINC001724061216 1204002551 /nfs/dbraw/zinc/00/25/51/1204002551.db2.gz OFMUXSFUXMRITL-NYYWCZLTSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC(C)C)C1CCCC1 ZINC001724074651 1204003545 /nfs/dbraw/zinc/00/35/45/1204003545.db2.gz ZYOWBVWHJWIKRN-HNNXBMFYSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001724174549 1204011346 /nfs/dbraw/zinc/01/13/46/1204011346.db2.gz IVOBKNNHISLNGD-LPHOPBHVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001724179028 1204012700 /nfs/dbraw/zinc/01/27/00/1204012700.db2.gz KTBSXRJLHJFZBE-LIRRHRJNSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001724374809 1204025287 /nfs/dbraw/zinc/02/52/87/1204025287.db2.gz BOQRVPNUDYDBSD-KBPBESRZSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724503709 1204026102 /nfs/dbraw/zinc/02/61/02/1204026102.db2.gz KLZZIOUGOGDJEC-JCVMYVNCSA-N 0 1 308.853 3.230 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724503710 1204026139 /nfs/dbraw/zinc/02/61/39/1204026139.db2.gz KLZZIOUGOGDJEC-KKQAGXBQSA-N 0 1 308.853 3.230 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H](C)C(C)C)C2 ZINC001724605250 1204031976 /nfs/dbraw/zinc/03/19/76/1204031976.db2.gz XNQCFFQNMVVKDT-LVQVYYBASA-N 0 1 312.885 3.390 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]2CN(C[C@H](F)CC)C[C@H]21 ZINC001724655914 1204036779 /nfs/dbraw/zinc/03/67/79/1204036779.db2.gz GYLPMDMFLJFFNF-RCCFBDPRSA-N 0 1 322.468 3.426 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC(C)C)CCC1 ZINC001724659238 1204037401 /nfs/dbraw/zinc/03/74/01/1204037401.db2.gz UXBXFOLWSAODKC-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cccc(C)c1F ZINC001724737843 1204041206 /nfs/dbraw/zinc/04/12/06/1204041206.db2.gz UUTMTXJTIBOPMS-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)C1CCC1 ZINC001724738155 1204041243 /nfs/dbraw/zinc/04/12/43/1204041243.db2.gz JHFKWJXUOILQSY-UHFFFAOYSA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1CC(C)(C)C1 ZINC001724736328 1204041564 /nfs/dbraw/zinc/04/15/64/1204041564.db2.gz GSROUUQPSFIXOQ-UHFFFAOYSA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C=C(CC)CC)cccc2C1 ZINC001724777636 1204045204 /nfs/dbraw/zinc/04/52/04/1204045204.db2.gz UENPDNABPQSJPJ-UHFFFAOYSA-N 0 1 324.468 3.431 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCC3(CC3)C2)CCC1 ZINC001724784220 1204046886 /nfs/dbraw/zinc/04/68/86/1204046886.db2.gz CJQDVPYYIUTPEN-AWEZNQCLSA-N 0 1 310.869 3.338 20 30 DGEDMN C#CCCCC(=O)N(C)CCCN(C)Cc1ccc(F)cc1F ZINC001724850396 1204056534 /nfs/dbraw/zinc/05/65/34/1204056534.db2.gz BIERMLFFLDWHEG-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1cccc(F)c1F ZINC001724854884 1204058076 /nfs/dbraw/zinc/05/80/76/1204058076.db2.gz TWFGVXBTPFIEHS-UHFFFAOYSA-N 0 1 324.415 3.457 20 30 DGEDMN C=CCCCN1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(F)F ZINC001724892745 1204063565 /nfs/dbraw/zinc/06/35/65/1204063565.db2.gz HSTCJYWKBHEBRH-MRXNPFEDSA-N 0 1 322.399 3.151 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001724969962 1204073341 /nfs/dbraw/zinc/07/33/41/1204073341.db2.gz JIJKHLPCLRJOQW-IRXDYDNUSA-N 0 1 322.468 3.145 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2conc2C)CC1(C)C ZINC001724970272 1204073476 /nfs/dbraw/zinc/07/34/76/1204073476.db2.gz JQLJFJMBIMLPEA-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1oc(CC)nc1C ZINC001725088627 1204092170 /nfs/dbraw/zinc/09/21/70/1204092170.db2.gz ILAHJGNJHBCTHT-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001725182297 1204113357 /nfs/dbraw/zinc/11/33/57/1204113357.db2.gz WEXXMRRFOYYNGE-BEFAXECRSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(-c2cccs2)o1 ZINC001725193902 1204117448 /nfs/dbraw/zinc/11/74/48/1204117448.db2.gz GQLYZFAWCPYDLT-CYBMUJFWSA-N 0 1 316.426 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CCCC)CNCc2cnoc2C)C1 ZINC001725231193 1204122648 /nfs/dbraw/zinc/12/26/48/1204122648.db2.gz FNQUAFBKFWJZBL-INIZCTEOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCCCN2CCF)CCCC1 ZINC001725246539 1204127545 /nfs/dbraw/zinc/12/75/45/1204127545.db2.gz XXKICINGWOLFEX-INIZCTEOSA-N 0 1 310.457 3.453 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)C=C(C)C)C2)C1 ZINC001725276681 1204131244 /nfs/dbraw/zinc/13/12/44/1204131244.db2.gz PHNYZOXZIFBYGZ-IBGZPJMESA-N 0 1 320.477 3.002 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001725288059 1204133595 /nfs/dbraw/zinc/13/35/95/1204133595.db2.gz XAYXQLCBDXISKV-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccnc2ccccc21 ZINC001725498030 1204159453 /nfs/dbraw/zinc/15/94/53/1204159453.db2.gz DBUUPAUFTRVVQW-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001725501220 1204159998 /nfs/dbraw/zinc/15/99/98/1204159998.db2.gz PXHYGAOGQWRKLX-OAHLLOKOSA-N 0 1 317.477 3.354 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2nc(C)cs2)CCC1 ZINC001725509075 1204161839 /nfs/dbraw/zinc/16/18/39/1204161839.db2.gz ZEOPFFYUWVFAAO-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C)(C)CC(C)(C)C)[C@@H]1C ZINC001725632725 1204186851 /nfs/dbraw/zinc/18/68/51/1204186851.db2.gz OXIXLRLUQOWHNE-HOTGVXAUSA-N 0 1 306.494 3.441 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccccc2F)[C@H]1C ZINC001725637976 1204188400 /nfs/dbraw/zinc/18/84/00/1204188400.db2.gz WWTAIJCINLOFCM-YJBOKZPZSA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc2ccccc2o1)C(C)C ZINC001725732289 1204204407 /nfs/dbraw/zinc/20/44/07/1204204407.db2.gz GYKJSONDDILLCU-UHFFFAOYSA-N 0 1 312.413 3.239 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(F)cc1)C(C)(C)C ZINC001725733760 1204205556 /nfs/dbraw/zinc/20/55/56/1204205556.db2.gz UURVWWIHFFRJQC-CQSZACIVSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC(F)(F)F)C(C)(C)C ZINC001725735276 1204206530 /nfs/dbraw/zinc/20/65/30/1204206530.db2.gz HUPFKASLDCYHMI-SNVBAGLBSA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccccc1)C(C)(C)C ZINC001725749063 1204211207 /nfs/dbraw/zinc/21/12/07/1204211207.db2.gz DPWPZGVJVPVEEX-MRXNPFEDSA-N 0 1 322.880 3.492 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001753108313 1204316380 /nfs/dbraw/zinc/31/63/80/1204316380.db2.gz KBTKNYQPKZNFLO-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)c2ccc(C)o2)CC1 ZINC001731386764 1204391497 /nfs/dbraw/zinc/39/14/97/1204391497.db2.gz BBXNSWQGFYSPFS-MRXNPFEDSA-N 0 1 316.445 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc2ccsc2[nH]1)C1CC1 ZINC001731402463 1204398784 /nfs/dbraw/zinc/39/87/84/1204398784.db2.gz QYSHBVCENOUXKW-ZDUSSCGKSA-N 0 1 323.849 3.080 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC[C@H](C)c1ccccc1 ZINC001731542690 1204452305 /nfs/dbraw/zinc/45/23/05/1204452305.db2.gz HZIWROHIIVXSAZ-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1ncccc1C ZINC001731541677 1204452690 /nfs/dbraw/zinc/45/26/90/1204452690.db2.gz RSULNWXDAIMXNH-QGZVFWFLSA-N 0 1 315.461 3.217 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731580542 1204470580 /nfs/dbraw/zinc/47/05/80/1204470580.db2.gz DNXSEFKLGHQALQ-GSHXUFRSSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@@H](NCc2ncc(CC)o2)C1 ZINC001731694485 1204508110 /nfs/dbraw/zinc/50/81/10/1204508110.db2.gz KARYMRSZDKNPCA-CABCVRRESA-N 0 1 319.449 3.110 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H](C)N[C@@H](C)c1ncc(C)o1 ZINC001731728033 1204525126 /nfs/dbraw/zinc/52/51/26/1204525126.db2.gz KBYPRJVJMAILCX-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN CCC[C@@H](C)C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001731726701 1204525164 /nfs/dbraw/zinc/52/51/64/1204525164.db2.gz WSWYQOXRZWJUJE-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(CCNC(=O)CC[C@H](C)c1ccccc1)C1CC1 ZINC001731882734 1204622515 /nfs/dbraw/zinc/62/25/15/1204622515.db2.gz SEMBOINIIBNTSK-KRWDZBQOSA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)F)c1ccc(C(C)C)cc1 ZINC001732016595 1204650169 /nfs/dbraw/zinc/65/01/69/1204650169.db2.gz QGPZISGDVRQPGD-QGZVFWFLSA-N 0 1 318.436 3.328 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(F)=C1CCCC1)c1ccccc1 ZINC001732019225 1204651628 /nfs/dbraw/zinc/65/16/28/1204651628.db2.gz GBJKXBWSGDGDIN-QGZVFWFLSA-N 0 1 314.404 3.254 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)CCC)c1ccccc1 ZINC001732022234 1204652577 /nfs/dbraw/zinc/65/25/77/1204652577.db2.gz NAAKJGQPVAQUIX-KRWDZBQOSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)C(C)C)c1ccccc1 ZINC001732024635 1204653180 /nfs/dbraw/zinc/65/31/80/1204653180.db2.gz RKXPRVAIXYDHMV-KRWDZBQOSA-N 0 1 300.446 3.139 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CC(C(C)(C)C)C1)c1ccccc1 ZINC001732026683 1204654121 /nfs/dbraw/zinc/65/41/21/1204654121.db2.gz MASVVOJHZKOIEX-ABHNRTSZSA-N 0 1 312.457 3.139 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H](CC)C(C)C)c1ccccc1 ZINC001732025836 1204654177 /nfs/dbraw/zinc/65/41/77/1204654177.db2.gz RULBFCNIVMGGRY-AEFFLSMTSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(CCC)on2)C1 ZINC001732040778 1204662183 /nfs/dbraw/zinc/66/21/83/1204662183.db2.gz LGDLLDOXRXOMQB-HNNXBMFYSA-N 0 1 319.449 3.130 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)c(C)o2)C1 ZINC001732046683 1204667024 /nfs/dbraw/zinc/66/70/24/1204667024.db2.gz SOHPFGOTUIFMAX-CQSZACIVSA-N 0 1 310.825 3.091 20 30 DGEDMN C#CCCCCCC(=O)NC1CN(CC2CCCCCC2)C1 ZINC001732106489 1204696030 /nfs/dbraw/zinc/69/60/30/1204696030.db2.gz GBTSHOMDCPCCRP-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(CC)c1 ZINC001732144642 1204713124 /nfs/dbraw/zinc/71/31/24/1204713124.db2.gz DZKFZSFSHGVNDX-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001732185191 1204736574 /nfs/dbraw/zinc/73/65/74/1204736574.db2.gz FLPLQKHUICZWOX-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001732188528 1204739310 /nfs/dbraw/zinc/73/93/10/1204739310.db2.gz ZHBHJDDYNSZHLC-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001732198022 1204745761 /nfs/dbraw/zinc/74/57/61/1204745761.db2.gz NYYATIBGGMHZDL-RQPMMQJISA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1nc2ccccc2o1 ZINC001732200624 1204746363 /nfs/dbraw/zinc/74/63/63/1204746363.db2.gz QOYGKSYEEDXFOG-AWEZNQCLSA-N 0 1 315.417 3.169 20 30 DGEDMN CC[C@@H](CNC(=O)CC(C)=C(C)C)NCc1ccccc1C#N ZINC001732205591 1204748314 /nfs/dbraw/zinc/74/83/14/1204748314.db2.gz OPSHSTNLDSKLIJ-SFHVURJKSA-N 0 1 313.445 3.289 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001732224408 1204759109 /nfs/dbraw/zinc/75/91/09/1204759109.db2.gz UQCYMGKFVWSGRM-KRWDZBQOSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001732328424 1204792980 /nfs/dbraw/zinc/79/29/80/1204792980.db2.gz AGQULEDFTBDSON-OIZKETEYSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1ccccc1C ZINC001732328015 1204793184 /nfs/dbraw/zinc/79/31/84/1204793184.db2.gz USWMGFDNXUPUIW-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN CC[C@@H](C)C(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001732389744 1204815413 /nfs/dbraw/zinc/81/54/13/1204815413.db2.gz LKPBQXBDFNAERN-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1cc(C)cc(C)c1 ZINC001732435362 1204839242 /nfs/dbraw/zinc/83/92/42/1204839242.db2.gz GVPQYIMPBYSBJK-HNNXBMFYSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1ccc(C)s1 ZINC001732460796 1204854286 /nfs/dbraw/zinc/85/42/86/1204854286.db2.gz SOYUUKXBUIBYHD-LBPRGKRZSA-N 0 1 314.882 3.178 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NCc2csc(C)n2)C(C)C)C1 ZINC001732568621 1204900197 /nfs/dbraw/zinc/90/01/97/1204900197.db2.gz ZBYVDURXAAZQNV-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN CN(CCN(C)C(=O)C1CCCCC1)Cc1ccc(C#N)cc1 ZINC001732575972 1204904137 /nfs/dbraw/zinc/90/41/37/1204904137.db2.gz HZCCJOIILVKGKB-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1c(Cl)cccc1Cl ZINC001732597393 1204917595 /nfs/dbraw/zinc/91/75/95/1204917595.db2.gz HUNAKZDQMWLEBF-UHFFFAOYSA-N 0 1 313.228 3.021 20 30 DGEDMN C/C=C(/C)C(=O)N(CC)CCNCC#Cc1ccc(Cl)cc1 ZINC001732766294 1204940594 /nfs/dbraw/zinc/94/05/94/1204940594.db2.gz CNMFAIZORRGCJK-TVPGTPATSA-N 0 1 318.848 3.096 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001732848049 1204950983 /nfs/dbraw/zinc/95/09/83/1204950983.db2.gz QILNQLQGDRADDR-GFOMBABLSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001732950982 1204963641 /nfs/dbraw/zinc/96/36/41/1204963641.db2.gz BCYDAQZDVAHLBG-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2ccc(Cl)cc2F)C1 ZINC001732970589 1204968393 /nfs/dbraw/zinc/96/83/93/1204968393.db2.gz MMKAXLWFPNIZLK-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(Cl)c1Cl ZINC001733020912 1204983497 /nfs/dbraw/zinc/98/34/97/1204983497.db2.gz JHGHERKCZUQYJE-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001733050705 1205001397 /nfs/dbraw/zinc/00/13/97/1205001397.db2.gz SZWSRVIHTDRZPC-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001733062880 1205011896 /nfs/dbraw/zinc/01/18/96/1205011896.db2.gz QZHYKNRNSPICTG-CCLIWJKGSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCNCc2ncc(C(C)C)o2)C1 ZINC001733125295 1205026935 /nfs/dbraw/zinc/02/69/35/1205026935.db2.gz CNPBSRDWPLUSPW-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1ccccc1)C1CC1 ZINC001733188744 1205037739 /nfs/dbraw/zinc/03/77/39/1205037739.db2.gz NGOMNEUXAXFZKU-CJNGLKHVSA-N 0 1 306.837 3.027 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN(CC2CCC(C)CC2)C1 ZINC001733310582 1205090503 /nfs/dbraw/zinc/09/05/03/1205090503.db2.gz KZGYTLUZFZWEBR-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2coc(C(F)F)c2)C1 ZINC001733312040 1205090995 /nfs/dbraw/zinc/09/09/95/1205090995.db2.gz AYMPNEGATLJEIX-NSHDSACASA-N 0 1 312.360 3.328 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@H](C)CC(C)C ZINC001733350870 1205102601 /nfs/dbraw/zinc/10/26/01/1205102601.db2.gz IZUXTXNPABODGW-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1coc(C)c1)C1CCCC1 ZINC001733379670 1205110988 /nfs/dbraw/zinc/11/09/88/1205110988.db2.gz GBBCOUYJFDLJRA-HNNXBMFYSA-N 0 1 310.825 3.219 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001733415642 1205126109 /nfs/dbraw/zinc/12/61/09/1205126109.db2.gz GQFGIPAHKLMRGX-IBGZPJMESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(C)CC(=C)C2)CC1 ZINC001733451249 1205137313 /nfs/dbraw/zinc/13/73/13/1205137313.db2.gz HNQSSBMMWHHFHD-UHFFFAOYSA-N 0 1 310.869 3.314 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001733464189 1205145556 /nfs/dbraw/zinc/14/55/56/1205145556.db2.gz DQCFCTTYVGOLEN-NQKNOSNGSA-N 0 1 316.489 3.054 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H](CC)CCC)C1 ZINC001733476931 1205149620 /nfs/dbraw/zinc/14/96/20/1205149620.db2.gz LUWAABLSEHKZEE-LSDHHAIUSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1CCC)c1ccccc1CC ZINC001733517603 1205151910 /nfs/dbraw/zinc/15/19/10/1205151910.db2.gz IAKOEGWRTYBBLD-BHIYHBOVSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)CC=C)c1ccccc1CC ZINC001733518685 1205151980 /nfs/dbraw/zinc/15/19/80/1205151980.db2.gz PVFRUUUQBLJTAK-GOSISDBHSA-N 0 1 312.457 3.231 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CC2(CC2)C1)c1ccccc1CC ZINC001733517522 1205152148 /nfs/dbraw/zinc/15/21/48/1205152148.db2.gz HFKCZKOLFBHBDG-LJQANCHMSA-N 0 1 324.468 3.209 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCC1CC1)c1ccccc1CC ZINC001733519454 1205152514 /nfs/dbraw/zinc/15/25/14/1205152514.db2.gz YZHRNFWBGKZPHV-LJQANCHMSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(C)C[N@H+](C)Cc1ccccc1CNC(=O)c1ccccc1O ZINC001733677508 1205181719 /nfs/dbraw/zinc/18/17/19/1205181719.db2.gz HZWGSEFYIQGFPK-UHFFFAOYSA-N 0 1 324.424 3.330 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1C=CC=CC=C1 ZINC001733678423 1205182023 /nfs/dbraw/zinc/18/20/23/1205182023.db2.gz XXYBODOLZZXTFQ-UHFFFAOYSA-N 0 1 320.436 3.056 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H](CC)CCC)cccc2C1 ZINC001733709072 1205189428 /nfs/dbraw/zinc/18/94/28/1205189428.db2.gz OWRJJAOOXSGGCH-MRXNPFEDSA-N 0 1 312.457 3.120 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001733753107 1205198053 /nfs/dbraw/zinc/19/80/53/1205198053.db2.gz DQCJKMRCCGGQKT-KBPBESRZSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@H](C)C1 ZINC001733898557 1205245558 /nfs/dbraw/zinc/24/55/58/1205245558.db2.gz IXPNUKBERCYMNC-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@H](C)CCC ZINC001733922963 1205255621 /nfs/dbraw/zinc/25/56/21/1205255621.db2.gz IHVUMVRYKWXNQD-XFQXTVEOSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1c[nH]c2ccc(F)cc12 ZINC001733942406 1205267954 /nfs/dbraw/zinc/26/79/54/1205267954.db2.gz BACBDMIFUUBHAI-CQSZACIVSA-N 0 1 315.392 3.029 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001733976193 1205277374 /nfs/dbraw/zinc/27/73/74/1205277374.db2.gz QGEBRBAUXAKRCZ-KGLIPLIRSA-N 0 1 321.465 3.446 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(C)CCC(F)(F)CC1 ZINC001733980876 1205277734 /nfs/dbraw/zinc/27/77/34/1205277734.db2.gz XLNKQIKYIDQEAL-NWDGAFQWSA-N 0 1 322.827 3.437 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001733985366 1205279394 /nfs/dbraw/zinc/27/93/94/1205279394.db2.gz PHKNJBWAEKBPLP-VXGBXAGGSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CC(C)(C)CC(F)F ZINC001733987411 1205279847 /nfs/dbraw/zinc/27/98/47/1205279847.db2.gz ZVICVROLRROXIT-MNOVXSKESA-N 0 1 310.816 3.293 20 30 DGEDMN CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2ccccc2C#N)CCC1 ZINC001733993249 1205281902 /nfs/dbraw/zinc/28/19/02/1205281902.db2.gz LLFMWFIEBTTWMA-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1ccc(C(C)C)nc1C ZINC001734030766 1205294496 /nfs/dbraw/zinc/29/44/96/1205294496.db2.gz OBOMBQBOLGESDA-HNNXBMFYSA-N 0 1 317.477 3.416 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1oc2c(cccc2C)c1C ZINC001734064729 1205313891 /nfs/dbraw/zinc/31/38/91/1205313891.db2.gz FGISHAHHZFOTPQ-AWEZNQCLSA-N 0 1 312.413 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1[nH]c(C)cc1C ZINC001734094820 1205320495 /nfs/dbraw/zinc/32/04/95/1205320495.db2.gz VKGYDDMTWMCNAZ-AWEZNQCLSA-N 0 1 311.857 3.262 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CC)CCCCCC)C(C)(C)C1 ZINC001734151247 1205335669 /nfs/dbraw/zinc/33/56/69/1205335669.db2.gz ZIMUELRQESEMJB-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001734325492 1205365232 /nfs/dbraw/zinc/36/52/32/1205365232.db2.gz AUANAJTUENNZGP-ZDUSSCGKSA-N 0 1 323.868 3.182 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001734336626 1205366785 /nfs/dbraw/zinc/36/67/85/1205366785.db2.gz IMDFGIXLBHVJOV-ZDUSSCGKSA-N 0 1 319.836 3.279 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H]1C ZINC001734459112 1205381448 /nfs/dbraw/zinc/38/14/48/1205381448.db2.gz NGHNRPNLERKTPF-AEFFLSMTSA-N 0 1 312.457 3.164 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC/C=C\c2ccccc2)[C@@H]1C ZINC001734460898 1205381820 /nfs/dbraw/zinc/38/18/20/1205381820.db2.gz QNEPXINOQKJHGP-WYSWKYAJSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(CCC)cc1)C(C)C ZINC001734552256 1205391803 /nfs/dbraw/zinc/39/18/03/1205391803.db2.gz OTDDRHHXQHMUNB-UHFFFAOYSA-N 0 1 300.446 3.055 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCCc1ccccc1)C(C)C ZINC001734551705 1205391877 /nfs/dbraw/zinc/39/18/77/1205391877.db2.gz VGDSLLULEWCMCC-UHFFFAOYSA-N 0 1 314.473 3.201 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C(F)F)cc1)C(C)C ZINC001734553366 1205392075 /nfs/dbraw/zinc/39/20/75/1205392075.db2.gz BHNMHBUZPLWXEO-UHFFFAOYSA-N 0 1 308.372 3.040 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001734566970 1205396188 /nfs/dbraw/zinc/39/61/88/1205396188.db2.gz BBMJFVYTYTYFHK-HOCLYGCPSA-N 0 1 321.465 3.206 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCCCC(C)C)CC1 ZINC001734634286 1205407296 /nfs/dbraw/zinc/40/72/96/1205407296.db2.gz RIQKNTWPKNDBEM-UHFFFAOYSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001734632636 1205407370 /nfs/dbraw/zinc/40/73/70/1205407370.db2.gz NEWBBOOWPRPCPV-SJLPKXTDSA-N 0 1 316.489 3.220 20 30 DGEDMN C[C@@H]1CCC[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)[C@@H]1C(=O)[O-] ZINC001589333852 953970635 /nfs/dbraw/zinc/97/06/35/953970635.db2.gz CDOQSPLVYNHUCJ-CJNGLKHVSA-N 0 1 300.402 3.173 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC001594274000 957699917 /nfs/dbraw/zinc/69/99/17/957699917.db2.gz JSWXOYAIWHGDEN-LJQANCHMSA-N 0 1 320.392 3.359 20 30 DGEDMN C#C[C@](C)(CC)[NH2+]Cc1csc(-c2cccc(C(=O)[O-])c2)n1 ZINC001588367257 983297760 /nfs/dbraw/zinc/29/77/60/983297760.db2.gz NCIPPIWQEDOKAB-QGZVFWFLSA-N 0 1 314.410 3.400 20 30 DGEDMN C#Cc1ccc(C[N@H+](CC(=O)[O-])Cc2ccc(F)c(F)c2)cc1 ZINC001588516568 983561101 /nfs/dbraw/zinc/56/11/01/983561101.db2.gz PTVMEJZUVVRRJH-UHFFFAOYSA-N 0 1 315.319 3.033 20 30 DGEDMN C=C(Br)C[NH2+][C@@H](C(=O)[O-])c1ccc(Cl)c(F)c1 ZINC001588529434 983581365 /nfs/dbraw/zinc/58/13/65/983581365.db2.gz YWGMWGVTEYAAMS-SNVBAGLBSA-N 0 1 322.561 3.103 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001588664439 983800281 /nfs/dbraw/zinc/80/02/81/983800281.db2.gz VTBYTRASLHYBIV-JSGCOSHPSA-N 0 1 317.282 3.215 20 30 DGEDMN CC[N@@H+](Cc1ccc(OC)cc1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001596362759 983842798 /nfs/dbraw/zinc/84/27/98/983842798.db2.gz NTOQCHBZUOIOJT-GOSISDBHSA-N 0 1 324.380 3.215 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)C[C@H]1CCCCN1Cc1ccccc1 ZINC001588729122 983986428 /nfs/dbraw/zinc/98/64/28/983986428.db2.gz NWRNFDRSBLYSQR-MSOLQXFVSA-N 0 1 316.445 3.002 20 30 DGEDMN C=CC[C@H](S[C@@H]1CCC[N@@H+](Cc2ccccc2)C1)C(=O)[O-] ZINC001588757604 984103670 /nfs/dbraw/zinc/10/36/70/984103670.db2.gz BLUYEPPKKNMBEF-CVEARBPZSA-N 0 1 305.443 3.414 20 30 DGEDMN C=CC[N@H+](CCC#N)Cc1c(O)c(C(=O)[O-])cc2ccccc21 ZINC001588826445 984303558 /nfs/dbraw/zinc/30/35/58/984303558.db2.gz DXHFWRLJQAHPRI-UHFFFAOYSA-N 0 1 310.353 3.145 20 30 DGEDMN C=CC[N@@H+](CCC#N)Cc1c(O)c(C(=O)[O-])cc2ccccc21 ZINC001588826445 984303551 /nfs/dbraw/zinc/30/35/51/984303551.db2.gz DXHFWRLJQAHPRI-UHFFFAOYSA-N 0 1 310.353 3.145 20 30 DGEDMN Cc1[nH]c(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)cc1C(=O)[O-] ZINC001599961861 985799126 /nfs/dbraw/zinc/79/91/26/985799126.db2.gz KAZLXBCOIWVYBL-UHFFFAOYSA-N 0 1 321.380 3.182 20 30 DGEDMN CCc1oc(C(=O)[O-])cc1C[N@@H+](C)CCc1cccc(C#N)c1 ZINC001597761811 989192016 /nfs/dbraw/zinc/19/20/16/989192016.db2.gz WCZUQFQLJPGVOT-UHFFFAOYSA-N 0 1 312.369 3.086 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CCCCC(C)C)C1 ZINC001671971495 1197589169 /nfs/dbraw/zinc/58/91/69/1197589169.db2.gz BZUURTPDWUCWOA-HNNXBMFYSA-N 0 1 316.436 3.462 20 30 DGEDMN COc1ccccc1[C@@H](C)[NH2+]Cc1cccc(C(=O)[O-])c1C#N ZINC001599718797 991501672 /nfs/dbraw/zinc/50/16/72/991501672.db2.gz ZNYHQERQGDXMAD-GFCCVEGCSA-N 0 1 310.353 3.116 20 30 DGEDMN CC(C)C[N@@H+](CCc1ccc(C#N)cc1)CC(C)(C)C(=O)[O-] ZINC001591342457 992320254 /nfs/dbraw/zinc/32/02/54/992320254.db2.gz BMAZDWPMRDQVAJ-UHFFFAOYSA-N 0 1 302.418 3.170 20 30 DGEDMN C[C@@]([NH2+]CC#Cc1ccc(Cl)cc1)(C(=O)[O-])c1ccccc1 ZINC001593232394 994384630 /nfs/dbraw/zinc/38/46/30/994384630.db2.gz VOJNNMZWJLAHJW-SFHVURJKSA-N 0 1 313.784 3.281 20 30 DGEDMN C[C@]1(C#N)CC[N@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001593743413 996367801 /nfs/dbraw/zinc/36/78/01/996367801.db2.gz XOALLGMSTIZQOL-MRXNPFEDSA-N 0 1 300.383 3.335 20 30 DGEDMN C[C@]1(C#N)CC[N@@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001593743413 996367804 /nfs/dbraw/zinc/36/78/04/996367804.db2.gz XOALLGMSTIZQOL-MRXNPFEDSA-N 0 1 300.383 3.335 20 30 DGEDMN C=C[C@@H](COC)[NH2+][C@@H](CC)c1cc(Br)ccc1[O-] ZINC001325786918 1103123895 /nfs/dbraw/zinc/12/38/95/1103123895.db2.gz XYSHKZBVCRDCMI-AAEUAGOBSA-N 0 1 314.223 3.396 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](CC)CCC)[C@H](C)C1 ZINC001102486357 1106063032 /nfs/dbraw/zinc/06/30/32/1106063032.db2.gz ZQOAQLLZEBTDDL-VHDGCEQUSA-N 0 1 300.874 3.249 20 30 DGEDMN O=C(C#CC1CC1)N[C@@H]1CCN(Cc2cc3ccccc3s2)C1 ZINC001266319993 1081662492 /nfs/dbraw/zinc/66/24/92/1081662492.db2.gz WVIULTFNUCDHEO-MRXNPFEDSA-N 0 1 324.449 3.005 20 30 DGEDMN C[N@@H+]1CC=C(Nc2cc(Br)cc(F)c2C#N)CC1 ZINC001208930982 1081669596 /nfs/dbraw/zinc/66/95/96/1081669596.db2.gz WKHIXAMHMDVHJR-UHFFFAOYSA-N 0 1 310.170 3.091 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NCC[N@@H+](C)Cc1cccc(C#N)c1 ZINC001266347580 1081689120 /nfs/dbraw/zinc/68/91/20/1081689120.db2.gz NIPWQCXVYMKDSQ-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001266347580 1081689124 /nfs/dbraw/zinc/68/91/24/1081689124.db2.gz NIPWQCXVYMKDSQ-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC[C@@H](NCc1ncc(C)o1)C(C)C ZINC001282562400 1081699041 /nfs/dbraw/zinc/69/90/41/1081699041.db2.gz OXMKNMYCQYGTLG-OAHLLOKOSA-N 0 1 321.465 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@@H]1CCCC1(F)F)C1CC1 ZINC001266427067 1081789361 /nfs/dbraw/zinc/78/93/61/1081789361.db2.gz UAJFBCUFULAAGB-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C(C1CCC1)C1CCC1)C1CC1 ZINC001266427035 1081789491 /nfs/dbraw/zinc/78/94/91/1081789491.db2.gz TUPHMFQMYPHACH-MRXNPFEDSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccoc1C1CC1)C1CC1 ZINC001266427270 1081790879 /nfs/dbraw/zinc/79/08/79/1081790879.db2.gz VWFPWKLZGCMFNB-AWEZNQCLSA-N 0 1 308.809 3.008 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2ccccn2)CCCC1 ZINC001266440235 1081818947 /nfs/dbraw/zinc/81/89/47/1081818947.db2.gz LRYPOVMSHJACOG-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1c(C)cc(C)cc1C)C1CC1 ZINC001266441454 1081821620 /nfs/dbraw/zinc/82/16/20/1081821620.db2.gz FVNCBKHXOXAQML-MRXNPFEDSA-N 0 1 320.864 3.462 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H]1CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC001266447762 1081832370 /nfs/dbraw/zinc/83/23/70/1081832370.db2.gz ZKTZGSIOIGJBMB-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001266447762 1081832379 /nfs/dbraw/zinc/83/23/79/1081832379.db2.gz ZKTZGSIOIGJBMB-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](CCNCc2cscn2)C1 ZINC001104249966 1081905276 /nfs/dbraw/zinc/90/52/76/1081905276.db2.gz MIDHWHIQAUHGJA-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1ccccc1C)C2 ZINC001109798955 1081915032 /nfs/dbraw/zinc/91/50/32/1081915032.db2.gz AQIQTJLTXHLGMV-NBMJBFSESA-N 0 1 312.457 3.396 20 30 DGEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@](C)(CC)CCC)C2 ZINC001109890452 1081928609 /nfs/dbraw/zinc/92/86/09/1081928609.db2.gz XCQZFYYJLBVEPH-DFEOGRTESA-N 0 1 322.493 3.127 20 30 DGEDMN N#CCc1cc(NC[C@@H](O)c2c(Cl)cccc2Cl)ccn1 ZINC001170032731 1081938225 /nfs/dbraw/zinc/93/82/25/1081938225.db2.gz MLHNGTBZTUQAFO-CQSZACIVSA-N 0 1 322.195 3.022 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)c1ccc(C)cc1)C2 ZINC001110075466 1081960301 /nfs/dbraw/zinc/96/03/01/1081960301.db2.gz XUDSFQHXMKZDME-QYZOEREBSA-N 0 1 324.468 3.017 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccccc2C)CC1 ZINC001112598686 1081970955 /nfs/dbraw/zinc/97/09/55/1081970955.db2.gz XOCKHLUEHFGRSB-UHFFFAOYSA-N 0 1 300.446 3.499 20 30 DGEDMN CCCCCCCN1CCN(C(=O)COC2CCCC2)CC1 ZINC001112637614 1081980466 /nfs/dbraw/zinc/98/04/66/1081980466.db2.gz SQNWODXSDBOPTA-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN C=CCCC(=O)N1CCN(CCCCc2ccccc2)CC1 ZINC001112687551 1081989065 /nfs/dbraw/zinc/98/90/65/1081989065.db2.gz GEZVCRFFLFQTPB-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCC(F)(F)F)CC1 ZINC001112747554 1081996553 /nfs/dbraw/zinc/99/65/53/1081996553.db2.gz QOIYCQAETMUXEK-UHFFFAOYSA-N 0 1 306.372 3.220 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(CC[C@@H]2CCC[C@H](C)C2)CC1 ZINC001112834457 1082015079 /nfs/dbraw/zinc/01/50/79/1082015079.db2.gz OTIKLLYZDULJTA-ROUUACIJSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001112817284 1082015318 /nfs/dbraw/zinc/01/53/18/1082015318.db2.gz DSXQYHAIOOECRC-UHFFFAOYSA-N 0 1 303.450 3.081 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2nc(C(C)C)oc2C)CC1 ZINC001112849935 1082023271 /nfs/dbraw/zinc/02/32/71/1082023271.db2.gz OXDUXZBCBBGNII-CQSZACIVSA-N 0 1 319.449 3.219 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccncc2C)CC1 ZINC001112857124 1082027227 /nfs/dbraw/zinc/02/72/27/1082027227.db2.gz YLIYJFYFYBWIIU-UHFFFAOYSA-N 0 1 317.477 3.047 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1CCN(CCCCCCC)CC1 ZINC001112864479 1082028349 /nfs/dbraw/zinc/02/83/49/1082028349.db2.gz MCHXQBMPYHMZQF-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCCO[C@H]2CC)CC1 ZINC001112940172 1082058387 /nfs/dbraw/zinc/05/83/87/1082058387.db2.gz XSAZTDHTSIKLLT-MSOLQXFVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](CC)CC(F)F)CC1 ZINC001112954958 1082061420 /nfs/dbraw/zinc/06/14/20/1082061420.db2.gz MYODPJQKGSWLQP-KBPBESRZSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(CCCC(C)(C)C)CC1 ZINC001112967267 1082068093 /nfs/dbraw/zinc/06/80/93/1082068093.db2.gz HASPLJGCCNXMFL-UHFFFAOYSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cccn2CC=C)CC1 ZINC001112967257 1082068202 /nfs/dbraw/zinc/06/82/02/1082068202.db2.gz GOONCOMAKYPJRI-UHFFFAOYSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(F)cc3ccoc32)CC1 ZINC001113049067 1082101110 /nfs/dbraw/zinc/10/11/10/1082101110.db2.gz CCGGVLVIEPOHSF-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN COc1cccc(OC)c1/C=C\C(=O)c1ccc(O)cc1O ZINC000588386710 1082101626 /nfs/dbraw/zinc/10/16/26/1082101626.db2.gz ZDOIICAEBFFCKD-HJWRWDBZSA-N 0 1 300.310 3.011 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cccc(C)c2)C1 ZINC001086573488 1082105627 /nfs/dbraw/zinc/10/56/27/1082105627.db2.gz XQNVBFCYYGAPHR-VQTJNVASSA-N 0 1 320.436 3.379 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(C)oc(C)c2C)CC1 ZINC001113081005 1082109462 /nfs/dbraw/zinc/10/94/62/1082109462.db2.gz GUQQLSBIWHYSQI-CYBMUJFWSA-N 0 1 304.434 3.317 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H]2CC(C)(C)CO2)CC1 ZINC001113093931 1082113371 /nfs/dbraw/zinc/11/33/71/1082113371.db2.gz KISKNWPCQYKNAP-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)CC(F)F)CC1 ZINC001113125636 1082120618 /nfs/dbraw/zinc/12/06/18/1082120618.db2.gz QTPIKOMIKVTWNB-AWEZNQCLSA-N 0 1 302.409 3.168 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(C[C@@H](C)CC(C)C)CC1 ZINC001113164571 1082130119 /nfs/dbraw/zinc/13/01/19/1082130119.db2.gz SJNQZKZRNMUAKA-PKOBYXMFSA-N 0 1 324.509 3.042 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001266672078 1082131910 /nfs/dbraw/zinc/13/19/10/1082131910.db2.gz LWJOZJRVBBKYLE-RWMBFGLXSA-N 0 1 308.372 3.229 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2coc(C(F)F)c2)CC1 ZINC001113259462 1082151152 /nfs/dbraw/zinc/15/11/52/1082151152.db2.gz DMNQSTBKVYXCPD-LBPRGKRZSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001266708205 1082159805 /nfs/dbraw/zinc/15/98/05/1082159805.db2.gz OXBWHKZPCZMZIG-SOUVJXGZSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCCCC(=O)N1CCN(CC2CCC(F)(F)CC2)CC1 ZINC001113283847 1082163694 /nfs/dbraw/zinc/16/36/94/1082163694.db2.gz VYFQXTNWIWXJEK-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3[nH]ccc3c2)CC1 ZINC001113275804 1082166027 /nfs/dbraw/zinc/16/60/27/1082166027.db2.gz ZSRBCVVAHDIBGP-HNNXBMFYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H](C)c2cccc(F)c2)CC1 ZINC001113330970 1082179552 /nfs/dbraw/zinc/17/95/52/1082179552.db2.gz AOQPJXBPSKVMKJ-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc[nH]c2C2CCC2)CC1 ZINC001113344240 1082182443 /nfs/dbraw/zinc/18/24/43/1082182443.db2.gz PQJUROKGZHFOHH-HNNXBMFYSA-N 0 1 315.461 3.395 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001266751560 1082188764 /nfs/dbraw/zinc/18/87/64/1082188764.db2.gz HHLGLXLIRVOKPJ-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2cccc(C)c2)CC1 ZINC001113387559 1082190188 /nfs/dbraw/zinc/19/01/88/1082190188.db2.gz GGNBAXNKJHPREW-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC001113386618 1082190248 /nfs/dbraw/zinc/19/02/48/1082190248.db2.gz OATUYOJATTUESQ-DOTOQJQBSA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001113390443 1082193477 /nfs/dbraw/zinc/19/34/77/1082193477.db2.gz CCDHDUQJLJNCGI-AWEZNQCLSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(Cl)c(OC)c2)CC1 ZINC001113403981 1082199313 /nfs/dbraw/zinc/19/93/13/1082199313.db2.gz VIMZNEZQSVSQMB-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCN(CCCCCC)CC1 ZINC001113417447 1082209202 /nfs/dbraw/zinc/20/92/02/1082209202.db2.gz GZPJPDWLSGPTIY-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H](C)c2ccccc2F)CC1 ZINC001113434371 1082212329 /nfs/dbraw/zinc/21/23/29/1082212329.db2.gz CVCFNTTVYNUZCZ-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)Cc2ccccc2)CC1 ZINC001113500815 1082234804 /nfs/dbraw/zinc/23/48/04/1082234804.db2.gz LPDQIGBAGZYRRU-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCO[C@H](CC)C2)CC1 ZINC001113502728 1082235907 /nfs/dbraw/zinc/23/59/07/1082235907.db2.gz NXDBCTZOBDFWHU-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccoc2CCC)CC1 ZINC001113534872 1082243541 /nfs/dbraw/zinc/24/35/41/1082243541.db2.gz XHFAFSZYXDCRRD-HNNXBMFYSA-N 0 1 304.434 3.345 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccoc2CCC)CC1 ZINC001113534873 1082243830 /nfs/dbraw/zinc/24/38/30/1082243830.db2.gz XHFAFSZYXDCRRD-OAHLLOKOSA-N 0 1 304.434 3.345 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2cc(C)ccc2F)CC1 ZINC001266828229 1082246769 /nfs/dbraw/zinc/24/67/69/1082246769.db2.gz RKGXNLCKJHZYRS-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](CC)CC(F)(F)F)CC1 ZINC001113550829 1082248203 /nfs/dbraw/zinc/24/82/03/1082248203.db2.gz QHYIVMNYNNSSCH-ZDUSSCGKSA-N 0 1 306.372 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2cccc(Cl)c2F)C1 ZINC001266831900 1082252271 /nfs/dbraw/zinc/25/22/71/1082252271.db2.gz PPMKFDBSMFOZGJ-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC001113574457 1082254694 /nfs/dbraw/zinc/25/46/94/1082254694.db2.gz KIHUZHDCPNQXNW-UHFFFAOYSA-N 0 1 318.461 3.423 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1cccc(OC)c1 ZINC001266836945 1082255218 /nfs/dbraw/zinc/25/52/18/1082255218.db2.gz FYPYCMQQINPZLQ-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC001113610131 1082263236 /nfs/dbraw/zinc/26/32/36/1082263236.db2.gz KPBYYLZNXWRJEX-ZDUSSCGKSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001266850089 1082264080 /nfs/dbraw/zinc/26/40/80/1082264080.db2.gz UGYVTSWFVNAWMD-OALUTQOASA-N 0 1 312.457 3.337 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(CCC(=C)C)CC1)c1ccccc1 ZINC001113663027 1082274230 /nfs/dbraw/zinc/27/42/30/1082274230.db2.gz UBDWUWMAMHKVKB-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](CC=C)c2ccccc2)CC1 ZINC001113662775 1082274435 /nfs/dbraw/zinc/27/44/35/1082274435.db2.gz IOHHJFGGPSJMFZ-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)N1CCN(CCCC)CC1 ZINC001113662758 1082274618 /nfs/dbraw/zinc/27/46/18/1082274618.db2.gz HINUSDPFOJBXIS-IBGZPJMESA-N 0 1 314.473 3.366 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCCC[C@@H]2OC)CC1 ZINC001113703867 1082285562 /nfs/dbraw/zinc/28/55/62/1082285562.db2.gz QKBMHZRXDPCWDR-ROUUACIJSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)C2CCOCC2)CC1 ZINC001113745066 1082294065 /nfs/dbraw/zinc/29/40/65/1082294065.db2.gz VVGQUJPFRPFPFV-QGZVFWFLSA-N 0 1 324.509 3.164 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2ccc(C)s2)CC1 ZINC001113745455 1082294324 /nfs/dbraw/zinc/29/43/24/1082294324.db2.gz CLZXYKGNGMLTLD-UHFFFAOYSA-N 0 1 320.502 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@@H](C)c1ccco1 ZINC001266881446 1082299037 /nfs/dbraw/zinc/29/90/37/1082299037.db2.gz WDYGKWLMDZEVLM-HIFRSBDPSA-N 0 1 324.852 3.496 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1ccccc1C ZINC001266885039 1082300802 /nfs/dbraw/zinc/30/08/02/1082300802.db2.gz HGMJEDGUNQINRB-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001266935064 1082357385 /nfs/dbraw/zinc/35/73/85/1082357385.db2.gz GEHBCVDMNYUVCF-HZPDHXFCSA-N 0 1 318.436 3.098 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001266935065 1082357464 /nfs/dbraw/zinc/35/74/64/1082357464.db2.gz GEHBCVDMNYUVCF-JKSUJKDBSA-N 0 1 318.436 3.098 20 30 DGEDMN C[C@H](CNC(=O)C1CCC2(CC2)CC1)NCC#Cc1ccccc1 ZINC001266954668 1082370479 /nfs/dbraw/zinc/37/04/79/1082370479.db2.gz VXVONXSSCXINDA-QGZVFWFLSA-N 0 1 324.468 3.103 20 30 DGEDMN COc1ccc2c(c1)C[C@H](Nc1c[nH]c3c(C#N)cnc-3c1)CC2 ZINC001170413262 1082371921 /nfs/dbraw/zinc/37/19/21/1082371921.db2.gz MBSNDMCRRBRWMI-OAHLLOKOSA-N 0 1 318.380 3.413 20 30 DGEDMN C=CCCCC(=O)NCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001480906412 1082376807 /nfs/dbraw/zinc/37/68/07/1082376807.db2.gz VTTDKUITWOLAJZ-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001266963234 1082380747 /nfs/dbraw/zinc/38/07/47/1082380747.db2.gz BTTMREREMOPNOY-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@@H](CNC(=O)C1(C)CCCCC1)NCc1ccccc1C#N ZINC001266967679 1082386560 /nfs/dbraw/zinc/38/65/60/1082386560.db2.gz JGEXOBGCKWETFH-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2cccc(F)c2F)CC1 ZINC001266969061 1082388392 /nfs/dbraw/zinc/38/83/92/1082388392.db2.gz ZGVFVRFSIXJMCN-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC[C@H](C)c1ccccc1 ZINC001266974196 1082392059 /nfs/dbraw/zinc/39/20/59/1082392059.db2.gz CEZQPWYVZRSESE-DZGCQCFKSA-N 0 1 308.853 3.417 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001266975158 1082393543 /nfs/dbraw/zinc/39/35/43/1082393543.db2.gz KXIZRHSAAMGHOT-LSDHHAIUSA-N 0 1 320.864 3.078 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2cncs2)CCCCC1 ZINC001266977653 1082395904 /nfs/dbraw/zinc/39/59/04/1082395904.db2.gz BSASCTUMBRYHIL-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(C)cc3Cl)C[C@H]21 ZINC001114025499 1082400012 /nfs/dbraw/zinc/40/00/12/1082400012.db2.gz LIQXTZIQEROOFP-HWWDLCQESA-N 0 1 318.848 3.161 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001266983715 1082404660 /nfs/dbraw/zinc/40/46/60/1082404660.db2.gz QQGDMKLSMAOQHM-MJGOQNOKSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2nc(C)sc2c1 ZINC001266984540 1082405595 /nfs/dbraw/zinc/40/55/95/1082405595.db2.gz WNIVLLWXYGMRBI-SNVBAGLBSA-N 0 1 323.849 3.065 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1sc(C(C)C)nc1C ZINC001266994150 1082416236 /nfs/dbraw/zinc/41/62/36/1082416236.db2.gz SBCPEUBPOUUEHZ-JTQLQIEISA-N 0 1 315.870 3.035 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001267008900 1082427006 /nfs/dbraw/zinc/42/70/06/1082427006.db2.gz IUYCJKGVFLVAED-KBPBESRZSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(-c2cccs2)o1 ZINC001267023232 1082441133 /nfs/dbraw/zinc/44/11/33/1082441133.db2.gz BKSBINCXOKQNCO-UHFFFAOYSA-N 0 1 324.833 3.422 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@H](NCc2nc(C)oc2C)C1 ZINC001267086598 1082492177 /nfs/dbraw/zinc/49/21/77/1082492177.db2.gz POEMIVKCURAIDK-JKSUJKDBSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001267098540 1082508321 /nfs/dbraw/zinc/50/83/21/1082508321.db2.gz CEMJLCCZXGDLIB-LBPRGKRZSA-N 0 1 315.244 3.244 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001267099367 1082509166 /nfs/dbraw/zinc/50/91/66/1082509166.db2.gz AETAQXGZQWMEAR-HKUYNNGSSA-N 0 1 312.457 3.007 20 30 DGEDMN CN1C(=O)CCc2cc(/C=C\C(=O)c3ccccc3O)ccc21 ZINC000588508665 1082511491 /nfs/dbraw/zinc/51/14/91/1082511491.db2.gz CAWBZHMZWHRDBL-YFHOEESVSA-N 0 1 307.349 3.197 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccccc1CC(C)(C)C ZINC001130058510 1082522505 /nfs/dbraw/zinc/52/25/05/1082522505.db2.gz DFORVOBTGOJWIJ-UHFFFAOYSA-N 0 1 308.853 3.347 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001131156188 1082649598 /nfs/dbraw/zinc/64/95/98/1082649598.db2.gz BKKMCWMTJPTWMS-JKSUJKDBSA-N 0 1 306.837 3.029 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1CN(C)C[C@@H](F)CC ZINC001158358210 1082674708 /nfs/dbraw/zinc/67/47/08/1082674708.db2.gz YCTKXSFAZHKCML-DLBZAZTESA-N 0 1 310.457 3.241 20 30 DGEDMN CC[C@H](C)CC(=O)NCCN(CC)Cc1ccc(C#N)c(F)c1 ZINC001267240455 1082687925 /nfs/dbraw/zinc/68/79/25/1082687925.db2.gz MIVCVTCVIJXLIW-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131751992 1082718456 /nfs/dbraw/zinc/71/84/56/1082718456.db2.gz OEKCAFBEBUDDNT-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](CC)CC1CCCC1 ZINC001267279055 1082740844 /nfs/dbraw/zinc/74/08/44/1082740844.db2.gz WGRKJKBGBWZORY-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(CCc2ccccc2)CC1 ZINC001267282564 1082746080 /nfs/dbraw/zinc/74/60/80/1082746080.db2.gz FGCFVZFQRPIIQV-LJQANCHMSA-N 0 1 324.468 3.003 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ncc(C)cc1C)C(C)C ZINC001267295338 1082758336 /nfs/dbraw/zinc/75/83/36/1082758336.db2.gz URSMNEQBNJMTBV-UHFFFAOYSA-N 0 1 323.868 3.281 20 30 DGEDMN CC[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1c(C)noc1C ZINC001131906389 1082759215 /nfs/dbraw/zinc/75/92/15/1082759215.db2.gz DVHIMWQPPAWCIB-GFCCVEGCSA-N 0 1 323.356 3.172 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3cccc(F)c3)[nH]c2c1 ZINC001131906493 1082760069 /nfs/dbraw/zinc/76/00/69/1082760069.db2.gz JCDHCSACEIEHPD-FNORWQNLSA-N 0 1 306.300 3.226 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C#CC2CC2)CN1C/C=C\c1ccccc1 ZINC001132045762 1082806394 /nfs/dbraw/zinc/80/63/94/1082806394.db2.gz IJLQMYHQZRISOG-REAYAIGQSA-N 0 1 322.452 3.082 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@@H]1C ZINC001132111030 1082820772 /nfs/dbraw/zinc/82/07/72/1082820772.db2.gz NXRDQDOYJKIQGZ-PKOBYXMFSA-N 0 1 324.468 3.002 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCc2ccsc2)CC[C@H]1C ZINC001132114793 1082822490 /nfs/dbraw/zinc/82/24/90/1082822490.db2.gz IKXWTQHKYUQAQV-WBVHZDCISA-N 0 1 318.486 3.063 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2cnccc2C)C1 ZINC001132218607 1082844733 /nfs/dbraw/zinc/84/47/33/1082844733.db2.gz SPWUEZIDNLGHKK-RDJZCZTQSA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC12CCCC2)c1ccccc1 ZINC001267377940 1082848069 /nfs/dbraw/zinc/84/80/69/1082848069.db2.gz UVHWZAYQLCMXIN-ROUUACIJSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cncc(C)c2)C1 ZINC001132257885 1082861461 /nfs/dbraw/zinc/86/14/61/1082861461.db2.gz ZUZSGWXLWJUSEU-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2c([nH]1)c(F)ccc2C ZINC001267395500 1082868655 /nfs/dbraw/zinc/86/86/55/1082868655.db2.gz SHVVCHKWSNMJFW-UHFFFAOYSA-N 0 1 323.799 3.030 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132301617 1082870856 /nfs/dbraw/zinc/87/08/56/1082870856.db2.gz CEFYDSIZMNMHLB-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2sc(C)cc2C)C1 ZINC001267459789 1082899800 /nfs/dbraw/zinc/89/98/00/1082899800.db2.gz NBNJSHYHJFKXKQ-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C#CCCCCCC(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001132407905 1082902385 /nfs/dbraw/zinc/90/23/85/1082902385.db2.gz SVOGHRLBORLKRD-CQSZACIVSA-N 0 1 322.399 3.315 20 30 DGEDMN C#CCCCCCC(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001132407904 1082902888 /nfs/dbraw/zinc/90/28/88/1082902888.db2.gz SVOGHRLBORLKRD-AWEZNQCLSA-N 0 1 322.399 3.315 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCCC2CCCCC2)CC[C@H]1C ZINC001132461532 1082917112 /nfs/dbraw/zinc/91/71/12/1082917112.db2.gz QJOSYFBPHMMXEB-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC)CCCCC2)CC[C@@H]1C ZINC001132509485 1082930778 /nfs/dbraw/zinc/93/07/78/1082930778.db2.gz VWYFYTZZZQTPFU-IRXDYDNUSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132572795 1082949401 /nfs/dbraw/zinc/94/94/01/1082949401.db2.gz GFDNJCVFBAHQBK-STQMWFEESA-N 0 1 324.852 3.013 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1csc(C)c1C ZINC001283619405 1082965230 /nfs/dbraw/zinc/96/52/30/1082965230.db2.gz PCVCYNXDYOTRCW-SNVBAGLBSA-N 0 1 300.855 3.168 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C#CC(C)(C)C)c1ccc(C(C)C)cc1 ZINC001267512348 1082972469 /nfs/dbraw/zinc/97/24/69/1082972469.db2.gz IFZULYJHFYTETM-IBGZPJMESA-N 0 1 324.468 3.240 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1nsc2ccccc12 ZINC001132659894 1082973406 /nfs/dbraw/zinc/97/34/06/1082973406.db2.gz FUCMUNOMEWSKJW-CYBMUJFWSA-N 0 1 317.458 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cccc(OC)c1Cl ZINC001132660223 1082973574 /nfs/dbraw/zinc/97/35/74/1082973574.db2.gz KTGAKZJXWVYLNR-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132663148 1082974835 /nfs/dbraw/zinc/97/48/35/1082974835.db2.gz QGPTYPPPDSLGMY-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1ccc(Cl)cc1F ZINC001132667580 1082976046 /nfs/dbraw/zinc/97/60/46/1082976046.db2.gz OEMWAYQAJCCLMJ-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001132671450 1082976971 /nfs/dbraw/zinc/97/69/71/1082976971.db2.gz RYHMSHRDTBNECT-STQMWFEESA-N 0 1 310.388 3.334 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)C(C)C)c1ccc(C(C)C)cc1 ZINC001267517662 1082977076 /nfs/dbraw/zinc/97/70/76/1082977076.db2.gz NFEPBKUAWVYMCJ-VQIMIIECSA-N 0 1 314.473 3.482 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C(C)C)oc1C)c1ccccc1 ZINC001267524859 1082985211 /nfs/dbraw/zinc/98/52/11/1082985211.db2.gz GIUSYIZODLEZIG-SFHVURJKSA-N 0 1 324.424 3.405 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H]1CCN(Cc2nc(C)cs2)C1 ZINC001267535824 1082997675 /nfs/dbraw/zinc/99/76/75/1082997675.db2.gz GLCVZXADKIUGQU-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](F)c2ccccc2)C1 ZINC001267547599 1083017454 /nfs/dbraw/zinc/01/74/54/1083017454.db2.gz PTGQDTXHBVAZHD-IAGOWNOFSA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(F)cc(F)c2)C1 ZINC001267549591 1083020420 /nfs/dbraw/zinc/02/04/20/1083020420.db2.gz YQKGXRMYGIQXQH-MRXNPFEDSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNCc1ncoc1C(C)C ZINC001158747010 1083021125 /nfs/dbraw/zinc/02/11/25/1083021125.db2.gz QSXXFOJJIVWHPE-UHFFFAOYSA-N 0 1 319.449 3.162 20 30 DGEDMN CCCC(C)(C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001133176301 1083066266 /nfs/dbraw/zinc/06/62/66/1083066266.db2.gz SUKJAWVKIWWERC-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001481003322 1083071338 /nfs/dbraw/zinc/07/13/38/1083071338.db2.gz CWVIUVIMLNAUEV-SFHVURJKSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccsc2C)C1 ZINC001267581741 1083093522 /nfs/dbraw/zinc/09/35/22/1083093522.db2.gz DLNHIRQAPZYBIT-ZDUSSCGKSA-N 0 1 312.866 3.203 20 30 DGEDMN CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001481026684 1083097847 /nfs/dbraw/zinc/09/78/47/1083097847.db2.gz RXQGEPWHXXQHKK-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2cccc(C(C)C)n2)CC1 ZINC001133368788 1083107011 /nfs/dbraw/zinc/10/70/11/1083107011.db2.gz HKPQELUFTDKVAT-UHFFFAOYSA-N 0 1 315.461 3.157 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2cc(C)ccc2F)CC1 ZINC001133373546 1083108005 /nfs/dbraw/zinc/10/80/05/1083108005.db2.gz BCAFFHAJUYMWDJ-UHFFFAOYSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](CN(C)CC(=C)Cl)C2)CCC1 ZINC001267588050 1083108373 /nfs/dbraw/zinc/10/83/73/1083108373.db2.gz FCPXSRNSOVIIQX-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001133380333 1083110972 /nfs/dbraw/zinc/11/09/72/1083110972.db2.gz DIZGCMKDKYEVRJ-KRWDZBQOSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001133383249 1083111276 /nfs/dbraw/zinc/11/12/76/1083111276.db2.gz MCNLPNJYUWLJPU-YVEFUNNKSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(C)c(C)c2)C1 ZINC001267601653 1083142540 /nfs/dbraw/zinc/14/25/40/1083142540.db2.gz PJICKCTXVTVPSO-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](CC)CCCCC)C1 ZINC001111999488 1083149888 /nfs/dbraw/zinc/14/98/88/1083149888.db2.gz LOWXVXUXNYJWIS-LNLFQRSKSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2cccc(F)c2Cl)C1 ZINC001133564093 1083151808 /nfs/dbraw/zinc/15/18/08/1083151808.db2.gz IZILZYHXTJPFRS-UHFFFAOYSA-N 0 1 310.800 3.041 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481108742 1083182040 /nfs/dbraw/zinc/18/20/40/1083182040.db2.gz CZVIIADPQHSUQN-FPOVZHCZSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1ncc(C(C)C)o1 ZINC001133884149 1083205515 /nfs/dbraw/zinc/20/55/15/1083205515.db2.gz LWOFIDOMNGMDBE-UONOGXRCSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(CCC)n1 ZINC001133887983 1083209723 /nfs/dbraw/zinc/20/97/23/1083209723.db2.gz DRRWMILFSWGRHM-UONOGXRCSA-N 0 1 323.506 3.435 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001481154734 1083215526 /nfs/dbraw/zinc/21/55/26/1083215526.db2.gz PZKKVZPEFCKLNN-UHFFFAOYSA-N 0 1 323.440 3.147 20 30 DGEDMN C=CC[N@H+](CCNC(=O)c1ccc(F)c(C(F)F)c1)C1CC1 ZINC001481171107 1083222892 /nfs/dbraw/zinc/22/28/92/1083222892.db2.gz YKFLXXACGXYQLM-UHFFFAOYSA-N 0 1 312.335 3.144 20 30 DGEDMN CC#CCN(CCNC(=O)CC/C=C\c1ccccc1)C1CC1 ZINC001481186634 1083231555 /nfs/dbraw/zinc/23/15/55/1083231555.db2.gz XOOBTQMQUZTDGJ-XFFZJAGNSA-N 0 1 310.441 3.084 20 30 DGEDMN CCCCCCC[NH2+][C@@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001134161036 1083248373 /nfs/dbraw/zinc/24/83/73/1083248373.db2.gz TWQAKUQQEIUGGX-LSDHHAIUSA-N 0 1 321.465 3.244 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001267656530 1083249082 /nfs/dbraw/zinc/24/90/82/1083249082.db2.gz OSPNVRGFJKFZPF-HNNXBMFYSA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC[C@H]2CCC[C@@H](C)C2)C1 ZINC001267656768 1083249472 /nfs/dbraw/zinc/24/94/72/1083249472.db2.gz XAXHQLJMDZEFLB-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001267661957 1083251369 /nfs/dbraw/zinc/25/13/69/1083251369.db2.gz MYCQNJBCCPVDOR-HNNXBMFYSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3ccncc32)C1 ZINC001267663690 1083251990 /nfs/dbraw/zinc/25/19/90/1083251990.db2.gz SSELSFGSXNCQOL-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCCCCC[NH2+][C@@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001134193021 1083253970 /nfs/dbraw/zinc/25/39/70/1083253970.db2.gz LNLBLPMFTRXKBR-GJZGRUSLSA-N 0 1 319.449 3.020 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H](CN(C)CC(=C)Cl)C1 ZINC001267671795 1083256964 /nfs/dbraw/zinc/25/69/64/1083256964.db2.gz WQBHNAPZJMGLJP-INIZCTEOSA-N 0 1 310.869 3.103 20 30 DGEDMN C=CCCC(=O)NCC1CN(C/C=C\c2ccc(Cl)cc2)C1 ZINC001267676621 1083259527 /nfs/dbraw/zinc/25/95/27/1083259527.db2.gz NQQRXGMMLFWTRO-PLNGDYQASA-N 0 1 318.848 3.367 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001267698197 1083270263 /nfs/dbraw/zinc/27/02/63/1083270263.db2.gz ZDNBMFGIQQWQJD-SFHVURJKSA-N 0 1 312.457 3.121 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)ccc1C ZINC001267704612 1083273277 /nfs/dbraw/zinc/27/32/77/1083273277.db2.gz CAHTUHSGVWVLAN-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN Cc1cccc([C@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)(C)C)c1 ZINC001267706527 1083274066 /nfs/dbraw/zinc/27/40/66/1083274066.db2.gz NGMIKBVGBOGQJI-WDSOQIARSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC[C@@H](C)c1ccccc1 ZINC001267713578 1083278128 /nfs/dbraw/zinc/27/81/28/1083278128.db2.gz QGXATYAHEVRVTH-NEWSRXKRSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)s1 ZINC001267717053 1083280385 /nfs/dbraw/zinc/28/03/85/1083280385.db2.gz WDGJZDXYZIJSPZ-AVGNSLFASA-N 0 1 308.422 3.141 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001134410245 1083285271 /nfs/dbraw/zinc/28/52/71/1083285271.db2.gz KVHUJKGQSGWSAC-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001134411870 1083285308 /nfs/dbraw/zinc/28/53/08/1083285308.db2.gz JQPBVOKRYASKLG-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@@H](C)NCc1ncc(CC)o1 ZINC001134453746 1083294726 /nfs/dbraw/zinc/29/47/26/1083294726.db2.gz KWZZJIMNWQJMQZ-ZIAGYGMSSA-N 0 1 321.465 3.212 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001267750961 1083318368 /nfs/dbraw/zinc/31/83/68/1083318368.db2.gz LDMZTLMJNRZSMA-QZTJIDSGSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1oc(C(C)C)nc1C ZINC001481265175 1083341978 /nfs/dbraw/zinc/34/19/78/1083341978.db2.gz CUIYTFYDZQUJOK-UHFFFAOYSA-N 0 1 321.465 3.257 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001267783854 1083345500 /nfs/dbraw/zinc/34/55/00/1083345500.db2.gz MARPMYIAEDKZNN-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001267793453 1083351759 /nfs/dbraw/zinc/35/17/59/1083351759.db2.gz INHXVPCVIPRZIP-HIFRSBDPSA-N 0 1 322.399 3.177 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001267799521 1083354070 /nfs/dbraw/zinc/35/40/70/1083354070.db2.gz LXTGGNRIUQNYBV-GUYCJALGSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001267799519 1083354226 /nfs/dbraw/zinc/35/42/26/1083354226.db2.gz LXTGGNRIUQNYBV-CXAGYDPISA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1ccncc1Cl ZINC001267807926 1083358352 /nfs/dbraw/zinc/35/83/52/1083358352.db2.gz HOCYQFGMJVIHIW-CQSZACIVSA-N 0 1 309.841 3.076 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cnc(C(C)C)s1 ZINC001267830528 1083404120 /nfs/dbraw/zinc/40/41/20/1083404120.db2.gz SNJRSIADNSBZCA-LLVKDONJSA-N 0 1 315.870 3.117 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001493364666 1083430951 /nfs/dbraw/zinc/43/09/51/1083430951.db2.gz YXYVFORRXRYVEB-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(Cl)ccc2F)C1 ZINC001181510710 1083481877 /nfs/dbraw/zinc/48/18/77/1083481877.db2.gz ATVUEVITDVRKAP-CQSZACIVSA-N 0 1 310.800 3.136 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001181542730 1083487819 /nfs/dbraw/zinc/48/78/19/1083487819.db2.gz AVESHAYCYULPIL-CHWSQXEVSA-N 0 1 308.372 3.183 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1cc(C)ccc1F ZINC001481277722 1083511699 /nfs/dbraw/zinc/51/16/99/1083511699.db2.gz VLSJJHPBYIQMJS-GOSISDBHSA-N 0 1 306.425 3.284 20 30 DGEDMN CCCCN(CC)[C@H](C(=O)N/C(C)=C\C(C)=O)c1ccccc1 ZINC001182238040 1083660100 /nfs/dbraw/zinc/66/01/00/1083660100.db2.gz ZXRHEYDQLDBPOK-OUFNUQFJSA-N 0 1 316.445 3.459 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001481288839 1083675296 /nfs/dbraw/zinc/67/52/96/1083675296.db2.gz LUHAHPQPRQXURY-KRWDZBQOSA-N 0 1 322.880 3.364 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC1C2CC3CC(C2)CC1C3 ZINC001481318814 1083759712 /nfs/dbraw/zinc/75/97/12/1083759712.db2.gz KDXPWLJYDVTSNM-UHFFFAOYSA-N 0 1 324.896 3.249 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C)c1ccccc1 ZINC001481367435 1083827660 /nfs/dbraw/zinc/82/76/60/1083827660.db2.gz SDVKQRGCQDXSCK-KSZLIROESA-N 0 1 312.457 3.139 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(CCC)cc1)c1ccccc1 ZINC001481368785 1083829124 /nfs/dbraw/zinc/82/91/24/1083829124.db2.gz ISANSOHPYUYQIC-HXUWFJFHSA-N 0 1 320.436 3.333 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1occc1Cl)c1ccccc1 ZINC001481369392 1083832668 /nfs/dbraw/zinc/83/26/68/1083832668.db2.gz PCTWRLTVMYGFFH-OAHLLOKOSA-N 0 1 316.788 3.017 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@H]2C=CCCC2)C1 ZINC001481400004 1083867160 /nfs/dbraw/zinc/86/71/60/1083867160.db2.gz CQXLSQRVVSGWBX-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cccc(Cl)c2)C1 ZINC001481406554 1083876152 /nfs/dbraw/zinc/87/61/52/1083876152.db2.gz UKBDGPFDBFSLEK-QGZVFWFLSA-N 0 1 320.864 3.381 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001481411058 1083881668 /nfs/dbraw/zinc/88/16/68/1083881668.db2.gz UIDLGWVKXVISLO-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC001481431755 1083907967 /nfs/dbraw/zinc/90/79/67/1083907967.db2.gz LAAJBFAMLQQHFS-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2=CCCCCC2)C1 ZINC001481485106 1083997867 /nfs/dbraw/zinc/99/78/67/1083997867.db2.gz WKDMZCZHVSFWAQ-HNNXBMFYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001268053829 1084045404 /nfs/dbraw/zinc/04/54/04/1084045404.db2.gz IZTFIMQIOAWPJO-ZDUSSCGKSA-N 0 1 323.506 3.343 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc(Cl)c2C)C1 ZINC001481561476 1084148099 /nfs/dbraw/zinc/14/80/99/1084148099.db2.gz OZDGQPJTESZMAY-LBPRGKRZSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NC1CN(CC2CCCCCC2)C1)OCC ZINC001481563836 1084149558 /nfs/dbraw/zinc/14/95/58/1084149558.db2.gz VCHCSDDFJRQYQN-GOSISDBHSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001481575995 1084156550 /nfs/dbraw/zinc/15/65/50/1084156550.db2.gz WVXYHOWCBPYLLZ-CQSZACIVSA-N 0 1 313.445 3.036 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1CCC1(C)C ZINC001268109075 1084180799 /nfs/dbraw/zinc/18/07/99/1084180799.db2.gz BTJMPUDSMMHYIE-KGLIPLIRSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1CCC1(C)C ZINC001268109077 1084181103 /nfs/dbraw/zinc/18/11/03/1084181103.db2.gz BTJMPUDSMMHYIE-ZIAGYGMSSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001481584874 1084220789 /nfs/dbraw/zinc/22/07/89/1084220789.db2.gz HYRNCZDQGQJWRF-LLVKDONJSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCCc2cccs2)C1 ZINC001481600626 1084242092 /nfs/dbraw/zinc/24/20/92/1084242092.db2.gz PZZZOMBRBTTWAR-CQSZACIVSA-N 0 1 306.475 3.226 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2(C)CCC2)C1 ZINC001087434853 1084255706 /nfs/dbraw/zinc/25/57/06/1084255706.db2.gz IOLFXUSRGJXGIR-QZTJIDSGSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001087435520 1084261151 /nfs/dbraw/zinc/26/11/51/1084261151.db2.gz SJPWTPKCZMDRQI-LCWAXJCOSA-N 0 1 324.468 3.193 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2n[nH]c3ncc(Br)cc23)C1 ZINC001184780538 1084265388 /nfs/dbraw/zinc/26/53/88/1084265388.db2.gz SFOPGUWOBTXQKZ-UHFFFAOYSA-N 0 1 321.178 3.015 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2CC3(CC3)C2)C1 ZINC001087436831 1084275657 /nfs/dbraw/zinc/27/56/57/1084275657.db2.gz NCAQXGBSYHARIU-RTBURBONSA-N 0 1 324.468 3.337 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cccc(F)c1 ZINC001268179237 1084288012 /nfs/dbraw/zinc/28/80/12/1084288012.db2.gz IRNVLNJTFISQSR-WBMJQRKESA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001185056403 1084323630 /nfs/dbraw/zinc/32/36/30/1084323630.db2.gz LUUOXHJRSBMHLR-DZGCQCFKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)Cc1cc(C)ccc1OC ZINC001268239505 1084389057 /nfs/dbraw/zinc/38/90/57/1084389057.db2.gz OXKALFKKINZZKZ-UHFFFAOYSA-N 0 1 318.461 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCCc2ccccc2)C1 ZINC001185289762 1084405416 /nfs/dbraw/zinc/40/54/16/1084405416.db2.gz SWTJJPRMURNWDL-QGZVFWFLSA-N 0 1 320.864 3.342 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cccc(C(C)C)n2)C1 ZINC001185296822 1084408493 /nfs/dbraw/zinc/40/84/93/1084408493.db2.gz SXAMQXQSTHNJBL-QGZVFWFLSA-N 0 1 315.461 3.252 20 30 DGEDMN C#CCCCC(=O)NCCNCc1cccc(Cl)c1Cl ZINC001126338652 1084453229 /nfs/dbraw/zinc/45/32/29/1084453229.db2.gz FJHHZUMBDCTVQH-UHFFFAOYSA-N 0 1 313.228 3.003 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@@H](NCC=C(Cl)Cl)C2)CC1 ZINC001185487241 1084453386 /nfs/dbraw/zinc/45/33/86/1084453386.db2.gz XNTJQUGMODIRRG-GFCCVEGCSA-N 0 1 317.260 3.242 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2csc(C#N)c2)ccc1Cl ZINC001185500011 1084457279 /nfs/dbraw/zinc/45/72/79/1084457279.db2.gz VGASKEZLOGBZQS-UHFFFAOYSA-N 0 1 312.803 3.382 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001481705607 1084497049 /nfs/dbraw/zinc/49/70/49/1084497049.db2.gz FLEJDDVCJSJXOT-AEFFLSMTSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3ccc(C)nc32)C1 ZINC001268325605 1084497316 /nfs/dbraw/zinc/49/73/16/1084497316.db2.gz AGVYTOBEOMBNHY-OAHLLOKOSA-N 0 1 323.440 3.312 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001273508123 1084515792 /nfs/dbraw/zinc/51/57/92/1084515792.db2.gz VBMVVJVKDNHFAD-SSZFMOIBSA-N 0 1 313.445 3.195 20 30 DGEDMN Cc1c(F)cccc1S(=O)(=O)Nc1c(Cl)cccc1C#N ZINC001185829697 1084534656 /nfs/dbraw/zinc/53/46/56/1084534656.db2.gz XKVAZIDBUNIFIT-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001481722649 1084537212 /nfs/dbraw/zinc/53/72/12/1084537212.db2.gz XZXNBRZZSOPMFT-KRWDZBQOSA-N 0 1 304.409 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001481734332 1084548945 /nfs/dbraw/zinc/54/89/45/1084548945.db2.gz FJVCRMDBEXZGFV-AWEZNQCLSA-N 0 1 321.490 3.005 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)nc(F)c3)sc2C1 ZINC001213078093 1084552142 /nfs/dbraw/zinc/55/21/42/1084552142.db2.gz IBVWOACAIHIVQO-UHFFFAOYSA-N 0 1 306.341 3.024 20 30 DGEDMN C#CCCCC(=O)N(CC)CCN[C@H](C)c1cc(F)ccc1F ZINC001268447539 1084602843 /nfs/dbraw/zinc/60/28/43/1084602843.db2.gz AFKZTCDUMDDVOS-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001481765065 1084613064 /nfs/dbraw/zinc/61/30/64/1084613064.db2.gz RYCFWFNEMANGTI-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001481768899 1084619638 /nfs/dbraw/zinc/61/96/38/1084619638.db2.gz MUBWSJFFLNKLLE-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC001268453900 1084628648 /nfs/dbraw/zinc/62/86/48/1084628648.db2.gz LMMPQYTVCGDDQX-LBPRGKRZSA-N 0 1 324.827 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2ccccc2n1C ZINC001481845686 1084664687 /nfs/dbraw/zinc/66/46/87/1084664687.db2.gz KTWVXTNBNGFZMI-AWEZNQCLSA-N 0 1 319.836 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)CC2CC2)C1 ZINC001481858027 1084670713 /nfs/dbraw/zinc/67/07/13/1084670713.db2.gz ZQZLQTZAFTZSJJ-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(F)c1Cl ZINC001481855404 1084671595 /nfs/dbraw/zinc/67/15/95/1084671595.db2.gz BDPURYQEUKJMPP-SNVBAGLBSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@H](C)c1ccccc1 ZINC001268496697 1084721958 /nfs/dbraw/zinc/72/19/58/1084721958.db2.gz MSLLXSNOXYZEFW-AWEZNQCLSA-N 0 1 308.853 3.371 20 30 DGEDMN O=C(CCC1CCCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001268507559 1084757994 /nfs/dbraw/zinc/75/79/94/1084757994.db2.gz SOOVYHIYUCYWMQ-SREVYHEPSA-N 0 1 324.468 3.271 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN(Cc3cc(C)ccc3F)C[C@H]21 ZINC001187239415 1084772671 /nfs/dbraw/zinc/77/26/71/1084772671.db2.gz BKYKOWUXRQMEJM-MAUKXSAKSA-N 0 1 316.420 3.133 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN([C@@H](C)c3ccccc3F)C[C@H]21 ZINC001187210766 1084776501 /nfs/dbraw/zinc/77/65/01/1084776501.db2.gz YVXLZGXMDIGXJL-RLFYNMQTSA-N 0 1 316.420 3.386 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CC(C)(C)C)CC1 ZINC001482037803 1084791685 /nfs/dbraw/zinc/79/16/85/1084791685.db2.gz NAKXENXETRHSRG-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN Cc1ccc(CN2CC[C@H](Nc3ncc(C#N)cc3F)C2)cc1 ZINC001187391641 1084802672 /nfs/dbraw/zinc/80/26/72/1084802672.db2.gz OMEZXWABOLORMF-INIZCTEOSA-N 0 1 310.376 3.087 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C\CNCc2cccc(F)c2F)C1 ZINC001268592386 1084859152 /nfs/dbraw/zinc/85/91/52/1084859152.db2.gz XJTLRXOOTRNOBR-ARJAWSKDSA-N 0 1 320.383 3.083 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CN(CCC2CCCCCC2)CCO1 ZINC001482181078 1084901176 /nfs/dbraw/zinc/90/11/76/1084901176.db2.gz AMOYISYVVOBFNV-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001268729763 1084990942 /nfs/dbraw/zinc/99/09/42/1084990942.db2.gz PRPUFVGRDDKZTJ-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCCc1ccccc1Cl ZINC001268731973 1084998346 /nfs/dbraw/zinc/99/83/46/1084998346.db2.gz DTYFESBWGMDKAH-HNNXBMFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001268741389 1085013851 /nfs/dbraw/zinc/01/38/51/1085013851.db2.gz RFEQMDSKGKGNBV-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2c(cccc2F)s1 ZINC001268756423 1085033184 /nfs/dbraw/zinc/03/31/84/1085033184.db2.gz JSZYJSYRHNMRLF-LBPRGKRZSA-N 0 1 318.417 3.114 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cscn1 ZINC001268930152 1085081899 /nfs/dbraw/zinc/08/18/99/1085081899.db2.gz ZGLKNJOKRUVVNI-KBPBESRZSA-N 0 1 307.463 3.012 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(Cc2ccsc2)C1 ZINC001269052226 1085106836 /nfs/dbraw/zinc/10/68/36/1085106836.db2.gz YNMRBYBEPNTWIL-INIZCTEOSA-N 0 1 304.459 3.022 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccccc1CC)C1CC1 ZINC001269085574 1085118229 /nfs/dbraw/zinc/11/82/29/1085118229.db2.gz VLDNFGQVWJPVON-QGZVFWFLSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN([C@H]2CCc3c2cccc3Cl)C1 ZINC001269118383 1085135052 /nfs/dbraw/zinc/13/50/52/1085135052.db2.gz TZOKQAQIOWPONY-DYVFJYSZSA-N 0 1 318.848 3.484 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)c1ccccc1)C1CC1 ZINC001269145084 1085159834 /nfs/dbraw/zinc/15/98/34/1085159834.db2.gz MTYPJEHHGMYHCZ-MRXNPFEDSA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc2c(s1)CCC2)C1CC1 ZINC001269145585 1085160836 /nfs/dbraw/zinc/16/08/36/1085160836.db2.gz WVGJNMUANBQVQO-CYBMUJFWSA-N 0 1 324.877 3.087 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccc(Cl)cc2F)CC1 ZINC001269383086 1085353061 /nfs/dbraw/zinc/35/30/61/1085353061.db2.gz AVBBREWDNSDXHD-UHFFFAOYSA-N 0 1 310.800 3.184 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)NCc1cccc(F)c1Cl ZINC001269398770 1085365213 /nfs/dbraw/zinc/36/52/13/1085365213.db2.gz UOGGGFZXYOYYNY-AWEZNQCLSA-N 0 1 324.827 3.267 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001269419614 1085376528 /nfs/dbraw/zinc/37/65/28/1085376528.db2.gz HLAGFLODXHEPIK-UHFFFAOYSA-N 0 1 319.474 3.040 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269484821 1085414553 /nfs/dbraw/zinc/41/45/53/1085414553.db2.gz UFXQKZQTZRNZNC-RTBURBONSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sccc2C(F)F)CC1 ZINC001269526760 1085445048 /nfs/dbraw/zinc/44/50/48/1085445048.db2.gz XGZYJVZVQAZRSA-UHFFFAOYSA-N 0 1 320.792 3.290 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(C(F)(F)F)CCC2)C1 ZINC001269812799 1085573963 /nfs/dbraw/zinc/57/39/63/1085573963.db2.gz UFBPILYPADRTHU-GFCCVEGCSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)c(F)c2)C1 ZINC001269820614 1085575717 /nfs/dbraw/zinc/57/57/17/1085575717.db2.gz NPKDZLCIMNDQMH-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H](CC)C(C)C)CC2 ZINC001482245091 1085577223 /nfs/dbraw/zinc/57/72/23/1085577223.db2.gz AQTAQDUXCFBQDL-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2Cc3ccccc32)C1 ZINC001269840032 1085582484 /nfs/dbraw/zinc/58/24/84/1085582484.db2.gz VXNYPYCBRWFJIW-LJQANCHMSA-N 0 1 314.473 3.439 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001270051610 1085672210 /nfs/dbraw/zinc/67/22/10/1085672210.db2.gz ZHVCSEWWWKCWHH-SOUVJXGZSA-N 0 1 312.885 3.392 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001482267786 1085703132 /nfs/dbraw/zinc/70/31/32/1085703132.db2.gz UOSQKDWPOCMANB-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001270145052 1085709596 /nfs/dbraw/zinc/70/95/96/1085709596.db2.gz YBVBSOWAQBKBLH-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN CCCCC(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001270208484 1085734097 /nfs/dbraw/zinc/73/40/97/1085734097.db2.gz TUDOKOWLGXOZLM-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)cc(F)c1 ZINC001270268856 1085756514 /nfs/dbraw/zinc/75/65/14/1085756514.db2.gz PSLURZFCLBIADX-OAHLLOKOSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCCC(F)(F)F ZINC001270269221 1085756906 /nfs/dbraw/zinc/75/69/06/1085756906.db2.gz WJTGVARYRGIHLL-LLVKDONJSA-N 0 1 312.763 3.052 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1F)C1CCCC1 ZINC001270377913 1085789225 /nfs/dbraw/zinc/78/92/25/1085789225.db2.gz HWDFIQTZHOMUKX-MRXNPFEDSA-N 0 1 324.827 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C/c1ccc[nH]1)C1CCCC1 ZINC001270395968 1085798488 /nfs/dbraw/zinc/79/84/88/1085798488.db2.gz FSMFEAHNANPRBL-ROJDOSBLSA-N 0 1 321.852 3.045 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2cc(F)ccc2F)C1 ZINC001482329108 1086018521 /nfs/dbraw/zinc/01/85/21/1086018521.db2.gz IEZWSEVWFVVBMC-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CCC)c1ccccc1)CC2 ZINC001270863667 1086084060 /nfs/dbraw/zinc/08/40/60/1086084060.db2.gz JDDLKJIUVNBYIS-LJQANCHMSA-N 0 1 324.468 3.128 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc2occc2[nH]1 ZINC001482386925 1086114012 /nfs/dbraw/zinc/11/40/12/1086114012.db2.gz XGNYQSBPNYHXGB-GFCCVEGCSA-N 0 1 323.824 3.248 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(C)c(C)cn1 ZINC001482389111 1086115797 /nfs/dbraw/zinc/11/57/97/1086115797.db2.gz JSQIIWSOQFPRFF-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN C#CC[N@H+]1CC2(CCC2)[C@@H]1C1CCN(C(=O)/C(C)=C\CC)CC1 ZINC001105389306 1086129025 /nfs/dbraw/zinc/12/90/25/1086129025.db2.gz NCGQSTRDPMIPBF-FAKSIROFSA-N 0 1 314.473 3.069 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1CCCCC1 ZINC001482430742 1086163542 /nfs/dbraw/zinc/16/35/42/1086163542.db2.gz ZXZWXGSMDXYMBY-FQEVSTJZSA-N 0 1 324.468 3.306 20 30 DGEDMN CC[C@H](F)CN1CCC[C@@](C)(CNC(=O)C#CC(C)(C)C)C1 ZINC001270958659 1086217510 /nfs/dbraw/zinc/21/75/10/1086217510.db2.gz NMQSWLPWEQGHAO-YJBOKZPZSA-N 0 1 310.457 3.002 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001270968862 1086221644 /nfs/dbraw/zinc/22/16/44/1086221644.db2.gz VQDWPTNKBILKOA-CQSZACIVSA-N 0 1 324.852 3.115 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(F)ccc1CC ZINC001271021231 1086255345 /nfs/dbraw/zinc/25/53/45/1086255345.db2.gz KLCSMYOBBYHACY-LBPRGKRZSA-N 0 1 312.816 3.191 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2c(F)cccc2F)C1 ZINC001271103265 1086292179 /nfs/dbraw/zinc/29/21/79/1086292179.db2.gz WSFQAWYVKFENNU-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2sc(C3CC3)nc2C)[C@H]1CC ZINC001087569172 1086308872 /nfs/dbraw/zinc/30/88/72/1086308872.db2.gz HULHFJWFBLREDN-UONOGXRCSA-N 0 1 319.474 3.098 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@H]1CC ZINC001087583204 1086315783 /nfs/dbraw/zinc/31/57/83/1086315783.db2.gz VOMFUFIGGIRCSG-QWHCGFSZSA-N 0 1 324.877 3.316 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)[C@H]1CC ZINC001087582919 1086317305 /nfs/dbraw/zinc/31/73/05/1086317305.db2.gz ICXSFQMAMCBCJS-FGTMMUONSA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC=CCCC2)[C@H]1CC ZINC001087621642 1086332095 /nfs/dbraw/zinc/33/20/95/1086332095.db2.gz CYPCPWGQWJPQQY-FGTMMUONSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@H]1CC ZINC001087631247 1086333729 /nfs/dbraw/zinc/33/37/29/1086333729.db2.gz RLZNBDRKFNVYJJ-LSDHHAIUSA-N 0 1 322.811 3.003 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3ccccc3)CCC2)[C@H]1CC ZINC001087649092 1086342513 /nfs/dbraw/zinc/34/25/13/1086342513.db2.gz URUGUOHHUYEDLT-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H]1CC ZINC001087737561 1086385221 /nfs/dbraw/zinc/38/52/21/1086385221.db2.gz CEFJVAHAKRNFOP-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H]1CC ZINC001087737561 1086385229 /nfs/dbraw/zinc/38/52/29/1086385229.db2.gz CEFJVAHAKRNFOP-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(Cl)ccc2C)[C@H]1CC ZINC001087765751 1086403627 /nfs/dbraw/zinc/40/36/27/1086403627.db2.gz STSFVNUJTLXBTQ-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@H]1CC ZINC001087780344 1086407651 /nfs/dbraw/zinc/40/76/51/1086407651.db2.gz CGYZESNUVIDXOQ-ZWKOTPCHSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C3CCC3)c2)[C@H]1CC ZINC001087796068 1086412358 /nfs/dbraw/zinc/41/23/58/1086412358.db2.gz HAEBPHRTWRXOCR-RBUKOAKNSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@H]1CC ZINC001087824724 1086430483 /nfs/dbraw/zinc/43/04/83/1086430483.db2.gz UDLFIBRQESDTTN-RBUKOAKNSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCCC2(C)C)[C@H]1CC ZINC001087851836 1086447838 /nfs/dbraw/zinc/44/78/38/1086447838.db2.gz SKSXDFOYARZYAS-IXDOHACOSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C(CC)CC ZINC001482483942 1086598158 /nfs/dbraw/zinc/59/81/58/1086598158.db2.gz FEKSYEXFNAZYII-IUODEOHRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H](C)c1ccco1 ZINC001271295266 1086565292 /nfs/dbraw/zinc/56/52/92/1086565292.db2.gz ULMATXHQLMJNCK-ZIAGYGMSSA-N 0 1 312.841 3.256 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001088093607 1086597095 /nfs/dbraw/zinc/59/70/95/1086597095.db2.gz DLGKJGNHYVDVNV-HBYQBFOVSA-N 0 1 310.869 3.122 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)CC)c1ccccc1CC ZINC001271438195 1086625426 /nfs/dbraw/zinc/62/54/26/1086625426.db2.gz MAROUHHQZZHKFY-QAPCUYQASA-N 0 1 300.446 3.065 20 30 DGEDMN Cc1cc(-c2noc([C@@H](C)CC(F)(F)CN)n2)ccc1C#N ZINC001211895974 1086642246 /nfs/dbraw/zinc/64/22/46/1086642246.db2.gz RGYQKCFQMLHQSO-JTQLQIEISA-N 0 1 306.316 3.004 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@H]1CNCc1nccs1 ZINC001482491704 1086666867 /nfs/dbraw/zinc/66/68/67/1086666867.db2.gz PGFSXNHWXVQINI-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN CC(C)C(=O)N1CCCCC[C@@H](NCc2ccccc2C#N)C1 ZINC001088266233 1086741451 /nfs/dbraw/zinc/74/14/51/1086741451.db2.gz XIDUKKHIUSFKBL-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)c1ccoc1 ZINC001271797220 1086769849 /nfs/dbraw/zinc/76/98/49/1086769849.db2.gz OCMABDPJRBJBLS-UHFFFAOYSA-N 0 1 318.804 3.264 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C(C)C)C1 ZINC001482555571 1086883844 /nfs/dbraw/zinc/88/38/44/1086883844.db2.gz VZGKEICOKSVZSB-BWODNOAJSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(C)=C(C)C)CC[C@H]21 ZINC001482660925 1087104633 /nfs/dbraw/zinc/10/46/33/1087104633.db2.gz BACCGWLOODFCDE-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCCC(=O)NC/C=C\CN[C@H](C)c1cc(F)ccc1F ZINC001482989101 1087222079 /nfs/dbraw/zinc/22/20/79/1087222079.db2.gz SMBMKEKXWIGVOK-CFHLNLSMSA-N 0 1 308.372 3.254 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)[C@H]1C ZINC001088658853 1087248814 /nfs/dbraw/zinc/24/88/14/1087248814.db2.gz ZAYQSVREYGQKGO-AFYVEPGGSA-N 0 1 324.468 3.173 20 30 DGEDMN O=C(C[C@H]1CC=CCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001483042864 1087272305 /nfs/dbraw/zinc/27/23/05/1087272305.db2.gz BYSDXJCOWPHQKO-AQWUKCDYSA-N 0 1 322.452 3.047 20 30 DGEDMN C[C@@H]1CCC[C@@H](C(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001483054915 1087305963 /nfs/dbraw/zinc/30/59/63/1087305963.db2.gz QMWDBBAMBGOYQG-WYTZPYIFSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCC=C(Cl)Cl ZINC001483058208 1087309572 /nfs/dbraw/zinc/30/95/72/1087309572.db2.gz UQAUHEVIEMPLSI-LMVHVUTASA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](CC)CC(F)F)[C@H](C)C1 ZINC001102037084 1087312322 /nfs/dbraw/zinc/31/23/22/1087312322.db2.gz OOJOZAUXRIYGIP-RAIGVLPGSA-N 0 1 322.827 3.104 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C2CC2)cc1 ZINC001483095508 1087340014 /nfs/dbraw/zinc/34/00/14/1087340014.db2.gz OGYBSBJPPLEJMF-IHWYPQMZSA-N 0 1 304.821 3.192 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3ccc(F)cc3)CCC2)[C@H]1C ZINC001088784853 1087353836 /nfs/dbraw/zinc/35/38/36/1087353836.db2.gz XIQLVISIMCIUNB-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001483132536 1087396377 /nfs/dbraw/zinc/39/63/77/1087396377.db2.gz RLPZSOQWVPFORR-CYBMUJFWSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1cc(F)ccc1OC ZINC001483163516 1087409356 /nfs/dbraw/zinc/40/93/56/1087409356.db2.gz CKWDWNMTXXNIRR-ACJLOTCBSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1sc(C(C)C)nc1C ZINC001483192736 1087415052 /nfs/dbraw/zinc/41/50/52/1087415052.db2.gz DIGIIXKIHQGPQM-SNVBAGLBSA-N 0 1 315.870 3.035 20 30 DGEDMN C=CCC[C@H](C)N1CC(OC2CCN(C(=O)CC(C)C)CC2)C1 ZINC001105683388 1087421838 /nfs/dbraw/zinc/42/18/38/1087421838.db2.gz ZMVPXWFTUZHAPW-INIZCTEOSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCCCCCN1CC(OC2CCN(C(=O)CCC)CC2)C1 ZINC001105682906 1087421848 /nfs/dbraw/zinc/42/18/48/1087421848.db2.gz LZBDQCYTCNYRFX-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN C[C@@H](CNCc1ccc(F)cc1Cl)NC(=O)C#CC(C)(C)C ZINC001483226373 1087429822 /nfs/dbraw/zinc/42/98/22/1087429822.db2.gz RBTFNBOXLIJQMX-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001483261705 1087453432 /nfs/dbraw/zinc/45/34/32/1087453432.db2.gz KDBBMZQFQMWHPM-RYUDHWBXSA-N 0 1 315.244 3.290 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001483377648 1087486045 /nfs/dbraw/zinc/48/60/45/1087486045.db2.gz FMYXLLUTPHJLKA-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C(CC)(CC)c1ccccc1 ZINC001483420295 1087496488 /nfs/dbraw/zinc/49/64/88/1087496488.db2.gz JXRXYDAHTCSMJL-QGZVFWFLSA-N 0 1 314.473 3.204 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2ccc(C)cc2)CC1 ZINC001168392705 1087551134 /nfs/dbraw/zinc/55/11/34/1087551134.db2.gz IVVPUWJHPPLXKH-SFHVURJKSA-N 0 1 301.430 3.367 20 30 DGEDMN N#Cc1ccc(CN(CCO)Cc2cccs2)cc1Cl ZINC001232120047 1087583300 /nfs/dbraw/zinc/58/33/00/1087583300.db2.gz LFBRJJOCEOQBOH-UHFFFAOYSA-N 0 1 306.818 3.268 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)c3ccccc23)[C@H]1C ZINC001088885137 1087597446 /nfs/dbraw/zinc/59/74/46/1087597446.db2.gz FSRLNJZNTWWZJR-UZLBHIALSA-N 0 1 320.436 3.364 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccccc1C1CC1 ZINC001483642984 1087598348 /nfs/dbraw/zinc/59/83/48/1087598348.db2.gz PEIVOATVNINVGC-LJQANCHMSA-N 0 1 324.468 3.448 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)[C@H]1C ZINC001088910359 1087602619 /nfs/dbraw/zinc/60/26/19/1087602619.db2.gz HSHFIWZQYHITQO-ZFVVBOAOSA-N 0 1 318.848 3.209 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@H]1C ZINC001088980106 1087614237 /nfs/dbraw/zinc/61/42/37/1087614237.db2.gz XAUXJNOMPOWJQP-ZBFHGGJFSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@H]1C ZINC001088985006 1087615162 /nfs/dbraw/zinc/61/51/62/1087615162.db2.gz FWMQCJOLUQUJQW-ZBFHGGJFSA-N 0 1 312.413 3.428 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2ccccc2Cl)[C@H]1C ZINC001088990271 1087616124 /nfs/dbraw/zinc/61/61/24/1087616124.db2.gz NDOOZHJJDWFGAR-CJNGLKHVSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2)[C@H]1C ZINC001088998164 1087618903 /nfs/dbraw/zinc/61/89/03/1087618903.db2.gz FATCDHBUJQYCFL-RISCZKNCSA-N 0 1 312.335 3.084 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2-c2ccccc2)[C@H]1C ZINC001089020197 1087621811 /nfs/dbraw/zinc/62/18/11/1087621811.db2.gz WPAKJPWKPZZUID-UZLBHIALSA-N 0 1 318.420 3.179 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)[C@H]1C ZINC001089063449 1087629927 /nfs/dbraw/zinc/62/99/27/1087629927.db2.gz DBOLADRBFNNXCX-MDZRGWNJSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)[C@H]1C ZINC001089063449 1087629929 /nfs/dbraw/zinc/62/99/29/1087629929.db2.gz DBOLADRBFNNXCX-MDZRGWNJSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cscc2C(F)(F)F)[C@H]1C ZINC001089085534 1087634471 /nfs/dbraw/zinc/63/44/71/1087634471.db2.gz MTJPJMQEBGHQIW-SKDRFNHKSA-N 0 1 318.364 3.146 20 30 DGEDMN CC1=NN(c2ccc(C(=O)Nc3cc(C#N)ccc3O)cc2)CC1 ZINC000176396917 1087722472 /nfs/dbraw/zinc/72/24/72/1087722472.db2.gz VPOFZPXOQWAQMS-UHFFFAOYSA-N 0 1 320.352 3.102 20 30 DGEDMN COc1ccccc1[C@H](C)CC(=O)Nc1cc(C#N)ccc1O ZINC000176393104 1087723225 /nfs/dbraw/zinc/72/32/25/1087723225.db2.gz ABLTYMXMGBKHMS-GFCCVEGCSA-N 0 1 310.353 3.405 20 30 DGEDMN C=CCN1C(=O)COCC12CN(CCCCCCCCCC)C2 ZINC001272478156 1087723966 /nfs/dbraw/zinc/72/39/66/1087723966.db2.gz FUZHVGOCDINQIE-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Cl)cc([N+](=O)[O-])c2)c1 ZINC000176404941 1087725997 /nfs/dbraw/zinc/72/59/97/1087725997.db2.gz PGQKBFATGWOJTD-UHFFFAOYSA-N 0 1 317.688 3.078 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2ccncc2)CC(C)(C)C1 ZINC001089300456 1087766487 /nfs/dbraw/zinc/76/64/87/1087766487.db2.gz FULKFFMEIPRAFH-HNNXBMFYSA-N 0 1 321.852 3.055 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC1CC(C)(C)C1 ZINC001158397769 1087807854 /nfs/dbraw/zinc/80/78/54/1087807854.db2.gz NPESIGDSXCGKHV-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CN(C)Cc1cccnc1 ZINC001158419723 1087825803 /nfs/dbraw/zinc/82/58/03/1087825803.db2.gz YIVPXFMUXZKYQU-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)CC(C)(C)C ZINC001151937419 1087832308 /nfs/dbraw/zinc/83/23/08/1087832308.db2.gz CEXSTFKVFYNULZ-QGZVFWFLSA-N 0 1 314.473 3.301 20 30 DGEDMN COc1cccc(NC(=O)c2nc3cccc(C)c3[nH]2)c1C#N ZINC001151992427 1087862211 /nfs/dbraw/zinc/86/22/11/1087862211.db2.gz MQBOWKCNYXQHPR-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C2CC2)cc1Cl ZINC000176534856 1087895713 /nfs/dbraw/zinc/89/57/13/1087895713.db2.gz MRFAKUACVLGPOG-BXKDBHETSA-N 0 1 320.776 3.042 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1sc(C)nc1C ZINC001152353311 1087956580 /nfs/dbraw/zinc/95/65/80/1087956580.db2.gz VVQWTCNLKUCDTG-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1ccc(C)o1 ZINC001272587127 1087967902 /nfs/dbraw/zinc/96/79/02/1087967902.db2.gz KDJSANAFFNQBDD-GOSISDBHSA-N 0 1 302.418 3.121 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(C)o1 ZINC001272587133 1087968083 /nfs/dbraw/zinc/96/80/83/1087968083.db2.gz KDJSANAFFNQBDD-SFHVURJKSA-N 0 1 302.418 3.121 20 30 DGEDMN C[C@H](Cc1cccc(F)c1)N1CCN(c2ccccc2C#N)CC1 ZINC001168473109 1087978892 /nfs/dbraw/zinc/97/88/92/1087978892.db2.gz CFMJJJJKFRMYIR-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNC/C(Cl)=C/Cl ZINC001158746595 1088004802 /nfs/dbraw/zinc/00/48/02/1088004802.db2.gz BSJBRUAAMITZQE-RAXLEYEMSA-N 0 1 305.249 3.170 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cn[nH]c2-c2ccc(F)cc2)cc1 ZINC001158767035 1088017206 /nfs/dbraw/zinc/01/72/06/1088017206.db2.gz CYOSSLUBLFVZAT-UHFFFAOYSA-N 0 1 305.312 3.449 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](CC)C(C)C ZINC001158768094 1088019877 /nfs/dbraw/zinc/01/98/77/1088019877.db2.gz HWZFMWLIXYAZHJ-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2ccccc2c1 ZINC001158786676 1088028306 /nfs/dbraw/zinc/02/83/06/1088028306.db2.gz DXZJHWDVOOFIIC-HXUWFJFHSA-N 0 1 320.436 3.400 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C1(CCCC)CC1 ZINC001099220102 1088056115 /nfs/dbraw/zinc/05/61/15/1088056115.db2.gz HIHISYQFGAXEEB-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CCCC)CC1 ZINC001099220102 1088056131 /nfs/dbraw/zinc/05/61/31/1088056131.db2.gz HIHISYQFGAXEEB-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001152747286 1088069014 /nfs/dbraw/zinc/06/90/14/1088069014.db2.gz KVVRFJPNWCJDED-OAHLLOKOSA-N 0 1 312.816 3.024 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1ccccc1C ZINC001158900655 1088090377 /nfs/dbraw/zinc/09/03/77/1088090377.db2.gz IRGUJUVFNSYSPL-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1ccccc1F ZINC001272594627 1088095153 /nfs/dbraw/zinc/09/51/53/1088095153.db2.gz GUICSNNHRWHHJX-IBGZPJMESA-N 0 1 316.420 3.359 20 30 DGEDMN C=CCN1CC[C@@]2(CCN(Cc3c(F)cccc3Cl)C2)C1=O ZINC001272600377 1088109454 /nfs/dbraw/zinc/10/94/54/1088109454.db2.gz GKQXUHSROALJIS-QGZVFWFLSA-N 0 1 322.811 3.090 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccccc1Cl ZINC001272600787 1088110856 /nfs/dbraw/zinc/11/08/56/1088110856.db2.gz MBKFTPFMTBUWPK-IAGOWNOFSA-N 0 1 318.848 3.481 20 30 DGEDMN COc1cccnc1CN1CCC(c2cccc(C#N)c2)CC1 ZINC001206645120 1088116181 /nfs/dbraw/zinc/11/61/81/1088116181.db2.gz VRCBSNFNPRGJSJ-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C/C=C/c1ccc(C)cc1 ZINC001158977229 1088121414 /nfs/dbraw/zinc/12/14/14/1088121414.db2.gz ZOXVHCQGLIWQAW-AQKVLALTSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1oc2ccccc2c1CC ZINC001152992531 1088130970 /nfs/dbraw/zinc/13/09/70/1088130970.db2.gz CULCEYXBZNXCAI-UHFFFAOYSA-N 0 1 306.793 3.067 20 30 DGEDMN Cc1nc2c(cccc2C(=O)N(CC#N)Cc2ccccc2)[nH]1 ZINC001153609477 1088304748 /nfs/dbraw/zinc/30/47/48/1088304748.db2.gz CWFFLNYOJJKRDD-UHFFFAOYSA-N 0 1 304.353 3.037 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2cc(C)ns2)CC1 ZINC001159544211 1088388029 /nfs/dbraw/zinc/38/80/29/1088388029.db2.gz LIOIQFSHOJCDRY-UHFFFAOYSA-N 0 1 319.474 3.056 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](CC)CCC ZINC001099286595 1088476810 /nfs/dbraw/zinc/47/68/10/1088476810.db2.gz ZXFUEXQMAIFOFB-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1coc2ccc(CC)cc21 ZINC001127408904 1088482739 /nfs/dbraw/zinc/48/27/39/1088482739.db2.gz OVSOFKJYDPFXKV-UHFFFAOYSA-N 0 1 306.793 3.067 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)CC(C)C ZINC001099291812 1088487711 /nfs/dbraw/zinc/48/77/11/1088487711.db2.gz NANLUJCCVJYXNX-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)C1CCCC1 ZINC001099296384 1088496249 /nfs/dbraw/zinc/49/62/49/1088496249.db2.gz AGZDFXZDQSMEOJ-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@]12C[C@H]1CCC2 ZINC001154396881 1088592478 /nfs/dbraw/zinc/59/24/78/1088592478.db2.gz WGWIKLJGLBDVFM-YFVAEKQCSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2ccc(F)cc2C)C1=O ZINC001272667794 1088641076 /nfs/dbraw/zinc/64/10/76/1088641076.db2.gz WSLMQZWZBGRIDA-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C1(C)CCC1 ZINC001154631380 1088683323 /nfs/dbraw/zinc/68/33/23/1088683323.db2.gz LGAJXEJIJCKKOU-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@@]1(C)C=CCC1 ZINC001154683408 1088711581 /nfs/dbraw/zinc/71/15/81/1088711581.db2.gz YTXUJFLYKWGWAB-NQIIRXRSSA-N 0 1 324.468 3.221 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCCC(C)(C)C)CC1 ZINC001160242335 1088718053 /nfs/dbraw/zinc/71/80/53/1088718053.db2.gz NFZYWEOLBRHMTE-UHFFFAOYSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001483771031 1088729149 /nfs/dbraw/zinc/72/91/49/1088729149.db2.gz BFXXJFFZNZRRHN-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CCC(=O)NCCC1=CCN(Cc2ccccn2)CC1 ZINC001160268929 1088734468 /nfs/dbraw/zinc/73/44/68/1088734468.db2.gz OVFQMUSEANNEQB-UHFFFAOYSA-N 0 1 313.445 3.076 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001154743250 1088737526 /nfs/dbraw/zinc/73/75/26/1088737526.db2.gz XLYLUCOBAUWXTO-HZPDHXFCSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001154750901 1088740233 /nfs/dbraw/zinc/74/02/33/1088740233.db2.gz FMQSDMOBHUACIK-HUUCEWRRSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001154751057 1088746410 /nfs/dbraw/zinc/74/64/10/1088746410.db2.gz LDUXJHDZBFBXDT-HZPDHXFCSA-N 0 1 303.450 3.011 20 30 DGEDMN COC(=O)c1cc2c(cc(Cl)nc2N[C@H](C#N)CC(C)C)[nH]1 ZINC001160363715 1088765568 /nfs/dbraw/zinc/76/55/68/1088765568.db2.gz UNILKEWIIQEDLU-VIFPVBQESA-N 0 1 320.780 3.353 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1F ZINC001089594958 1088784955 /nfs/dbraw/zinc/78/49/55/1088784955.db2.gz BVQKACCDOIBVQA-CQSZACIVSA-N 0 1 304.409 3.232 20 30 DGEDMN C=C(C)C[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)n1C ZINC001089610979 1088811542 /nfs/dbraw/zinc/81/15/42/1088811542.db2.gz YNKKJDUBWBMOMX-INIZCTEOSA-N 0 1 317.477 3.130 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1scnc1C ZINC001089613218 1088819744 /nfs/dbraw/zinc/81/97/44/1088819744.db2.gz SDTQELIGIHLLHI-CQSZACIVSA-N 0 1 321.490 3.248 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cscc1C ZINC001089621983 1088829934 /nfs/dbraw/zinc/82/99/34/1088829934.db2.gz CGYJJSIDAUNVQZ-HNNXBMFYSA-N 0 1 318.486 3.300 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001089626968 1088848302 /nfs/dbraw/zinc/84/83/02/1088848302.db2.gz RUYXOGRCRAYYSV-INIZCTEOSA-N 0 1 316.445 3.140 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccsc1C ZINC001089634945 1088858795 /nfs/dbraw/zinc/85/87/95/1088858795.db2.gz JEDSQMVVGJKHPJ-AWEZNQCLSA-N 0 1 306.475 3.463 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)coc1C ZINC001089636629 1088861597 /nfs/dbraw/zinc/86/15/97/1088861597.db2.gz FESUTHRWFIKCPD-HNNXBMFYSA-N 0 1 304.434 3.303 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001155066661 1088927444 /nfs/dbraw/zinc/92/74/44/1088927444.db2.gz MJXIDNBVFQHEPU-RDTXWAMCSA-N 0 1 321.440 3.150 20 30 DGEDMN C#C[C@](C)(N)C(=O)N(C)Cc1c2ccccc2cc2ccccc21 ZINC001160739837 1088940137 /nfs/dbraw/zinc/94/01/37/1088940137.db2.gz XXPYGFLDSJUQJG-NRFANRHFSA-N 0 1 316.404 3.302 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C1CC2(CC2)C1 ZINC001155167568 1088986370 /nfs/dbraw/zinc/98/63/70/1088986370.db2.gz LOJZHIGBKAOPQV-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccc(CC)cc2)C1 ZINC001483889814 1088990259 /nfs/dbraw/zinc/99/02/59/1088990259.db2.gz SWGLLJDDXRHXHS-UHFFFAOYSA-N 0 1 314.473 3.290 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001483934818 1089017985 /nfs/dbraw/zinc/01/79/85/1089017985.db2.gz QNWVVQFCASJJBS-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160992524 1089062281 /nfs/dbraw/zinc/06/22/81/1089062281.db2.gz ZDBNBJOUYHXFMF-LWUPOJRFSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)sc1C ZINC001089665911 1089067166 /nfs/dbraw/zinc/06/71/66/1089067166.db2.gz ILGVJZSGLXQMDA-HNNXBMFYSA-N 0 1 318.486 3.219 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C(C)C)C1CCCC1 ZINC001484009005 1089092513 /nfs/dbraw/zinc/09/25/13/1089092513.db2.gz XWRAJRGWLKNPGM-ZFWWWQNUSA-N 0 1 300.874 3.296 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001089672646 1089098699 /nfs/dbraw/zinc/09/86/99/1089098699.db2.gz OCHWPGHQOQTGSA-XLAORIBOSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@]2(CCN(CC(=C)Cl)C2)C1 ZINC001484019888 1089104130 /nfs/dbraw/zinc/10/41/30/1089104130.db2.gz MKOTZRXOTAZYIS-KRWDZBQOSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C(C)C)on1 ZINC001089677209 1089119360 /nfs/dbraw/zinc/11/93/60/1089119360.db2.gz WNUJFBOGNJWDSX-CQSZACIVSA-N 0 1 319.449 3.204 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001161117029 1089123546 /nfs/dbraw/zinc/12/35/46/1089123546.db2.gz YGMMAJDTEIRJGP-WMZOPIPTSA-N 0 1 310.457 3.475 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1occc1CC ZINC001089690415 1089178038 /nfs/dbraw/zinc/17/80/38/1089178038.db2.gz PBTSSQOIXHEQFI-HNNXBMFYSA-N 0 1 304.434 3.248 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1CCC ZINC001089694088 1089201719 /nfs/dbraw/zinc/20/17/19/1089201719.db2.gz SDHCQVUAEKRJDC-IXDOHACOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(CCC)cc2)C1 ZINC001484167957 1089261149 /nfs/dbraw/zinc/26/11/49/1089261149.db2.gz UVKCVZAGFYRFQG-HXUWFJFHSA-N 0 1 312.457 3.104 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2conc2C)C1 ZINC001484167119 1089263050 /nfs/dbraw/zinc/26/30/50/1089263050.db2.gz PPTFDASLPVZZSK-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1csc(Cl)c1 ZINC001089707149 1089268708 /nfs/dbraw/zinc/26/87/08/1089268708.db2.gz FNHNSVFLCXIQGW-CYBMUJFWSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001484175252 1089270439 /nfs/dbraw/zinc/27/04/39/1089270439.db2.gz UVSCIDIWBLZCJB-LPHOPBHVSA-N 0 1 306.494 3.300 20 30 DGEDMN N=C(Nc1cnc(Cl)cc1N)c1ccc(C(F)(F)F)cc1 ZINC001155851253 1089271067 /nfs/dbraw/zinc/27/10/67/1089271067.db2.gz KIQULYNGNIWOSJ-UHFFFAOYSA-N 0 1 314.698 3.373 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001089708786 1089277307 /nfs/dbraw/zinc/27/73/07/1089277307.db2.gz MFIZZYLRWVGGQG-OKZBNKHCSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC(C)(C)CC)C1 ZINC001484195959 1089282925 /nfs/dbraw/zinc/28/29/25/1089282925.db2.gz OOZHCRFNUGJDAN-AWEZNQCLSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1csc(C)c1 ZINC001089710110 1089288537 /nfs/dbraw/zinc/28/85/37/1089288537.db2.gz BETYRAVTLINUTO-OAHLLOKOSA-N 0 1 306.475 3.463 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1csc(C)c1 ZINC001089710110 1089288552 /nfs/dbraw/zinc/28/85/52/1089288552.db2.gz BETYRAVTLINUTO-OAHLLOKOSA-N 0 1 306.475 3.463 20 30 DGEDMN C#CCC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1csc(C)c1 ZINC001089710117 1089289162 /nfs/dbraw/zinc/28/91/62/1089289162.db2.gz BIHDPNCPUPZPBI-INIZCTEOSA-N 0 1 318.486 3.300 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001161585877 1089299526 /nfs/dbraw/zinc/29/95/26/1089299526.db2.gz ZJRMISOXGVVDMI-WGSAOQKQSA-N 0 1 316.489 3.220 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(F)cccc1F ZINC001089727027 1089304271 /nfs/dbraw/zinc/30/42/71/1089304271.db2.gz ULEDJIXQPWNBEH-ZDUSSCGKSA-N 0 1 322.399 3.371 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)cc1C ZINC001089731956 1089311115 /nfs/dbraw/zinc/31/11/15/1089311115.db2.gz ZDZKENISJWKVHO-QGZVFWFLSA-N 0 1 312.457 3.157 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1nc(C)c(C)s1 ZINC001089731520 1089312011 /nfs/dbraw/zinc/31/20/11/1089312011.db2.gz FNBNAIWCJPVVPP-CQSZACIVSA-N 0 1 321.490 3.166 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)nsc1C ZINC001089734484 1089316652 /nfs/dbraw/zinc/31/66/52/1089316652.db2.gz RDNMIWPVRSCKJU-CQSZACIVSA-N 0 1 321.490 3.166 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(C)CC=CC1)c1ccccc1CC ZINC001484322471 1089333087 /nfs/dbraw/zinc/33/30/87/1089333087.db2.gz FMTDOGCCGAFEJZ-LJQANCHMSA-N 0 1 324.468 3.376 20 30 DGEDMN CCOC(=O)c1nc2ccc(N[C@H](C#N)c3ccccc3)cc2[nH]1 ZINC001161726172 1089374524 /nfs/dbraw/zinc/37/45/24/1089374524.db2.gz WDIHXMPDRMENQX-MRXNPFEDSA-N 0 1 320.352 3.416 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccn1 ZINC001484462100 1089432581 /nfs/dbraw/zinc/43/25/81/1089432581.db2.gz LAVBSLZTAIKOFQ-MSOLQXFVSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C[C@H](CC)c1ccccc1 ZINC001484464955 1089435415 /nfs/dbraw/zinc/43/54/15/1089435415.db2.gz LRBRBEJMRSTBJD-DFQSSKMNSA-N 0 1 324.468 3.269 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@H]1C ZINC001161914577 1089466541 /nfs/dbraw/zinc/46/65/41/1089466541.db2.gz VZMPBZMZDBEMFX-LALPHHSUSA-N 0 1 323.400 3.354 20 30 DGEDMN C[C@H]1C[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@@H](C)C1 ZINC001161914167 1089467082 /nfs/dbraw/zinc/46/70/82/1089467082.db2.gz NLLBOYJUUCUJBH-JYAVWHMHSA-N 0 1 323.400 3.354 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CC=C)c2ccccc2)CC1 ZINC001161918879 1089469394 /nfs/dbraw/zinc/46/93/94/1089469394.db2.gz GPXQQLXTHGQHGA-FQEVSTJZSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2sccc2C(C)C)CC1 ZINC001161932529 1089478987 /nfs/dbraw/zinc/47/89/87/1089478987.db2.gz TUXHLECYQKNJBV-UHFFFAOYSA-N 0 1 316.470 3.257 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1C=CCCC1 ZINC001484554198 1089488945 /nfs/dbraw/zinc/48/89/45/1089488945.db2.gz RGPPRZFVUFKHDN-QGZVFWFLSA-N 0 1 310.441 3.114 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1(CCC)CC1 ZINC001484557251 1089490625 /nfs/dbraw/zinc/49/06/25/1089490625.db2.gz HTPUVMKCEYMLOG-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc3c(cccc3C)o2)CC1 ZINC001161968464 1089501144 /nfs/dbraw/zinc/50/11/44/1089501144.db2.gz VMARDSGDGPHCKZ-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cccc(F)c1 ZINC001484613476 1089530008 /nfs/dbraw/zinc/53/00/08/1089530008.db2.gz KTZYLYUZCBWNRU-OAHLLOKOSA-N 0 1 310.800 3.163 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCC(C)(F)F)cccc2C1 ZINC001484612921 1089531320 /nfs/dbraw/zinc/53/13/20/1089531320.db2.gz UAGJGPFKRDLMQI-UHFFFAOYSA-N 0 1 322.399 3.282 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](C)Cc1ccco1 ZINC001484618814 1089542839 /nfs/dbraw/zinc/54/28/39/1089542839.db2.gz ZXYCBJZSXULZDQ-UKRRQHHQSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](C)Cc2ccco2)CC1 ZINC001484727088 1089620088 /nfs/dbraw/zinc/62/00/88/1089620088.db2.gz YIZQSPHZFOCLLK-HIFRSBDPSA-N 0 1 324.852 3.181 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)[C@@](C)(C=C)CC ZINC001484822869 1089703373 /nfs/dbraw/zinc/70/33/73/1089703373.db2.gz IWUJQPILWQOCDV-FPOVZHCZSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2nc(C)sc2C)C1 ZINC001484888384 1089723224 /nfs/dbraw/zinc/72/32/24/1089723224.db2.gz HPRRHDLTMJZTER-BLLLJJGKSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccsc1C ZINC001485036925 1089873139 /nfs/dbraw/zinc/87/31/39/1089873139.db2.gz KPCACYIOIPMONO-ZDUSSCGKSA-N 0 1 312.866 3.393 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001485069335 1089903944 /nfs/dbraw/zinc/90/39/44/1089903944.db2.gz NQVCEUGYGMSNGT-DZGCQCFKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]1CN(C)C/C=C/Cl ZINC001485058792 1089909160 /nfs/dbraw/zinc/90/91/60/1089909160.db2.gz VFEXMCQYEPDCNK-BPLPYTOXSA-N 0 1 310.869 3.430 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc2sccc2c1 ZINC001485072209 1089923128 /nfs/dbraw/zinc/92/31/28/1089923128.db2.gz DQRQBZKUKARWPF-INIZCTEOSA-N 0 1 312.438 3.071 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccccc1C1CCC1 ZINC001485074519 1089927173 /nfs/dbraw/zinc/92/71/73/1089927173.db2.gz ADMIQWOUMBPTCO-KRWDZBQOSA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C(F)F)c1 ZINC001485078455 1089928706 /nfs/dbraw/zinc/92/87/06/1089928706.db2.gz SEUQZZWRVRTLQV-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1F ZINC001157506889 1090001835 /nfs/dbraw/zinc/00/18/35/1090001835.db2.gz AOUYUTWNJQGTLN-CABCVRRESA-N 0 1 322.811 3.142 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)C(C)(C)CCC ZINC001485189059 1090020715 /nfs/dbraw/zinc/02/07/15/1090020715.db2.gz NAYJGADYLLKWBY-VXGBXAGGSA-N 0 1 319.287 3.204 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2conc2C)CCCC1 ZINC001485234370 1090040643 /nfs/dbraw/zinc/04/06/43/1090040643.db2.gz KRKAALIPEXHKPA-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN [O-]/N=C/c1cc(N[C@@]23CCC[C@@H]2[NH2+]CC3)cc(C(F)(F)F)c1 ZINC001162988412 1090048243 /nfs/dbraw/zinc/04/82/43/1090048243.db2.gz YCABGVSUVFAFIU-HVSLSVJNSA-N 0 1 313.323 3.210 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1cccnc1C ZINC001157705839 1090081066 /nfs/dbraw/zinc/08/10/66/1090081066.db2.gz LNSTVPGWXXZVQT-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN Cc1c(=O)[nH]n(-c2ccccc2)c1Nc1ncc(C)cc1C=NO ZINC001163111396 1090082076 /nfs/dbraw/zinc/08/20/76/1090082076.db2.gz SMVXNAXBLDRMLF-VXLYETTFSA-N 0 1 323.356 3.141 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001485296731 1090088956 /nfs/dbraw/zinc/08/89/56/1090088956.db2.gz DNABPASOUIGSGB-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN N#Cc1cc(C(F)(F)F)cnc1N[C@@H]1CNCc2ccsc21 ZINC001163219770 1090119288 /nfs/dbraw/zinc/11/92/88/1090119288.db2.gz GGCRGHLUXVSHFL-LLVKDONJSA-N 0 1 324.331 3.290 20 30 DGEDMN C=CCCCCCN1CCC[C@]12CCN(CC(F)(F)F)C2=O ZINC001272699641 1090148273 /nfs/dbraw/zinc/14/82/73/1090148273.db2.gz LXSQMUBIUJDQOZ-OAHLLOKOSA-N 0 1 318.383 3.362 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(Cl)c1C ZINC001157981757 1090164252 /nfs/dbraw/zinc/16/42/52/1090164252.db2.gz KBVOERCOZCCEIY-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1sccc1Cl ZINC001157982165 1090164706 /nfs/dbraw/zinc/16/47/06/1090164706.db2.gz PSOKVFQLLLEODX-CYBMUJFWSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1cncs1 ZINC001485477099 1090184018 /nfs/dbraw/zinc/18/40/18/1090184018.db2.gz QUGGMVMZCUHDOB-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1c(C)oc(C)c1C ZINC001158200065 1090200710 /nfs/dbraw/zinc/20/07/10/1090200710.db2.gz QPSHBZJNDZVYNC-QGZVFWFLSA-N 0 1 316.445 3.155 20 30 DGEDMN CCOc1cccc(CCNc2c[nH]c3c(C#N)cnc-3c2)c1 ZINC001163713806 1090240470 /nfs/dbraw/zinc/24/04/70/1090240470.db2.gz ILPJPFIDPMRANL-UHFFFAOYSA-N 0 1 306.369 3.488 20 30 DGEDMN C=CCCC(=O)NCCC1(CNCc2c(F)cccc2F)CC1 ZINC001163766825 1090254283 /nfs/dbraw/zinc/25/42/83/1090254283.db2.gz DOKOHFBWBAXTEJ-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1)c1ccccc1 ZINC001163884437 1090280186 /nfs/dbraw/zinc/28/01/86/1090280186.db2.gz GOJIXPWWDTXRJY-JZXOWHBKSA-N 0 1 300.446 3.287 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CC(C)(C)C1CC1 ZINC001485807695 1090296391 /nfs/dbraw/zinc/29/63/91/1090296391.db2.gz AYEAKJBQDUUFGS-HNNXBMFYSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1c(F)cccc1F ZINC001164222903 1090377586 /nfs/dbraw/zinc/37/75/86/1090377586.db2.gz OFQKQWBPZUTAOH-OLZOCXBDSA-N 0 1 310.388 3.019 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164233414 1090381858 /nfs/dbraw/zinc/38/18/58/1090381858.db2.gz GCHYHBFWDBSQQN-UONOGXRCSA-N 0 1 321.465 3.026 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2nccs2)CCCCC1 ZINC001115666948 1090385325 /nfs/dbraw/zinc/38/53/25/1090385325.db2.gz FYTIGIKRFNKGFM-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C[C@H]3C=CCC3)CCC[C@@H]12 ZINC001107270048 1090406917 /nfs/dbraw/zinc/40/69/17/1090406917.db2.gz KVSMMNOOHDAPEF-LZLYRXPVSA-N 0 1 322.880 3.456 20 30 DGEDMN N#Cc1ccc(CCSc2nc(-c3ccccn3)n[nH]2)cc1 ZINC001164515574 1090434948 /nfs/dbraw/zinc/43/49/48/1090434948.db2.gz XYEAYMSNDGTDIG-UHFFFAOYSA-N 0 1 307.382 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3(C4CC4)CC3)CCC[C@@H]12 ZINC001107445175 1090437544 /nfs/dbraw/zinc/43/75/44/1090437544.db2.gz NRVPLNQMYRRZNJ-NVXWUHKLSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CC3(C)CC3)CCC[C@@H]12 ZINC001107475541 1090444619 /nfs/dbraw/zinc/44/46/19/1090444619.db2.gz QVKLWWLRJYYJOJ-RHSMWYFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H](C)C(C)C)CCC[C@@H]12 ZINC001107564202 1090460856 /nfs/dbraw/zinc/46/08/56/1090460856.db2.gz MLXACBRFKPNNBR-BFYDXBDKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CCC2CC2)C1 ZINC001107830373 1090510935 /nfs/dbraw/zinc/51/09/35/1090510935.db2.gz LTDVCJZKKLIUDD-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C2CC(C)C2)C1 ZINC001107859521 1090518719 /nfs/dbraw/zinc/51/87/19/1090518719.db2.gz WPTTWHBMLUUGGN-FAFZWHIHSA-N 0 1 324.509 3.210 20 30 DGEDMN C=CCCCC(=O)NCCCNCc1csc(CCC)n1 ZINC001165535131 1090528936 /nfs/dbraw/zinc/52/89/36/1090528936.db2.gz BFTXXXDSDJSCSN-UHFFFAOYSA-N 0 1 309.479 3.048 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cccc(C(F)F)c2)C1=O ZINC001272824923 1090644342 /nfs/dbraw/zinc/64/43/42/1090644342.db2.gz ZICXTHCMRFTQAG-SFHVURJKSA-N 0 1 320.383 3.377 20 30 DGEDMN C=CCCCC(=O)NCCC1(CNCc2csc(C)n2)CC1 ZINC001166935247 1090678683 /nfs/dbraw/zinc/67/86/83/1090678683.db2.gz INEOTSFLLPWVHD-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3(CCCC)CC3)cccc2C1 ZINC001272916704 1090679178 /nfs/dbraw/zinc/67/91/78/1090679178.db2.gz GPXSZAVWNVSDLD-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001485880180 1090716421 /nfs/dbraw/zinc/71/64/21/1090716421.db2.gz KXMLZIFNUNIDPI-MRXNPFEDSA-N 0 1 317.477 3.498 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001485915205 1090726718 /nfs/dbraw/zinc/72/67/18/1090726718.db2.gz TUMIIVVDGVVCTC-HUUCEWRRSA-N 0 1 323.868 3.154 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl)C1 ZINC001485920596 1090727820 /nfs/dbraw/zinc/72/78/20/1090727820.db2.gz MPENXWFQGVGICP-NSHDSACASA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCCC[C@@H](C)CC ZINC001128158324 1090742190 /nfs/dbraw/zinc/74/21/90/1090742190.db2.gz GSOGXVKSKWPZAW-LBPRGKRZSA-N 0 1 319.287 3.207 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)C[C@H]1C ZINC001486122791 1090755361 /nfs/dbraw/zinc/75/53/61/1090755361.db2.gz UEZWVYRDIMNDKR-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCc2ccccc2)[C@@H]1C ZINC001486181917 1090779607 /nfs/dbraw/zinc/77/96/07/1090779607.db2.gz VZVPHQGIFPDJGX-PKOBYXMFSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CC#CC)[C@H]2C)CCCC1 ZINC001486186706 1090781835 /nfs/dbraw/zinc/78/18/35/1090781835.db2.gz LIMXOAJEJYUAGV-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC001486232980 1090789971 /nfs/dbraw/zinc/78/99/71/1090789971.db2.gz BSHHDVSJEHHEJC-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN COc1cc(C)c(C[N@H+]2CC[C@H]3SC(=O)C=C3C2)cc1C ZINC001203753902 1090797772 /nfs/dbraw/zinc/79/77/72/1090797772.db2.gz BLSKVCFZYUUJPJ-MRXNPFEDSA-N 0 1 303.427 3.086 20 30 DGEDMN N#Cc1cccc(CN2CCC(Oc3ccc(F)cn3)CC2)c1 ZINC001203763504 1090799522 /nfs/dbraw/zinc/79/95/22/1090799522.db2.gz BBPIRIMGWGXTBK-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C(C)(C)C)cc1)C(C)C ZINC001486380030 1090815533 /nfs/dbraw/zinc/81/55/33/1090815533.db2.gz WIMBFEXVHQXELA-UHFFFAOYSA-N 0 1 314.473 3.400 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](CNC/C(Cl)=C\Cl)C(C)(C)C ZINC001486395401 1090816633 /nfs/dbraw/zinc/81/66/33/1090816633.db2.gz ACEQBSTVNITFJU-RPHSKFLZSA-N 0 1 319.276 3.085 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ncccc1CC)C(C)(C)C ZINC001486405365 1090828578 /nfs/dbraw/zinc/82/85/78/1090828578.db2.gz WXTWCQKRRUKUHQ-AWEZNQCLSA-N 0 1 323.868 3.131 20 30 DGEDMN CC(C)(C)OC(=O)O[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001203900302 1090833001 /nfs/dbraw/zinc/83/30/01/1090833001.db2.gz HRMBPIJGWAATNW-HNNXBMFYSA-N 0 1 302.374 3.084 20 30 DGEDMN CC(C)(C)CC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001203906777 1090835852 /nfs/dbraw/zinc/83/58/52/1090835852.db2.gz YZQZHJBDDACRFY-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1ccc(C)cc1Cl ZINC001128245719 1090923538 /nfs/dbraw/zinc/92/35/38/1090923538.db2.gz HOZMKYWAEXNMPP-UHFFFAOYSA-N 0 1 308.853 3.457 20 30 DGEDMN C=CCOc1ccc(CN(CCO)Cc2cccs2)cc1 ZINC001204300059 1090943110 /nfs/dbraw/zinc/94/31/10/1090943110.db2.gz HZRQHLVCGICCNC-UHFFFAOYSA-N 0 1 303.427 3.307 20 30 DGEDMN C=CCOc1ccc(CN2CCc3cc(CO)ccc3C2)cc1 ZINC001204297785 1090943339 /nfs/dbraw/zinc/94/33/39/1090943339.db2.gz RSWONVJYZBBQEJ-UHFFFAOYSA-N 0 1 309.409 3.302 20 30 DGEDMN CCCOc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001204305640 1090945028 /nfs/dbraw/zinc/94/50/28/1090945028.db2.gz MMIPFINTZLYLKJ-INIZCTEOSA-N 0 1 303.427 3.250 20 30 DGEDMN CCCc1noc([C@@H]2CCCN(Cc3ccccc3C#N)C2)n1 ZINC001204373852 1090958838 /nfs/dbraw/zinc/95/88/38/1090958838.db2.gz VPRLMSPLTZJMFE-MRXNPFEDSA-N 0 1 310.401 3.273 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@H]1CCN(Cc1nc(C)cs1)C2 ZINC001204375891 1090960108 /nfs/dbraw/zinc/96/01/08/1090960108.db2.gz BVKYQJXPJHRLEV-RDJZCZTQSA-N 0 1 322.474 3.025 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1ccc(CC)o1)C2 ZINC001204393193 1090961068 /nfs/dbraw/zinc/96/10/68/1090961068.db2.gz MFGHQQLTCCWKCH-MSOLQXFVSA-N 0 1 305.418 3.168 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1ccc(CC)o1)C2 ZINC001204393203 1090961081 /nfs/dbraw/zinc/96/10/81/1090961081.db2.gz MFGHQQLTCCWKCH-ZWKOTPCHSA-N 0 1 305.418 3.168 20 30 DGEDMN C[C@H](C#N)CN(CC1(CNC(=O)OC(C)(C)C)CCC1)C1CC1 ZINC001204672483 1091014901 /nfs/dbraw/zinc/01/49/01/1091014901.db2.gz IEFVYHCYUYJQCC-CQSZACIVSA-N 0 1 321.465 3.305 20 30 DGEDMN Cc1ccc(Nc2ccc(C[NH+]3CCN(C)CC3)cc2)c(C#N)c1 ZINC001204747530 1091028807 /nfs/dbraw/zinc/02/88/07/1091028807.db2.gz WPEKJQSPVUXZLL-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN Cc1cc(C#N)ccc1Nc1ccc(C[NH+]2CCN(C)CC2)cc1 ZINC001204747058 1091028854 /nfs/dbraw/zinc/02/88/54/1091028854.db2.gz JAXSBVGEHWEJAL-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)CC2(C)CC2)C1 ZINC001108080002 1091030795 /nfs/dbraw/zinc/03/07/95/1091030795.db2.gz BGLUSSYSKUBMIC-IBGZPJMESA-N 0 1 324.509 3.354 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CN(CCCCCCC)CCO1 ZINC001108121960 1091105335 /nfs/dbraw/zinc/10/53/35/1091105335.db2.gz JYRKHXSDMNQYPL-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C#CCOc1ccc(CN(CC)Cc2ccncc2)cc1OC ZINC001138341694 1091147136 /nfs/dbraw/zinc/14/71/36/1091147136.db2.gz UHUWJIPLZIHLOK-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108149527 1091154042 /nfs/dbraw/zinc/15/40/42/1091154042.db2.gz XHHBHZYQJKFODW-SUVIQUNHSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108149387 1091154259 /nfs/dbraw/zinc/15/42/59/1091154259.db2.gz VCTFNWBSECBBJN-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(CNCc2cscn2)CC1 ZINC001205397608 1091211863 /nfs/dbraw/zinc/21/18/63/1091211863.db2.gz DGWYIGLOIRHGEG-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3ccc(F)cc3)CC2)cc1 ZINC001138556156 1091227693 /nfs/dbraw/zinc/22/76/93/1091227693.db2.gz IDPJBNHIMYSIDD-UHFFFAOYSA-N 0 1 324.399 3.160 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccc(C(=O)OC)c2)cc1 ZINC001138559813 1091228406 /nfs/dbraw/zinc/22/84/06/1091228406.db2.gz CIULTYWDAHVDHF-UHFFFAOYSA-N 0 1 323.392 3.117 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CCCC=C)C1 ZINC001108169786 1091259815 /nfs/dbraw/zinc/25/98/15/1091259815.db2.gz XILWUDGXYPUGTO-LJQANCHMSA-N 0 1 322.493 3.296 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@@H](CC(=O)OC)C1 ZINC001138665302 1091280692 /nfs/dbraw/zinc/28/06/92/1091280692.db2.gz MDALLHJNASLNFX-INIZCTEOSA-N 0 1 317.429 3.417 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@@H](NC(=O)CC)C1 ZINC001138666098 1091282155 /nfs/dbraw/zinc/28/21/55/1091282155.db2.gz IQEYUNUXAJXAPV-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C/C(=N\O)c1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1 ZINC001205687052 1091312489 /nfs/dbraw/zinc/31/24/89/1091312489.db2.gz MANSOGBTQZHBDG-LTGZKZEYSA-N 0 1 324.424 3.427 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1scc(C)c1Cl ZINC001273178146 1091336178 /nfs/dbraw/zinc/33/61/78/1091336178.db2.gz TXDXTSFAEPIWKN-CHWSQXEVSA-N 0 1 324.877 3.461 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C2(C)CCCCC2)C1 ZINC001108180265 1091337481 /nfs/dbraw/zinc/33/74/81/1091337481.db2.gz DFNIAJWKLCJDDK-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)CC(C)C)CCC1 ZINC001273180210 1091339311 /nfs/dbraw/zinc/33/93/11/1091339311.db2.gz PUJCJJSKTNSHDT-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN CC(C)Oc1cccc(C[N@@H+]2CC[C@H]3SC(=O)C=C3C2)c1 ZINC001138982693 1091408461 /nfs/dbraw/zinc/40/84/61/1091408461.db2.gz HKRLRVFCNIDGIV-MRXNPFEDSA-N 0 1 303.427 3.248 20 30 DGEDMN CC(C)Oc1cccc(CN2CCC3SC(=O)C=C3C2)c1 ZINC001138982693 1091408469 /nfs/dbraw/zinc/40/84/69/1091408469.db2.gz HKRLRVFCNIDGIV-MRXNPFEDSA-N 0 1 303.427 3.248 20 30 DGEDMN C=CCOc1ccccc1CN1CCc2cc(CO)ccc2C1 ZINC001139028980 1091423048 /nfs/dbraw/zinc/42/30/48/1091423048.db2.gz LQYIZLYFWZAPDP-UHFFFAOYSA-N 0 1 309.409 3.302 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccc(Oc3ncccn3)cc2)C1 ZINC001139080491 1091442285 /nfs/dbraw/zinc/44/22/85/1091442285.db2.gz GEYLRPCNVWSWOL-OAHLLOKOSA-N 0 1 308.385 3.395 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3scc(C)c3Cl)[C@@H]2C1 ZINC001084367241 1091482983 /nfs/dbraw/zinc/48/29/83/1091482983.db2.gz QWFPIKRIQFKBBM-CHWSQXEVSA-N 0 1 324.877 3.432 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(Cc4ccccc4)CC3)[C@@H]2C1 ZINC001084416103 1091486328 /nfs/dbraw/zinc/48/63/28/1091486328.db2.gz NTDXMVNOHUNYHZ-RTBURBONSA-N 0 1 324.468 3.118 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C(C)(C)C3CCCC3)[C@@H]2C1 ZINC001084413077 1091487740 /nfs/dbraw/zinc/48/77/40/1091487740.db2.gz HGOASRMOBCZNAV-NVXWUHKLSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2scnc2C)C1 ZINC001206156071 1091512558 /nfs/dbraw/zinc/51/25/58/1091512558.db2.gz ZOWLYLGSXVEHAB-UKRRQHHQSA-N 0 1 321.490 3.248 20 30 DGEDMN CC[C@@H]1COCCN1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139404910 1091549291 /nfs/dbraw/zinc/54/92/91/1091549291.db2.gz DYJNTCKVQNEMPI-GOSISDBHSA-N 0 1 307.397 3.231 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3CCOCC34CCC4)cc2)c1 ZINC001139405699 1091550567 /nfs/dbraw/zinc/55/05/67/1091550567.db2.gz YMZJHXTXSXSTBP-UHFFFAOYSA-N 0 1 319.408 3.375 20 30 DGEDMN CO[C@H]1CCCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139424269 1091555624 /nfs/dbraw/zinc/55/56/24/1091555624.db2.gz OARJMQOARCTJRF-SFHVURJKSA-N 0 1 323.396 3.356 20 30 DGEDMN C[C@@H]1C[C@H](O)CCN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139427472 1091555755 /nfs/dbraw/zinc/55/57/55/1091555755.db2.gz HKVCYTZNVILGPT-RHSMWYFYSA-N 0 1 323.396 3.091 20 30 DGEDMN CCO[C@@H]1CCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139429249 1091558703 /nfs/dbraw/zinc/55/87/03/1091558703.db2.gz WBVKZXIXYZFREG-GOSISDBHSA-N 0 1 323.396 3.356 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H](F)CC3CCCCC3)[C@@H]2C1 ZINC001084777165 1091559954 /nfs/dbraw/zinc/55/99/54/1091559954.db2.gz LZKVHSVTPNKIQH-KZNAEPCWSA-N 0 1 322.468 3.404 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](F)CC3CCCCC3)[C@@H]2C1 ZINC001084777107 1091560399 /nfs/dbraw/zinc/56/03/99/1091560399.db2.gz JSUNXVJELNXLJK-IXDOHACOSA-N 0 1 308.441 3.014 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccsc3C(F)F)[C@@H]2C1 ZINC001084802742 1091575624 /nfs/dbraw/zinc/57/56/24/1091575624.db2.gz NYIIEFGDRMSVLE-ZYHUDNBSSA-N 0 1 312.385 3.018 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCN(Cc2ccc(C#N)c(F)c2)C1 ZINC001139529755 1091589098 /nfs/dbraw/zinc/58/90/98/1091589098.db2.gz CGCAVISZBCDWLA-CQSZACIVSA-N 0 1 318.392 3.251 20 30 DGEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccc(C(C)C)s3)[C@@H]2C1 ZINC001084858835 1091597721 /nfs/dbraw/zinc/59/77/21/1091597721.db2.gz UERJATYEZDTHHR-HUUCEWRRSA-N 0 1 316.470 3.041 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccccc3CC(C)C)[C@@H]2C1 ZINC001084860752 1091599557 /nfs/dbraw/zinc/59/95/57/1091599557.db2.gz DWNPVHHXEXVXEG-IEBWSBKVSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(c4ccccc4C)CC3)[C@@H]2C1 ZINC001084867414 1091599876 /nfs/dbraw/zinc/59/98/76/1091599876.db2.gz LQGALMJHGKOPIZ-IEBWSBKVSA-N 0 1 324.468 3.135 20 30 DGEDMN CCN(CCC#N)Cc1[nH]nc2ccc(Br)cc21 ZINC001139659830 1091635814 /nfs/dbraw/zinc/63/58/14/1091635814.db2.gz VMXVBLLMZCAPKI-UHFFFAOYSA-N 0 1 307.195 3.061 20 30 DGEDMN N#Cc1ccc(C(=O)CO[C@H]2CCN(Cc3ccccc3)C2)cc1 ZINC001206491595 1091648616 /nfs/dbraw/zinc/64/86/16/1091648616.db2.gz IOBFAKOWQGCPGD-IBGZPJMESA-N 0 1 320.392 3.032 20 30 DGEDMN N#CCc1ccc(CNCc2ccnn2-c2ccc(F)cc2)cc1 ZINC001202964287 1091653285 /nfs/dbraw/zinc/65/32/85/1091653285.db2.gz KMOGHCMSSOCPFV-UHFFFAOYSA-N 0 1 320.371 3.367 20 30 DGEDMN Cc1ccc(CN2CCC(O)(c3ccc(F)cc3)CC2)cc1C#N ZINC001139724623 1091654238 /nfs/dbraw/zinc/65/42/38/1091654238.db2.gz XVGABQNEUSIJCJ-UHFFFAOYSA-N 0 1 324.399 3.489 20 30 DGEDMN Cc1cc(O[C@H]2CCCN(Cc3ccc(C)c(C#N)c3)C2)ncn1 ZINC001139727709 1091655741 /nfs/dbraw/zinc/65/57/41/1091655741.db2.gz XLRMBHJLZZDKHX-SFHVURJKSA-N 0 1 322.412 3.009 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCCN(Cc3ccc(C)c(C#N)c3)C2)n1 ZINC001139726963 1091656679 /nfs/dbraw/zinc/65/66/79/1091656679.db2.gz DJLNYWQHLGVMMS-GOSISDBHSA-N 0 1 322.412 3.009 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1ccccn1 ZINC001098948663 1091663329 /nfs/dbraw/zinc/66/33/29/1091663329.db2.gz CDVVILSXWSCYNP-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCn1cc(CN2CCC(Oc3cccc(F)c3)CC2)cn1 ZINC001139770504 1091667333 /nfs/dbraw/zinc/66/73/33/1091667333.db2.gz PSHMOZXIVRFKFL-UHFFFAOYSA-N 0 1 315.392 3.252 20 30 DGEDMN C=CCn1cc(CN2CCC(Oc3ccccc3C)CC2)cn1 ZINC001139765963 1091667941 /nfs/dbraw/zinc/66/79/41/1091667941.db2.gz IYHCFLZVQZFXPO-UHFFFAOYSA-N 0 1 311.429 3.421 20 30 DGEDMN C=CCOC[C@]12CCCO[C@H]1CCN(Cc1ncc(C)s1)C2 ZINC001139809060 1091676759 /nfs/dbraw/zinc/67/67/59/1091676759.db2.gz RGAJDYHHOKSFFS-DOTOQJQBSA-N 0 1 322.474 3.025 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CC[C@@H]1CC1(Cl)Cl ZINC001231168731 1091680376 /nfs/dbraw/zinc/68/03/76/1091680376.db2.gz ABCWMJVYFKEVKT-OLZOCXBDSA-N 0 1 319.276 3.069 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)nc1 ZINC001139840370 1091687114 /nfs/dbraw/zinc/68/71/14/1091687114.db2.gz ONDXQXLSFNFBAT-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3ccccc3C#N)C2)cn1 ZINC001139933042 1091715141 /nfs/dbraw/zinc/71/51/41/1091715141.db2.gz CFLLLDHELJOBIV-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN N#Cc1ccc(NCC2CN(Cc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000716225001 1091765344 /nfs/dbraw/zinc/76/53/44/1091765344.db2.gz XJUJFMBJANDXNQ-UHFFFAOYSA-N 0 1 322.368 3.010 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](C)c2ccccc2F)C[C@H]1C ZINC001206912666 1091793638 /nfs/dbraw/zinc/79/36/38/1091793638.db2.gz WXFDRWLABIXNCO-IIDMSEBBSA-N 0 1 316.420 3.127 20 30 DGEDMN COc1cncc(CN2CCC(Cc3ccc(C#N)cc3)CC2)c1 ZINC001206996454 1091816224 /nfs/dbraw/zinc/81/62/24/1091816224.db2.gz HWJKXFGMUPIJEU-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CCc1nccc(CN2CCC(c3ccc(C#N)cc3)CC2)n1 ZINC001207089051 1091841427 /nfs/dbraw/zinc/84/14/27/1091841427.db2.gz CIHBYGCYDOAJSI-UHFFFAOYSA-N 0 1 306.413 3.290 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c(C)oc2ccccc21 ZINC001085614882 1091859062 /nfs/dbraw/zinc/85/90/62/1091859062.db2.gz XYXXHYGQKWSXHA-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc(OCCC)c1 ZINC001085625491 1091862298 /nfs/dbraw/zinc/86/22/98/1091862298.db2.gz QUFZHAWRAAQRNE-KRWDZBQOSA-N 0 1 316.445 3.198 20 30 DGEDMN Cc1ncccc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001207154347 1091864723 /nfs/dbraw/zinc/86/47/23/1091864723.db2.gz DZEBWJODVUGSGA-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1nccc2ccsc21 ZINC001085650991 1091869490 /nfs/dbraw/zinc/86/94/90/1091869490.db2.gz HCSOFKGTSANJLY-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc2c1CCCCC2 ZINC001085657936 1091870941 /nfs/dbraw/zinc/87/09/41/1091870941.db2.gz DWDVVLJWNXNOFA-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001207171928 1091873180 /nfs/dbraw/zinc/87/31/80/1091873180.db2.gz KLQLREFHBRECKG-UKRRQHHQSA-N 0 1 306.475 3.287 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccccc1C(C)(C)C ZINC001085661336 1091873365 /nfs/dbraw/zinc/87/33/65/1091873365.db2.gz VHFANORRICWCDF-INIZCTEOSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN(CCN(C)Cc1cccc(O)c1)C(=O)OC(C)(C)C ZINC001207198507 1091884492 /nfs/dbraw/zinc/88/44/92/1091884492.db2.gz FBISDAMSZSOOAC-UHFFFAOYSA-N 0 1 320.433 3.247 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(F)ccc1CC ZINC001085696742 1091884865 /nfs/dbraw/zinc/88/48/65/1091884865.db2.gz RYVYXQDQCOEZKG-MRXNPFEDSA-N 0 1 304.409 3.111 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001085743867 1091913440 /nfs/dbraw/zinc/91/34/40/1091913440.db2.gz WPTHKVDSCVFDBL-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001085757146 1091914959 /nfs/dbraw/zinc/91/49/59/1091914959.db2.gz ZVJBEWAPIAXXPR-INIZCTEOSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001085757146 1091914989 /nfs/dbraw/zinc/91/49/89/1091914989.db2.gz ZVJBEWAPIAXXPR-INIZCTEOSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c(C)cccc1Cl ZINC001085800040 1091943597 /nfs/dbraw/zinc/94/35/97/1091943597.db2.gz JWYWJWLGVUHOHV-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN COc1ccc(CN(C)Cc2cc(F)c(C#N)c(F)c2)cc1 ZINC001140752216 1091984390 /nfs/dbraw/zinc/98/43/90/1091984390.db2.gz HMVWXOLGLRGMSC-UHFFFAOYSA-N 0 1 302.324 3.477 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001085868232 1091987803 /nfs/dbraw/zinc/98/78/03/1091987803.db2.gz JWMGXHFMFFPRFP-NZSAHSFTSA-N 0 1 312.457 3.207 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc(-c2ccoc2)c1 ZINC001085915067 1092011613 /nfs/dbraw/zinc/01/16/13/1092011613.db2.gz RICQDVWYKYPAHE-IBGZPJMESA-N 0 1 322.408 3.116 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc(CC)c(CC)o1 ZINC001085927567 1092019358 /nfs/dbraw/zinc/01/93/58/1092019358.db2.gz BFCONEDPRMDXPN-HNNXBMFYSA-N 0 1 304.434 3.127 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1coc2ccc(F)cc21 ZINC001085948277 1092037386 /nfs/dbraw/zinc/03/73/86/1092037386.db2.gz FPOCIUMHAWVAOA-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1CCC(C2CC2)CC1 ZINC001085951610 1092039392 /nfs/dbraw/zinc/03/93/92/1092039392.db2.gz JKPDXNSWIYRBDW-ABHNRTSZSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc2ccccc21 ZINC001085956181 1092045916 /nfs/dbraw/zinc/04/59/16/1092045916.db2.gz VDLLPBZHIURKEQ-QGZVFWFLSA-N 0 1 306.409 3.009 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)CCCC2 ZINC001085957661 1092046731 /nfs/dbraw/zinc/04/67/31/1092046731.db2.gz HIZLKIWOHGUFOX-LJQANCHMSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1sccc1C1CC1 ZINC001085959097 1092051229 /nfs/dbraw/zinc/05/12/29/1092051229.db2.gz SHOUOLDCIMFPLF-CQSZACIVSA-N 0 1 304.459 3.348 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001207636224 1092053680 /nfs/dbraw/zinc/05/36/80/1092053680.db2.gz RWLHBCJVYWIYMG-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1sc(C(C)C)nc1C ZINC001085967725 1092062841 /nfs/dbraw/zinc/06/28/41/1092062841.db2.gz UDSVLAWROWXRAI-CQSZACIVSA-N 0 1 321.490 3.297 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2cccc(C)c2o1 ZINC001085989099 1092074624 /nfs/dbraw/zinc/07/46/24/1092074624.db2.gz IDINEVMKRUFDTN-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001085993040 1092083204 /nfs/dbraw/zinc/08/32/04/1092083204.db2.gz AJYXPICCPMCEOS-MNNVXMFVSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCCCCCN1CC[C@H](S(C)(=O)=O)C1 ZINC001207899406 1092132721 /nfs/dbraw/zinc/13/27/21/1092132721.db2.gz YMOAGNHSQAWQOX-INIZCTEOSA-N 0 1 301.496 3.412 20 30 DGEDMN COCC[C@H]1CCCCN1Cc1sc(N)c(C#N)c1Cl ZINC001141111988 1092139671 /nfs/dbraw/zinc/13/96/71/1092139671.db2.gz KZTWIZGIGSXWCU-SNVBAGLBSA-N 0 1 313.854 3.246 20 30 DGEDMN CC[C@H](C)CC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001207914703 1092141571 /nfs/dbraw/zinc/14/15/71/1092141571.db2.gz NKIFLUCBXWNMML-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ccncc2Cl)CCC1 ZINC001491577128 1092180665 /nfs/dbraw/zinc/18/06/65/1092180665.db2.gz ACLKNUUKUZGBQG-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCCCCC(C)C)C1 ZINC001108260396 1092204568 /nfs/dbraw/zinc/20/45/68/1092204568.db2.gz MEMOGBNPBVHHTI-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(C)(C)C)C1 ZINC001108260975 1092206666 /nfs/dbraw/zinc/20/66/66/1092206666.db2.gz YUZUCBMPNFRQBW-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001491805298 1092221723 /nfs/dbraw/zinc/22/17/23/1092221723.db2.gz CRJUTJXYDDLDFQ-GJZGRUSLSA-N 0 1 319.449 3.084 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CCCCC(C)C)C1 ZINC001108288482 1092305693 /nfs/dbraw/zinc/30/56/93/1092305693.db2.gz CQOJYAKGECEQSY-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2ccc(Cl)cc2)C[C@H]1C ZINC001208309107 1092307507 /nfs/dbraw/zinc/30/75/07/1092307507.db2.gz BWHOMBAQXURZGS-CZUORRHYSA-N 0 1 320.864 3.489 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C(CC)(CC)CC)C1 ZINC001108307295 1092324164 /nfs/dbraw/zinc/32/41/64/1092324164.db2.gz XSKAZUPTJONJAA-SFHVURJKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C2(CC)CCCC2)C1 ZINC001108313310 1092330877 /nfs/dbraw/zinc/33/08/77/1092330877.db2.gz AXMPONHICVEUAS-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CSc1nc(CN2CC[C@@H](c3cccnc3)C2)ccc1C#N ZINC001141939921 1092365371 /nfs/dbraw/zinc/36/53/71/1092365371.db2.gz WNDJDQAEDUXUAH-OAHLLOKOSA-N 0 1 310.426 3.060 20 30 DGEDMN CSc1nc(CN2CCC[C@@H]2Cc2ccccn2)ccc1C#N ZINC001141939371 1092366197 /nfs/dbraw/zinc/36/61/97/1092366197.db2.gz ORXGQVJWEZGPCD-QGZVFWFLSA-N 0 1 324.453 3.277 20 30 DGEDMN CN1C[C@@H]2C[C@H]1CN2Cc1ccc(C#Cc2ccccc2)s1 ZINC001141977647 1092375946 /nfs/dbraw/zinc/37/59/46/1092375946.db2.gz LMOQQWSKDVYTBL-IRXDYDNUSA-N 0 1 308.450 3.036 20 30 DGEDMN C[C@@H]1C[C@@H](NCC#Cc2ccccc2)CN1C(=O)OC(C)(C)C ZINC001168825957 1092384204 /nfs/dbraw/zinc/38/42/04/1092384204.db2.gz VBJXSTQYUFYPFB-NVXWUHKLSA-N 0 1 314.429 3.026 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C2(CC)CCCCC2)C1 ZINC001108415116 1092426140 /nfs/dbraw/zinc/42/61/40/1092426140.db2.gz BSUBWKSZYZCCPV-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001492308620 1092469777 /nfs/dbraw/zinc/46/97/77/1092469777.db2.gz DUIWKZHIEHVVCN-GOSISDBHSA-N 0 1 319.424 3.025 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)C/C=C\c1ccccc1 ZINC001492370688 1092485228 /nfs/dbraw/zinc/48/52/28/1092485228.db2.gz VTIXUICGOQJZHW-XFXZXTDPSA-N 0 1 300.446 3.350 20 30 DGEDMN CC[C@@H](CCC(=O)OC)CN(CCC#N)Cc1ccccc1 ZINC001208780166 1092504161 /nfs/dbraw/zinc/50/41/61/1092504161.db2.gz NSKFDSZCMIXGSI-INIZCTEOSA-N 0 1 302.418 3.382 20 30 DGEDMN N#Cc1ccc2c(C[N@@H+]3CCc4c(O)cccc4C3)c[nH]c2c1 ZINC001142911792 1092583180 /nfs/dbraw/zinc/58/31/80/1092583180.db2.gz JZIAZLWAGMEHPI-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN N#Cc1ccc2c(CN3CCc4c(O)cccc4C3)c[nH]c2c1 ZINC001142911792 1092583189 /nfs/dbraw/zinc/58/31/89/1092583189.db2.gz JZIAZLWAGMEHPI-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001208917756 1092584847 /nfs/dbraw/zinc/58/48/47/1092584847.db2.gz ASAPCUUASPGUIP-LLBKUYECSA-N 0 1 319.276 3.488 20 30 DGEDMN COc1cc(C)cc(CN2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC001143158008 1092624050 /nfs/dbraw/zinc/62/40/50/1092624050.db2.gz SNBLJRBMRYMHDC-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001276616362 1092657820 /nfs/dbraw/zinc/65/78/20/1092657820.db2.gz VZMWPTGSYPXADF-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001209366642 1092743797 /nfs/dbraw/zinc/74/37/97/1092743797.db2.gz SJWPPMJXBQXWCO-KIWPFMIBSA-N 0 1 305.249 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCCCC1(F)F)C1CC1 ZINC001276633473 1092736498 /nfs/dbraw/zinc/73/64/98/1092736498.db2.gz HFPFAHNAJGBKGV-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN Cc1ccccc1NCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001149884770 1092738863 /nfs/dbraw/zinc/73/88/63/1092738863.db2.gz UHIFXQJTDYPQJQ-UHFFFAOYSA-N 0 1 319.368 3.184 20 30 DGEDMN COc1cc(C#N)ccc1Nc1cccc(N2CCN(C)CC2)c1 ZINC001209411369 1092764340 /nfs/dbraw/zinc/76/43/40/1092764340.db2.gz MOBBYSVQKJXRGY-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H](NC/C(Cl)=C/Cl)C2)C1 ZINC001209543199 1092816225 /nfs/dbraw/zinc/81/62/25/1092816225.db2.gz JXGGZGKWAODAIR-LLBKUYECSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001209876315 1092961036 /nfs/dbraw/zinc/96/10/36/1092961036.db2.gz YLBJCTRHIOXJRU-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C#Cc1ccc(Nc2cc(F)ccc2N2CCN(C)CC2)cc1 ZINC001210115247 1093047706 /nfs/dbraw/zinc/04/77/06/1093047706.db2.gz SKSFKPJGYPDVFH-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN N#Cc1ccc(Nc2ccc(O)c([N+](=O)[O-])c2)c2cccnc12 ZINC001210399401 1093155490 /nfs/dbraw/zinc/15/54/90/1093155490.db2.gz SAPBFGKBFIMIOE-UHFFFAOYSA-N 0 1 306.281 3.464 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](CC)CC(C)C)C1 ZINC001210553760 1093206952 /nfs/dbraw/zinc/20/69/52/1093206952.db2.gz WCEOKXZMLDBVHM-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(F)cc3C#N)c2)CC1 ZINC001210554155 1093208114 /nfs/dbraw/zinc/20/81/14/1093208114.db2.gz DPTZZOWEKHWLGA-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN Cc1ccc(Nc2ccccc2CN2CCN(C)CC2)c(C#N)c1 ZINC001210566654 1093212316 /nfs/dbraw/zinc/21/23/16/1093212316.db2.gz NVOQFLAEEVAEJV-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN Cc1ccc(Nc2ccccc2N2CCN(C)CC2)c(C#N)c1 ZINC001210567068 1093212857 /nfs/dbraw/zinc/21/28/57/1093212857.db2.gz VYVYQKICPZAVGR-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CN1CCN(c2cccc(F)c2Nc2ccccc2C#N)CC1 ZINC001210742617 1093267255 /nfs/dbraw/zinc/26/72/55/1093267255.db2.gz TXKDCVGUICWVGE-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C)c(C)c1)C1CC1 ZINC001276694583 1093393647 /nfs/dbraw/zinc/39/36/47/1093393647.db2.gz GKLPKYOLGPAKDE-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN CCOC(=O)c1cc(-c2cc(F)c(O)cc2F)ccc1C#N ZINC001211360235 1093472510 /nfs/dbraw/zinc/47/25/10/1093472510.db2.gz PTBLAXHAHCAPGP-UHFFFAOYSA-N 0 1 303.264 3.386 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)CCCCCC)[C@H](OC)C1 ZINC001213710715 1093646366 /nfs/dbraw/zinc/64/63/66/1093646366.db2.gz CHTHPDLUIIHRFB-RCCFBDPRSA-N 0 1 324.509 3.375 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001214307396 1093753924 /nfs/dbraw/zinc/75/39/24/1093753924.db2.gz DNZJTWSDFUQWAE-IIYDPXPESA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)C(CC)CC)C[C@H]1C ZINC001215018148 1093902714 /nfs/dbraw/zinc/90/27/14/1093902714.db2.gz VHLNBVBYIHFYBH-OSAQELSMSA-N 0 1 300.874 3.248 20 30 DGEDMN CCOc1ccc(-c2nc(-c3cccc(C#N)c3)no2)c(O)c1 ZINC001215241172 1093944342 /nfs/dbraw/zinc/94/43/42/1093944342.db2.gz JTCIWOCOQNQQMK-UHFFFAOYSA-N 0 1 307.309 3.380 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215379727 1093972141 /nfs/dbraw/zinc/97/21/41/1093972141.db2.gz XUHVZKGLTNCCHH-MQICCBEXSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2nc(C)c(C)s2)C1 ZINC001215427684 1093981385 /nfs/dbraw/zinc/98/13/85/1093981385.db2.gz IXGCADHARWBMPW-IUODEOHRSA-N 0 1 321.490 3.053 20 30 DGEDMN COc1cc(F)c(Nc2cc(C#N)c(F)cc2O)cc1OC ZINC001215722549 1094040862 /nfs/dbraw/zinc/04/08/62/1094040862.db2.gz MUCHTNPHYAHHAJ-UHFFFAOYSA-N 0 1 306.268 3.303 20 30 DGEDMN COc1c(F)cccc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216970051 1094285365 /nfs/dbraw/zinc/28/53/65/1094285365.db2.gz ZEQWJVTVRHAFTJ-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1cscn1 ZINC001135180702 1094430165 /nfs/dbraw/zinc/43/01/65/1094430165.db2.gz JHFAGMCIWPZJNC-STQMWFEESA-N 0 1 309.479 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001135185492 1094434479 /nfs/dbraw/zinc/43/44/79/1094434479.db2.gz YUCKAVQZRSPCEK-CHWSQXEVSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1ncc(CC)o1 ZINC001135185084 1094434948 /nfs/dbraw/zinc/43/49/48/1094434948.db2.gz TWTMKLQDPMXIOR-KBPBESRZSA-N 0 1 321.465 3.212 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2nocc2C)CC1 ZINC001135381953 1094510453 /nfs/dbraw/zinc/51/04/53/1094510453.db2.gz BSVXWKIWMCALAT-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2coc(C)n2)CCC1 ZINC001135394308 1094514038 /nfs/dbraw/zinc/51/40/38/1094514038.db2.gz FSQYAIVGJFCNOU-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@H](C)NCc2nocc2C)CCC1 ZINC001135395796 1094515169 /nfs/dbraw/zinc/51/51/69/1094515169.db2.gz UHQYFKXWLGBBFN-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2cscn2)CC1 ZINC001135386108 1094519236 /nfs/dbraw/zinc/51/92/36/1094519236.db2.gz BKKAJWJWHIGECK-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2ncc(C)o2)CCC1 ZINC001135390613 1094522178 /nfs/dbraw/zinc/52/21/78/1094522178.db2.gz LPUFEPSWLNUYMC-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@@H](C)NCc2cc(C)on2)CC1 ZINC001135415919 1094528407 /nfs/dbraw/zinc/52/84/07/1094528407.db2.gz OEFIOWXURJXAFD-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C[C@@H](C)NCc2nc(C)oc2C)C1 ZINC001135426859 1094534493 /nfs/dbraw/zinc/53/44/93/1094534493.db2.gz IUKQQEHSPYJTMM-OLZOCXBDSA-N 0 1 319.449 3.021 20 30 DGEDMN CC(C)CCCCC(=O)NCCNCc1cc(C#N)ccc1F ZINC001135500919 1094556619 /nfs/dbraw/zinc/55/66/19/1094556619.db2.gz CTKGBCDFXMGICJ-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN CC(C)CCCCC(=O)NCCNCc1ccc(C#N)cc1F ZINC001135501588 1094558059 /nfs/dbraw/zinc/55/80/59/1094558059.db2.gz WOTVGSGCUVRCNM-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1cscn1 ZINC001135565054 1094579262 /nfs/dbraw/zinc/57/92/62/1094579262.db2.gz OOYUGWUTQXTTAW-CHWSQXEVSA-N 0 1 309.479 3.118 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135612131 1094599125 /nfs/dbraw/zinc/59/91/25/1094599125.db2.gz QABCFPWPQHFRDP-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN Cc1ccc2c(Cl)cc(Cl)c(O[C@@H]3CNC[C@H]3C#N)c2n1 ZINC001218200753 1094655125 /nfs/dbraw/zinc/65/51/25/1094655125.db2.gz NHDJVIUNEVPXFF-NOZJJQNGSA-N 0 1 322.195 3.340 20 30 DGEDMN COc1cccc(/C=C\c2ccccc2O[C@@H]2CNC[C@H]2C#N)c1 ZINC001218200847 1094656413 /nfs/dbraw/zinc/65/64/13/1094656413.db2.gz NXRGWWJVANOKLS-KICTWUTESA-N 0 1 320.392 3.356 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218204157 1094658856 /nfs/dbraw/zinc/65/88/56/1094658856.db2.gz VMWVEOOVSKWKFS-MAUKXSAKSA-N 0 1 310.422 3.469 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@H](CC)CCCC)[C@H]2C1 ZINC001218331813 1094721477 /nfs/dbraw/zinc/72/14/77/1094721477.db2.gz ADCQNYFWTWIENB-FGTMMUONSA-N 0 1 322.493 3.081 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135863043 1094722328 /nfs/dbraw/zinc/72/23/28/1094722328.db2.gz BYMGNKDVNOBHHQ-CABCVRRESA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@H](C)C[C@@H]1C ZINC001121614358 1094728050 /nfs/dbraw/zinc/72/80/50/1094728050.db2.gz YSMGTBMLPXBEFV-OLZOCXBDSA-N 0 1 322.416 3.242 20 30 DGEDMN Cc1ccc(C)c(OC[C@H](O)CNCC2(C#N)CCCC2)c1C ZINC001336826691 1094752222 /nfs/dbraw/zinc/75/22/22/1094752222.db2.gz NAQSUSKAAMFYMY-QGZVFWFLSA-N 0 1 316.445 3.025 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC001336980805 1094801681 /nfs/dbraw/zinc/80/16/81/1094801681.db2.gz ICCHYJANBFIGCH-MRXNPFEDSA-N 0 1 313.445 3.146 20 30 DGEDMN N#Cc1c(NC(=O)[C@@H](N)c2ccccc2)sc2ccccc12 ZINC001218649151 1094846104 /nfs/dbraw/zinc/84/61/04/1094846104.db2.gz SVYRUOZUTGUFAH-HNNXBMFYSA-N 0 1 307.378 3.411 20 30 DGEDMN CC(C)c1ccccc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218813151 1094874463 /nfs/dbraw/zinc/87/44/63/1094874463.db2.gz SOEUCKBCUWVWDN-KRWDZBQOSA-N 0 1 307.397 3.190 20 30 DGEDMN N#Cc1cnc(CN[C@@H]2CCc3cccc(Cl)c3C2)s1 ZINC001170910805 1094910949 /nfs/dbraw/zinc/91/09/49/1094910949.db2.gz OIJGPFACLSFIMH-LLVKDONJSA-N 0 1 303.818 3.315 20 30 DGEDMN CCc1cc(NC(=O)[C@H](N)c2ccccc2)cc(CC)c1C#N ZINC001219112338 1094940922 /nfs/dbraw/zinc/94/09/22/1094940922.db2.gz DJBHFJNQORFWMB-GOSISDBHSA-N 0 1 307.397 3.322 20 30 DGEDMN Cc1c(NC(=O)Nc2cccc(C#N)n2)[nH]nc1-c1ccccc1 ZINC001219254525 1094955977 /nfs/dbraw/zinc/95/59/77/1094955977.db2.gz LAHYMSMXFORPFF-UHFFFAOYSA-N 0 1 318.340 3.296 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@]12CCC[C@H]1CN(C[C@@H](F)CC)C2 ZINC001111759669 1094959376 /nfs/dbraw/zinc/95/93/76/1094959376.db2.gz BXAVESSVPOZUCR-LNLFQRSKSA-N 0 1 322.468 3.474 20 30 DGEDMN N#CC(C(=O)c1ccc(Br)o1)c1cccc(F)n1 ZINC001122631179 1095021557 /nfs/dbraw/zinc/02/15/57/1095021557.db2.gz BPVXLHRNNDYKPU-ZETCQYMHSA-N 0 1 309.094 3.066 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](CCCC)C(C)C)C1 ZINC001112014194 1095026093 /nfs/dbraw/zinc/02/60/93/1095026093.db2.gz MDBUGVLMKLJQJV-SCTDSRPQSA-N 0 1 304.478 3.053 20 30 DGEDMN Cc1cccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)c1 ZINC001122707223 1095040999 /nfs/dbraw/zinc/04/09/99/1095040999.db2.gz IMLZSRGZJYPHLK-AWEZNQCLSA-N 0 1 302.337 3.011 20 30 DGEDMN CC(C)c1noc2ncc(C(=O)C(C#N)c3cccc(F)n3)cc21 ZINC001122715216 1095043192 /nfs/dbraw/zinc/04/31/92/1095043192.db2.gz ZXMMUMDMCMEPRY-GFCCVEGCSA-N 0 1 324.315 3.370 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cc(C(F)F)[nH]n1 ZINC001136466258 1095048459 /nfs/dbraw/zinc/04/84/59/1095048459.db2.gz LWUZVLSKJCBSAT-UHFFFAOYSA-N 0 1 316.311 3.047 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC001337726479 1095073073 /nfs/dbraw/zinc/07/30/73/1095073073.db2.gz ZYILECFEJAXNBS-GFCCVEGCSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC001337726479 1095073085 /nfs/dbraw/zinc/07/30/85/1095073085.db2.gz ZYILECFEJAXNBS-GFCCVEGCSA-N 0 1 311.364 3.077 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001170997253 1095107432 /nfs/dbraw/zinc/10/74/32/1095107432.db2.gz FZHJQLUCRAXWSE-HNNXBMFYSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@H](C)c1ncccn1 ZINC001171044013 1095182295 /nfs/dbraw/zinc/18/22/95/1095182295.db2.gz UBLTWXUSTFMJFG-HZPDHXFCSA-N 0 1 318.465 3.159 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1nc(C)oc1C ZINC001171044191 1095183453 /nfs/dbraw/zinc/18/34/53/1095183453.db2.gz VSEACGJAVAXBMU-AWEZNQCLSA-N 0 1 321.465 3.412 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)c1nsc2ccc(C)cc21 ZINC001123233756 1095194910 /nfs/dbraw/zinc/19/49/10/1095194910.db2.gz NJFURXFYCXMOSM-LBPRGKRZSA-N 0 1 310.382 3.311 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1nsc2ccc(C)cc21 ZINC001123233756 1095194914 /nfs/dbraw/zinc/19/49/14/1095194914.db2.gz NJFURXFYCXMOSM-LBPRGKRZSA-N 0 1 310.382 3.311 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1oc2ccc(OC)cc2c1C ZINC001123232882 1095195215 /nfs/dbraw/zinc/19/52/15/1095195215.db2.gz DGWPFOGBIQIJOV-CQSZACIVSA-N 0 1 323.352 3.456 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@@]1(N)CCc2ccccc21)c1ccccc1 ZINC001221361259 1095249300 /nfs/dbraw/zinc/24/93/00/1095249300.db2.gz SOKHEXFBFBKEPR-UYAOXDASSA-N 0 1 306.409 3.220 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+]([C@H](C)c3ccc(F)cc3)C[C@H]21 ZINC001221424848 1095262036 /nfs/dbraw/zinc/26/20/36/1095262036.db2.gz QINMJCZJAFMYPX-QGPMSJSTSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN([C@H](C)c3ccc(F)cc3)C[C@H]21 ZINC001221424848 1095262042 /nfs/dbraw/zinc/26/20/42/1095262042.db2.gz QINMJCZJAFMYPX-QGPMSJSTSA-N 0 1 316.420 3.386 20 30 DGEDMN C[C@@H]1CCC[C@H](OCC(=O)[C@@H](C#N)c2ncc(F)cc2F)C1 ZINC001123382914 1095271958 /nfs/dbraw/zinc/27/19/58/1095271958.db2.gz XWALBMKZJNKZRW-KGYLQXTDSA-N 0 1 308.328 3.131 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCN(C/C=C/c3ccccc3)C[C@H]21 ZINC001221519220 1095292475 /nfs/dbraw/zinc/29/24/75/1095292475.db2.gz FNNUCUCNYIRQME-IEJDHCNXSA-N 0 1 322.452 3.036 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cc(C)no2)CCCCC1 ZINC001115667090 1095323425 /nfs/dbraw/zinc/32/34/25/1095323425.db2.gz SDRJMWKHVRSYDN-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221760987 1095348485 /nfs/dbraw/zinc/34/84/85/1095348485.db2.gz WIGXMYFPGNPLMM-USXIJHARSA-N 0 1 318.486 3.383 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221783073 1095353028 /nfs/dbraw/zinc/35/30/28/1095353028.db2.gz XBQBFYHSOPTKIV-HZPDHXFCSA-N 0 1 304.459 3.137 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCCc3ccccc3)[C@@H]2C1 ZINC001221784733 1095354597 /nfs/dbraw/zinc/35/45/97/1095354597.db2.gz NRARYVOPGMLMBC-RTBURBONSA-N 0 1 312.457 3.118 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3cccc(C#N)c3)CC2)nc1 ZINC001144163010 1095540634 /nfs/dbraw/zinc/54/06/34/1095540634.db2.gz IJLINLVIEFVSLH-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccc([N+](=O)[O-])cc1 ZINC001116459695 1095563121 /nfs/dbraw/zinc/56/31/21/1095563121.db2.gz LPMVABBMWMRUBW-ZDUSSCGKSA-N 0 1 311.341 3.348 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)Nc1c2nn[nH]c2ccc1C ZINC001339268761 1095567506 /nfs/dbraw/zinc/56/75/06/1095567506.db2.gz YJSWAHXHJHJYRS-ZDUSSCGKSA-N 0 1 317.393 3.176 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](C)c1ccc(N2CCOCC2)cc1Cl ZINC001116621593 1095618927 /nfs/dbraw/zinc/61/89/27/1095618927.db2.gz YDASIOONSPCEDN-KBPBESRZSA-N 0 1 324.852 3.028 20 30 DGEDMN C[C@H](c1ccsc1)N1CCN(c2cccc(CC#N)n2)CC1 ZINC001116661531 1095627570 /nfs/dbraw/zinc/62/75/70/1095627570.db2.gz FBYVNKYLAJCBGH-CQSZACIVSA-N 0 1 312.442 3.092 20 30 DGEDMN C=CCCCNC(=O)N1CCC[N@H+](Cc2ccc(C)cc2)CC1 ZINC001116863973 1095684183 /nfs/dbraw/zinc/68/41/83/1095684183.db2.gz VKIHWFXCXAYQTK-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCCCNC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001116863973 1095684188 /nfs/dbraw/zinc/68/41/88/1095684188.db2.gz VKIHWFXCXAYQTK-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN CCCN(CCC#N)Cc1[nH]nc2ccc(Br)cc21 ZINC001139658092 1095687268 /nfs/dbraw/zinc/68/72/68/1095687268.db2.gz CUWIDECNNMWDQC-UHFFFAOYSA-N 0 1 321.222 3.451 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccc2ccccc2c1 ZINC001276834898 1095737422 /nfs/dbraw/zinc/73/74/22/1095737422.db2.gz OOCQDAURDAVNPD-HXUWFJFHSA-N 0 1 320.436 3.334 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001340057924 1095840823 /nfs/dbraw/zinc/84/08/23/1095840823.db2.gz MKVSZIYZHVDMHJ-UHFFFAOYSA-N 0 1 302.765 3.113 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2cccnc2C#N)C1 ZINC001223060139 1095851086 /nfs/dbraw/zinc/85/10/86/1095851086.db2.gz KYHZZWDKPFXTOD-NVXWUHKLSA-N 0 1 322.412 3.090 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)C3(CC(C)C)CCC3)C[C@@H]21 ZINC001223085568 1095863098 /nfs/dbraw/zinc/86/30/98/1095863098.db2.gz IYCXYIFBVARJMJ-SJORKVTESA-N 0 1 304.478 3.312 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CCOC[C@H]1Cc1ccccc1 ZINC001171229980 1095866617 /nfs/dbraw/zinc/86/66/17/1095866617.db2.gz AAQGRKYKZAFBSL-DYESRHJHSA-N 0 1 320.436 3.433 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(-c3ccccc3)s2)CC1 ZINC001340179901 1095897996 /nfs/dbraw/zinc/89/79/96/1095897996.db2.gz WUIPMWDOUGSGJA-UHFFFAOYSA-N 0 1 324.449 3.243 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001223337840 1095940610 /nfs/dbraw/zinc/94/06/10/1095940610.db2.gz ATULOPHDFTUJKD-OROYOVIGSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001223337841 1095940789 /nfs/dbraw/zinc/94/07/89/1095940789.db2.gz ATULOPHDFTUJKD-UTOJKVIPSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CC(C=C)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223403480 1095960482 /nfs/dbraw/zinc/96/04/82/1095960482.db2.gz MXFNKQJLTWLLPQ-HNNXBMFYSA-N 0 1 324.739 3.425 20 30 DGEDMN N#CC1(Nc2cccc(N)c2)CCN(Cc2ccccc2)CC1 ZINC001171277049 1096029777 /nfs/dbraw/zinc/02/97/77/1096029777.db2.gz IPFCDHIGKCKFJZ-UHFFFAOYSA-N 0 1 306.413 3.239 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCc1ccc(OC(C)C)c(OC)c1 ZINC001223690548 1096037739 /nfs/dbraw/zinc/03/77/39/1096037739.db2.gz GPRYSHMYTWDOHI-NSHDSACASA-N 0 1 306.358 3.218 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@@H](C)C1=O)c1ccccc1Cl ZINC001223772927 1096061962 /nfs/dbraw/zinc/06/19/62/1096061962.db2.gz SZOYJQURNBIFPT-BJOHPYRUSA-N 0 1 324.760 3.180 20 30 DGEDMN CC(C)c1cccc(C(=O)NCCNCc2ccccc2C#N)c1 ZINC001146623812 1096072442 /nfs/dbraw/zinc/07/24/42/1096072442.db2.gz RBOYRDWCTPNRPB-UHFFFAOYSA-N 0 1 321.424 3.201 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H](C)Cc2ccccc2)c1 ZINC001223892786 1096088956 /nfs/dbraw/zinc/08/89/56/1096088956.db2.gz MQHPRBRMJDPMEA-UNQMGURYSA-N 0 1 315.369 3.487 20 30 DGEDMN Cn1nc2c(c1CN=Nc1cc(Cl)ccc1F)CSCC2 ZINC001119298673 1096157734 /nfs/dbraw/zinc/15/77/34/1096157734.db2.gz JILMEEZTSIWRTP-UHFFFAOYSA-N 0 1 324.812 3.448 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)CC(C)(C)C)C[C@@H]21 ZINC001224197416 1096160007 /nfs/dbraw/zinc/16/00/07/1096160007.db2.gz AKGHZQVMGZVNSQ-CVEARBPZSA-N 0 1 304.478 3.005 20 30 DGEDMN CCCCCCCCNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC001224252361 1096172709 /nfs/dbraw/zinc/17/27/09/1096172709.db2.gz BVSMAQIROWWLFQ-UHFFFAOYSA-N 0 1 309.458 3.262 20 30 DGEDMN C#CCn1ccc(CN(CC)C2CC(OCc3ccccc3)C2)n1 ZINC001119773117 1096258525 /nfs/dbraw/zinc/25/85/25/1096258525.db2.gz BIHHKHWTASNNHA-UHFFFAOYSA-N 0 1 323.440 3.086 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224665140 1096259750 /nfs/dbraw/zinc/25/97/50/1096259750.db2.gz CXJOJZXSXZQNBM-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C[C@H](CCC(C)(C)C)C(=O)NCCNCC#Cc1ccccc1 ZINC001147787237 1096271918 /nfs/dbraw/zinc/27/19/18/1096271918.db2.gz RDNVEYRXTKNQLA-QGZVFWFLSA-N 0 1 314.473 3.206 20 30 DGEDMN C=CC1(CC(=O)NCC2CCN(CCF)CC2)CCCCC1 ZINC001224825151 1096293950 /nfs/dbraw/zinc/29/39/50/1096293950.db2.gz HGGYQYXXQVLENA-UHFFFAOYSA-N 0 1 310.457 3.311 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NC/C(Cl)=C\Cl ZINC001225282638 1096383807 /nfs/dbraw/zinc/38/38/07/1096383807.db2.gz ALUXPNDVZWPIKP-FWPSRMAWSA-N 0 1 305.249 3.289 20 30 DGEDMN Cc1ccc(C#N)c(OC(C(=O)c2ccco2)c2ccco2)n1 ZINC001225866266 1096549040 /nfs/dbraw/zinc/54/90/40/1096549040.db2.gz YDFIVDPGSMRDEQ-MRXNPFEDSA-N 0 1 308.293 3.451 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cccc(F)c2C#N)C1 ZINC001225973084 1096578103 /nfs/dbraw/zinc/57/81/03/1096578103.db2.gz BAGRPWOGMHFZOM-ZIAGYGMSSA-N 0 1 311.360 3.307 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2cnc3[nH]ccc3c2)C1 ZINC001226089702 1096609172 /nfs/dbraw/zinc/60/91/72/1096609172.db2.gz VTCQZWDEMJLRDI-QGZVFWFLSA-N 0 1 318.380 3.039 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccnc3[nH]ccc32)C1 ZINC001226100278 1096612103 /nfs/dbraw/zinc/61/21/03/1096612103.db2.gz PZHRNSQDQGEAGX-MRXNPFEDSA-N 0 1 318.380 3.039 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccnc3[nH]ccc32)C1 ZINC001226100277 1096612600 /nfs/dbraw/zinc/61/26/00/1096612600.db2.gz PZHRNSQDQGEAGX-INIZCTEOSA-N 0 1 318.380 3.039 20 30 DGEDMN Cc1cc(=O)[n-]c(O[C@H]2CCC[N@@H+](Cc3ccccc3)C2)c1C#N ZINC001226221436 1096640836 /nfs/dbraw/zinc/64/08/36/1096640836.db2.gz LKSOYKULYRXHQE-INIZCTEOSA-N 0 1 323.396 3.011 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(C)ccc2C)[C@H](C)C1 ZINC001093156894 1096661927 /nfs/dbraw/zinc/66/19/27/1096661927.db2.gz GVKGSXHMNVIPHY-GDBMZVCRSA-N 0 1 320.864 3.354 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001226482228 1096701000 /nfs/dbraw/zinc/70/10/00/1096701000.db2.gz YLBKQZHADVSHMU-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2cc(C)ccc2F)CC1 ZINC001226535920 1096716946 /nfs/dbraw/zinc/71/69/46/1096716946.db2.gz ZACRZNVBDILYME-UHFFFAOYSA-N 0 1 304.409 3.181 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2cccc3n[nH]cc32)C1 ZINC001226618073 1096735804 /nfs/dbraw/zinc/73/58/04/1096735804.db2.gz UOFNUNZRHCBZTG-MRXNPFEDSA-N 0 1 318.380 3.088 20 30 DGEDMN C[C@H](c1cccc(Cl)c1)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226624685 1096736692 /nfs/dbraw/zinc/73/66/92/1096736692.db2.gz CGULGSWPTODLML-QWHCGFSZSA-N 0 1 319.836 3.141 20 30 DGEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001226649864 1096742003 /nfs/dbraw/zinc/74/20/03/1096742003.db2.gz XSMMWSNUTYLADJ-STQMWFEESA-N 0 1 319.836 3.141 20 30 DGEDMN C=C(C)C[C@H](Oc1ncnc2[nH]nc(Br)c21)C(=C)C ZINC001226669115 1096748112 /nfs/dbraw/zinc/74/81/12/1096748112.db2.gz MLQALRVNKMBJMU-VIFPVBQESA-N 0 1 323.194 3.405 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc(F)c1Br ZINC001227014311 1096845453 /nfs/dbraw/zinc/84/54/53/1096845453.db2.gz SPTCZATZMLXVTM-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C[C@@H](Oc1nc2ccc(C#N)cc2[nH]1)c1cnc2ccccn21 ZINC001227221999 1096898627 /nfs/dbraw/zinc/89/86/27/1096898627.db2.gz WCLYVHMJPPCXNE-LLVKDONJSA-N 0 1 303.325 3.222 20 30 DGEDMN N#CCN1CC[C@@H](OC2=CC(=O)C=CC2=N[N-]c2cccc[nH+]2)C1 ZINC001227490751 1096940325 /nfs/dbraw/zinc/94/03/25/1096940325.db2.gz YVSPVKHDEFONKF-YYZBOFOMSA-N 0 1 323.356 3.179 20 30 DGEDMN C=C[C@@H](N[C@H]1CC(=O)N(C(=O)OC(C)(C)C)C1)c1ccccc1 ZINC001171703103 1096952141 /nfs/dbraw/zinc/95/21/41/1096952141.db2.gz YHILJRZTLLELHL-LSDHHAIUSA-N 0 1 316.401 3.039 20 30 DGEDMN Cc1cccc(C2(N[C@@H](C)Cc3ccc(C#N)cc3)COC2)c1 ZINC001172303197 1097094701 /nfs/dbraw/zinc/09/47/01/1097094701.db2.gz VYSLDDJKBGJTKQ-INIZCTEOSA-N 0 1 306.409 3.313 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001228703447 1097173176 /nfs/dbraw/zinc/17/31/76/1097173176.db2.gz YNUZQKIKUYAOET-AYSLTRBKSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001228786881 1097190119 /nfs/dbraw/zinc/19/01/19/1097190119.db2.gz SZHMZSVUDUVJRM-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cccc(C#N)c1Br ZINC001229009049 1097236037 /nfs/dbraw/zinc/23/60/37/1097236037.db2.gz ZWCGVQZMELOVKE-JTQLQIEISA-N 0 1 322.158 3.309 20 30 DGEDMN N#Cc1ccc(Cl)c(O[C@H]2CC[C@H](N3CCOCC3)CC2)c1 ZINC001229250555 1097284252 /nfs/dbraw/zinc/28/42/52/1097284252.db2.gz MXRDQSCPXJZDPE-SHTZXODSSA-N 0 1 320.820 3.234 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](CC)CC2CCCC2)CC1 ZINC001229453178 1097324257 /nfs/dbraw/zinc/32/42/57/1097324257.db2.gz KTFFDNHZRBEZFE-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#C[C@H]1CCCN([C@H](C)Cc2c(F)c(OC)ccc2[N+](=O)[O-])C1 ZINC001173195647 1097358515 /nfs/dbraw/zinc/35/85/15/1097358515.db2.gz HWAASTVMHAPNIS-OLZOCXBDSA-N 0 1 320.364 3.019 20 30 DGEDMN C=C[C@H](CC(=O)OC(C)(C)C)Oc1nc2ccc(OC)cc2[nH]1 ZINC001229730493 1097373432 /nfs/dbraw/zinc/37/34/32/1097373432.db2.gz QTYLWTIHJOHHST-LLVKDONJSA-N 0 1 318.373 3.237 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1CC[C@H](CC)C1)CC2 ZINC001173274784 1097392211 /nfs/dbraw/zinc/39/22/11/1097392211.db2.gz FVGFSOFOJASHGC-GJZGRUSLSA-N 0 1 303.450 3.060 20 30 DGEDMN C#CCOc1ccc(Nc2cccc(N3CCN(C)CC3)c2)cc1 ZINC001212715899 1097394381 /nfs/dbraw/zinc/39/43/81/1097394381.db2.gz NSQYQBOGDQJENC-UHFFFAOYSA-N 0 1 321.424 3.194 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)cc1C#N ZINC001229834673 1097395756 /nfs/dbraw/zinc/39/57/56/1097395756.db2.gz DKAMHTVCAJUMCM-ZETCQYMHSA-N 0 1 313.231 3.055 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CCCC)C(C)C)C2 ZINC001110168771 1097405014 /nfs/dbraw/zinc/40/50/14/1097405014.db2.gz BENQFLYXOJIAIA-BDXSIMOUSA-N 0 1 304.478 3.194 20 30 DGEDMN N#CCC1CCC(N2Cc3cnn(C4CCOCC4)c3C2)CC1 ZINC001173336819 1097420817 /nfs/dbraw/zinc/42/08/17/1097420817.db2.gz NAZYUBDAASDSGK-UHFFFAOYSA-N 0 1 314.433 3.023 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001277440945 1097432675 /nfs/dbraw/zinc/43/26/75/1097432675.db2.gz HVVFXKKBVOEZQX-BBWFWOEESA-N 0 1 322.493 3.079 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CN(C2CCC(C)(C#N)CC2)C1 ZINC001173592333 1097466420 /nfs/dbraw/zinc/46/64/20/1097466420.db2.gz NARIRBZWSKZZQB-UHFFFAOYSA-N 0 1 307.438 3.010 20 30 DGEDMN CC1(C#N)CCC(n2nc(COCC3CC3)c3c2CNC3)CC1 ZINC001173594632 1097466866 /nfs/dbraw/zinc/46/68/66/1097466866.db2.gz CLVHPMNXSUJVFY-UHFFFAOYSA-N 0 1 314.433 3.058 20 30 DGEDMN C=C[C@@H](C(=O)NC1CCN(C[C@@H](F)CC)CC1)c1ccccc1 ZINC001230331913 1097477196 /nfs/dbraw/zinc/47/71/96/1097477196.db2.gz JOZFMTJHPMDONH-FUHWJXTLSA-N 0 1 318.436 3.285 20 30 DGEDMN C=CCC[C@@H](C(=O)NC1CCN(CC#CC)CC1)c1ccccc1 ZINC001230479574 1097495342 /nfs/dbraw/zinc/49/53/42/1097495342.db2.gz MAJSTQLWYNVCKO-HXUWFJFHSA-N 0 1 324.468 3.340 20 30 DGEDMN COc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1F ZINC001173862303 1097521688 /nfs/dbraw/zinc/52/16/88/1097521688.db2.gz QLMNYMWAXZIZQS-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN COc1cc(OC)c(Nc2cc(C#N)c(F)cc2O)c(OC)c1 ZINC001173911268 1097537369 /nfs/dbraw/zinc/53/73/69/1097537369.db2.gz LLWPHRQWWOXYKE-UHFFFAOYSA-N 0 1 318.304 3.172 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230715424 1097563140 /nfs/dbraw/zinc/56/31/40/1097563140.db2.gz YKAXHCWUNBKQEK-MRXNPFEDSA-N 0 1 319.449 3.184 20 30 DGEDMN C=CCN(CCCNC(=O)CC(C)(C)CC)Cc1cccnc1 ZINC001230769211 1097578644 /nfs/dbraw/zinc/57/86/44/1097578644.db2.gz FLBUYMFDXIZUKM-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)C1CCC1)Cc1cccnc1 ZINC001230783420 1097583261 /nfs/dbraw/zinc/58/32/61/1097583261.db2.gz IMPWGJWXDPRLTG-MRXNPFEDSA-N 0 1 315.461 3.012 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Cl)nc1Br ZINC001230933097 1097625353 /nfs/dbraw/zinc/62/53/53/1097625353.db2.gz CDLYMBHUASODMS-YFKPBYRVSA-N 0 1 318.554 3.096 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)c(F)cc1F ZINC001230949555 1097629726 /nfs/dbraw/zinc/62/97/26/1097629726.db2.gz AXIACIPHUKDKKO-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc([C@H](CC)CC(=O)OC)c1 ZINC001230999102 1097641900 /nfs/dbraw/zinc/64/19/00/1097641900.db2.gz HFUZSPHHANVQPJ-WCQYABFASA-N 0 1 318.369 3.341 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cccc([C@H](C)CC(=O)OC)c1 ZINC001231014081 1097647058 /nfs/dbraw/zinc/64/70/58/1097647058.db2.gz YLEKIJJTIZXZMT-ABAIWWIYSA-N 0 1 318.369 3.341 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1cnc(C)s1 ZINC001231069400 1097660966 /nfs/dbraw/zinc/66/09/66/1097660966.db2.gz CRDFVYIOBYVCGD-OAHLLOKOSA-N 0 1 321.490 3.344 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001231121306 1097673782 /nfs/dbraw/zinc/67/37/82/1097673782.db2.gz BKYSRXKCGGTMNM-LJQANCHMSA-N 0 1 312.457 3.174 20 30 DGEDMN CCN(C)C(=O)c1ccc(Nc2cc(C#N)c(F)cc2O)cc1 ZINC001174322813 1097675800 /nfs/dbraw/zinc/67/58/00/1097675800.db2.gz SSXPUPQVFCNLQK-UHFFFAOYSA-N 0 1 313.332 3.238 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(=Nc2cc(F)cnc2C#N)CC1 ZINC001174440822 1097717004 /nfs/dbraw/zinc/71/70/04/1097717004.db2.gz VAETZNBOPIHWKG-UHFFFAOYSA-N 0 1 318.352 3.029 20 30 DGEDMN Cc1cc(O)ccc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001174561782 1097777393 /nfs/dbraw/zinc/77/73/93/1097777393.db2.gz BRELBLZTKOPUTM-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN C#Cc1cccc(CN2CCC([C@@](C)(O)C(F)(F)F)CC2)c1 ZINC001231661904 1097807832 /nfs/dbraw/zinc/80/78/32/1097807832.db2.gz ZWOMDNNXMWCQQG-MRXNPFEDSA-N 0 1 311.347 3.193 20 30 DGEDMN COc1ccc(Nc2ccc(N3CCN(C)CC3)cc2)c(C#N)c1 ZINC001174815537 1097846192 /nfs/dbraw/zinc/84/61/92/1097846192.db2.gz PBLFFWNKYIOWHH-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCCC(=O)N(C)C1CN(CCCCc2ccccc2)C1 ZINC001277570615 1097853391 /nfs/dbraw/zinc/85/33/91/1097853391.db2.gz NZEQTAOGWAXGMJ-UHFFFAOYSA-N 0 1 300.446 3.118 20 30 DGEDMN Cc1cnc2c(c1)CN(Cc1ccc(N(C)CCC#N)cc1)CC2 ZINC001231822250 1097854964 /nfs/dbraw/zinc/85/49/64/1097854964.db2.gz ZDJCHBKPDVSYFJ-UHFFFAOYSA-N 0 1 320.440 3.298 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1cscn1 ZINC001174977974 1097893432 /nfs/dbraw/zinc/89/34/32/1097893432.db2.gz GFQQRPAJVXXQJS-AWEZNQCLSA-N 0 1 309.479 3.264 20 30 DGEDMN COc1ccc(-c2c(CN3CCC(C)(C#N)CC3)cnn2C)cc1 ZINC001232109574 1097940521 /nfs/dbraw/zinc/94/05/21/1097940521.db2.gz CICDFWNKGRLBBB-UHFFFAOYSA-N 0 1 324.428 3.221 20 30 DGEDMN Cc1nnc([C@H]2CCCN(Cc3ccc(C#N)c(Cl)c3)C2)o1 ZINC001232116532 1097943681 /nfs/dbraw/zinc/94/36/81/1097943681.db2.gz MTQAWBMPMUOXIL-AWEZNQCLSA-N 0 1 316.792 3.283 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001277625031 1097957721 /nfs/dbraw/zinc/95/77/21/1097957721.db2.gz BDZGTPISFPMDDZ-LJQANCHMSA-N 0 1 312.457 3.289 20 30 DGEDMN COCc1cccc(CN2CCC(C#Cc3ccccc3)CC2)n1 ZINC001232260636 1097984255 /nfs/dbraw/zinc/98/42/55/1097984255.db2.gz ORBWNSAJTHXFIC-UHFFFAOYSA-N 0 1 320.436 3.492 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CCc1ccccc1)C2 ZINC001110184595 1098009704 /nfs/dbraw/zinc/00/97/04/1098009704.db2.gz PDGDGBBTMWUJRO-LMCOJAPRSA-N 0 1 324.468 3.000 20 30 DGEDMN COc1ccc(CN2CCN(c3ccc(C#N)cc3)CC2)cc1C ZINC001175444860 1098051645 /nfs/dbraw/zinc/05/16/45/1098051645.db2.gz PSOCHINCLHSVPT-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN COc1ccccc1CC1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001232477588 1098052697 /nfs/dbraw/zinc/05/26/97/1098052697.db2.gz NVTBDIWRGDBSIL-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN Cn1cc2c(cccc2Nc2sc3c(c2C#N)CCN(C)C3)n1 ZINC001175588815 1098090097 /nfs/dbraw/zinc/09/00/97/1098090097.db2.gz MYNVASWJDYFLTL-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN C[N@@H+](Cc1cc(C#N)ccc1[O-])Cc1ncccc1C(F)(F)F ZINC001232674623 1098111948 /nfs/dbraw/zinc/11/19/48/1098111948.db2.gz CFDVRBVSXAAGPD-UHFFFAOYSA-N 0 1 321.302 3.310 20 30 DGEDMN N#Cc1ccc(O)c(CN2CCc3c([nH]nc3C(F)(F)F)C2)c1 ZINC001232678239 1098112524 /nfs/dbraw/zinc/11/25/24/1098112524.db2.gz RJDKJZCHYXBFLM-AWEZNQCLSA-N 0 1 322.290 3.120 20 30 DGEDMN C[C@@H](c1ccccc1)[NH+]1CCN(Cc2cc(C#N)ccc2[O-])CC1 ZINC001232679671 1098113746 /nfs/dbraw/zinc/11/37/46/1098113746.db2.gz QSJFPTVOMSBUAS-INIZCTEOSA-N 0 1 321.424 3.143 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H](Oc3cccc(F)c3)C2)c1 ZINC001232681096 1098113948 /nfs/dbraw/zinc/11/39/48/1098113948.db2.gz KYEGZCNRUGXPDL-KRWDZBQOSA-N 0 1 312.344 3.056 20 30 DGEDMN Cc1[nH]nc2cc(Nc3nc4ncccc4cc3C#N)ccc12 ZINC001175659431 1098129268 /nfs/dbraw/zinc/12/92/68/1098129268.db2.gz KZZUIGXKRZSNIJ-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1ccc(C#N)s1 ZINC001232847327 1098153072 /nfs/dbraw/zinc/15/30/72/1098153072.db2.gz VMDKJKLJEWMQTN-GFCCVEGCSA-N 0 1 306.431 3.316 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCC[N@H+](Cc1cc(C)cc(O)c1)C2 ZINC001232881886 1098160818 /nfs/dbraw/zinc/16/08/18/1098160818.db2.gz QGJBMPLJYFYAAI-RBUKOAKNSA-N 0 1 317.429 3.027 20 30 DGEDMN Cc1ccccc1O[C@@H]1CCCN(Cc2cccc(C#N)n2)C1 ZINC001232924534 1098173802 /nfs/dbraw/zinc/17/38/02/1098173802.db2.gz IKVWIEDROWWZSM-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN CC[C@H]1CN(Cc2ccccc2)CCN1Cc1cccc(C#N)n1 ZINC001232926278 1098174764 /nfs/dbraw/zinc/17/47/64/1098174764.db2.gz GMCQPBVVDUMFOU-FQEVSTJZSA-N 0 1 320.440 3.050 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001233083079 1098222460 /nfs/dbraw/zinc/22/24/60/1098222460.db2.gz UBDKGQMKNSYENO-UHFFFAOYSA-N 0 1 316.408 3.061 20 30 DGEDMN COC(CN(Cc1ccccc1)Cc1ccc(C#N)cc1C)OC ZINC001233080772 1098222699 /nfs/dbraw/zinc/22/26/99/1098222699.db2.gz WHPLZEGUCQUOJI-UHFFFAOYSA-N 0 1 324.424 3.488 20 30 DGEDMN COc1ccccc1C[C@H](C)[N@@H+](C)Cc1ccc(C#N)cc1[O-] ZINC001233387684 1098310149 /nfs/dbraw/zinc/31/01/49/1098310149.db2.gz UGBIGBDESAKEED-AWEZNQCLSA-N 0 1 310.397 3.335 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@@H](Oc3cccc(F)c3)C2)c([O-])c1 ZINC001233388267 1098312256 /nfs/dbraw/zinc/31/22/56/1098312256.db2.gz RXVXAVUFPYUFTD-QGZVFWFLSA-N 0 1 312.344 3.056 20 30 DGEDMN N#C[C@H]1CCCN(Cc2c[nH]nc2-c2cc(F)cc(F)c2)CC1 ZINC001233405566 1098318065 /nfs/dbraw/zinc/31/80/65/1098318065.db2.gz DJEXWZILSSVTDF-LBPRGKRZSA-N 0 1 316.355 3.481 20 30 DGEDMN N#CC=C(O)c1ccc(O[C@H]2CC[N@@H+](Cc3ccccc3)C2)cc1 ZINC001233518233 1098360049 /nfs/dbraw/zinc/36/00/49/1098360049.db2.gz MPLDRVNSQAWAFV-IBGZPJMESA-N 0 1 320.392 3.436 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2c(F)cc(F)c(O)c2F)c1 ZINC001176671141 1098402188 /nfs/dbraw/zinc/40/21/88/1098402188.db2.gz TXTSDUMBWGJOQX-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN C[C@H](C#N)c1ccc(Nc2ccc(N3CCN(C)CC3)nc2)cc1 ZINC001176655116 1098410043 /nfs/dbraw/zinc/41/00/43/1098410043.db2.gz FPXJKIBRRQFJSC-OAHLLOKOSA-N 0 1 321.428 3.204 20 30 DGEDMN Cc1ccc(C)c(Nc2ccc(N3CCN(C)CC3)nc2)c1C#N ZINC001176660309 1098411659 /nfs/dbraw/zinc/41/16/59/1098411659.db2.gz KGCQTTHZPNMZKL-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN COc1cc(/C=N/O)ccc1OC(C(F)(F)F)C(F)(F)F ZINC001233801124 1098433381 /nfs/dbraw/zinc/43/33/81/1098433381.db2.gz WARYOORXRHFNNK-BLLMUTORSA-N 0 1 317.185 3.375 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001277809853 1098437377 /nfs/dbraw/zinc/43/73/77/1098437377.db2.gz JSLYJLKJQNDTHB-KGLIPLIRSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(C)CCN1CCO[C@@H]2CCN(C(=O)C=C(CC)CC)C[C@H]21 ZINC001176982670 1098458898 /nfs/dbraw/zinc/45/88/98/1098458898.db2.gz HEAYNWHSJVXYRD-QZTJIDSGSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2OCCN(CC(CC)CC)[C@H]2C1 ZINC001177023717 1098464025 /nfs/dbraw/zinc/46/40/25/1098464025.db2.gz BOGKCOOACZUNEO-ZWKOTPCHSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001233966225 1098468459 /nfs/dbraw/zinc/46/84/59/1098468459.db2.gz KVDFSFURIGPPSP-IBGZPJMESA-N 0 1 312.457 3.085 20 30 DGEDMN CCc1cc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)cc(CC)c1C#N ZINC001177177792 1098492114 /nfs/dbraw/zinc/49/21/14/1098492114.db2.gz FWHJERFZKKYGEA-CQSZACIVSA-N 0 1 322.412 3.150 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc([C@@H]2CC(=O)C=C(C)O2)cc1 ZINC001234157803 1098506711 /nfs/dbraw/zinc/50/67/11/1098506711.db2.gz BYBJDQPXQNAUOZ-ZBEGNZNMSA-N 0 1 314.337 3.219 20 30 DGEDMN CCC(CC)C(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001234180185 1098509648 /nfs/dbraw/zinc/50/96/48/1098509648.db2.gz BJWWZSHOOZNPOZ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cncc(OCc2ccccc2)c1 ZINC001234293622 1098530836 /nfs/dbraw/zinc/53/08/36/1098530836.db2.gz IASSGGWPJDYWGN-LBPRGKRZSA-N 0 1 311.337 3.259 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CCC[C@@H](C)CCC ZINC001234546514 1098595021 /nfs/dbraw/zinc/59/50/21/1098595021.db2.gz LNRIBQMMZGIFAU-ROUUACIJSA-N 0 1 324.509 3.328 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1ccc2c(c1)CCC2 ZINC001177903673 1098643425 /nfs/dbraw/zinc/64/34/25/1098643425.db2.gz DWTAPDCHHKLNAQ-INIZCTEOSA-N 0 1 310.422 3.235 20 30 DGEDMN C[C@H]1CCN(C(=O)C(C#N)Cc2cccs2)CCC1(F)F ZINC001177908457 1098644777 /nfs/dbraw/zinc/64/47/77/1098644777.db2.gz KXGNAPNEUFMKAZ-RYUDHWBXSA-N 0 1 312.385 3.324 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N1CCC[C@@H]1c1ccccn1 ZINC001177908516 1098645319 /nfs/dbraw/zinc/64/53/19/1098645319.db2.gz BVBTZPBTBWSRTA-XJKSGUPXSA-N 0 1 311.410 3.189 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCCc2cccnc21 ZINC001177916341 1098647893 /nfs/dbraw/zinc/64/78/93/1098647893.db2.gz VCXNRTXYJQEIKG-DZGCQCFKSA-N 0 1 311.410 3.019 20 30 DGEDMN COC1CCC(CCNC(=O)C(C#N)Cc2cccs2)CC1 ZINC001177915815 1098649109 /nfs/dbraw/zinc/64/91/09/1098649109.db2.gz QAOYGKFAXFKDOQ-SLTAFYQDSA-N 0 1 320.458 3.142 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@@H](C)c1nnc(C)o1 ZINC001178598826 1098883401 /nfs/dbraw/zinc/88/34/01/1098883401.db2.gz LDYODYAJDDEVKJ-AWEZNQCLSA-N 0 1 322.453 3.062 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)[C@@H]1C ZINC001178802907 1098934801 /nfs/dbraw/zinc/93/48/01/1098934801.db2.gz ZIQQAFQKJIKVNZ-GUYCJALGSA-N 0 1 322.399 3.400 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2cc(F)cc(Br)c2O)C1 ZINC001235306969 1098952041 /nfs/dbraw/zinc/95/20/41/1098952041.db2.gz IQIJSZZJIJUODX-CYBMUJFWSA-N 0 1 313.170 3.029 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001235362434 1098978699 /nfs/dbraw/zinc/97/86/99/1098978699.db2.gz ARSZVCIIHNLUEJ-MRXNPFEDSA-N 0 1 315.461 3.323 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179307028 1099059995 /nfs/dbraw/zinc/05/99/95/1099059995.db2.gz PAHPIUNFGCXSCA-HOCLYGCPSA-N 0 1 321.465 3.207 20 30 DGEDMN COC(=O)[C@H]1CN(Cc2ccccc2CC#N)Cc2ccccc21 ZINC001235524687 1099073996 /nfs/dbraw/zinc/07/39/96/1099073996.db2.gz FWDFTVOTHAYCRX-IBGZPJMESA-N 0 1 320.392 3.025 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179335553 1099075354 /nfs/dbraw/zinc/07/53/54/1099075354.db2.gz LVFCTVHYFDNPNC-HNNXBMFYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@H](C)c1nc(C)no1 ZINC001179426411 1099102548 /nfs/dbraw/zinc/10/25/48/1099102548.db2.gz ZCVKTAFHQUKJEK-CQSZACIVSA-N 0 1 322.453 3.062 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccc(O)c(OC(F)(F)F)c2)C1 ZINC001235671270 1099114785 /nfs/dbraw/zinc/11/47/85/1099114785.db2.gz SPLHQPIRTUNWOG-LLVKDONJSA-N 0 1 314.307 3.416 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001235741819 1099157824 /nfs/dbraw/zinc/15/78/24/1099157824.db2.gz JPNJYUFTHQOZSF-DUMMIQTOSA-N 0 1 316.420 3.338 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001235741821 1099158820 /nfs/dbraw/zinc/15/88/20/1099158820.db2.gz JPNJYUFTHQOZSF-QWNKOJSDSA-N 0 1 316.420 3.338 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(C#N)cc2)cc1C ZINC001180169025 1099339321 /nfs/dbraw/zinc/33/93/21/1099339321.db2.gz FVERQJLBZRISBS-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc(Cl)c1 ZINC001277982772 1099590771 /nfs/dbraw/zinc/59/07/71/1099590771.db2.gz XRPQZJCOGJRFKE-AWEZNQCLSA-N 0 1 313.228 3.287 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ccc(Cl)nn1 ZINC001187993456 1099651630 /nfs/dbraw/zinc/65/16/30/1099651630.db2.gz XBTWIPIGDMGJSM-UHFFFAOYSA-N 0 1 305.831 3.232 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2cnc(C)o2)CC1 ZINC001273554109 1099690269 /nfs/dbraw/zinc/69/02/69/1099690269.db2.gz OQUPRQXQBPCWHC-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CC2CCCC2)C1 ZINC001188699475 1099830366 /nfs/dbraw/zinc/83/03/66/1099830366.db2.gz ACRFRMUUNABQMJ-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN COc1ccc(C#N)c(C(=O)Nc2nc3cccc(C)c3[nH]2)c1 ZINC001189067527 1099946613 /nfs/dbraw/zinc/94/66/13/1099946613.db2.gz YTJONLYPPHODSM-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H](C)c2ccccc2F)C1 ZINC001189269042 1100011264 /nfs/dbraw/zinc/01/12/64/1100011264.db2.gz FUPKMWHPSHQGTC-GJZGRUSLSA-N 0 1 304.409 3.386 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC[C@@H](C)c3ccccc3)[C@@H]2C1 ZINC001189317398 1100021211 /nfs/dbraw/zinc/02/12/11/1100021211.db2.gz NPEDSIVYEQBAHB-YZGWKJHDSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCCCC(C)C)[C@@H]2C1 ZINC001189350977 1100042234 /nfs/dbraw/zinc/04/22/34/1100042234.db2.gz SFCJCLDQECNYFZ-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN(C)Cc1cc(F)cc(I)c1 ZINC001237376461 1100099265 /nfs/dbraw/zinc/09/92/65/1100099265.db2.gz HMCSLCYCMNHTBS-UHFFFAOYSA-N 0 1 305.134 3.048 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(F)c4cccnc34)CCC2S1 ZINC001237425483 1100123871 /nfs/dbraw/zinc/12/38/71/1100123871.db2.gz MLIZSHKDSDXUNE-HNNXBMFYSA-N 0 1 314.385 3.148 20 30 DGEDMN Cn1cc(C[N@@H+](C)Cc2cccc(O)c2)c2cc(C#N)ccc21 ZINC001237464494 1100131588 /nfs/dbraw/zinc/13/15/88/1100131588.db2.gz DXLZUYJFFGAZAR-UHFFFAOYSA-N 0 1 305.381 3.388 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]([N@H+](C)CCOCCCC)C1 ZINC001190327073 1100246181 /nfs/dbraw/zinc/24/61/81/1100246181.db2.gz AAUUTLGURWCBBB-SFHVURJKSA-N 0 1 322.493 3.104 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@H](N(C)C[C@H](F)CC)C1 ZINC001190354498 1100252999 /nfs/dbraw/zinc/25/29/99/1100252999.db2.gz KNNRBGKHFVNELC-OLZOCXBDSA-N 0 1 304.837 3.046 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@H](N(C)C[C@@H](F)CC)C1 ZINC001190354500 1100253302 /nfs/dbraw/zinc/25/33/02/1100253302.db2.gz KNNRBGKHFVNELC-STQMWFEESA-N 0 1 304.837 3.046 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2cccc(F)c2)C1 ZINC001190398453 1100259845 /nfs/dbraw/zinc/25/98/45/1100259845.db2.gz WALAOEOPJXATAY-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC001190428581 1100272133 /nfs/dbraw/zinc/27/21/33/1100272133.db2.gz LZELXJHVOPMFKD-KRWDZBQOSA-N 0 1 322.399 3.354 20 30 DGEDMN N#C[C@H]1CCCN(Cc2ccccc2Oc2cnccn2)CC1 ZINC001238012691 1100326517 /nfs/dbraw/zinc/32/65/17/1100326517.db2.gz CQYFGUFIILDMPD-HNNXBMFYSA-N 0 1 308.385 3.395 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]([C@H]2CCCCN2C(=O)CC(C)=C(C)C)C1 ZINC001273606940 1100332376 /nfs/dbraw/zinc/33/23/76/1100332376.db2.gz GKXVSMPEOUGIJU-RTBURBONSA-N 0 1 316.489 3.459 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@@]23C[C@@H]2CCN3CCC2CC2)c1 ZINC001278200129 1100384674 /nfs/dbraw/zinc/38/46/74/1100384674.db2.gz WTYUITLNGCHWGQ-QFBILLFUSA-N 0 1 309.413 3.070 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2ccc(Cl)c(C#N)c2)C1 ZINC001238198088 1100389065 /nfs/dbraw/zinc/38/90/65/1100389065.db2.gz GWMKAZHVYNMFJL-CQSZACIVSA-N 0 1 320.820 3.377 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](COCC3CC3)C2)ccc1Cl ZINC001238198665 1100389575 /nfs/dbraw/zinc/38/95/75/1100389575.db2.gz MAILKECOJVTXTM-HNNXBMFYSA-N 0 1 304.821 3.460 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CC(C)(C)C ZINC001151937518 1100407082 /nfs/dbraw/zinc/40/70/82/1100407082.db2.gz DVENLLLJCSPFPG-CYBMUJFWSA-N 0 1 321.490 3.383 20 30 DGEDMN CCCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1cc(C)ccc1C#N ZINC001278227974 1100432974 /nfs/dbraw/zinc/43/29/74/1100432974.db2.gz GOCIMMRNHHXSNQ-APWZRJJASA-N 0 1 311.429 3.460 20 30 DGEDMN CCCCc1ccc(S(=O)(=O)Nc2cc(C#N)ccn2)cc1 ZINC001191224134 1100433491 /nfs/dbraw/zinc/43/34/91/1100433491.db2.gz NBFUOFBUPPEUBZ-UHFFFAOYSA-N 0 1 315.398 3.097 20 30 DGEDMN CCCCc1ccc(S(=O)(=O)Nc2cccc(C#N)n2)cc1 ZINC001191233815 1100435456 /nfs/dbraw/zinc/43/54/56/1100435456.db2.gz SPYAGDDUWVRHAX-UHFFFAOYSA-N 0 1 315.398 3.097 20 30 DGEDMN N#C[C@@H]1CCCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001191365867 1100458739 /nfs/dbraw/zinc/45/87/39/1100458739.db2.gz GDGAYBIKQBFHKI-NSHDSACASA-N 0 1 314.776 3.106 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC1(F)F)C1CCCC1 ZINC001278249466 1100472297 /nfs/dbraw/zinc/47/22/97/1100472297.db2.gz FFMTZFKXHGIKTE-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCC1(F)F)C1CCCC1 ZINC001278249463 1100472642 /nfs/dbraw/zinc/47/26/42/1100472642.db2.gz FFMTZFKXHGIKTE-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C1CCC1)C1CCCC1 ZINC001278250026 1100473680 /nfs/dbraw/zinc/47/36/80/1100473680.db2.gz HPGKHBKTYPAMDY-BBRMVZONSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccnc1C)C1CCCC1 ZINC001278267178 1100502161 /nfs/dbraw/zinc/50/21/61/1100502161.db2.gz JSIKXIUPJIKEOJ-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001191684960 1100505078 /nfs/dbraw/zinc/50/50/78/1100505078.db2.gz WJOGAHPOHHRNOS-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN COc1cc(C)c(C)cc1CN1CCC2SC(=O)C=C2C1 ZINC001238756188 1100521065 /nfs/dbraw/zinc/52/10/65/1100521065.db2.gz CYXUMBXPNLJCQW-INIZCTEOSA-N 0 1 303.427 3.086 20 30 DGEDMN CCc1cc(CN2CCC(c3nc(C)no3)CC2)ccc1C#N ZINC001238768055 1100523636 /nfs/dbraw/zinc/52/36/36/1100523636.db2.gz DUXNHOOXCTXHDO-UHFFFAOYSA-N 0 1 310.401 3.192 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001191911733 1100536521 /nfs/dbraw/zinc/53/65/21/1100536521.db2.gz JKYPDBOOUDCDBY-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001191868585 1100536683 /nfs/dbraw/zinc/53/66/83/1100536683.db2.gz WCXZFVHGIYBBHU-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCCCCN(CC)Cc1cn(-c2cnccn2)cn1 ZINC001238811699 1100537505 /nfs/dbraw/zinc/53/75/05/1100537505.db2.gz SJDCOIRCLXXMNB-UHFFFAOYSA-N 0 1 301.438 3.455 20 30 DGEDMN CN1CCN(c2cc(-c3ccc(C4(C#N)CC4)cc3)ccn2)CC1 ZINC001238823233 1100540031 /nfs/dbraw/zinc/54/00/31/1100540031.db2.gz XMVDZJJTJAKFOT-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)[C@H]2CCC2(C)C)C1 ZINC001191889289 1100541337 /nfs/dbraw/zinc/54/13/37/1100541337.db2.gz DTBYRAGPHKVWGI-RBUKOAKNSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H]([N@@H+](C)CCC(F)(F)F)C1 ZINC001191901239 1100542262 /nfs/dbraw/zinc/54/22/62/1100542262.db2.gz HCQBGABWXASGFY-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001191901239 1100542269 /nfs/dbraw/zinc/54/22/69/1100542269.db2.gz HCQBGABWXASGFY-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001191913443 1100549187 /nfs/dbraw/zinc/54/91/87/1100549187.db2.gz YPYGGDMNPANGSF-ZBFHGGJFSA-N 0 1 306.475 3.383 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2sc(C)nc2C)C1 ZINC001192061584 1100570299 /nfs/dbraw/zinc/57/02/99/1100570299.db2.gz UYYDOHZXPPUXNK-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H]([N@H+](C)CCOCCCC)C2)CC1 ZINC001192181598 1100587591 /nfs/dbraw/zinc/58/75/91/1100587591.db2.gz WHMIAVHTWRCAAK-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN CC(C)C1(C(=O)N2CC[C@@H]([N@@H+](C)CC#Cc3ccccc3)C2)CC1 ZINC001192225788 1100592154 /nfs/dbraw/zinc/59/21/54/1100592154.db2.gz BUVFODJDQMXRJL-LJQANCHMSA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)C1(C(=O)N2CC[C@@H](N(C)CC#Cc3ccccc3)C2)CC1 ZINC001192225788 1100592163 /nfs/dbraw/zinc/59/21/63/1100592163.db2.gz BUVFODJDQMXRJL-LJQANCHMSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2C[C@@H]3CC[C@H]2CN3)c1 ZINC001239301018 1100625315 /nfs/dbraw/zinc/62/53/15/1100625315.db2.gz YCOIHHLEFMMSDH-IRXDYDNUSA-N 0 1 313.445 3.101 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccn1)c1cccc(N2CCCC2)c1 ZINC001192626360 1100646562 /nfs/dbraw/zinc/64/65/62/1100646562.db2.gz JUUVRXQRNFWPTN-HNNXBMFYSA-N 0 1 306.413 3.404 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)c2c(O)ccc(F)c2F)cn1 ZINC001192730507 1100654601 /nfs/dbraw/zinc/65/46/01/1100654601.db2.gz WKWISROYNNPCDO-UHFFFAOYSA-N 0 1 317.295 3.119 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1ccc(Cl)s1 ZINC001273645664 1100655217 /nfs/dbraw/zinc/65/52/17/1100655217.db2.gz PAPXYSLUFSSAHF-UHFFFAOYSA-N 0 1 321.273 3.135 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)c2ccc(O)c(F)c2F)cn1 ZINC001192829067 1100666852 /nfs/dbraw/zinc/66/68/52/1100666852.db2.gz YYEHZAFNIAIXMD-UHFFFAOYSA-N 0 1 317.295 3.119 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2(CC(C)C)CCCC2)C1 ZINC001192895409 1100674575 /nfs/dbraw/zinc/67/45/75/1100674575.db2.gz AQKZPLLAQFMUAJ-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc(-c2ccccc2)c(NS(=O)(=O)[C@@H](C)C#N)c1 ZINC001193146652 1100712186 /nfs/dbraw/zinc/71/21/86/1100712186.db2.gz PQPJYKVRDQWZEZ-LBPRGKRZSA-N 0 1 316.382 3.016 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1ccccc1-c1ccccc1F ZINC001193147065 1100712199 /nfs/dbraw/zinc/71/21/99/1100712199.db2.gz WTLAVXYSHYYPIB-LLVKDONJSA-N 0 1 304.346 3.146 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001193365882 1100734096 /nfs/dbraw/zinc/73/40/96/1100734096.db2.gz GQLSXYNJGNCQSX-CHWSQXEVSA-N 0 1 324.877 3.061 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(Cl)cc(Cl)n2)ccc1O ZINC001193499900 1100746692 /nfs/dbraw/zinc/74/66/92/1100746692.db2.gz XFZPFVQWLUCIHN-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(Cl)cc3ncoc32)ccc1O ZINC001193512074 1100758518 /nfs/dbraw/zinc/75/85/18/1100758518.db2.gz JUEUDEXXLVIIKI-UHFFFAOYSA-N 0 1 313.700 3.311 20 30 DGEDMN CC1(C)CN(C(=O)c2cccc(C#N)c2O)Cc2ccccc21 ZINC001193646900 1100782720 /nfs/dbraw/zinc/78/27/20/1100782720.db2.gz QHBAIGPJSYSIGL-UHFFFAOYSA-N 0 1 306.365 3.197 20 30 DGEDMN N#Cc1cccc(C(=O)NCc2cccc(C(F)F)c2)c1O ZINC001193652697 1100785021 /nfs/dbraw/zinc/78/50/21/1100785021.db2.gz MCYHBHMUIDAQPK-UHFFFAOYSA-N 0 1 302.280 3.131 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)c1ccc(F)c(O)c1F ZINC001193788503 1100805172 /nfs/dbraw/zinc/80/51/72/1100805172.db2.gz BWXSRRBQNMHGOB-UHFFFAOYSA-N 0 1 306.243 3.242 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2oc3c(cccc3C)c2C)C1 ZINC001194266505 1100906599 /nfs/dbraw/zinc/90/65/99/1100906599.db2.gz UMZAYEIGKGXZSX-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C[C@H](CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001194296278 1100915528 /nfs/dbraw/zinc/91/55/28/1100915528.db2.gz YYOYPXHGHOXISV-XLIONFOSSA-N 0 1 324.468 3.007 20 30 DGEDMN CCN(C)C(=O)c1ccc(-c2nccc3[nH]cc(C#N)c32)cc1 ZINC001240282971 1100922700 /nfs/dbraw/zinc/92/27/00/1100922700.db2.gz QKOVVPQGHDXAGN-UHFFFAOYSA-N 0 1 304.353 3.193 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2cc(F)ccc2C)CC1 ZINC001194343537 1100927810 /nfs/dbraw/zinc/92/78/10/1100927810.db2.gz YCVWAOBWOFNFKZ-UHFFFAOYSA-N 0 1 306.381 3.011 20 30 DGEDMN CC(C)n1cnc2cc(-c3cc4[nH]cnc4c(C#N)n3)ccc21 ZINC001240367243 1100962723 /nfs/dbraw/zinc/96/27/23/1100962723.db2.gz IYHVDAQOOHXIGM-UHFFFAOYSA-N 0 1 302.341 3.427 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](N(C)C/C=C/Cl)C2)CCCC1 ZINC001194567736 1100968558 /nfs/dbraw/zinc/96/85/58/1100968558.db2.gz NLKVXMAQYBXWBY-VQCVXAJWSA-N 0 1 310.869 3.408 20 30 DGEDMN Cc1nnc(C2=CCCN(Cc3ccccc3)C2)c(C#N)c1C ZINC001240384796 1100969435 /nfs/dbraw/zinc/96/94/35/1100969435.db2.gz SFTCUPNOEVBURH-UHFFFAOYSA-N 0 1 304.397 3.254 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(C)c(Cl)c1 ZINC001273691925 1101060585 /nfs/dbraw/zinc/06/05/85/1101060585.db2.gz WHYKHEYBIDLQNX-IAGOWNOFSA-N 0 1 318.848 3.400 20 30 DGEDMN Cc1ccc(C)c(NS(=O)(=O)CC[Si](C)(C)C)c1C#N ZINC001195080606 1101066883 /nfs/dbraw/zinc/06/68/83/1101066883.db2.gz LEQWLUDNOYJUMB-UHFFFAOYSA-N 0 1 310.495 3.255 20 30 DGEDMN C=CCOCCCC(=O)N1CCC[N@@H+](CC2=CCCCC2)CC1 ZINC001195452458 1101136730 /nfs/dbraw/zinc/13/67/30/1101136730.db2.gz MSOMGBPUBKJMML-UHFFFAOYSA-N 0 1 320.477 3.004 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)c3cc(C)cc(C#N)c3)cc(F)c12 ZINC001195419608 1101138509 /nfs/dbraw/zinc/13/85/09/1101138509.db2.gz NGEFVBJPIYEUJU-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)N2c3ccccc3CC[C@H]2C)CC1 ZINC001195463333 1101138992 /nfs/dbraw/zinc/13/89/92/1101138992.db2.gz LAAGHDLJUXNTDP-HZPDHXFCSA-N 0 1 310.441 3.088 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(CC#N)c(F)c3)cc2)CC1 ZINC001240851884 1101157962 /nfs/dbraw/zinc/15/79/62/1101157962.db2.gz CEOXDHHQSMVLDF-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C#N)c4[nH]ccc43)cc2)CC1 ZINC001240855346 1101159877 /nfs/dbraw/zinc/15/98/77/1101159877.db2.gz LRPBVGFKAFHXGG-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2OCC2CC2)c(O)c1 ZINC001195757626 1101190781 /nfs/dbraw/zinc/19/07/81/1101190781.db2.gz FMZHAWAWBKPYDH-UHFFFAOYSA-N 0 1 308.337 3.305 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1ccc(C#N)cc1O ZINC001195765227 1101193721 /nfs/dbraw/zinc/19/37/21/1101193721.db2.gz YAZJBHLJFZFXNA-ZDUSSCGKSA-N 0 1 322.364 3.320 20 30 DGEDMN CN(C(=O)c1ccc(C#N)cc1O)[C@H](C1CCC1)C(F)(F)F ZINC001195750037 1101197532 /nfs/dbraw/zinc/19/75/32/1101197532.db2.gz COJYVJWZZXJMPR-CYBMUJFWSA-N 0 1 312.291 3.067 20 30 DGEDMN CC(C)C#CC(=O)N1CCCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001195780773 1101200159 /nfs/dbraw/zinc/20/01/59/1101200159.db2.gz JWZZPSOCVKXLOQ-UHFFFAOYSA-N 0 1 324.468 3.258 20 30 DGEDMN CN1CCN(c2cccc(NC=Cc3ccc(C#N)cc3)c2)CC1 ZINC001203302516 1101209373 /nfs/dbraw/zinc/20/93/73/1101209373.db2.gz SLOXRSSGNQRJTD-MDZDMXLPSA-N 0 1 318.424 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(CCCCC(F)(F)F)CC1 ZINC001196732846 1101377366 /nfs/dbraw/zinc/37/73/66/1101377366.db2.gz VCGNFDRCZNQVET-UHFFFAOYSA-N 0 1 320.399 3.466 20 30 DGEDMN CC(C)c1ccc(-c2cc(C(=O)Nc3nc[nH]c3C#N)no2)cc1 ZINC001196742079 1101380759 /nfs/dbraw/zinc/38/07/59/1101380759.db2.gz BXUXLIRXXUVQNX-UHFFFAOYSA-N 0 1 321.340 3.312 20 30 DGEDMN N#Cc1nc(-c2c(F)c(F)c(F)c(F)c2F)cc2nc[nH]c21 ZINC001241406383 1101436986 /nfs/dbraw/zinc/43/69/86/1101436986.db2.gz OHYBCEZMWRTZFH-UHFFFAOYSA-N 0 1 310.185 3.192 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12C[N@@H+](Cc1ccccc1)CCO2 ZINC001203309264 1101463404 /nfs/dbraw/zinc/46/34/04/1101463404.db2.gz PXZYDLRXKXEOGE-RTBURBONSA-N 0 1 301.430 3.260 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(Cc1ccccc1)CCO2 ZINC001203309264 1101463409 /nfs/dbraw/zinc/46/34/09/1101463409.db2.gz PXZYDLRXKXEOGE-RTBURBONSA-N 0 1 301.430 3.260 20 30 DGEDMN COc1c(F)ccc(-c2cc3[nH]cnc3c(C#N)n2)c1Cl ZINC001241497717 1101511144 /nfs/dbraw/zinc/51/11/44/1101511144.db2.gz CAANGNXBKNOTKW-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001197428281 1101568286 /nfs/dbraw/zinc/56/82/86/1101568286.db2.gz VOMYCDMJKHPNND-IRXDYDNUSA-N 0 1 324.509 3.042 20 30 DGEDMN Cc1oc(NC(=O)CN(C)C[C@H](C)C(C)(C)C)c(C#N)c1C ZINC001197602215 1101617846 /nfs/dbraw/zinc/61/78/46/1101617846.db2.gz PJHYKYZPPBONPL-NSHDSACASA-N 0 1 305.422 3.321 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)N1CCCN(CC=C)CC1 ZINC001198197382 1101785506 /nfs/dbraw/zinc/78/55/06/1101785506.db2.gz MHTSLFHFGFWASV-LJQANCHMSA-N 0 1 312.457 3.142 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](CCC)CC(C)C)CC1 ZINC001198310441 1101832159 /nfs/dbraw/zinc/83/21/59/1101832159.db2.gz WMJFPHYMTMJMIT-SFHVURJKSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC001198406015 1101845143 /nfs/dbraw/zinc/84/51/43/1101845143.db2.gz CYLGFDOXMGAYOD-CQSZACIVSA-N 0 1 317.389 3.272 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)OC(C)(C)C)CC1 ZINC001198762121 1101979673 /nfs/dbraw/zinc/97/96/73/1101979673.db2.gz XPFPCNSAXAKMCW-UHFFFAOYSA-N 0 1 302.846 3.318 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC(C)=C(C)C)c1ccccc1OC ZINC001198834181 1101989639 /nfs/dbraw/zinc/98/96/39/1101989639.db2.gz JQSFITBJSCVOPP-QGZVFWFLSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@H](CNC(=O)C1(C(C)C)CC1)c1ccccc1OC ZINC001198894930 1102014829 /nfs/dbraw/zinc/01/48/29/1102014829.db2.gz LYIMMECIDHFUMQ-MRXNPFEDSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](NCC(=C)C)c1ccccc1OC ZINC001198876137 1102019319 /nfs/dbraw/zinc/01/93/19/1102019319.db2.gz PFVBZVJENJXBGY-QGZVFWFLSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@H](C)C(C)C)c1ccccc1OC ZINC001198925819 1102028227 /nfs/dbraw/zinc/02/82/27/1102028227.db2.gz YBQUVNNCYPOYMN-NVXWUHKLSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@@H](C)C1CC1)c1ccccc1OC ZINC001198992933 1102047263 /nfs/dbraw/zinc/04/72/63/1102047263.db2.gz HVXWFNYIUNFQDD-RHSMWYFYSA-N 0 1 316.445 3.064 20 30 DGEDMN Cc1cc(C#N)ccc1-c1ccc(CCN2CCOCC2)cc1 ZINC001242474684 1102155102 /nfs/dbraw/zinc/15/51/02/1102155102.db2.gz QVALDNXWTRLPIY-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN CCc1ccc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)cc1 ZINC001110538198 1102170290 /nfs/dbraw/zinc/17/02/90/1102170290.db2.gz RQBSVCJVAAZWQR-AQNXPRMDSA-N 0 1 324.468 3.130 20 30 DGEDMN COc1ccccc1-c1ccnc(-c2ccc(O)c(C#N)c2)n1 ZINC001242562815 1102211792 /nfs/dbraw/zinc/21/17/92/1102211792.db2.gz IXIUXJLRWSJHNT-UHFFFAOYSA-N 0 1 303.321 3.396 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(F)cc3C#N)ccc-2n1 ZINC001242578870 1102222141 /nfs/dbraw/zinc/22/21/41/1102222141.db2.gz WOOJTDWYIICSGC-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3ccc(C)cc3C#N)nc2)CC1 ZINC001242593226 1102230531 /nfs/dbraw/zinc/23/05/31/1102230531.db2.gz KWHCXDWWOQHRBV-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN COCOc1cnc(-c2ccccc2C#N)cc1/C=C/N(C)C ZINC001242714999 1102303821 /nfs/dbraw/zinc/30/38/21/1102303821.db2.gz YWAGNTQEPOBOLY-CMDGGOBGSA-N 0 1 309.369 3.135 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(Cl)cc2O)cc1C#N ZINC001201317333 1102551666 /nfs/dbraw/zinc/55/16/66/1102551666.db2.gz PSGFFBHKJLFSCS-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1C[C@H](CC)CCCC ZINC001201559129 1102651016 /nfs/dbraw/zinc/65/10/16/1102651016.db2.gz JBUMUODKDLETGO-WBVHZDCISA-N 0 1 320.481 3.133 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2ccc(C#N)cc2F)CC1 ZINC001201569715 1102656050 /nfs/dbraw/zinc/65/60/50/1102656050.db2.gz OUIAVLHVJAHWGP-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN CCOC(=O)c1cc(C#N)c(C)nc1-c1ccc(Cl)c(O)c1 ZINC001243577100 1102819682 /nfs/dbraw/zinc/81/96/82/1102819682.db2.gz SWPDNLLKSJWIOO-UHFFFAOYSA-N 0 1 316.744 3.464 20 30 DGEDMN CC(C)c1ccc(C#N)c(-c2cccc(N3CCN(C)CC3)c2)n1 ZINC001243667897 1102900823 /nfs/dbraw/zinc/90/08/23/1102900823.db2.gz NGDGTJLFJKRLET-UHFFFAOYSA-N 0 1 320.440 3.495 20 30 DGEDMN C=CCCCC(=O)N1CCC(NCc2csc(CC)n2)CC1 ZINC001202260376 1102901178 /nfs/dbraw/zinc/90/11/78/1102901178.db2.gz CKXGCBLBYBYISA-UHFFFAOYSA-N 0 1 321.490 3.142 20 30 DGEDMN COc1ccc(-c2nc(C(C)(C)C)nc3nc[nH]c32)c(C#N)c1 ZINC001243729047 1102945087 /nfs/dbraw/zinc/94/50/87/1102945087.db2.gz AJURDBRRWWJKBC-UHFFFAOYSA-N 0 1 307.357 3.198 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)OC1CCCCCC1)C2 ZINC001111004338 1103012961 /nfs/dbraw/zinc/01/29/61/1103012961.db2.gz NTKTUSJUUBKZAP-IDCNUPLLSA-N 0 1 320.477 3.022 20 30 DGEDMN Cc1c(C(=O)OCc2ccc(F)cc2C#N)ccc2cncn21 ZINC001325420747 1103026536 /nfs/dbraw/zinc/02/65/36/1103026536.db2.gz BNKXRIBEIUREIY-UHFFFAOYSA-N 0 1 309.300 3.011 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)C1CCCCC1)C2 ZINC001111132276 1103052822 /nfs/dbraw/zinc/05/28/22/1103052822.db2.gz QTBNGVZSDNPUIQ-OWYHZJEWSA-N 0 1 316.489 3.338 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC[C@H](C)c1ccccc1 ZINC001488670645 1103099121 /nfs/dbraw/zinc/09/91/21/1103099121.db2.gz IGLBZGDOYOGYQP-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1c(F)cccc1Cl ZINC001488687796 1103108780 /nfs/dbraw/zinc/10/87/80/1103108780.db2.gz WDUXQGNWVLXKHB-CYBMUJFWSA-N 0 1 324.827 3.130 20 30 DGEDMN CN1CCN(c2cccc(F)c2NC2=CC[C@H](C#N)CC2)CC1 ZINC001212867414 1103142460 /nfs/dbraw/zinc/14/24/60/1103142460.db2.gz WOSRWIBBCYXVEN-AWEZNQCLSA-N 0 1 314.408 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001325943186 1103167660 /nfs/dbraw/zinc/16/76/60/1103167660.db2.gz RKHYASRJKKYUEY-VKGGURKZSA-N 0 1 310.869 3.264 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](F)CC1CCCCC1)C2 ZINC001111282204 1103171605 /nfs/dbraw/zinc/17/16/05/1103171605.db2.gz FWTCWNIIAOAGJM-TVFCKZIOSA-N 0 1 320.452 3.040 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)CC(F)(F)F)C2 ZINC001111325751 1103214218 /nfs/dbraw/zinc/21/42/18/1103214218.db2.gz SLRBNELCFALXPV-UPJWGTAASA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1ccon1 ZINC001489000209 1103242339 /nfs/dbraw/zinc/24/23/39/1103242339.db2.gz XMPUUIJRWKQFBY-WBVHZDCISA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cocc1C ZINC001489045384 1103246826 /nfs/dbraw/zinc/24/68/26/1103246826.db2.gz QKPMCQVPOOVYAR-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccc(Cl)s1)C2 ZINC001111405753 1103276134 /nfs/dbraw/zinc/27/61/34/1103276134.db2.gz BLEBRSWYXAMANS-BNOWGMLFSA-N 0 1 324.877 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001326330911 1103276221 /nfs/dbraw/zinc/27/62/21/1103276221.db2.gz HVMVHKFOXZIAAH-GJZGRUSLSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001489288799 1103340465 /nfs/dbraw/zinc/34/04/65/1103340465.db2.gz MUCZFEOMEYRQPJ-JKIFEVAISA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H]1C ZINC001489285169 1103340511 /nfs/dbraw/zinc/34/05/11/1103340511.db2.gz FKTYMMFCJRFWFP-INWMFGNUSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001489323868 1103361843 /nfs/dbraw/zinc/36/18/43/1103361843.db2.gz VMBOQPHHJDRZPA-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001489331119 1103363674 /nfs/dbraw/zinc/36/36/74/1103363674.db2.gz YYEQLPNXPKHAKA-ZCNNSNEGSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(C)c(F)c3)C[C@H]21 ZINC001114759806 1103427904 /nfs/dbraw/zinc/42/79/04/1103427904.db2.gz OUVFXGIPBXRARV-MNZLEMJZSA-N 0 1 316.420 3.037 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001110608235 1103445240 /nfs/dbraw/zinc/44/52/40/1103445240.db2.gz DSWGROYLSXCWOR-QRVBRYPASA-N 0 1 323.440 3.136 20 30 DGEDMN N#Cc1nc(-c2ccc(OC(F)(F)F)cc2O)cc2[nH]cnc21 ZINC001244463033 1103456741 /nfs/dbraw/zinc/45/67/41/1103456741.db2.gz BYIZLYPOMNATNV-UHFFFAOYSA-N 0 1 320.230 3.101 20 30 DGEDMN N#Cc1c[nH]c2ncnc(-c3ccc(OC(F)(F)F)cc3O)c12 ZINC001244464999 1103460022 /nfs/dbraw/zinc/46/00/22/1103460022.db2.gz ZRSKNLWOZIGSBC-UHFFFAOYSA-N 0 1 320.230 3.101 20 30 DGEDMN COc1cc(C#N)nc(-c2ccc(OC(F)(F)F)cc2O)c1 ZINC001244464998 1103460566 /nfs/dbraw/zinc/46/05/66/1103460566.db2.gz STJBAODRZUVQBD-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN C[C@@H](CC(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CC1 ZINC001326937737 1103484011 /nfs/dbraw/zinc/48/40/11/1103484011.db2.gz MNAZJCMVMFOWGC-KRWDZBQOSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1cccc(Cl)n1 ZINC001490244876 1103523682 /nfs/dbraw/zinc/52/36/82/1103523682.db2.gz YTQVRMFRASIQNX-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@H](C)NCc1ocnc1C ZINC001490295838 1103545297 /nfs/dbraw/zinc/54/52/97/1103545297.db2.gz NZYINKJNXZGSOP-HOCLYGCPSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1ocnc1C ZINC001490305201 1103549786 /nfs/dbraw/zinc/54/97/86/1103549786.db2.gz DWBUVGGPDUGSFA-GOEBONIOSA-N 0 1 319.449 3.199 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC001490449530 1103609677 /nfs/dbraw/zinc/60/96/77/1103609677.db2.gz WNEURBVCTCKWJZ-KRWDZBQOSA-N 0 1 318.486 3.331 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(OC)c(C#N)c3)ccc-2n1 ZINC001244706944 1103641221 /nfs/dbraw/zinc/64/12/21/1103641221.db2.gz YAZBWPLGXXVTKN-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN CN1CCN(c2cccc(-c3cccc(Cl)c3C#N)n2)CC1 ZINC001244715602 1103648927 /nfs/dbraw/zinc/64/89/27/1103648927.db2.gz BLTFOSAPOZUPMX-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CC23CCN(CCF)CC3)CCCCC1 ZINC001490605175 1103676891 /nfs/dbraw/zinc/67/68/91/1103676891.db2.gz YFDVPQDMHIOTAM-INIZCTEOSA-N 0 1 322.468 3.453 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@@H]2c2ccccc2)CC1 ZINC001490619302 1103682212 /nfs/dbraw/zinc/68/22/12/1103682212.db2.gz YIAKIJNKNBKFAH-UXHICEINSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(F)cc2C)CC1 ZINC001490622879 1103683760 /nfs/dbraw/zinc/68/37/60/1103683760.db2.gz ZUEZYASTJXFVIM-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CCC2(C)C)CC1 ZINC001490619910 1103684295 /nfs/dbraw/zinc/68/42/95/1103684295.db2.gz ODFMXKMCMDOQCM-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1cccc(NC(=O)Nc2ccc(-n3ccc(=O)[nH]3)cc2)c1 ZINC001202791813 1103688029 /nfs/dbraw/zinc/68/80/29/1103688029.db2.gz ZMBJSWBCKXVUIH-UHFFFAOYSA-N 0 1 319.324 3.094 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2ccc3cncn3c2C)cc1 ZINC001327687353 1103707227 /nfs/dbraw/zinc/70/72/27/1103707227.db2.gz ZOQDYQXHBUHFHJ-GOSISDBHSA-N 0 1 318.380 3.200 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](CCC)OC)cc2C1 ZINC001327694724 1103708807 /nfs/dbraw/zinc/70/88/07/1103708807.db2.gz BAYJGVGRXGVADF-SFHVURJKSA-N 0 1 316.445 3.010 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C(F)(F)F)C2 ZINC001096400514 1103821512 /nfs/dbraw/zinc/82/15/12/1103821512.db2.gz GXOKJDKALSLYLA-UGFHNGPFSA-N 0 1 324.346 3.227 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(C[C@H](F)CC)CC1(C)C ZINC001328101008 1103844406 /nfs/dbraw/zinc/84/44/06/1103844406.db2.gz DDPGQVRQJQQPOX-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CC(C)C)cc1)C2 ZINC001096517004 1103855653 /nfs/dbraw/zinc/85/56/53/1103855653.db2.gz AFYLPIFPADSQOV-AABGKKOBSA-N 0 1 324.468 3.244 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(Cc3cccc(Cl)c3)C[C@H]21 ZINC001114348292 1103871163 /nfs/dbraw/zinc/87/11/63/1103871163.db2.gz KUDVYEHRYOCBMH-VQFNDLOPSA-N 0 1 318.848 3.243 20 30 DGEDMN C=CCN1CCC[C@H]1c1ccc(C2=CCS(=O)(=O)CC2)cc1 ZINC001245214649 1103914937 /nfs/dbraw/zinc/91/49/37/1103914937.db2.gz ZJSHFVGAWQJYQC-SFHVURJKSA-N 0 1 317.454 3.211 20 30 DGEDMN CC(C)=CCN1CCc2ccccc2[C@H]1CNC(=O)C#CC1CC1 ZINC001328470740 1103958452 /nfs/dbraw/zinc/95/84/52/1103958452.db2.gz YUSHDDORRWQZIW-HXUWFJFHSA-N 0 1 322.452 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@H](C=C)CC ZINC001328469904 1103958998 /nfs/dbraw/zinc/95/89/98/1103958998.db2.gz SWYDJQWJPQLKHG-YLJYHZDGSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001328647749 1104011329 /nfs/dbraw/zinc/01/13/29/1104011329.db2.gz PFAOBPNXEMUIFL-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(CCC)CCC1 ZINC001328686099 1104020187 /nfs/dbraw/zinc/02/01/87/1104020187.db2.gz AMNREZCWIMKENW-RTBURBONSA-N 0 1 324.468 3.352 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(CC)(CC)CC ZINC001328694102 1104023543 /nfs/dbraw/zinc/02/35/43/1104023543.db2.gz QOWIWOLBZAQAGB-QZTJIDSGSA-N 0 1 312.457 3.208 20 30 DGEDMN N#CC1(c2ccc(C3=CC[C@@H](N4CCOCC4)CC3)nc2)CCC1 ZINC001245418271 1104039488 /nfs/dbraw/zinc/03/94/88/1104039488.db2.gz IJSUWZJXZUOXIP-GOSISDBHSA-N 0 1 323.440 3.295 20 30 DGEDMN N#Cc1ccc2ccnc(C3=CC[C@@H](N4CCOCC4)CC3)c2c1 ZINC001245419758 1104040258 /nfs/dbraw/zinc/04/02/58/1104040258.db2.gz QMHGJTFNYMMAOV-GOSISDBHSA-N 0 1 319.408 3.375 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001328733383 1104045403 /nfs/dbraw/zinc/04/54/03/1104045403.db2.gz PCPZZPINCYUIGX-ZTBDEIDFSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001328733383 1104045415 /nfs/dbraw/zinc/04/54/15/1104045415.db2.gz PCPZZPINCYUIGX-ZTBDEIDFSA-N 0 1 324.468 3.122 20 30 DGEDMN N#CCc1cc(F)ccc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245428859 1104050215 /nfs/dbraw/zinc/05/02/15/1104050215.db2.gz WNPYTPOWYQWZGJ-KRWDZBQOSA-N 0 1 300.377 3.160 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC[C@H]1CN(C)CC#CC)c1ccccc1 ZINC001328795944 1104074495 /nfs/dbraw/zinc/07/44/95/1104074495.db2.gz FMYPPNJZSCWNPC-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001329104006 1104153514 /nfs/dbraw/zinc/15/35/14/1104153514.db2.gz OUBDTZOEPCYZGD-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001329104004 1104153690 /nfs/dbraw/zinc/15/36/90/1104153690.db2.gz OUBDTZOEPCYZGD-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN CC#CCCCC(=O)N[C@@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001278413635 1104159653 /nfs/dbraw/zinc/15/96/53/1104159653.db2.gz HLSZPRVGXSBVSC-IBGZPJMESA-N 0 1 316.420 3.100 20 30 DGEDMN CN1CC[C@@H](NC(=O)CCCCCC#N)[C@H]1c1ccc(F)cc1 ZINC001329117287 1104160563 /nfs/dbraw/zinc/16/05/63/1104160563.db2.gz BNTZNWDACHXKCL-SJLPKXTDSA-N 0 1 317.408 3.161 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2nc(C)cs2)CCC1 ZINC001329129649 1104164064 /nfs/dbraw/zinc/16/40/64/1104164064.db2.gz XAUMAEVNTYBVOQ-KGLIPLIRSA-N 0 1 321.490 3.181 20 30 DGEDMN COc1ccc(NC(=O)c2cc(-c3cccs3)n[nH]2)cc1C#N ZINC001649171326 1104164676 /nfs/dbraw/zinc/16/46/76/1104164676.db2.gz ZEKUBYPCNFSOTO-UHFFFAOYSA-N 0 1 324.365 3.271 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(C)c1Cl ZINC001329155106 1104171827 /nfs/dbraw/zinc/17/18/27/1104171827.db2.gz ZKDJMGANUAJTAS-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN CCn1cc(-c2c(O)cccc2F)c2sc(C#N)cc2c1=O ZINC001245656382 1104181373 /nfs/dbraw/zinc/18/13/73/1104181373.db2.gz JUIMMFVOXBYYPQ-UHFFFAOYSA-N 0 1 314.341 3.466 20 30 DGEDMN C#CCCCCC(=O)NCc1ccc2c(c1)CN(CCCF)C2 ZINC001274028043 1104226044 /nfs/dbraw/zinc/22/60/44/1104226044.db2.gz PJLGIRZEFVQALH-UHFFFAOYSA-N 0 1 316.420 3.172 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001329516096 1104294582 /nfs/dbraw/zinc/29/45/82/1104294582.db2.gz QHXKDDBSFCQODJ-NVXWUHKLSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001329538254 1104305654 /nfs/dbraw/zinc/30/56/54/1104305654.db2.gz AQEYECNHFUSQLB-ROUUACIJSA-N 0 1 314.473 3.339 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001329575521 1104325485 /nfs/dbraw/zinc/32/54/85/1104325485.db2.gz UYJXTLRFGHBXTM-HKUYNNGSSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCC1(C(=O)NC/C=C/CNCC=C(Cl)Cl)CCC1 ZINC001274340930 1104357977 /nfs/dbraw/zinc/35/79/77/1104357977.db2.gz YVGDQMUUKCLNQZ-ONEGZZNKSA-N 0 1 317.260 3.314 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H]1CCC1(C)C ZINC001329887520 1104409347 /nfs/dbraw/zinc/40/93/47/1104409347.db2.gz NHPGRJSXINXANT-ZIAGYGMSSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@@H]1Cc2ccccc21 ZINC001329895170 1104413864 /nfs/dbraw/zinc/41/38/64/1104413864.db2.gz ZWPLPYHFXCZJGT-DOTOQJQBSA-N 0 1 320.864 3.343 20 30 DGEDMN CC(C)C(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001329910050 1104420020 /nfs/dbraw/zinc/42/00/20/1104420020.db2.gz YHWIPMFCIJJDNL-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(c2ccccc2)CCC1 ZINC001329922522 1104425208 /nfs/dbraw/zinc/42/52/08/1104425208.db2.gz CSPMMWPKQGEURW-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN CCn1nc(C(C)C)cc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001330035295 1104458928 /nfs/dbraw/zinc/45/89/28/1104458928.db2.gz OSJUFBZSZWKGNO-UHFFFAOYSA-N 0 1 322.372 3.027 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CC[C@H]4[C@@H](C3)C4(F)F)[nH]c2c1 ZINC001330035206 1104459264 /nfs/dbraw/zinc/45/92/64/1104459264.db2.gz NBHIKSCCTXQTIW-OUAUKWLOSA-N 0 1 316.311 3.054 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001330072238 1104471525 /nfs/dbraw/zinc/47/15/25/1104471525.db2.gz SBVIFBSFPXAXJB-QWRGUYRKSA-N 0 1 312.866 3.128 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](CC)OC2CCCCC2)C(C)(C)C1 ZINC001330203411 1104508145 /nfs/dbraw/zinc/50/81/45/1104508145.db2.gz OMRCAXJYTOKOIG-SJORKVTESA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](CC)OC2CCCCC2)C(C)(C)C1 ZINC001330203407 1104508208 /nfs/dbraw/zinc/50/82/08/1104508208.db2.gz OMRCAXJYTOKOIG-IAGOWNOFSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@](C)(C=C)CC ZINC001274855461 1104520916 /nfs/dbraw/zinc/52/09/16/1104520916.db2.gz DZTMEMLEOPDNNK-FPOVZHCZSA-N 0 1 324.468 3.328 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001274883183 1104533818 /nfs/dbraw/zinc/53/38/18/1104533818.db2.gz GIRQUKWZXRWMGU-GFCCVEGCSA-N 0 1 323.506 3.247 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001274920004 1104544566 /nfs/dbraw/zinc/54/45/66/1104544566.db2.gz UFHTVXUTKRXDCN-WBVHZDCISA-N 0 1 322.880 3.348 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(-c2cccs2)o1 ZINC001275007321 1104576715 /nfs/dbraw/zinc/57/67/15/1104576715.db2.gz QEPJWHIGEGKUNF-LLVKDONJSA-N 0 1 324.833 3.469 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1cccc(F)c1 ZINC001275048244 1104592748 /nfs/dbraw/zinc/59/27/48/1104592748.db2.gz FKGPNWPCUTZEBM-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC1(C=C)CCCCC1 ZINC001275150930 1104653417 /nfs/dbraw/zinc/65/34/17/1104653417.db2.gz QNLFTGRWXWBZAX-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001275238366 1104687406 /nfs/dbraw/zinc/68/74/06/1104687406.db2.gz TULXLTCOJANJPA-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1sc(C)cc1C ZINC001275404206 1104748145 /nfs/dbraw/zinc/74/81/45/1104748145.db2.gz OPXJRVOQBLPEAE-VXGBXAGGSA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1oc(CC)nc1C ZINC001275460941 1104765827 /nfs/dbraw/zinc/76/58/27/1104765827.db2.gz MXJYBGMRGTVKKB-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCC(NCc2cscn2)CC1 ZINC001275616332 1104833798 /nfs/dbraw/zinc/83/37/98/1104833798.db2.gz ALORDZVFCIDJRJ-UHFFFAOYSA-N 0 1 321.490 3.215 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CC(C1CCC1)C1CCC1 ZINC001275928545 1104958257 /nfs/dbraw/zinc/95/82/57/1104958257.db2.gz NTXCRBATDCJMAS-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2ccccn2)CCCC1 ZINC001276020596 1104989730 /nfs/dbraw/zinc/98/97/30/1104989730.db2.gz BGFAGDLPRLTBQO-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCCCCCN1CC2(CCN2Cc2scnc2C)C1 ZINC001276035064 1104993193 /nfs/dbraw/zinc/99/31/93/1104993193.db2.gz DMHHLOAFDTYWNL-UHFFFAOYSA-N 0 1 305.491 3.458 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C(F)F)c1F)C2 ZINC001095426093 1105001537 /nfs/dbraw/zinc/00/15/37/1105001537.db2.gz NQIPZOAZPPLHLU-SWHYSGLUSA-N 0 1 324.346 3.284 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)C1CC(C)(C)C1 ZINC001276251187 1105062064 /nfs/dbraw/zinc/06/20/64/1105062064.db2.gz SBEMVDMXFUHRER-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN CN[C@H](Cc1ccccc1)c1nc(-c2ccc(C#N)c(C)c2)no1 ZINC001248184890 1105062728 /nfs/dbraw/zinc/06/27/28/1105062728.db2.gz LDGAIWQNKSWERZ-QGZVFWFLSA-N 0 1 318.380 3.420 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)c(C)cc1F)C2 ZINC001095572151 1105084507 /nfs/dbraw/zinc/08/45/07/1105084507.db2.gz RZSHSQULHNOSTL-DQYPLSBCSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C(C)C)n1)C2 ZINC001095698591 1105097272 /nfs/dbraw/zinc/09/72/72/1105097272.db2.gz DJPGAJGHCMXRHH-JLSDUUJJSA-N 0 1 313.445 3.116 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110892143 1105103469 /nfs/dbraw/zinc/10/34/69/1105103469.db2.gz OHYXAIMOMRNQHJ-UPJWGTAASA-N 0 1 318.383 3.263 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2ccc3n2CCC3)CC1 ZINC001248821121 1105170033 /nfs/dbraw/zinc/17/00/33/1105170033.db2.gz OTQXHKATWQKFCT-UHFFFAOYSA-N 0 1 305.425 3.492 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ncccc2C)[C@@H]1C ZINC001278514819 1105264896 /nfs/dbraw/zinc/26/48/96/1105264896.db2.gz AAYGLPGELJXQSP-HZPDHXFCSA-N 0 1 315.461 3.071 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)C1 ZINC001249533424 1105308259 /nfs/dbraw/zinc/30/82/59/1105308259.db2.gz BGOUKQVWPXYATC-CQSZACIVSA-N 0 1 324.306 3.197 20 30 DGEDMN N#C[C@H]1CCCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)C1 ZINC001249532968 1105308737 /nfs/dbraw/zinc/30/87/37/1105308737.db2.gz HRYYTVZYUQORNY-SNVBAGLBSA-N 0 1 324.306 3.197 20 30 DGEDMN CCN1CCc2ccc(CN3CCc4ccc(C#N)cc4C3)cc21 ZINC001249656464 1105345110 /nfs/dbraw/zinc/34/51/10/1105345110.db2.gz POOCUEVOJDEZIS-UHFFFAOYSA-N 0 1 317.436 3.499 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1scc(C)c1Cl)C2 ZINC001097126297 1105351184 /nfs/dbraw/zinc/35/11/84/1105351184.db2.gz DHYFHPWXCAGSNS-UPJWGTAASA-N 0 1 322.861 3.068 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(o1)CCCC3)C2 ZINC001097199828 1105363373 /nfs/dbraw/zinc/36/33/73/1105363373.db2.gz YGYGYJAPGRYODZ-OAGGEKHMSA-N 0 1 314.429 3.070 20 30 DGEDMN C=CCCC[C@@H](C(=O)N(C)CC1CN(C)C1)c1ccccc1 ZINC001278531663 1105366407 /nfs/dbraw/zinc/36/64/07/1105366407.db2.gz NVRKPBOMPGZJCV-GOSISDBHSA-N 0 1 300.446 3.147 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc(C(F)F)cc1C)C2 ZINC001097260072 1105375469 /nfs/dbraw/zinc/37/54/69/1105375469.db2.gz HPXJMNDHDAHRCG-UTUOFQBUSA-N 0 1 310.344 3.047 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3ccc(C)nc31)C2 ZINC001097300375 1105378697 /nfs/dbraw/zinc/37/86/97/1105378697.db2.gz PPTHKVZYINUWNQ-NXHRZFHOSA-N 0 1 321.424 3.064 20 30 DGEDMN CC(C)(C)OC(=O)NCc1cnccc1Nc1cccc(C#N)c1 ZINC001249820096 1105389265 /nfs/dbraw/zinc/38/92/65/1105389265.db2.gz QBSDECRFMCXODB-UHFFFAOYSA-N 0 1 324.384 3.143 20 30 DGEDMN C#Cc1ccccc1Nc1ccncc1CNC(=O)OC(C)(C)C ZINC001249821830 1105389726 /nfs/dbraw/zinc/38/97/26/1105389726.db2.gz CJTYXKSSRSJMPI-UHFFFAOYSA-N 0 1 323.396 3.253 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)ccc1F)C2 ZINC001097479152 1105399658 /nfs/dbraw/zinc/39/96/58/1105399658.db2.gz GDVYSCPAKYENGV-WQVCFCJDSA-N 0 1 322.811 3.390 20 30 DGEDMN N#Cc1nc(Cl)c(Nc2cc(Cl)c(O)cc2F)nc1C#N ZINC001250023145 1105429546 /nfs/dbraw/zinc/42/95/46/1105429546.db2.gz FFVXJJURBSLHLS-UHFFFAOYSA-N 0 1 324.102 3.115 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccc(Cl)cc1)C2 ZINC001097773129 1105440862 /nfs/dbraw/zinc/44/08/62/1105440862.db2.gz SCZZOTVMWDEXLE-DXEWXGHRSA-N 0 1 318.848 3.351 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H]1COc2ccc(Br)cc2C1 ZINC001255165333 1105503925 /nfs/dbraw/zinc/50/39/25/1105503925.db2.gz XBXJMRHWBWHVLP-BXUZGUMPSA-N 0 1 323.234 3.282 20 30 DGEDMN N#Cc1ccc(-c2nc3cc(N4CCOCC4)c(F)cc3[nH]2)cc1 ZINC001250468141 1105519406 /nfs/dbraw/zinc/51/94/06/1105519406.db2.gz YNTJWHFKPMPKID-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCc3ccccc31)C2 ZINC001098077024 1105545239 /nfs/dbraw/zinc/54/52/39/1105545239.db2.gz HDCXNAWTKSOSQE-ISARSNTHSA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(F)F)cc1F)C2 ZINC001098099269 1105548441 /nfs/dbraw/zinc/54/84/41/1105548441.db2.gz HFJRWEBRHFJOAJ-DFBGVHRSSA-N 0 1 324.346 3.284 20 30 DGEDMN COCOc1ccc2nc(-c3ccc(OCC#N)cc3)[nH]c2c1 ZINC001250645023 1105553716 /nfs/dbraw/zinc/55/37/16/1105553716.db2.gz WDCUFZLLXPJHLS-UHFFFAOYSA-N 0 1 309.325 3.115 20 30 DGEDMN N#CCCN(C[C@H](O)COc1ccc(Cl)cc1)C1CCCC1 ZINC001250670002 1105558032 /nfs/dbraw/zinc/55/80/32/1105558032.db2.gz UMJXGAINHMOFPR-INIZCTEOSA-N 0 1 322.836 3.238 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCCC(C)(C)C1)C2 ZINC001098216210 1105562189 /nfs/dbraw/zinc/56/21/89/1105562189.db2.gz MACUSXMNNXHAPR-MLHJIOFPSA-N 0 1 316.489 3.338 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)/C=C/c2ccco2)CC1 ZINC001278593703 1105569433 /nfs/dbraw/zinc/56/94/33/1105569433.db2.gz LTPGGISVLVAHRW-AATRIKPKSA-N 0 1 322.836 3.264 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCCC(C)(C)C ZINC001098972073 1105621115 /nfs/dbraw/zinc/62/11/15/1105621115.db2.gz WMFUJICJOABOPD-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C=C(CC)CC ZINC001099013309 1105628094 /nfs/dbraw/zinc/62/80/94/1105628094.db2.gz UWAWOKPIJJSJTL-KRWDZBQOSA-N 0 1 304.478 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CCC)CCC1 ZINC001099188448 1105655417 /nfs/dbraw/zinc/65/54/17/1105655417.db2.gz GOUPQJSOSWJABE-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)c1ccco1 ZINC001099228823 1105659723 /nfs/dbraw/zinc/65/97/23/1105659723.db2.gz AQLZXJZXWDAAHY-JKSUJKDBSA-N 0 1 316.445 3.013 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)CC(C)C ZINC001099302966 1105666938 /nfs/dbraw/zinc/66/69/38/1105666938.db2.gz DVGJVTRSXZUNKM-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)c1ccco1 ZINC001099310383 1105668101 /nfs/dbraw/zinc/66/81/01/1105668101.db2.gz KQBSZCZZGIMDLF-HOTGVXAUSA-N 0 1 316.445 3.013 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001099349912 1105671629 /nfs/dbraw/zinc/67/16/29/1105671629.db2.gz VGOKHXJFFHBILY-PXNSSMCTSA-N 0 1 316.489 3.363 20 30 DGEDMN N#Cc1ccn2ncc(-c3nc4c([nH]3)c(F)c(F)cc4F)c2c1 ZINC001251810002 1105698923 /nfs/dbraw/zinc/69/89/23/1105698923.db2.gz YZQGDNOKPQNZSP-UHFFFAOYSA-N 0 1 313.242 3.167 20 30 DGEDMN CCCCCCCC[C@H](O)CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001252129649 1105746004 /nfs/dbraw/zinc/74/60/04/1105746004.db2.gz ADXMJTSNDMMOOF-HOTGVXAUSA-N 0 1 318.452 3.123 20 30 DGEDMN CCCCC(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491089188 1105748101 /nfs/dbraw/zinc/74/81/01/1105748101.db2.gz KQEMCIPPAVKWDD-IBGZPJMESA-N 0 1 312.457 3.057 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1ccnc(OCC)n1 ZINC001252139898 1105749942 /nfs/dbraw/zinc/74/99/42/1105749942.db2.gz UMRXWWQKWGSQHK-MRXNPFEDSA-N 0 1 309.454 3.076 20 30 DGEDMN N#CCC1(NC[C@@H](O)COc2cccc3ccccc32)CCCC1 ZINC001252407441 1105776831 /nfs/dbraw/zinc/77/68/31/1105776831.db2.gz WQYKFXWKMMNMLU-QGZVFWFLSA-N 0 1 324.424 3.396 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1cnccc1C ZINC001491219950 1105778743 /nfs/dbraw/zinc/77/87/43/1105778743.db2.gz ZPBVWAJIINQPPJ-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](CCCCC)C(C)C ZINC001491229878 1105778759 /nfs/dbraw/zinc/77/87/59/1105778759.db2.gz SJZHXBZBEOLEIH-ROUUACIJSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCCCC)C(C)C ZINC001491229872 1105778971 /nfs/dbraw/zinc/77/89/71/1105778971.db2.gz SJZHXBZBEOLEIH-MSOLQXFVSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)CCC)CC1(C)C ZINC001278666826 1105779553 /nfs/dbraw/zinc/77/95/53/1105779553.db2.gz HIIGRWUMHMCXIP-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](CC)CCCC)C1 ZINC001278668077 1105782171 /nfs/dbraw/zinc/78/21/71/1105782171.db2.gz KRUJZTVBLXPEOE-MJGOQNOKSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1cccc(F)c1F ZINC001491244000 1105786108 /nfs/dbraw/zinc/78/61/08/1105786108.db2.gz RLLUFOIIEULRFM-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C[C@](C)(O)CN1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001252541745 1105791549 /nfs/dbraw/zinc/79/15/49/1105791549.db2.gz SBNFQSYNJBKWJH-APWZRJJASA-N 0 1 316.445 3.033 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@H](C)n1cccc1 ZINC001491269830 1105792655 /nfs/dbraw/zinc/79/26/55/1105792655.db2.gz OESHLSZORAWJSG-HOTGVXAUSA-N 0 1 323.868 3.162 20 30 DGEDMN C=C[C@](C)(O)CN1[C@H](C(=O)OCC)CCC[C@H]1c1ccccc1 ZINC001252558311 1105793984 /nfs/dbraw/zinc/79/39/84/1105793984.db2.gz STCUMXKZNWOJEI-LNLFQRSKSA-N 0 1 317.429 3.082 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001491284474 1105796726 /nfs/dbraw/zinc/79/67/26/1105796726.db2.gz CRZIYRYKADANEC-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC1CCC(NCc2ncoc2C(C)C)CC1 ZINC001491346903 1105810926 /nfs/dbraw/zinc/81/09/26/1105810926.db2.gz LDOIXSXJXVRBPB-UHFFFAOYSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2cc(C)no2)C1 ZINC001278677587 1105818802 /nfs/dbraw/zinc/81/88/02/1105818802.db2.gz JXFRYTDQRJKDOK-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1ccc(C)cc1Cl ZINC001491436501 1105826722 /nfs/dbraw/zinc/82/67/22/1105826722.db2.gz SXJOZOIQCRIYCP-HNNXBMFYSA-N 0 1 320.864 3.436 20 30 DGEDMN C[C@@H](CNC(=O)[C@H]1CCC=CCCC1)NCC#Cc1ccccc1 ZINC001491497758 1105835969 /nfs/dbraw/zinc/83/59/69/1105835969.db2.gz VIPBGTOQRYTQMA-ICSRJNTNSA-N 0 1 324.468 3.269 20 30 DGEDMN N#CC1(c2ccccc2)CCN(C[C@@H](O)c2ccc(F)cc2)CC1 ZINC001252834139 1105838134 /nfs/dbraw/zinc/83/81/34/1105838134.db2.gz KNHOEUIYTZIKMQ-LJQANCHMSA-N 0 1 324.399 3.416 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)NCc1cc(N(C)C)ccn1 ZINC001252971841 1105862980 /nfs/dbraw/zinc/86/29/80/1105862980.db2.gz VMXNIZDKTQKDPA-RHSMWYFYSA-N 0 1 316.449 3.082 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNc1ccnc(NC(C)=O)c1 ZINC001253232318 1105905427 /nfs/dbraw/zinc/90/54/27/1105905427.db2.gz KFOURPLBRVOOBO-MRXNPFEDSA-N 0 1 305.422 3.339 20 30 DGEDMN C=CCCCCCC[C@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001253249085 1105911473 /nfs/dbraw/zinc/91/14/73/1105911473.db2.gz HFRUNYPBYBRJRZ-AWEZNQCLSA-N 0 1 309.841 3.328 20 30 DGEDMN C=CCCCCCC[C@H](O)CN[C@H](CO)c1ccccc1F ZINC001253253459 1105913080 /nfs/dbraw/zinc/91/30/80/1105913080.db2.gz HAIQCWGJCJMLQM-MAUKXSAKSA-N 0 1 309.425 3.336 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2c(F)cccc2F)C[C@H]1C ZINC001101805488 1105979951 /nfs/dbraw/zinc/97/99/51/1105979951.db2.gz SDCIPBJESGRTJP-ZIAGYGMSSA-N 0 1 322.399 3.115 20 30 DGEDMN N#CC[C@H](Cc1nc([C@@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC000350079989 1106011378 /nfs/dbraw/zinc/01/13/78/1106011378.db2.gz UMWMEVLFWGBPDH-IAGOWNOFSA-N 0 1 322.412 3.119 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H](NCC2(C#N)CCCC2)C1 ZINC001254187259 1106030982 /nfs/dbraw/zinc/03/09/82/1106030982.db2.gz FRKISPBMNASVES-OAHLLOKOSA-N 0 1 321.465 3.450 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H]2CC=CCC2)[C@H](C)C1 ZINC001102226121 1106040228 /nfs/dbraw/zinc/04/02/28/1106040228.db2.gz DZEWSRNDKMVQFL-FVQBIDKESA-N 0 1 310.869 3.169 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN(CC=C(Cl)Cl)C[C@H]1C ZINC001102298682 1106047431 /nfs/dbraw/zinc/04/74/31/1106047431.db2.gz ZQCMOOPIERGCNG-CHWSQXEVSA-N 0 1 319.276 3.346 20 30 DGEDMN Cc1cnc(CNC2CCC(C#N)(c3ccc(F)cc3)CC2)nc1 ZINC001254343005 1106057206 /nfs/dbraw/zinc/05/72/06/1106057206.db2.gz WSTSQEGLEQLVPQ-UHFFFAOYSA-N 0 1 324.403 3.418 20 30 DGEDMN Cc1nnc(CNC2CCC(C#N)(c3ccc(F)cc3)CC2)o1 ZINC001254351110 1106058296 /nfs/dbraw/zinc/05/82/96/1106058296.db2.gz SGWBGJWXLNTBAP-UHFFFAOYSA-N 0 1 314.364 3.011 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)CC(C)(C)C)[C@H](C)C1 ZINC001102436034 1106059065 /nfs/dbraw/zinc/05/90/65/1106059065.db2.gz TTYKOZNIFSHIBA-UMVBOHGHSA-N 0 1 314.901 3.495 20 30 DGEDMN CO[C@@H]1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)C[C@@H]1F ZINC001254353054 1106059266 /nfs/dbraw/zinc/05/92/66/1106059266.db2.gz ONBDPIQMNNFZLS-JDEYZFBPSA-N 0 1 320.383 3.198 20 30 DGEDMN Cc1ccccc1S(=O)(=O)Nc1cc(C#N)cn1C(C)(C)C ZINC001254513162 1106088754 /nfs/dbraw/zinc/08/87/54/1106088754.db2.gz BOKNGLCZHPLFRH-UHFFFAOYSA-N 0 1 317.414 3.224 20 30 DGEDMN CC(C)[C@@H]1CN([C@@H]2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001254659294 1106125618 /nfs/dbraw/zinc/12/56/18/1106125618.db2.gz NONHMWHJXWFZJI-OAGGEKHMSA-N 0 1 321.465 3.256 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@H](C#N)C1 ZINC001254659201 1106125843 /nfs/dbraw/zinc/12/58/43/1106125843.db2.gz LXNOAMOEWZJXGD-JYJNAYRXSA-N 0 1 321.465 3.256 20 30 DGEDMN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254673878 1106130759 /nfs/dbraw/zinc/13/07/59/1106130759.db2.gz QMCVBORTMPGKLY-BZUAXINKSA-N 0 1 321.465 3.400 20 30 DGEDMN C[C@H]1CN([C@@H]2CC[C@@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001254677683 1106131854 /nfs/dbraw/zinc/13/18/54/1106131854.db2.gz VZGRSHWHWFHXCF-BYNSBNAKSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H]1CN([C@H]2CC[C@@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001254677684 1106131970 /nfs/dbraw/zinc/13/19/70/1106131970.db2.gz VZGRSHWHWFHXCF-XQLPTFJDSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H]1CN([C@@H]2CC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001254677705 1106132860 /nfs/dbraw/zinc/13/28/60/1106132860.db2.gz VZGRSHWHWFHXCF-ZQDZILKHSA-N 0 1 307.438 3.008 20 30 DGEDMN CN(CCC#N)[C@H]1CCC2(C1)CCN(C(=O)OC(C)(C)C)CC2 ZINC001254744777 1106137815 /nfs/dbraw/zinc/13/78/15/1106137815.db2.gz MBICFHPFZSIXNX-HNNXBMFYSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](c1ccc(Cl)cc1)N(C)CCOC ZINC001255165079 1106196168 /nfs/dbraw/zinc/19/61/68/1106196168.db2.gz SMKUUCBRWBDWFD-IAGOWNOFSA-N 0 1 323.868 3.241 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@]2(CCC(=O)N2CC2CC2)[C@@H]1CC ZINC001255169587 1106198511 /nfs/dbraw/zinc/19/85/11/1106198511.db2.gz HMPKLNOKUBAEDK-AOIWGVFYSA-N 0 1 317.477 3.324 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(-c2ccccc2C(=O)OC)o1 ZINC001255199504 1106204536 /nfs/dbraw/zinc/20/45/36/1106204536.db2.gz MZJGPKBGBQUBRZ-ZDUSSCGKSA-N 0 1 315.369 3.024 20 30 DGEDMN CCN(CCC#N)[C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001255243330 1106209644 /nfs/dbraw/zinc/20/96/44/1106209644.db2.gz WPJHTZNQECJWBN-FICVDOATSA-N 0 1 307.438 3.152 20 30 DGEDMN C[C@H](CC(F)(F)F)N1CC[C@H](F)[C@@](O)(C(F)(F)F)CC1 ZINC001255645575 1106283788 /nfs/dbraw/zinc/28/37/88/1106283788.db2.gz GZRVLEJRMKAYQC-HRDYMLBCSA-N 0 1 311.241 3.055 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](NC2(CC#N)CCCC2)C1 ZINC001255906522 1106342549 /nfs/dbraw/zinc/34/25/49/1106342549.db2.gz YRQGBJCTWGDVSY-AWEZNQCLSA-N 0 1 307.438 3.202 20 30 DGEDMN C=CC[C@H](CC)NC(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001256504374 1106431588 /nfs/dbraw/zinc/43/15/88/1106431588.db2.gz JVKDDTABWLFOKJ-GVDBMIGSSA-N 0 1 319.424 3.225 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CCC(N2CC[C@](C)(C#N)C2)CC1 ZINC001256673184 1106466739 /nfs/dbraw/zinc/46/67/39/1106466739.db2.gz OXCAXQCXCGPKFQ-JTTJXQCZSA-N 0 1 321.465 3.400 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)C)c1ccccc1CC ZINC001278977881 1106513952 /nfs/dbraw/zinc/51/39/52/1106513952.db2.gz XJGJYXVTBPBOEE-KRWDZBQOSA-N 0 1 300.446 3.065 20 30 DGEDMN CC[C@@H]1C[C@@H](N(CC)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257136997 1106542889 /nfs/dbraw/zinc/54/28/89/1106542889.db2.gz ZDVSZEPPKDERBB-CABCVRRESA-N 0 1 309.454 3.400 20 30 DGEDMN CCCCOC(=O)CC[C@@H](C)N1CCc2ccc(C#N)cc2C1 ZINC001257393126 1106580261 /nfs/dbraw/zinc/58/02/61/1106580261.db2.gz KXDUILVHTBGYAL-OAHLLOKOSA-N 0 1 314.429 3.428 20 30 DGEDMN Cc1cc(Cl)cc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC001258273897 1106705704 /nfs/dbraw/zinc/70/57/04/1106705704.db2.gz RAAWAFHBCOSGFQ-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CCN(Cc2cncs2)CC1 ZINC001279089650 1106713766 /nfs/dbraw/zinc/71/37/66/1106713766.db2.gz KEIFLVKIDAUNJY-CYBMUJFWSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCOCc1nn([C@H](C)CC(C)(C)SC)c2c1CNC2 ZINC001258336696 1106719094 /nfs/dbraw/zinc/71/90/94/1106719094.db2.gz FOPKRIXHVWJAMO-GFCCVEGCSA-N 0 1 309.479 3.282 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C(C)(C)C1CCC1 ZINC001316897791 1106744493 /nfs/dbraw/zinc/74/44/93/1106744493.db2.gz MQHLJWSUIPZCDO-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CCN(C/C=C/Cl)CC1 ZINC001316936338 1106783047 /nfs/dbraw/zinc/78/30/47/1106783047.db2.gz UUAIVJSDIZQSHX-XYOKQWHBSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cnccc2C)C1 ZINC001316946601 1106803993 /nfs/dbraw/zinc/80/39/93/1106803993.db2.gz ZQSZGTXLZHCUSW-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001316985630 1106850843 /nfs/dbraw/zinc/85/08/43/1106850843.db2.gz LGJQEGUQYLSEFV-LSDHHAIUSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC001320091128 1106859886 /nfs/dbraw/zinc/85/98/86/1106859886.db2.gz RQVHQNRRRTVOKK-UHFFFAOYSA-N 0 1 306.494 3.252 20 30 DGEDMN CCCCCCC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001316992706 1106871157 /nfs/dbraw/zinc/87/11/57/1106871157.db2.gz HRIZUFRUAUJMHE-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)Cc1ccc(C)c(C)c1)C1CC1 ZINC001317026782 1106916957 /nfs/dbraw/zinc/91/69/57/1106916957.db2.gz JEPKMBISNLASHG-QGZVFWFLSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(Cl)s1)C1CC1 ZINC001317030300 1106922126 /nfs/dbraw/zinc/92/21/26/1106922126.db2.gz ZZDCHLAVVMFCLA-SNVBAGLBSA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001258727518 1106931296 /nfs/dbraw/zinc/93/12/96/1106931296.db2.gz IAHMBUYPSSTGLB-JYJNAYRXSA-N 0 1 324.465 3.051 20 30 DGEDMN C=CCC[C@@H](C)N1CCCn2cnc(COCC3CC3)c2C1 ZINC001258730593 1106937681 /nfs/dbraw/zinc/93/76/81/1106937681.db2.gz KLHRZTFZSBNCDN-OAHLLOKOSA-N 0 1 303.450 3.370 20 30 DGEDMN C=CCC[C@H](C)N1CCc2onc(COc3cccnc3)c2C1 ZINC001258730188 1106937762 /nfs/dbraw/zinc/93/77/62/1106937762.db2.gz BTENVHOEHJJFBW-AWEZNQCLSA-N 0 1 313.401 3.361 20 30 DGEDMN C=CCC[C@H](C)N1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC001258735595 1106941214 /nfs/dbraw/zinc/94/12/14/1106941214.db2.gz XNSZVTONBBAVGG-JTQLQIEISA-N 0 1 320.384 3.034 20 30 DGEDMN CC/C=C\CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001317041733 1106941723 /nfs/dbraw/zinc/94/17/23/1106941723.db2.gz FULBTBXMSFFKIK-UGZFAFSNSA-N 0 1 302.462 3.067 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CCC=C(C)C ZINC001317050468 1106952139 /nfs/dbraw/zinc/95/21/39/1106952139.db2.gz YNHOMXPHVGECNS-GBESFXJTSA-N 0 1 316.489 3.458 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001320356532 1106974008 /nfs/dbraw/zinc/97/40/08/1106974008.db2.gz LDTHQSAYRPPDIQ-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@H](CC)C[C@@H](C)CC ZINC001258789510 1106977242 /nfs/dbraw/zinc/97/72/42/1106977242.db2.gz BFXNUZAQRAUTFF-ZMSDIMECSA-N 0 1 320.481 3.132 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@@H](CC)C[C@@H](C)CC ZINC001258789509 1106977596 /nfs/dbraw/zinc/97/75/96/1106977596.db2.gz BFXNUZAQRAUTFF-YQQAZPJKSA-N 0 1 320.481 3.132 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1nc(C)cs1 ZINC001317094959 1106993265 /nfs/dbraw/zinc/99/32/65/1106993265.db2.gz WYRBTLKKBJTTDG-GOOCMWNKSA-N 0 1 319.474 3.029 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@@H](NC/C(Cl)=C\Cl)C2)CCC1 ZINC001317119502 1107013710 /nfs/dbraw/zinc/01/37/10/1107013710.db2.gz SJPGINPWIALIFN-UKFGPKQXSA-N 0 1 317.260 3.289 20 30 DGEDMN C=CCOCc1nn([C@H](CC)Cc2ccccc2)c2c1CNC2 ZINC001258845787 1107022703 /nfs/dbraw/zinc/02/27/03/1107022703.db2.gz GUALLQLHUMYXJI-MRXNPFEDSA-N 0 1 311.429 3.383 20 30 DGEDMN Cc1ccc(F)cc1S(=O)(=O)Nc1c(Cl)cccc1C#N ZINC001258926396 1107060646 /nfs/dbraw/zinc/06/06/46/1107060646.db2.gz KRWLZYNBUSTOJS-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CC[C@@H](C)C(=O)NC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001317165380 1107069464 /nfs/dbraw/zinc/06/94/64/1107069464.db2.gz IOANCTODTAYSGG-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1Cc1csc(C)c1 ZINC001317170995 1107079150 /nfs/dbraw/zinc/07/91/50/1107079150.db2.gz LIWPBRXMDPEGFD-QGZVFWFLSA-N 0 1 318.486 3.331 20 30 DGEDMN N#Cc1cccc(S(=O)(=O)Nc2cc3ccccc3cc2O)c1 ZINC001259124826 1107081173 /nfs/dbraw/zinc/08/11/73/1107081173.db2.gz RIDXNNWDQJZROK-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001317177240 1107094852 /nfs/dbraw/zinc/09/48/52/1107094852.db2.gz QNUYKCJIIHNDOB-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCC#Cc1ccc(Cl)cc1 ZINC001320693309 1107106804 /nfs/dbraw/zinc/10/68/04/1107106804.db2.gz GWAACEZCNPKQAY-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN CCCc1c(NCc2cc(C)[nH]n2)cnn1-c1cccc(C#N)c1 ZINC001320703097 1107110505 /nfs/dbraw/zinc/11/05/05/1107110505.db2.gz ATVFCIFWAKHSFM-UHFFFAOYSA-N 0 1 320.400 3.340 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1ccccc1F ZINC001317197287 1107115601 /nfs/dbraw/zinc/11/56/01/1107115601.db2.gz QWJGCNRFXBVTMA-OAHLLOKOSA-N 0 1 324.827 3.091 20 30 DGEDMN CC(C)c1cccc(NS(=O)(=O)c2ccc(F)c(C#N)c2)n1 ZINC001259412531 1107133512 /nfs/dbraw/zinc/13/35/12/1107133512.db2.gz LGJQILUKMVRCHJ-UHFFFAOYSA-N 0 1 319.361 3.017 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCCC1(F)F ZINC001320802483 1107142597 /nfs/dbraw/zinc/14/25/97/1107142597.db2.gz ZFCWGMGLZAQFLE-GFCCVEGCSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001317220636 1107144170 /nfs/dbraw/zinc/14/41/70/1107144170.db2.gz ZBSLQQJWIGSFNW-JMLCCBQJSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(F)c(C(F)F)c1 ZINC001317241213 1107165705 /nfs/dbraw/zinc/16/57/05/1107165705.db2.gz KYLLPFDRYXDIBI-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2ccc3cncn3c2C)c1 ZINC001320885205 1107175495 /nfs/dbraw/zinc/17/54/95/1107175495.db2.gz GXNXAQLRLZFBOL-UHFFFAOYSA-N 0 1 307.353 3.460 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N[C@H](C)c2ccc(F)cc2F)C1 ZINC001317266765 1107188293 /nfs/dbraw/zinc/18/82/93/1107188293.db2.gz RJZYOQREUMKZTB-QWHCGFSZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)c1cccc(F)c1 ZINC001320919932 1107189167 /nfs/dbraw/zinc/18/91/67/1107189167.db2.gz AOTPYVOHNNUNKC-CYBMUJFWSA-N 0 1 312.816 3.120 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2c(C3CC3)nc3sccn23)C1 ZINC001320967108 1107205544 /nfs/dbraw/zinc/20/55/44/1107205544.db2.gz ADSKMCSOWDERPY-ZDUSSCGKSA-N 0 1 303.431 3.050 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CC)Cc1ccccc1C ZINC001317281637 1107206101 /nfs/dbraw/zinc/20/61/01/1107206101.db2.gz DWOBIKFHDPXHRT-HZPDHXFCSA-N 0 1 322.880 3.411 20 30 DGEDMN C[C@]1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CC=CCC1 ZINC001321070913 1107238379 /nfs/dbraw/zinc/23/83/79/1107238379.db2.gz RFKZVBSIHPACQQ-GEPASTNRSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1oc(C(F)F)cc1C ZINC001321096148 1107248205 /nfs/dbraw/zinc/24/82/05/1107248205.db2.gz GVMJQWTVHWFLGR-ARJAWSKDSA-N 0 1 318.751 3.154 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(Cl)ccc1F ZINC001321146319 1107265474 /nfs/dbraw/zinc/26/54/74/1107265474.db2.gz UNGUCZITIRLZIZ-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(-c2cccc([N+](=O)[O-])c2)o1 ZINC001321242434 1107300171 /nfs/dbraw/zinc/30/01/71/1107300171.db2.gz XTWITUHCCPZNIU-ZDUSSCGKSA-N 0 1 302.330 3.145 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)CC1CCCCC1 ZINC001321455406 1107352622 /nfs/dbraw/zinc/35/26/22/1107352622.db2.gz OYDWAYWEDZYTIJ-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)[C@H]1CCc2c1cc(F)cc2F ZINC001317446277 1107388327 /nfs/dbraw/zinc/38/83/27/1107388327.db2.gz BODUWSGDNWVKGV-KRWDZBQOSA-N 0 1 322.399 3.356 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(Cl)cc1C ZINC001321642830 1107402954 /nfs/dbraw/zinc/40/29/54/1107402954.db2.gz YGGJMSKKOUQUOJ-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)C/C=C\c1ccccc1 ZINC001317460421 1107412452 /nfs/dbraw/zinc/41/24/52/1107412452.db2.gz WEGSAUNBBIHNCF-XGCMIMOYSA-N 0 1 300.446 3.350 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1oc2c(cccc2C)c1C ZINC001317492258 1107453556 /nfs/dbraw/zinc/45/35/56/1107453556.db2.gz JUJGXOJCHDFRGZ-UHFFFAOYSA-N 0 1 312.413 3.125 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001317507768 1107467414 /nfs/dbraw/zinc/46/74/14/1107467414.db2.gz LLBXUTLDEMLJHN-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2nc(C)sc2C)C1 ZINC001317519690 1107485505 /nfs/dbraw/zinc/48/55/05/1107485505.db2.gz FCCMUJRBNWFJSW-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN CCC(CC)C(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001317548340 1107518863 /nfs/dbraw/zinc/51/88/63/1107518863.db2.gz TXTDZCMAMGWMLS-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001317581548 1107552359 /nfs/dbraw/zinc/55/23/59/1107552359.db2.gz OVZQRDYIYSNZRR-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN Cc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C)n1C1CC1 ZINC001261886586 1107554728 /nfs/dbraw/zinc/55/47/28/1107554728.db2.gz IMHMDAXGNKDSSM-UHFFFAOYSA-N 0 1 319.368 3.440 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001322258167 1107596653 /nfs/dbraw/zinc/59/66/53/1107596653.db2.gz LGESSJUWUWLLOZ-AWEZNQCLSA-N 0 1 320.864 3.077 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C=C1CCCCC1)c1ccccc1 ZINC001317714246 1107616821 /nfs/dbraw/zinc/61/68/21/1107616821.db2.gz CYMUHNWKVGEXRF-IBGZPJMESA-N 0 1 310.441 3.347 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1cnccc1C)c1ccccc1 ZINC001317716187 1107620112 /nfs/dbraw/zinc/62/01/12/1107620112.db2.gz XYCQLAZPSWQSLA-IBGZPJMESA-N 0 1 323.440 3.303 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CC[N@@H+](CCC(F)(F)F)C1 ZINC001317754255 1107640441 /nfs/dbraw/zinc/64/04/41/1107640441.db2.gz QAEQMQFFCVEYDP-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001317754255 1107640447 /nfs/dbraw/zinc/64/04/47/1107640447.db2.gz QAEQMQFFCVEYDP-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCCC2CCCC2)C1 ZINC001317773518 1107658705 /nfs/dbraw/zinc/65/87/05/1107658705.db2.gz QTBKMQYMATXOKQ-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C)(C)C2CCC2)C1 ZINC001317796278 1107673521 /nfs/dbraw/zinc/67/35/21/1107673521.db2.gz VNKSGFXQLWDSGU-AWEZNQCLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001317799592 1107675452 /nfs/dbraw/zinc/67/54/52/1107675452.db2.gz ASHPZXUKQUTBAK-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001317851805 1107705501 /nfs/dbraw/zinc/70/55/01/1107705501.db2.gz ATFPRQKWOUDKCH-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN COc1cccc(F)c1CN[C@@H](C)CC1(C#N)CCOCC1 ZINC001262377097 1107706888 /nfs/dbraw/zinc/70/68/88/1107706888.db2.gz LTXNAEYTPVIMTM-ZDUSSCGKSA-N 0 1 306.381 3.023 20 30 DGEDMN C=CCCCC(=O)N1CCC(CN(C)Cc2ccns2)CC1 ZINC001317875822 1107729296 /nfs/dbraw/zinc/72/92/96/1107729296.db2.gz MNBDZUAWMFZKRS-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001317877362 1107732251 /nfs/dbraw/zinc/73/22/51/1107732251.db2.gz XYNVXEBZIXEFJF-UHFFFAOYSA-N 0 1 323.868 3.168 20 30 DGEDMN Cc1c(C(=O)N(CCC#N)CCC(C)C)n[nH]c1C(F)(F)F ZINC001262607861 1107739349 /nfs/dbraw/zinc/73/93/49/1107739349.db2.gz PMCLXRVTTMZDKO-UHFFFAOYSA-N 0 1 316.327 3.139 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001317950011 1107763162 /nfs/dbraw/zinc/76/31/62/1107763162.db2.gz SBHSUHNEECSHEC-GOSISDBHSA-N 0 1 314.473 3.412 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001317981914 1107781181 /nfs/dbraw/zinc/78/11/81/1107781181.db2.gz WZPOVPDJTDIECO-IRXDYDNUSA-N 0 1 315.461 3.122 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001318051424 1107809411 /nfs/dbraw/zinc/80/94/11/1107809411.db2.gz JMXOFCAMSYYPQP-CQSZACIVSA-N 0 1 320.864 3.428 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1cccc(F)c1F)C1CC1 ZINC001323046840 1107815424 /nfs/dbraw/zinc/81/54/24/1107815424.db2.gz KLGFVWYNCFAANV-HNNXBMFYSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CCC(F)(F)CC1)C1CC1 ZINC001323077462 1107825198 /nfs/dbraw/zinc/82/51/98/1107825198.db2.gz NNQZVWISUPHJRL-CYBMUJFWSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001318139297 1107855858 /nfs/dbraw/zinc/85/58/58/1107855858.db2.gz BHJRKRHQTVDIKG-UHFFFAOYSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@H](CC)CC1 ZINC001262947656 1107880633 /nfs/dbraw/zinc/88/06/33/1107880633.db2.gz PXDXHRJRZAXAHY-AWEZNQCLSA-N 0 1 314.437 3.179 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC[C@@H]1CC ZINC001262989095 1107898693 /nfs/dbraw/zinc/89/86/93/1107898693.db2.gz KJQZXXBGUYNOGF-AWEZNQCLSA-N 0 1 322.416 3.386 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001318254298 1107917226 /nfs/dbraw/zinc/91/72/26/1107917226.db2.gz BBHAIAQMFUBCNY-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001323287364 1107917468 /nfs/dbraw/zinc/91/74/68/1107917468.db2.gz OZIHGZDQPNNQOU-SJLPKXTDSA-N 0 1 300.446 3.288 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1cc(C)sc1C ZINC001323286605 1107918439 /nfs/dbraw/zinc/91/84/39/1107918439.db2.gz GTSDEYLNNCIELM-QGZVFWFLSA-N 0 1 318.486 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001323339484 1107942389 /nfs/dbraw/zinc/94/23/89/1107942389.db2.gz HHSPFYLNWIMZRU-MSOLQXFVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC001323339161 1107943161 /nfs/dbraw/zinc/94/31/61/1107943161.db2.gz DUENXVFPKMPBJN-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001318387835 1107974866 /nfs/dbraw/zinc/97/48/66/1107974866.db2.gz VJGJCMVAESRPPI-GJZGRUSLSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001323518136 1107996647 /nfs/dbraw/zinc/99/66/47/1107996647.db2.gz GTGUQXDSDYCEOV-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001318441149 1108008477 /nfs/dbraw/zinc/00/84/77/1108008477.db2.gz FVHKMBJNMHWAJD-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001318444044 1108010155 /nfs/dbraw/zinc/01/01/55/1108010155.db2.gz CAAXSAJFKOSEGL-OALUTQOASA-N 0 1 312.457 3.126 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cccnc2N(C)C)c1 ZINC001323664342 1108046896 /nfs/dbraw/zinc/04/68/96/1108046896.db2.gz LCILPKGDRKIIBF-KRWDZBQOSA-N 0 1 324.428 3.118 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001318486414 1108048831 /nfs/dbraw/zinc/04/88/31/1108048831.db2.gz YRVQPRSCZRRBJI-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1ccncc1Cl ZINC001318558282 1108094496 /nfs/dbraw/zinc/09/44/96/1108094496.db2.gz ZQUZLJJCSUADHU-CQSZACIVSA-N 0 1 323.868 3.322 20 30 DGEDMN C=CCC1(C(=O)N2CCC3(C2)CCN(CCF)CC3)CCC1 ZINC001323832305 1108097456 /nfs/dbraw/zinc/09/74/56/1108097456.db2.gz NQQNOGPNHCWPEU-UHFFFAOYSA-N 0 1 308.441 3.017 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](CC)NCc1ccc(F)cc1F ZINC001318563719 1108098586 /nfs/dbraw/zinc/09/85/86/1108098586.db2.gz WMXHJDDZQUZRDF-INIZCTEOSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1scnc1C(C)C ZINC001318580218 1108107389 /nfs/dbraw/zinc/10/73/89/1108107389.db2.gz BJOJRLNWWYUNGZ-NSHDSACASA-N 0 1 315.870 3.117 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(C)c1Cl ZINC001318582913 1108109104 /nfs/dbraw/zinc/10/91/04/1108109104.db2.gz OAWKABJIQQUXIY-GFCCVEGCSA-N 0 1 315.244 3.499 20 30 DGEDMN Cn1c(Cl)cnc1[C@H](C#N)C(=O)c1csc(C(C)(C)C)n1 ZINC001263615661 1108110840 /nfs/dbraw/zinc/11/08/40/1108110840.db2.gz BNXKXOZPRCNOFK-MRVPVSSYSA-N 0 1 322.821 3.318 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1csc(C(C)(C)C)n1 ZINC001263615661 1108110846 /nfs/dbraw/zinc/11/08/46/1108110846.db2.gz BNXKXOZPRCNOFK-MRVPVSSYSA-N 0 1 322.821 3.318 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(Cc2ccccc2F)CC1 ZINC001263616732 1108112035 /nfs/dbraw/zinc/11/20/35/1108112035.db2.gz GIWKMAKLTBRHEH-AWEZNQCLSA-N 0 1 311.360 3.241 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(CC(C)C)c[nH]1 ZINC001318594879 1108114658 /nfs/dbraw/zinc/11/46/58/1108114658.db2.gz LDPCNXLGXJHBKW-CQSZACIVSA-N 0 1 311.857 3.064 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1CC(C(C)(C)C)C1 ZINC001318608680 1108123494 /nfs/dbraw/zinc/12/34/94/1108123494.db2.gz XRCCPFSGYLTPHK-JXQTWKCFSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncc(C(C)C)o2)C[C@H]1C ZINC001324046031 1108158294 /nfs/dbraw/zinc/15/82/94/1108158294.db2.gz BCYPXKXQYWCFOB-CABCVRRESA-N 0 1 319.449 3.233 20 30 DGEDMN N#Cc1ccc(CNN=Cc2ccccc2N2CCCC2)cc1 ZINC001324116199 1108181450 /nfs/dbraw/zinc/18/14/50/1108181450.db2.gz WZGARNKCIVIWMI-UHFFFAOYSA-N 0 1 304.397 3.282 20 30 DGEDMN N#Cc1csc(CNCCc2coc(-c3ccccc3)n2)n1 ZINC001324158357 1108193031 /nfs/dbraw/zinc/19/30/31/1108193031.db2.gz QIBUCAAYKVWRSP-UHFFFAOYSA-N 0 1 310.382 3.002 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(-c2ccc(C(=O)OC)cc2)o1 ZINC001324160004 1108193774 /nfs/dbraw/zinc/19/37/74/1108193774.db2.gz QVFNNUQEKRNAAS-HNNXBMFYSA-N 0 1 315.369 3.024 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccc(C)cc1 ZINC001263802421 1108206124 /nfs/dbraw/zinc/20/61/24/1108206124.db2.gz PBTBDCPEZHDDIM-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccc(F)cc1 ZINC001263820608 1108234538 /nfs/dbraw/zinc/23/45/38/1108234538.db2.gz CJEWGJVLUDZQEA-YJBOKZPZSA-N 0 1 318.436 3.428 20 30 DGEDMN CCCC1CCN([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC001318908837 1108235599 /nfs/dbraw/zinc/23/55/99/1108235599.db2.gz YVJAMHXRPYQFPU-OAHLLOKOSA-N 0 1 305.466 3.230 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCCCCC)C1CCN(CC#C)CC1 ZINC001324431943 1108286417 /nfs/dbraw/zinc/28/64/17/1108286417.db2.gz KZPSPQQLRQSMLJ-SFHVURJKSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@H](C)C2CC2)CC1 ZINC001319043258 1108292441 /nfs/dbraw/zinc/29/24/41/1108292441.db2.gz HOJQMZKXBHRUJM-ZDUSSCGKSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(F)cc1)C1CCCC1 ZINC001324451534 1108292892 /nfs/dbraw/zinc/29/28/92/1108292892.db2.gz PSSHABVTGRPCKU-INIZCTEOSA-N 0 1 324.827 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C1CCCC1 ZINC001324466688 1108300601 /nfs/dbraw/zinc/30/06/01/1108300601.db2.gz QJJAAFQSBAGWMA-TWMKSMIVSA-N 0 1 324.896 3.440 20 30 DGEDMN Cn1ccc(NN=Cc2ccc(Br)cc2Cl)n1 ZINC001324492541 1108313247 /nfs/dbraw/zinc/31/32/47/1108313247.db2.gz AMQYHPKLZFZZEV-UHFFFAOYSA-N 0 1 313.586 3.282 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cncs2)[C@@H]1CC ZINC001264062400 1108361211 /nfs/dbraw/zinc/36/12/11/1108361211.db2.gz DPHGQERSHZPBCM-UXLLHSPISA-N 0 1 321.490 3.215 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN([C@@H](C)c2ccccc2F)C1 ZINC001324590088 1108363467 /nfs/dbraw/zinc/36/34/67/1108363467.db2.gz RSIHJUKVASNEMJ-KBXCAEBGSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001264094981 1108372527 /nfs/dbraw/zinc/37/25/27/1108372527.db2.gz YKSNPJFGJRJUCL-TZQODHHOSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001264162334 1108409029 /nfs/dbraw/zinc/40/90/29/1108409029.db2.gz PVTZHWIPMPPCEH-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)C[C@H](C)c2ccccc2)C1 ZINC001324668765 1108414275 /nfs/dbraw/zinc/41/42/75/1108414275.db2.gz IMLXGMCNEHNIIZ-LPHOPBHVSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)C[C@@H](C)c2ccccc2)C1 ZINC001324668767 1108415193 /nfs/dbraw/zinc/41/51/93/1108415193.db2.gz IMLXGMCNEHNIIZ-VQIMIIECSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C2(CC)CCCCC2)C1 ZINC001319330806 1108415891 /nfs/dbraw/zinc/41/58/91/1108415891.db2.gz CBDHSOXPZMHRHC-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)C1CCCCCCC1 ZINC001264365450 1108474679 /nfs/dbraw/zinc/47/46/79/1108474679.db2.gz XYEQSOWQWAWXQD-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCCCCC(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001264367242 1108477043 /nfs/dbraw/zinc/47/70/43/1108477043.db2.gz USHJVABJFJJSBR-LJQANCHMSA-N 0 1 312.457 3.303 20 30 DGEDMN Cc1ccn(-c2cccc(C(=O)Nc3cc(C#N)ccc3O)c2)n1 ZINC001319532450 1108510076 /nfs/dbraw/zinc/51/00/76/1108510076.db2.gz MNPCUFMBTHIHHT-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2cnc(C)o2)C1 ZINC001324957892 1108534869 /nfs/dbraw/zinc/53/48/69/1108534869.db2.gz OPZJQFQCTYPPKN-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCC2CCCCC2)C1 ZINC001324974900 1108544568 /nfs/dbraw/zinc/54/45/68/1108544568.db2.gz BWBNLKGUKVFDSM-IBGZPJMESA-N 0 1 304.478 3.198 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CCCCC1 ZINC001319647551 1108573607 /nfs/dbraw/zinc/57/36/07/1108573607.db2.gz LVHNUHXAPKCITG-TWMKSMIVSA-N 0 1 324.896 3.440 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(CC(=C)C)CC[C@@H]1c1ccccc1 ZINC001264590780 1108583441 /nfs/dbraw/zinc/58/34/41/1108583441.db2.gz ABFFWUMJKBYPHD-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001264615886 1108593831 /nfs/dbraw/zinc/59/38/31/1108593831.db2.gz ICQSCCIEEYTDID-OWCLPIDISA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC1(C)C)c1ccccc1CC ZINC001325361594 1108632543 /nfs/dbraw/zinc/63/25/43/1108632543.db2.gz IQTPSGIGLXIAQY-ZWKOTPCHSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NCc2ncc(C)s2)C(C)C)C1 ZINC001319944511 1108706772 /nfs/dbraw/zinc/70/67/72/1108706772.db2.gz PNPSVKUDYRDMLA-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1csc(C)n1)C(C)C ZINC001319946104 1108708151 /nfs/dbraw/zinc/70/81/51/1108708151.db2.gz DTKCLLKYUODNNN-OAHLLOKOSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(Cl)o1 ZINC001265099648 1108733301 /nfs/dbraw/zinc/73/33/01/1108733301.db2.gz HXXSSZYUVNJFKZ-UHFFFAOYSA-N 0 1 305.205 3.127 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1ccc(C)c(C)c1 ZINC001265144794 1108748984 /nfs/dbraw/zinc/74/89/84/1108748984.db2.gz LAMOVNHXZNFOIK-UHFFFAOYSA-N 0 1 322.880 3.427 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001265205176 1108776873 /nfs/dbraw/zinc/77/68/73/1108776873.db2.gz DGDIHYMCVYCYKG-RDJZCZTQSA-N 0 1 316.445 3.303 20 30 DGEDMN C[C@H](c1cccc(F)c1)N1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265284126 1108804564 /nfs/dbraw/zinc/80/45/64/1108804564.db2.gz MPDOUMLOIYFGEW-PBHICJAKSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001265301467 1108814354 /nfs/dbraw/zinc/81/43/54/1108814354.db2.gz LEJRRAYYOUXGGR-RDJZCZTQSA-N 0 1 306.475 3.349 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001265310812 1108822112 /nfs/dbraw/zinc/82/21/12/1108822112.db2.gz VRZYQKWBHBQBBW-QZTJIDSGSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1C ZINC001265402080 1108864169 /nfs/dbraw/zinc/86/41/69/1108864169.db2.gz IUGXRPAYVGFTAR-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C=CCCCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccns1 ZINC001265517902 1108897714 /nfs/dbraw/zinc/89/77/14/1108897714.db2.gz QXZBIWJKBOEJHF-GOOCMWNKSA-N 0 1 319.474 3.111 20 30 DGEDMN C=CCCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC001265517902 1108897719 /nfs/dbraw/zinc/89/77/19/1108897719.db2.gz QXZBIWJKBOEJHF-GOOCMWNKSA-N 0 1 319.474 3.111 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001265585044 1108920040 /nfs/dbraw/zinc/92/00/40/1108920040.db2.gz ZBLVWZWNGOBIQN-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@H]1CCCN(CCF)C1)c1ccccc1 ZINC001265596868 1108928027 /nfs/dbraw/zinc/92/80/27/1108928027.db2.gz LULRJAPPVMCAKN-AEFFLSMTSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CNCc2ncc(C(C)C)o2)C1 ZINC001265603349 1108930704 /nfs/dbraw/zinc/93/07/04/1108930704.db2.gz UMFIEWVMADPWHG-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CNCc2ncoc2C(C)C)C1 ZINC001265603019 1108930729 /nfs/dbraw/zinc/93/07/29/1108930729.db2.gz OIRVXIJRTWKFCV-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)c1cccc(F)c1 ZINC001265653614 1108950749 /nfs/dbraw/zinc/95/07/49/1108950749.db2.gz DTVOWUFWSNVMNS-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CNCc1nc(C)cs1 ZINC001265671102 1108960169 /nfs/dbraw/zinc/96/01/69/1108960169.db2.gz AZQKOOHPXUZINR-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ncc(C)cc1C ZINC001265678255 1108962054 /nfs/dbraw/zinc/96/20/54/1108962054.db2.gz WWJZZOYIBLLBJB-OAHLLOKOSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(C)c(C)n1 ZINC001265677360 1108962349 /nfs/dbraw/zinc/96/23/49/1108962349.db2.gz JRCTWPICOGPDCW-HNNXBMFYSA-N 0 1 321.852 3.035 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1[C@H]1CCCN1CCF ZINC001265696413 1108970712 /nfs/dbraw/zinc/97/07/12/1108970712.db2.gz HTMKJERVWTWXFP-QZTJIDSGSA-N 0 1 322.468 3.385 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](C)N[C@@H](C)c1cccc(Cl)c1F ZINC001265773196 1109001108 /nfs/dbraw/zinc/00/11/08/1109001108.db2.gz QTQNCYIRMFFRDR-OLZOCXBDSA-N 0 1 324.827 3.294 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2c([nH]1)c(F)ccc2C ZINC001265792698 1109006444 /nfs/dbraw/zinc/00/64/44/1109006444.db2.gz STIAQZPWYJEYAV-LLVKDONJSA-N 0 1 323.799 3.076 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@@H](CCC)C(C)C ZINC001265833291 1109020865 /nfs/dbraw/zinc/02/08/65/1109020865.db2.gz ZTONDNKHFMMHLN-OLZOCXBDSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001265835703 1109021604 /nfs/dbraw/zinc/02/16/04/1109021604.db2.gz SYAXKKKWOUMGFT-HYVNUMGLSA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2sccc2c1 ZINC001265846788 1109024295 /nfs/dbraw/zinc/02/42/95/1109024295.db2.gz VNHDBJKRBGFALQ-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(C)ccc1C ZINC001266102683 1109090493 /nfs/dbraw/zinc/09/04/93/1109090493.db2.gz GPHLELKDTORTTL-UHFFFAOYSA-N 0 1 308.853 3.498 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001266110194 1109094271 /nfs/dbraw/zinc/09/42/71/1109094271.db2.gz COWXXEXSRUXGKJ-ZFWWWQNUSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)N[C@H](C)c1ncc(C)o1 ZINC001266167564 1109113161 /nfs/dbraw/zinc/11/31/61/1109113161.db2.gz WYWAPROJOLZNEV-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC001266233873 1109134631 /nfs/dbraw/zinc/13/46/31/1109134631.db2.gz SQIHFOSRCKLFQQ-KRWDZBQOSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC001266236567 1109135646 /nfs/dbraw/zinc/13/56/46/1109135646.db2.gz KBCGVWVDUAFSIH-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H]1CC1(C)C ZINC001279304308 1109146247 /nfs/dbraw/zinc/14/62/47/1109146247.db2.gz CEJVSBUPGNLACC-INIZCTEOSA-N 0 1 320.864 3.403 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(Cl)c2)CCC1 ZINC001280534687 1109220573 /nfs/dbraw/zinc/22/05/73/1109220573.db2.gz ZZAKBYAFKFPEDZ-UHFFFAOYSA-N 0 1 313.228 3.335 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1cccc(F)c1 ZINC001316605393 1109240814 /nfs/dbraw/zinc/24/08/14/1109240814.db2.gz OOAIVADSERMWKC-RHSMWYFYSA-N 0 1 304.409 3.038 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@@H]1CCC2(CN(CCCCCCC)C2)O1 ZINC001280917457 1109271942 /nfs/dbraw/zinc/27/19/42/1109271942.db2.gz BQBAWHFLMJCSSE-JVMBSBNBSA-N 0 1 322.493 3.273 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2c(F)cccc2F)C1 ZINC001281406382 1109346580 /nfs/dbraw/zinc/34/65/80/1109346580.db2.gz KAQCFLFTCOIKMU-DYVFJYSZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCCC(C)C)C[C@@H]1C ZINC001281457726 1109358622 /nfs/dbraw/zinc/35/86/22/1109358622.db2.gz QLCSKXRMPHGGNM-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)CC1(C)C ZINC001281553156 1109378823 /nfs/dbraw/zinc/37/88/23/1109378823.db2.gz NXCJUXCSSSCVPW-INIZCTEOSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001281663863 1109391322 /nfs/dbraw/zinc/39/13/22/1109391322.db2.gz GCVSVFKHFPPHCW-AZUAARDMSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001281740782 1109402269 /nfs/dbraw/zinc/40/22/69/1109402269.db2.gz AXFIFKYDRVMILR-ZDUSSCGKSA-N 0 1 321.465 3.169 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C/C=C(\C)C=C ZINC001281776395 1109407010 /nfs/dbraw/zinc/40/70/10/1109407010.db2.gz NCRWMDRARWOURR-KDMTZKAISA-N 0 1 322.452 3.248 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@@H](F)CC ZINC001281913162 1109414751 /nfs/dbraw/zinc/41/47/51/1109414751.db2.gz VGGSPYDSGWTFNV-KVSKMBFKSA-N 0 1 318.436 3.463 20 30 DGEDMN C[C@@H](C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1)C1CC1 ZINC001281929071 1109416354 /nfs/dbraw/zinc/41/63/54/1109416354.db2.gz OBCINRMEWKUNOA-YLJYHZDGSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1oc2c(cccc2C)c1C ZINC001281966717 1109424339 /nfs/dbraw/zinc/42/43/39/1109424339.db2.gz BUHJXHQVKYSHOV-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001282094330 1109448815 /nfs/dbraw/zinc/44/88/15/1109448815.db2.gz GYLSKLBQBBNBIL-KGLIPLIRSA-N 0 1 319.424 3.260 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001282131013 1109455088 /nfs/dbraw/zinc/45/50/88/1109455088.db2.gz BMUWWPUBXKXLMQ-CHWSQXEVSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1sccc1Cl ZINC001282133438 1109456161 /nfs/dbraw/zinc/45/61/61/1109456161.db2.gz MZNVWSFYURSLOP-BDAKNGLRSA-N 0 1 307.246 3.250 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@H](C)c1ccccc1F ZINC001282378269 1109497616 /nfs/dbraw/zinc/49/76/16/1109497616.db2.gz MWCICKWIJQHHGE-LSDHHAIUSA-N 0 1 304.409 3.127 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001282736475 1109558892 /nfs/dbraw/zinc/55/88/92/1109558892.db2.gz CUDKGETVBXPZOE-HOTGVXAUSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](CC)Cc2ccccc2)C(C)(C)C1 ZINC001282820202 1109569892 /nfs/dbraw/zinc/56/98/92/1109569892.db2.gz KIZZFXPVZDLFQI-ROUUACIJSA-N 0 1 314.473 3.268 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001282834662 1109572383 /nfs/dbraw/zinc/57/23/83/1109572383.db2.gz XTLAFOSPPRGGRB-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2occc2s1 ZINC001283676955 1109672261 /nfs/dbraw/zinc/67/22/61/1109672261.db2.gz GQNGJECNNVVCKO-SNVBAGLBSA-N 0 1 312.822 3.297 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C[C@@H]1C ZINC001283986537 1109711115 /nfs/dbraw/zinc/71/11/15/1109711115.db2.gz NSKHVMRGAWNZQC-BBRMVZONSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cncc(C)c2)[C@H]1C ZINC001284130300 1109744153 /nfs/dbraw/zinc/74/41/53/1109744153.db2.gz KKHJUJNFUCLGCB-RDJZCZTQSA-N 0 1 315.461 3.071 20 30 DGEDMN CC(C)C(=O)N(CCN(C)Cc1ccc(C#N)c(F)c1)C(C)C ZINC001284527022 1109803582 /nfs/dbraw/zinc/80/35/82/1109803582.db2.gz JBYWYVODTRHLSK-UHFFFAOYSA-N 0 1 319.424 3.022 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)[C@@H](C)C(C)(C)C)C(C)C ZINC001284553574 1109810925 /nfs/dbraw/zinc/81/09/25/1109810925.db2.gz PITQUHIEQLWUGF-IAGOWNOFSA-N 0 1 308.510 3.497 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCc1cc(C)cc(C)c1)C(C)C ZINC001284554264 1109811197 /nfs/dbraw/zinc/81/11/97/1109811197.db2.gz ZKCZMZJQGYUZDR-UHFFFAOYSA-N 0 1 314.473 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001284632867 1109829360 /nfs/dbraw/zinc/82/93/60/1109829360.db2.gz UACYEUSHZAZWGE-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001284789536 1109860886 /nfs/dbraw/zinc/86/08/86/1109860886.db2.gz DESOWXJYGCMYQL-FDXNKCRBSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CCN(Cc2cc(C)ns2)CC1 ZINC001284712444 1109847163 /nfs/dbraw/zinc/84/71/63/1109847163.db2.gz MVZHUAQECOTCBC-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2ocnc2C)CC1 ZINC001284713357 1109847211 /nfs/dbraw/zinc/84/72/11/1109847211.db2.gz WSUBIPIPJQUAGC-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CC(NCc2c(F)cccc2F)C1 ZINC001284784571 1109859780 /nfs/dbraw/zinc/85/97/80/1109859780.db2.gz MIAOUJQBPVDKMR-UHFFFAOYSA-N 0 1 322.399 3.256 20 30 DGEDMN N#C[C@H](c1ccccc1)c1ccc(NC(=O)Cc2cnc[nH]2)cc1 ZINC001290877509 1110261786 /nfs/dbraw/zinc/26/17/86/1110261786.db2.gz LKPVJMDIZISGBF-GOSISDBHSA-N 0 1 316.364 3.246 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2ncc3ccccc3c2O)c1 ZINC001302452195 1111115335 /nfs/dbraw/zinc/11/53/35/1111115335.db2.gz XVXODXKRLRKDEV-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C\c2ccc(C(C)(C)C)cc2)C1 ZINC001303559213 1111147337 /nfs/dbraw/zinc/14/73/37/1111147337.db2.gz WYRLIYXPLIZHDX-XGCMIMOYSA-N 0 1 324.468 3.211 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)NC(=O)c1n[nH]c2ccccc21 ZINC001303872237 1111156115 /nfs/dbraw/zinc/15/61/15/1111156115.db2.gz SLSSTJFGYOVDHO-UHFFFAOYSA-N 0 1 318.380 3.186 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)c2c(F)ccc(O)c2O)c1 ZINC001306491701 1111231297 /nfs/dbraw/zinc/23/12/97/1111231297.db2.gz UNGPRLIMTVSMJG-UHFFFAOYSA-N 0 1 317.316 3.444 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1ccc2nc(-c3ccncc3)[nH]c2c1 ZINC001306892820 1111247276 /nfs/dbraw/zinc/24/72/76/1111247276.db2.gz HGTHLQIRNHIEOO-LLVKDONJSA-N 0 1 305.341 3.113 20 30 DGEDMN N#Cc1cc(Nc2ccn(-c3ccccc3)n2)c([N+](=O)[O-])s1 ZINC001307214456 1111280010 /nfs/dbraw/zinc/28/00/10/1111280010.db2.gz FQKGJKLAUCERTC-UHFFFAOYSA-N 0 1 311.326 3.457 20 30 DGEDMN N#Cc1c(Cl)nsc1NCCCCc1ccnc(N)c1 ZINC001307218108 1111280662 /nfs/dbraw/zinc/28/06/62/1111280662.db2.gz YSTVUAILWLBRGR-UHFFFAOYSA-N 0 1 307.810 3.080 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](Cc2ccc(C)cc2)C1 ZINC001307441559 1111299938 /nfs/dbraw/zinc/29/99/38/1111299938.db2.gz GHISMNKATORBBE-ROUUACIJSA-N 0 1 301.430 3.367 20 30 DGEDMN CC(C)(C)CN(CCC#N)C[C@@H](O)CCOCc1ccccc1 ZINC001307485103 1111304031 /nfs/dbraw/zinc/30/40/31/1111304031.db2.gz CTGDTSYONRFBCU-SFHVURJKSA-N 0 1 318.461 3.216 20 30 DGEDMN C#CCOCCN1Cc2cc(OC)ccc2C[C@@H]1c1ccccc1 ZINC001307577461 1111315483 /nfs/dbraw/zinc/31/54/83/1111315483.db2.gz MWJYGIJSQXAITF-OAQYLSRUSA-N 0 1 321.420 3.444 20 30 DGEDMN COC(=O)C[C@@H]1CCCCN1Cc1cc(Cl)cc(C#N)c1 ZINC001307987108 1111363137 /nfs/dbraw/zinc/36/31/37/1111363137.db2.gz CSPTWFWDLYFBTK-HNNXBMFYSA-N 0 1 306.793 3.129 20 30 DGEDMN Cc1ccc(CCN2CCO[C@H](c3ccc(C#N)cc3)C2)cc1 ZINC001308115370 1111380011 /nfs/dbraw/zinc/38/00/11/1111380011.db2.gz VMGOMDMAEPRMOB-FQEVSTJZSA-N 0 1 306.409 3.483 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001308510840 1111437132 /nfs/dbraw/zinc/43/71/32/1111437132.db2.gz YFGFBKCEGKFHHF-GRDNDAEWSA-N 0 1 319.832 3.399 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)OC)c(Br)c1)C(C)C ZINC001308964969 1111500722 /nfs/dbraw/zinc/50/07/22/1111500722.db2.gz INKBFLQIJHCBMX-UHFFFAOYSA-N 0 1 324.218 3.079 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc([C@@H](C)CC)c2)CC1 ZINC001311020705 1111579429 /nfs/dbraw/zinc/57/94/29/1111579429.db2.gz XGSAWCIHJILQMY-HNNXBMFYSA-N 0 1 313.445 3.419 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C4CCCC4)cc3)C2)C1 ZINC001313440774 1111717085 /nfs/dbraw/zinc/71/70/85/1111717085.db2.gz BHCZMHIHXRDOBM-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001316988481 1111735694 /nfs/dbraw/zinc/73/56/94/1111735694.db2.gz HMCFPEFWBLTLDG-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN CC[C@@H]1CN(c2ncccc2C#N)CCN1Cc1ccccc1 ZINC000302145306 529403451 /nfs/dbraw/zinc/40/34/51/529403451.db2.gz HKTXJDDSVMGZFT-GOSISDBHSA-N 0 1 306.413 3.054 20 30 DGEDMN COc1cc2nc(NCc3cccc(C#N)c3)[nH]c2cc1OC ZINC000082741569 185200960 /nfs/dbraw/zinc/20/09/60/185200960.db2.gz XCHQNNSUPUHKDS-UHFFFAOYSA-N 0 1 308.341 3.064 20 30 DGEDMN CC[C@H](C(=O)NCC(C)(C)CCC#N)N(C)Cc1ccccc1 ZINC000356481365 529604585 /nfs/dbraw/zinc/60/45/85/529604585.db2.gz PCSCYJIZZMBDKB-QGZVFWFLSA-N 0 1 315.461 3.343 20 30 DGEDMN CCc1nc(C)c(CN2CCCN(c3ccccc3C#N)CC2)o1 ZINC000428231990 529722323 /nfs/dbraw/zinc/72/23/23/529722323.db2.gz MFUCDOAPLIFKBH-UHFFFAOYSA-N 0 1 324.428 3.129 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000353189097 529842842 /nfs/dbraw/zinc/84/28/42/529842842.db2.gz VZFNTGHETGFFIN-UHFFFAOYSA-N 0 1 322.821 3.413 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC[C@H]2CC(C)C)CC1 ZINC000129788369 432008571 /nfs/dbraw/zinc/00/85/71/432008571.db2.gz LVHNXYWSLSYPCG-SFHVURJKSA-N 0 1 304.478 3.149 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)NCC(C)(C)CCC#N ZINC000358682157 529976169 /nfs/dbraw/zinc/97/61/69/529976169.db2.gz IMRPPJXGIXXKIM-UHFFFAOYSA-N 0 1 319.453 3.179 20 30 DGEDMN C[C@@H](c1ccc(F)cc1F)N1CCN(CCCCC#N)CC1 ZINC000103494266 432011192 /nfs/dbraw/zinc/01/11/92/432011192.db2.gz MOWBJMCLUKKESO-AWEZNQCLSA-N 0 1 307.388 3.337 20 30 DGEDMN CCN(Cc1ccc(C(=O)OC)[nH]1)[C@H](C)c1ccc(C#N)cc1 ZINC000272717470 210123377 /nfs/dbraw/zinc/12/33/77/210123377.db2.gz DJFOKZKPHOQQOJ-CYBMUJFWSA-N 0 1 311.385 3.256 20 30 DGEDMN CC(C)[C@@H](C(=O)Nc1cccc(C#Cc2ccccn2)c1)N(C)C ZINC000106429266 432021272 /nfs/dbraw/zinc/02/12/72/432021272.db2.gz DWVDEPHQODZBSP-IBGZPJMESA-N 0 1 321.424 3.006 20 30 DGEDMN O=C(Nc1nc(-c2cccc3ccccc32)n[nH]1)[C@@H]1CCOC1 ZINC000066916790 432023791 /nfs/dbraw/zinc/02/37/91/432023791.db2.gz OPIMZWFJKOPQJL-GFCCVEGCSA-N 0 1 308.341 3.249 20 30 DGEDMN COc1cc(C#N)ccc1CONC(=O)C(C)(C)c1ccccc1 ZINC000159659623 186133335 /nfs/dbraw/zinc/13/33/35/186133335.db2.gz XUVSJSIJCDFLOS-UHFFFAOYSA-N 0 1 324.380 3.092 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000173999251 198279816 /nfs/dbraw/zinc/27/98/16/198279816.db2.gz VRPWAAYAQIBJKL-INIZCTEOSA-N 0 1 321.424 3.258 20 30 DGEDMN COCc1cccc(CN2CCN(c3ccccc3C#N)CC2)c1 ZINC000179206557 432049661 /nfs/dbraw/zinc/04/96/61/432049661.db2.gz IAIZNXVKLJIINW-UHFFFAOYSA-N 0 1 321.424 3.027 20 30 DGEDMN CCOc1ccc(CNCc2ccc(C#N)cc2F)cc1OC ZINC000236956188 202093661 /nfs/dbraw/zinc/09/36/61/202093661.db2.gz LAOCSOVAVRILIQ-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN COCCOc1cccc(CNCc2ccc(C#N)cc2F)c1 ZINC000237191697 202181916 /nfs/dbraw/zinc/18/19/16/202181916.db2.gz KXDFKONKJYQLHA-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCCN(c3ccccc3C#N)CC2)s1 ZINC000179679177 432067477 /nfs/dbraw/zinc/06/74/77/432067477.db2.gz OZQPAELRTNEPOW-UHFFFAOYSA-N 0 1 322.437 3.204 20 30 DGEDMN N#Cc1ccc(CN2CCCN(c3ccccc3C#N)CC2)s1 ZINC000179679177 432067478 /nfs/dbraw/zinc/06/74/78/432067478.db2.gz OZQPAELRTNEPOW-UHFFFAOYSA-N 0 1 322.437 3.204 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC000276844877 213128772 /nfs/dbraw/zinc/12/87/72/213128772.db2.gz CSDYIWPZBCNMCP-CCJARXIYSA-N 0 1 323.400 3.080 20 30 DGEDMN COc1ccc(CN[C@@H]2CCOc3ccc(F)cc32)cc1C#N ZINC000237317632 202227742 /nfs/dbraw/zinc/22/77/42/202227742.db2.gz UFFUOFWKVOXZFH-MRXNPFEDSA-N 0 1 312.344 3.319 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1F)[C@H]1CCCSC1 ZINC000433193727 238150151 /nfs/dbraw/zinc/15/01/51/238150151.db2.gz KZIHERGAOYJZGY-HNNXBMFYSA-N 0 1 308.422 3.041 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2cc3ccccc3c(OC)c2)C1=O ZINC000449303759 240121181 /nfs/dbraw/zinc/12/11/81/240121181.db2.gz NKZLCNCVVJAZNY-SFHVURJKSA-N 0 1 324.424 3.067 20 30 DGEDMN Cc1cccc(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)c1F ZINC000449336372 240139765 /nfs/dbraw/zinc/13/97/65/240139765.db2.gz NNBPBAQJILHIJZ-INIZCTEOSA-N 0 1 311.360 3.054 20 30 DGEDMN Cc1cc(CN(Cc2cccc(C(N)=O)c2)C2CC2)ccc1C#N ZINC000449409547 240181865 /nfs/dbraw/zinc/18/18/65/240181865.db2.gz PTRWNQWSFJFTTQ-UHFFFAOYSA-N 0 1 319.408 3.130 20 30 DGEDMN C=C(C)CCNCc1cn(-c2ccccc2Br)nn1 ZINC000449472925 240228988 /nfs/dbraw/zinc/22/89/88/240228988.db2.gz GPPKFOJABREWCF-UHFFFAOYSA-N 0 1 321.222 3.086 20 30 DGEDMN Cc1cc([C@@H](C)N[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)ccc1F ZINC000451161997 241028451 /nfs/dbraw/zinc/02/84/51/241028451.db2.gz MXKDYHUHGBHHIW-QWQRMKEZSA-N 0 1 319.424 3.228 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1nc2cc(C(F)(F)F)ccc2o1 ZINC000451608855 241187824 /nfs/dbraw/zinc/18/78/24/241187824.db2.gz UPYXXUZSJRYPFY-PWSUYJOCSA-N 0 1 312.291 3.280 20 30 DGEDMN CCC[C@H](C)[C@H]1CCCN1CC(=O)Nc1sccc1C#N ZINC000135354148 432113908 /nfs/dbraw/zinc/11/39/08/432113908.db2.gz VJLNTOIIGJBIOA-GXTWGEPZSA-N 0 1 305.447 3.459 20 30 DGEDMN C=C(C)CCNC(=O)N(C)[C@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000459009229 243225869 /nfs/dbraw/zinc/22/58/69/243225869.db2.gz VHGNDQVLHRLKTO-SFHVURJKSA-N 0 1 315.461 3.259 20 30 DGEDMN N#Cc1ccc(C2=CC[N@@H+](CCn3cc(Cl)cn3)CC2)cc1 ZINC000459380231 243354212 /nfs/dbraw/zinc/35/42/12/243354212.db2.gz ZABBNGIPHUMEPN-UHFFFAOYSA-N 0 1 312.804 3.197 20 30 DGEDMN N#Cc1ccc(C2=CCN(CCn3cc(Cl)cn3)CC2)cc1 ZINC000459380231 243354217 /nfs/dbraw/zinc/35/42/17/243354217.db2.gz ZABBNGIPHUMEPN-UHFFFAOYSA-N 0 1 312.804 3.197 20 30 DGEDMN CC(C)CCC1CCN(CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000470022791 244141787 /nfs/dbraw/zinc/14/17/87/244141787.db2.gz RGGLRGNISXPDCQ-GOSISDBHSA-N 0 1 307.482 3.189 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCN(c2cccc(O)c2)CC1 ZINC000275056605 212096384 /nfs/dbraw/zinc/09/63/84/212096384.db2.gz GKFJKEKNALQUOA-OAHLLOKOSA-N 0 1 307.397 3.147 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cc(C#N)cs1 ZINC000093325081 193233083 /nfs/dbraw/zinc/23/30/83/193233083.db2.gz JOAFUVPVUHTLCD-OAHLLOKOSA-N 0 1 311.454 3.326 20 30 DGEDMN Cc1cc(CN[C@@H](C[S@@](C)=O)c2ccccc2)ccc1C#N ZINC000488134345 245152422 /nfs/dbraw/zinc/15/24/22/245152422.db2.gz DLDABCXKFSDMFN-PGRDOPGGSA-N 0 1 312.438 3.076 20 30 DGEDMN C=CCn1cccc1C(=O)[C@H](C#N)c1cnc2ccccc2n1 ZINC000488718627 245221088 /nfs/dbraw/zinc/22/10/88/245221088.db2.gz XLUWWIDKTGWLMK-CYBMUJFWSA-N 0 1 302.337 3.107 20 30 DGEDMN C#CCOc1ccc(CNCc2cc(OC)ccc2OC)cc1 ZINC000264960725 204304175 /nfs/dbraw/zinc/30/41/75/204304175.db2.gz OBFUPQHUWCLWCS-UHFFFAOYSA-N 0 1 311.381 3.006 20 30 DGEDMN C#CCCN[C@@H](C(=O)Nc1ccccc1OCC)c1ccccc1 ZINC000264983982 204323955 /nfs/dbraw/zinc/32/39/55/204323955.db2.gz VIDAJXHWNZARHZ-LJQANCHMSA-N 0 1 322.408 3.378 20 30 DGEDMN Cc1cc(C)cc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000271710909 209145921 /nfs/dbraw/zinc/14/59/21/209145921.db2.gz VURATISFWBBVHS-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN N#Cc1c(CN2CCCC3(CCOCC3)C2)cn2ccccc12 ZINC000266016206 205030896 /nfs/dbraw/zinc/03/08/96/205030896.db2.gz NRNPJDQUISPXCU-UHFFFAOYSA-N 0 1 309.413 3.204 20 30 DGEDMN C=C(C)COc1cc(CN[C@H](C)c2cnn(C)c2)ccc1OC ZINC000266160681 205148804 /nfs/dbraw/zinc/14/88/04/205148804.db2.gz NIFMKPWWIROQCO-CQSZACIVSA-N 0 1 315.417 3.234 20 30 DGEDMN CN(Cc1cc(C#N)ccc1Br)[C@H]1CC[C@H](O)CC1 ZINC000493635040 246029470 /nfs/dbraw/zinc/02/94/70/246029470.db2.gz LISOFUILALZUPZ-HDJSIYSDSA-N 0 1 323.234 3.056 20 30 DGEDMN CC(C)NC(=O)OC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC000495687956 246297135 /nfs/dbraw/zinc/29/71/35/246297135.db2.gz MKJVZNVHFIWZAE-QGZVFWFLSA-N 0 1 315.417 3.047 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2sccc2C#N)C1=O)c1cccs1 ZINC000267691758 206220530 /nfs/dbraw/zinc/22/05/30/206220530.db2.gz ONUBWUZQEQYDDV-ZYHUDNBSSA-N 0 1 317.439 3.137 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2sccc2C#N)C1=O)c1ccccc1 ZINC000267737228 206250547 /nfs/dbraw/zinc/25/05/47/206250547.db2.gz YDLIXRZTLPNVCE-IUODEOHRSA-N 0 1 311.410 3.076 20 30 DGEDMN C[C@@H]1C[C@H](C)CCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000246496009 432138810 /nfs/dbraw/zinc/13/88/10/432138810.db2.gz AYBTXNHFJAZRKV-NFAWXSAZSA-N 0 1 317.458 3.093 20 30 DGEDMN N#Cc1c(Cl)nsc1N1CCN(C2CCCCC2)CC1 ZINC000268882619 207052907 /nfs/dbraw/zinc/05/29/07/207052907.db2.gz WOWPPGVBSJAUNO-UHFFFAOYSA-N 0 1 310.854 3.123 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000269021231 207171831 /nfs/dbraw/zinc/17/18/31/207171831.db2.gz IHYVEJPAXRKBSE-MRXNPFEDSA-N 0 1 310.401 3.185 20 30 DGEDMN COC1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC000269453522 207552387 /nfs/dbraw/zinc/55/23/87/207552387.db2.gz WLGDEBLIFFSTSU-UHFFFAOYSA-N 0 1 313.319 3.048 20 30 DGEDMN CCN(Cc1cnc2cnccn12)[C@@H](C)c1ccc(C#N)cc1 ZINC000119856035 195050077 /nfs/dbraw/zinc/05/00/77/195050077.db2.gz PPPYZCSYAYUGDB-AWEZNQCLSA-N 0 1 305.385 3.184 20 30 DGEDMN CCC1(C)CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000337679592 253158167 /nfs/dbraw/zinc/15/81/67/253158167.db2.gz HHHKKNVAXSISAH-QGZVFWFLSA-N 0 1 311.429 3.176 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1cnc2ccccc2c1 ZINC000337759589 253170102 /nfs/dbraw/zinc/17/01/02/253170102.db2.gz OANHFSOPUWKYSF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)Nc1cccc(Cl)c1O ZINC000340316409 253601211 /nfs/dbraw/zinc/60/12/11/253601211.db2.gz DCRPMFFMJQXQNC-JTQLQIEISA-N 0 1 316.744 3.323 20 30 DGEDMN COCCOc1cccc(CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000341238041 253770617 /nfs/dbraw/zinc/77/06/17/253770617.db2.gz NUYVTHKMQXGMKX-UHFFFAOYSA-N 0 1 322.408 3.362 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(OC(=O)C2CC2)c(OC)c1 ZINC000158129229 197245591 /nfs/dbraw/zinc/24/55/91/197245591.db2.gz HHVCXSJIHWOBIN-UHFFFAOYSA-N 0 1 301.386 3.185 20 30 DGEDMN Cc1cc([C@H]2CCCN(c3nccc(C#N)c3Cl)C2)[nH]n1 ZINC000342549111 254006088 /nfs/dbraw/zinc/00/60/88/254006088.db2.gz NTMCSVOXMDQJOW-LBPRGKRZSA-N 0 1 301.781 3.022 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3cc(C#N)cc(N(C)C)c3)cc2[nH]1 ZINC000343068321 254093670 /nfs/dbraw/zinc/09/36/70/254093670.db2.gz XDBFGFBLPUBNOU-UHFFFAOYSA-N 0 1 319.368 3.061 20 30 DGEDMN C[C@@H]1CN(C)CC[N@H+]1Cc1ccc(-c2ccccc2C#N)cc1 ZINC000343820185 254192773 /nfs/dbraw/zinc/19/27/73/254192773.db2.gz VPXRBCTZTJUKBG-MRXNPFEDSA-N 0 1 305.425 3.361 20 30 DGEDMN CO[C@@H](C[N@@H+](C)CCOCc1ccc(C#N)cc1)c1ccccc1 ZINC000344107245 254226345 /nfs/dbraw/zinc/22/63/45/254226345.db2.gz JDOQBULOQDXLRL-FQEVSTJZSA-N 0 1 324.424 3.394 20 30 DGEDMN CC(C)n1ncc2cc(CNCc3ccc(C#N)s3)cnc21 ZINC000344179202 254235948 /nfs/dbraw/zinc/23/59/48/254235948.db2.gz OKKFXFANFUTQGZ-UHFFFAOYSA-N 0 1 311.414 3.235 20 30 DGEDMN COCCNc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000344216107 254240163 /nfs/dbraw/zinc/24/01/63/254240163.db2.gz MTXSSIUKQSPQEV-UHFFFAOYSA-N 0 1 313.376 3.045 20 30 DGEDMN Cc1ncc(CNCc2ccc(C#N)s2)n1-c1ccccc1 ZINC000344615813 254289143 /nfs/dbraw/zinc/28/91/43/254289143.db2.gz RLAOFWGINRCPCY-UHFFFAOYSA-N 0 1 308.410 3.404 20 30 DGEDMN COCCOc1cc(C)ccc1CNCc1cccc(C#N)c1 ZINC000153458890 196880437 /nfs/dbraw/zinc/88/04/37/196880437.db2.gz WZLRCBBEAFQRIW-UHFFFAOYSA-N 0 1 310.397 3.182 20 30 DGEDMN N#Cc1ccc(OC2CCN(Cc3ccco3)CC2)c(F)c1 ZINC000289851994 221269499 /nfs/dbraw/zinc/26/94/99/221269499.db2.gz UZXBJBLMVQRWMV-UHFFFAOYSA-N 0 1 300.333 3.334 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)c1ccc2c(c1)NC(=O)CO2 ZINC000121838766 195359074 /nfs/dbraw/zinc/35/90/74/195359074.db2.gz FIUCRHFRLWXNMP-QWHCGFSZSA-N 0 1 321.380 3.301 20 30 DGEDMN C#C[C@@H](CC)NCc1ccc(OC)cc1OCc1cccnc1 ZINC000491808131 533238236 /nfs/dbraw/zinc/23/82/36/533238236.db2.gz VJQIBBYGRREPFA-KRWDZBQOSA-N 0 1 310.397 3.171 20 30 DGEDMN C=CCNC(=O)[C@H](C)Sc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000007099105 400098812 /nfs/dbraw/zinc/09/88/12/400098812.db2.gz NHRRZUWYPFPHHZ-ZDUSSCGKSA-N 0 1 315.442 3.092 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@H]1CCCN(c2ccccc2Cl)C1 ZINC000581408220 400079360 /nfs/dbraw/zinc/07/93/60/400079360.db2.gz LNLOSQYXHKCGEL-CABCVRRESA-N 0 1 316.836 3.342 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@@H](C)c2ccccc2Cl)CC1 ZINC000581615032 400081258 /nfs/dbraw/zinc/08/12/58/400081258.db2.gz BMFBABOTHQQFCA-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@]2(C#N)CCC[C@H](C)C2)o1 ZINC000609735383 400188470 /nfs/dbraw/zinc/18/84/70/400188470.db2.gz IXEMWPDYUXJLPY-KBXCAEBGSA-N 0 1 317.433 3.324 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CCN(C)C[C@@H]1c1ccccc1 ZINC000323212895 400176798 /nfs/dbraw/zinc/17/67/98/400176798.db2.gz WUJWLHSTTLVXGF-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000323212895 400176808 /nfs/dbraw/zinc/17/68/08/400176808.db2.gz WUJWLHSTTLVXGF-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN N#CC1(CC(=O)Nc2ccc(-n3ccc(=O)[nH]3)cc2)CCCCC1 ZINC000600675998 400126982 /nfs/dbraw/zinc/12/69/82/400126982.db2.gz QYIDOFLLPZOGQY-UHFFFAOYSA-N 0 1 324.384 3.381 20 30 DGEDMN CC(C)[C@H](NCCc1ccc(C#N)cc1)c1nc(C2CC2)no1 ZINC000595427473 400147017 /nfs/dbraw/zinc/14/70/17/400147017.db2.gz YPHFPWDMQQZSER-INIZCTEOSA-N 0 1 310.401 3.348 20 30 DGEDMN C[C@@H]1CC[C@H](c2ccccc2)N(CC(=O)NC2(C#N)CCC2)C1 ZINC000586780164 400154803 /nfs/dbraw/zinc/15/48/03/400154803.db2.gz BPXQXUJJMDNZJE-NVXWUHKLSA-N 0 1 311.429 3.022 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2nc3cc(C(=O)OC)ccc3[nH]2)C1 ZINC000595661632 400221934 /nfs/dbraw/zinc/22/19/34/400221934.db2.gz XWCBLJLCZIYVAA-CYBMUJFWSA-N 0 1 313.401 3.138 20 30 DGEDMN C[C@@]12COC[C@]1(C)CN(Cc1ccc(C#N)cc1C(F)(F)F)C2 ZINC000595689297 400228671 /nfs/dbraw/zinc/22/86/71/400228671.db2.gz OURDCKYQIJPRRK-IYBDPMFKSA-N 0 1 324.346 3.435 20 30 DGEDMN C[C@H](CCO)N(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000093303092 400237090 /nfs/dbraw/zinc/23/70/90/400237090.db2.gz XOLVHABSNDYULX-OAHLLOKOSA-N 0 1 312.388 3.470 20 30 DGEDMN CCCN(CC(=O)Nc1ccc(C#N)cc1)Cc1ccccc1 ZINC000021918535 400197208 /nfs/dbraw/zinc/19/72/08/400197208.db2.gz LHVQNLOVTDUAJU-UHFFFAOYSA-N 0 1 307.397 3.409 20 30 DGEDMN CC(C)CNC(=O)c1cccc(CN2CCC[C@H](CC#N)C2)c1 ZINC000595615003 400208024 /nfs/dbraw/zinc/20/80/24/400208024.db2.gz NULZKZBWQCNNOL-MRXNPFEDSA-N 0 1 313.445 3.198 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)[C@H](C)N1CCC[C@@H](CC#N)C1 ZINC000595619209 400209542 /nfs/dbraw/zinc/20/95/42/400209542.db2.gz VEJAWGILGZMVQZ-WMZOPIPTSA-N 0 1 313.445 3.049 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](CC[C@H]2Sc3ccccc3NC2=O)C1 ZINC000595623562 400211342 /nfs/dbraw/zinc/21/13/42/400211342.db2.gz TZYFKTXGUWLOGL-XJKSGUPXSA-N 0 1 315.442 3.115 20 30 DGEDMN CC(C)(C)OCCCCN1CCN(c2ccsc2C#N)CC1 ZINC000595630354 400212798 /nfs/dbraw/zinc/21/27/98/400212798.db2.gz VBIKFWRZMVDFMB-UHFFFAOYSA-N 0 1 321.490 3.337 20 30 DGEDMN CN(c1ccccc1)[C@@H]1CCN(Cc2ccc(C#N)cc2F)C1 ZINC000595826012 400270495 /nfs/dbraw/zinc/27/04/95/400270495.db2.gz JEZSLQLKDXEBLI-GOSISDBHSA-N 0 1 309.388 3.408 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC000595833015 400274346 /nfs/dbraw/zinc/27/43/46/400274346.db2.gz ZBOTZCYUSDQDMP-GOSISDBHSA-N 0 1 308.381 3.220 20 30 DGEDMN COc1cccc(OC)c1OCCCCN1CC[C@@](C)(C#N)C1 ZINC000595841090 400279239 /nfs/dbraw/zinc/27/92/39/400279239.db2.gz HOGNOLDBXWHNOE-SFHVURJKSA-N 0 1 318.417 3.098 20 30 DGEDMN N#CC1(CCN[C@@H](CO)c2ccc(F)cc2F)CCCCC1 ZINC000595865586 400283772 /nfs/dbraw/zinc/28/37/72/400283772.db2.gz BKFKKYNIHPPEEU-INIZCTEOSA-N 0 1 308.372 3.452 20 30 DGEDMN N#CCc1ccc(NC(=O)c2cn[nH]c2-c2ccccc2)cc1 ZINC000028437270 400290017 /nfs/dbraw/zinc/29/00/17/400290017.db2.gz TWRMASCZJLUIPQ-UHFFFAOYSA-N 0 1 302.337 3.395 20 30 DGEDMN N#Cc1ccc(F)cc1CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000595908212 400291756 /nfs/dbraw/zinc/29/17/56/400291756.db2.gz GDPFTLXCJCZACV-HDICACEKSA-N 0 1 300.377 3.090 20 30 DGEDMN COC(C)(C)CN(C)Cc1ccc(Br)cc1C#N ZINC000404231623 400294038 /nfs/dbraw/zinc/29/40/38/400294038.db2.gz HFCYDNOBEXJQJS-UHFFFAOYSA-N 0 1 311.223 3.178 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CC2CC1(C)C2 ZINC000595916400 400294612 /nfs/dbraw/zinc/29/46/12/400294612.db2.gz RYMINVMVAHTMMF-SGWRBKMISA-N 0 1 303.793 3.023 20 30 DGEDMN CC[C@H](C#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC000404355211 400295974 /nfs/dbraw/zinc/29/59/74/400295974.db2.gz LEALGWYITZFNGL-BVIKNXMNSA-N 0 1 313.445 3.046 20 30 DGEDMN N#CCc1cccc(S(=O)(=O)Nc2ccc3ccccc3n2)c1 ZINC000589586359 400306770 /nfs/dbraw/zinc/30/67/70/400306770.db2.gz FBYILVBOGRXUGL-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=CC(C)(C)CNCc1c(Cl)cccc1S(C)(=O)=O ZINC000384840076 400261165 /nfs/dbraw/zinc/26/11/65/400261165.db2.gz IHPSTFDOFQTEJF-UHFFFAOYSA-N 0 1 301.839 3.045 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC([C@@H]3CCCCO3)CC2)c1 ZINC000595788395 400261751 /nfs/dbraw/zinc/26/17/51/400261751.db2.gz NFFADIXGKQFNGF-SFHVURJKSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)cc2C)c(=O)c1CCC#N ZINC000601937174 400380446 /nfs/dbraw/zinc/38/04/46/400380446.db2.gz CFZKFBHHEDCQSG-GFCCVEGCSA-N 0 1 320.190 3.400 20 30 DGEDMN N#Cc1ccc(N2N=C3CCCC[C@@H]3C2=O)cc1C(F)(F)F ZINC000601938689 400380943 /nfs/dbraw/zinc/38/09/43/400380943.db2.gz IHLXCNYJJLOVFW-NSHDSACASA-N 0 1 307.275 3.470 20 30 DGEDMN N#Cc1ccc(-n2[nH]c3c(c2=O)CCCC3)cc1C(F)(F)F ZINC000601938689 400380948 /nfs/dbraw/zinc/38/09/48/400380948.db2.gz IHLXCNYJJLOVFW-NSHDSACASA-N 0 1 307.275 3.470 20 30 DGEDMN C[C@H]1CCc2c1[nH]n(-c1ccc(C#N)c(C(F)(F)F)c1)c2=O ZINC000601938791 400381159 /nfs/dbraw/zinc/38/11/59/400381159.db2.gz JMPWEKCLBIVFSL-KWQFWETISA-N 0 1 307.275 3.326 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000611373074 400386348 /nfs/dbraw/zinc/38/63/48/400386348.db2.gz GLUOQRXLNFMQAD-UHFFFAOYSA-N 0 1 318.392 3.253 20 30 DGEDMN CC[C@H]1c2ccccc2CCN1CC(=O)N(CC)C[C@@H](C)C#N ZINC000114493025 400387238 /nfs/dbraw/zinc/38/72/38/400387238.db2.gz IWSNBHIVUVYENY-YJBOKZPZSA-N 0 1 313.445 3.004 20 30 DGEDMN C[C@H](NCc1ccnc(C#N)c1)c1ccccc1OC(F)F ZINC000611378456 400387681 /nfs/dbraw/zinc/38/76/81/400387681.db2.gz LXSUAMYEWXMHPB-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc2c(c1)CCC2)c1cccc(C#N)c1 ZINC000611386817 400389178 /nfs/dbraw/zinc/38/91/78/400389178.db2.gz VWECORKWNGZOJC-CQSZACIVSA-N 0 1 319.408 3.336 20 30 DGEDMN Cc1cc(C)cc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC000611386025 400389335 /nfs/dbraw/zinc/38/93/35/400389335.db2.gz AQKREZXPGYCCFL-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN Cc1ccccc1CN(C)C(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611388393 400389776 /nfs/dbraw/zinc/38/97/76/400389776.db2.gz HLVDOAYHCDMTMK-INIZCTEOSA-N 0 1 321.424 3.176 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1C[C@H]1c1ccccc1Br ZINC000579187504 400335517 /nfs/dbraw/zinc/33/55/17/400335517.db2.gz JUCRMKMSSUNHCQ-CORIIIEPSA-N 0 1 318.218 3.338 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000610997690 400337586 /nfs/dbraw/zinc/33/75/86/400337586.db2.gz AWZVDWSPXFWXCM-UHFFFAOYSA-N 0 1 318.392 3.253 20 30 DGEDMN C=C(CC)C[N@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1CC(=O)[O-] ZINC000579365401 400337826 /nfs/dbraw/zinc/33/78/26/400337826.db2.gz ZUHQCILEFHCGPM-HNNXBMFYSA-N 0 1 319.401 3.044 20 30 DGEDMN COc1ccc(NC(=O)[C@H](C)N2CCC(CCC#N)CC2)cc1 ZINC000581129387 400350348 /nfs/dbraw/zinc/35/03/48/400350348.db2.gz UERVWTHSBJOKCQ-AWEZNQCLSA-N 0 1 315.417 3.038 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2N[C@H](CO)c1cccc(F)c1 ZINC000611394954 400392622 /nfs/dbraw/zinc/39/26/22/400392622.db2.gz XQNUESFMBJNOJY-RBUKOAKNSA-N 0 1 310.372 3.398 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)C1 ZINC000590160803 400364155 /nfs/dbraw/zinc/36/41/55/400364155.db2.gz JZQHMWXODHGMFG-KATARQTJSA-N 0 1 300.246 3.351 20 30 DGEDMN N#Cc1cc(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)ccc1F ZINC000590563772 400395901 /nfs/dbraw/zinc/39/59/01/400395901.db2.gz SUNSKGQSZFVLHY-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1SCC#N ZINC000072698254 400398518 /nfs/dbraw/zinc/39/85/18/400398518.db2.gz SOYMWHSUCYQMGK-UONOGXRCSA-N 0 1 317.458 3.361 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1Br)C[C@H]1CCOC1 ZINC000611500476 400411126 /nfs/dbraw/zinc/41/11/26/400411126.db2.gz ASTBJGDIRKMAPI-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN CC(C)CCC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000042003792 400419049 /nfs/dbraw/zinc/41/90/49/400419049.db2.gz ODQXAYHOBFRMQM-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCN(C(=O)c1cccc(C(F)(F)F)c1O)[C@H](C)COC ZINC000617584450 400419248 /nfs/dbraw/zinc/41/92/48/400419248.db2.gz CFPYHDZEJHWNCU-SNVBAGLBSA-N 0 1 317.307 3.074 20 30 DGEDMN N#Cc1cccc(NC[C@@H]2CCN2Cc2ccccc2)c1[N+](=O)[O-] ZINC000596557524 400444319 /nfs/dbraw/zinc/44/43/19/400444319.db2.gz IUSVEUYOSYPMCR-INIZCTEOSA-N 0 1 322.368 3.153 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCC(C)CC2)c1 ZINC000042975690 400447870 /nfs/dbraw/zinc/44/78/70/400447870.db2.gz NYGPKYYUAPYCCO-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)Nc1ccccc1C#N ZINC000127469781 400502627 /nfs/dbraw/zinc/50/26/27/400502627.db2.gz GZDMKGAKKFGIFX-UONOGXRCSA-N 0 1 318.380 3.108 20 30 DGEDMN COc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000127520968 400503255 /nfs/dbraw/zinc/50/32/55/400503255.db2.gz ZBAQTARVKYDVBZ-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN Cc1ccc(CNC(=O)[C@@H](C)N[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000127554893 400503528 /nfs/dbraw/zinc/50/35/28/400503528.db2.gz BPNPTSXGOOUGPC-JKSUJKDBSA-N 0 1 321.424 3.222 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1cc(C(C)(C)C)[nH]n1 ZINC000123036181 400466450 /nfs/dbraw/zinc/46/64/50/400466450.db2.gz STONAYBPJUYZCK-NSHDSACASA-N 0 1 321.384 3.084 20 30 DGEDMN CN(C)[C@@H](CNC(=O)CC1(C#N)CCCCC1)c1cccs1 ZINC000596652642 400472314 /nfs/dbraw/zinc/47/23/14/400472314.db2.gz HYCXCPLTNAAHKC-AWEZNQCLSA-N 0 1 319.474 3.331 20 30 DGEDMN Cc1ccnc(NC[C@@H](C)N2CCc3sccc3C2)c1C#N ZINC000612070297 400485164 /nfs/dbraw/zinc/48/51/64/400485164.db2.gz UDSPOZAZKPULBS-CYBMUJFWSA-N 0 1 312.442 3.182 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000602784104 400571949 /nfs/dbraw/zinc/57/19/49/400571949.db2.gz PRNVQAKTTZWTIE-UHFFFAOYSA-N 0 1 318.327 3.271 20 30 DGEDMN Cn1cc(CN2CCC[C@H](CC#N)C2)c(-c2ccc(F)cc2)n1 ZINC000597177008 400577733 /nfs/dbraw/zinc/57/77/33/400577733.db2.gz LLIJFKVKDGFTKF-CQSZACIVSA-N 0 1 312.392 3.352 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1ccnn1-c1ccncc1 ZINC000596997149 400537665 /nfs/dbraw/zinc/53/76/65/400537665.db2.gz ZPVFEWQTJQSKGR-UHFFFAOYSA-N 0 1 311.433 3.419 20 30 DGEDMN CCOC(=O)c1ccc(CN(C)[C@H](C)c2cccc(C#N)c2)[nH]1 ZINC000602691088 400540542 /nfs/dbraw/zinc/54/05/42/400540542.db2.gz BYVCZXDCKZEMID-CYBMUJFWSA-N 0 1 311.385 3.256 20 30 DGEDMN CCOC(=O)c1ccc(CN(C)[C@@H](C)c2cccc(C#N)c2)[nH]1 ZINC000602691089 400541115 /nfs/dbraw/zinc/54/11/15/400541115.db2.gz BYVCZXDCKZEMID-ZDUSSCGKSA-N 0 1 311.385 3.256 20 30 DGEDMN N#CCSCCCN1C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000074991989 400551204 /nfs/dbraw/zinc/55/12/04/400551204.db2.gz JTYNSPVJZHRUER-DTORHVGOSA-N 0 1 320.302 3.306 20 30 DGEDMN N#CCC1(C[N@@H+]2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)CC1 ZINC000602807835 400580629 /nfs/dbraw/zinc/58/06/29/400580629.db2.gz WTJINZSTQZQIIB-GFCCVEGCSA-N 0 1 312.339 3.233 20 30 DGEDMN CCN(CCSC)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000592200774 400622914 /nfs/dbraw/zinc/62/29/14/400622914.db2.gz DLLDTSIIAKQOJQ-OAHLLOKOSA-N 0 1 319.474 3.007 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C(=O)[C@@H](C)CC#N)C3)[nH]c2c1 ZINC000597426822 400629616 /nfs/dbraw/zinc/62/96/16/400629616.db2.gz PJWRWWFIJJMRIR-KBPBESRZSA-N 0 1 310.401 3.127 20 30 DGEDMN COc1ccccc1O[C@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000602831510 400586256 /nfs/dbraw/zinc/58/62/56/400586256.db2.gz YFVAREDRHOMBRA-HNNXBMFYSA-N 0 1 300.402 3.232 20 30 DGEDMN COc1ccccc1O[C@@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000602831513 400586627 /nfs/dbraw/zinc/58/66/27/400586627.db2.gz YFVAREDRHOMBRA-OAHLLOKOSA-N 0 1 300.402 3.232 20 30 DGEDMN O=C(COCC1CC1)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000076365954 400651120 /nfs/dbraw/zinc/65/11/20/400651120.db2.gz PGINBPBSBWMFOE-UHFFFAOYSA-N 0 1 306.753 3.140 20 30 DGEDMN N#Cc1cnccc1C[NH+]1CCC(c2ccccc2C(=O)[O-])CC1 ZINC000592396142 400644747 /nfs/dbraw/zinc/64/47/47/400644747.db2.gz HXZBODXYNMSNND-UHFFFAOYSA-N 0 1 321.380 3.031 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(-c3ccc(F)cc3)s2)C1 ZINC000592523231 400663577 /nfs/dbraw/zinc/66/35/77/400663577.db2.gz JOWNMCDRZBXVLH-QGZVFWFLSA-N 0 1 316.401 3.405 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(-c3cccc(F)c3F)o2)C1 ZINC000592523144 400663944 /nfs/dbraw/zinc/66/39/44/400663944.db2.gz WGCDACYDTBPJTK-KRWDZBQOSA-N 0 1 318.323 3.075 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc(OC)cc(OC)c1 ZINC000048747389 400659843 /nfs/dbraw/zinc/65/98/43/400659843.db2.gz BUUCRIOHSVGUGE-UHFFFAOYSA-N 0 1 311.381 3.006 20 30 DGEDMN COCc1cc(C#N)ccc1N[C@@H]1CN(C)Cc2ccccc21 ZINC000603327489 400670377 /nfs/dbraw/zinc/67/03/77/400670377.db2.gz CSVXMTQPKYSYBE-LJQANCHMSA-N 0 1 307.397 3.303 20 30 DGEDMN C[C@H](CC#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC000597807289 400675250 /nfs/dbraw/zinc/67/52/50/400675250.db2.gz AFGZIYQBCVAKKA-QZWWFDLISA-N 0 1 313.445 3.046 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)[C@H]1CCCc2ccccc21 ZINC000603822211 400718362 /nfs/dbraw/zinc/71/83/62/400718362.db2.gz SGWDIVUECHSLNI-PKOBYXMFSA-N 0 1 313.445 3.050 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCCc2sccc2C1 ZINC000592983358 400724568 /nfs/dbraw/zinc/72/45/68/400724568.db2.gz IERHRDYCGZNJIQ-AWEZNQCLSA-N 0 1 306.475 3.309 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)cc2)c(=O)c1CCC#N ZINC000603921587 400733094 /nfs/dbraw/zinc/73/30/94/400733094.db2.gz XWJGFCUYIZOXMH-LBPRGKRZSA-N 0 1 306.163 3.092 20 30 DGEDMN CCCCCNC(=O)C1CCN(Cc2ccccc2C#N)CC1 ZINC000051800945 400707703 /nfs/dbraw/zinc/70/77/03/400707703.db2.gz SKYAFCBJSXJHCU-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc(O)c(Cl)c1 ZINC000618893237 400711507 /nfs/dbraw/zinc/71/15/07/400711507.db2.gz ZDWRTLCMQMOQFB-HNNXBMFYSA-N 0 1 312.756 3.335 20 30 DGEDMN C[C@@H]1CN(C)[C@H](C)[C@H](C)N1C(=O)C[C@H](CC#N)c1ccccc1 ZINC000593189592 400785855 /nfs/dbraw/zinc/78/58/55/400785855.db2.gz VFJMZSFWDATQHH-CBZIJGRNSA-N 0 1 313.445 3.013 20 30 DGEDMN CN(Cc1nc2ccccc2s1)C[C@@H](O)CC(C)(C)C#N ZINC000598587731 400837429 /nfs/dbraw/zinc/83/74/29/400837429.db2.gz USRKGMFAWPZCEQ-LBPRGKRZSA-N 0 1 303.431 3.029 20 30 DGEDMN CC[C@@H](C#N)C(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000593396701 400837806 /nfs/dbraw/zinc/83/78/06/400837806.db2.gz MJIXPQSVBGJIRG-BBRMVZONSA-N 0 1 321.852 3.389 20 30 DGEDMN C[C@H](c1ccccc1)[C@H](CO)NCc1ccc(Cl)cc1C#N ZINC000614186023 400850886 /nfs/dbraw/zinc/85/08/86/400850886.db2.gz MCVAGISSLYZLIL-ACJLOTCBSA-N 0 1 314.816 3.466 20 30 DGEDMN C[C@H](NCc1c(C(F)(F)F)cnn1C)c1ccc(C#N)cc1 ZINC000599061885 400944639 /nfs/dbraw/zinc/94/46/39/400944639.db2.gz TVEUAIZPVVDFOO-JTQLQIEISA-N 0 1 308.307 3.161 20 30 DGEDMN CC[C@H](CC#N)NCCC(=O)Nc1ccc(Br)cc1 ZINC000594010886 400945641 /nfs/dbraw/zinc/94/56/41/400945641.db2.gz UQUZTAZLBRMMHV-GFCCVEGCSA-N 0 1 324.222 3.060 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC[C@@](C)(C#N)C3)n[nH]2)cc1C ZINC000614863911 400955301 /nfs/dbraw/zinc/95/53/01/400955301.db2.gz DCYUOPCCCXFFNB-IBGZPJMESA-N 0 1 322.412 3.459 20 30 DGEDMN CN(C)c1ncc(CNCc2ccc(C#N)c(Cl)c2)s1 ZINC000619748241 400898439 /nfs/dbraw/zinc/89/84/39/400898439.db2.gz DAWULRCHSUVGRH-UHFFFAOYSA-N 0 1 306.822 3.024 20 30 DGEDMN CCN(CCC#N)C(=O)CN[C@@H](c1ccccc1Cl)C(C)C ZINC000183690930 400901266 /nfs/dbraw/zinc/90/12/66/400901266.db2.gz WROJGYJPSOLQAO-QGZVFWFLSA-N 0 1 321.852 3.389 20 30 DGEDMN C[C@@H](NCC(=O)N(C)C1(C#N)CCCCC1)c1ccsc1 ZINC000619783430 400906345 /nfs/dbraw/zinc/90/63/45/400906345.db2.gz RQQSPWYLAAVAIL-CYBMUJFWSA-N 0 1 305.447 3.084 20 30 DGEDMN COc1ccc(CN2CCC[C@H](OC3CCC3)C2)cc1C#N ZINC000594026569 400952586 /nfs/dbraw/zinc/95/25/86/400952586.db2.gz MJBVIUWXYAASPQ-KRWDZBQOSA-N 0 1 300.402 3.100 20 30 DGEDMN N#C[C@H](c1ccccc1)N1CCN(CCc2cccs2)CC1 ZINC000080905999 400997932 /nfs/dbraw/zinc/99/79/32/400997932.db2.gz FUZLDDRFAIIKCG-GOSISDBHSA-N 0 1 311.454 3.173 20 30 DGEDMN CN(C)[C@H](CNC(=O)C1(C#N)CCCCC1)c1ccc(F)cc1 ZINC000057433252 401004094 /nfs/dbraw/zinc/00/40/94/401004094.db2.gz YEPNLWVKNDMLPS-MRXNPFEDSA-N 0 1 317.408 3.019 20 30 DGEDMN Cc1ccc(CN2CC[C@H](C(=O)OC(C)(C)C)C2)cc1C#N ZINC000599306117 401016058 /nfs/dbraw/zinc/01/60/58/401016058.db2.gz DNGVXVRZSKMPAO-HNNXBMFYSA-N 0 1 300.402 3.030 20 30 DGEDMN CO[C@]1(C(F)(F)F)CCCN(Cc2ccc(CC#N)cc2)C1 ZINC000614924788 400967217 /nfs/dbraw/zinc/96/72/17/400967217.db2.gz MGVVZHKIPGZPIV-OAHLLOKOSA-N 0 1 312.335 3.296 20 30 DGEDMN COc1c(Cl)cccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000057123433 400972587 /nfs/dbraw/zinc/97/25/87/400972587.db2.gz CPUZEYBZJNJRPD-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=CC1CCN([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000606897495 400977702 /nfs/dbraw/zinc/97/77/02/400977702.db2.gz NFXDHTQXRAZXEA-MRXNPFEDSA-N 0 1 304.821 3.343 20 30 DGEDMN N#Cc1ccccc1CSCCN[C@@H]1CCCn2ccnc21 ZINC000594503367 401084863 /nfs/dbraw/zinc/08/48/63/401084863.db2.gz JZKQKGPCKRSBTM-MRXNPFEDSA-N 0 1 312.442 3.113 20 30 DGEDMN C=CC[C@H]1CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)C1 ZINC000599526524 401068744 /nfs/dbraw/zinc/06/87/44/401068744.db2.gz ODUXHJDVBPWPHU-LBPRGKRZSA-N 0 1 314.345 3.043 20 30 DGEDMN Cc1cccc(N2CCN(CCOc3cccc(C#N)c3)CC2)c1 ZINC000058823017 401074485 /nfs/dbraw/zinc/07/44/85/401074485.db2.gz GBYMWUGLWGQXAU-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN CCOc1ccccc1CN(C)CCOc1ccccc1C#N ZINC000057754816 401024891 /nfs/dbraw/zinc/02/48/91/401024891.db2.gz IUJYQKANIDFPRL-UHFFFAOYSA-N 0 1 310.397 3.468 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](CC(=O)OC(C)C)C2)cc1C#N ZINC000599359973 401033812 /nfs/dbraw/zinc/03/38/12/401033812.db2.gz HZLZOHSJRYVVMQ-INIZCTEOSA-N 0 1 314.429 3.420 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@@H](C)c1ccc(C)cc1C ZINC000615463706 401086946 /nfs/dbraw/zinc/08/69/46/401086946.db2.gz JJJUJCQBDOHQLQ-KRWDZBQOSA-N 0 1 300.446 3.497 20 30 DGEDMN CCC(C#N)(CC)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000599618804 401091854 /nfs/dbraw/zinc/09/18/54/401091854.db2.gz CURQSPMRWJNLDU-HNNXBMFYSA-N 0 1 321.852 3.389 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)c1ccc2ccccc2c1 ZINC000084600026 401149716 /nfs/dbraw/zinc/14/97/16/401149716.db2.gz OSZNWTSWEOSIKM-HNNXBMFYSA-N 0 1 321.424 3.047 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@@H](C)c1cc(C)ccc1C ZINC000621281372 401151212 /nfs/dbraw/zinc/15/12/12/401151212.db2.gz FPGJDELFRCQJFN-KRWDZBQOSA-N 0 1 300.446 3.497 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CC[C@H]2c2ccc(F)cc2)c1 ZINC000594716803 401159308 /nfs/dbraw/zinc/15/93/08/401159308.db2.gz WXDZNZSJMVLUJJ-SFHVURJKSA-N 0 1 323.371 3.473 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000608002299 401169733 /nfs/dbraw/zinc/16/97/33/401169733.db2.gz ZICWLOJLBYKPEG-SFHVURJKSA-N 0 1 300.446 3.466 20 30 DGEDMN CN(C(=O)c1cc(-c2ccc(F)cc2)n[nH]1)c1cccc(C#N)c1 ZINC000063830845 401219683 /nfs/dbraw/zinc/21/96/83/401219683.db2.gz ZMVHZOLBOKGMAL-UHFFFAOYSA-N 0 1 320.327 3.364 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CCC)Cc1ccc(OC)cc1 ZINC000621733057 401289414 /nfs/dbraw/zinc/28/94/14/401289414.db2.gz YWGCYJLHFSFVDX-UHFFFAOYSA-N 0 1 318.461 3.332 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(Cc1ccc(C)s1)C(C)C ZINC000065162154 401258338 /nfs/dbraw/zinc/25/83/38/401258338.db2.gz DBKYFFMVRFCMGK-UHFFFAOYSA-N 0 1 306.475 3.468 20 30 DGEDMN C=C(C)COCCNCc1ccc(Br)c(F)c1F ZINC000623449601 401521578 /nfs/dbraw/zinc/52/15/78/401521578.db2.gz CPHGVGXYBSXJBL-UHFFFAOYSA-N 0 1 320.177 3.410 20 30 DGEDMN C=CCOc1c(Cl)cc(CN2CCN(CC)CC2)cc1OC ZINC000628118753 401526283 /nfs/dbraw/zinc/52/62/83/401526283.db2.gz IOYNVQLEDDWJDQ-UHFFFAOYSA-N 0 1 324.852 3.051 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1n[nH]c(C)c1-c1ccc(C)cc1 ZINC000629351753 401679211 /nfs/dbraw/zinc/67/92/11/401679211.db2.gz YHPXZROFPVBEFE-UHFFFAOYSA-N 0 1 319.430 3.401 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@@H](c2ccc(Br)cc2)C[C@H]1C ZINC000629377922 401682874 /nfs/dbraw/zinc/68/28/74/401682874.db2.gz PFRBHWZEQGFAPZ-SCDSUCTJSA-N 0 1 324.218 3.266 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(-n3cccc3)c2)cc1O ZINC000190093136 165189072 /nfs/dbraw/zinc/18/90/72/165189072.db2.gz FIQHIRVPFKHDJB-UHFFFAOYSA-N 0 1 303.321 3.307 20 30 DGEDMN Cn1cc(CN[C@H](c2ccccc2)c2ccncc2)cc1C#N ZINC000190879235 165218956 /nfs/dbraw/zinc/21/89/56/165218956.db2.gz VVQRVPDXIXADDQ-LJQANCHMSA-N 0 1 302.381 3.171 20 30 DGEDMN COC[C@H](Cc1ccccc1)N(C)Cc1ccc(C#N)cc1F ZINC000191509525 165255626 /nfs/dbraw/zinc/25/56/26/165255626.db2.gz ZRDVHHVLBMVYFG-SFHVURJKSA-N 0 1 312.388 3.387 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1 ZINC000171821530 432176213 /nfs/dbraw/zinc/17/62/13/432176213.db2.gz WCGQYOCBDBEYMB-MRXNPFEDSA-N 0 1 317.433 3.024 20 30 DGEDMN Cc1ccc(CNCc2ccc(C#N)cc2)c(O[C@@H]2CCOC2)c1 ZINC000150764898 432190606 /nfs/dbraw/zinc/19/06/06/432190606.db2.gz VAZJYAXLDLTZCS-LJQANCHMSA-N 0 1 322.408 3.324 20 30 DGEDMN CN(C)c1ccc(Cl)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000191036425 432213910 /nfs/dbraw/zinc/21/39/10/432213910.db2.gz HSTNYLRVMBOWAU-UHFFFAOYSA-N 0 1 315.760 3.236 20 30 DGEDMN COC[C@]1(C)CCN(Cc2cc(C#N)ccc2Br)C1 ZINC000296645138 226080689 /nfs/dbraw/zinc/08/06/89/226080689.db2.gz IPRHWVYOPOERCY-OAHLLOKOSA-N 0 1 323.234 3.179 20 30 DGEDMN N#CCN(C(=O)c1cc(C2CCCCC2)[nH]n1)C1CCCC1 ZINC000135372857 227046801 /nfs/dbraw/zinc/04/68/01/227046801.db2.gz MRJOISSPLMIWDK-UHFFFAOYSA-N 0 1 300.406 3.366 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000291874477 335084697 /nfs/dbraw/zinc/08/46/97/335084697.db2.gz LYEYJAQDQWFCPA-UHFFFAOYSA-N 0 1 315.345 3.208 20 30 DGEDMN CSCCN(C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000157128362 228232267 /nfs/dbraw/zinc/23/22/67/228232267.db2.gz FOMYXOMRWZBYKP-UHFFFAOYSA-N 0 1 303.349 3.232 20 30 DGEDMN Cc1ccc(F)cc1NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160761197 228248219 /nfs/dbraw/zinc/24/82/19/228248219.db2.gz GLGMMNYABNFRPG-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1nnc(NC(=O)[C@H](C(C)C)N2CCCCC2)n1C1CC1 ZINC000329145435 228930919 /nfs/dbraw/zinc/93/09/19/228930919.db2.gz NTBUUYBTEIRSNQ-AWEZNQCLSA-N 0 1 305.426 3.020 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000331556169 229032541 /nfs/dbraw/zinc/03/25/41/229032541.db2.gz MVBJPIBWNIUZTE-HZMBPMFUSA-N 0 1 316.792 3.430 20 30 DGEDMN Cc1nc(N2CCOCC2)ccc1NC1CCC(C)(C#N)CC1 ZINC000332381620 229035506 /nfs/dbraw/zinc/03/55/06/229035506.db2.gz GXDAVYQRAKSOBP-UHFFFAOYSA-N 0 1 314.433 3.111 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SC[C@H](C#N)CCC#N)n2)o1 ZINC000340665313 229090619 /nfs/dbraw/zinc/09/06/19/229090619.db2.gz OQTLVFFBNKDIBZ-SYTKJHMZSA-N 0 1 313.386 3.412 20 30 DGEDMN COCC1(C#N)CCN(Cc2cccc(OC(F)F)c2)CC1 ZINC000341981723 229105906 /nfs/dbraw/zinc/10/59/06/229105906.db2.gz QUKUZKOJMUDLBO-UHFFFAOYSA-N 0 1 310.344 3.040 20 30 DGEDMN C[C@H](NCCCC1(C#N)CCOCC1)c1nc(C(C)(C)C)no1 ZINC000337206864 229046684 /nfs/dbraw/zinc/04/66/84/229046684.db2.gz NJMLYUKEGAEODC-ZDUSSCGKSA-N 0 1 320.437 3.118 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccnc(OC(C)C)c2)n1 ZINC000347847816 229193539 /nfs/dbraw/zinc/19/35/39/229193539.db2.gz OUVGZZOREGAHSK-LBPRGKRZSA-N 0 1 301.371 3.124 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnc(OC(C)C)c2)n1 ZINC000347847816 229193543 /nfs/dbraw/zinc/19/35/43/229193543.db2.gz OUVGZZOREGAHSK-LBPRGKRZSA-N 0 1 301.371 3.124 20 30 DGEDMN C[C@]1(F)CCN(Cc2cn(CCC#N)nc2-c2ccccc2)C1 ZINC000355356434 229309490 /nfs/dbraw/zinc/30/94/90/229309490.db2.gz RELMUZHXHRZGGD-SFHVURJKSA-N 0 1 312.392 3.398 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1cccc2cccnc21 ZINC000355843603 229318814 /nfs/dbraw/zinc/31/88/14/229318814.db2.gz MKPKVHOJQMKZIT-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN N#Cc1cnc(NC[C@H](c2ccco2)N2CCCCC2)c(F)c1 ZINC000413025929 230113806 /nfs/dbraw/zinc/11/38/06/230113806.db2.gz SJGYUTOMLGJGKK-OAHLLOKOSA-N 0 1 314.364 3.324 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1cc(C#N)ccc1F ZINC000346960632 264128641 /nfs/dbraw/zinc/12/86/41/264128641.db2.gz KPKOHAIGLJBGBY-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN CCCCOC1CCN(Cc2ccc(C#N)cc2OC)CC1 ZINC000347262237 264185884 /nfs/dbraw/zinc/18/58/84/264185884.db2.gz PBMIUOVUEDIMKO-UHFFFAOYSA-N 0 1 302.418 3.348 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCCOc1ccccc1 ZINC000347273574 264188271 /nfs/dbraw/zinc/18/82/71/264188271.db2.gz YXTQUYGQGXSMLE-UHFFFAOYSA-N 0 1 310.397 3.468 20 30 DGEDMN COC(=O)c1cccc(CN(C)CCc2cccs2)c1C#N ZINC000533761519 270005206 /nfs/dbraw/zinc/00/52/06/270005206.db2.gz LTHCQDJVEAVLKO-UHFFFAOYSA-N 0 1 314.410 3.081 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(-n3ccnc3)c2)c(F)c1 ZINC000355704670 266160833 /nfs/dbraw/zinc/16/08/33/266160833.db2.gz ZFSAOZZQVPDPBV-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN CCO[C@@H]1C[C@H](N(C)Cc2cn3ccccc3c2C#N)C1(C)C ZINC000354458455 266296721 /nfs/dbraw/zinc/29/67/21/266296721.db2.gz PUJRVLITAISKAA-ZWKOTPCHSA-N 0 1 311.429 3.446 20 30 DGEDMN CC[C@@H]1CN(c2nccc(C)c2C#N)CCN1Cc1ccccc1 ZINC000353293407 266384783 /nfs/dbraw/zinc/38/47/83/266384783.db2.gz OAMLLZJZRYJQSR-GOSISDBHSA-N 0 1 320.440 3.363 20 30 DGEDMN COc1cc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)ccc1C#N ZINC000353652812 266831054 /nfs/dbraw/zinc/83/10/54/266831054.db2.gz XLFMULCDHRFLQB-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN C[C@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@H]1C ZINC000357733106 267213232 /nfs/dbraw/zinc/21/32/32/267213232.db2.gz PLDJRPPBXCFQST-RYUDHWBXSA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@]1(O)CCCN(Cc2cc(C#N)ccc2Br)CC1 ZINC000375907081 267265100 /nfs/dbraw/zinc/26/51/00/267265100.db2.gz WIGWOVZUNDBKBV-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN Cc1cc(CNCc2cc(C#N)cs2)cc(N2CCCC2)n1 ZINC000353252641 267327284 /nfs/dbraw/zinc/32/72/84/267327284.db2.gz TVRTVSFMWMBBKJ-UHFFFAOYSA-N 0 1 312.442 3.213 20 30 DGEDMN N#Cc1ccc(C2=CCN(Cc3cccc4nccn43)CC2)cc1 ZINC000371468403 268055192 /nfs/dbraw/zinc/05/51/92/268055192.db2.gz ARAGBZZPTLXIND-UHFFFAOYSA-N 0 1 314.392 3.495 20 30 DGEDMN Cc1cccc([C@H]2CCCN2Cc2cnc3c(C#N)cnn3c2)c1 ZINC000516770665 268057465 /nfs/dbraw/zinc/05/74/65/268057465.db2.gz GRQGHQDETOXIQD-GOSISDBHSA-N 0 1 317.396 3.247 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](NCc1ccnc(OC(F)F)c1)CC2 ZINC000353656801 268223462 /nfs/dbraw/zinc/22/34/62/268223462.db2.gz IJVIYBNHVBAVLN-OAHLLOKOSA-N 0 1 315.323 3.332 20 30 DGEDMN N#Cc1ccccc1Oc1ccccc1C(=O)NCc1cnc[nH]1 ZINC000349990227 268285078 /nfs/dbraw/zinc/28/50/78/268285078.db2.gz DNCYYWGPAGGRHM-UHFFFAOYSA-N 0 1 318.336 3.004 20 30 DGEDMN N#Cc1ccsc1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC000378526497 268303714 /nfs/dbraw/zinc/30/37/14/268303714.db2.gz OLFJSCPOFYLXQC-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN C[C@H]1COc2ccccc2CN1CCOc1ccc(C#N)cc1 ZINC000359870630 271004289 /nfs/dbraw/zinc/00/42/89/271004289.db2.gz IHSVQXCXJCPMMU-HNNXBMFYSA-N 0 1 308.381 3.220 20 30 DGEDMN C[C@@H]1CN(c2ncc(C#N)cc2F)[C@H](C)CN1Cc1ccccc1 ZINC000413214596 274369217 /nfs/dbraw/zinc/36/92/17/274369217.db2.gz MIXRXOQTZBUUIX-HUUCEWRRSA-N 0 1 324.403 3.192 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCN(c2ccc(O)cc2)CC1 ZINC000118390292 274544711 /nfs/dbraw/zinc/54/47/11/274544711.db2.gz OFZZTTFDXDWXKK-OAHLLOKOSA-N 0 1 307.397 3.147 20 30 DGEDMN OC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccc(C(F)(F)F)cc1 ZINC000177161581 275045442 /nfs/dbraw/zinc/04/54/42/275045442.db2.gz CCGFQGQNVWTYNY-XYPWUTKMSA-N 0 1 309.331 3.045 20 30 DGEDMN C=CCN[C@@H](CO)c1ccc(Br)cc1C(F)(F)F ZINC000280596875 275432229 /nfs/dbraw/zinc/43/22/29/275432229.db2.gz UTKKVBJHRIFEGS-NSHDSACASA-N 0 1 324.140 3.277 20 30 DGEDMN COc1cccc(-c2cc(C(=O)Nc3cccc(C#N)c3)[nH]n2)c1 ZINC000151622287 432260910 /nfs/dbraw/zinc/26/09/10/432260910.db2.gz SVOIWIITRHPFBI-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN C=C[C@H](CO)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000353562389 275752320 /nfs/dbraw/zinc/75/23/20/275752320.db2.gz CDHTUOYTYCUPQY-CHWSQXEVSA-N 0 1 305.365 3.246 20 30 DGEDMN CC(C)(C#N)CCCN1CCNC(=O)[C@@H]1CC1CCCCC1 ZINC000421044266 277120498 /nfs/dbraw/zinc/12/04/98/277120498.db2.gz SIQUOLXSJCMKKQ-INIZCTEOSA-N 0 1 305.466 3.087 20 30 DGEDMN CC(C)CC[C@@H]1CCCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329750957 277360799 /nfs/dbraw/zinc/36/07/99/277360799.db2.gz VGXZDEQUTOHLPN-GJZGRUSLSA-N 0 1 318.465 3.472 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000182160325 279181452 /nfs/dbraw/zinc/18/14/52/279181452.db2.gz YXFKUZHILNPJEZ-CABCVRRESA-N 0 1 315.417 3.180 20 30 DGEDMN CCN(Cc1ccccc1C(C)(C)C#N)C[C@H](O)C(F)(F)F ZINC000189469442 279240620 /nfs/dbraw/zinc/24/06/20/279240620.db2.gz HVEAHGYDCVPFII-AWEZNQCLSA-N 0 1 314.351 3.233 20 30 DGEDMN C=CC1CCN(CC(=O)N2CCc3sccc3[C@H]2CC)CC1 ZINC000111258625 431203324 /nfs/dbraw/zinc/20/33/24/431203324.db2.gz WEDWDRLFMCNBCF-MRXNPFEDSA-N 0 1 318.486 3.482 20 30 DGEDMN C[C@@H](C(=O)N[C@H](C#N)c1ccccc1Cl)N1CCCCCC1 ZINC000073647809 431377103 /nfs/dbraw/zinc/37/71/03/431377103.db2.gz MNDCYQYSFINKPJ-XJKSGUPXSA-N 0 1 319.836 3.285 20 30 DGEDMN C[C@H](C(=O)N[C@@H](C#N)c1ccccc1Cl)N1CCCCCC1 ZINC000073647808 431378650 /nfs/dbraw/zinc/37/86/50/431378650.db2.gz MNDCYQYSFINKPJ-CJNGLKHVSA-N 0 1 319.836 3.285 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)[C@H](C)c1ccc(OC)cc1 ZINC000074255188 431408546 /nfs/dbraw/zinc/40/85/46/431408546.db2.gz CUUZRUJJEWXWEN-OAHLLOKOSA-N 0 1 304.434 3.113 20 30 DGEDMN CC(C)C[C@@H]1CCN(Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000074994069 431448679 /nfs/dbraw/zinc/44/86/79/431448679.db2.gz CTQUVQZPEIZDQI-HNNXBMFYSA-N 0 1 310.401 3.476 20 30 DGEDMN CN(CCCCc1ccccc1)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115536238 431461975 /nfs/dbraw/zinc/46/19/75/431461975.db2.gz FUXBYZOBXZUYTL-LJQANCHMSA-N 0 1 321.424 3.039 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc(-n3cccn3)c2)n1 ZINC000117081844 431547317 /nfs/dbraw/zinc/54/73/17/431547317.db2.gz ZMBDNPGAUMCDEE-AWEZNQCLSA-N 0 1 308.366 3.127 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)Cc1cccc2ccccc21 ZINC000077169543 431569467 /nfs/dbraw/zinc/56/94/67/431569467.db2.gz IUNWBRBWETZIIP-UHFFFAOYSA-N 0 1 309.413 3.034 20 30 DGEDMN N#CC1CCN(CCOc2ccc(Br)cc2)CC1 ZINC000032211406 431773964 /nfs/dbraw/zinc/77/39/64/431773964.db2.gz MMHNUIOUWYHQDQ-UHFFFAOYSA-N 0 1 309.207 3.063 20 30 DGEDMN CCc1ccc(CN2CCC[N@@H+](Cc3ccc(C#N)cc3)CC2)o1 ZINC000122819805 431845118 /nfs/dbraw/zinc/84/51/18/431845118.db2.gz XTZVVTNOCDUUJE-UHFFFAOYSA-N 0 1 323.440 3.422 20 30 DGEDMN COCC[N@@H+](C)CC[C@H](Nc1cccc(C#N)n1)c1ccccc1 ZINC000122815684 431845504 /nfs/dbraw/zinc/84/55/04/431845504.db2.gz SPDDXZSJWMUJLK-SFHVURJKSA-N 0 1 324.428 3.075 20 30 DGEDMN C[C@H]1CCN(Cc2cn3ccccc3c2C#N)C[C@@H]1n1ccnc1 ZINC000122853980 431846756 /nfs/dbraw/zinc/84/67/56/431846756.db2.gz DHBJDSMGZSOPHF-KXBFYZLASA-N 0 1 319.412 3.091 20 30 DGEDMN N#Cc1cccc(OCCCSc2nc(C3CC3)n[nH]2)c1 ZINC000122861121 431847133 /nfs/dbraw/zinc/84/71/33/431847133.db2.gz LXTIDOOLZHZGQC-UHFFFAOYSA-N 0 1 300.387 3.115 20 30 DGEDMN N#Cc1cccc(OCCCSc2n[nH]c(C3CC3)n2)c1 ZINC000122861121 431847134 /nfs/dbraw/zinc/84/71/34/431847134.db2.gz LXTIDOOLZHZGQC-UHFFFAOYSA-N 0 1 300.387 3.115 20 30 DGEDMN O=C(Cc1cccc(F)c1F)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279580 431853125 /nfs/dbraw/zinc/85/31/25/431853125.db2.gz DYNHEOQWHMVATN-UHFFFAOYSA-N 0 1 304.256 3.174 20 30 DGEDMN C=CCC[C@@H](O)CN[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000123180896 431857464 /nfs/dbraw/zinc/85/74/64/431857464.db2.gz ZIGVESBPVIIUFQ-RTBURBONSA-N 0 1 324.424 3.283 20 30 DGEDMN CC(C)(C)c1nnc(NC(=O)c2c(F)cc(C#N)cc2F)s1 ZINC000125186938 431915940 /nfs/dbraw/zinc/91/59/40/431915940.db2.gz IEKYMSWGBLLMBK-UHFFFAOYSA-N 0 1 322.340 3.238 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2ccc(O)cc2O)c(C(C)(C)C)n1 ZINC000093902058 431918430 /nfs/dbraw/zinc/91/84/30/431918430.db2.gz LUOKNVYMBUXNIY-VMPITWQZSA-N 0 1 300.358 3.025 20 30 DGEDMN C=CCC[C@H](O)C[N@H+](C)Cc1cc(Br)ccc1F ZINC000124472687 431894715 /nfs/dbraw/zinc/89/47/15/431894715.db2.gz NZGTXYXLNKTRJM-ZDUSSCGKSA-N 0 1 316.214 3.347 20 30 DGEDMN C=CCC[C@H](O)CN(C)Cc1cc(Br)ccc1F ZINC000124472687 431894717 /nfs/dbraw/zinc/89/47/17/431894717.db2.gz NZGTXYXLNKTRJM-ZDUSSCGKSA-N 0 1 316.214 3.347 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000127471996 431973000 /nfs/dbraw/zinc/97/30/00/431973000.db2.gz KPQCAJKQRHIJKU-XUWVNRHRSA-N 0 1 313.445 3.102 20 30 DGEDMN CN1CCCN(C(=O)c2ccccc2-c2ccccc2C#N)CC1 ZINC000098079625 431977690 /nfs/dbraw/zinc/97/76/90/431977690.db2.gz PGRNLLOUMIGMHF-UHFFFAOYSA-N 0 1 319.408 3.003 20 30 DGEDMN N#Cc1ccc(CN[C@H](CN2CCCC2=O)c2ccccc2)cc1 ZINC000048715058 431931332 /nfs/dbraw/zinc/93/13/32/431931332.db2.gz WBSIXOMXRORATI-LJQANCHMSA-N 0 1 319.408 3.012 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn3c2CCCC3)n1 ZINC000125811241 431936191 /nfs/dbraw/zinc/93/61/91/431936191.db2.gz ANCNOPMJXUBFMZ-LLVKDONJSA-N 0 1 314.414 3.289 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCCCCC2)c1C ZINC000051093476 431949948 /nfs/dbraw/zinc/94/99/48/431949948.db2.gz YXKPWJQOQACDAE-UHFFFAOYSA-N 0 1 313.445 3.426 20 30 DGEDMN CCCCCNC(=O)CN(CC)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340612 431969303 /nfs/dbraw/zinc/96/93/03/431969303.db2.gz LGCROZSGKFSVJK-HNNXBMFYSA-N 0 1 301.434 3.248 20 30 DGEDMN CCN(CC(=O)N[C@@H](C)C(C)C)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340673 431969951 /nfs/dbraw/zinc/96/99/51/431969951.db2.gz WGEANXHCMAWRHD-GJZGRUSLSA-N 0 1 301.434 3.102 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCSc2ccccc2)CC1 ZINC000097476575 431969995 /nfs/dbraw/zinc/96/99/95/431969995.db2.gz GWJOTEPLWDSIFT-UHFFFAOYSA-N 0 1 323.465 3.473 20 30 DGEDMN Cc1ccc2c(c1)[C@@H]([NH+]=C([O-])N[C@H]1CCn3ccnc3C1)CCC2 ZINC000329585335 281081979 /nfs/dbraw/zinc/08/19/79/281081979.db2.gz YCHJLYTWNHLYFZ-RDJZCZTQSA-N 0 1 324.428 3.088 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](NC([O-])=[NH+][C@H]1CCn3ccnc3C1)CCC2 ZINC000329585335 281081981 /nfs/dbraw/zinc/08/19/81/281081981.db2.gz YCHJLYTWNHLYFZ-RDJZCZTQSA-N 0 1 324.428 3.088 20 30 DGEDMN C=CCCC(=O)NC[C@@H](c1ccccc1OC)N1CCCCC1 ZINC000102335506 431993023 /nfs/dbraw/zinc/99/30/23/431993023.db2.gz RIHCPMFQQUHPNT-KRWDZBQOSA-N 0 1 316.445 3.305 20 30 DGEDMN CCCn1ccnc1CCCN(C)Cc1ccc(F)cc1C#N ZINC000191510786 432272393 /nfs/dbraw/zinc/27/23/93/432272393.db2.gz GTXXBQKGYOLTRD-UHFFFAOYSA-N 0 1 314.408 3.368 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N1CCc2sccc2[C@@H]1CC ZINC000248262019 432277795 /nfs/dbraw/zinc/27/77/95/432277795.db2.gz WLZYVCQHTQBMEL-HNNXBMFYSA-N 0 1 306.475 3.482 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cn(-c3ccccc3)nc2C)n1 ZINC000102594883 431995245 /nfs/dbraw/zinc/99/52/45/431995245.db2.gz VNOPWTPBONXNFD-AWEZNQCLSA-N 0 1 322.393 3.436 20 30 DGEDMN CC(C)N(CC(=O)N1CCC[C@H](C)C1)Cc1ccc(C#N)cc1 ZINC000058397159 431996706 /nfs/dbraw/zinc/99/67/06/431996706.db2.gz CQOKVUSPYSZUFF-INIZCTEOSA-N 0 1 313.445 3.027 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CCc3cc(Cl)ccc3C2)cc1 ZINC000192240330 432348463 /nfs/dbraw/zinc/34/84/63/432348463.db2.gz SANSANNHDOYAIA-SFHVURJKSA-N 0 1 312.800 3.303 20 30 DGEDMN C=CCN(C[C@H](C)CC1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000248637611 432308672 /nfs/dbraw/zinc/30/86/72/432308672.db2.gz QQRYSDHFQFOXBJ-WBVHZDCISA-N 0 1 313.507 3.268 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)C[C@H]1OCCc2ccccc21 ZINC000271008135 432315111 /nfs/dbraw/zinc/31/51/11/432315111.db2.gz HKEUWSQDYJNYTE-HXUWFJFHSA-N 0 1 322.408 3.313 20 30 DGEDMN CC(=O)c1ccccc1OCCN(C)Cc1ccc(C#N)cc1 ZINC000192626113 432395357 /nfs/dbraw/zinc/39/53/57/432395357.db2.gz FOZMASHPVBIBCR-UHFFFAOYSA-N 0 1 308.381 3.272 20 30 DGEDMN C=CCc1ccccc1OC[C@H](O)CN(C)[C@@H](C)c1ccco1 ZINC000250210932 432366573 /nfs/dbraw/zinc/36/65/73/432366573.db2.gz OGWPZLKZKAVPSD-DOTOQJQBSA-N 0 1 315.413 3.441 20 30 DGEDMN C#CCSCCNCc1csc(COc2ccccc2)n1 ZINC000152931894 432367930 /nfs/dbraw/zinc/36/79/30/432367930.db2.gz VDDAHFODVNULAI-UHFFFAOYSA-N 0 1 318.467 3.178 20 30 DGEDMN N#C[C@H]1CCC[C@@H](NCc2nccn2CCc2ccccc2)C1 ZINC000192938919 432430385 /nfs/dbraw/zinc/43/03/85/432430385.db2.gz LHHALVCDQAAWKG-ZWKOTPCHSA-N 0 1 308.429 3.298 20 30 DGEDMN Cn1cc([C@H](N[C@H]2CCC[C@H](C#N)C2)c2ccc(F)cc2)cn1 ZINC000193305216 432463555 /nfs/dbraw/zinc/46/35/55/432463555.db2.gz JMDRBCIYOXMMPT-DOPJRALCSA-N 0 1 312.392 3.321 20 30 DGEDMN CCN(CCc1ccccc1)CC(=O)Nc1ccc(C#N)cc1 ZINC000193340446 432466412 /nfs/dbraw/zinc/46/64/12/432466412.db2.gz YOAGRODXJRXDDD-UHFFFAOYSA-N 0 1 307.397 3.061 20 30 DGEDMN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000185599571 432515180 /nfs/dbraw/zinc/51/51/80/432515180.db2.gz IRHVDEKABFEGML-NHYWBVRUSA-N 0 1 305.809 3.271 20 30 DGEDMN COC1(C)CCN(Cc2ccc(C#N)cc2Br)CC1 ZINC000272572250 432526463 /nfs/dbraw/zinc/52/64/63/432526463.db2.gz QUOZZQOFNXHDBH-UHFFFAOYSA-N 0 1 323.234 3.322 20 30 DGEDMN C[N@@H+](CCC(=O)[O-])Cc1cccc(OCc2cccc(C#N)c2)c1 ZINC000263420555 432542857 /nfs/dbraw/zinc/54/28/57/432542857.db2.gz ORWDBNAEBAQGMR-UHFFFAOYSA-N 0 1 324.380 3.044 20 30 DGEDMN COc1cc(C#N)ccc1CSc1nc(-c2ccccn2)n[nH]1 ZINC000155211061 432544060 /nfs/dbraw/zinc/54/40/60/432544060.db2.gz WKVLDGPQFNWVIC-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(Cc3ccccc3)c2)s1 ZINC000176946795 432569771 /nfs/dbraw/zinc/56/97/71/432569771.db2.gz MDSGLJYMIAFGOP-UHFFFAOYSA-N 0 1 308.410 3.154 20 30 DGEDMN CN(C)[C@@H](CNc1ncc(C#N)cc1Cl)c1ccsc1 ZINC000263790181 432578437 /nfs/dbraw/zinc/57/84/37/432578437.db2.gz NGDUOHMEINLZGT-ZDUSSCGKSA-N 0 1 306.822 3.383 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(OC2CCCC2)CC1 ZINC000195325266 432619270 /nfs/dbraw/zinc/61/92/70/432619270.db2.gz GYRDZQAJLDNGFI-MRXNPFEDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCNC(=O)[C@H](C)Sc1nc(C)c(-c2ccccc2)[nH]1 ZINC000187133231 432647767 /nfs/dbraw/zinc/64/77/67/432647767.db2.gz HMFZZJAERQRREK-LBPRGKRZSA-N 0 1 301.415 3.168 20 30 DGEDMN N#Cc1ccc2nc(-c3cnn(Cc4ccc(F)cc4)c3)[nH]c2c1 ZINC000186910190 432636619 /nfs/dbraw/zinc/63/66/19/432636619.db2.gz XRIUOAHJHOCYDL-UHFFFAOYSA-N 0 1 317.327 3.485 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CC[C@H]2CC)CC1 ZINC000177665350 432647468 /nfs/dbraw/zinc/64/74/68/432647468.db2.gz UGZSVKHAZAYHQH-GOSISDBHSA-N 0 1 310.441 3.090 20 30 DGEDMN C=C[C@H](CC(=O)Nc1nc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC000158716311 432656241 /nfs/dbraw/zinc/65/62/41/432656241.db2.gz DVSKQBYJHAFHDB-CYBMUJFWSA-N 0 1 319.368 3.165 20 30 DGEDMN C[C@@H]1CCCC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000187935728 432693670 /nfs/dbraw/zinc/69/36/70/432693670.db2.gz SAHCCZPWKUOKNO-CQSZACIVSA-N 0 1 317.458 3.363 20 30 DGEDMN C[C@@H]1CCCCN(CC(=O)Nc2ccccc2SCC#N)C1 ZINC000187935728 432693673 /nfs/dbraw/zinc/69/36/73/432693673.db2.gz SAHCCZPWKUOKNO-CQSZACIVSA-N 0 1 317.458 3.363 20 30 DGEDMN Cc1ccc2cccc(NC(=O)c3ccc(C#N)c(O)c3)c2n1 ZINC000188079055 432703604 /nfs/dbraw/zinc/70/36/04/432703604.db2.gz YBSPUZZJQZCHDC-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CC[C@@H](CC#N)NC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000188141229 432707630 /nfs/dbraw/zinc/70/76/30/432707630.db2.gz VKRIMKCAIJOERY-QMMMGPOBSA-N 0 1 308.794 3.214 20 30 DGEDMN Cc1cc(N2CCCC2)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188223569 432712994 /nfs/dbraw/zinc/71/29/94/432712994.db2.gz IJNFUXDGHPEXHR-UHFFFAOYSA-N 0 1 321.380 3.425 20 30 DGEDMN Cc1ccccc1-n1nccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188404341 432723648 /nfs/dbraw/zinc/72/36/48/432723648.db2.gz RBZUCUNANBCYGD-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@@H](C)[N@H+]2CCc3sccc3C2)n1 ZINC000160515723 432724862 /nfs/dbraw/zinc/72/48/62/432724862.db2.gz BBUSDZHIQCFSRN-CYBMUJFWSA-N 0 1 312.442 3.182 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@@H](C)N2CCc3sccc3C2)n1 ZINC000160515723 432724865 /nfs/dbraw/zinc/72/48/65/432724865.db2.gz BBUSDZHIQCFSRN-CYBMUJFWSA-N 0 1 312.442 3.182 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Br)[C@H]1CC[C@H](O)CC1 ZINC000275913154 432760502 /nfs/dbraw/zinc/76/05/02/432760502.db2.gz XUTABFUEGGTHNG-HDJSIYSDSA-N 0 1 323.234 3.056 20 30 DGEDMN CCCOc1cc(F)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188746290 432744111 /nfs/dbraw/zinc/74/41/11/432744111.db2.gz YBIPANHRYAZHEJ-UHFFFAOYSA-N 0 1 314.316 3.444 20 30 DGEDMN OCC[C@H]1CCCC[N@H+]1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000267421987 432835238 /nfs/dbraw/zinc/83/52/38/432835238.db2.gz WPLWEJZOZCBPMV-MRXNPFEDSA-N 0 1 311.347 3.294 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H](c2ccsc2)N(C)C)CCCCC1 ZINC000276308262 432794174 /nfs/dbraw/zinc/79/41/74/432794174.db2.gz PCVJHLRPCHBALF-INIZCTEOSA-N 0 1 318.486 3.441 20 30 DGEDMN C=CCC[N@H+](C)CC(=O)Nc1ccc2c(c1)OC1(CCCC1)O2 ZINC000276393001 432803957 /nfs/dbraw/zinc/80/39/57/432803957.db2.gz JMTLZJKPEZZWHJ-UHFFFAOYSA-N 0 1 316.401 3.175 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc2c(c1)OC1(CCCC1)O2 ZINC000276393001 432803960 /nfs/dbraw/zinc/80/39/60/432803960.db2.gz JMTLZJKPEZZWHJ-UHFFFAOYSA-N 0 1 316.401 3.175 20 30 DGEDMN CC1(C)CCCN(C(=O)c2cc(-c3ccccc3)[nH]n2)[C@H]1C#N ZINC000277591997 432863113 /nfs/dbraw/zinc/86/31/13/432863113.db2.gz SNEBTRNTUFWIMT-INIZCTEOSA-N 0 1 308.385 3.231 20 30 DGEDMN CC[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCc3cnn(C(C)C)c3C2)C1 ZINC000330052626 432890651 /nfs/dbraw/zinc/89/06/51/432890651.db2.gz PIVJVHDUUZHQIH-GDBMZVCRSA-N 0 1 318.465 3.357 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N(C)[C@H](C)C1(C)CC1)CC2 ZINC000330054272 432890683 /nfs/dbraw/zinc/89/06/83/432890683.db2.gz RSVDBUMVGALVOU-UKRRQHHQSA-N 0 1 318.465 3.356 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC(C)(C)[C@H]2c2cccs2)CC1 ZINC000288624138 432895128 /nfs/dbraw/zinc/89/51/28/432895128.db2.gz OUIUDMGOUIGCCP-MRXNPFEDSA-N 0 1 316.470 3.003 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN(C)[C@H]2CCCc3c2cnn3C)cc1 ZINC000245725159 432858830 /nfs/dbraw/zinc/85/88/30/432858830.db2.gz IRQBEJYXHZKAKY-KSSFIOAISA-N 0 1 324.428 3.220 20 30 DGEDMN CC1(C)CCCN(C(=O)c2cc(-c3cccs3)[nH]n2)[C@H]1C#N ZINC000277539288 432859083 /nfs/dbraw/zinc/85/90/83/432859083.db2.gz NEZAJPQDXRMSOU-AWEZNQCLSA-N 0 1 314.414 3.293 20 30 DGEDMN CC[C@H](C)N1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC000297027449 432950482 /nfs/dbraw/zinc/95/04/82/432950482.db2.gz RXTVKYCQZQGBES-HNNXBMFYSA-N 0 1 313.445 3.044 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N(C)[C@H]1CCCN(Cc2ccccc2)C1 ZINC000289258123 432939150 /nfs/dbraw/zinc/93/91/50/432939150.db2.gz QGCAHSKBCXQIBE-HKUYNNGSSA-N 0 1 313.445 3.049 20 30 DGEDMN CN(Cc1cc2c(cc1OC(F)F)OCO2)[C@H]1CC[C@@H](C#N)C1 ZINC000278544166 432896746 /nfs/dbraw/zinc/89/67/46/432896746.db2.gz GRNTWLZXPJTJHJ-PWSUYJOCSA-N 0 1 324.327 3.141 20 30 DGEDMN CCC[C@H](C)[C@@H]1CCCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330197155 432897885 /nfs/dbraw/zinc/89/78/85/432897885.db2.gz RKBHCWGEWJDKDJ-KKUMJFAQSA-N 0 1 304.438 3.013 20 30 DGEDMN Cc1ccc(Cl)cc1N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000278718793 432899140 /nfs/dbraw/zinc/89/91/40/432899140.db2.gz UYZSFTZRRZPISU-GDBMZVCRSA-N 0 1 303.837 3.463 20 30 DGEDMN Cc1ccc(Cl)cc1N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000278718806 432899738 /nfs/dbraw/zinc/89/97/38/432899738.db2.gz UYZSFTZRRZPISU-HOCLYGCPSA-N 0 1 303.837 3.463 20 30 DGEDMN O=C(NCc1ccn(C2CCCC2)n1)[C@H]1CCCN1C1CC1 ZINC000330246489 432899925 /nfs/dbraw/zinc/89/99/25/432899925.db2.gz YGESPLFSGVWDDR-MRXNPFEDSA-N 0 1 302.422 3.082 20 30 DGEDMN O=C(N[C@@H]1Cc2cccc(O)c2C1)[C@@H]1CCC[N@H+]1C1CCCC1 ZINC000330276554 432901594 /nfs/dbraw/zinc/90/15/94/432901594.db2.gz NBLCXRHEOKKIPP-PBHICJAKSA-N 0 1 314.429 3.223 20 30 DGEDMN CCc1nc(C)c(NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)s1 ZINC000330337570 432904024 /nfs/dbraw/zinc/90/40/24/432904024.db2.gz UDRKRLCAPCRCHV-QMMMGPOBSA-N 0 1 307.423 3.003 20 30 DGEDMN COc1ccc2c(c1)[C@H](C(=O)N[C@@H]1CCCc3cn[nH]c31)CC2 ZINC000330349200 432904413 /nfs/dbraw/zinc/90/44/13/432904413.db2.gz JOLZSAXZZIXZCG-GDBMZVCRSA-N 0 1 311.385 3.482 20 30 DGEDMN C#CCCOc1ccc(C(=O)Nc2c(C)n[nH]c2C(F)F)cc1 ZINC000297048433 432952169 /nfs/dbraw/zinc/95/21/69/432952169.db2.gz IFVZWADHXGCKKW-UHFFFAOYSA-N 0 1 319.311 3.310 20 30 DGEDMN Cc1ccc2cccnc2c1S(=O)(=O)Nc1ccc(C#N)cc1 ZINC000349761137 433005016 /nfs/dbraw/zinc/00/50/16/433005016.db2.gz ZSLAOXCGTGDBNP-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1cc(C[N@H+]2CC[C@@](CO)(c3ccccc3)C2)ccc1C#N ZINC000361249338 433057586 /nfs/dbraw/zinc/05/75/86/433057586.db2.gz GFVUDWCAMIAIMI-HXUWFJFHSA-N 0 1 306.409 3.003 20 30 DGEDMN C[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@H](C2CC2)O1 ZINC000280562392 433084693 /nfs/dbraw/zinc/08/46/93/433084693.db2.gz KZKZJMUZJJPKBU-HNAYVOBHSA-N 0 1 313.445 3.036 20 30 DGEDMN CCC1(CC)CCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000446723846 433086602 /nfs/dbraw/zinc/08/66/02/433086602.db2.gz WVLQUDIVQMBXST-UHFFFAOYSA-N 0 1 313.445 3.445 20 30 DGEDMN C=CCCCNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000280731953 433153594 /nfs/dbraw/zinc/15/35/94/433153594.db2.gz VISQWCKEYLDNCF-OAHLLOKOSA-N 0 1 305.422 3.072 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1N[C@@H](C)c1ncc(Br)s1 ZINC000453362630 433120959 /nfs/dbraw/zinc/12/09/59/433120959.db2.gz RBPUMXUTMAOFNX-AEJSXWLSSA-N 0 1 317.252 3.290 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cnc(N(C)C)s1 ZINC000425368904 433208033 /nfs/dbraw/zinc/20/80/33/433208033.db2.gz YGRGWAZIRGYXDW-GFCCVEGCSA-N 0 1 300.431 3.274 20 30 DGEDMN CC(C)n1cncc1CN1CCCN(c2ccccc2C#N)CC1 ZINC000425326001 433168766 /nfs/dbraw/zinc/16/87/66/433168766.db2.gz NEJWAUKOUZPOCE-UHFFFAOYSA-N 0 1 323.444 3.048 20 30 DGEDMN CC(C)N(CCCC1(C#N)CCOCC1)CC(=O)OC(C)(C)C ZINC000337184042 433210004 /nfs/dbraw/zinc/21/00/04/433210004.db2.gz NXOUANYIHBNERC-UHFFFAOYSA-N 0 1 324.465 3.139 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000298526904 433170695 /nfs/dbraw/zinc/17/06/95/433170695.db2.gz IVUAQLOFVMPAFD-TUVASFSCSA-N 0 1 315.417 3.177 20 30 DGEDMN C[N@H+](Cc1cccc(C#N)c1)[C@@H]1CCN(Cc2ccccc2)C1 ZINC000336657410 433173740 /nfs/dbraw/zinc/17/37/40/433173740.db2.gz RKWRFDAENDQQMU-HXUWFJFHSA-N 0 1 305.425 3.265 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CC2)OCCc2ccccc23)o1 ZINC000453581079 433216093 /nfs/dbraw/zinc/21/60/93/433216093.db2.gz UNJXNMZBPLDEFS-UHFFFAOYSA-N 0 1 308.381 3.215 20 30 DGEDMN CN(C)[C@H](CNc1nc2c(cc1C#N)CCC2)c1ccsc1 ZINC000298691559 433216696 /nfs/dbraw/zinc/21/66/96/433216696.db2.gz CTTQPQZLLOHXHD-MRXNPFEDSA-N 0 1 312.442 3.218 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C[C@@H](O)Cc1ccccc1 ZINC000425416837 433232548 /nfs/dbraw/zinc/23/25/48/433232548.db2.gz IYYAINDZJJONOI-ACRUOGEOSA-N 0 1 320.436 3.362 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN(CCCO)Cc1ccccn1 ZINC000280961354 433242116 /nfs/dbraw/zinc/24/21/16/433242116.db2.gz JRGIBFKBTGEMQZ-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2ccccc2C#N)C1 ZINC000453624421 433244486 /nfs/dbraw/zinc/24/44/86/433244486.db2.gz QOCMYEZIFNGVRT-GOSISDBHSA-N 0 1 300.402 3.112 20 30 DGEDMN C=CCn1c(S[C@@H](C)c2n[nH]c(C)n2)nnc1-c1ccco1 ZINC000412990722 433254834 /nfs/dbraw/zinc/25/48/34/433254834.db2.gz PITZRIVBPDQHMR-VIFPVBQESA-N 0 1 316.390 3.004 20 30 DGEDMN Cc1cccc([C@H]2CCCN2CC(=O)N[C@](C)(C#N)C(C)C)c1 ZINC000298857766 433263414 /nfs/dbraw/zinc/26/34/14/433263414.db2.gz QMTYNQYMNSAGKK-IEBWSBKVSA-N 0 1 313.445 3.186 20 30 DGEDMN COc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C#N)c1 ZINC000298855265 433264279 /nfs/dbraw/zinc/26/42/79/433264279.db2.gz FFZXIKGNWHMOPA-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc3cc(OC)ccc3c2)C1=O ZINC000281139080 433266343 /nfs/dbraw/zinc/26/63/43/433266343.db2.gz DQZPUIPDTJNDDK-IBGZPJMESA-N 0 1 324.424 3.067 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2cccc(SCC)c2)C1=O ZINC000281145006 433267408 /nfs/dbraw/zinc/26/74/08/433267408.db2.gz FAPFKJOLKCROOV-INIZCTEOSA-N 0 1 304.459 3.017 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2/C=C/c2ccccc2)CC1 ZINC000374837773 433285641 /nfs/dbraw/zinc/28/56/41/433285641.db2.gz RKAOOSHXGBKTIQ-CTDFXDFQSA-N 0 1 322.452 3.036 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccc(SC)cc1 ZINC000281355523 433289919 /nfs/dbraw/zinc/28/99/19/433289919.db2.gz KWZRXRXMKXWYCZ-CQSZACIVSA-N 0 1 304.459 3.260 20 30 DGEDMN N#Cc1cccc(CN2CC3(CCC3)[C@H]2C2CCOCC2)c1F ZINC000425558205 433296365 /nfs/dbraw/zinc/29/63/65/433296365.db2.gz XIXRGYWQESSSRI-GOSISDBHSA-N 0 1 314.404 3.478 20 30 DGEDMN C=C[C@@H]1CCCCN1[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447878561 433311996 /nfs/dbraw/zinc/31/19/96/433311996.db2.gz MKBANQQFZPBNKT-AEFFLSMTSA-N 0 1 309.413 3.094 20 30 DGEDMN CCN(CC)c1ccc(CNCc2ccc(C#N)c(OC)c2)cn1 ZINC000281750045 433365561 /nfs/dbraw/zinc/36/55/61/433365561.db2.gz QXBUZPIKRQHMKX-UHFFFAOYSA-N 0 1 324.428 3.098 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC[C@H](CC(F)(F)F)C1 ZINC000420940542 433330752 /nfs/dbraw/zinc/33/07/52/433330752.db2.gz DLYKUIIPZPCPNN-UONOGXRCSA-N 0 1 318.383 3.240 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000375012520 433332654 /nfs/dbraw/zinc/33/26/54/433332654.db2.gz LPWXIXRUDHLYKI-IRXDYDNUSA-N 0 1 314.429 3.205 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC[C@H](CC(F)(F)F)C1 ZINC000420940543 433335189 /nfs/dbraw/zinc/33/51/89/433335189.db2.gz DLYKUIIPZPCPNN-ZIAGYGMSSA-N 0 1 318.383 3.240 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)c(F)c1)c1ccc([S@](C)=O)cc1 ZINC000361862993 433430947 /nfs/dbraw/zinc/43/09/47/433430947.db2.gz SHMYPWXXHKNUDW-IPQOISQHSA-N 0 1 316.401 3.286 20 30 DGEDMN CC(C)N(CC(=O)N[C@](C)(C#N)C1CC1)[C@@H](C)c1ccsc1 ZINC000421012219 433386861 /nfs/dbraw/zinc/38/68/61/433386861.db2.gz QCWLJEGZCGXMAJ-SUMWQHHRSA-N 0 1 319.474 3.328 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCc2cc(C)ccc2C1 ZINC000361841517 433415633 /nfs/dbraw/zinc/41/56/33/433415633.db2.gz DTPQLAGBTBSLCT-INIZCTEOSA-N 0 1 300.446 3.166 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)c(OC(F)F)c1 ZINC000342026810 433423306 /nfs/dbraw/zinc/42/33/06/433423306.db2.gz VPXWGSIMLSGVKW-HUUCEWRRSA-N 0 1 322.355 3.303 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2cccc(C#N)c2C)CC1 ZINC000375442284 433467478 /nfs/dbraw/zinc/46/74/78/433467478.db2.gz CFASUJOFYYJJPS-UHFFFAOYSA-N 0 1 323.400 3.310 20 30 DGEDMN C[C@H](c1ccncc1)N(C)CC#CCN(C)[C@H](C)c1ccncc1 ZINC000276264316 290273143 /nfs/dbraw/zinc/27/31/43/290273143.db2.gz BOAWRRWZPNIERO-QZTJIDSGSA-N 0 1 322.456 3.166 20 30 DGEDMN CCCCCCCCCCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000362101256 433525704 /nfs/dbraw/zinc/52/57/04/433525704.db2.gz BPLKEHIWGOCPER-OAHLLOKOSA-N 0 1 321.469 3.437 20 30 DGEDMN N#Cc1c(CN2CCOC[C@H](C3CCC3)C2)cn2ccccc12 ZINC000292274444 433532361 /nfs/dbraw/zinc/53/23/61/433532361.db2.gz ZXLDOKRXMLRUDY-QGZVFWFLSA-N 0 1 309.413 3.059 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(Br)cc1C(F)(F)F ZINC000356257270 433569191 /nfs/dbraw/zinc/56/91/91/433569191.db2.gz KPNAEQPNJFZZMY-SNVBAGLBSA-N 0 1 324.140 3.104 20 30 DGEDMN C=CCn1c(C)cc(C(=O)C[N@@H+](CCCO)CC(C)(C)C)c1C ZINC000362228421 433593244 /nfs/dbraw/zinc/59/32/44/433593244.db2.gz JTVFDICVSXFUFG-UHFFFAOYSA-N 0 1 320.477 3.204 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN(CCCO)CC(C)(C)C)c1C ZINC000362228421 433593251 /nfs/dbraw/zinc/59/32/51/433593251.db2.gz JTVFDICVSXFUFG-UHFFFAOYSA-N 0 1 320.477 3.204 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCN(Cc3ccccc3Cl)CC2)C1 ZINC000292791476 433595652 /nfs/dbraw/zinc/59/56/52/433595652.db2.gz LMCHDARIRWHXSK-GOEBONIOSA-N 0 1 303.837 3.150 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)Nc2cccc(C#N)c2)ccc1F ZINC000342314328 433612943 /nfs/dbraw/zinc/61/29/43/433612943.db2.gz ZBIDNWQUODQNFF-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN C=CCOc1ccc(CNCc2c(C)onc2CC)cc1OC ZINC000293053116 433614406 /nfs/dbraw/zinc/61/44/06/433614406.db2.gz UBXULFDRKFQYSC-UHFFFAOYSA-N 0 1 316.401 3.409 20 30 DGEDMN CC[C@]1(C)CCCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000362384233 433674534 /nfs/dbraw/zinc/67/45/34/433674534.db2.gz FCEHLKHZBDZRAO-IEBWSBKVSA-N 0 1 311.429 3.176 20 30 DGEDMN C=CCC1(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCC1 ZINC000456484137 433686173 /nfs/dbraw/zinc/68/61/73/433686173.db2.gz IJMJRVDVLHBXCA-UHFFFAOYSA-N 0 1 310.401 3.338 20 30 DGEDMN C=CCSc1ccccc1C(=O)N1CCN(C)CC[C@@H]1C ZINC000362397453 433690903 /nfs/dbraw/zinc/69/09/03/433690903.db2.gz QVYLLCUXUURAOG-AWEZNQCLSA-N 0 1 304.459 3.131 20 30 DGEDMN OCC1(c2ccccc2)CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000293367830 433713246 /nfs/dbraw/zinc/71/32/46/433713246.db2.gz OUPMGJJEQZWMQK-UHFFFAOYSA-N 0 1 323.411 3.203 20 30 DGEDMN C=C[C@@H]1CCCCN1C[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000449289247 433733417 /nfs/dbraw/zinc/73/34/17/433733417.db2.gz RKSIDJXNACIVCN-GDBMZVCRSA-N 0 1 307.459 3.234 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)c1nc(C2(N)CCCC2)no1 ZINC000414630420 433755815 /nfs/dbraw/zinc/75/58/15/433755815.db2.gz UEFZMOJAPYEYCY-UHFFFAOYSA-N 0 1 310.401 3.190 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCc2ccccc2F)CC1 ZINC000376594100 433784882 /nfs/dbraw/zinc/78/48/82/433784882.db2.gz CENIVTHDPMTERR-UHFFFAOYSA-N 0 1 309.388 3.321 20 30 DGEDMN Cc1nsc(NC[C@@H](c2ccco2)N2CCCC2)c1C#N ZINC000339970644 433874837 /nfs/dbraw/zinc/87/48/37/433874837.db2.gz KOIMIOBNLNDRHB-ZDUSSCGKSA-N 0 1 302.403 3.165 20 30 DGEDMN CC(C)c1nnc(-c2ccccc2NC(=O)CC(C)(C)C#N)[nH]1 ZINC000377075075 433846519 /nfs/dbraw/zinc/84/65/19/433846519.db2.gz WOZAYJMTVRAARI-UHFFFAOYSA-N 0 1 311.389 3.473 20 30 DGEDMN CC(C)(CCC#N)CNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000377170275 433851392 /nfs/dbraw/zinc/85/13/92/433851392.db2.gz SOQZKMFDBMSIDX-QGZVFWFLSA-N 0 1 313.445 3.097 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc(C#N)cc3)[nH]c21 ZINC000345380413 433907282 /nfs/dbraw/zinc/90/72/82/433907282.db2.gz QZHZXWTXRJHQEG-AWEZNQCLSA-N 0 1 300.321 3.233 20 30 DGEDMN N#Cc1cc(N2CCC[C@H](c3ncc(C(F)(F)F)[nH]3)C2)ccn1 ZINC000284958054 433907421 /nfs/dbraw/zinc/90/74/21/433907421.db2.gz JZRKCUHSCYHGAG-JTQLQIEISA-N 0 1 321.306 3.079 20 30 DGEDMN N#Cc1cc(N2CCC[C@@H](c3ncc(C(F)(F)F)[nH]3)C2)ccn1 ZINC000284958062 433907562 /nfs/dbraw/zinc/90/75/62/433907562.db2.gz JZRKCUHSCYHGAG-SNVBAGLBSA-N 0 1 321.306 3.079 20 30 DGEDMN Cn1cc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)cc1C#N ZINC000293889676 433912155 /nfs/dbraw/zinc/91/21/55/433912155.db2.gz DPSYUHVONZJFGV-QGZVFWFLSA-N 0 1 315.804 3.203 20 30 DGEDMN N#CCCn1cc(CN2CCC[C@H]2CF)c(-c2ccccc2)n1 ZINC000293889697 433912869 /nfs/dbraw/zinc/91/28/69/433912869.db2.gz DQBJJIUAUDVPPH-KRWDZBQOSA-N 0 1 312.392 3.398 20 30 DGEDMN CCOc1ccc(C#N)cc1NS(=O)(=O)c1ccccc1C ZINC000340089636 433913948 /nfs/dbraw/zinc/91/39/48/433913948.db2.gz HTYJKSGSFHNZDH-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN N#Cc1ccc(NCC(=O)Nc2cc(Cl)c(O)cc2F)cc1 ZINC000345614688 433919290 /nfs/dbraw/zinc/91/92/90/433919290.db2.gz WPMMQJRFBHNBEL-UHFFFAOYSA-N 0 1 319.723 3.107 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc([N+](=O)[O-])c(C)cc2C)n1 ZINC000422126950 433880536 /nfs/dbraw/zinc/88/05/36/433880536.db2.gz XSUORGOBZIRWIK-GFCCVEGCSA-N 0 1 315.354 3.467 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cc(Cl)ccc1O ZINC000436680156 434067533 /nfs/dbraw/zinc/06/75/33/434067533.db2.gz IUXSOTJFZOIULR-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN CC(C)(C)C1CCC(O)(CNCc2nc(C#N)cs2)CC1 ZINC000352844290 434059397 /nfs/dbraw/zinc/05/93/97/434059397.db2.gz FNFSHNDNUNDYOH-UHFFFAOYSA-N 0 1 307.463 3.072 20 30 DGEDMN C=C(Br)CN1C[C@H](c2ccccc2)[C@@H]2COCC[C@@H]21 ZINC000286100658 434163667 /nfs/dbraw/zinc/16/36/67/434163667.db2.gz TYXLNUKGTQKDLI-PMPSAXMXSA-N 0 1 322.246 3.400 20 30 DGEDMN N#Cc1sc(N2CCN(C3CCCCC3)CC2)nc1Cl ZINC000286241120 434207891 /nfs/dbraw/zinc/20/78/91/434207891.db2.gz OQWRENDFXYQBFW-UHFFFAOYSA-N 0 1 310.854 3.123 20 30 DGEDMN C=C(C)CN(Cc1cc(C(=O)OC)n(C)c1)Cc1ccccc1 ZINC000348349559 434291658 /nfs/dbraw/zinc/29/16/58/434291658.db2.gz BOENVHIKWBZCIL-UHFFFAOYSA-N 0 1 312.413 3.390 20 30 DGEDMN C(#Cc1ccccc1)C[N@H+]1CC[C@@H](n2ncc3ccccc32)C1 ZINC000365256572 434295442 /nfs/dbraw/zinc/29/54/42/434295442.db2.gz OEBXIRXGRYICGX-LJQANCHMSA-N 0 1 301.393 3.335 20 30 DGEDMN C(#Cc1ccccc1)CN1CC[C@@H](n2ncc3ccccc32)C1 ZINC000365256572 434295455 /nfs/dbraw/zinc/29/54/55/434295455.db2.gz OEBXIRXGRYICGX-LJQANCHMSA-N 0 1 301.393 3.335 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)Nc2ccc3nc(N(C)C)[nH]c3c2)CCC1=O ZINC000328743534 434303924 /nfs/dbraw/zinc/30/39/24/434303924.db2.gz JZYUKHZNLWVHBW-GHMZBOCLSA-N 0 1 314.389 3.222 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@H]3c3ccccc3)C2)n[nH]1 ZINC000328760767 434308663 /nfs/dbraw/zinc/30/86/63/434308663.db2.gz XMLRIFMDMMGYCW-SOLBZPMBSA-N 0 1 324.428 3.368 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@H]3c3ccccc3)C2)[nH]n1 ZINC000328760767 434308673 /nfs/dbraw/zinc/30/86/73/434308673.db2.gz XMLRIFMDMMGYCW-SOLBZPMBSA-N 0 1 324.428 3.368 20 30 DGEDMN CC(C)c1nc([C@@H](C)NC(=O)N[C@H]2CC[C@@H](C)C[C@@H]2C)n[nH]1 ZINC000328948465 434333938 /nfs/dbraw/zinc/33/39/38/434333938.db2.gz WDQPPWLTZPARKV-XQHKEYJVSA-N 0 1 307.442 3.317 20 30 DGEDMN CCC1(CC)[C@H](NC(=O)c2ccc3cncn3c2)C[C@@H]1OC ZINC000328795563 434312470 /nfs/dbraw/zinc/31/24/70/434312470.db2.gz CJSLMSJONAHPGM-CABCVRRESA-N 0 1 301.390 3.233 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)n[nH]1 ZINC000328911776 434329453 /nfs/dbraw/zinc/32/94/53/434329453.db2.gz GTCIHVNFMXKEEA-IGQOVBAYSA-N 0 1 319.453 3.462 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)[C@@H]2SCCc3ccccc32)n[nH]1 ZINC000328951639 434332576 /nfs/dbraw/zinc/33/25/76/434332576.db2.gz XHULAAFVVWWXJR-IINYFYTJSA-N 0 1 316.430 3.415 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)NC2CCC(F)(F)CC2)n[nH]1 ZINC000328938875 434332907 /nfs/dbraw/zinc/33/29/07/434332907.db2.gz RTUAHOCZLXQJRM-VIFPVBQESA-N 0 1 315.368 3.071 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)Nc1cc(Cl)c(O)cc1F ZINC000286595975 434278358 /nfs/dbraw/zinc/27/83/58/434278358.db2.gz BATXCSAHULOZJV-AWEZNQCLSA-N 0 1 301.745 3.352 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN[C@@H]1CCC[C@H]1OC(F)F ZINC000348519302 434365398 /nfs/dbraw/zinc/36/53/98/434365398.db2.gz BUAUFALUQWQHHJ-CHWSQXEVSA-N 0 1 300.736 3.462 20 30 DGEDMN CC(C)[C@@H]1CCN1C([O-])=[NH+][C@H]1CCc2nc(C(C)(C)C)cn2C1 ZINC000329215535 434374549 /nfs/dbraw/zinc/37/45/49/434374549.db2.gz MUWRLIGAAWEZFK-KBPBESRZSA-N 0 1 318.465 3.140 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CC34CCCCC4)C2)c1 ZINC000329617563 434445836 /nfs/dbraw/zinc/44/58/36/434445836.db2.gz QEFRTDZCJUQXEX-HUUCEWRRSA-N 0 1 302.422 3.075 20 30 DGEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCCc2cn(C3CCCC3)nc21 ZINC000329348163 434399354 /nfs/dbraw/zinc/39/93/54/434399354.db2.gz SCMGDTQONSXSFZ-JKSUJKDBSA-N 0 1 316.449 3.426 20 30 DGEDMN C=C(C)COCCNCc1cccc(Br)c1F ZINC000394977147 434410815 /nfs/dbraw/zinc/41/08/15/434410815.db2.gz POWCVKKRKWZFJQ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@H]1CCSC1 ZINC000287620064 434413140 /nfs/dbraw/zinc/41/31/40/434413140.db2.gz FDHONKLTVPGJSA-ZDUSSCGKSA-N 0 1 311.248 3.258 20 30 DGEDMN [O-]C(=[NH+]C[C@@H]1CCc2ccccc21)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000329492410 434420858 /nfs/dbraw/zinc/42/08/58/434420858.db2.gz WCOBOVVVAWHCOU-HOTGVXAUSA-N 0 1 324.428 3.233 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)N(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000329536942 434428089 /nfs/dbraw/zinc/42/80/89/434428089.db2.gz BHODCAPGMFVVJT-QIIPPGSGSA-N 0 1 324.428 3.264 20 30 DGEDMN CC(C)(C)c1csc(NC(=O)N2CC[C@@H](n3cccn3)C2)n1 ZINC000329602625 434439685 /nfs/dbraw/zinc/43/96/85/434439685.db2.gz KYUGPRMYLLYOSV-LLVKDONJSA-N 0 1 319.434 3.130 20 30 DGEDMN CC1(C[NH+]=C([O-])N2CCC[C@@H]2Cn2ccnc2)CCCCC1 ZINC000329728116 434466190 /nfs/dbraw/zinc/46/61/90/434466190.db2.gz HCCSDYGKDLEUHK-OAHLLOKOSA-N 0 1 304.438 3.232 20 30 DGEDMN [O-]C(N[C@@H]1CCCCC12CCCC2)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329825634 434486876 /nfs/dbraw/zinc/48/68/76/434486876.db2.gz QYAUTMACYZADNP-HUUCEWRRSA-N 0 1 316.449 3.205 20 30 DGEDMN CC(C)(C)C1CCC(C[NH+]=C([O-])N2CCn3cncc3C2)CC1 ZINC000329652901 434452783 /nfs/dbraw/zinc/45/27/83/434452783.db2.gz LBCDMRQCVWUXFG-UHFFFAOYSA-N 0 1 318.465 3.465 20 30 DGEDMN C=CCCC[C@H](C)N1C[C@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000340955772 434455184 /nfs/dbraw/zinc/45/51/84/434455184.db2.gz ARJJEGSZCIKESL-DOXZYTNZSA-N 0 1 309.413 3.077 20 30 DGEDMN C[C@@H](NCc1ccc(F)c(C#N)c1)c1ccc([S@@](C)=O)cc1 ZINC000360207196 434547221 /nfs/dbraw/zinc/54/72/21/434547221.db2.gz FPTIERPJVRADTB-VERVWZFWSA-N 0 1 316.401 3.286 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC[C@H]2C2CCOCC2)cn2ccccc12 ZINC000348952248 434563058 /nfs/dbraw/zinc/56/30/58/434563058.db2.gz UYWLEHFDILQKTA-SFHVURJKSA-N 0 1 309.413 3.202 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3ccnc3)CC2)c(Cl)c1 ZINC000439421023 434601073 /nfs/dbraw/zinc/60/10/73/434601073.db2.gz KHJJWSYBPJVQEG-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C[C@@H](CC(=O)Nc1cccc(Cl)c1)NCC1(C#N)CCC1 ZINC000418145930 434604240 /nfs/dbraw/zinc/60/42/40/434604240.db2.gz ZODOVVAAZIAVGX-LBPRGKRZSA-N 0 1 305.809 3.341 20 30 DGEDMN C[C@@H]1CCN(Cc2ccc(C#N)cc2Cl)C[C@@H]1n1ccnc1 ZINC000439455753 434606351 /nfs/dbraw/zinc/60/63/51/434606351.db2.gz WCRRCZNTYZCHTC-DYVFJYSZSA-N 0 1 314.820 3.491 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2cccc(C#N)c2F)C1 ZINC000459562744 434609820 /nfs/dbraw/zinc/60/98/20/434609820.db2.gz OYIIVQLBSFZKOJ-GOSISDBHSA-N 0 1 318.392 3.251 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)c(Cl)c1)C1CC(C)(C)C1 ZINC000459747613 434694456 /nfs/dbraw/zinc/69/44/56/434694456.db2.gz WDJFTEIEWLUOFI-UHFFFAOYSA-N 0 1 305.809 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000410642755 434665046 /nfs/dbraw/zinc/66/50/46/434665046.db2.gz ZAAAXRBFRHLYIX-JKSUJKDBSA-N 0 1 300.446 3.320 20 30 DGEDMN COc1ccc(Br)c(CNCC(C)(C)CC#N)c1 ZINC000459728243 434679329 /nfs/dbraw/zinc/67/93/29/434679329.db2.gz IKCYUCKYVSBSPX-UHFFFAOYSA-N 0 1 311.223 3.487 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC(OC2CCC2)CC1 ZINC000419305417 434768633 /nfs/dbraw/zinc/76/86/33/434768633.db2.gz QZZXLVHXSRYMJD-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000424633953 434764777 /nfs/dbraw/zinc/76/47/77/434764777.db2.gz DWBYNSXZSTUXQR-HNNXBMFYSA-N 0 1 304.434 3.443 20 30 DGEDMN CC(C)[C@@H]1CCCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000444764995 434788793 /nfs/dbraw/zinc/78/87/93/434788793.db2.gz CZVXLHWITQQENL-HUUCEWRRSA-N 0 1 317.458 3.093 20 30 DGEDMN Cc1cc([C@H](C)N[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)c(C)o1 ZINC000411523259 434794421 /nfs/dbraw/zinc/79/44/21/434794421.db2.gz YCLXDHMHXLRWHM-SCLBCKFNSA-N 0 1 323.396 3.224 20 30 DGEDMN CC[C@@]1(C)COCCN1Cc1cccc(OCCCC#N)c1 ZINC000361167276 434819409 /nfs/dbraw/zinc/81/94/09/434819409.db2.gz PMOCAHOJTRDYGF-SFHVURJKSA-N 0 1 302.418 3.370 20 30 DGEDMN CCN(CC(=O)NC1(C#N)CCCCC1)Cc1ccc(C)cc1 ZINC000521221784 434876663 /nfs/dbraw/zinc/87/66/63/434876663.db2.gz WUSFEJMFDYYOCP-UHFFFAOYSA-N 0 1 313.445 3.160 20 30 DGEDMN C=CCNC(=O)CN(CC)C(c1ccccc1)c1ccccc1 ZINC000553085482 434878971 /nfs/dbraw/zinc/87/89/71/434878971.db2.gz LPQMTPYVJMYRPR-UHFFFAOYSA-N 0 1 308.425 3.400 20 30 DGEDMN CN1CCN(c2cccc(F)c2C#N)C[C@@H]1Cc1ccccc1 ZINC000553345578 434887527 /nfs/dbraw/zinc/88/75/27/434887527.db2.gz MYZNHFOYGXBOJH-INIZCTEOSA-N 0 1 309.388 3.060 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CC)C[C@H]1C)c1ccccc1 ZINC000553316027 434887887 /nfs/dbraw/zinc/88/78/87/434887887.db2.gz FOOFSZIVUZLWMI-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN CC(C)c1cc(N[C@H](C)c2ccc(C#N)cc2)nc(N(C)C)n1 ZINC000462089829 434901282 /nfs/dbraw/zinc/90/12/82/434901282.db2.gz IWLUPAHNLBQXDL-CYBMUJFWSA-N 0 1 309.417 3.711 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)CCc1ccc(Cl)cc1 ZINC000492311317 434956601 /nfs/dbraw/zinc/95/66/01/434956601.db2.gz TVQHKSCHNUALOW-UHFFFAOYSA-N 0 1 308.853 3.239 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)CCc1ccc(Cl)cc1 ZINC000492348515 434965329 /nfs/dbraw/zinc/96/53/29/434965329.db2.gz CHAIJMWJJCEVNX-OAHLLOKOSA-N 0 1 320.864 3.403 20 30 DGEDMN N#Cc1ccccc1OCCN1CCC=C(c2ccccn2)C1 ZINC000574355468 434970389 /nfs/dbraw/zinc/97/03/89/434970389.db2.gz VAQQZAFAXGWXJE-UHFFFAOYSA-N 0 1 305.381 3.121 20 30 DGEDMN C=CC1CCN(C(=O)[C@@H](N)c2ccc(C(F)(F)F)cc2)CC1 ZINC000637994976 434926475 /nfs/dbraw/zinc/92/64/75/434926475.db2.gz ZQILQQLKEKULQO-AWEZNQCLSA-N 0 1 312.335 3.130 20 30 DGEDMN C=CCC1(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CCCCC1 ZINC000573898104 434933448 /nfs/dbraw/zinc/93/34/48/434933448.db2.gz MRGKNQKKSXDFKQ-CQSZACIVSA-N 0 1 302.422 3.365 20 30 DGEDMN C=C(Cl)COc1ccc([C@H](C)NCc2cnnn2CC)cc1 ZINC000527919692 435016668 /nfs/dbraw/zinc/01/66/68/435016668.db2.gz JKDBZYHRLSRGHB-ZDUSSCGKSA-N 0 1 320.824 3.280 20 30 DGEDMN COc1ccc([C@H](NCc2cc(C#N)ccc2OC)C2CC2)cn1 ZINC000528849535 435029756 /nfs/dbraw/zinc/02/97/56/435029756.db2.gz GCGCHMINDUFSCX-LJQANCHMSA-N 0 1 323.396 3.211 20 30 DGEDMN N#CCCN(C(=O)c1ccc2cncn2c1)c1ccc(F)cc1 ZINC000555881769 434989766 /nfs/dbraw/zinc/98/97/66/434989766.db2.gz OVHYPFGBLWNWAK-UHFFFAOYSA-N 0 1 308.316 3.034 20 30 DGEDMN CN(C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1)CC(C)(C)C#N ZINC000495622260 435204090 /nfs/dbraw/zinc/20/40/90/435204090.db2.gz XAOLVFZCJHBWLA-AWEZNQCLSA-N 0 1 309.454 3.115 20 30 DGEDMN Cc1cc([C@H]2CCCN(C(=O)C=Cc3cccc(C#N)c3)C2)n[nH]1 ZINC000493394478 435163109 /nfs/dbraw/zinc/16/31/09/435163109.db2.gz COXOLPDCGLXJCB-QWPQOLDESA-N 0 1 320.396 3.009 20 30 DGEDMN C[C@@H](CN(C)CC(=O)Nc1ccc(C#N)cc1)c1ccccc1 ZINC000532517824 435172926 /nfs/dbraw/zinc/17/29/26/435172926.db2.gz IUYVCALDXPCZQS-HNNXBMFYSA-N 0 1 307.397 3.232 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)Cc1ccc(=O)[nH]c1 ZINC000639162177 435177973 /nfs/dbraw/zinc/17/79/73/435177973.db2.gz MSPYDGCBCDJCTO-UHFFFAOYSA-N 0 1 300.406 3.143 20 30 DGEDMN CC(C)CN(C(=O)CN1CCC(C)(C)C1)c1ccc(C#N)cc1 ZINC000561420115 435215469 /nfs/dbraw/zinc/21/54/69/435215469.db2.gz PQCIOFMIZCNEHH-UHFFFAOYSA-N 0 1 313.445 3.279 20 30 DGEDMN CCN(CCC#N)C[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000496184076 435209992 /nfs/dbraw/zinc/20/99/92/435209992.db2.gz BEZGSTRGSYVMEH-CABCVRRESA-N 0 1 309.454 3.258 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000564712706 435273061 /nfs/dbraw/zinc/27/30/61/435273061.db2.gz GNIYNYSKAAGJTE-SJORKVTESA-N 0 1 324.428 3.274 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCNC[C@@H]1c1ccc(CC)cc1 ZINC000565151159 435278014 /nfs/dbraw/zinc/27/80/14/435278014.db2.gz JGZUCFNYTGZWQC-CRAIPNDOSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@@H](C)N(CC)C[C@H]1C)c1ccccc1 ZINC000498569814 435302823 /nfs/dbraw/zinc/30/28/23/435302823.db2.gz KPILNVRBQIPZOH-BRWVUGGUSA-N 0 1 300.446 3.287 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@H](C)N(CC)C[C@@H]1C)c1ccccc1 ZINC000498569817 435302877 /nfs/dbraw/zinc/30/28/77/435302877.db2.gz KPILNVRBQIPZOH-YESZJQIVSA-N 0 1 300.446 3.287 20 30 DGEDMN CCN(Cc1cnc2c(C#N)cnn2c1)[C@H](C)c1ccc(F)cc1 ZINC000567472041 435318912 /nfs/dbraw/zinc/31/89/12/435318912.db2.gz LPQRQBORWRQBOV-CYBMUJFWSA-N 0 1 323.375 3.323 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@H]1c1ccccc1 ZINC000513275301 435382724 /nfs/dbraw/zinc/38/27/24/435382724.db2.gz CZNBLQMXKZGDKA-HHXXYDBFSA-N 0 1 313.445 3.124 20 30 DGEDMN Cn1cncc1CCCN1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000514869932 435446966 /nfs/dbraw/zinc/44/69/66/435446966.db2.gz DWNYDULDKLYHKF-MOPGFXCFSA-N 0 1 308.429 3.124 20 30 DGEDMN C[C@H]1CCCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000481600348 435434291 /nfs/dbraw/zinc/43/42/91/435434291.db2.gz ZAFRIHKENYDXGS-LBPRGKRZSA-N 0 1 305.809 3.272 20 30 DGEDMN C=CCC1(C(=O)N2CCC(c3nc[nH]n3)CC2)CCCCC1 ZINC000649092986 435512396 /nfs/dbraw/zinc/51/23/96/435512396.db2.gz BGJPXRYLYZINTK-UHFFFAOYSA-N 0 1 302.422 3.037 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccccc1Oc1ccccc1 ZINC000538154691 435484656 /nfs/dbraw/zinc/48/46/56/435484656.db2.gz GIRDSVYANIBOEZ-OAHLLOKOSA-N 0 1 310.397 3.432 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2ccccc2)[C@H](CCC)C1 ZINC000538337166 435495065 /nfs/dbraw/zinc/49/50/65/435495065.db2.gz IPZUCDDEVGVEPV-GOSISDBHSA-N 0 1 300.446 3.466 20 30 DGEDMN Cn1cc([C@H]2CN(Cc3cc(C#N)ccc3F)CC2(C)C)cn1 ZINC000515754250 435501136 /nfs/dbraw/zinc/50/11/36/435501136.db2.gz HKDFJZBYOLKOKU-MRXNPFEDSA-N 0 1 312.392 3.056 20 30 DGEDMN CCN(CC)[C@@H](C(=O)NCC#Cc1ccccc1)c1ccccc1 ZINC000517097862 435575640 /nfs/dbraw/zinc/57/56/40/435575640.db2.gz VJRULOSSOOBFMG-HXUWFJFHSA-N 0 1 320.436 3.237 20 30 DGEDMN CC(C)N(Cc1ccccc1)C(=O)CN1CCC(CC#N)CC1 ZINC000541019175 435632461 /nfs/dbraw/zinc/63/24/61/435632461.db2.gz AGEMNLKWTKWCCN-UHFFFAOYSA-N 0 1 313.445 3.049 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc3nc(C)n(C)c3c2)n1 ZINC000570259648 435602178 /nfs/dbraw/zinc/60/21/78/435602178.db2.gz LGYGDDWCOYGXEV-LBPRGKRZSA-N 0 1 310.382 3.137 20 30 DGEDMN CCCN(Cc1ccccc1)Cc1cnc2c(C#N)cnn2c1 ZINC000570721876 435643544 /nfs/dbraw/zinc/64/35/44/435643544.db2.gz QLQLDJYDPHYNCU-UHFFFAOYSA-N 0 1 305.385 3.013 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cccc2cc[nH]c21 ZINC000548477806 435696175 /nfs/dbraw/zinc/69/61/75/435696175.db2.gz QMYYGDVAZUSTAK-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN Cc1ccc(CN(CC#Cc2ccc(Cl)cc2)CCO)cc1 ZINC000519653834 435697578 /nfs/dbraw/zinc/69/75/78/435697578.db2.gz AHVZZBLRGQTKHC-UHFFFAOYSA-N 0 1 313.828 3.494 20 30 DGEDMN N#CC(C(=O)c1cc2n(n1)CCCC2)c1ccc2ccccc2n1 ZINC000651584837 435761037 /nfs/dbraw/zinc/76/10/37/435761037.db2.gz PTBYQNPXKXSTQY-HNNXBMFYSA-N 0 1 316.364 3.258 20 30 DGEDMN C=CCN1CC[C@H](N2CCCC[C@@H]2Cc2ccccc2F)C1=O ZINC000649794115 435707157 /nfs/dbraw/zinc/70/71/57/435707157.db2.gz AMMABVQAAYURRY-AEFFLSMTSA-N 0 1 316.420 3.010 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(C)(C)C)C[C@@H]2C)CC1 ZINC000491264925 435723057 /nfs/dbraw/zinc/72/30/57/435723057.db2.gz IUBPOAOQNQBBIJ-DOTOQJQBSA-N 0 1 304.478 3.005 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)[C@@]1(C#N)CC12CCCC2 ZINC000572091119 435779813 /nfs/dbraw/zinc/77/98/13/435779813.db2.gz UIMGKWWMDLAJCI-SFHVURJKSA-N 0 1 314.433 3.140 20 30 DGEDMN C=CC[C@H]1CC[C@H]([NH2+]Cc2nnc(COc3ccccc3)[n-]2)C1 ZINC000651764621 435821101 /nfs/dbraw/zinc/82/11/01/435821101.db2.gz HFGOOWCPKCPEQS-GJZGRUSLSA-N 0 1 312.417 3.218 20 30 DGEDMN C=CCN1CC[C@@H]([N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)C1=O ZINC000646119126 435787039 /nfs/dbraw/zinc/78/70/39/435787039.db2.gz QJUWSEUJTWPIHN-MSOLQXFVSA-N 0 1 308.425 3.167 20 30 DGEDMN C=CCN1CC[C@@H](N2CC3(CC=CC3)[C@@H]2c2ccccc2)C1=O ZINC000646119126 435787045 /nfs/dbraw/zinc/78/70/45/435787045.db2.gz QJUWSEUJTWPIHN-MSOLQXFVSA-N 0 1 308.425 3.167 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NCc2nc3ccc(C)cc3[nH]2)C1 ZINC000660781477 435892020 /nfs/dbraw/zinc/89/20/20/435892020.db2.gz BDXBBLAEJIQYKN-AWEZNQCLSA-N 0 1 312.417 3.369 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NC[C@@H]2CCCC[N@H+]2C2CC2)C1 ZINC000661072281 435958370 /nfs/dbraw/zinc/95/83/70/435958370.db2.gz WXXFKZADIBVOSQ-RDJZCZTQSA-N 0 1 305.466 3.001 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000661378936 436048274 /nfs/dbraw/zinc/04/82/74/436048274.db2.gz OUAPTBWEBKZOCT-UONOGXRCSA-N 0 1 316.401 3.030 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)N(CC(F)(F)F)C(C)C)C1 ZINC000661381144 436050002 /nfs/dbraw/zinc/05/00/02/436050002.db2.gz WEPUKJGWEJEZIB-ZDUSSCGKSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N(C)Cc2cnc[nH]2)c1 ZINC000665956685 436106827 /nfs/dbraw/zinc/10/68/27/436106827.db2.gz WCCVFJHBGOLSAF-UHFFFAOYSA-N 0 1 300.362 3.029 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](C)Oc2cccc(Cl)c2)nn1 ZINC000657378014 436165165 /nfs/dbraw/zinc/16/51/65/436165165.db2.gz TVHHQYLITMEZCV-CYBMUJFWSA-N 0 1 320.824 3.065 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@H]2CCc2ccccc2)nn1 ZINC000653498704 436185842 /nfs/dbraw/zinc/18/58/42/436185842.db2.gz IYTBREAGDRDOGR-IBGZPJMESA-N 0 1 310.445 3.451 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCC1(c2ccccc2)CC1 ZINC000662079168 436266747 /nfs/dbraw/zinc/26/67/47/436266747.db2.gz HUEBNHBXYURSKE-HDICACEKSA-N 0 1 310.441 3.017 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H](CC)c1ccccc1F ZINC000653792753 436266995 /nfs/dbraw/zinc/26/69/95/436266995.db2.gz WMGPYPADIBUILI-WMLDXEAASA-N 0 1 304.409 3.456 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1ccn(C(C)(C)C)n1 ZINC000654968811 436478679 /nfs/dbraw/zinc/47/86/79/436478679.db2.gz MHZWLGZMOVBALK-LBPRGKRZSA-N 0 1 321.384 3.015 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000663626448 436483416 /nfs/dbraw/zinc/48/34/16/436483416.db2.gz AIEHICXOFHEKDJ-AWEZNQCLSA-N 0 1 309.479 3.395 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@@H](C(=O)[O-])c1cc(OC)cc(OC)c1 ZINC000659801247 436529507 /nfs/dbraw/zinc/52/95/07/436529507.db2.gz CHAWTKHPXQMKJL-JKIFEVAISA-N 0 1 317.385 3.013 20 30 DGEDMN C=CCOCCCNc1nccc2cc(OC)c(OC)cc21 ZINC000664385267 436593310 /nfs/dbraw/zinc/59/33/10/436593310.db2.gz SXCUGPRXTDGUDR-UHFFFAOYSA-N 0 1 302.374 3.257 20 30 DGEDMN Cc1cc(C)n(-c2ccc(CNCc3cc(C#N)cs3)cn2)n1 ZINC000177686694 312782700 /nfs/dbraw/zinc/78/27/00/312782700.db2.gz GDMOXAMPYKNZQZ-UHFFFAOYSA-N 0 1 323.425 3.107 20 30 DGEDMN COC(=O)[C@@H](Cc1ccccc1)[C@H](C)NCc1ccccc1C#N ZINC000551718149 314571725 /nfs/dbraw/zinc/57/17/25/314571725.db2.gz QIYABRUDYBUIIZ-KXBFYZLASA-N 0 1 322.408 3.068 20 30 DGEDMN Cc1cc(C)cc([C@@H](C)NCc2cnc3c(C#N)cnn3c2)c1 ZINC000558603686 314852025 /nfs/dbraw/zinc/85/20/25/314852025.db2.gz RNWOIMTZSPLQGO-CQSZACIVSA-N 0 1 305.385 3.069 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)[C@@H]2CCc3[nH]c(C(C)C)nc3C2)c1 ZINC000562635723 315038383 /nfs/dbraw/zinc/03/83/83/315038383.db2.gz HPRRZWOEIIDKCW-CQSZACIVSA-N 0 1 322.412 3.457 20 30 DGEDMN Cn1cc([C@@H]2CN(Cc3cccc(F)c3C#N)CC2(C)C)cn1 ZINC000566426817 315344538 /nfs/dbraw/zinc/34/45/38/315344538.db2.gz YARSDCPNODNABX-INIZCTEOSA-N 0 1 312.392 3.056 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccc(C3CCC3)cc2)cn1 ZINC000575746623 316058429 /nfs/dbraw/zinc/05/84/29/316058429.db2.gz IRMYWASDGIPDLT-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN CCc1ccc(C[N@H+]2CC[C@H](Oc3ccc(C#N)cn3)C2)s1 ZINC000577929549 316284488 /nfs/dbraw/zinc/28/44/88/316284488.db2.gz JREDFZGDQLDEHQ-AWEZNQCLSA-N 0 1 313.426 3.230 20 30 DGEDMN CCCN(CC(=O)Nc1cccc(C#N)c1)Cc1ccccc1 ZINC000021918393 323209370 /nfs/dbraw/zinc/20/93/70/323209370.db2.gz MFQJINPDMGQHCQ-UHFFFAOYSA-N 0 1 307.397 3.409 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(Cl)c(C#N)c2)c(C)o1 ZINC000182775316 323312222 /nfs/dbraw/zinc/31/22/22/323312222.db2.gz XOQOPACDOOLSNS-UHFFFAOYSA-N 0 1 310.762 3.222 20 30 DGEDMN COc1cc(C(=O)Nc2cc(C(C)(C)C)sc2C#N)on1 ZINC000184083700 323354243 /nfs/dbraw/zinc/35/42/43/323354243.db2.gz WVMNUHSNBKJDSJ-UHFFFAOYSA-N 0 1 305.359 3.166 20 30 DGEDMN Cc1csc([C@H](C)NCCC(=O)Nc2sccc2C#N)n1 ZINC000184210425 323358655 /nfs/dbraw/zinc/35/86/55/323358655.db2.gz BVZASICFHZJVLS-JTQLQIEISA-N 0 1 320.443 3.064 20 30 DGEDMN CCN(C(=O)[C@@H](C)Cc1cnc[nH]1)[C@H](C)c1ccc(C#N)cc1 ZINC000581080675 324071844 /nfs/dbraw/zinc/07/18/44/324071844.db2.gz UBVVEWUPLIAHEV-UONOGXRCSA-N 0 1 310.401 3.070 20 30 DGEDMN N#Cc1ccc(N2CC[C@H](N3CCC4(CCCC4)CC3)C2=O)cc1 ZINC000582448245 324231100 /nfs/dbraw/zinc/23/11/00/324231100.db2.gz XMBLMNINEDVCJN-SFHVURJKSA-N 0 1 323.440 3.320 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1ccc(C#N)c(C)c1 ZINC000583035228 324305911 /nfs/dbraw/zinc/30/59/11/324305911.db2.gz NUNZRKYEHBSSOY-UHFFFAOYSA-N 0 1 315.398 3.367 20 30 DGEDMN C=C(C)Cn1c(C2CCCC2)nnc1N1CCN(C(C)C)CC1 ZINC000558996977 331964823 /nfs/dbraw/zinc/96/48/23/331964823.db2.gz ZTQWHPSQUOBEJH-UHFFFAOYSA-N 0 1 317.481 3.042 20 30 DGEDMN Cc1ccc(Cl)cc1NC(=O)C[C@@H](C)NCC1(C#N)CC1 ZINC000562392104 332587830 /nfs/dbraw/zinc/58/78/30/332587830.db2.gz LWRJSTWYFSMJAA-GFCCVEGCSA-N 0 1 305.809 3.259 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000170985419 333043505 /nfs/dbraw/zinc/04/35/05/333043505.db2.gz OYMBIQSTMORHLD-ZDUSSCGKSA-N 0 1 305.447 3.361 20 30 DGEDMN C[C@H](c1nccs1)N1CCN(CCCC(C)(C)C#N)CC1 ZINC000184598535 333198555 /nfs/dbraw/zinc/19/85/55/333198555.db2.gz FNCMSZHBVRMFID-CQSZACIVSA-N 0 1 306.479 3.152 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000188389761 333365238 /nfs/dbraw/zinc/36/52/38/333365238.db2.gz ADDFHZNACYUWFY-SECBINFHSA-N 0 1 322.821 3.413 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc4c(c3)CCO4)[nH]c21 ZINC000522828041 333391260 /nfs/dbraw/zinc/39/12/60/333391260.db2.gz OCGOJFQOSFIDOT-CQSZACIVSA-N 0 1 317.348 3.296 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](C)c2ccc(C(F)(F)F)cc2)C1=O ZINC000337185793 333442781 /nfs/dbraw/zinc/44/27/81/333442781.db2.gz OZGBRCWCYYSQBF-SMDDNHRTSA-N 0 1 312.335 3.143 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2n[nH]c3c2cccc3OC)c1 ZINC000345144789 335301488 /nfs/dbraw/zinc/30/14/88/335301488.db2.gz GEBGFJSSKZVBEI-UHFFFAOYSA-N 0 1 323.352 3.389 20 30 DGEDMN C=CCCCN(CC(=O)NC)Cc1cccc(C(F)(F)F)c1 ZINC000532704344 336267315 /nfs/dbraw/zinc/26/73/15/336267315.db2.gz NZALDDQOXDWTIC-UHFFFAOYSA-N 0 1 314.351 3.220 20 30 DGEDMN N#CCCC1CCN(Cc2cc(F)cc3c2OCOC3)CC1 ZINC000572118355 337591528 /nfs/dbraw/zinc/59/15/28/337591528.db2.gz OKVPQAYYHSSIFH-UHFFFAOYSA-N 0 1 304.365 3.208 20 30 DGEDMN CC#CCCNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000499210778 340032562 /nfs/dbraw/zinc/03/25/62/340032562.db2.gz GYMZIPFFYGXJHW-UHFFFAOYSA-N 0 1 301.371 3.221 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](c2ccccc2F)C2CCCC2)C1=O ZINC000499605476 340040827 /nfs/dbraw/zinc/04/08/27/340040827.db2.gz JAOLQQADTCHVNL-QZTJIDSGSA-N 0 1 316.420 3.434 20 30 DGEDMN Cc1ccc(NC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000505437506 340168404 /nfs/dbraw/zinc/16/84/04/340168404.db2.gz CEPHWPQJDRFUMD-AWEZNQCLSA-N 0 1 304.353 3.426 20 30 DGEDMN Cn1ccnc1[C@@H](N[C@H]1CC[C@@H](CC#N)C1)c1cccc(F)c1 ZINC000517216331 340465797 /nfs/dbraw/zinc/46/57/97/340465797.db2.gz LKLXFHKQIFSDNO-JQFCIGGWSA-N 0 1 312.392 3.321 20 30 DGEDMN N#CCc1ccccc1NS(=O)(=O)c1cc(F)ccc1Cl ZINC000193358272 340576652 /nfs/dbraw/zinc/57/66/52/340576652.db2.gz FVNHKXUFFSZXAX-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000538227281 340814132 /nfs/dbraw/zinc/81/41/32/340814132.db2.gz MHRLIANIZUQFOP-GOSISDBHSA-N 0 1 322.399 3.452 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N1CCC(CC#N)CC1)c1ccccc1 ZINC000542904476 341025797 /nfs/dbraw/zinc/02/57/97/341025797.db2.gz UVNKJNNUPHFODG-GOSISDBHSA-N 0 1 313.445 3.222 20 30 DGEDMN COc1cc2c(cc1CN1CCC(CC#N)CC1)O[C@H](C)C2 ZINC000543779050 341058411 /nfs/dbraw/zinc/05/84/11/341058411.db2.gz BLYAXLRWDSOARL-CYBMUJFWSA-N 0 1 300.402 3.144 20 30 DGEDMN CC[C@H](C(=O)Nc1ccc(OC)cc1)N1CCC(CC#N)CC1 ZINC000543809033 341060067 /nfs/dbraw/zinc/06/00/67/341060067.db2.gz FCAOAKFGQRQSTL-QGZVFWFLSA-N 0 1 315.417 3.038 20 30 DGEDMN C=CCNC(=O)CN(Cc1ccc(OCC=C)cc1)C(C)(C)C ZINC000131830283 341112369 /nfs/dbraw/zinc/11/23/69/341112369.db2.gz PCXARYIEWVTHQN-UHFFFAOYSA-N 0 1 316.445 3.154 20 30 DGEDMN C=CCCN(CC(N)=O)Cc1ccc(OCc2ccccc2)cc1 ZINC000132602621 341130512 /nfs/dbraw/zinc/13/05/12/341130512.db2.gz PPJKLOCJWSVYKY-UHFFFAOYSA-N 0 1 324.424 3.129 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN(C(C)C)C2CC2)c1C ZINC000076674889 341212103 /nfs/dbraw/zinc/21/21/03/341212103.db2.gz LCVRSKJOEOLYOZ-UHFFFAOYSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCN(C)C(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000547997989 341242693 /nfs/dbraw/zinc/24/26/93/341242693.db2.gz HSNYCGGUYBLFIJ-MRXNPFEDSA-N 0 1 321.852 3.304 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1CCN(CCc2ccccc2)CC1 ZINC000080907770 341276597 /nfs/dbraw/zinc/27/65/97/341276597.db2.gz MXFVIJIUEBVRJA-FQEVSTJZSA-N 0 1 305.425 3.112 20 30 DGEDMN CC(C)(CNC[C@H](C#N)CCC#N)Oc1cc(F)cc(F)c1 ZINC000552017457 341361055 /nfs/dbraw/zinc/36/10/55/341361055.db2.gz HAUMQOJIMDAKFP-LBPRGKRZSA-N 0 1 307.344 3.155 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@H](c2cccc(F)c2)C1 ZINC000552514583 341397105 /nfs/dbraw/zinc/39/71/05/341397105.db2.gz HKUPTUJIENTRDD-WBVHZDCISA-N 0 1 318.436 3.428 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000577587404 341920916 /nfs/dbraw/zinc/92/09/16/341920916.db2.gz JJTAUVULMLYQBM-CEXWTWQISA-N 0 1 322.408 3.300 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCN(c2ccccc2O)CC1 ZINC000118390405 500932976 /nfs/dbraw/zinc/93/29/76/500932976.db2.gz UQRMKPVJLDNHLV-OAHLLOKOSA-N 0 1 307.397 3.147 20 30 DGEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)c2ccccc2)CC1(C)C ZINC000354014936 534024122 /nfs/dbraw/zinc/02/41/22/534024122.db2.gz OWVXIECZMHNIAM-MRXNPFEDSA-N 0 1 313.445 3.301 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)o1 ZINC000430178294 534053503 /nfs/dbraw/zinc/05/35/03/534053503.db2.gz KVZQEUMXASRJQR-XOKHGSTOSA-N 0 1 323.396 3.323 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)CC)C1CCCCC1 ZINC001496309129 1185161787 /nfs/dbraw/zinc/16/17/87/1185161787.db2.gz QPTXGSYKRZMJHK-SWLSCSKDSA-N 0 1 300.874 3.440 20 30 DGEDMN COc1ccc(NS(=O)(=O)c2ccc(C#N)cc2Cl)cc1 ZINC000169248703 534188612 /nfs/dbraw/zinc/18/86/12/534188612.db2.gz LEJOVPDANBFGCT-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN Cc1ccc(O)c(CN2CCN([C@@H](C#N)c3ccccc3)CC2)c1 ZINC000155272469 534193237 /nfs/dbraw/zinc/19/32/37/534193237.db2.gz DIGGFGQDDYHTPH-IBGZPJMESA-N 0 1 321.424 3.083 20 30 DGEDMN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCOCC(F)(F)C(F)F ZINC000348246630 534228224 /nfs/dbraw/zinc/22/82/24/534228224.db2.gz RIFISLLHMIIKGW-SFYZADRCSA-N 0 1 311.241 3.176 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001508015807 1186466083 /nfs/dbraw/zinc/46/60/83/1186466083.db2.gz FPECPWLEQXJNFU-XJKSGUPXSA-N 0 1 300.874 3.462 20 30 DGEDMN Cn1nnc2cc(CN[C@@H](CCC#N)c3ccccc3)ccc21 ZINC000342232927 534349109 /nfs/dbraw/zinc/34/91/09/534349109.db2.gz ZECUWZCOVRIBQV-INIZCTEOSA-N 0 1 305.385 3.103 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000495142692 534548989 /nfs/dbraw/zinc/54/89/89/534548989.db2.gz TYHMPZKZKFQHCS-CVEARBPZSA-N 0 1 319.449 3.413 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)NCc1ccc(OCCC)cc1 ZINC000489422199 534558710 /nfs/dbraw/zinc/55/87/10/534558710.db2.gz FSUAFVIOSHMGFP-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@@H](Nc2ccccc2)C1 ZINC000157039376 523526824 /nfs/dbraw/zinc/52/68/24/523526824.db2.gz LITXWJIUZMXVAR-GOSISDBHSA-N 0 1 307.397 3.253 20 30 DGEDMN N#CCc1ccc(CN2CCCC[C@H]2[C@@H](O)C(F)(F)F)cc1 ZINC000343721770 534718505 /nfs/dbraw/zinc/71/85/05/534718505.db2.gz WSFXHGBOIFGIEE-LSDHHAIUSA-N 0 1 312.335 3.030 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000185396661 526298930 /nfs/dbraw/zinc/29/89/30/526298930.db2.gz JBANFSLDKAPUKQ-MRXNPFEDSA-N 0 1 302.418 3.035 20 30 DGEDMN C=CCN(CCO[C@H]1CCCCO1)Cc1cccc(C#N)c1 ZINC000181663188 526307369 /nfs/dbraw/zinc/30/73/69/526307369.db2.gz UPYWSYYCZZCWEM-SFHVURJKSA-N 0 1 300.402 3.089 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc([S@](C)=O)cc1 ZINC000352931481 526326966 /nfs/dbraw/zinc/32/69/66/526326966.db2.gz NWVUCZCJLCRMMA-NRFANRHFSA-N 0 1 303.427 3.248 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc2c(cc1OC)OCO2 ZINC000355330300 526327309 /nfs/dbraw/zinc/32/73/09/526327309.db2.gz WLMMETNFYQROHM-UHFFFAOYSA-N 0 1 315.369 3.248 20 30 DGEDMN C=CCN(Cc1n[nH]c(C(C)(C)C)n1)Cc1ccccc1F ZINC000432930483 526380446 /nfs/dbraw/zinc/38/04/46/526380446.db2.gz YDQRWAMQVZJJHV-UHFFFAOYSA-N 0 1 302.397 3.430 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(C)[C@@H](C)c1cc2ccccc2o1 ZINC000181256172 526500045 /nfs/dbraw/zinc/50/00/45/526500045.db2.gz VPPTXBQPCVAYJE-AWEZNQCLSA-N 0 1 300.402 3.460 20 30 DGEDMN C=CCN1CC[C@H](N(C)CCSc2ccc(Cl)cc2)C1=O ZINC000337273909 526501090 /nfs/dbraw/zinc/50/10/90/526501090.db2.gz PLJSQSVTXGNXJR-HNNXBMFYSA-N 0 1 324.877 3.151 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(OCCCC)cc2)C1=O ZINC000343612787 526501225 /nfs/dbraw/zinc/50/12/25/526501225.db2.gz HNXQDCOIZWPVBI-SFHVURJKSA-N 0 1 316.445 3.084 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@H](CC)c1ccccc1OCC ZINC000181256870 526502254 /nfs/dbraw/zinc/50/22/54/526502254.db2.gz UIRNEHXBYBTHAU-MRXNPFEDSA-N 0 1 304.434 3.161 20 30 DGEDMN C=CCN1CC[C@H](N2CCCCC[C@H]2c2ccc(C)o2)C1=O ZINC000337175116 526506737 /nfs/dbraw/zinc/50/67/37/526506737.db2.gz IKJDTZOGTIWOQS-HOTGVXAUSA-N 0 1 302.418 3.292 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](C)c1cc(C)ccc1OC ZINC000161539742 526526794 /nfs/dbraw/zinc/52/67/94/526526794.db2.gz PZWMJDMDNNKOEI-HNNXBMFYSA-N 0 1 304.434 3.079 20 30 DGEDMN C=C(C)CN(CCN(C)c1ccccc1)Cc1ccc(CO)o1 ZINC000352799164 526553779 /nfs/dbraw/zinc/55/37/79/526553779.db2.gz FLQYLFBTDNCQEF-UHFFFAOYSA-N 0 1 314.429 3.286 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000180037831 526573507 /nfs/dbraw/zinc/57/35/07/526573507.db2.gz VGHYGTNADYVXGA-GFCCVEGCSA-N 0 1 315.442 3.071 20 30 DGEDMN C=C(C)COCCNCc1ccc(F)cc1Br ZINC000133128339 526654319 /nfs/dbraw/zinc/65/43/19/526654319.db2.gz YFMOTSBIYBAYQH-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000353402900 526668528 /nfs/dbraw/zinc/66/85/28/526668528.db2.gz AFTNVUDQLZVFHP-GJZGRUSLSA-N 0 1 317.433 3.198 20 30 DGEDMN C=C(CNC(=O)[C@H]1CCCN1Cc1ccccc1)c1ccccc1 ZINC000360227151 526878214 /nfs/dbraw/zinc/87/82/14/526878214.db2.gz RWOHZFIKYJYYPO-HXUWFJFHSA-N 0 1 320.436 3.481 20 30 DGEDMN C=CCOc1cccc(CN[C@@H](CO)c2ccc(F)cc2)c1 ZINC000344381906 526904039 /nfs/dbraw/zinc/90/40/39/526904039.db2.gz NGZHKVGYMKXEMS-SFHVURJKSA-N 0 1 301.361 3.214 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000346040594 527043999 /nfs/dbraw/zinc/04/39/99/527043999.db2.gz ALNYGSNHEGQUTC-CVEARBPZSA-N 0 1 307.413 3.082 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000346009807 527152820 /nfs/dbraw/zinc/15/28/20/527152820.db2.gz BLMUKEJOXBLUKI-HOTGVXAUSA-N 0 1 319.449 3.461 20 30 DGEDMN C=CCCCCNCc1ncc(I)s1 ZINC000308450872 527170209 /nfs/dbraw/zinc/17/02/09/527170209.db2.gz BJNSBVFGIOTZFK-UHFFFAOYSA-N 0 1 322.215 3.194 20 30 DGEDMN C=CC[C@H]1N(C(=O)c2n[nH]c(CC)c2[N+](=O)[O-])CCCC1(C)C ZINC000331293937 527226574 /nfs/dbraw/zinc/22/65/74/527226574.db2.gz MAOKVIWTJOFTKD-GFCCVEGCSA-N 0 1 320.393 3.087 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NCCN1CCc2ccccc2C1 ZINC000302047940 527230245 /nfs/dbraw/zinc/23/02/45/527230245.db2.gz LYDBWGFWJYQXBQ-UHFFFAOYSA-N 0 1 319.408 3.231 20 30 DGEDMN C=CCCS(=O)(=O)Nc1nn([C@H](C)c2ccccc2)cc1C ZINC000349314659 527420668 /nfs/dbraw/zinc/42/06/68/527420668.db2.gz RNQLHJNHNSAGOB-CQSZACIVSA-N 0 1 319.430 3.119 20 30 DGEDMN C=CCC[C@H](NC(=O)c1cnn[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000441574931 527482735 /nfs/dbraw/zinc/48/27/35/527482735.db2.gz USCBMTZYYHWBJG-LBPRGKRZSA-N 0 1 324.306 3.261 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)Nc1cc(C(=O)CC)ccc1O ZINC000436833858 527622426 /nfs/dbraw/zinc/62/24/26/527622426.db2.gz NECFIUBJXHNPGB-QGZVFWFLSA-N 0 1 305.374 3.152 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1cccc(C)c1C ZINC000428741239 527631817 /nfs/dbraw/zinc/63/18/17/527631817.db2.gz YLYQIXSRPWTCLP-KRWDZBQOSA-N 0 1 300.446 3.324 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC=C(c2ccccc2)C1 ZINC000341983838 527631837 /nfs/dbraw/zinc/63/18/37/527631837.db2.gz CWENCJYGJKPJLB-KRWDZBQOSA-N 0 1 310.441 3.365 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@H](c2ccccc2F)C1 ZINC000337539692 527635213 /nfs/dbraw/zinc/63/52/13/527635213.db2.gz XZSPRBUBWLGSLY-HOTGVXAUSA-N 0 1 316.420 3.204 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)C[C@@H](CC#N)c2ccccc2)C[C@H]1C ZINC000356610442 527966068 /nfs/dbraw/zinc/96/60/68/527966068.db2.gz AJGMYBCFYKVAHX-SOLBZPMBSA-N 0 1 313.445 3.015 20 30 DGEDMN CC(C)CNC(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000161421764 528052247 /nfs/dbraw/zinc/05/22/47/528052247.db2.gz NEYWSEGAZYVSKJ-SNVBAGLBSA-N 0 1 307.806 3.329 20 30 DGEDMN CC(C)Oc1cc(F)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000442811570 528114758 /nfs/dbraw/zinc/11/47/58/528114758.db2.gz OWIDUVMGLIQFFW-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN CCOc1c(CNCC2(CC#N)CC2)c(C)nn1CC(C)C ZINC000430046314 528167498 /nfs/dbraw/zinc/16/74/98/528167498.db2.gz NOTVAGONRORWHE-UHFFFAOYSA-N 0 1 304.438 3.030 20 30 DGEDMN CC(C)SCCN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000336847826 528315006 /nfs/dbraw/zinc/31/50/06/528315006.db2.gz YLMVKIRYRDSBIL-QGZVFWFLSA-N 0 1 303.475 3.010 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)CCc2ccc(C#N)cc2)c1 ZINC000436651431 528461487 /nfs/dbraw/zinc/46/14/87/528461487.db2.gz VUMKOBSYBKMACV-UHFFFAOYSA-N 0 1 322.364 3.428 20 30 DGEDMN CCCCOC1CCN(Cc2ccc(C#N)c(OC)c2)CC1 ZINC000442883956 528571990 /nfs/dbraw/zinc/57/19/90/528571990.db2.gz ISIXLWSYEOJVTC-UHFFFAOYSA-N 0 1 302.418 3.348 20 30 DGEDMN CCN(C[C@@H](C)C#N)CC1(COc2cccc(COC)c2)CC1 ZINC000342995549 528635039 /nfs/dbraw/zinc/63/50/39/528635039.db2.gz UHLFPACVTBBSPA-INIZCTEOSA-N 0 1 316.445 3.474 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(C#N)c(C)c2)cc1 ZINC000488197485 536657805 /nfs/dbraw/zinc/65/78/05/536657805.db2.gz HAUSDCMXTGBQHU-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN Cc1ccc(C)c(OCC(=O)Nc2nc(-c3ccco3)n[nH]2)c1 ZINC000073973565 545720582 /nfs/dbraw/zinc/72/05/82/545720582.db2.gz WUYXPESAXIPKOQ-UHFFFAOYSA-N 0 1 312.329 3.349 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@@H](CCO)C2)cc1Cl ZINC000093105768 545852502 /nfs/dbraw/zinc/85/25/02/545852502.db2.gz YTBDZLZFDLBFPI-AWEZNQCLSA-N 0 1 309.837 3.499 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1ccc(N(C)C)nc1 ZINC000125427169 545916750 /nfs/dbraw/zinc/91/67/50/545916750.db2.gz LWTDWRWHENMBRX-UHFFFAOYSA-N 0 1 311.429 3.344 20 30 DGEDMN COC[C@H]1CCCN1CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000154492134 546018466 /nfs/dbraw/zinc/01/84/66/546018466.db2.gz PQHZDMGWYTVRNX-CQSZACIVSA-N 0 1 313.319 3.048 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N[C@H]1CCCCC12CCCC2 ZINC000329575610 546487987 /nfs/dbraw/zinc/48/79/87/546487987.db2.gz BDDPTROUMZUIAY-CJNGLKHVSA-N 0 1 316.449 3.274 20 30 DGEDMN O=C(CN1CCC[C@H](C2CC2)C1)Nc1ccc2c(c1)OCO2 ZINC000329629004 546488667 /nfs/dbraw/zinc/48/86/67/546488667.db2.gz HKKYRFCVFBMFMO-ZDUSSCGKSA-N 0 1 302.374 3.125 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cnn(CC(F)(F)F)c1 ZINC000352979323 546657632 /nfs/dbraw/zinc/65/76/32/546657632.db2.gz IXVMPFULUQQIAD-UHFFFAOYSA-N 0 1 313.323 3.269 20 30 DGEDMN N#CCc1ccc(NC(=O)[C@H]2CCCc3[nH]ncc32)c(Cl)c1 ZINC000572574181 547011630 /nfs/dbraw/zinc/01/16/30/547011630.db2.gz ZZRUNLSSXOTTOM-NSHDSACASA-N 0 1 314.776 3.188 20 30 DGEDMN C=C(C)COc1cc(CN2CC(CCOC)C2)ccc1OC ZINC000668472187 547464055 /nfs/dbraw/zinc/46/40/55/547464055.db2.gz FDCXQKNLQPYRRG-UHFFFAOYSA-N 0 1 305.418 3.118 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000668625788 547497060 /nfs/dbraw/zinc/49/70/60/547497060.db2.gz AYQJVHDOBSPMIS-HNNXBMFYSA-N 0 1 301.434 3.110 20 30 DGEDMN COCC#CCN[C@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000671247590 547781529 /nfs/dbraw/zinc/78/15/29/547781529.db2.gz YMHOHWLKLHPTLO-OAHLLOKOSA-N 0 1 316.228 3.310 20 30 DGEDMN C=C(CNC(=O)N1CCC[N@H+](C(C)(C)C)CC1)c1ccccc1 ZINC000673196034 547946401 /nfs/dbraw/zinc/94/64/01/547946401.db2.gz VESZCYXBVGDLHH-UHFFFAOYSA-N 0 1 315.461 3.216 20 30 DGEDMN C=C(C)COc1cc(CN2CCCOC[C@H]2C)ccc1OC ZINC000678273706 548665390 /nfs/dbraw/zinc/66/53/90/548665390.db2.gz LKUNSFFFRVDSBB-OAHLLOKOSA-N 0 1 305.418 3.261 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)c1c[nH]c2ncccc12 ZINC000678764348 548758116 /nfs/dbraw/zinc/75/81/16/548758116.db2.gz PXRKZFIWTFRSLZ-QWHCGFSZSA-N 0 1 318.380 3.357 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CC)[C@H](C)C1)c1ccccc1 ZINC000681738171 549247664 /nfs/dbraw/zinc/24/76/64/549247664.db2.gz HKNGLOVQXLLQTL-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cc3cccc(F)c3o2)nc(C)n1 ZINC000682126840 549293926 /nfs/dbraw/zinc/29/39/26/549293926.db2.gz AWPJVUSPKZOUOO-LBPRGKRZSA-N 0 1 309.300 3.469 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000682158633 549298592 /nfs/dbraw/zinc/29/85/92/549298592.db2.gz PPJLRYVQOCPMKI-INIZCTEOSA-N 0 1 321.808 3.061 20 30 DGEDMN CCC#C[C@H](C)N[C@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)cc1 ZINC000683147615 549439836 /nfs/dbraw/zinc/43/98/36/549439836.db2.gz YYJBZGKPDYPOII-YLQAJVPDSA-N 0 1 304.821 3.003 20 30 DGEDMN CCO[C@H](CC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422541 574529280 /nfs/dbraw/zinc/52/92/80/574529280.db2.gz UCRUUNOFZKHLJI-CMPLNLGQSA-N 0 1 306.362 3.427 20 30 DGEDMN COc1cccc(C=NNc2ccc(F)cn2)c1OC(F)F ZINC000731318274 574546928 /nfs/dbraw/zinc/54/69/28/574546928.db2.gz HQTSVWVGRFGUMP-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN CCOCCNC(=S)Nc1ccccc1S[C@@H](C)CC#N ZINC000731321257 574547116 /nfs/dbraw/zinc/54/71/16/574547116.db2.gz PJSSSAHPYAIRCT-LBPRGKRZSA-N 0 1 323.487 3.404 20 30 DGEDMN Cc1cc(=NN=Cc2ccc(-c3ccc([N+](=O)[O-])cc3)o2)nc[nH]1 ZINC000731935367 574562810 /nfs/dbraw/zinc/56/28/10/574562810.db2.gz VWZPLSGKSCLWOG-UHFFFAOYSA-N 0 1 323.312 3.399 20 30 DGEDMN Cc1cc(NN=Cc2ccc(F)c(Br)c2)ncn1 ZINC000731935130 574562909 /nfs/dbraw/zinc/56/29/09/574562909.db2.gz OYRKEFHPYOIJGD-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN CC(=NN=c1cc(C)[nH]cn1)c1sc(-c2cccnc2)nc1C ZINC000731935486 574562934 /nfs/dbraw/zinc/56/29/34/574562934.db2.gz BFKHUISZWYMINV-UHFFFAOYSA-N 0 1 324.413 3.448 20 30 DGEDMN CCn1cc(C=CC(=O)c2[nH]c(-c3ccccc3)nc2C)cn1 ZINC000732530184 574575594 /nfs/dbraw/zinc/57/55/94/574575594.db2.gz JWQPJBXIXAHKSX-KTKRTIGZSA-N 0 1 306.369 3.498 20 30 DGEDMN N#Cc1cc(F)c(NCc2n[nH]c(-c3ccccc3)n2)c(F)c1 ZINC000732567792 574576010 /nfs/dbraw/zinc/57/60/10/574576010.db2.gz BVKJWZUMBPABTH-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN N#Cc1cc(F)c(NCc2nc(-c3ccccc3)n[nH]2)c(F)c1 ZINC000732567792 574576011 /nfs/dbraw/zinc/57/60/11/574576011.db2.gz BVKJWZUMBPABTH-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN Cc1cc(NN=Cc2c(Cl)cccc2OC(F)F)ncn1 ZINC000732924863 574588065 /nfs/dbraw/zinc/58/80/65/574588065.db2.gz LZXQRCJJWQTKJW-UHFFFAOYSA-N 0 1 312.707 3.486 20 30 DGEDMN CC[C@H](C#N)Oc1cc(CNCc2ccncc2)ccc1OC ZINC000733330187 574616626 /nfs/dbraw/zinc/61/66/26/574616626.db2.gz RHRAIOLFIQBSBD-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN CCOC[C@H]1CCCN(Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000733788702 574639049 /nfs/dbraw/zinc/63/90/49/574639049.db2.gz WXHJLLHEDNHKJM-KRWDZBQOSA-N 0 1 324.428 3.128 20 30 DGEDMN C#CCOc1ccccc1CNCc1c[nH]nc1-c1cccs1 ZINC000734159420 574653567 /nfs/dbraw/zinc/65/35/67/574653567.db2.gz MZEGCBWFGNWGRX-UHFFFAOYSA-N 0 1 323.421 3.440 20 30 DGEDMN CC(=NNc1cc(F)c(F)cc1F)c1ccc2c(c1)CC(=O)N2 ZINC000734302966 574655567 /nfs/dbraw/zinc/65/55/67/574655567.db2.gz XPFFDPGSLTZONS-UHFFFAOYSA-N 0 1 319.286 3.435 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Cc3ccccc3F)[nH]c21 ZINC000735788616 574715058 /nfs/dbraw/zinc/71/50/58/574715058.db2.gz GECYOVBMVZTFEX-CYBMUJFWSA-N 0 1 307.328 3.429 20 30 DGEDMN Cc1noc(C)c1CCC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735793326 574715785 /nfs/dbraw/zinc/71/57/85/574715785.db2.gz SJCUCQOZFYGJNX-CQSZACIVSA-N 0 1 322.368 3.285 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CC[C@H]3CCCCO3)[nH]c21 ZINC000735792146 574715799 /nfs/dbraw/zinc/71/57/99/574715799.db2.gz INGFLLVHMHPSSE-KGLIPLIRSA-N 0 1 311.385 3.397 20 30 DGEDMN C=CCSc1nc2ccccc2n1C[N@@H+](CC)CCC(=O)[O-] ZINC000736114711 574731479 /nfs/dbraw/zinc/73/14/79/574731479.db2.gz OEXNOSWFGCMSNQ-UHFFFAOYSA-N 0 1 319.430 3.069 20 30 DGEDMN C=CCn1c2ccccc2n(C[N@H+](CC)CCC(=O)[O-])c1=S ZINC000736118557 574731598 /nfs/dbraw/zinc/73/15/98/574731598.db2.gz VYJKKJCZGRQLGH-UHFFFAOYSA-N 0 1 319.430 3.112 20 30 DGEDMN C=CCn1c2ccccc2n(C[N@@H+](CC)CCC(=O)[O-])c1=S ZINC000736118557 574731600 /nfs/dbraw/zinc/73/16/00/574731600.db2.gz VYJKKJCZGRQLGH-UHFFFAOYSA-N 0 1 319.430 3.112 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)[C@H](C(=O)[O-])c1cccc(Cl)c1 ZINC000737486572 574847957 /nfs/dbraw/zinc/84/79/57/574847957.db2.gz BTHKOXIHZJKABQ-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN N#Cc1ccc(OCCCN2CCc3ccc(O)cc3C2)cc1 ZINC000738324826 574866198 /nfs/dbraw/zinc/86/61/98/574866198.db2.gz UYQWDGMLPKMJQF-UHFFFAOYSA-N 0 1 308.381 3.091 20 30 DGEDMN C=CCCCCCN(C)C(=O)c1n[nH]c(C)c1Br ZINC000739799992 574912620 /nfs/dbraw/zinc/91/26/20/574912620.db2.gz KCMWHDVYEVKFOP-UHFFFAOYSA-N 0 1 314.227 3.299 20 30 DGEDMN Cc1ncc(C(C)N=Nc2cccc(C(F)(F)F)n2)c(C)n1 ZINC000741460110 574958338 /nfs/dbraw/zinc/95/83/38/574958338.db2.gz IRNUHWGYZXKOGF-UHFFFAOYSA-N 0 1 309.295 3.343 20 30 DGEDMN CCC(N=Nc1ccc([N+](=O)[O-])cc1)c1c(C)nn(C)c1C ZINC000741839010 574972958 /nfs/dbraw/zinc/97/29/58/574972958.db2.gz QSRORIGONUCYIO-UHFFFAOYSA-N 0 1 301.350 3.171 20 30 DGEDMN COCCOc1ccc(CNCc2cc(C#N)ccc2F)cc1 ZINC000742381959 574994657 /nfs/dbraw/zinc/99/46/57/574994657.db2.gz UYAYSXQAEPPEAP-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2CNCc2cc(C#N)ccc2F)o1 ZINC000742383447 574995396 /nfs/dbraw/zinc/99/53/96/574995396.db2.gz NUZIGDGUTNZOPQ-UHFFFAOYSA-N 0 1 310.332 3.279 20 30 DGEDMN COCCOc1cccc(C=NNc2cccc(F)c2F)c1 ZINC000743443709 575052078 /nfs/dbraw/zinc/05/20/78/575052078.db2.gz NMUOEZPOTLIZGE-UHFFFAOYSA-N 0 1 306.312 3.436 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@H]1Cc2ccccc21 ZINC000744010329 575068481 /nfs/dbraw/zinc/06/84/81/575068481.db2.gz GICWNLBPBTXKFL-LSDHHAIUSA-N 0 1 301.349 3.089 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@@H]2CCCC[C@H]21)C1CCCCC1 ZINC000744212633 575078591 /nfs/dbraw/zinc/07/85/91/575078591.db2.gz ZZYFXQHDHACKJA-PKOBYXMFSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCc2c(C)cccc2C1 ZINC000729480047 575252605 /nfs/dbraw/zinc/25/26/05/575252605.db2.gz VIWQRHMZZNYTKL-INIZCTEOSA-N 0 1 300.446 3.166 20 30 DGEDMN Cc1nc(CN=Nc2oc(CC(C)C)nc2C#N)c2ccccn12 ZINC000745613437 575405746 /nfs/dbraw/zinc/40/57/46/575405746.db2.gz TZICIMUZJOVPKJ-UHFFFAOYSA-N 0 1 322.372 3.147 20 30 DGEDMN COc1ccc(C=NNc2ccc([N+](=O)[O-])cc2OC)cc1 ZINC000746680338 575469162 /nfs/dbraw/zinc/46/91/62/575469162.db2.gz SNZXVRVBMCBABC-UHFFFAOYSA-N 0 1 301.302 3.058 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1cnn(C(C)(C)C)c1 ZINC000746681005 575469528 /nfs/dbraw/zinc/46/95/28/575469528.db2.gz CKXJMEVDORWHBT-UHFFFAOYSA-N 0 1 317.349 3.001 20 30 DGEDMN N#CC(C(=O)C1CC(OCc2ccccc2)C1)c1ccccn1 ZINC000747107455 575496191 /nfs/dbraw/zinc/49/61/91/575496191.db2.gz DDPNYQZVVGPRAN-JCYILVPMSA-N 0 1 306.365 3.253 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC23CCCC3)cc1 ZINC000747478227 575520172 /nfs/dbraw/zinc/52/01/72/575520172.db2.gz DXIIJCXXSPHMPO-JKSUJKDBSA-N 0 1 310.397 3.477 20 30 DGEDMN CCCCCCCC(=O)N[C@H](C)C1(N2CCOCC2)CCCC1 ZINC000747985379 575555397 /nfs/dbraw/zinc/55/53/97/575555397.db2.gz IHBBIRQDWYOOJT-QGZVFWFLSA-N 0 1 324.509 3.497 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(-c3cnco3)cc2)c1 ZINC000748005511 575555886 /nfs/dbraw/zinc/55/58/86/575555886.db2.gz QZVCRKHAMIHSES-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3ccccc3F)on2)c1 ZINC000748411610 575585126 /nfs/dbraw/zinc/58/51/26/575585126.db2.gz VTTJYHOZBWNJGD-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CSCc2ccc(F)cc2)c1 ZINC000748410973 575585149 /nfs/dbraw/zinc/58/51/49/575585149.db2.gz QGBWKWILBJTWHE-UHFFFAOYSA-N 0 1 316.357 3.275 20 30 DGEDMN Cn1cc(C(=O)Nc2cc(C#N)ccc2O)c(C2CCCCC2)n1 ZINC000749322623 575644675 /nfs/dbraw/zinc/64/46/75/575644675.db2.gz AVVCFGVTANDJLY-UHFFFAOYSA-N 0 1 324.384 3.297 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)ccn1 ZINC000749523111 575662759 /nfs/dbraw/zinc/66/27/59/575662759.db2.gz PKSYORGNFDKGHM-CVEARBPZSA-N 0 1 313.426 3.103 20 30 DGEDMN CN(C)[C@@H](CNc1nc2ccccc2cc1C#N)c1ccco1 ZINC000750011238 575696953 /nfs/dbraw/zinc/69/69/53/575696953.db2.gz NEIOCDZQHACPJW-INIZCTEOSA-N 0 1 306.369 3.414 20 30 DGEDMN C[C@@H]1CN(CCCNc2nc3ccccc3cc2C#N)C[C@@H](C)O1 ZINC000750015143 575697814 /nfs/dbraw/zinc/69/78/14/575697814.db2.gz HYAFNNSCVHQNSO-HUUCEWRRSA-N 0 1 324.428 3.018 20 30 DGEDMN C[C@@H]1CCC[C@H](CC(=O)[C@H](C#N)C(=O)NC2CCCCC2)C1 ZINC000750667194 575744564 /nfs/dbraw/zinc/74/45/64/575744564.db2.gz DAHHWXOWIBZMLS-YCPHGPKFSA-N 0 1 304.434 3.361 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cccc(Cl)c1 ZINC000725858245 575934466 /nfs/dbraw/zinc/93/44/66/575934466.db2.gz IIXGSPXKKHEYFZ-UHFFFAOYSA-N 0 1 315.785 3.221 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C(CC)CC ZINC000916925037 620649605 /nfs/dbraw/zinc/64/96/05/620649605.db2.gz FQVLGJNOUPUQFY-CYBMUJFWSA-N 0 1 302.374 3.169 20 30 DGEDMN CC(C)=C[C@@H]1[C@@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C1(C)C ZINC000916894122 620649324 /nfs/dbraw/zinc/64/93/24/620649324.db2.gz PCPNPWRXHDCQOT-XHSDSOJGSA-N 0 1 316.445 3.383 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)CSc1ccc(C(C)C)cc1 ZINC000916951574 620650483 /nfs/dbraw/zinc/65/04/83/620650483.db2.gz KJCTWAAJSJGPBJ-HNNXBMFYSA-N 0 1 318.442 3.136 20 30 DGEDMN CCOc1cccc(/C=N\C[C@@H]2CCN2Cc2ccccc2)c1O ZINC000852998808 620658828 /nfs/dbraw/zinc/65/88/28/620658828.db2.gz ROXLJAYLCRZIPL-PKIWBCANSA-N 0 1 324.424 3.484 20 30 DGEDMN Cn1ncc(C2CCC2)c1C=NNc1nc2ccccc2n1C ZINC000853291051 620684420 /nfs/dbraw/zinc/68/44/20/620684420.db2.gz UXORAKFFVGINOA-UHFFFAOYSA-N 0 1 308.389 3.020 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NN1CCCC[C@@H]1C ZINC000853379165 620690536 /nfs/dbraw/zinc/69/05/36/620690536.db2.gz DVUFNPSVNWOSKS-CVEARBPZSA-N 0 1 300.450 3.154 20 30 DGEDMN CCn1cc(C(C)N=Nc2cccc3c2OC(F)(F)O3)cn1 ZINC000853384779 620691069 /nfs/dbraw/zinc/69/10/69/620691069.db2.gz TXQUFENLPCDFDG-UHFFFAOYSA-N 0 1 308.288 3.061 20 30 DGEDMN N#CCSCCCOC(=O)c1ccc(CN2CCCCC2)o1 ZINC000923834499 620697313 /nfs/dbraw/zinc/69/73/13/620697313.db2.gz ZREHHQFBGXTADZ-UHFFFAOYSA-N 0 1 322.430 3.069 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2c(F)cccc2Cl)cc1 ZINC000918185211 620701136 /nfs/dbraw/zinc/70/11/36/620701136.db2.gz CUZZIZIJSACLPB-UHFFFAOYSA-N 0 1 321.783 3.382 20 30 DGEDMN C=CC[C@H]1CCCN(CN2C[C@H](c3cccc(F)c3)CC2=O)C1 ZINC000853536977 620708200 /nfs/dbraw/zinc/70/82/00/620708200.db2.gz LNLKRMBBHTYCDH-DOTOQJQBSA-N 0 1 316.420 3.387 20 30 DGEDMN C=CC[C@H]1CCCN(CN2C(=O)C(C)(C)[C@@H]2c2ccncc2)C1 ZINC000853537260 620707930 /nfs/dbraw/zinc/70/79/30/620707930.db2.gz SMPFYRXXHYGQDB-RDJZCZTQSA-N 0 1 313.445 3.237 20 30 DGEDMN CCCN(Cc1c2c(nn1C)CCC2)Cc1ccc(C#N)cc1 ZINC000891652059 617860453 /nfs/dbraw/zinc/86/04/53/617860453.db2.gz CMVNLIQIJJKQIN-UHFFFAOYSA-N 0 1 308.429 3.193 20 30 DGEDMN Cc1ccnc(-c2n[nH]c([C@@H](C)N[C@H](C)CC(C)(C)C#N)n2)c1 ZINC000926311767 617934642 /nfs/dbraw/zinc/93/46/42/617934642.db2.gz ZCDGLQJIVJVUND-CHWSQXEVSA-N 0 1 312.421 3.154 20 30 DGEDMN C=C1CCN(C(=O)NC[C@@H](c2ccco2)N(CC)CC)CC1 ZINC000892411719 618059729 /nfs/dbraw/zinc/05/97/29/618059729.db2.gz ILIJSYFTLTZUDP-HNNXBMFYSA-N 0 1 305.422 3.024 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(C(C)C)nc2C)n1 ZINC000892417673 618060901 /nfs/dbraw/zinc/06/09/01/618060901.db2.gz LKIWKELVOZVCMC-NSHDSACASA-N 0 1 300.387 3.163 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@@H]1CCC2(CCC2)O1 ZINC000892420517 618061293 /nfs/dbraw/zinc/06/12/93/618061293.db2.gz UMBOTPOTUHQUCV-KBPBESRZSA-N 0 1 323.396 3.241 20 30 DGEDMN N#Cc1ccccc1CSCCNCc1nccn1C1CC1 ZINC000892458331 618071177 /nfs/dbraw/zinc/07/11/77/618071177.db2.gz WSWGBXYWOGUJRC-UHFFFAOYSA-N 0 1 312.442 3.113 20 30 DGEDMN N#CC(C(=O)C[C@@H]1C[C@H]1C1CC1)C(=O)Nc1ccc(Cl)cc1 ZINC000892493962 618081357 /nfs/dbraw/zinc/08/13/57/618081357.db2.gz WEBUGOIAZKZYDG-CQDKDKBSSA-N 0 1 316.788 3.424 20 30 DGEDMN Cc1nccnc1C(C)N=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000783513482 618141113 /nfs/dbraw/zinc/14/11/13/618141113.db2.gz SHNRTWVMELOYJA-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)Cc2cc3ccccc3o2)nc(C)n1 ZINC000920108616 620783770 /nfs/dbraw/zinc/78/37/70/620783770.db2.gz UOWHNZCIOBQVMZ-OAHLLOKOSA-N 0 1 305.337 3.259 20 30 DGEDMN CC[C@@H](NCC#Cc1ccccc1)[C@@H](O)c1c(F)cccc1F ZINC000893063204 618409581 /nfs/dbraw/zinc/40/95/81/618409581.db2.gz XTEUZPCLAZHQLZ-IEBWSBKVSA-N 0 1 315.363 3.418 20 30 DGEDMN Cc1ccc(CNCC#Cc2ccccc2)c(O[C@H]2CCOC2)c1 ZINC000893109944 618429950 /nfs/dbraw/zinc/42/99/50/618429950.db2.gz FEXMMEKOOMNENZ-FQEVSTJZSA-N 0 1 321.420 3.304 20 30 DGEDMN CC[C@@H](N[C@H](C)CC(C)(C)C#N)c1nnc2n1CCCCC2 ZINC000924905235 618734592 /nfs/dbraw/zinc/73/45/92/618734592.db2.gz GZAWKSAAYLFGJK-ZIAGYGMSSA-N 0 1 303.454 3.374 20 30 DGEDMN C=CC[C@H](NCc1cc(C(=O)OC)c(CC)[nH]1)c1ccncc1 ZINC000894272855 618748271 /nfs/dbraw/zinc/74/82/71/618748271.db2.gz GYJDIGMBDWONNC-KRWDZBQOSA-N 0 1 313.401 3.166 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3csc(C#N)c3)C2)cc1 ZINC000895977085 618855386 /nfs/dbraw/zinc/85/53/86/618855386.db2.gz MOQKUNGMTZIMOT-OAHLLOKOSA-N 0 1 311.410 3.211 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000895978484 618857415 /nfs/dbraw/zinc/85/74/15/618857415.db2.gz VHDLEEGXMJVXRZ-DOMZBBRYSA-N 0 1 319.836 3.363 20 30 DGEDMN CSc1ccc(CNCc2cnc(F)c(C)c2)cc1C#N ZINC000896046540 618865481 /nfs/dbraw/zinc/86/54/81/618865481.db2.gz SXYIOEHUNDDNHF-UHFFFAOYSA-N 0 1 301.390 3.413 20 30 DGEDMN N#Cc1csc(CN2CC[C@]3(CC(F)(F)C3(F)F)C2)c1 ZINC000896226118 618888388 /nfs/dbraw/zinc/88/83/88/618888388.db2.gz ARDBZCSRZYKQOC-NSHDSACASA-N 0 1 304.312 3.486 20 30 DGEDMN C=CCCC[C@H](NC(=O)NCc1n[nH]c(C)n1)c1ccccc1 ZINC000896452948 618912995 /nfs/dbraw/zinc/91/29/95/618912995.db2.gz JVDHUQSQJBRRCX-HNNXBMFYSA-N 0 1 313.405 3.010 20 30 DGEDMN C[C@@H]1C[C@@H](CN2CCC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000896502768 618922039 /nfs/dbraw/zinc/92/20/39/618922039.db2.gz GXQXBGTYHITKDT-OWCLPIDISA-N 0 1 321.465 3.258 20 30 DGEDMN COc1ccccc1CN1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000896659494 618940292 /nfs/dbraw/zinc/94/02/92/618940292.db2.gz FKQMZPVMUFZNPM-QGZVFWFLSA-N 0 1 322.412 3.038 20 30 DGEDMN CCN(C(=O)[C@@H]1CCN1C(C)(C)C)[C@@H](C)c1ccc(C#N)cc1 ZINC000896671572 618942740 /nfs/dbraw/zinc/94/27/40/618942740.db2.gz FICGZKXCLQJOPV-YOEHRIQHSA-N 0 1 313.445 3.341 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000896820677 618965112 /nfs/dbraw/zinc/96/51/12/618965112.db2.gz AMLAUINJBNIWEA-RISCZKNCSA-N 0 1 316.298 3.287 20 30 DGEDMN C=C1CC(c2noc([C@H]3CCCN(Cc4cccnc4)C3)n2)C1 ZINC000896883875 618975118 /nfs/dbraw/zinc/97/51/18/618975118.db2.gz HIKMMCPKMSUPDD-HNNXBMFYSA-N 0 1 310.401 3.278 20 30 DGEDMN CC(C)OC(=O)[C@H](C)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840710064 618989466 /nfs/dbraw/zinc/98/94/66/618989466.db2.gz OPQDQHRWICCMDP-IYRRMDOYSA-N 0 1 304.173 3.458 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CCCC=C)c2ccccc2)CC1 ZINC000928660181 619028924 /nfs/dbraw/zinc/02/89/24/619028924.db2.gz LGMAOOUFJKOGNY-HXUWFJFHSA-N 0 1 324.468 3.340 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@]1(c2ccccc2)CCCO1 ZINC000897236436 619034560 /nfs/dbraw/zinc/03/45/60/619034560.db2.gz JKYXMDYCMAQAMR-LJQANCHMSA-N 0 1 310.372 3.493 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCC[C@@H](C3CCOCC3)C2)c1 ZINC000897270179 619039772 /nfs/dbraw/zinc/03/97/72/619039772.db2.gz ZRCWZQHMQWHIFH-OAHLLOKOSA-N 0 1 319.836 3.245 20 30 DGEDMN Fc1cc(Br)ccc1NN=C1CCCc2c[nH]nc21 ZINC000789004183 625321370 /nfs/dbraw/zinc/32/13/70/625321370.db2.gz PACGPUORSZBMQZ-UHFFFAOYSA-N 0 1 323.169 3.464 20 30 DGEDMN CC[C@](O)(CCC(F)(F)F)CNCc1cccc(F)c1C#N ZINC000897524233 619145532 /nfs/dbraw/zinc/14/55/32/619145532.db2.gz UAQJMIZTPLGPMU-AWEZNQCLSA-N 0 1 318.314 3.271 20 30 DGEDMN N#Cc1ccc([C@H]2CN(Cc3cccc4n[nH]cc43)CCO2)cc1 ZINC000897595697 619164621 /nfs/dbraw/zinc/16/46/21/619164621.db2.gz FTIHYBJHECGQLL-LJQANCHMSA-N 0 1 318.380 3.008 20 30 DGEDMN COC1CCC(CN2CCO[C@H](c3ccc(C#N)cc3)C2)CC1 ZINC000897596179 619164851 /nfs/dbraw/zinc/16/48/51/619164851.db2.gz MTNATYKEAHBGKJ-KVZIAJEVSA-N 0 1 314.429 3.137 20 30 DGEDMN CSC1(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CCC1 ZINC000897596877 619164877 /nfs/dbraw/zinc/16/48/77/619164877.db2.gz VYVDIBYUPXVGDZ-MRXNPFEDSA-N 0 1 302.443 3.217 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)n1 ZINC000897602510 619165807 /nfs/dbraw/zinc/16/58/07/619165807.db2.gz FINLNHUNYGBHLN-FQEVSTJZSA-N 0 1 317.392 3.394 20 30 DGEDMN CC1(C)[C@H](NCc2nc(C#N)cs2)C[C@@H]1Oc1ccccc1 ZINC000897625870 619168218 /nfs/dbraw/zinc/16/82/18/619168218.db2.gz JHWBHMPHZYDDGV-CABCVRRESA-N 0 1 313.426 3.350 20 30 DGEDMN C[C@@H](CCc1ccccc1[N+](=O)[O-])NCc1nc(C#N)cs1 ZINC000897629143 619168974 /nfs/dbraw/zinc/16/89/74/619168974.db2.gz RBQGMFPGHZOTRO-NSHDSACASA-N 0 1 316.386 3.034 20 30 DGEDMN N#C[C@@]1(C(=O)Nc2cccc(-c3cn[nH]n3)c2)CC2CCC1CC2 ZINC000897754755 619176589 /nfs/dbraw/zinc/17/65/89/619176589.db2.gz NZAPGNSPKKUIML-WFZFXDGQSA-N 0 1 321.384 3.130 20 30 DGEDMN N#Cc1csc(CNC2(c3ccc4c(c3)OCCO4)CC2)c1 ZINC000897863108 619189355 /nfs/dbraw/zinc/18/93/55/619189355.db2.gz WXWBPOZMHLCZAP-UHFFFAOYSA-N 0 1 312.394 3.170 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)OCc1ccccc1C(C)(C)C#N ZINC000923889170 619251786 /nfs/dbraw/zinc/25/17/86/619251786.db2.gz IUNWIBLUGYAJTB-KRWDZBQOSA-N 0 1 314.429 3.404 20 30 DGEDMN C#CC[C@H]1C(=O)N(c2ccc(Br)cc2C)N=C1C ZINC000899350861 619329093 /nfs/dbraw/zinc/32/90/93/619329093.db2.gz RWYRXVNZRUSGTD-GFCCVEGCSA-N 0 1 305.175 3.120 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2ccc(Br)cc2C)c1=O ZINC000899350861 619329096 /nfs/dbraw/zinc/32/90/96/619329096.db2.gz RWYRXVNZRUSGTD-GFCCVEGCSA-N 0 1 305.175 3.120 20 30 DGEDMN O=C(C=Cc1ccc2nc[nH]c2c1)c1cnn(CC2CCC2)c1 ZINC000899421854 619339982 /nfs/dbraw/zinc/33/99/82/619339982.db2.gz JDUMQHKHWJRSSN-FNORWQNLSA-N 0 1 306.369 3.456 20 30 DGEDMN Cc1sc([C@@H](C)[NH2+]Cc2ccc(C#N)c(F)c2)nc1C(=O)[O-] ZINC000900079293 619400980 /nfs/dbraw/zinc/40/09/80/619400980.db2.gz RBZKUIYDYACOQJ-MRVPVSSYSA-N 0 1 319.361 3.011 20 30 DGEDMN Cc1cc(Cn2cc(CN(C(C)C)C(C)C)nn2)ccc1C#N ZINC000900557354 619438575 /nfs/dbraw/zinc/43/85/75/619438575.db2.gz BTVCZDUPUQVHSW-UHFFFAOYSA-N 0 1 311.433 3.125 20 30 DGEDMN CC(C)c1nccnc1NN=Cc1c(Cl)n[nH]c1C1CC1 ZINC000901231909 619479017 /nfs/dbraw/zinc/47/90/17/619479017.db2.gz QNVXFRABGKALEE-UHFFFAOYSA-N 0 1 304.785 3.300 20 30 DGEDMN O=c1[nH]c2cccc(C=NNc3nccnc3C3CCC3)c2o1 ZINC000901238611 619479721 /nfs/dbraw/zinc/47/97/21/619479721.db2.gz GTQKXSQVNNFLRL-UHFFFAOYSA-N 0 1 309.329 3.037 20 30 DGEDMN C=CCCC[C@@H]([NH2+]C1CCN(CC(=O)[O-])CC1)c1ccccc1 ZINC000902328696 619621544 /nfs/dbraw/zinc/62/15/44/619621544.db2.gz UCDNEUCPVQKFRG-GOSISDBHSA-N 0 1 316.445 3.223 20 30 DGEDMN C=CCCCN(CC)CN1C(=O)C(C)(C)[C@@H]1c1ccncc1 ZINC000902668703 619662753 /nfs/dbraw/zinc/66/27/53/619662753.db2.gz DONJOEQUQOWMQL-INIZCTEOSA-N 0 1 301.434 3.237 20 30 DGEDMN CC(C)OC[C@@H](Cc1ccc(F)cc1)NCC1(C#N)CCC1 ZINC000903035519 619705163 /nfs/dbraw/zinc/70/51/63/619705163.db2.gz QTCQLXYWBHIUCD-QGZVFWFLSA-N 0 1 304.409 3.445 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](NCC2(C#N)CCC2)c2ccccc21 ZINC000903035468 619705319 /nfs/dbraw/zinc/70/53/19/619705319.db2.gz QACDFQFZDRBBMB-SJORKVTESA-N 0 1 312.413 3.452 20 30 DGEDMN COc1cccc([C@@H](CN[C@H](C)c2cc(C#N)ccn2)OC)c1 ZINC000903065891 619709564 /nfs/dbraw/zinc/70/95/64/619709564.db2.gz ZFVKNUQHSLGPSF-FZKQIMNGSA-N 0 1 311.385 3.000 20 30 DGEDMN N#Cc1ccc(Cn2cc(N[C@@H]3CCCc4cn[nH]c43)cn2)cc1 ZINC000903076759 619711750 /nfs/dbraw/zinc/71/17/50/619711750.db2.gz BPOHWNXKODTRDD-QGZVFWFLSA-N 0 1 318.384 3.016 20 30 DGEDMN C=CCC[C@H](NCCS(=O)(=O)CC)c1cccc(Cl)c1 ZINC000903087666 619713862 /nfs/dbraw/zinc/71/38/62/619713862.db2.gz GFSFEYZOKMCWQZ-HNNXBMFYSA-N 0 1 315.866 3.372 20 30 DGEDMN CC[C@H](N[C@@H]1Cc2ccccc2NC1=O)c1cccc(C#N)c1 ZINC000903069823 619715138 /nfs/dbraw/zinc/71/51/38/619715138.db2.gz JXXLRAJYRWRRSW-FUHWJXTLSA-N 0 1 305.381 3.162 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cn3cc(C)ccc3n2)c(O)c1 ZINC000789142325 625372831 /nfs/dbraw/zinc/37/28/31/625372831.db2.gz LMTUGTCBGWWVGE-DAXSKMNVSA-N 0 1 308.337 3.253 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)c1ccccc1C#N ZINC000903971587 619847087 /nfs/dbraw/zinc/84/70/87/619847087.db2.gz QVUQGUHEAUOJAG-UHFFFAOYSA-N 0 1 323.396 3.414 20 30 DGEDMN O=C([C@@H]1CCN1C1CCCC1)N(O)Cc1ccccc1Cl ZINC000904192538 619895674 /nfs/dbraw/zinc/89/56/74/619895674.db2.gz PICAAZRVIJNGSK-HNNXBMFYSA-N 0 1 308.809 3.075 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2c3c(nn2C)CCCC3)c1 ZINC000905078843 619967916 /nfs/dbraw/zinc/96/79/16/619967916.db2.gz XGLJQASOZSUBEG-QXMHVHEDSA-N 0 1 323.440 3.257 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cc(F)cc(F)c2)n1 ZINC000905500460 620002223 /nfs/dbraw/zinc/00/22/23/620002223.db2.gz AADDLXVNAABJPE-UHFFFAOYSA-N 0 1 306.316 3.046 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2ccc(C)cc2F)n1 ZINC000905499665 620002251 /nfs/dbraw/zinc/00/22/51/620002251.db2.gz POZRNQMQKTXCHV-UHFFFAOYSA-N 0 1 302.353 3.215 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Cn1cc(CN(C)C(C)(C)C)nn1 ZINC000905827121 620034590 /nfs/dbraw/zinc/03/45/90/620034590.db2.gz GJAKJHOAMWHFHM-UHFFFAOYSA-N 0 1 311.433 3.045 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000881466852 625394893 /nfs/dbraw/zinc/39/48/93/625394893.db2.gz LFDQFEZPEXLZTJ-FVMDXXJSSA-N 0 1 312.348 3.034 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1[nH]nc(-c2ccc(C)o2)c1C ZINC000909945863 620263321 /nfs/dbraw/zinc/26/33/21/620263321.db2.gz QCMLPPPYCHFHEL-WFASDCNBSA-N 0 1 315.373 3.206 20 30 DGEDMN C#CCNCC(=O)N(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000912496098 620389167 /nfs/dbraw/zinc/38/91/67/620389167.db2.gz RIAGZOXGCFYBMF-OAHLLOKOSA-N 0 1 312.438 3.061 20 30 DGEDMN N#CCCCCCC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000913511577 620446720 /nfs/dbraw/zinc/44/67/20/620446720.db2.gz WVIRUCFNMMOIIA-LJQANCHMSA-N 0 1 322.412 3.358 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@H]1CC[C@@H](C)C1 ZINC000151615899 620466290 /nfs/dbraw/zinc/46/62/90/620466290.db2.gz XXRGDWWMNWRZDI-HZSPNIEDSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@@H]1CC[C@H](C)C1 ZINC000151616100 620466424 /nfs/dbraw/zinc/46/64/24/620466424.db2.gz XXRGDWWMNWRZDI-BFHYXJOUSA-N 0 1 314.385 3.169 20 30 DGEDMN CC1(C)OCC(/N=C\c2cc(Cl)cc(Cl)c2O)CO1 ZINC000753994207 620529807 /nfs/dbraw/zinc/52/98/07/620529807.db2.gz IQAXJUCBTCAEQJ-BNCCVWRVSA-N 0 1 304.173 3.269 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000153277232 620545854 /nfs/dbraw/zinc/54/58/54/620545854.db2.gz AMVHKTIQANUXSU-HZPDHXFCSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(=NNc1ccc(C(F)(F)F)cn1)c1ccc(O)cc1O ZINC000915917596 620606957 /nfs/dbraw/zinc/60/69/57/620606957.db2.gz HKFSTNHCXNSJDZ-UHFFFAOYSA-N 0 1 311.263 3.348 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1ccc2c(c1)CC(=O)N2 ZINC000915934063 620608661 /nfs/dbraw/zinc/60/86/61/620608661.db2.gz RBUOWEVEDHAVME-UHFFFAOYSA-N 0 1 301.296 3.296 20 30 DGEDMN Cc1cc(NN=Cc2cc(Br)ccc2O)nc(C)n1 ZINC000915970840 620609919 /nfs/dbraw/zinc/60/99/19/620609919.db2.gz PESZZCPTNCWCTQ-UHFFFAOYSA-N 0 1 321.178 3.008 20 30 DGEDMN COc1ccc(Cl)cc1C(C)=NNCCN1CCCCC1 ZINC000915973357 620610329 /nfs/dbraw/zinc/61/03/29/620610329.db2.gz GCXJGBIEXCLTGB-UHFFFAOYSA-N 0 1 309.841 3.148 20 30 DGEDMN Brc1cc(CN=Nc2ccccn2)cc2c1OCO2 ZINC000916274133 620623841 /nfs/dbraw/zinc/62/38/41/620623841.db2.gz ZHSBKVPNJJFGIK-UHFFFAOYSA-N 0 1 320.146 3.019 20 30 DGEDMN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NN=Cc1ccncc1 ZINC000916285709 620624551 /nfs/dbraw/zinc/62/45/51/620624551.db2.gz BLRXIBVIGZDHAO-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccc(F)cc2)nc(C)n1 ZINC000921130947 620838791 /nfs/dbraw/zinc/83/87/91/620838791.db2.gz DWANYNJDYMSTPO-BZNIZROVSA-N 0 1 311.360 3.288 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000855172453 620876508 /nfs/dbraw/zinc/87/65/08/620876508.db2.gz LLUIKSUIFKOCGE-DZGCQCFKSA-N 0 1 301.434 3.082 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cnn(CC2CCC2)c1 ZINC000922073961 620985492 /nfs/dbraw/zinc/98/54/92/620985492.db2.gz XRBHZZAJLKRARU-LJQANCHMSA-N 0 1 306.413 3.332 20 30 DGEDMN N#Cc1ccc(Br)c(CN[C@@H]2CC[C@H](C#N)C2)c1 ZINC000922197976 621005054 /nfs/dbraw/zinc/00/50/54/621005054.db2.gz LRUGWBMPELPIKA-WCQYABFASA-N 0 1 304.191 3.103 20 30 DGEDMN Cc1csc(C(C#N)C(=O)COCc2cccc(C)c2)n1 ZINC000857009653 621043263 /nfs/dbraw/zinc/04/32/63/621043263.db2.gz MWRHUDFGGYOUCU-CQSZACIVSA-N 0 1 300.383 3.153 20 30 DGEDMN CC(C)(C(=O)OCc1cc(Cl)cc(C#N)c1)N1CCCC1 ZINC000922732321 621092420 /nfs/dbraw/zinc/09/24/20/621092420.db2.gz XBFISNFNOZHCPT-UHFFFAOYSA-N 0 1 306.793 3.129 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C)c(C#N)c1)O[C@@H](C)C2 ZINC000922760809 621095952 /nfs/dbraw/zinc/09/59/52/621095952.db2.gz DATVTJVKPSBABW-AWEZNQCLSA-N 0 1 322.408 3.489 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H]2CCCc3c[nH]nc32)c1 ZINC000857858629 621123577 /nfs/dbraw/zinc/12/35/77/621123577.db2.gz LSJLWGFZVZKTAG-DOTOQJQBSA-N 0 1 310.401 3.258 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H]2CCCc3c[nH]nc32)c1 ZINC000857858633 621123625 /nfs/dbraw/zinc/12/36/25/621123625.db2.gz LSJLWGFZVZKTAG-RDJZCZTQSA-N 0 1 310.401 3.258 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](Oc3ccc(C4CC4)cn3)C2)c1 ZINC000858014857 621145451 /nfs/dbraw/zinc/14/54/51/621145451.db2.gz PSDYOZRAEYMDIQ-IBGZPJMESA-N 0 1 319.408 3.484 20 30 DGEDMN C#CCC1(NCc2cc(C#N)ccc2Br)CCC1 ZINC000922998058 621145509 /nfs/dbraw/zinc/14/55/09/621145509.db2.gz GJJABBIXRDGOCL-UHFFFAOYSA-N 0 1 303.203 3.356 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CCC2CC2)cc1Cl ZINC000115904878 621158015 /nfs/dbraw/zinc/15/80/15/621158015.db2.gz LVFQFBITBJKQTL-GFCCVEGCSA-N 0 1 320.776 3.186 20 30 DGEDMN CN(C)c1cc(F)c(CNCc2ccc(CC#N)cc2)cc1F ZINC000858181626 621162004 /nfs/dbraw/zinc/16/20/04/621162004.db2.gz UFKNXMBQYUKVGP-UHFFFAOYSA-N 0 1 315.367 3.387 20 30 DGEDMN C#CC[C@@H](COC)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000858335230 621177543 /nfs/dbraw/zinc/17/75/43/621177543.db2.gz PTIFLJILKOXYMB-LBPRGKRZSA-N 0 1 305.324 3.353 20 30 DGEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2c(C)cc(C#N)cc2C)C1 ZINC000923469624 621186481 /nfs/dbraw/zinc/18/64/81/621186481.db2.gz BPVXXXIQSJZRKQ-GOSISDBHSA-N 0 1 300.402 3.252 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C1CCC1 ZINC000838787585 621281249 /nfs/dbraw/zinc/28/12/49/621281249.db2.gz PFCRJECFCPECRJ-TZMCWYRMSA-N 0 1 314.385 3.169 20 30 DGEDMN C=C(Br)CNC1(c2ccc(C#N)cc2)CCOCC1 ZINC000859882581 621342808 /nfs/dbraw/zinc/34/28/08/621342808.db2.gz WCRRVHVHRZDXBN-UHFFFAOYSA-N 0 1 321.218 3.062 20 30 DGEDMN O=[N+]([O-])c1ccccc1N=NC1CCN(Cc2ccccc2)C1 ZINC000839384423 621365311 /nfs/dbraw/zinc/36/53/11/621365311.db2.gz WUIGMIFDWOXQOP-UHFFFAOYSA-N 0 1 310.357 3.269 20 30 DGEDMN CC(=O)Oc1ccc(CN2CCc3c(CC#N)cccc3C2)cc1 ZINC000860107635 621367323 /nfs/dbraw/zinc/36/73/23/621367323.db2.gz KSEAZPMPAIQTLA-UHFFFAOYSA-N 0 1 320.392 3.236 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccc(Cl)s1 ZINC000839409294 621369539 /nfs/dbraw/zinc/36/95/39/621369539.db2.gz VCCFBUXRXNLFMU-UHFFFAOYSA-N 0 1 321.814 3.282 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)CC1CCC(F)(F)CC1 ZINC000860463774 621407962 /nfs/dbraw/zinc/40/79/62/621407962.db2.gz HFIANNICBHVCKG-CYBMUJFWSA-N 0 1 314.376 3.217 20 30 DGEDMN CCCC[C@H](CC)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000117532758 621411256 /nfs/dbraw/zinc/41/12/56/621411256.db2.gz YCJJOFCMMCNGTK-UONOGXRCSA-N 0 1 301.390 3.335 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3C[C@H]3c3ccco3)[nH]c21 ZINC000860555211 621417170 /nfs/dbraw/zinc/41/71/70/621417170.db2.gz GIXIXLIXLGEBHK-UPJWGTAASA-N 0 1 305.337 3.444 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-n3cccc3)nc2)n1 ZINC000753390309 621438585 /nfs/dbraw/zinc/43/85/85/621438585.db2.gz MEQXOLLPKIVBQK-CYBMUJFWSA-N 0 1 308.366 3.127 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCc2ccccc2C)c1 ZINC000753388801 621438862 /nfs/dbraw/zinc/43/88/62/621438862.db2.gz UPMBLWZMRMJYKE-UHFFFAOYSA-N 0 1 320.436 3.479 20 30 DGEDMN FC(F)(F)CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccccc1 ZINC000753928131 621471693 /nfs/dbraw/zinc/47/16/93/621471693.db2.gz IPLDMUBSXPTKQK-BETUJISGSA-N 0 1 324.350 3.203 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cc(C)c(F)c(C)c1 ZINC000753933994 621472242 /nfs/dbraw/zinc/47/22/42/621472242.db2.gz GGQILVGIOTXBEX-GJZGRUSLSA-N 0 1 302.397 3.027 20 30 DGEDMN c1ccc2c(c1)-c1ccccc1C2=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000753938995 621472732 /nfs/dbraw/zinc/47/27/32/621472732.db2.gz NMKXYTIKVWCLPA-QZTJIDSGSA-N 0 1 316.408 3.279 20 30 DGEDMN CC(=O)CCCCCCC(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000753949726 621473769 /nfs/dbraw/zinc/47/37/69/621473769.db2.gz MEYNPCZYWSIXDD-INIZCTEOSA-N 0 1 320.433 3.074 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc([N+](=O)[O-])cc2Cl)CN1C1CC1 ZINC000753960183 621474323 /nfs/dbraw/zinc/47/43/23/621474323.db2.gz OXYLCGAHIZPKMK-VIFPVBQESA-N 0 1 308.769 3.273 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)CCc1ccccc1 ZINC000754020702 621480502 /nfs/dbraw/zinc/48/05/02/621480502.db2.gz UXNTVBXFXXKNLZ-FQEVSTJZSA-N 0 1 311.400 3.255 20 30 DGEDMN OCC[C@H](NCC#Cc1ccccc1Cl)c1cccs1 ZINC000754382979 621507027 /nfs/dbraw/zinc/50/70/27/621507027.db2.gz CHXOBSJYISWWKD-HNNXBMFYSA-N 0 1 305.830 3.466 20 30 DGEDMN CCc1noc(C)c1C(C)N=Nc1ccc(C(F)(F)F)nn1 ZINC000754709846 621526167 /nfs/dbraw/zinc/52/61/67/621526167.db2.gz RXQNFGZZERPLKW-UHFFFAOYSA-N 0 1 313.283 3.190 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCOC(C)(C)[C@@H]2C)c1O ZINC000754742094 621530144 /nfs/dbraw/zinc/53/01/44/621530144.db2.gz XIJWMDIHUCHICW-ZDUSSCGKSA-N 0 1 305.418 3.129 20 30 DGEDMN C[C@@H]1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CCS1 ZINC000754874533 621539481 /nfs/dbraw/zinc/53/94/81/621539481.db2.gz XSCPXPOZVYDVJZ-CQSZACIVSA-N 0 1 312.442 3.207 20 30 DGEDMN N#CC(C(=O)Cc1ccc2c(c1)COC2)c1nc2ccccc2[nH]1 ZINC000755581594 621578908 /nfs/dbraw/zinc/57/89/08/621578908.db2.gz XDXJANKKCRWXBS-OAHLLOKOSA-N 0 1 317.348 3.012 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1C=NNc1cc(C)nc(C)n1 ZINC000755647792 621583637 /nfs/dbraw/zinc/58/36/37/621583637.db2.gz FJDOVHKYKWVITH-UHFFFAOYSA-N 0 1 300.410 3.108 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2ccc3c[nH]nc3c2)n1 ZINC000755740666 621590042 /nfs/dbraw/zinc/59/00/42/621590042.db2.gz VGOXHTCVLRSCDH-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2cnc([C@H]3CCCO3)s2)n1 ZINC000755744214 621590691 /nfs/dbraw/zinc/59/06/91/621590691.db2.gz NYSODYZXXYNZBO-SNVBAGLBSA-N 0 1 323.809 3.192 20 30 DGEDMN C#CC[C@H]1CCN(Cc2ccc(OC)c(O)c2Br)C1 ZINC000862445685 621591242 /nfs/dbraw/zinc/59/12/42/621591242.db2.gz ZPEZQOOWKIQFQJ-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN COc1ccc(N=NCc2cc(F)cc(F)c2)c([N+](=O)[O-])c1 ZINC000755801575 621594459 /nfs/dbraw/zinc/59/44/59/621594459.db2.gz IRRYCACKIXJCMK-UHFFFAOYSA-N 0 1 307.256 3.328 20 30 DGEDMN COc1ccc(OC(F)F)c(C=NNc2cc(C)ccn2)c1 ZINC000755903997 621601668 /nfs/dbraw/zinc/60/16/68/621601668.db2.gz AGNZZKZXGLBOMD-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN Cc1ccnc(NN=Cc2ccc(Oc3cnccn3)cc2)c1 ZINC000755907396 621602047 /nfs/dbraw/zinc/60/20/47/621602047.db2.gz YVFKKUWUYUNABF-UHFFFAOYSA-N 0 1 305.341 3.418 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000862572808 621603054 /nfs/dbraw/zinc/60/30/54/621603054.db2.gz OEAJCASFDKLSBI-SNVBAGLBSA-N 0 1 319.817 3.277 20 30 DGEDMN CC(C)[C@H](C(=O)OCC1CCC(C#N)CC1)N1CCCCC1 ZINC000755965080 621606624 /nfs/dbraw/zinc/60/66/24/621606624.db2.gz WGMWIHGMYPSJRM-OFLPRAFFSA-N 0 1 306.450 3.370 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC1CCCc2scnc21 ZINC000863087847 621637977 /nfs/dbraw/zinc/63/79/77/621637977.db2.gz PJAGIVQDYSFAIS-UHFFFAOYSA-N 0 1 318.358 3.212 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1C(C)N=Nc1ccncc1Cl ZINC000756839704 621667485 /nfs/dbraw/zinc/66/74/85/621667485.db2.gz TUNOVAAEHMHGKE-UHFFFAOYSA-N 0 1 305.813 3.387 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1ccc(Br)nc1 ZINC000756873014 621669848 /nfs/dbraw/zinc/66/98/48/621669848.db2.gz NJHGMKUPFUHKHK-UHFFFAOYSA-N 0 1 321.134 3.198 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)N(C)CCc1cccc(C#N)c1 ZINC000863437084 621673455 /nfs/dbraw/zinc/67/34/55/621673455.db2.gz XRMYTNNLOZYYAJ-UHFFFAOYSA-N 0 1 310.401 3.028 20 30 DGEDMN Cc1ccc(CONC(=O)C(C)(C)c2ccccc2)cc1C#N ZINC000863457618 621675367 /nfs/dbraw/zinc/67/53/67/621675367.db2.gz VQTVESGRDWAFHX-UHFFFAOYSA-N 0 1 308.381 3.392 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](CCN2CCC[C@](C)(C#N)C2)C1 ZINC000839551434 621691550 /nfs/dbraw/zinc/69/15/50/621691550.db2.gz SSBBIQSLJFLMLT-MAUKXSAKSA-N 0 1 321.465 3.259 20 30 DGEDMN O=C(/C=C/c1cnc(-c2ccccc2)nc1)c1ccc(O)cc1O ZINC000757468757 621714484 /nfs/dbraw/zinc/71/44/84/621714484.db2.gz WPAYJEGRADCCQE-RMKNXTFCSA-N 0 1 318.332 3.451 20 30 DGEDMN O=C(/C=C\c1nccn1Cc1ccccc1)c1ccc(O)cc1O ZINC000757471414 621715000 /nfs/dbraw/zinc/71/50/00/621715000.db2.gz DPCOKKADDOEHJL-HJWRWDBZSA-N 0 1 320.348 3.239 20 30 DGEDMN CCCCC[C@H](C)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000757867558 621744489 /nfs/dbraw/zinc/74/44/89/621744489.db2.gz HOSXVPDFEQGSHU-JTQLQIEISA-N 0 1 316.373 3.084 20 30 DGEDMN C#CCCOc1ccc(CN(C)Cc2ccc(OC)nc2)cc1 ZINC000757914283 621746257 /nfs/dbraw/zinc/74/62/57/621746257.db2.gz XYYUPTSVMBXMCU-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(O[C@H](C)C#N)cc2)cn1 ZINC000757918402 621746676 /nfs/dbraw/zinc/74/66/76/621746676.db2.gz YZNODFSOXCIXLD-CQSZACIVSA-N 0 1 311.385 3.013 20 30 DGEDMN C=C(C)C[C@@H](/N=C/c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000864346969 621759285 /nfs/dbraw/zinc/75/92/85/621759285.db2.gz HOPGHFWUFWKERA-PUMYGXPMSA-N 0 1 318.417 3.165 20 30 DGEDMN N#Cc1ccc(F)cc1COC(=O)c1c(F)cc(O)cc1F ZINC000759361371 621849188 /nfs/dbraw/zinc/84/91/88/621849188.db2.gz IXNZPDVZFXESBS-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C(CC)CC)n1 ZINC000759550850 621870324 /nfs/dbraw/zinc/87/03/24/621870324.db2.gz FCWSMEZEBKFYEJ-NSHDSACASA-N 0 1 306.387 3.242 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@H]1CC12CCC2 ZINC000759834456 621894451 /nfs/dbraw/zinc/89/44/51/621894451.db2.gz PUQXWKWNFZYPBY-GHMZBOCLSA-N 0 1 320.751 3.317 20 30 DGEDMN COCc1ccccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865408413 621913147 /nfs/dbraw/zinc/91/31/47/621913147.db2.gz XWAOFWSCBFSQJN-UHFFFAOYSA-N 0 1 309.413 3.060 20 30 DGEDMN CC(C)Oc1ccc(CNCc2cc(C#N)ccc2N(C)C)cn1 ZINC000865415786 621915033 /nfs/dbraw/zinc/91/50/33/621915033.db2.gz QEFGAFSCWDNFEK-UHFFFAOYSA-N 0 1 324.428 3.096 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(c1ccncc1C(F)(F)F)C2 ZINC000865420059 621916165 /nfs/dbraw/zinc/91/61/65/621916165.db2.gz JAACFEGUBFDJDU-UHFFFAOYSA-N 0 1 324.331 3.178 20 30 DGEDMN CN(C)c1ccc(F)cc1CNCc1ccc(OCC#N)cc1 ZINC000865451882 621924755 /nfs/dbraw/zinc/92/47/55/621924755.db2.gz DVPCYKKCRWLADT-UHFFFAOYSA-N 0 1 313.376 3.084 20 30 DGEDMN N#CC(C(=O)CC1CCC(C(F)(F)F)CC1)c1ccncn1 ZINC000760334157 621932723 /nfs/dbraw/zinc/93/27/23/621932723.db2.gz NZMKJULRNQHSOD-HTAVTVPLSA-N 0 1 311.307 3.412 20 30 DGEDMN CC(C)(C)O[C@@H](C(=O)C(C#N)c1ccncn1)c1ccccc1 ZINC000760336593 621933048 /nfs/dbraw/zinc/93/30/48/621933048.db2.gz ZTYIEJHHWGMYIE-WMLDXEAASA-N 0 1 309.369 3.209 20 30 DGEDMN CC[C@@H](Oc1ccccc1Cl)C(=O)C(C#N)c1ccncn1 ZINC000760355402 621934758 /nfs/dbraw/zinc/93/47/58/621934758.db2.gz CABHRWGJUPEODV-BXUZGUMPSA-N 0 1 315.760 3.164 20 30 DGEDMN N#C[C@@H](C(=O)Cc1ccc(Cl)cc1Cl)c1ccncn1 ZINC000760360137 621935216 /nfs/dbraw/zinc/93/52/16/621935216.db2.gz WNHSJTBPWWNVBZ-LLVKDONJSA-N 0 1 306.152 3.202 20 30 DGEDMN COc1cc(F)cc(CNCc2cc(C#N)ccc2N(C)C)c1 ZINC000865517608 621940975 /nfs/dbraw/zinc/94/09/75/621940975.db2.gz ZRMIOEKIUMQCRG-UHFFFAOYSA-N 0 1 313.376 3.062 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CNCc1ccc(C(C)(C)O)cc1 ZINC000865532061 621944669 /nfs/dbraw/zinc/94/46/69/621944669.db2.gz QYJPFYRLHAOULL-UHFFFAOYSA-N 0 1 323.440 3.141 20 30 DGEDMN COc1cc(CNCc2cc(F)ccc2N(C)C)ccc1C#N ZINC000865574361 621954583 /nfs/dbraw/zinc/95/45/83/621954583.db2.gz UIVWPEYHHXUWTG-UHFFFAOYSA-N 0 1 313.376 3.062 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2nn(C)c3ccccc23)cc1 ZINC000760895864 621972340 /nfs/dbraw/zinc/97/23/40/621972340.db2.gz BMUDCJGDEZLZTI-OAHLLOKOSA-N 0 1 319.408 3.436 20 30 DGEDMN CN(C[C@@H](O)c1cccc(C#N)c1)c1ccncc1C(F)(F)F ZINC000865907511 622018845 /nfs/dbraw/zinc/01/88/45/622018845.db2.gz SKYKWEVECSAMLL-OAHLLOKOSA-N 0 1 321.302 3.142 20 30 DGEDMN N#CCc1ccccc1Cc1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000762114471 622054256 /nfs/dbraw/zinc/05/42/56/622054256.db2.gz DPDWJJFBSGGQFP-UHFFFAOYSA-N 0 1 315.336 3.270 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1ccc(C#N)s1 ZINC000762446587 622076254 /nfs/dbraw/zinc/07/62/54/622076254.db2.gz CKLWONIUOUSQCS-UHFFFAOYSA-N 0 1 316.342 3.373 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2ccc(-c3ccc(F)cc3)s2)NO1 ZINC000763259816 622133866 /nfs/dbraw/zinc/13/38/66/622133866.db2.gz MVBVEQHSAKQXEK-VIFPVBQESA-N 0 1 304.346 3.406 20 30 DGEDMN CC(=NNc1ccccc1S(C)(=O)=O)c1cc(C)ccc1F ZINC000763363995 622141087 /nfs/dbraw/zinc/14/10/87/622141087.db2.gz OBICSPSFSJHJIY-UHFFFAOYSA-N 0 1 320.389 3.374 20 30 DGEDMN C#CCN(C[C@@H](O)COc1ccc(-c2ccccc2)cc1)C1CC1 ZINC000763950104 622170478 /nfs/dbraw/zinc/17/04/78/622170478.db2.gz JSVJQRUXMIQTRE-HXUWFJFHSA-N 0 1 321.420 3.191 20 30 DGEDMN CC(C)c1ccc([C@H]2CCCCN2CC(=O)NCCC#N)cc1 ZINC000764458566 622195480 /nfs/dbraw/zinc/19/54/80/622195480.db2.gz BONMUOYRYJXJAH-GOSISDBHSA-N 0 1 313.445 3.367 20 30 DGEDMN COc1cc(C(C)=NNc2cccc(C)c2)cc([N+](=O)[O-])c1O ZINC000764648044 622209888 /nfs/dbraw/zinc/20/98/88/622209888.db2.gz DSCAGWKBSCEJRV-UHFFFAOYSA-N 0 1 315.329 3.454 20 30 DGEDMN C#Cc1cccc(NC(=O)c2cc(-c3ccc(OC)cc3)n[nH]2)c1 ZINC000764653515 622210383 /nfs/dbraw/zinc/21/03/83/622210383.db2.gz FXYQJAIXUHLGNO-UHFFFAOYSA-N 0 1 317.348 3.319 20 30 DGEDMN COCc1ccc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000764689671 622213575 /nfs/dbraw/zinc/21/35/75/622213575.db2.gz FRJNCAGPUFZUBQ-UHFFFAOYSA-N 0 1 309.709 3.434 20 30 DGEDMN N#Cc1ccc(CN2CCC(=NOCC(F)(F)F)CC2)cc1 ZINC000764836540 622224888 /nfs/dbraw/zinc/22/48/88/622224888.db2.gz WAISBXCCWMNEPC-UHFFFAOYSA-N 0 1 311.307 3.089 20 30 DGEDMN CN(C)c1ccc(CN=Nc2ncnc3ccsc32)cc1F ZINC000764839445 622225182 /nfs/dbraw/zinc/22/51/82/622225182.db2.gz CKTXOXOEABYPDG-UHFFFAOYSA-N 0 1 315.377 3.342 20 30 DGEDMN c1cc2ncnc(N=NC3CCN(Cc4ccccc4)C3)c2s1 ZINC000764841637 622225666 /nfs/dbraw/zinc/22/56/66/622225666.db2.gz STLBNUCGLHFCBI-UHFFFAOYSA-N 0 1 323.425 3.365 20 30 DGEDMN CC(C)c1ccccc1NC(=S)NN=C1CCN2CCC[C@H]12 ZINC000765572808 622274326 /nfs/dbraw/zinc/27/43/26/622274326.db2.gz VQFIGSYRXHAZJO-MRXNPFEDSA-N 0 1 316.474 3.321 20 30 DGEDMN C=CCCCCCN(C)CN1C[C@H](c2cccnc2)CC1=O ZINC000765694659 622283007 /nfs/dbraw/zinc/28/30/07/622283007.db2.gz OFWLIDSRDLAKAC-QGZVFWFLSA-N 0 1 301.434 3.033 20 30 DGEDMN CC[C@H](C(=O)OC[C@H](C#N)Cc1cccs1)N(CC)CC ZINC000765965275 622297100 /nfs/dbraw/zinc/29/71/00/622297100.db2.gz KPEDROHETHIHKD-DZGCQCFKSA-N 0 1 308.447 3.094 20 30 DGEDMN CCCCOc1ccccc1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000767386379 622395096 /nfs/dbraw/zinc/39/50/96/622395096.db2.gz CZKLJPJRNYEBAE-IYBDPMFKSA-N 0 1 314.433 3.059 20 30 DGEDMN C[C@@H]1CC(=NOCc2cccnc2)CN(Cc2ccccc2)C1 ZINC000767388993 622395426 /nfs/dbraw/zinc/39/54/26/622395426.db2.gz ZHCTZYZWPXRWEZ-MRXNPFEDSA-N 0 1 309.413 3.496 20 30 DGEDMN COc1ccc(C=NNc2ccc(OC)cc2[N+](=O)[O-])cc1 ZINC000767798220 622422369 /nfs/dbraw/zinc/42/23/69/622422369.db2.gz VOYNIIWBGZUNKK-UHFFFAOYSA-N 0 1 301.302 3.058 20 30 DGEDMN COc1ccc(N=NCc2cc(C)ccc2F)c([N+](=O)[O-])c1 ZINC000767801748 622422376 /nfs/dbraw/zinc/42/23/76/622422376.db2.gz SSJFUKGYNQJMGL-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN COc1ccc(NN=Cc2ccc(F)c(C)c2)c([N+](=O)[O-])c1 ZINC000767804288 622422703 /nfs/dbraw/zinc/42/27/03/622422703.db2.gz ZEMKGQMTQUVWCJ-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN CN(Cc1cccc(C(N)=O)c1)Cc1ccccc1C(C)(C)C#N ZINC000767889057 622426393 /nfs/dbraw/zinc/42/63/93/622426393.db2.gz GMSGCSXVFRUOCP-UHFFFAOYSA-N 0 1 321.424 3.219 20 30 DGEDMN CC(C)(C#N)c1ccccc1COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000768041642 622434841 /nfs/dbraw/zinc/43/48/41/622434841.db2.gz WCZIPILACDUAST-AWEZNQCLSA-N 0 1 323.396 3.374 20 30 DGEDMN Cc1cc(C(C#N)=C(O)C=CCCc2ccccc2)nc(C)n1 ZINC000870136791 622436849 /nfs/dbraw/zinc/43/68/49/622436849.db2.gz RJACZVAYWFBFRH-ZBZNSMLMSA-N 0 1 305.381 3.459 20 30 DGEDMN C#CCOc1ccccc1CN(Cc1ccccc1)C[C@@H](C)O ZINC000768893941 622484121 /nfs/dbraw/zinc/48/41/21/622484121.db2.gz WAYJSNUTXPKPPW-QGZVFWFLSA-N 0 1 309.409 3.082 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C34CC(C(F)(F)F)(C3)C4)[nH]c2c1 ZINC000871071259 622543594 /nfs/dbraw/zinc/54/35/94/622543594.db2.gz CFSXGRGTDATSAQ-UHFFFAOYSA-N 0 1 320.274 3.106 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CC[C@@H]3CC3(Cl)Cl)[nH]c2c1 ZINC000871073352 622543904 /nfs/dbraw/zinc/54/39/04/622543904.db2.gz YSBIKGALOPGQIC-SECBINFHSA-N 0 1 323.183 3.347 20 30 DGEDMN CC(C)(C)C(=O)CCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871073104 622543945 /nfs/dbraw/zinc/54/39/45/622543945.db2.gz SYASSSVJEDKZRD-UHFFFAOYSA-N 0 1 312.373 3.159 20 30 DGEDMN CN(CCC#N)c1ccc(C=NNCc2ccc(C#N)cc2)cc1 ZINC000769823800 622565441 /nfs/dbraw/zinc/56/54/41/622565441.db2.gz WSYGUABTBDPOBC-UHFFFAOYSA-N 0 1 317.396 3.032 20 30 DGEDMN C=CC[C@@H]1N(C(=O)NCc2cc(CC)[nH]n2)CCCC1(C)C ZINC000770675633 622659592 /nfs/dbraw/zinc/65/95/92/622659592.db2.gz DUEJVIDCDPSEDI-HNNXBMFYSA-N 0 1 304.438 3.248 20 30 DGEDMN N#Cc1ccc(C2(c3nc([C@H]4CCCN4)no3)CCCC2)cc1 ZINC000871787767 622698301 /nfs/dbraw/zinc/69/83/01/622698301.db2.gz DSUQHFZICWRICS-OAHLLOKOSA-N 0 1 308.385 3.226 20 30 DGEDMN CN1CCN(c2ccc(/C=C\C(=O)c3ccc(F)cc3)cc2)CC1 ZINC000771519984 622770481 /nfs/dbraw/zinc/77/04/81/622770481.db2.gz DLCNBOJUHABPND-WCIBSUBMSA-N 0 1 324.399 3.474 20 30 DGEDMN Cc1ccoc1C(=O)/C=C\c1ccc(N2CCN(C)CC2)cc1 ZINC000771528265 622772760 /nfs/dbraw/zinc/77/27/60/622772760.db2.gz KZRCCVHFESFGPK-YVMONPNESA-N 0 1 310.397 3.236 20 30 DGEDMN Cn1cc(C=NNc2nc3ccccc3[nH]2)c(-c2ccccc2)n1 ZINC000771556100 622775388 /nfs/dbraw/zinc/77/53/88/622775388.db2.gz VUSUFHUOAPETBG-UHFFFAOYSA-N 0 1 316.368 3.409 20 30 DGEDMN C=C(C)CN1CCC(Nc2cccc([N+](=O)[O-])c2C(C)=O)CC1 ZINC000881946475 625594684 /nfs/dbraw/zinc/59/46/84/625594684.db2.gz MUJFJUWSXCTHTK-UHFFFAOYSA-N 0 1 317.389 3.250 20 30 DGEDMN C#CCCCN1CCN(C(=O)Nc2ccc(C)c(Cl)c2)CC1 ZINC000772239299 622872308 /nfs/dbraw/zinc/87/23/08/622872308.db2.gz CNGRHGZHLPOZIN-UHFFFAOYSA-N 0 1 319.836 3.211 20 30 DGEDMN COC(=O)c1csc(C=NNc2nccnc2C2CCC2)c1 ZINC000872373284 622899898 /nfs/dbraw/zinc/89/98/98/622899898.db2.gz ATIPZADQDGRMDF-UHFFFAOYSA-N 0 1 316.386 3.038 20 30 DGEDMN Cc1cccc(NN=Cc2ccc(C(=O)N3CCCC3)cc2)n1 ZINC000872393100 622903057 /nfs/dbraw/zinc/90/30/57/622903057.db2.gz KYONOAFPZOTSDN-UHFFFAOYSA-N 0 1 308.385 3.072 20 30 DGEDMN N#Cc1ccc(CNC[C@H](O)c2ccccc2Cl)c(F)c1 ZINC000772922311 622954035 /nfs/dbraw/zinc/95/40/35/622954035.db2.gz YEUXGIPPZADOSN-INIZCTEOSA-N 0 1 304.752 3.174 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2cccc3c2OCCO3)cc1 ZINC000772987005 622961051 /nfs/dbraw/zinc/96/10/51/622961051.db2.gz XWQWRWYPKQRQTC-HNNXBMFYSA-N 0 1 323.392 3.321 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@@H](CO)c2cccc(F)c2)cc1 ZINC000773012050 622966117 /nfs/dbraw/zinc/96/61/17/622966117.db2.gz VKYLPXUGJJWKPM-LIRRHRJNSA-N 0 1 313.372 3.222 20 30 DGEDMN O=[N+]([O-])c1ccc2c(c1)C(N=Nc1ccc(F)cn1)CCC2 ZINC000773052520 622974169 /nfs/dbraw/zinc/97/41/69/622974169.db2.gz DCAMTGWUQJNHCX-UHFFFAOYSA-N 0 1 300.293 3.281 20 30 DGEDMN Cc1cccnc1NN=C1c2cc(Cl)cc(Cl)c2NC1=O ZINC000790185724 625614563 /nfs/dbraw/zinc/61/45/63/625614563.db2.gz LUXCSQGELIHPQN-UHFFFAOYSA-N 0 1 321.167 3.465 20 30 DGEDMN COc1cc(NC(C)=O)ccc1C(C)=NNc1ncccc1C ZINC000790191084 625614947 /nfs/dbraw/zinc/61/49/47/625614947.db2.gz QTTDGGDQTSWRKY-UHFFFAOYSA-N 0 1 312.373 3.193 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)C2(F)CCCC2)cc1 ZINC000773980190 623095678 /nfs/dbraw/zinc/09/56/78/623095678.db2.gz OGVQDBSNVYPWFU-AWEZNQCLSA-N 0 1 302.349 3.179 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C2=CCCCCC2)n1 ZINC000774022688 623100162 /nfs/dbraw/zinc/10/01/62/623100162.db2.gz HHHUZBWTKRDJNP-GFCCVEGCSA-N 0 1 318.398 3.387 20 30 DGEDMN CCc1cc(CNc2c(C#N)cnc3c(F)cc(F)cc32)n[nH]1 ZINC000774529757 623157585 /nfs/dbraw/zinc/15/75/85/623157585.db2.gz LUIMTRVMPPURKX-UHFFFAOYSA-N 0 1 313.311 3.282 20 30 DGEDMN C=CCCC[C@H](C)N1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000774540358 623158344 /nfs/dbraw/zinc/15/83/44/623158344.db2.gz IJJHHYNRKWSPGF-ZFWWWQNUSA-N 0 1 305.418 3.298 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000873496953 623159825 /nfs/dbraw/zinc/15/98/25/623159825.db2.gz FSRFDRKINLJTRV-IUODEOHRSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@H](CN(CC)Cn1cccc(C#N)c1=O)c1ccccc1 ZINC000774700377 623178695 /nfs/dbraw/zinc/17/86/95/623178695.db2.gz AYFJNXCIIRPCSD-MRXNPFEDSA-N 0 1 309.413 3.193 20 30 DGEDMN Cn1ccnc1NN=Cc1c(-c2ccccc2)nc2sccn12 ZINC000790300130 625631182 /nfs/dbraw/zinc/63/11/82/625631182.db2.gz SHZKMBJKZQQNJN-UHFFFAOYSA-N 0 1 322.397 3.242 20 30 DGEDMN Cn1ccnc1NN=Cc1c[nH]c2ccc(Br)cc12 ZINC000790299735 625631205 /nfs/dbraw/zinc/63/12/05/625631205.db2.gz IYGATLAZLAJKSM-UHFFFAOYSA-N 0 1 318.178 3.110 20 30 DGEDMN C[C@@H]([NH2+]Cc1cc(=O)oc2cc([O-])ccc12)c1ccc(C#N)cc1 ZINC000775156234 623235620 /nfs/dbraw/zinc/23/56/20/623235620.db2.gz PAUFMBWCOPZNGA-GFCCVEGCSA-N 0 1 320.348 3.221 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1ccc(CC)cc1 ZINC000775298128 623250653 /nfs/dbraw/zinc/25/06/53/623250653.db2.gz IHGWFFHASXJQIO-UHFFFAOYSA-N 0 1 315.394 3.062 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1cccc(CC)c1 ZINC000775297871 623250836 /nfs/dbraw/zinc/25/08/36/623250836.db2.gz BEDKUEBRUXMJRG-UHFFFAOYSA-N 0 1 315.394 3.062 20 30 DGEDMN C[C@@H](NCC(=O)NCCC#N)c1ccccc1Oc1ccccc1 ZINC000775330123 623254702 /nfs/dbraw/zinc/25/47/02/623254702.db2.gz FTGINAJSYYEQGT-OAHLLOKOSA-N 0 1 323.396 3.159 20 30 DGEDMN C#C[C@H](NCc1ccc(Oc2cccnc2)c(C)c1)[C@H]1CCCO1 ZINC000775340258 623256549 /nfs/dbraw/zinc/25/65/49/623256549.db2.gz GJSCSBQSGXGHOS-AZUAARDMSA-N 0 1 322.408 3.453 20 30 DGEDMN C#C[C@@H](NCc1ccc(Br)cc1C)[C@H]1CCCO1 ZINC000775345891 623256940 /nfs/dbraw/zinc/25/69/40/623256940.db2.gz RFGFZYCAFIFHDD-HUUCEWRRSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1cc(C)c(OC(F)F)c(C)c1)[C@H]1CCCO1 ZINC000775343046 623257159 /nfs/dbraw/zinc/25/71/59/623257159.db2.gz UTQSBLOODQSBCL-LSDHHAIUSA-N 0 1 309.356 3.175 20 30 DGEDMN N#Cc1ccc(C=NNc2cccc(F)c2[N+](=O)[O-])c(F)c1 ZINC000790311307 625634248 /nfs/dbraw/zinc/63/42/48/625634248.db2.gz ZRFVMBNTGSDOEK-UHFFFAOYSA-N 0 1 302.240 3.191 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(Br)s1)[C@@H]1CCCO1 ZINC000775572490 623291430 /nfs/dbraw/zinc/29/14/30/623291430.db2.gz BAPOAXKQCBFRDO-DCAQKATOSA-N 0 1 314.248 3.342 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(SC)c(OC)c1)[C@@H]1CCCO1 ZINC000775575158 623292557 /nfs/dbraw/zinc/29/25/57/623292557.db2.gz VAPWJBWPZKKACE-QEJZJMRPSA-N 0 1 305.443 3.249 20 30 DGEDMN C#C[C@H](NCCOc1c(Cl)cccc1Cl)[C@@H]1CCCO1 ZINC000775791818 623333425 /nfs/dbraw/zinc/33/34/25/623333425.db2.gz XFUFRHMQTMDKTE-KBPBESRZSA-N 0 1 314.212 3.143 20 30 DGEDMN C#C[C@@H](NCc1ccccc1Oc1cccnc1)[C@@H]1CCCO1 ZINC000775800127 623334031 /nfs/dbraw/zinc/33/40/31/623334031.db2.gz RDAPGFKJUKKPKY-MJGOQNOKSA-N 0 1 308.381 3.144 20 30 DGEDMN C#C[C@H](NCc1ccc(F)cc1C(F)(F)F)[C@@H]1CCCO1 ZINC000776003002 623363894 /nfs/dbraw/zinc/36/38/94/623363894.db2.gz UYUDSDIDLLZRBV-KBPBESRZSA-N 0 1 301.283 3.115 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1c[nH]c2ccc(C#N)cc12 ZINC000776186974 623392235 /nfs/dbraw/zinc/39/22/35/623392235.db2.gz AVNOMFBDULGJPV-UHFFFAOYSA-N 0 1 318.336 3.272 20 30 DGEDMN CC(C)(C)[C@@H](C#N)NC(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875409848 623447087 /nfs/dbraw/zinc/44/70/87/623447087.db2.gz BIBAPKZIJNAKPB-LLVKDONJSA-N 0 1 302.271 3.111 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc(C#N)c(F)c1 ZINC000776767347 623460588 /nfs/dbraw/zinc/46/05/88/623460588.db2.gz WLNZMKBWAQRYLA-UHFFFAOYSA-N 0 1 307.332 3.420 20 30 DGEDMN CC(N=Nc1nc2ccccc2n1C)c1ccc(C#N)c(F)c1 ZINC000776767347 623460589 /nfs/dbraw/zinc/46/05/89/623460589.db2.gz WLNZMKBWAQRYLA-UHFFFAOYSA-N 0 1 307.332 3.420 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@H](C3CC3)C2)c1O ZINC000776771215 623461350 /nfs/dbraw/zinc/46/13/50/623461350.db2.gz GRTOFHAHNYMCOT-SFHVURJKSA-N 0 1 317.429 3.130 20 30 DGEDMN Cc1cc2ccccc2[nH]c1=NN=Cc1cc(C(N)=O)cs1 ZINC000776785880 623463323 /nfs/dbraw/zinc/46/33/23/623463323.db2.gz KZOSXNFVUURBCK-UHFFFAOYSA-N 0 1 310.382 3.150 20 30 DGEDMN C#CCOc1cccc(Cl)c1C=NNc1cccc(OC)n1 ZINC000777446330 623526906 /nfs/dbraw/zinc/52/69/06/623526906.db2.gz IULDIWLYRUWOCD-UHFFFAOYSA-N 0 1 315.760 3.202 20 30 DGEDMN COc1ccc(CNCc2ccnn2-c2ccccc2)cc1C#N ZINC000790477240 625657895 /nfs/dbraw/zinc/65/78/95/625657895.db2.gz CKNLESJRPJMQCL-UHFFFAOYSA-N 0 1 318.380 3.042 20 30 DGEDMN COc1ccc2[nH]c(=NN=Cc3ccc(O)cn3)c(C)cc2c1 ZINC000777913503 623584566 /nfs/dbraw/zinc/58/45/66/623584566.db2.gz MRBHADVNXPPIOS-UHFFFAOYSA-N 0 1 308.341 3.098 20 30 DGEDMN CON=Cc1ccc(-c2nc(-c3ccn4cncc4c3)no2)cc1 ZINC000790511449 625662934 /nfs/dbraw/zinc/66/29/34/625662934.db2.gz GKNBLUZJCMMRTQ-UHFFFAOYSA-N 0 1 319.324 3.032 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCc1c(C)noc1C ZINC000778167243 623618427 /nfs/dbraw/zinc/61/84/27/623618427.db2.gz DEWUNEATHIVJIX-UHFFFAOYSA-N 0 1 318.804 3.289 20 30 DGEDMN CC(C)CN(CCC#N)Cc1ccc(N2CCC(O)CC2)cc1 ZINC000876530987 623634306 /nfs/dbraw/zinc/63/43/06/623634306.db2.gz XDRMFZXEQQIOAP-UHFFFAOYSA-N 0 1 315.461 3.019 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@H](C(F)(F)F)C1 ZINC000876534556 623636411 /nfs/dbraw/zinc/63/64/11/623636411.db2.gz DPBUVJVINBMYBB-AWEZNQCLSA-N 0 1 311.351 3.399 20 30 DGEDMN C=CC[C@@H](C)[C@H](C)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC000779064332 623726436 /nfs/dbraw/zinc/72/64/36/623726436.db2.gz JGGKOSKREJCGGT-NEPJUHHUSA-N 0 1 306.397 3.337 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(CC)C[C@H]1CCOC1 ZINC000779154352 623735171 /nfs/dbraw/zinc/73/51/71/623735171.db2.gz RFVHKXQNUBDXEX-CQSZACIVSA-N 0 1 307.821 3.210 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(CC#N)CC1 ZINC000779165177 623737523 /nfs/dbraw/zinc/73/75/23/623737523.db2.gz AJDLPMOYTLGBTC-UHFFFAOYSA-N 0 1 302.805 3.478 20 30 DGEDMN Cc1ccc(N=NC2CCN(CCC(F)(F)F)CC2)nc1 ZINC000779771326 623799909 /nfs/dbraw/zinc/79/99/09/623799909.db2.gz CAGGEHBCPLHHNK-UHFFFAOYSA-N 0 1 300.328 3.206 20 30 DGEDMN Cc1cc(C=NNc2ccccc2S(C)(=O)=O)ccc1Cl ZINC000779777183 623800933 /nfs/dbraw/zinc/80/09/33/623800933.db2.gz SFYJDCFYLQSQQE-UHFFFAOYSA-N 0 1 322.817 3.498 20 30 DGEDMN COc1cccc(NN=Cc2ccc(OC(F)F)c(OC)c2)n1 ZINC000779819568 623814421 /nfs/dbraw/zinc/81/44/21/623814421.db2.gz SYFAFHPPFVGGEF-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2c(OC)cccc2OC)c(O)c1 ZINC000212168314 625678158 /nfs/dbraw/zinc/67/81/58/625678158.db2.gz ZCBBKYSIMWEHKK-KTKRTIGZSA-N 0 1 314.337 3.314 20 30 DGEDMN Cc1ccccc1S(=O)(=O)Nc1ccccc1O[C@H](C)C#N ZINC000779848768 623819706 /nfs/dbraw/zinc/81/97/06/623819706.db2.gz QPYXKIBJWYHUID-CYBMUJFWSA-N 0 1 316.382 3.087 20 30 DGEDMN CC(C)C[C@H]1OCCC[C@H]1NCc1cc(C#N)cnc1Cl ZINC000877421228 623875550 /nfs/dbraw/zinc/87/55/50/623875550.db2.gz KIOFSIGQJJEOHW-HUUCEWRRSA-N 0 1 307.825 3.290 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1c[nH]nc1-c1ccsc1 ZINC000780212201 623878392 /nfs/dbraw/zinc/87/83/92/623878392.db2.gz WPTUCRTXXSCIFA-UHFFFAOYSA-N 0 1 322.397 3.471 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=N[C@@H]1CCOC(C)(C)C1 ZINC000780250496 623894583 /nfs/dbraw/zinc/89/45/83/623894583.db2.gz PKGDOVOSGXVLJV-DOTOQJQBSA-N 0 1 315.461 3.042 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cccc(Cl)n3)[nH]c21 ZINC000780380563 623919160 /nfs/dbraw/zinc/91/91/60/623919160.db2.gz FTADUNWGJZLRDN-JTQLQIEISA-N 0 1 310.744 3.410 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000780386865 623920262 /nfs/dbraw/zinc/92/02/62/623920262.db2.gz DOEHTCFHVLRZRT-QGZVFWFLSA-N 0 1 304.409 3.128 20 30 DGEDMN N#CC1CCC([NH2+]Cc2cc(Br)ccc2[O-])CC1 ZINC000877520941 623921847 /nfs/dbraw/zinc/92/18/47/623921847.db2.gz LNZTXSDIRIIIFK-UHFFFAOYSA-N 0 1 309.207 3.327 20 30 DGEDMN N#Cc1cc(Cl)ccc1COC(=O)c1cccc2[nH]ncc21 ZINC000780491668 623939563 /nfs/dbraw/zinc/93/95/63/623939563.db2.gz JDWSOKUEEIPVKL-UHFFFAOYSA-N 0 1 311.728 3.445 20 30 DGEDMN C#Cc1cccc(CNCc2ccccc2OCCCCO)c1 ZINC000877575386 623949826 /nfs/dbraw/zinc/94/98/26/623949826.db2.gz QUMXIPFOXYUZJW-UHFFFAOYSA-N 0 1 309.409 3.109 20 30 DGEDMN CCC(CC)(CNC(=O)OC(C)(C)C)CN1CC(CC#N)C1 ZINC000877624491 623967380 /nfs/dbraw/zinc/96/73/80/623967380.db2.gz DJGJXRCZOBNPNC-UHFFFAOYSA-N 0 1 309.454 3.163 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3cc4cccnc4o3)CC2)cc1 ZINC000877745315 624018114 /nfs/dbraw/zinc/01/81/14/624018114.db2.gz PLLIIHDCFNHUNQ-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN Clc1ccc2cccnc2c1C=NNCCN1CCCCC1 ZINC000781123666 624025943 /nfs/dbraw/zinc/02/59/43/624025943.db2.gz ZILBJUIXQXWXIP-UHFFFAOYSA-N 0 1 316.836 3.298 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3cccc(C#N)n3)C2)[nH]n1 ZINC000877784051 624035381 /nfs/dbraw/zinc/03/53/81/624035381.db2.gz NYMFGQDCNIRSRF-AWEZNQCLSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3cccc(C#N)n3)C2)n[nH]1 ZINC000877784051 624035383 /nfs/dbraw/zinc/03/53/83/624035383.db2.gz NYMFGQDCNIRSRF-AWEZNQCLSA-N 0 1 309.417 3.179 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000781217027 624040648 /nfs/dbraw/zinc/04/06/48/624040648.db2.gz BAVHDIQUBOOAQR-MRXNPFEDSA-N 0 1 312.498 3.041 20 30 DGEDMN Cc1cc(CN2CC[C@@H](C(F)(F)F)O[C@@H](C)C2)ccc1C#N ZINC000877819405 624050484 /nfs/dbraw/zinc/05/04/84/624050484.db2.gz BQUNOKNOIZMRIP-WFASDCNBSA-N 0 1 312.335 3.408 20 30 DGEDMN C[C@H]1Cc2cn[nH]c2[C@@H](C(=O)Nc2ccc(C3CC3)c(C#N)c2)C1 ZINC000882309975 625694971 /nfs/dbraw/zinc/69/49/71/625694971.db2.gz CGKLUHHNSAGVET-GTNSWQLSSA-N 0 1 320.396 3.463 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cc(Cl)cs1 ZINC000782186580 624217348 /nfs/dbraw/zinc/21/73/48/624217348.db2.gz XRVRDQHXFVKRKI-UHFFFAOYSA-N 0 1 321.814 3.282 20 30 DGEDMN CCOc1c(Cl)cccc1C=NNCCN1CCCCC1 ZINC000782204143 624219393 /nfs/dbraw/zinc/21/93/93/624219393.db2.gz WFGUECGQNFWUOM-UHFFFAOYSA-N 0 1 309.841 3.148 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc([N+](=O)[O-])cc1Cl ZINC000782225166 624223278 /nfs/dbraw/zinc/22/32/78/624223278.db2.gz WXLBSVMVCUWRPY-UHFFFAOYSA-N 0 1 324.812 3.048 20 30 DGEDMN COC(=O)COc1ccc(CN=Nc2cc(C)ccc2F)cc1 ZINC000782228069 624223751 /nfs/dbraw/zinc/22/37/51/624223751.db2.gz DCUGDRYIUJGRQT-UHFFFAOYSA-N 0 1 316.332 3.132 20 30 DGEDMN COc1cc(C(C)=NNc2cccc(C(F)(F)F)n2)ccn1 ZINC000782229962 624223790 /nfs/dbraw/zinc/22/37/90/624223790.db2.gz CICCTSYRCOGAAK-UHFFFAOYSA-N 0 1 310.279 3.340 20 30 DGEDMN CC(=NNCCC(C)(C)C)c1ccc(N2CCOCC2)c(F)c1 ZINC000782903108 624289289 /nfs/dbraw/zinc/28/92/89/624289289.db2.gz PRWSCWRUKOWKMM-UHFFFAOYSA-N 0 1 321.440 3.412 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCCCc2ccsc2)cc1 ZINC000782948382 624293133 /nfs/dbraw/zinc/29/31/33/624293133.db2.gz SJDZSCIYVRQOKL-UHFFFAOYSA-N 0 1 303.431 3.084 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000783120765 624312113 /nfs/dbraw/zinc/31/21/13/624312113.db2.gz PYGGQSGJCOUMRS-MAUKXSAKSA-N 0 1 321.417 3.016 20 30 DGEDMN N#CCC1(CN2CCCC[C@@H]2COC(=O)NC2CCCC2)CC1 ZINC000878626489 624325285 /nfs/dbraw/zinc/32/52/85/624325285.db2.gz CNHGVOAHVOHECU-MRXNPFEDSA-N 0 1 319.449 3.204 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2CCCN(CC3(CC#N)CC3)[C@@H]2C1 ZINC000878690920 624340580 /nfs/dbraw/zinc/34/05/80/624340580.db2.gz YNAWCVSGRDWAHA-LSDHHAIUSA-N 0 1 319.449 3.012 20 30 DGEDMN Fc1ccc(F)c2c1OCCC2=NNc1cncc(Cl)n1 ZINC000783823770 624398658 /nfs/dbraw/zinc/39/86/58/624398658.db2.gz ITVJKWVQFUGCBQ-UHFFFAOYSA-N 0 1 310.691 3.007 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NN[C@H](C)c1ccccc1 ZINC000783831499 624398752 /nfs/dbraw/zinc/39/87/52/624398752.db2.gz LEJXITXMJHFHQZ-CQSZACIVSA-N 0 1 305.385 3.260 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)CN(CC)C1CC1 ZINC000783871405 624403262 /nfs/dbraw/zinc/40/32/62/624403262.db2.gz NGIPVMHYKCTEAH-UHFFFAOYSA-N 0 1 302.418 3.007 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCc2ccc(C)cc2)c1 ZINC000784133899 624442091 /nfs/dbraw/zinc/44/20/91/624442091.db2.gz FGXPERBWLQFFOD-UHFFFAOYSA-N 0 1 320.436 3.479 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(CN2CCC[C@](C)(C#N)C2)CC1 ZINC000784455160 624526488 /nfs/dbraw/zinc/52/64/88/624526488.db2.gz TYTFKILSSPMSIP-GOSISDBHSA-N 0 1 321.465 3.259 20 30 DGEDMN CC(C)[C@@H](F)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000784491126 624533326 /nfs/dbraw/zinc/53/33/26/624533326.db2.gz ORKBZRZPGBYMIO-JOYOIKCWSA-N 0 1 314.719 3.121 20 30 DGEDMN C[C@@H](C(=O)N(C)c1ccccc1)N(C)CCc1cccc(C#N)c1 ZINC000879434770 624546717 /nfs/dbraw/zinc/54/67/17/624546717.db2.gz NLMGTNAVWHMQDU-INIZCTEOSA-N 0 1 321.424 3.084 20 30 DGEDMN Cc1nc(-c2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2)co1 ZINC000785404650 624662011 /nfs/dbraw/zinc/66/20/11/624662011.db2.gz VKMIBYFGQIJYCP-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN CC(C)c1nc(CN[C@H](c2cccc(C#N)c2)C(F)(F)F)n[nH]1 ZINC000879784189 624676183 /nfs/dbraw/zinc/67/61/83/624676183.db2.gz ZQXNBEQIBGSBPV-CYBMUJFWSA-N 0 1 323.322 3.193 20 30 DGEDMN C#CCOc1cccc(-c2noc(CN3[C@H](C)CC[C@@H]3C)n2)c1 ZINC000785586055 624692048 /nfs/dbraw/zinc/69/20/48/624692048.db2.gz VDDKUYWKDKJDHX-OKILXGFUSA-N 0 1 311.385 3.121 20 30 DGEDMN N#Cc1cccc(OCCCOC(=O)c2cccc3[nH]ncc32)c1 ZINC000785593065 624695046 /nfs/dbraw/zinc/69/50/46/624695046.db2.gz RJAKPGFEJBXTDF-UHFFFAOYSA-N 0 1 321.336 3.060 20 30 DGEDMN CCCCCCCCCN1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879940939 624727964 /nfs/dbraw/zinc/72/79/64/624727964.db2.gz REALEXWPIVQXBG-JKSUJKDBSA-N 0 1 301.496 3.104 20 30 DGEDMN Cc1csc([C@H]2CCN(CC#Cc3ccc(F)cc3)C2)n1 ZINC000880010044 624752495 /nfs/dbraw/zinc/75/24/95/624752495.db2.gz SFHHYCMNPCJSKF-HNNXBMFYSA-N 0 1 300.402 3.432 20 30 DGEDMN COC(=O)CC[C@H]1CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000880041466 624764555 /nfs/dbraw/zinc/76/45/55/624764555.db2.gz LLDTVYBXRDSEGX-CYBMUJFWSA-N 0 1 320.820 3.377 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2ccc3cncn3c2)cc1 ZINC000786002383 624777025 /nfs/dbraw/zinc/77/70/25/624777025.db2.gz KGIDEKVEBQKRDB-UHFFFAOYSA-N 0 1 304.353 3.203 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1cncc(Cl)n1)CCS2 ZINC000786037121 624783006 /nfs/dbraw/zinc/78/30/06/624783006.db2.gz FMLUJPVGMKWKAA-UHFFFAOYSA-N 0 1 320.805 3.451 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCC[C@@H](CF)C1 ZINC000880235653 624859732 /nfs/dbraw/zinc/85/97/32/624859732.db2.gz PGUWYZNKYBAWFP-HOTGVXAUSA-N 0 1 317.408 3.003 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786530741 624911564 /nfs/dbraw/zinc/91/15/64/624911564.db2.gz JJMVQMCXDHYAEF-OAHLLOKOSA-N 0 1 320.820 3.491 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@H]2CCC[C@@H]2C)c1 ZINC000786541093 624914988 /nfs/dbraw/zinc/91/49/88/624914988.db2.gz KBXHCIUZFNQKFD-USXIJHARSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C)c1 ZINC000786552537 624920249 /nfs/dbraw/zinc/92/02/49/624920249.db2.gz NJPFNGYWQVKOJF-WBVHZDCISA-N 0 1 314.429 3.392 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC2CCCCC2)c1 ZINC000786551191 624920437 /nfs/dbraw/zinc/92/04/37/624920437.db2.gz VWIVXSSCXNUPQT-QGZVFWFLSA-N 0 1 312.413 3.291 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCC(C)(C)C2)c1 ZINC000786551257 624920463 /nfs/dbraw/zinc/92/04/63/624920463.db2.gz CIBBCUWCCMKZOE-HZPDHXFCSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC2CCCCC2)c1 ZINC000786551190 624920509 /nfs/dbraw/zinc/92/05/09/624920509.db2.gz VWIVXSSCXNUPQT-KRWDZBQOSA-N 0 1 312.413 3.291 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786570925 624922777 /nfs/dbraw/zinc/92/27/77/624922777.db2.gz UZKYNUGZAQDHSD-CYBMUJFWSA-N 0 1 322.355 3.116 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN(Cc1ccc(CC#N)cc1)C2 ZINC000880352981 624927702 /nfs/dbraw/zinc/92/77/02/624927702.db2.gz XUOKSJVPEGZNPG-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1cnn(C(C)C)c1C ZINC000787163957 624984345 /nfs/dbraw/zinc/98/43/45/624984345.db2.gz ZMPKQORCVVIPRV-UHFFFAOYSA-N 0 1 314.389 3.395 20 30 DGEDMN COC(=O)c1ccc(N=NCc2cc3ccccc3[nH]2)c(OC)c1 ZINC000788437086 625172233 /nfs/dbraw/zinc/17/22/33/625172233.db2.gz MVFFITJIACPTMC-UHFFFAOYSA-N 0 1 323.352 3.409 20 30 DGEDMN C#C[C@H](N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)[C@H]1CCCO1 ZINC000788601456 625225367 /nfs/dbraw/zinc/22/53/67/625225367.db2.gz QWNUHJLSXSYPPU-GVDBMIGSSA-N 0 1 314.385 3.088 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(C#N)c(F)c1)N1CCCCCC1 ZINC000791391051 625790051 /nfs/dbraw/zinc/79/00/51/625790051.db2.gz LDDRNLWQLTUBOW-CYBMUJFWSA-N 0 1 304.365 3.005 20 30 DGEDMN N#CC(C(=O)CCOCC(F)F)c1nccc2ccccc21 ZINC000792092493 625908768 /nfs/dbraw/zinc/90/87/68/625908768.db2.gz CAFBMSSNWYJALZ-ZDUSSCGKSA-N 0 1 304.296 3.083 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)NCc1cc(CC)[nH]n1 ZINC000792098131 625909235 /nfs/dbraw/zinc/90/92/35/625909235.db2.gz SPMSGVZOANKERM-UHFFFAOYSA-N 0 1 312.417 3.260 20 30 DGEDMN N#C[C@H](C(=O)CC1CCSCC1)c1cnc2ccccc2n1 ZINC000792111039 625911030 /nfs/dbraw/zinc/91/10/30/625911030.db2.gz PEMYLSJYRZTSSB-ZDUSSCGKSA-N 0 1 311.410 3.339 20 30 DGEDMN O=C(OCC#Cc1cccc(Cl)c1)c1ccc2cncn2c1 ZINC000792565810 625959059 /nfs/dbraw/zinc/95/90/59/625959059.db2.gz QVIPYKIFBMVNAS-UHFFFAOYSA-N 0 1 310.740 3.196 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)c1ccc(C)cc1 ZINC000796384634 626045000 /nfs/dbraw/zinc/04/50/00/626045000.db2.gz OODIQVALNGRPMM-IBGZPJMESA-N 0 1 315.413 3.192 20 30 DGEDMN N#C[C@@H](C(=O)NC1CCCCC1)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796631613 626067898 /nfs/dbraw/zinc/06/78/98/626067898.db2.gz HTKRABAHTXRWHA-CVEARBPZSA-N 0 1 322.424 3.453 20 30 DGEDMN CC[C@H](C)[C@H](OC)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000796636796 626068226 /nfs/dbraw/zinc/06/82/26/626068226.db2.gz RBFRXPLOBXFTIO-PGUXBMHVSA-N 0 1 322.792 3.048 20 30 DGEDMN CC[C@](C)(OC)C(=O)[C@@H](C#N)c1nc2cc(F)ccc2s1 ZINC000796689882 626073508 /nfs/dbraw/zinc/07/35/08/626073508.db2.gz DAYYXFYNFNIGER-BMIGLBTASA-N 0 1 306.362 3.427 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3CCC(F)(F)C3)[nH]c21 ZINC000796717501 626075773 /nfs/dbraw/zinc/07/57/73/626075773.db2.gz CGYVAZGOWXSDGW-QWRGUYRKSA-N 0 1 303.312 3.483 20 30 DGEDMN CCC(C)(C)C1CCC2(C[C@@H]2C(=O)C(C#N)C(=O)NC)CC1 ZINC000796797761 626084425 /nfs/dbraw/zinc/08/44/25/626084425.db2.gz KTILOYUPYQLHTO-RYTBJUFESA-N 0 1 304.434 3.074 20 30 DGEDMN N#Cc1ccc(C(=O)OC[C@@H]2CCCCN2Cc2ccccc2)o1 ZINC000797230777 626129392 /nfs/dbraw/zinc/12/93/92/626129392.db2.gz DDPCMNMGUOVKNO-INIZCTEOSA-N 0 1 324.380 3.363 20 30 DGEDMN CC(C)c1c(Cl)ncnc1NN=Cc1ccc([N+](=O)[O-])o1 ZINC000797404619 626140407 /nfs/dbraw/zinc/14/04/07/626140407.db2.gz HFAGJAVMUPBTIQ-UHFFFAOYSA-N 0 1 309.713 3.201 20 30 DGEDMN COc1ccc(C=NNc2ncnc(Cl)c2C(C)C)cn1 ZINC000797404411 626140480 /nfs/dbraw/zinc/14/04/80/626140480.db2.gz NRTXICBQCLNNBK-UHFFFAOYSA-N 0 1 305.769 3.103 20 30 DGEDMN C#CCCCN(Cc1cnn(C)c1)Cc1ccc(Cl)cc1 ZINC000797528770 626151457 /nfs/dbraw/zinc/15/14/57/626151457.db2.gz WEQAYKMOKUETPM-UHFFFAOYSA-N 0 1 301.821 3.489 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2[C@H](c3ccccc3)[C@@H]2C)nc(C)n1 ZINC000797549074 626152182 /nfs/dbraw/zinc/15/21/82/626152182.db2.gz UMNXOHJQNOBPNK-OYYDLILQSA-N 0 1 305.381 3.319 20 30 DGEDMN C[C@H](OCC1CC1)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797570072 626153897 /nfs/dbraw/zinc/15/38/97/626153897.db2.gz CFOJLDBKYLFQTN-JQWIXIFHSA-N 0 1 306.431 3.432 20 30 DGEDMN C[C@@H](OCC1CC1)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797570073 626154086 /nfs/dbraw/zinc/15/40/86/626154086.db2.gz CFOJLDBKYLFQTN-PWSUYJOCSA-N 0 1 306.431 3.432 20 30 DGEDMN C=C(Cl)CNS(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000797826613 626172034 /nfs/dbraw/zinc/17/20/34/626172034.db2.gz YBVICPPOVHPJHQ-UHFFFAOYSA-N 0 1 318.584 3.163 20 30 DGEDMN N#C[C@@H](C(=O)CCCC1CCOCC1)c1cnc2ccccc2n1 ZINC000798860899 626251081 /nfs/dbraw/zinc/25/10/81/626251081.db2.gz GDOXEBMOKAGORR-OAHLLOKOSA-N 0 1 323.396 3.403 20 30 DGEDMN C#C[C@H](NC(=O)c1cn[nH]c1-c1ccc(Cl)s1)C(C)C ZINC000799048344 626267880 /nfs/dbraw/zinc/26/78/80/626267880.db2.gz GIYVQCGSNCWDLT-JTQLQIEISA-N 0 1 307.806 3.179 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1nc(C)ccc1OC ZINC000799640230 626316714 /nfs/dbraw/zinc/31/67/14/626316714.db2.gz SJTRGWOPPCRAOV-UHFFFAOYSA-N 0 1 300.424 3.111 20 30 DGEDMN c1cncc([C@H]2CCCC(=NNCCN3CCCCC3)C2)c1 ZINC000799876609 626332713 /nfs/dbraw/zinc/33/27/13/626332713.db2.gz VMTUPRWYWYHGCP-INIZCTEOSA-N 0 1 300.450 3.171 20 30 DGEDMN COc1cccc(C=NNc2cc(C#N)cc(Cl)n2)c1F ZINC000799883831 626333069 /nfs/dbraw/zinc/33/30/69/626333069.db2.gz SUHNIMYYMYFLLA-UHFFFAOYSA-N 0 1 304.712 3.200 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1cccc(OC)c1 ZINC000800818882 626376967 /nfs/dbraw/zinc/37/69/67/626376967.db2.gz UKAYHXNGTSHMLC-UHFFFAOYSA-N 0 1 316.332 3.457 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)nc1)c1cnc2ccccc2n1 ZINC000802227672 626436121 /nfs/dbraw/zinc/43/61/21/626436121.db2.gz IUCTUKMKGQOUPZ-NSHDSACASA-N 0 1 308.728 3.168 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1CN=Nc1ncccc1C ZINC000802712318 626567166 /nfs/dbraw/zinc/56/71/66/626567166.db2.gz MEOUTLOPADJZLC-UHFFFAOYSA-N 0 1 306.373 3.034 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C1CC(C)(C)C1)c1ccccc1 ZINC000802800407 626573930 /nfs/dbraw/zinc/57/39/30/626573930.db2.gz QWNCQBHOECRRGZ-HOTGVXAUSA-N 0 1 312.413 3.399 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cscc2Br)c1 ZINC000802891202 626581013 /nfs/dbraw/zinc/58/10/13/626581013.db2.gz QFMDOHWXODQNLV-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)NCc1nnc(C(C)C)[nH]1 ZINC000883225389 626614778 /nfs/dbraw/zinc/61/47/78/626614778.db2.gz GBBLOZMLXXRIRU-OAHLLOKOSA-N 0 1 314.433 3.432 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C2CC2)[nH]1)[C@H]1Cc2ccccc2O1 ZINC000883225493 626615140 /nfs/dbraw/zinc/61/51/40/626615140.db2.gz IFNSYIAFQFGRSS-NVXWUHKLSA-N 0 1 324.428 3.110 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)cc1 ZINC000883235135 626618371 /nfs/dbraw/zinc/61/83/71/626618371.db2.gz VOMLNKWQHCFEHG-ZAAXVRCTSA-N 0 1 322.408 3.117 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2ccc(Br)cc2[N+](=O)[O-])C1 ZINC000883295590 626639708 /nfs/dbraw/zinc/63/97/08/626639708.db2.gz MUJZMEPJGRJDGI-BXKDBHETSA-N 0 1 324.178 3.139 20 30 DGEDMN Cc1ccccc1-n1cc(/C=C\C(=O)c2ccc(O)c(F)c2)nn1 ZINC000806571066 626722867 /nfs/dbraw/zinc/72/28/67/626722867.db2.gz CNGMODZXBWQGHE-CLFYSBASSA-N 0 1 323.327 3.317 20 30 DGEDMN c1ccc(CN2CCC(N=Nc3nccnc3C3CCC3)C2)cc1 ZINC000807992031 626783541 /nfs/dbraw/zinc/78/35/41/626783541.db2.gz YDPARPKNESBGHZ-UHFFFAOYSA-N 0 1 321.428 3.418 20 30 DGEDMN C=CCCCCCN(C)C(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC000884143251 626840931 /nfs/dbraw/zinc/84/09/31/626840931.db2.gz BLAFQFWEZJUAES-QGZVFWFLSA-N 0 1 313.445 3.243 20 30 DGEDMN COc1cccc2c(C(=O)O[C@@H](C)c3cccc(C#N)c3)[nH]nc21 ZINC000808675716 626848184 /nfs/dbraw/zinc/84/81/84/626848184.db2.gz QFGOAWNLMHKTNG-NSHDSACASA-N 0 1 321.336 3.361 20 30 DGEDMN COc1cccc2c(C(=O)OCc3ccc(C#N)c(C)c3)[nH]nc21 ZINC000808673714 626848220 /nfs/dbraw/zinc/84/82/20/626848220.db2.gz ICDRUAJUNIUMRI-UHFFFAOYSA-N 0 1 321.336 3.109 20 30 DGEDMN N#Cc1ccc(COC(=O)c2ccc(O)c(Cl)c2)c(F)c1 ZINC000808777314 626860414 /nfs/dbraw/zinc/86/04/14/626860414.db2.gz JZYSEOJOCJUETN-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000884428900 626879469 /nfs/dbraw/zinc/87/94/69/626879469.db2.gz QLECBZGTTSKPKX-HNNXBMFYSA-N 0 1 300.402 3.021 20 30 DGEDMN C[C@H](ON=C(N)CN1[C@@H](C)CCC[C@@H]1C)c1cccc(C#N)c1 ZINC000809374841 626907267 /nfs/dbraw/zinc/90/72/67/626907267.db2.gz QKUQFHRLUAOEPA-KKUMJFAQSA-N 0 1 314.433 3.381 20 30 DGEDMN C#Cc1ccc(CN(CCOC)Cc2ccc(C#N)cc2)cc1 ZINC000809549113 626918961 /nfs/dbraw/zinc/91/89/61/626918961.db2.gz SLRBZJXZARQIGG-UHFFFAOYSA-N 0 1 304.393 3.188 20 30 DGEDMN C#Cc1ccc(CNCc2ccnn2CCc2ccccc2)cc1 ZINC000809628187 626928879 /nfs/dbraw/zinc/92/88/79/626928879.db2.gz DYTFGRBHOXJZGC-UHFFFAOYSA-N 0 1 315.420 3.397 20 30 DGEDMN C#C[C@H](NCc1c(C)nn(CC(C)C)c1OCC)C(C)(C)C ZINC000809781197 626951679 /nfs/dbraw/zinc/95/16/79/626951679.db2.gz JBRANVVDJXKQGJ-INIZCTEOSA-N 0 1 305.466 3.384 20 30 DGEDMN C#C[C@H](NCc1c(C(C)C)nc2sc(C)nn12)C(C)(C)C ZINC000809781976 626952292 /nfs/dbraw/zinc/95/22/92/626952292.db2.gz FSVKITPPBVIFAD-ZDUSSCGKSA-N 0 1 304.463 3.360 20 30 DGEDMN CCC[C@@H](NCc1nc(C#N)cs1)[C@H](O)c1ccccc1 ZINC000810155891 626982441 /nfs/dbraw/zinc/98/24/41/626982441.db2.gz LDZSUAVOZJQOCP-GDBMZVCRSA-N 0 1 301.415 3.007 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](NCc1cccc3c1OCCO3)CC2 ZINC000810225301 626990193 /nfs/dbraw/zinc/99/01/93/626990193.db2.gz AQBZEPXESIVCQV-KRWDZBQOSA-N 0 1 306.365 3.107 20 30 DGEDMN C=CC[C@@H](CO)[NH2+][C@H](CC)c1ccc(C(F)(F)F)cc1[O-] ZINC000810237769 626991259 /nfs/dbraw/zinc/99/12/59/626991259.db2.gz VXQYSFOJBVILKR-WCQYABFASA-N 0 1 303.324 3.389 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(Cl)c(F)c2)nc(C)n1 ZINC000810528511 627012352 /nfs/dbraw/zinc/01/23/52/627012352.db2.gz UFCRAWGJPUIEPY-LBPRGKRZSA-N 0 1 317.751 3.305 20 30 DGEDMN CC(C)(C)N1CCCC(C(=O)OCCCCC(C)(C)C#N)C1=O ZINC000885481009 627019058 /nfs/dbraw/zinc/01/90/58/627019058.db2.gz HMSOUTSFCLTYEQ-CQSZACIVSA-N 0 1 322.449 3.287 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3[nH]cnc3C(C)(C)C)cc21 ZINC000885572385 627026713 /nfs/dbraw/zinc/02/67/13/627026713.db2.gz FFYWKDNAQXUULE-UHFFFAOYSA-N 0 1 323.400 3.495 20 30 DGEDMN CCC[N@H+](Cc1ccccc1O)C[C@H](O)CC1(C#N)CCC1 ZINC000886027213 627087336 /nfs/dbraw/zinc/08/73/36/627087336.db2.gz COTDKYXAVOVEJN-MRXNPFEDSA-N 0 1 302.418 3.049 20 30 DGEDMN COc1ccc(CNCc2ccc(CC#N)cc2)c(F)c1OC ZINC000886151497 627113390 /nfs/dbraw/zinc/11/33/90/627113390.db2.gz LAYGRHDMNQRQBW-UHFFFAOYSA-N 0 1 314.360 3.199 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CC[N@@H+](C[C@H](O)CC2(C#N)CCC2)C1 ZINC000886190643 627119623 /nfs/dbraw/zinc/11/96/23/627119623.db2.gz YRPGDWWXTRXHDV-VQIMIIECSA-N 0 1 316.420 3.310 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CCN(C[C@H](O)CC2(C#N)CCC2)C1 ZINC000886190643 627119625 /nfs/dbraw/zinc/11/96/25/627119625.db2.gz YRPGDWWXTRXHDV-VQIMIIECSA-N 0 1 316.420 3.310 20 30 DGEDMN CCn1nc(C)c(CNCc2ccc(SC)c(C#N)c2)c1C ZINC000886278615 627129954 /nfs/dbraw/zinc/12/99/54/627129954.db2.gz DXLSQAXACHEWSV-UHFFFAOYSA-N 0 1 314.458 3.403 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000886353702 627141649 /nfs/dbraw/zinc/14/16/49/627141649.db2.gz NPXZVIZHGLSPSJ-UONOGXRCSA-N 0 1 302.443 3.081 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)[C@H](CO)c1ccccc1C ZINC000886438640 627148882 /nfs/dbraw/zinc/14/88/82/627148882.db2.gz DWZMLIRVYUKRJB-GOSISDBHSA-N 0 1 310.397 3.041 20 30 DGEDMN COC(=O)c1cncc([C@H](C)N[C@@H](C)c2cccc(C#N)c2)c1 ZINC000886534528 627158097 /nfs/dbraw/zinc/15/80/97/627158097.db2.gz KBNGUVDWVUTUKX-STQMWFEESA-N 0 1 309.369 3.152 20 30 DGEDMN CCn1cc([C@@H](C)NCc2ccc(SC)c(C#N)c2)cn1 ZINC000886688762 627190445 /nfs/dbraw/zinc/19/04/45/627190445.db2.gz ZRYIAWZBSUMSTO-GFCCVEGCSA-N 0 1 300.431 3.347 20 30 DGEDMN CC(C)OC(=O)C1CCN([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000886723812 627197890 /nfs/dbraw/zinc/19/78/90/627197890.db2.gz MVQHTPIDKUSDPF-AWEZNQCLSA-N 0 1 300.402 3.283 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H]([C@@H]3CCCO3)C2)c(OC(F)F)c1 ZINC000886829599 627209744 /nfs/dbraw/zinc/20/97/44/627209744.db2.gz DCWLUFPGEUHXAH-CABCVRRESA-N 0 1 322.355 3.161 20 30 DGEDMN C[C@H]1C(N=Nc2ccc(Cl)nn2)CCN1Cc1ccccc1 ZINC000811630294 627297222 /nfs/dbraw/zinc/29/72/22/627297222.db2.gz XPLAVMSBTKGYAD-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN COc1ccc(C(C)=NN=c2[nH]c3ccccc3n2C)cc1O ZINC000811638128 627297465 /nfs/dbraw/zinc/29/74/65/627297465.db2.gz SSENZYMYOQULBP-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN Cc1cc(NN=C(C[C@@H]2CCCO2)c2ccc(F)cc2)ncn1 ZINC000811642343 627297767 /nfs/dbraw/zinc/29/77/67/627297767.db2.gz NJTVQASGIFKQFP-HNNXBMFYSA-N 0 1 314.364 3.309 20 30 DGEDMN CS(=O)(=O)c1ccccc1N=NCc1ccc2[nH]ccc2c1 ZINC000811642452 627298251 /nfs/dbraw/zinc/29/82/51/627298251.db2.gz RQAIXHJWIPTNQS-UHFFFAOYSA-N 0 1 313.382 3.017 20 30 DGEDMN CN(C)Cc1ccsc1C(=O)N(C)Cc1cc(C#N)cs1 ZINC000887969666 627350834 /nfs/dbraw/zinc/35/08/34/627350834.db2.gz HTPQIEIKADHUPA-UHFFFAOYSA-N 0 1 319.455 3.015 20 30 DGEDMN COc1ncc(C=NNc2ncccc2C(F)(F)F)s1 ZINC000812224185 627361448 /nfs/dbraw/zinc/36/14/48/627361448.db2.gz DUGWHTBIYYYHSI-UHFFFAOYSA-N 0 1 302.281 3.012 20 30 DGEDMN COc1ncc(CN=Nc2ccc(Cl)cc2[N+](=O)[O-])s1 ZINC000812227245 627361767 /nfs/dbraw/zinc/36/17/67/627361767.db2.gz WCXUNLKGSFSMEX-UHFFFAOYSA-N 0 1 312.738 3.159 20 30 DGEDMN CON(C[C@H]1CCC[C@H](C)C1)C(=O)c1ccc(C#N)c(O)c1 ZINC000812422242 627384584 /nfs/dbraw/zinc/38/45/84/627384584.db2.gz VJIUSEVEOYKZCF-STQMWFEESA-N 0 1 302.374 3.094 20 30 DGEDMN CN(CCC#N)c1ccc(C=[NH+]Nc2ccccc2C(=O)[O-])cc1 ZINC000812441536 627386930 /nfs/dbraw/zinc/38/69/30/627386930.db2.gz BKQVCUSLKQJMJI-UHFFFAOYSA-N 0 1 322.368 3.181 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccc(F)cc1 ZINC000812755448 627417441 /nfs/dbraw/zinc/41/74/41/627417441.db2.gz WFEOFKIHLPUVJC-AWEZNQCLSA-N 0 1 303.720 3.289 20 30 DGEDMN CO[C@](C)(C(=O)[C@H](C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000813067352 627445270 /nfs/dbraw/zinc/44/52/70/627445270.db2.gz OMTWIGMYBAMHFK-ZBEGNZNMSA-N 0 1 318.373 3.427 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CC[C@@H](O)C12CCCC2 ZINC000814665853 627582270 /nfs/dbraw/zinc/58/22/70/627582270.db2.gz UEJSOBNUKJEINO-MRXNPFEDSA-N 0 1 320.820 3.122 20 30 DGEDMN COCc1cnc(C=NNc2ccc(C(F)(F)F)cn2)s1 ZINC000814711713 627585320 /nfs/dbraw/zinc/58/53/20/627585320.db2.gz SRXWQFRIGBMMGP-UHFFFAOYSA-N 0 1 316.308 3.149 20 30 DGEDMN Brc1ccc(N=NCc2ccc3oncc3c2)nc1 ZINC000814832116 627596691 /nfs/dbraw/zinc/59/66/91/627596691.db2.gz FPWYIKVQXDCAOY-UHFFFAOYSA-N 0 1 317.146 3.431 20 30 DGEDMN COCC(N=Nc1ccc(C)nn1)c1cccc(Cl)c1F ZINC000814841039 627597057 /nfs/dbraw/zinc/59/70/57/627597057.db2.gz YURIRLYIGCYHGH-UHFFFAOYSA-N 0 1 308.744 3.040 20 30 DGEDMN Cn1c(=O)sc2cc(C=NNc3cc(Cl)ccn3)ccc21 ZINC000814898705 627604237 /nfs/dbraw/zinc/60/42/37/627604237.db2.gz SEWVYUMINNTEBS-UHFFFAOYSA-N 0 1 318.789 3.094 20 30 DGEDMN Cc1cccnc1N=NCc1ccc(Cl)cc1-n1cncn1 ZINC000814911955 627606679 /nfs/dbraw/zinc/60/66/79/627606679.db2.gz XKCUCIRMZZQCGP-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN CCOc1ccc2c(c1)C(=NNc1cccc(F)n1)CCO2 ZINC000814927992 627609445 /nfs/dbraw/zinc/60/94/45/627609445.db2.gz QNVZDFKSHBFJSI-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN N#Cc1ccc2ncc(CN=Nc3ccc(F)c(F)c3F)n2c1 ZINC000814945030 627612120 /nfs/dbraw/zinc/61/21/20/627612120.db2.gz IGYJXFISPOSAIK-UHFFFAOYSA-N 0 1 315.258 3.069 20 30 DGEDMN Cn1nncc1N=NCc1c[nH]c2cc(Cl)cc(Cl)c12 ZINC000814982281 627615839 /nfs/dbraw/zinc/61/58/39/627615839.db2.gz UKPXPQWFLPYYFL-UHFFFAOYSA-N 0 1 309.160 3.049 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000925386021 627732098 /nfs/dbraw/zinc/73/20/98/627732098.db2.gz VDFDGODFEPVVQW-TZMCWYRMSA-N 0 1 319.836 3.363 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)Nc1ccc(CCN2CCOCC2)cc1 ZINC000925769206 627791329 /nfs/dbraw/zinc/79/13/29/627791329.db2.gz ZBJVYUICJRCHBD-INIZCTEOSA-N 0 1 315.461 3.302 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2cc3cn[nH]c3cc2C)cc1 ZINC000816463252 627793004 /nfs/dbraw/zinc/79/30/04/627793004.db2.gz DVRYKUKSYLTCMQ-UHFFFAOYSA-N 0 1 303.365 3.424 20 30 DGEDMN Cc1ccc2nc(NC(=O)C=Cc3ccccc3C#N)[nH]c2c1 ZINC000817018233 627846617 /nfs/dbraw/zinc/84/66/17/627846617.db2.gz VIQVEXYGCXGNBR-VQHVLOKHSA-N 0 1 302.337 3.395 20 30 DGEDMN Cc1ccc(S(=O)(=O)C[C@@H](C)N[C@@H](C)CC(C)(C)C#N)cc1 ZINC000926205785 627861428 /nfs/dbraw/zinc/86/14/28/627861428.db2.gz JXNFMLTZSYMBIR-LSDHHAIUSA-N 0 1 322.474 3.075 20 30 DGEDMN C[C@H](CC(C)(C)C#N)NCc1ccncc1OCC(F)(F)F ZINC000926355837 627883871 /nfs/dbraw/zinc/88/38/71/627883871.db2.gz UDVBUWHWFVGZLJ-LLVKDONJSA-N 0 1 315.339 3.441 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@@H](C)C#N)cc2)C[C@H](CC)O1 ZINC000817412225 627895044 /nfs/dbraw/zinc/89/50/44/627895044.db2.gz GRCSLHDXRUGMFB-BHYGNILZSA-N 0 1 302.418 3.367 20 30 DGEDMN C=C1CCC(CNC(=O)N2CCC[C@@H](c3cc(C)[nH]n3)C2)CC1 ZINC000926544143 627911838 /nfs/dbraw/zinc/91/18/38/627911838.db2.gz UUKQKTNIBABGPD-MRXNPFEDSA-N 0 1 316.449 3.354 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1nc(Br)ccc1F ZINC000926703511 627936202 /nfs/dbraw/zinc/93/62/02/627936202.db2.gz LFOVDPLNXAEKRL-JTQLQIEISA-N 0 1 317.202 3.227 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)[C@@H]1CC12CC2 ZINC000817713351 627937287 /nfs/dbraw/zinc/93/72/87/627937287.db2.gz RIKUBGJXLDGPIU-RYUDHWBXSA-N 0 1 323.179 3.441 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC12CC2)c1nc2ccccc2n1C(F)F ZINC000817712413 627937294 /nfs/dbraw/zinc/93/72/94/627937294.db2.gz LHTKZDOJCUWYPP-ZJUUUORDSA-N 0 1 301.296 3.408 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000817713921 627938038 /nfs/dbraw/zinc/93/80/38/627938038.db2.gz ILYSHWKZQLNZJH-RNJOBUHISA-N 0 1 316.788 3.424 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H]1CC12CC2 ZINC000817713368 627938077 /nfs/dbraw/zinc/93/80/77/627938077.db2.gz BXIXDMREVFGOQC-GJZGRUSLSA-N 0 1 310.397 3.259 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2cc(C3CC3)nn2C)cc1 ZINC000927098393 628013328 /nfs/dbraw/zinc/01/33/28/628013328.db2.gz IIJZSWYGZUBTLE-CQSZACIVSA-N 0 1 309.413 3.160 20 30 DGEDMN CCn1nc(C)c(C/N=C/c2cc(Cl)cc(Cl)c2O)n1 ZINC000818992646 628074941 /nfs/dbraw/zinc/07/49/41/628074941.db2.gz SGQISGFBBRRDTA-OMCISZLKSA-N 0 1 313.188 3.238 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)C(C)C ZINC000928628238 628172565 /nfs/dbraw/zinc/17/25/65/628172565.db2.gz IJJPSWAKJOLKQF-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(-c3ccccc3)cc2)CC1 ZINC000928660355 628172749 /nfs/dbraw/zinc/17/27/49/628172749.db2.gz APZALNKFWJKXTH-UHFFFAOYSA-N 0 1 318.420 3.181 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@H]2C[C@H](C)c3c2cccc3C)CC1 ZINC000928652791 628173259 /nfs/dbraw/zinc/17/32/59/628173259.db2.gz MYRLGUDZUSYXBX-DLBZAZTESA-N 0 1 324.468 3.190 20 30 DGEDMN C[C@@H](NN=C1CCN(Cc2ccccn2)C[C@H]1C)c1ccncc1 ZINC000819814850 628192825 /nfs/dbraw/zinc/19/28/25/628192825.db2.gz GDQPYFPORFSHPM-HZPDHXFCSA-N 0 1 323.444 3.025 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H]1CCC2(COC2)O1 ZINC000929130378 628214212 /nfs/dbraw/zinc/21/42/12/628214212.db2.gz VAGDCZPUBUHODQ-LBPRGKRZSA-N 0 1 313.850 3.338 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H]1C[C@H]2COC[C@@H]2O1 ZINC000929131198 628214333 /nfs/dbraw/zinc/21/43/33/628214333.db2.gz NXCVVDXTKFQXBQ-OBJOEFQTSA-N 0 1 313.850 3.193 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1ccccc1-c1nn[nH]n1 ZINC000820892863 628310514 /nfs/dbraw/zinc/31/05/14/628310514.db2.gz GDXVHJDDVALJJF-UHFFFAOYSA-N 0 1 312.421 3.019 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)C=C[C@@H]2CCCO2)s1 ZINC000820961093 628319876 /nfs/dbraw/zinc/31/98/76/628319876.db2.gz FEASEXHOIFQKIL-QHDQMSKJSA-N 0 1 304.415 3.352 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@@H]2CCCO2)s1 ZINC000820961093 628319880 /nfs/dbraw/zinc/31/98/80/628319880.db2.gz FEASEXHOIFQKIL-QHDQMSKJSA-N 0 1 304.415 3.352 20 30 DGEDMN CC[C@H]1CCCC1N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820972561 628321233 /nfs/dbraw/zinc/32/12/33/628321233.db2.gz PIABIHVJNRRGEB-VIFPVBQESA-N 0 1 317.397 3.130 20 30 DGEDMN COC(=O)c1ccc(/C=C\C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000821017420 628326653 /nfs/dbraw/zinc/32/66/53/628326653.db2.gz HCGBCIYKZKOQSX-XFXZXTDPSA-N 0 1 323.392 3.431 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000822150195 628425687 /nfs/dbraw/zinc/42/56/87/628425687.db2.gz CUGUNLMAYVYBRP-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccccc2F)s1)[C@@H]1CCCO1 ZINC000824195517 628636086 /nfs/dbraw/zinc/63/60/86/628636086.db2.gz GZDCJMCBNQXKAU-HOTGVXAUSA-N 0 1 316.401 3.220 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](OC)[C@H](C)C1 ZINC000824815541 628696738 /nfs/dbraw/zinc/69/67/38/628696738.db2.gz SLCGBARAZOKDAH-CZUORRHYSA-N 0 1 307.821 3.209 20 30 DGEDMN COC(=O)C1(C#N)CCN(CCc2ccc(C(C)C)cc2)CC1 ZINC000824871871 628701778 /nfs/dbraw/zinc/70/17/78/628701778.db2.gz LFRICGRRDOAEKB-UHFFFAOYSA-N 0 1 314.429 3.131 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@@H]2CCC[C@H](C)C2)o1 ZINC000824927595 628707882 /nfs/dbraw/zinc/70/78/82/628707882.db2.gz PTPGMOSYPKCGCL-WDEREUQCSA-N 0 1 317.397 3.202 20 30 DGEDMN C[C@H](C#N)N(C(=O)c1cccc2[nH]c(C(F)F)nc21)C1CC1 ZINC000825105615 628728828 /nfs/dbraw/zinc/72/88/28/628728828.db2.gz RUHCTSCCWWLERC-MRVPVSSYSA-N 0 1 304.300 3.017 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)[C@@]2(C#N)CC23CCCC3)cc1F ZINC000826848179 628894733 /nfs/dbraw/zinc/89/47/33/628894733.db2.gz NNODPSXZWJVYFE-SFHVURJKSA-N 0 1 315.392 3.300 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN1CC[C@](C)(C#N)C1 ZINC000827650039 628980037 /nfs/dbraw/zinc/98/00/37/628980037.db2.gz MODRINCXGXYOLT-RHSMWYFYSA-N 0 1 309.454 3.019 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN1CC[C@@](C)(C#N)C1 ZINC000827650038 628980079 /nfs/dbraw/zinc/98/00/79/628980079.db2.gz MODRINCXGXYOLT-PBHICJAKSA-N 0 1 309.454 3.019 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1cnc(-c2cccs2)s1 ZINC000827823253 628994578 /nfs/dbraw/zinc/99/45/78/628994578.db2.gz MNXZGVXNAGEVNL-UHFFFAOYSA-N 0 1 306.456 3.343 20 30 DGEDMN C#CCCN(CCOC)Cc1cnc(-c2cccs2)s1 ZINC000827823253 628994580 /nfs/dbraw/zinc/99/45/80/628994580.db2.gz MNXZGVXNAGEVNL-UHFFFAOYSA-N 0 1 306.456 3.343 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccccc1OC(F)(F)F ZINC000827823659 628994680 /nfs/dbraw/zinc/99/46/80/628994680.db2.gz SOVAOSTUQMIZSK-UHFFFAOYSA-N 0 1 301.308 3.057 20 30 DGEDMN C#CCCN(CCOC)Cc1ccccc1OC(F)(F)F ZINC000827823659 628994682 /nfs/dbraw/zinc/99/46/82/628994682.db2.gz SOVAOSTUQMIZSK-UHFFFAOYSA-N 0 1 301.308 3.057 20 30 DGEDMN C[C@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)Cc2ccccc21 ZINC000828411138 629051892 /nfs/dbraw/zinc/05/18/92/629051892.db2.gz FEUZQXJAPCOTAU-UGSOOPFHSA-N 0 1 306.365 3.303 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccc(-c3ccccc3C#N)cc2)C[C@@H]1C(=O)[O-] ZINC000828455457 629055897 /nfs/dbraw/zinc/05/58/97/629055897.db2.gz BWKMQOOVHNXMRR-LIRRHRJNSA-N 0 1 320.392 3.378 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(OC)c(Cl)c2)C1 ZINC000829788697 629192913 /nfs/dbraw/zinc/19/29/13/629192913.db2.gz DPFBQLUHKQQJBB-ZDUSSCGKSA-N 0 1 320.820 3.022 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2cc(C)ccc2OC)C1 ZINC000829793970 629193714 /nfs/dbraw/zinc/19/37/14/629193714.db2.gz PHRLYXYXCCHJOD-HZPDHXFCSA-N 0 1 314.429 3.066 20 30 DGEDMN CCc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)cc1 ZINC000830249936 629244639 /nfs/dbraw/zinc/24/46/39/629244639.db2.gz RBBYNMNAFPELDG-SFHVURJKSA-N 0 1 308.381 3.378 20 30 DGEDMN CCc1ccc(C[NH2+][C@@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC000830249896 629244947 /nfs/dbraw/zinc/24/49/47/629244947.db2.gz QGPKIFDQOZZNMJ-OAHLLOKOSA-N 0 1 300.383 3.098 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2cccc(SC)c2)CC1 ZINC000830305901 629251551 /nfs/dbraw/zinc/25/15/51/629251551.db2.gz XVGBMGDPCIARCP-ZDUSSCGKSA-N 0 1 302.443 3.081 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2ccccc2C(F)(F)F)CC1 ZINC000830311849 629251707 /nfs/dbraw/zinc/25/17/07/629251707.db2.gz XMDDQXAYSIFGKI-LBPRGKRZSA-N 0 1 324.346 3.378 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000830906408 629319030 /nfs/dbraw/zinc/31/90/30/629319030.db2.gz LCOQJTKYHYBKEG-LSDHHAIUSA-N 0 1 321.469 3.292 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831890956 629423610 /nfs/dbraw/zinc/42/36/10/629423610.db2.gz BXSVCQPULCIOAI-GUYCJALGSA-N 0 1 302.422 3.179 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)CC(C)(C)C#N)C2)n[nH]1 ZINC000831892695 629424652 /nfs/dbraw/zinc/42/46/52/629424652.db2.gz PLDOZXRSDGCVGH-ZDUSSCGKSA-N 0 1 302.422 3.179 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831910622 629427132 /nfs/dbraw/zinc/42/71/32/629427132.db2.gz LBRCMFMZVLWYQJ-CABCVRRESA-N 0 1 319.449 3.220 20 30 DGEDMN N#CCOc1ccc(CN2CCOCC23CCCCC3)cc1 ZINC000832175402 629448208 /nfs/dbraw/zinc/44/82/08/629448208.db2.gz XTEHPVPIYGXVAU-UHFFFAOYSA-N 0 1 300.402 3.124 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H]2Cc2ccccc2)cc1 ZINC000833071332 629554642 /nfs/dbraw/zinc/55/46/42/629554642.db2.gz FPTONKVIVZCVNH-QZTJIDSGSA-N 0 1 306.365 3.001 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H]2Cc2ccccc2)c1 ZINC000833074039 629555249 /nfs/dbraw/zinc/55/52/49/629555249.db2.gz MVCOHNWWDXUZKJ-ZWKOTPCHSA-N 0 1 306.365 3.001 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CCCc3ccccc3C2)c1 ZINC000833074124 629555679 /nfs/dbraw/zinc/55/56/79/629555679.db2.gz PHIPQPVIJTYZIO-SFHVURJKSA-N 0 1 306.365 3.132 20 30 DGEDMN C#CCCC[N@H+](C)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000833361822 629591558 /nfs/dbraw/zinc/59/15/58/629591558.db2.gz NPKARGFIMUMNHY-ZDUSSCGKSA-N 0 1 300.185 3.464 20 30 DGEDMN C=CCC[C@@H](CO)[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000834904289 629803688 /nfs/dbraw/zinc/80/36/88/629803688.db2.gz OFXHNBNTFPJXLB-JQWIXIFHSA-N 0 1 314.223 3.132 20 30 DGEDMN C=CCC[C@H](CO)[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000834904291 629805150 /nfs/dbraw/zinc/80/51/50/629805150.db2.gz OFXHNBNTFPJXLB-ZYHUDNBSSA-N 0 1 314.223 3.132 20 30 DGEDMN Cc1nn(C)c(Cl)c1CN=Nc1cc(C(F)(F)F)ccn1 ZINC000834983751 629816873 /nfs/dbraw/zinc/81/68/73/629816873.db2.gz FEGOFMOVRRZIAU-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN CCOC(=O)C(C)(C)ON=Cc1ccccc1N1CCCCC1 ZINC000835003747 629820119 /nfs/dbraw/zinc/82/01/19/629820119.db2.gz AJGZIJYVPOADIT-UHFFFAOYSA-N 0 1 318.417 3.369 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@]2(C)CC2(Cl)Cl)nc(C)n1 ZINC000835061114 629840747 /nfs/dbraw/zinc/84/07/47/629840747.db2.gz WZAZNYMVMUPPKE-ZWNOBZJWSA-N 0 1 312.200 3.244 20 30 DGEDMN C#CCO[C@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000835068006 629842635 /nfs/dbraw/zinc/84/26/35/629842635.db2.gz LWFXJSIFXNBDIE-HIFRSBDPSA-N 0 1 324.405 3.333 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C2=CC[C@H](C)CC2)n1 ZINC000835111224 629855252 /nfs/dbraw/zinc/85/52/52/629855252.db2.gz YEMFLAMDFZHOJV-JQWIXIFHSA-N 0 1 318.398 3.242 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)O[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000835152356 629864731 /nfs/dbraw/zinc/86/47/31/629864731.db2.gz QUZSZLJHHJUGLB-UDZFHETQSA-N 0 1 320.776 3.412 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CC12CCSCC2)c1cnc2ccccc2n1 ZINC000835348252 629912305 /nfs/dbraw/zinc/91/23/05/629912305.db2.gz METRHNHNLJBTOJ-OLZOCXBDSA-N 0 1 323.421 3.339 20 30 DGEDMN C=CCC1(NC(=O)c2nnc3ccccc3c2O)CCCCC1 ZINC000836956954 630193040 /nfs/dbraw/zinc/19/30/40/630193040.db2.gz MSLQUWXFIYPMLX-UHFFFAOYSA-N 0 1 311.385 3.344 20 30 DGEDMN CCCCCCCC(=O)NC[C@H](c1nc[nH]n1)c1ccccc1 ZINC000837046353 630206951 /nfs/dbraw/zinc/20/69/51/630206951.db2.gz TXTQSLLEXVQJBF-INIZCTEOSA-N 0 1 314.433 3.413 20 30 DGEDMN CC#CC(=O)N1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000837280985 630235402 /nfs/dbraw/zinc/23/54/02/630235402.db2.gz DHCASRDIYDTVEA-CQSZACIVSA-N 0 1 301.777 3.293 20 30 DGEDMN CN(C)[C@@H]1CCOc2c(NC(=O)C#CC(C)(C)C)cccc21 ZINC000837585547 630279670 /nfs/dbraw/zinc/27/96/70/630279670.db2.gz NRYPXRAEYRUJBZ-OAHLLOKOSA-N 0 1 300.402 3.060 20 30 DGEDMN N#Cc1cscc1C(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000837745342 630306646 /nfs/dbraw/zinc/30/66/46/630306646.db2.gz UJWYTGPWRUWLDN-OAHLLOKOSA-N 0 1 312.394 3.051 20 30 DGEDMN CC(C)c1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c(C(C)C)c1 ZINC000841032290 630399077 /nfs/dbraw/zinc/39/90/77/630399077.db2.gz BZTGTANUCIPWMR-YOEHRIQHSA-N 0 1 314.433 3.166 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@@H]2COCc3ccccc32)cc1 ZINC000841891385 630473680 /nfs/dbraw/zinc/47/36/80/630473680.db2.gz OYGRYLUZHCMSLO-AUUYWEPGSA-N 0 1 308.381 3.339 20 30 DGEDMN Cc1cc(Br)cc(CNCC2(C#N)CCC2)c1O ZINC000841897652 630474707 /nfs/dbraw/zinc/47/47/07/630474707.db2.gz HOEKAYWXLDKXLR-UHFFFAOYSA-N 0 1 309.207 3.247 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)C1(F)CC1 ZINC000842690298 630563620 /nfs/dbraw/zinc/56/36/20/630563620.db2.gz SBSCSPOQZUZVAG-SNVBAGLBSA-N 0 1 315.131 3.143 20 30 DGEDMN COC1(C(=O)[C@H](C#N)c2nc3cc(Cl)ccc3s2)CC1 ZINC000842690269 630563721 /nfs/dbraw/zinc/56/37/21/630563721.db2.gz RJFJZSCJHHNEHM-VIFPVBQESA-N 0 1 306.774 3.305 20 30 DGEDMN N#CC(C(=O)C=Cc1cncc(F)c1)c1nc(C2CC2)cs1 ZINC000842942543 630596836 /nfs/dbraw/zinc/59/68/36/630596836.db2.gz ZSBOKLIPEMRXLS-MAWXDTMDSA-N 0 1 313.357 3.444 20 30 DGEDMN Cc1c(N[NH+]=Cc2ccc(N3CCCC3)nc2)cccc1C(=O)[O-] ZINC000844623266 630744165 /nfs/dbraw/zinc/74/41/65/630744165.db2.gz IILIFMQKGBCASF-UHFFFAOYSA-N 0 1 324.384 3.134 20 30 DGEDMN C=CCCCN(C)CN1CC(c2ccc(Cl)cc2)=CC1=O ZINC000844695199 630788718 /nfs/dbraw/zinc/78/87/18/630788718.db2.gz SSJIPBKCRPDMMP-UHFFFAOYSA-N 0 1 304.821 3.421 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000105756619 631057375 /nfs/dbraw/zinc/05/73/75/631057375.db2.gz AYKODMDXFIZHGI-BBRMVZONSA-N 0 1 316.401 3.415 20 30 DGEDMN CS[C@H](C)CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842855 631198609 /nfs/dbraw/zinc/19/86/09/631198609.db2.gz WLQSALBJFRYSSF-OCCSQVGLSA-N 0 1 301.411 3.138 20 30 DGEDMN Cc1nc(CC(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)cs1 ZINC000845842952 631198937 /nfs/dbraw/zinc/19/89/37/631198937.db2.gz WIUIJOQTVIQZEA-OAHLLOKOSA-N 0 1 324.405 3.004 20 30 DGEDMN N#CC1(CNCc2cc(Br)ccc2O)CCCC1 ZINC000846011740 631206044 /nfs/dbraw/zinc/20/60/44/631206044.db2.gz OYCMLCBTHVTENS-UHFFFAOYSA-N 0 1 309.207 3.328 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1ccc(N2CCCCC2)c(C)c1 ZINC000846263289 631217489 /nfs/dbraw/zinc/21/74/89/631217489.db2.gz TYMHLEMKXJKVSU-UHFFFAOYSA-N 0 1 302.418 3.058 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)N(C)[C@H](C)c2ccc(Cl)cc2)C1 ZINC000847025559 631384095 /nfs/dbraw/zinc/38/40/95/631384095.db2.gz NVDUSJIUKKBQIA-CABCVRRESA-N 0 1 318.848 3.205 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)N(CC)[C@H](C)c2ccc(F)cc2)C1 ZINC000847026261 631384968 /nfs/dbraw/zinc/38/49/68/631384968.db2.gz PHUYIZMOVSPUCL-CVEARBPZSA-N 0 1 316.420 3.080 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N[C@@H](C)c2cc3ccccc3o2)C1 ZINC000847027627 631385073 /nfs/dbraw/zinc/38/50/73/631385073.db2.gz VDCGPBWIOOGJCB-HRCADAONSA-N 0 1 324.424 3.344 20 30 DGEDMN C[C@H]1CN(C[C@@H](O)c2ccc(C#N)cc2)CC2(CCCCC2)O1 ZINC000847101677 631405634 /nfs/dbraw/zinc/40/56/34/631405634.db2.gz WITYJJTUTSGYHF-MAUKXSAKSA-N 0 1 314.429 3.015 20 30 DGEDMN C=CCCCCCNC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000847323105 631460478 /nfs/dbraw/zinc/46/04/78/631460478.db2.gz AJSTYTRZSVTYHD-UHFFFAOYSA-N 0 1 313.405 3.017 20 30 DGEDMN C=CCCCCCNC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000847323105 631460482 /nfs/dbraw/zinc/46/04/82/631460482.db2.gz AJSTYTRZSVTYHD-UHFFFAOYSA-N 0 1 313.405 3.017 20 30 DGEDMN N#CC[C@@H](CC(=O)OCc1cc(C2CC2)[nH]n1)c1ccccc1 ZINC000848011416 631595487 /nfs/dbraw/zinc/59/54/87/631595487.db2.gz MULPNMRLLOPZMQ-HNNXBMFYSA-N 0 1 309.369 3.418 20 30 DGEDMN c1ccc(OCCCNN=C2CCCN3CCCC[C@H]23)cc1 ZINC000848405469 631655730 /nfs/dbraw/zinc/65/57/30/631655730.db2.gz LWEFXQZNHIJRBM-GOSISDBHSA-N 0 1 301.434 3.049 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2cncnc2C)c1 ZINC000848533249 631682222 /nfs/dbraw/zinc/68/22/22/631682222.db2.gz FVPFTWHZOPWXPT-BBRMVZONSA-N 0 1 310.401 3.317 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c(C)nn(CC(C)C)c2OCC)C1 ZINC000848923611 631790131 /nfs/dbraw/zinc/79/01/31/631790131.db2.gz OERWHXPVBGSOKS-MRXNPFEDSA-N 0 1 303.450 3.091 20 30 DGEDMN C=C(Cl)CNc1cc(C)cc(CNC(=O)C(F)(F)F)c1 ZINC000849317260 631889763 /nfs/dbraw/zinc/88/97/63/631889763.db2.gz MABCBIZOLAXZAO-UHFFFAOYSA-N 0 1 306.715 3.338 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2oc(-c3ccccc3)nc2C(=O)[O-])C1 ZINC000849344291 631896948 /nfs/dbraw/zinc/89/69/48/631896948.db2.gz HXQYTAOAIBJDNF-CQSZACIVSA-N 0 1 324.380 3.275 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](CCOc2ccc(C(=O)[O-])cc2Cl)C1 ZINC000849344100 631897117 /nfs/dbraw/zinc/89/71/17/631897117.db2.gz BPNYNUKDWCMNRW-ZDUSSCGKSA-N 0 1 321.804 3.152 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cncc(OC)c2)c1 ZINC000850137137 632064356 /nfs/dbraw/zinc/06/43/56/632064356.db2.gz JUJYBLNNCKORGV-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN C#CCN(CC(=O)N(C)Cc1ccccc1F)C1CCCCC1 ZINC000109356413 632159223 /nfs/dbraw/zinc/15/92/23/632159223.db2.gz HXRVNOKUUFJIIK-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN C[C@H](c1ccccc1)N1CC[C@@](F)(COC(=O)[C@@H](C)CC#N)C1 ZINC000850948179 632265037 /nfs/dbraw/zinc/26/50/37/632265037.db2.gz PMWSNFNWIDOAQO-DAYGRLMNSA-N 0 1 318.392 3.255 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC000850978068 632275036 /nfs/dbraw/zinc/27/50/36/632275036.db2.gz KYCZKUATIPTUQP-BIVLZKPYSA-N 0 1 310.397 3.009 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCCC3(CC3)C2)c1 ZINC000850977517 632275392 /nfs/dbraw/zinc/27/53/92/632275392.db2.gz WZPAWYZWZKFNKE-IRXDYDNUSA-N 0 1 324.424 3.291 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CCC1(C)C)c1ccccc1 ZINC000850978478 632275408 /nfs/dbraw/zinc/27/54/08/632275408.db2.gz TUDDHXBYQMBDAD-JYJNAYRXSA-N 0 1 312.413 3.399 20 30 DGEDMN C=C(Cl)C[N@H+]1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1 ZINC000851709284 632472129 /nfs/dbraw/zinc/47/21/29/632472129.db2.gz VPQFNUUVFPVIKI-QWHCGFSZSA-N 0 1 300.185 3.193 20 30 DGEDMN C#CCn1ccc(CN(CC)CC[C@@H]2CCc3ccccc32)n1 ZINC000851996449 632537203 /nfs/dbraw/zinc/53/72/03/632537203.db2.gz QTZWDNTVRUBNAI-SFHVURJKSA-N 0 1 307.441 3.458 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000852098491 632553510 /nfs/dbraw/zinc/55/35/10/632553510.db2.gz ORPBAOZIILSFRX-IAQYHMDHSA-N 0 1 312.335 3.424 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccc(OC(F)F)cc1 ZINC000852098793 632553885 /nfs/dbraw/zinc/55/38/85/632553885.db2.gz WCUZOSQHPMMYFH-BZNIZROVSA-N 0 1 310.344 3.007 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1cccc(Br)c1 ZINC000852099167 632554380 /nfs/dbraw/zinc/55/43/80/632554380.db2.gz FXQWLCRTGFGWGY-ABAIWWIYSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(Br)cc1 ZINC000852100361 632554728 /nfs/dbraw/zinc/55/47/28/632554728.db2.gz HFMUVRLJTYHZSR-NHYWBVRUSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccc(C)cc1Br ZINC000852101488 632555344 /nfs/dbraw/zinc/55/53/44/632555344.db2.gz RGNBUUZJUAKRCC-OAHLLOKOSA-N 0 1 323.234 3.088 20 30 DGEDMN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CCOCCC#N ZINC000852126566 632559665 /nfs/dbraw/zinc/55/96/65/632559665.db2.gz DEKRICXCQJXGAE-NSHDSACASA-N 0 1 306.797 3.139 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000852411441 632600460 /nfs/dbraw/zinc/60/04/60/632600460.db2.gz UOJBFNWNRKKIME-LBPRGKRZSA-N 0 1 305.334 3.291 20 30 DGEDMN N#CC(C(=O)CC1CCCCC1)c1nnc2n1CCCCC2 ZINC000072678738 660212666 /nfs/dbraw/zinc/21/26/66/660212666.db2.gz OTHWOXFBTHSYAC-CQSZACIVSA-N 0 1 300.406 3.151 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCC(C)(C)C2)cc1C ZINC000072827934 660223701 /nfs/dbraw/zinc/22/37/01/660223701.db2.gz WBVHIQGQTMUDAJ-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001032206953 660225023 /nfs/dbraw/zinc/22/50/23/660225023.db2.gz TXUSTYMBJCKJFM-UHFFFAOYSA-N 0 1 312.413 3.287 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1(C)C ZINC000995615839 660231396 /nfs/dbraw/zinc/23/13/96/660231396.db2.gz USDSZGJCUKKKGB-LJISPDSOSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001015900815 660247996 /nfs/dbraw/zinc/24/79/96/660247996.db2.gz WAFPPYOYSABUHW-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2Cl)CC1(C)C ZINC000995718346 660267402 /nfs/dbraw/zinc/26/74/02/660267402.db2.gz GSIZIYXWCQBPSA-LLVKDONJSA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccn(CC)c2C)CC1(C)C ZINC000996176005 660277120 /nfs/dbraw/zinc/27/71/20/660277120.db2.gz NHYCAXZFRKCBIZ-OAHLLOKOSA-N 0 1 323.868 3.009 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2CC)CC1(C)C ZINC000996198804 660277519 /nfs/dbraw/zinc/27/75/19/660277519.db2.gz QGNQULCRGMNLBF-MRXNPFEDSA-N 0 1 320.864 3.442 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1(C)C ZINC000995836445 660271094 /nfs/dbraw/zinc/27/10/94/660271094.db2.gz AHXOANOPYDUZGH-QXSJWSMHSA-N 0 1 322.880 3.168 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCc2ccccc2)CC1(C)C ZINC000996549170 660285675 /nfs/dbraw/zinc/28/56/75/660285675.db2.gz FUFHDOVSEPZIDY-INIZCTEOSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(F)CCCCC2)CC1(C)C ZINC000996487580 660283430 /nfs/dbraw/zinc/28/34/30/660283430.db2.gz MORIDTJAZMPZDK-CYBMUJFWSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](C)C2CCCC2)CC1(C)C ZINC000996499728 660284048 /nfs/dbraw/zinc/28/40/48/660284048.db2.gz FPPWATXSBIJKEK-ZFWWWQNUSA-N 0 1 312.885 3.392 20 30 DGEDMN C[C@@H](NC(=O)C1CCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC000996880058 660296988 /nfs/dbraw/zinc/29/69/88/660296988.db2.gz NZEAQZOJXKBDFO-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC000996962555 660303937 /nfs/dbraw/zinc/30/39/37/660303937.db2.gz VARVOLCIWUEBBE-QGZVFWFLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CC(C)C)s1 ZINC001032450457 660321837 /nfs/dbraw/zinc/32/18/37/660321837.db2.gz OSYIQVLTKQCXIK-GJZGRUSLSA-N 0 1 318.486 3.421 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ccco2)o1 ZINC001032512757 660335170 /nfs/dbraw/zinc/33/51/70/660335170.db2.gz AUHOEWGGQCYECH-KBPBESRZSA-N 0 1 312.369 3.014 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001032541170 660339989 /nfs/dbraw/zinc/33/99/89/660339989.db2.gz FRTGTTHTZJRDDU-IRXDYDNUSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(c2cccc(C)c2)CCC1 ZINC001032554250 660345187 /nfs/dbraw/zinc/34/51/87/660345187.db2.gz SPMOQINBPREXSS-OALUTQOASA-N 0 1 324.468 3.278 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](N(CC)C(=O)c2ccccc2O)CC1 ZINC000997926997 660356900 /nfs/dbraw/zinc/35/69/00/660356900.db2.gz JHSSZIAQJCXUOK-MRXNPFEDSA-N 0 1 316.445 3.285 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001032605655 660374399 /nfs/dbraw/zinc/37/43/99/660374399.db2.gz FISKJMYFKWYXHK-BJLQDIEVSA-N 0 1 312.457 3.117 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2ccccc2CC)C1 ZINC001032617627 660379817 /nfs/dbraw/zinc/37/98/17/660379817.db2.gz JQXBURILDNTTDO-OALUTQOASA-N 0 1 324.468 3.390 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H](C)N2CCC[C@H](C)C2)cc1 ZINC000073600636 660382152 /nfs/dbraw/zinc/38/21/52/660382152.db2.gz UUZAQGAOAGQNQQ-DLBZAZTESA-N 0 1 313.445 3.362 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1ccccc1C ZINC001032662162 660409087 /nfs/dbraw/zinc/40/90/87/660409087.db2.gz IXESQGYSFSQMAH-BZSNNMDCSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc(C(C)C)n1 ZINC001032684976 660413517 /nfs/dbraw/zinc/41/35/17/660413517.db2.gz KMBQKMSLADUHMB-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001032699797 660413930 /nfs/dbraw/zinc/41/39/30/660413930.db2.gz LGVVKXVIQZISSN-XSLAGTTESA-N 0 1 304.478 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)sc2C)C1 ZINC000999631937 660423730 /nfs/dbraw/zinc/42/37/30/660423730.db2.gz PDXYCWHOKJEKRP-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3ccsc3[nH]2)C1 ZINC000999844819 660428278 /nfs/dbraw/zinc/42/82/78/660428278.db2.gz FKRYWRAMXHXJQQ-LBPRGKRZSA-N 0 1 323.849 3.176 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001032722166 660433632 /nfs/dbraw/zinc/43/36/32/660433632.db2.gz PSTNWFGKOYLBSD-PVAVHDDUSA-N 0 1 324.424 3.398 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001032722166 660433633 /nfs/dbraw/zinc/43/36/33/660433633.db2.gz PSTNWFGKOYLBSD-PVAVHDDUSA-N 0 1 324.424 3.398 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccn(C(C)C)c2C)C1 ZINC000999966982 660433732 /nfs/dbraw/zinc/43/37/32/660433732.db2.gz FCKCVDAVALDCSC-OAHLLOKOSA-N 0 1 323.868 3.324 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(C(F)F)CCCC2)C1 ZINC000999997079 660433986 /nfs/dbraw/zinc/43/39/86/660433986.db2.gz DXHJMGMINMUIQZ-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCC(C)(C)C1 ZINC001032733277 660435364 /nfs/dbraw/zinc/43/53/64/660435364.db2.gz OSNUJJQNDICXFM-IKGGRYGDSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCc2ccccc21 ZINC001032745359 660437390 /nfs/dbraw/zinc/43/73/90/660437390.db2.gz QPDNXPABELJTEF-QYZOEREBSA-N 0 1 324.468 3.358 20 30 DGEDMN N#CC1(C(=O)Nc2cc(F)cc(Cl)c2O)CCSCC1 ZINC000935441666 661786058 /nfs/dbraw/zinc/78/60/58/661786058.db2.gz YWRSSYSUEOJDKY-UHFFFAOYSA-N 0 1 314.769 3.160 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001001300334 660454327 /nfs/dbraw/zinc/45/43/27/660454327.db2.gz VIWFOFXOIQFLKD-WGSAOQKQSA-N 0 1 316.489 3.220 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001016344915 660480895 /nfs/dbraw/zinc/48/08/95/660480895.db2.gz WMYPXGPQENQLQA-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCCC(C)(C)C2)CC1 ZINC001003975356 660520824 /nfs/dbraw/zinc/52/08/24/660520824.db2.gz LKZZOFQXCCLOJH-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2sc(C3CC3)nc2C)C1 ZINC001033068461 660535258 /nfs/dbraw/zinc/53/52/58/660535258.db2.gz CMKQKNAZMYVQIJ-AWEZNQCLSA-N 0 1 319.474 3.051 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@@H]1C1CCN(C(=O)CC(C)C)CC1 ZINC001004329716 660543004 /nfs/dbraw/zinc/54/30/04/660543004.db2.gz BFIJMAGFZKXMRF-MRXNPFEDSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001033165588 660571042 /nfs/dbraw/zinc/57/10/42/660571042.db2.gz OBCNOFIGDVMNBE-BZSNNMDCSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccccc2CC(C)(C)C)C1 ZINC001033177387 660572640 /nfs/dbraw/zinc/57/26/40/660572640.db2.gz PRMMUKBUUIDITK-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@]2(C)C=CCC2)CC1 ZINC000948694903 660576274 /nfs/dbraw/zinc/57/62/74/660576274.db2.gz VTZHVTHABPIGLV-RDJZCZTQSA-N 0 1 310.869 3.408 20 30 DGEDMN Cc1noc([C@@H]2CCCN(Cc3cc(Cl)cc(C#N)c3)C2)n1 ZINC000929332145 660590857 /nfs/dbraw/zinc/59/08/57/660590857.db2.gz DNKPONBJSVCJLL-CQSZACIVSA-N 0 1 316.792 3.283 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CCN(Cc3ccsc3)C2)cc1 ZINC001033318812 660608170 /nfs/dbraw/zinc/60/81/70/660608170.db2.gz QCMQVWKILNJXNS-SFHVURJKSA-N 0 1 324.449 3.076 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(F)ccc2Cl)C1 ZINC001033443863 660621992 /nfs/dbraw/zinc/62/19/92/660621992.db2.gz CPWVSAQKPMXKOW-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001033457822 660624441 /nfs/dbraw/zinc/62/44/41/660624441.db2.gz DRCZAQWWXSLIOR-MRXNPFEDSA-N 0 1 320.864 3.336 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc3ccsc32)C1 ZINC001033554830 660632174 /nfs/dbraw/zinc/63/21/74/660632174.db2.gz JBBYCZNJABIHOL-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001033564707 660632959 /nfs/dbraw/zinc/63/29/59/660632959.db2.gz HSPDWFKBOWMMCM-NWDGAFQWSA-N 0 1 312.866 3.224 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2c(F)cccc2Cl)C1 ZINC001033607098 660638449 /nfs/dbraw/zinc/63/84/49/660638449.db2.gz MNWLRFPYACHLBC-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2coc3ccc(Cl)cc23)C1 ZINC001033619758 660640562 /nfs/dbraw/zinc/64/05/62/660640562.db2.gz OYCNUTWAHCPWPS-CYBMUJFWSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(-c3cccs3)o2)C1 ZINC001033630872 660642161 /nfs/dbraw/zinc/64/21/61/660642161.db2.gz ICNBHFQQNKTLRW-CYBMUJFWSA-N 0 1 316.426 3.340 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc3ccccc32)C1 ZINC001033634559 660643170 /nfs/dbraw/zinc/64/31/70/660643170.db2.gz RJEMULUATUXJHL-KRWDZBQOSA-N 0 1 306.409 3.009 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001033638807 660643880 /nfs/dbraw/zinc/64/38/80/660643880.db2.gz SDRKOVQRTPSTPI-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001033638806 660643967 /nfs/dbraw/zinc/64/39/67/660643967.db2.gz SDRKOVQRTPSTPI-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2sc(C(C)C)nc2C)C1 ZINC001033657540 660646057 /nfs/dbraw/zinc/64/60/57/660646057.db2.gz VQDNODVQGUVRME-CQSZACIVSA-N 0 1 321.490 3.297 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001033657763 660646177 /nfs/dbraw/zinc/64/61/77/660646177.db2.gz RRHCRHRGGBSREV-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001033700423 660651970 /nfs/dbraw/zinc/65/19/70/660651970.db2.gz VAGDPIXBDPEOSE-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001033700423 660651971 /nfs/dbraw/zinc/65/19/71/660651971.db2.gz VAGDPIXBDPEOSE-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001033854620 660669301 /nfs/dbraw/zinc/66/93/01/660669301.db2.gz UGWPGLOCKYRRTJ-INIZCTEOSA-N 0 1 316.445 3.271 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001033862209 660672362 /nfs/dbraw/zinc/67/23/62/660672362.db2.gz YYGOCNOGTLRGMX-FHWLQOOXSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)cc2F)C1 ZINC001033894359 660676222 /nfs/dbraw/zinc/67/62/22/660676222.db2.gz WWLCGGGJJWSBEC-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001033919828 660679954 /nfs/dbraw/zinc/67/99/54/660679954.db2.gz VNPPATUMRCVHAF-INIZCTEOSA-N 0 1 311.429 3.199 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001033966301 660686040 /nfs/dbraw/zinc/68/60/40/660686040.db2.gz CFTXTYOLMOISQK-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001033938162 660682341 /nfs/dbraw/zinc/68/23/41/660682341.db2.gz NCHVFGGCIXNELO-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(F)c3ccccc23)C1 ZINC001033969228 660686488 /nfs/dbraw/zinc/68/64/88/660686488.db2.gz TXSZGSZDFOUDAP-OAHLLOKOSA-N 0 1 324.399 3.149 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(F)c3ccccc23)C1 ZINC001033969227 660686513 /nfs/dbraw/zinc/68/65/13/660686513.db2.gz TXSZGSZDFOUDAP-HNNXBMFYSA-N 0 1 324.399 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001033999863 660692690 /nfs/dbraw/zinc/69/26/90/660692690.db2.gz OHUXCJSJOUOBSO-OAHLLOKOSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2oc(CC)cc2C)C1 ZINC001034014577 660694216 /nfs/dbraw/zinc/69/42/16/660694216.db2.gz YFGKCCAWOVFZJD-OAHLLOKOSA-N 0 1 304.434 3.263 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001034025655 660699072 /nfs/dbraw/zinc/69/90/72/660699072.db2.gz QPOIRUBCJNAPLG-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3ncsc3c2)C1 ZINC001034033787 660697107 /nfs/dbraw/zinc/69/71/07/660697107.db2.gz JEBHXFQGRSSMNS-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)c(CC)s2)C1 ZINC001034034958 660697614 /nfs/dbraw/zinc/69/76/14/660697614.db2.gz HZXBKBGRCRRNFW-CQSZACIVSA-N 0 1 306.475 3.341 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001034218643 660724667 /nfs/dbraw/zinc/72/46/67/660724667.db2.gz GULXWLVGWDFETD-YESZJQIVSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC001034244429 660728581 /nfs/dbraw/zinc/72/85/81/660728581.db2.gz AXSXWJCVYVJXED-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCc3ccccc32)C1 ZINC001034269509 660730621 /nfs/dbraw/zinc/73/06/21/660730621.db2.gz FWOCNNIDBXVYSZ-QUCCMNQESA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC001034328776 660737485 /nfs/dbraw/zinc/73/74/85/660737485.db2.gz CVUOLBPPQGSEJE-GOSISDBHSA-N 0 1 324.468 3.480 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCCN(C[C@@H](F)CC)C2)cc1 ZINC001034344502 660740502 /nfs/dbraw/zinc/74/05/02/660740502.db2.gz JGGHMGRFWJNWKG-ZWKOTPCHSA-N 0 1 316.420 3.000 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCCN(C/C=C\Cl)C2)cc1 ZINC001034345598 660740915 /nfs/dbraw/zinc/74/09/15/660740915.db2.gz VGKGHOWDJPTNNX-NGNXSQLZSA-N 0 1 316.832 3.005 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001034415323 660750796 /nfs/dbraw/zinc/75/07/96/660750796.db2.gz GMVIZUVMEFBOED-OAHLLOKOSA-N 0 1 316.445 3.103 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001034420364 660751447 /nfs/dbraw/zinc/75/14/47/660751447.db2.gz HIFSDFPFOIAUFF-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC001034445798 660752168 /nfs/dbraw/zinc/75/21/68/660752168.db2.gz FOIIQTBMBRCEKM-INIZCTEOSA-N 0 1 320.383 3.232 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2oc(C3CC3)nc2C)C1 ZINC001034434172 660753076 /nfs/dbraw/zinc/75/30/76/660753076.db2.gz YFEBUGIKLCUWLX-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001034507443 660763511 /nfs/dbraw/zinc/76/35/11/660763511.db2.gz JAFJJMHNCRVTMW-NVXWUHKLSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2sc(C)cc2OC)C1 ZINC001034436008 660753674 /nfs/dbraw/zinc/75/36/74/660753674.db2.gz XSCGTSUBLYEGNP-AWEZNQCLSA-N 0 1 322.474 3.226 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001034507043 660763549 /nfs/dbraw/zinc/76/35/49/660763549.db2.gz FWTAPTYRCODMER-CTWPCTMYSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001034507043 660763552 /nfs/dbraw/zinc/76/35/52/660763552.db2.gz FWTAPTYRCODMER-CTWPCTMYSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001034484184 660761023 /nfs/dbraw/zinc/76/10/23/660761023.db2.gz JSJQRLVWOJOOKK-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001034485005 660761756 /nfs/dbraw/zinc/76/17/56/660761756.db2.gz CZBGOCXDSODXNS-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001034519958 660768153 /nfs/dbraw/zinc/76/81/53/660768153.db2.gz GGPSCZPUYNXIFR-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001034554147 660773597 /nfs/dbraw/zinc/77/35/97/660773597.db2.gz SGVVWEXRKYMIPM-HXUWFJFHSA-N 0 1 322.452 3.471 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2sccc2CC)C1 ZINC001034541430 660770602 /nfs/dbraw/zinc/77/06/02/660770602.db2.gz QKGSDOKLPSIWNL-OAHLLOKOSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2csc(CC(C)C)n2)C1 ZINC001034546296 660772366 /nfs/dbraw/zinc/77/23/66/660772366.db2.gz DGRCMNGDRUQOMO-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H](C)C(C)C)CC2 ZINC001035235729 660831871 /nfs/dbraw/zinc/83/18/71/660831871.db2.gz RGRWEOXMSBSTCF-OAHLLOKOSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC[C@H]1CCC)CC2 ZINC001035737794 660848362 /nfs/dbraw/zinc/84/83/62/660848362.db2.gz OBQGWWFHHQQWHM-IAGOWNOFSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(C3CCC3)CCC1)CC2 ZINC001035759168 660849229 /nfs/dbraw/zinc/84/92/29/660849229.db2.gz BLMFSTPIQMNEDI-UHFFFAOYSA-N 0 1 302.462 3.067 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(C)cccc1Cl)CC2 ZINC001035782022 660851372 /nfs/dbraw/zinc/85/13/72/660851372.db2.gz GLQDIFZFJGXZDF-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(Cl)c(C)c1)CC2 ZINC001035786195 660851705 /nfs/dbraw/zinc/85/17/05/660851705.db2.gz RQLQCGHXZSLXBP-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1ccccc1F)CC2 ZINC001035820222 660853872 /nfs/dbraw/zinc/85/38/72/660853872.db2.gz ZAJKXKKQAFHNMT-OAHLLOKOSA-N 0 1 316.420 3.040 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CC1CCCC1)CC2 ZINC001035811578 660854935 /nfs/dbraw/zinc/85/49/35/660854935.db2.gz IAGRSDLBFAJNJN-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)Cc1cccs1)CC2 ZINC001035809098 660855004 /nfs/dbraw/zinc/85/50/04/660855004.db2.gz JXKGTNVSRUKIPG-HNNXBMFYSA-N 0 1 318.486 3.037 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C(C)(C)C1CCCCC1)CC2 ZINC001035812854 660855511 /nfs/dbraw/zinc/85/55/11/660855511.db2.gz VEGPMCVIZBXAIZ-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1ccc(C)cc1)CC2 ZINC001035838636 660857255 /nfs/dbraw/zinc/85/72/55/660857255.db2.gz WRZRZZKOXDMLBP-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1ccccc1C)CC2 ZINC001035856636 660860196 /nfs/dbraw/zinc/86/01/96/660860196.db2.gz REDRSOJRUXHKAD-KRWDZBQOSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H]1CCCC[C@@H]1C)CC2 ZINC001035860581 660861039 /nfs/dbraw/zinc/86/10/39/660861039.db2.gz SDFCDJJMGUFDHY-DLBZAZTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(C)s3)CC[C@@H]21 ZINC001036629531 660937553 /nfs/dbraw/zinc/93/75/53/660937553.db2.gz SDDOOTWFXCOKMR-KBPBESRZSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CCCCC3)CC[C@@H]21 ZINC001036628624 660937887 /nfs/dbraw/zinc/93/78/87/660937887.db2.gz DHSAAPAINSCRCN-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001006148080 660966012 /nfs/dbraw/zinc/96/60/12/660966012.db2.gz UQQAXJRMEOHFNV-DLBZAZTESA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@]2(CC)C(C)C)CC1 ZINC001006226183 660968562 /nfs/dbraw/zinc/96/85/62/660968562.db2.gz PQVCAGDNHJYSGV-HKUYNNGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@H]2C=CCC2)CC1 ZINC001016497339 660970873 /nfs/dbraw/zinc/97/08/73/660970873.db2.gz FSWCNOSVOPIHQY-LSDHHAIUSA-N 0 1 308.853 3.066 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC001006292880 660973219 /nfs/dbraw/zinc/97/32/19/660973219.db2.gz VIVRFYJSVNZUIF-UHFFFAOYSA-N 0 1 322.452 3.206 20 30 DGEDMN COC(=O)c1ccc(Br)c(NN=Cc2cc[nH]c2)c1 ZINC000793113289 661005428 /nfs/dbraw/zinc/00/54/28/661005428.db2.gz KKNAAUFSDAKMBS-UHFFFAOYSA-N 0 1 322.162 3.010 20 30 DGEDMN O=C(OCCC#Cc1ccccc1)c1cccc(-c2nnc[nH]2)c1 ZINC000793158751 661008312 /nfs/dbraw/zinc/00/83/12/661008312.db2.gz KWYHUSKYVLZTSM-UHFFFAOYSA-N 0 1 317.348 3.070 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ncc(CN(C)C)s2)c(O)c1 ZINC000794700831 661099826 /nfs/dbraw/zinc/09/98/26/661099826.db2.gz JQNOVCGHAWXMDW-SREVYHEPSA-N 0 1 302.399 3.115 20 30 DGEDMN Cc1cc(N=NCc2c(F)cccc2Br)nc(C)n1 ZINC000794496043 661087574 /nfs/dbraw/zinc/08/75/74/661087574.db2.gz XDDDPIDWCWWTTR-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN Cc1ccc(N=NCc2ccc(N(C)C)cc2C(F)(F)F)nn1 ZINC000794507020 661088031 /nfs/dbraw/zinc/08/80/31/661088031.db2.gz CYZCLYSAKUUKAS-UHFFFAOYSA-N 0 1 323.322 3.316 20 30 DGEDMN C#C[C@H](N[C@H]1CCCc2nn(-c3ccccc3)cc21)[C@@H]1CCCO1 ZINC000794812785 661106758 /nfs/dbraw/zinc/10/67/58/661106758.db2.gz GIYKRWJAIRVIMZ-BJLQDIEVSA-N 0 1 321.424 3.020 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=C2CCOc3cc(F)ccc32)c1 ZINC000794921517 661113743 /nfs/dbraw/zinc/11/37/43/661113743.db2.gz CIOOWAXJQNOJSI-UHFFFAOYSA-N 0 1 316.723 3.344 20 30 DGEDMN CC(N=Nc1cncnc1)c1ccc(Br)cc1F ZINC000794979107 661118451 /nfs/dbraw/zinc/11/84/51/661118451.db2.gz WHCNYUCYNTYHJC-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN COc1ccc(C=NNc2cccc(F)n2)cc1OC(F)F ZINC000795006202 661120834 /nfs/dbraw/zinc/12/08/34/661120834.db2.gz KIIMQBPZPZKLAA-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN CC(=NNc1cccc(F)n1)c1cc2c(s1)SCC(=O)N2 ZINC000795007955 661121476 /nfs/dbraw/zinc/12/14/76/661121476.db2.gz AHROHNKTTZIRKR-UHFFFAOYSA-N 0 1 322.390 3.163 20 30 DGEDMN COCCCON=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000795051052 661124230 /nfs/dbraw/zinc/12/42/30/661124230.db2.gz LSYHHAJXWRUSJB-UHFFFAOYSA-N 0 1 320.433 3.155 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCCCC1(C)C ZINC000969158177 655529013 /nfs/dbraw/zinc/52/90/13/655529013.db2.gz DGJCIUWBAOEADT-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1CCC(C)(C)CC1 ZINC000969158896 655529321 /nfs/dbraw/zinc/52/93/21/655529321.db2.gz VKILKHQBHYHFHF-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001020472612 655540355 /nfs/dbraw/zinc/54/03/55/655540355.db2.gz GFZJYRCMCAYYGQ-SNRMKQJTSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)ccc2C2CC2)C1 ZINC000969451039 655547002 /nfs/dbraw/zinc/54/70/02/655547002.db2.gz HKBNHLSDIPPGGF-OAHLLOKOSA-N 0 1 318.848 3.429 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC000969499606 655549195 /nfs/dbraw/zinc/54/91/95/655549195.db2.gz ZFMGBWMYFOCHAV-CQSZACIVSA-N 0 1 317.820 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2csc3ccccc23)C1 ZINC000969504885 655549495 /nfs/dbraw/zinc/54/94/95/655549495.db2.gz SAUGFVGEKAZYPQ-GFCCVEGCSA-N 0 1 320.845 3.458 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2c(C)cc(C)cc2C)C1 ZINC000969800139 655557844 /nfs/dbraw/zinc/55/78/44/655557844.db2.gz KCGNJCNMSXGYLZ-MRXNPFEDSA-N 0 1 320.864 3.097 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC000969830558 655559215 /nfs/dbraw/zinc/55/92/15/655559215.db2.gz MSLVEWBGONPKNS-KDOFPFPSSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC000969845847 655559785 /nfs/dbraw/zinc/55/97/85/655559785.db2.gz POSWQCFPRRAFCT-LBPRGKRZSA-N 0 1 316.376 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC000969893359 655562677 /nfs/dbraw/zinc/56/26/77/655562677.db2.gz IFRAYAUKTSNZAV-MLGOLLRUSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C2CN(CCC(F)(F)F)C2)CC1 ZINC000970376064 655596826 /nfs/dbraw/zinc/59/68/26/655596826.db2.gz JYFJNUWPCQXUEX-GFCCVEGCSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC000970495694 655602977 /nfs/dbraw/zinc/60/29/77/655602977.db2.gz JJJGWNIIQYKCLV-GFCCVEGCSA-N 0 1 304.434 3.122 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cc(C)ccc3s2)C1 ZINC000970677624 655612294 /nfs/dbraw/zinc/61/22/94/655612294.db2.gz YKVCQJPBVJTUPP-CYBMUJFWSA-N 0 1 314.454 3.446 20 30 DGEDMN CCC(C)(CC)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC000970755060 655617119 /nfs/dbraw/zinc/61/71/19/655617119.db2.gz RBQLSPOKEFDUSY-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC000970752636 655617296 /nfs/dbraw/zinc/61/72/96/655617296.db2.gz VBKBQZOUIQZTSK-AWEZNQCLSA-N 0 1 312.413 3.286 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2c(F)cccc2Cl)C1 ZINC000970783646 655620172 /nfs/dbraw/zinc/62/01/72/655620172.db2.gz KLPVBHUZFZJKRS-NSHDSACASA-N 0 1 310.800 3.105 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@H]2[C@H]2CCN(CCF)C2)CC1 ZINC001039228517 655632125 /nfs/dbraw/zinc/63/21/25/655632125.db2.gz ISRQGMNKSHNGPZ-ROUUACIJSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@H](CCCN2C(=O)C=C2CCC2)C1 ZINC001021560611 655632700 /nfs/dbraw/zinc/63/27/00/655632700.db2.gz ZTSDUTIAIRTKCK-CVEARBPZSA-N 0 1 308.853 3.162 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccccc2CC(C)C)C1 ZINC000970949441 655641712 /nfs/dbraw/zinc/64/17/12/655641712.db2.gz IKFIIGFLDDRTMC-HNNXBMFYSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C2CC2)CC1 ZINC000949465351 655659833 /nfs/dbraw/zinc/65/98/33/655659833.db2.gz VVQSSPOPVCQESF-QZTJIDSGSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCC1CCCCCC1 ZINC001039405685 655698246 /nfs/dbraw/zinc/69/82/46/655698246.db2.gz BFCXFQXAFNRTJA-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCOc2ccccc2[C@@H]1CO ZINC000933384720 655710428 /nfs/dbraw/zinc/71/04/28/655710428.db2.gz PFOZVDOKASRVAP-IBGZPJMESA-N 0 1 322.408 3.103 20 30 DGEDMN CO[C@@H]1CC[C@@H]1N(C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000934115748 655750452 /nfs/dbraw/zinc/75/04/52/655750452.db2.gz SGZCPHVILCDWEE-LSDHHAIUSA-N 0 1 313.319 3.046 20 30 DGEDMN Fc1ccc(C#CCN2CC[C@@H](Cc3nccs3)C2)cc1 ZINC000934332845 655768375 /nfs/dbraw/zinc/76/83/75/655768375.db2.gz MCYQWOLDBURTKW-HNNXBMFYSA-N 0 1 300.402 3.198 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cnc3ccsc3c2)CC1 ZINC000949631966 655774657 /nfs/dbraw/zinc/77/46/57/655774657.db2.gz XMWOUXVOCILKLC-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCCO1)c1nc2ccccc2n1C(F)F ZINC000051656173 655776162 /nfs/dbraw/zinc/77/61/62/655776162.db2.gz BSWWKDOPUHDBMD-QWRGUYRKSA-N 0 1 319.311 3.177 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)CC2CCCCC2)C1 ZINC000972472464 655803757 /nfs/dbraw/zinc/80/37/57/655803757.db2.gz OJAPQUKJCHRLLA-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C(F)F)ccc1F ZINC001038254433 655812033 /nfs/dbraw/zinc/81/20/33/655812033.db2.gz WLQXIKHFERAQLC-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)C1=NO[C@H](c2ccccc2)C1 ZINC000065633771 655816169 /nfs/dbraw/zinc/81/61/69/655816169.db2.gz HTTKBIJQTCRFHM-ZDUSSCGKSA-N 0 1 323.312 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)=C3CCC3)CC[C@@H]21 ZINC001036713912 661191059 /nfs/dbraw/zinc/19/10/59/661191059.db2.gz PWQRHFZMQHNQSG-HOTGVXAUSA-N 0 1 308.853 3.162 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2ccccc2C(C)(C)C)C1 ZINC001023438529 655833613 /nfs/dbraw/zinc/83/36/13/655833613.db2.gz YYKAPVNEKFHBKR-INIZCTEOSA-N 0 1 312.457 3.059 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1scc(C)c1Cl ZINC001038283995 655843789 /nfs/dbraw/zinc/84/37/89/655843789.db2.gz KLSQDGXRGMNBBX-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@@H]2c2c(F)cccc2F)c1 ZINC000176826782 655849631 /nfs/dbraw/zinc/84/96/31/655849631.db2.gz IJNBSLXUNOWQMZ-WDEREUQCSA-N 0 1 314.291 3.284 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2ccc(C(C)C)cc2)C1 ZINC001023718405 655857123 /nfs/dbraw/zinc/85/71/23/655857123.db2.gz SLVRRBSRRMXIML-QGZVFWFLSA-N 0 1 312.457 3.275 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C34CCC(CC3)C4)CC[C@@H]21 ZINC001036750914 661195611 /nfs/dbraw/zinc/19/56/11/661195611.db2.gz UWZGYIXRMMCCGD-NDUQDZPOSA-N 0 1 322.880 3.242 20 30 DGEDMN CC1(C)CCC[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C1 ZINC000179382117 655891435 /nfs/dbraw/zinc/89/14/35/655891435.db2.gz DNERTLIYRIBXNS-DZGCQCFKSA-N 0 1 304.434 3.361 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@H]2CNC(=O)C2=CCCC2)cc1 ZINC001024392585 655904519 /nfs/dbraw/zinc/90/45/19/655904519.db2.gz TUCKJOJINHOAFD-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc2occc2c1 ZINC001024453315 655906403 /nfs/dbraw/zinc/90/64/03/655906403.db2.gz HPQRPKWTFOHYMH-QGZVFWFLSA-N 0 1 310.397 3.040 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc2occc2c1 ZINC001024453315 655906404 /nfs/dbraw/zinc/90/64/04/655906404.db2.gz HPQRPKWTFOHYMH-QGZVFWFLSA-N 0 1 310.397 3.040 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C(=C)C)CC[C@H]21 ZINC001036791921 661201803 /nfs/dbraw/zinc/20/18/03/661201803.db2.gz HEJRZEPMWJAWJO-HUUCEWRRSA-N 0 1 310.869 3.264 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001024453231 655906636 /nfs/dbraw/zinc/90/66/36/655906636.db2.gz GLCJBJQLTPQJEW-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001024453231 655906637 /nfs/dbraw/zinc/90/66/37/655906637.db2.gz GLCJBJQLTPQJEW-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN Fc1cccc(CON=Cc2ccc(N3CCOCC3)cc2)c1 ZINC000255831447 655908592 /nfs/dbraw/zinc/90/85/92/655908592.db2.gz WWECVJGNZMDDPF-UHFFFAOYSA-N 0 1 314.360 3.213 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@H]1CCCCN1C[C@@H](F)CC ZINC001024479894 655909819 /nfs/dbraw/zinc/90/98/19/655909819.db2.gz SLCSQYXYGJTAFF-JKSUJKDBSA-N 0 1 321.440 3.007 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1C2CC3CC(C2)CC1C3 ZINC001024480814 655909918 /nfs/dbraw/zinc/90/99/18/655909918.db2.gz PAEXDNCGOCBSQM-YXOSVLIBSA-N 0 1 316.489 3.215 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(F)c(F)c(F)c2F)c1 ZINC000179734318 655912477 /nfs/dbraw/zinc/91/24/77/655912477.db2.gz KWHISTFDVVSSDJ-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN CC(C)(Oc1ccc(F)cc1)C(=O)Nc1cc(C#N)ccc1O ZINC000179740104 655912652 /nfs/dbraw/zinc/91/26/52/655912652.db2.gz AOFLDFPIBYFEGT-UHFFFAOYSA-N 0 1 314.316 3.199 20 30 DGEDMN Cc1cccc(OCCC(=O)Nc2cc(C#N)ccc2O)c1C ZINC000179732684 655912991 /nfs/dbraw/zinc/91/29/91/655912991.db2.gz BSAIIMUJZVIRMC-UHFFFAOYSA-N 0 1 310.353 3.288 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)cc1F ZINC001024539542 655914426 /nfs/dbraw/zinc/91/44/26/655914426.db2.gz AEDTVKRVWVDJGZ-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(-c2ccco2)o1 ZINC001024547534 655915671 /nfs/dbraw/zinc/91/56/71/655915671.db2.gz MLQZJZKNBANULX-CQSZACIVSA-N 0 1 314.385 3.310 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)cc(Cl)c1 ZINC001024555804 655916384 /nfs/dbraw/zinc/91/63/84/655916384.db2.gz ZKBIHQQLAIVRLY-MRXNPFEDSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C3CC3)CC[C@H]21 ZINC001036796929 661203118 /nfs/dbraw/zinc/20/31/18/661203118.db2.gz KZCIXHLFPGFUOY-UKRRQHHQSA-N 0 1 310.869 3.098 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1csc2ccccc12 ZINC001024578441 655919774 /nfs/dbraw/zinc/91/97/74/655919774.db2.gz TVJVARGOSLVKJF-AWEZNQCLSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ccoc1C1CC1 ZINC001024619835 655924808 /nfs/dbraw/zinc/92/48/08/655924808.db2.gz FKYNUBVQCMOYSR-OAHLLOKOSA-N 0 1 302.418 3.317 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(Cl)c1F ZINC001024628229 655926042 /nfs/dbraw/zinc/92/60/42/655926042.db2.gz PWXFDZWFZSRGSL-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001024634230 655926255 /nfs/dbraw/zinc/92/62/55/655926255.db2.gz STFFCGULDQQVLT-CQSZACIVSA-N 0 1 322.399 3.434 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c(C)cccc1Cl ZINC001024637998 655926894 /nfs/dbraw/zinc/92/68/94/655926894.db2.gz PVQOYFPOUSHDLW-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(F)c2ccccc12 ZINC001024672411 655928859 /nfs/dbraw/zinc/92/88/59/655928859.db2.gz WJBRQJJXAXPFFP-OAHLLOKOSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)cc1Cl ZINC001024669985 655929156 /nfs/dbraw/zinc/92/91/56/655929156.db2.gz LASTTYCJKMEEFE-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1oc(C(C)C)nc1C ZINC001024697110 655931309 /nfs/dbraw/zinc/93/13/09/655931309.db2.gz PUXHCHMQBCHCIL-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001024704674 655932102 /nfs/dbraw/zinc/93/21/02/655932102.db2.gz QBCCQVUEIGJIHG-YSIASYRMSA-N 0 1 324.468 3.093 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1scnc1C(C)C ZINC001024700055 655932180 /nfs/dbraw/zinc/93/21/80/655932180.db2.gz WHNGORGTCQUSSR-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2nc(C)ccc2c1 ZINC001024723776 655933343 /nfs/dbraw/zinc/93/33/43/655933343.db2.gz QJTVJKYUDISHNS-GOSISDBHSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc2ccncc21 ZINC001024737298 655934448 /nfs/dbraw/zinc/93/44/48/655934448.db2.gz HXUSGOQQIGALPJ-QGZVFWFLSA-N 0 1 323.440 3.395 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ncoc1C(C)(C)C ZINC001024749352 655935090 /nfs/dbraw/zinc/93/50/90/655935090.db2.gz UMPLNXCUBCBXLR-AWEZNQCLSA-N 0 1 319.449 3.133 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc2c(C)cccn2c1 ZINC001024755629 655936002 /nfs/dbraw/zinc/93/60/02/655936002.db2.gz APTSHNBXXPQVNZ-QGZVFWFLSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2c(C)cccn2c1 ZINC001024755629 655936003 /nfs/dbraw/zinc/93/60/03/655936003.db2.gz APTSHNBXXPQVNZ-QGZVFWFLSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc2c(C)cccn2c1 ZINC001024755625 655936026 /nfs/dbraw/zinc/93/60/26/655936026.db2.gz APTSHNBXXPQVNZ-KRWDZBQOSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2c(C)cccn2c1 ZINC001024755625 655936027 /nfs/dbraw/zinc/93/60/27/655936027.db2.gz APTSHNBXXPQVNZ-KRWDZBQOSA-N 0 1 311.429 3.018 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ccoc1CCC ZINC001024758740 655936398 /nfs/dbraw/zinc/93/63/98/655936398.db2.gz XAJHZMGAFZMBCY-HNNXBMFYSA-N 0 1 304.434 3.393 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc2[nH]ccc2s1 ZINC001024778033 655937555 /nfs/dbraw/zinc/93/75/55/655937555.db2.gz UHGQRVCUURROSH-CYBMUJFWSA-N 0 1 317.458 3.390 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(C)csc1Cl ZINC001024778226 655937559 /nfs/dbraw/zinc/93/75/59/655937559.db2.gz WDTVMCJXSOTDEH-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccccc1C1CCC1 ZINC001024782158 655938188 /nfs/dbraw/zinc/93/81/88/655938188.db2.gz HLJSXUQQOSTDEB-KRWDZBQOSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(OC)c(Cl)c1 ZINC001024791513 655938811 /nfs/dbraw/zinc/93/88/11/655938811.db2.gz BTEHJDPSNFCAIR-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc2ccccc21 ZINC001024788882 655939390 /nfs/dbraw/zinc/93/93/90/655939390.db2.gz OVDNKWDIQUJBHV-GOSISDBHSA-N 0 1 320.436 3.447 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1csc2c1CCCC2 ZINC001024790932 655939953 /nfs/dbraw/zinc/93/99/53/655939953.db2.gz RPAISHXVKDAFCB-AWEZNQCLSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001024804655 655940836 /nfs/dbraw/zinc/94/08/36/655940836.db2.gz XJNGJDMRPBWHGU-KRWDZBQOSA-N 0 1 323.440 3.452 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001024821023 655942038 /nfs/dbraw/zinc/94/20/38/655942038.db2.gz AGZFRPICURRBOW-VNCLNFNDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1Cc2c1cccc2Cl ZINC001024821276 655942338 /nfs/dbraw/zinc/94/23/38/655942338.db2.gz JUEZTULUYCURID-CZUORRHYSA-N 0 1 318.848 3.136 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001025185797 655974028 /nfs/dbraw/zinc/97/40/28/655974028.db2.gz UGWBWRYYVKVGLN-HZPDHXFCSA-N 0 1 316.470 3.149 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)CC1(C)CCCC1 ZINC001025228685 655981873 /nfs/dbraw/zinc/98/18/73/655981873.db2.gz CDVYUJGJWZNFHR-QZTJIDSGSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2ccoc2C)C1 ZINC001025335764 655995483 /nfs/dbraw/zinc/99/54/83/655995483.db2.gz DJJYVKFUOFGYIH-GXTWGEPZSA-N 0 1 310.825 3.171 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cccc(C3CC3)c2)C1 ZINC001025630781 656052540 /nfs/dbraw/zinc/05/25/40/656052540.db2.gz VZASFLDMIKZJPL-OXQOHEQNSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001019562459 656055127 /nfs/dbraw/zinc/05/51/27/656055127.db2.gz CKDAVDQEVRWBCR-JYJNAYRXSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CC)c(CC)c2)C1 ZINC001019912698 656065857 /nfs/dbraw/zinc/06/58/57/656065857.db2.gz MPPMKVQOLPKYFJ-KRWDZBQOSA-N 0 1 320.864 3.368 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001008268535 656135018 /nfs/dbraw/zinc/13/50/18/656135018.db2.gz LYAIUNSMSXQSKL-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)ccc2CC)C(C)(C)C1 ZINC000974772584 656228573 /nfs/dbraw/zinc/22/85/73/656228573.db2.gz HEMGYICLNWPIEB-INIZCTEOSA-N 0 1 304.409 3.014 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)Cc2cccc(C)c2Cl)C(C)(C)C1 ZINC000974804184 656230860 /nfs/dbraw/zinc/23/08/60/656230860.db2.gz CXLRCFCSKGFHJI-OAHLLOKOSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)C(C)(C)C1 ZINC000974815729 656232103 /nfs/dbraw/zinc/23/21/03/656232103.db2.gz YDRFCMJDIZWIKC-MRXNPFEDSA-N 0 1 314.385 3.166 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C(C)(C)C1 ZINC000974884851 656238686 /nfs/dbraw/zinc/23/86/86/656238686.db2.gz OMJNVHMHJAAMEV-KRWDZBQOSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2cccc(F)c2)C(C)(C)C1 ZINC000975037927 656250592 /nfs/dbraw/zinc/25/05/92/656250592.db2.gz KVKSOMWQOOUFBU-INIZCTEOSA-N 0 1 318.436 3.116 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C)c3ccccc23)C(C)(C)C1 ZINC000975086345 656254945 /nfs/dbraw/zinc/25/49/45/656254945.db2.gz UEEYJKOYOQYMKK-LJQANCHMSA-N 0 1 320.436 3.222 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cccc(F)c2Cl)C(C)(C)C1 ZINC000975076219 656256058 /nfs/dbraw/zinc/25/60/58/656256058.db2.gz PISSRRNQURSTAJ-AWEZNQCLSA-N 0 1 324.827 3.495 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C(C)(C)C1 ZINC000975074691 656256240 /nfs/dbraw/zinc/25/62/40/656256240.db2.gz KOQCZKIGRQFOTL-ZACQAIPSSA-N 0 1 316.489 3.053 20 30 DGEDMN N#CC(C(=O)CCCC1CCCCC1)C(=O)Nc1ccccn1 ZINC000125165395 656268217 /nfs/dbraw/zinc/26/82/17/656268217.db2.gz SLLKOAMZYHHTGO-HNNXBMFYSA-N 0 1 313.401 3.480 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001009453893 656288992 /nfs/dbraw/zinc/28/89/92/656288992.db2.gz JHFCFVYWXPLKRV-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2cc3ccccc3cc2F)C1 ZINC001027486527 656316378 /nfs/dbraw/zinc/31/63/78/656316378.db2.gz FZOFKVUWXHBCCF-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C23CCC(CC2)C3(C)C)C(C)(C)C1 ZINC000977246542 656318639 /nfs/dbraw/zinc/31/86/39/656318639.db2.gz BYVDMNRTNVEALU-NGEICVOHSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)C(C)(C)C1 ZINC000977314807 656321491 /nfs/dbraw/zinc/32/14/91/656321491.db2.gz BZZHJOWFVZDWTD-MRXNPFEDSA-N 0 1 318.436 3.116 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(Cl)ccc2C)C(C)(C)C1 ZINC000977353966 656323744 /nfs/dbraw/zinc/32/37/44/656323744.db2.gz GIVJMCBZKVKXSL-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2[nH]ccc2-c2ccccc2)C(C)(C)C1 ZINC000977453823 656331312 /nfs/dbraw/zinc/33/13/12/656331312.db2.gz AAWICFMSNFJWQK-KRWDZBQOSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cnc(C3CC3)s2)C(C)(C)C1 ZINC000977490653 656334036 /nfs/dbraw/zinc/33/40/36/656334036.db2.gz BLGKZMMAMZOSOO-AWEZNQCLSA-N 0 1 319.474 3.037 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C3CCCCC3)CC2)C(C)(C)C1 ZINC000977530387 656339345 /nfs/dbraw/zinc/33/93/45/656339345.db2.gz WCCBFNWNWXIQMD-KRWDZBQOSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)Cc2c(F)cccc2Cl)C(C)(C)C1 ZINC000977537021 656340226 /nfs/dbraw/zinc/34/02/26/656340226.db2.gz XNHYDAGUMRGHMI-OAHLLOKOSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2CCC(C3CC3)CC2)C(C)(C)C1 ZINC000977548857 656341341 /nfs/dbraw/zinc/34/13/41/656341341.db2.gz YDGXZBRGSFYZSL-JCYILVPMSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2oc3ccccc3c2C)C(C)(C)C1 ZINC000977564197 656344787 /nfs/dbraw/zinc/34/47/87/656344787.db2.gz HMHPUVUMEYSGRB-MRXNPFEDSA-N 0 1 312.413 3.367 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)C(C)(C)C1 ZINC000977565230 656345283 /nfs/dbraw/zinc/34/52/83/656345283.db2.gz WHENPUUBUONSKT-JCYILVPMSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2C(C)C)C(C)(C)C1 ZINC000977643246 656351459 /nfs/dbraw/zinc/35/14/59/656351459.db2.gz QORJDQWDNWHJCP-OAHLLOKOSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977656761 656352948 /nfs/dbraw/zinc/35/29/48/656352948.db2.gz CFVHRQBWVMRNKJ-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN CN(Cc1ccc(C#N)s1)[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC001027658309 656387907 /nfs/dbraw/zinc/38/79/07/656387907.db2.gz HMPFIHVKULTYMX-ZDUSSCGKSA-N 0 1 319.474 3.089 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C2CCCC2)CCN1CC#Cc1ccccc1 ZINC000946971022 661259020 /nfs/dbraw/zinc/25/90/20/661259020.db2.gz GJMNKFQAHZVBCB-XLIONFOSSA-N 0 1 324.468 3.197 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(CC)c(C)s2)CC1 ZINC001006383415 661261085 /nfs/dbraw/zinc/26/10/85/661261085.db2.gz GAWLKUFGLQFWKX-UHFFFAOYSA-N 0 1 318.486 3.179 20 30 DGEDMN CC1(C)C[C@H]1C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052317826 656427926 /nfs/dbraw/zinc/42/79/26/656427926.db2.gz SIEZSKBSQFSLKM-OALUTQOASA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc3occc3[nH]2)CC1 ZINC001052425054 656435434 /nfs/dbraw/zinc/43/54/34/656435434.db2.gz UIFIZBZMCYCBSS-GFCCVEGCSA-N 0 1 321.808 3.098 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CCC(F)(F)C2)CC1 ZINC001052433511 656436331 /nfs/dbraw/zinc/43/63/31/656436331.db2.gz TZKIQAVNDZEAFY-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN CC1(C(=O)N[C@H]2CCCN(CC#Cc3ccccc3)CC2)CCC1 ZINC001052626388 656450696 /nfs/dbraw/zinc/45/06/96/656450696.db2.gz CNMTWRUKENQVGW-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1coc2ccc(CC)cc12 ZINC001027884617 656457152 /nfs/dbraw/zinc/45/71/52/656457152.db2.gz NFHQMXIBHIOXBZ-HNNXBMFYSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cscc1C(F)F ZINC001027887271 656461851 /nfs/dbraw/zinc/46/18/51/656461851.db2.gz LYTNHPKUUNFEKO-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001039377131 656489776 /nfs/dbraw/zinc/48/97/76/656489776.db2.gz GUJPXADOYTUOHA-XWTMOSNGSA-N 0 1 316.489 3.147 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C2CCC2)cc1 ZINC001027920923 656492607 /nfs/dbraw/zinc/49/26/07/656492607.db2.gz KPVLYOPQZIEWPW-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)ccc1F ZINC001039402370 656493731 /nfs/dbraw/zinc/49/37/31/656493731.db2.gz UOMRMGHSQGSUHU-KGLIPLIRSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2cccnc21 ZINC001039400431 656494019 /nfs/dbraw/zinc/49/40/19/656494019.db2.gz BCGDDEPCPZGDNO-SJORKVTESA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCC1(F)F ZINC001039410635 656495208 /nfs/dbraw/zinc/49/52/08/656495208.db2.gz SBCFBNDWOXOMBY-ILXRZTDVSA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1conc1C1CCCC1 ZINC001027927758 656498613 /nfs/dbraw/zinc/49/86/13/656498613.db2.gz CORTYLXRRKYDJA-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cccs1 ZINC001039434681 656501439 /nfs/dbraw/zinc/50/14/39/656501439.db2.gz LKZNHQADJQGZOM-KFWWJZLASA-N 0 1 304.459 3.103 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCC(F)(F)CC1 ZINC001039444171 656503116 /nfs/dbraw/zinc/50/31/16/656503116.db2.gz USSMIFSNFFMCQG-CABCVRRESA-N 0 1 312.404 3.063 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ccsc21 ZINC001039457821 656506568 /nfs/dbraw/zinc/50/65/68/656506568.db2.gz PGOQPYPRBYUZOY-CVEARBPZSA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccn(C)c2c1 ZINC001039457801 656506681 /nfs/dbraw/zinc/50/66/81/656506681.db2.gz OGCNZNWFLFCWKX-MSOLQXFVSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1CCC2 ZINC001039476246 656511790 /nfs/dbraw/zinc/51/17/90/656511790.db2.gz IWPCHBOPSUIULG-SJORKVTESA-N 0 1 310.441 3.040 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)C(C)(C)C1(C)C ZINC001039478687 656512613 /nfs/dbraw/zinc/51/26/13/656512613.db2.gz WCIJTPUANIIOOW-CVEARBPZSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2sc(C(C)(C)C)nc2C)C1 ZINC000980549834 656522709 /nfs/dbraw/zinc/52/27/09/656522709.db2.gz GSFROKAQMXMVGB-CYBMUJFWSA-N 0 1 321.490 3.081 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@]3(C)CC=C(C)CC3)C[C@@H]2C1 ZINC001049227634 656573611 /nfs/dbraw/zinc/57/36/11/656573611.db2.gz JLLFMQKPDJHIIB-SOLBZPMBSA-N 0 1 322.880 3.266 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001027992775 656576438 /nfs/dbraw/zinc/57/64/38/656576438.db2.gz FGJBMVJQQOMACA-NSHDSACASA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001027992775 656576444 /nfs/dbraw/zinc/57/64/44/656576444.db2.gz FGJBMVJQQOMACA-NSHDSACASA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3CCC(C)(C)CC3)C[C@@H]2C1 ZINC001049240158 656577062 /nfs/dbraw/zinc/57/70/62/656577062.db2.gz LDEHATRFGPKLME-IYBDPMFKSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001040840632 656610450 /nfs/dbraw/zinc/61/04/50/656610450.db2.gz VPOIHZPBKDRARV-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3(C(C)C)CC3)C2)CC1 ZINC001040839341 656610524 /nfs/dbraw/zinc/61/05/24/656610524.db2.gz FWCINYASXJFATN-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001049411826 656617166 /nfs/dbraw/zinc/61/71/66/656617166.db2.gz RBKOFLIRELADIR-IURQVSMNSA-N 0 1 323.440 3.090 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049498090 656630517 /nfs/dbraw/zinc/63/05/17/656630517.db2.gz LNHZMCGSVYMIQW-HZPDHXFCSA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1C(C)C ZINC001049513034 656634264 /nfs/dbraw/zinc/63/42/64/656634264.db2.gz VJMHFZGXYZUURF-OALUTQOASA-N 0 1 310.441 3.122 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2c(o1)c(F)ccc2C ZINC001028043635 656641770 /nfs/dbraw/zinc/64/17/70/656641770.db2.gz UALKWSWMQDHNCS-CYBMUJFWSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581032 656644891 /nfs/dbraw/zinc/64/48/91/656644891.db2.gz XPFZDWSVLLLFSW-LSDHHAIUSA-N 0 1 304.459 3.175 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C2CCC2)CCC1 ZINC001049622258 656650781 /nfs/dbraw/zinc/65/07/81/656650781.db2.gz SVFVGGSPHZYABB-MSOLQXFVSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662264 656660125 /nfs/dbraw/zinc/66/01/25/656660125.db2.gz FTTBAYLCXYJHSE-HOTGVXAUSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662264 656660126 /nfs/dbraw/zinc/66/01/26/656660126.db2.gz FTTBAYLCXYJHSE-HOTGVXAUSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2ncccc2s1 ZINC001028067730 656660190 /nfs/dbraw/zinc/66/01/90/656660190.db2.gz AWZCVXZGPKTARL-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@@H]2CCN3C/C=C/Cl)C1 ZINC001049704617 656671068 /nfs/dbraw/zinc/67/10/68/656671068.db2.gz YRZAKPOFTLMKIZ-PWZNUNQRSA-N 0 1 308.853 3.161 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2conc2C2CCCC2)CC1 ZINC000981308656 656674548 /nfs/dbraw/zinc/67/45/48/656674548.db2.gz CCNRTOMZNBRQTJ-UHFFFAOYSA-N 0 1 317.433 3.056 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1ccccc1C ZINC001049750683 656679059 /nfs/dbraw/zinc/67/90/59/656679059.db2.gz ZTKCBIUCVWHHHS-PMACEKPBSA-N 0 1 324.468 3.016 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761522 656682203 /nfs/dbraw/zinc/68/22/03/656682203.db2.gz JDDSHOZZIZUUNH-STQMWFEESA-N 0 1 310.850 3.266 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)C1CCCCC1 ZINC001049773796 656684617 /nfs/dbraw/zinc/68/46/17/656684617.db2.gz SGCBPVYVBOOLAP-QZTJIDSGSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1scnc1C(C)C ZINC001049773279 656684939 /nfs/dbraw/zinc/68/49/39/656684939.db2.gz OFYDBPBHFZXZQG-ZIAGYGMSSA-N 0 1 319.474 3.131 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC)CCCC1 ZINC001049821867 656692690 /nfs/dbraw/zinc/69/26/90/656692690.db2.gz IIZJKYGSTFIKCM-DLBZAZTESA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(Cl)cc1 ZINC001049854574 656699718 /nfs/dbraw/zinc/69/97/18/656699718.db2.gz NVQQATICWHCEOY-IRXDYDNUSA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(C(C)(C)C)cc1 ZINC001049863327 656703167 /nfs/dbraw/zinc/70/31/67/656703167.db2.gz LUBHHKXNKDEHMO-RTBURBONSA-N 0 1 324.468 3.296 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049872704 656706935 /nfs/dbraw/zinc/70/69/35/656706935.db2.gz UJJCSYXSKQMAPX-QWHCGFSZSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049872702 656707396 /nfs/dbraw/zinc/70/73/96/656707396.db2.gz UJJCSYXSKQMAPX-CHWSQXEVSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1oc2ccccc2c1C ZINC001049876095 656709197 /nfs/dbraw/zinc/70/91/97/656709197.db2.gz IEHCLVAABCXZQW-IRXDYDNUSA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1CC(C)C ZINC001049925508 656726133 /nfs/dbraw/zinc/72/61/33/656726133.db2.gz ZCDQLALFEPTTHM-UXHICEINSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnc(C(C)C)s1 ZINC001049934916 656727484 /nfs/dbraw/zinc/72/74/84/656727484.db2.gz DLXMJTNCLJQJPE-ZIAGYGMSSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]2CCN(C/C=C\Cl)[C@@H]2C1 ZINC001042183306 656731687 /nfs/dbraw/zinc/73/16/87/656731687.db2.gz JAYGVWALMIDLQZ-DNWYELSFSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(Cl)cs3)C[C@@H]21 ZINC001042256181 656746352 /nfs/dbraw/zinc/74/63/52/656746352.db2.gz URXPUWJTKHANHW-YPMHNXCESA-N 0 1 310.850 3.124 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccc(C4CCC4)c3)C[C@H]21 ZINC001042314528 656750866 /nfs/dbraw/zinc/75/08/66/656750866.db2.gz RQAUVHRDSWFJDT-YLJYHZDGSA-N 0 1 322.452 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@@H+](CCc2ccccc2F)CC1 ZINC000981753340 656758158 /nfs/dbraw/zinc/75/81/58/656758158.db2.gz BJGFXPQWDXFLIF-UHFFFAOYSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(CCc2ccccc2F)CC1 ZINC000981753340 656758160 /nfs/dbraw/zinc/75/81/60/656758160.db2.gz BJGFXPQWDXFLIF-UHFFFAOYSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+](Cc2ccc(F)cc2C)CC1 ZINC000981753547 656758450 /nfs/dbraw/zinc/75/84/50/656758450.db2.gz GVWOWYXFZJOYPA-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2ccc(F)cc2C)CC1 ZINC000981753547 656758454 /nfs/dbraw/zinc/75/84/54/656758454.db2.gz GVWOWYXFZJOYPA-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3sccc3C3CC3)C[C@H]21 ZINC001042340888 656760653 /nfs/dbraw/zinc/76/06/53/656760653.db2.gz JECBLXWSLFHKNR-GDBMZVCRSA-N 0 1 316.470 3.348 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(CC)c(C)s3)C[C@H]21 ZINC001042345455 656761547 /nfs/dbraw/zinc/76/15/47/656761547.db2.gz LMIOGTCQHHPMHC-JKSUJKDBSA-N 0 1 318.486 3.341 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2c[nH]cc2C2CC2)CC1 ZINC001052833709 656773751 /nfs/dbraw/zinc/77/37/51/656773751.db2.gz IQYOHTKPOVMQMU-AWEZNQCLSA-N 0 1 321.852 3.229 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CC23CCCC3)CC1 ZINC001052840099 656774426 /nfs/dbraw/zinc/77/44/26/656774426.db2.gz XBLIKJHQWMGBRT-HUUCEWRRSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CC[N@@H+]1CCCC2(CN(C(=O)c3oc(C(F)F)cc3C)C2)C1 ZINC000981824012 656778564 /nfs/dbraw/zinc/77/85/64/656778564.db2.gz KEIAASUBOFDWAQ-UHFFFAOYSA-N 0 1 324.371 3.250 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001038887749 656781256 /nfs/dbraw/zinc/78/12/56/656781256.db2.gz AAFLJQJCFKRQBU-RDJZCZTQSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000981869809 656790538 /nfs/dbraw/zinc/79/05/38/656790538.db2.gz ZDEYSYVGQJPNDT-CQSZACIVSA-N 0 1 306.837 3.164 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3cc4ccccc4s3)C2)C1 ZINC000981874926 656792127 /nfs/dbraw/zinc/79/21/27/656792127.db2.gz BBCSDUNYGDVLQD-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001028149390 656798276 /nfs/dbraw/zinc/79/82/76/656798276.db2.gz VQAZBPHQCLLXEN-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001043085706 656812191 /nfs/dbraw/zinc/81/21/91/656812191.db2.gz OPMBWPLCMWKEEV-IBGZPJMESA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001043259168 656820059 /nfs/dbraw/zinc/82/00/59/656820059.db2.gz UDESFJGOLPULPA-UHFFFAOYSA-N 0 1 314.473 3.146 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc[nH]c2C2CCC2)CC1 ZINC000981953259 656828280 /nfs/dbraw/zinc/82/82/80/656828280.db2.gz KSZWPLYZKAKCCA-UHFFFAOYSA-N 0 1 301.434 3.006 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CC3CCCCC3)C2)cc1 ZINC001043506314 656834239 /nfs/dbraw/zinc/83/42/39/656834239.db2.gz WTVPLJKNNLBMEX-UHFFFAOYSA-N 0 1 310.441 3.004 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(Cl)c(F)c2)CC1 ZINC000982022280 656863754 /nfs/dbraw/zinc/86/37/54/656863754.db2.gz HXTNWZBOMYKZOD-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(F)cc(Cl)c2)CC1 ZINC000982022772 656863859 /nfs/dbraw/zinc/86/38/59/656863859.db2.gz XCXJBWHUAGRDSX-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1CC1(C)C ZINC001054036892 656886766 /nfs/dbraw/zinc/88/67/66/656886766.db2.gz UBELKWFDZFTDFJ-ZWKOTPCHSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC1(C)C ZINC001054036891 656888783 /nfs/dbraw/zinc/88/87/83/656888783.db2.gz UBELKWFDZFTDFJ-QZTJIDSGSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(C)nc3ccccc32)C1 ZINC001044262748 656904437 /nfs/dbraw/zinc/90/44/37/656904437.db2.gz SRHHOEBOOBZTMJ-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3sccc3C(C)C)C2)C1 ZINC000982161487 656908203 /nfs/dbraw/zinc/90/82/03/656908203.db2.gz SHVSKWZARHPCSW-UHFFFAOYSA-N 0 1 316.470 3.043 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H](C)C1CC1)C2 ZINC001054111840 656912460 /nfs/dbraw/zinc/91/24/60/656912460.db2.gz BYZCRAHYTLCQBW-PBHICJAKSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001044363723 656912622 /nfs/dbraw/zinc/91/26/22/656912622.db2.gz SXTPCLVPTQOXBV-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)C[C@@H](C)c2ccccc2F)CC1 ZINC000982227062 656920783 /nfs/dbraw/zinc/92/07/83/656920783.db2.gz GLOWBZCROQWIFC-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1(C)CCC1)C2 ZINC001054178369 656925778 /nfs/dbraw/zinc/92/57/78/656925778.db2.gz AVXYJVDXPSMLAK-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@]2(CCN(CC(=C)Cl)C2)C1 ZINC001054177359 656925832 /nfs/dbraw/zinc/92/58/32/656925832.db2.gz KEDLYVZPIZKFMF-KRWDZBQOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cccc(C)n3)cc2C1 ZINC001054256403 656952793 /nfs/dbraw/zinc/95/27/93/656952793.db2.gz YQCVIVDXICTQHB-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccsc3C)cc2C1 ZINC001054264148 656954986 /nfs/dbraw/zinc/95/49/86/656954986.db2.gz URWQRXURWDSUSP-UHFFFAOYSA-N 0 1 324.449 3.325 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3C[C@@H]3C(F)F)cc2C1 ZINC001054263032 656955202 /nfs/dbraw/zinc/95/52/02/656955202.db2.gz CGLIJGGATWJMTK-HOTGVXAUSA-N 0 1 320.383 3.096 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC(C)(C)C3)cc2C1 ZINC001054280036 656959894 /nfs/dbraw/zinc/95/98/94/656959894.db2.gz WYGNVUQETSLFMD-QGZVFWFLSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@]3(C)CC)cc2C1 ZINC001054287715 656962529 /nfs/dbraw/zinc/96/25/29/656962529.db2.gz YWPNONQIPCUNIX-ICSRJNTNSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc(C(F)(F)F)c1 ZINC001028280990 656968937 /nfs/dbraw/zinc/96/89/37/656968937.db2.gz BQNFANSBDAIQJR-CQSZACIVSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cccc(C(F)(F)F)c1 ZINC001028280990 656968941 /nfs/dbraw/zinc/96/89/41/656968941.db2.gz BQNFANSBDAIQJR-CQSZACIVSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(-c2cccs2)o1 ZINC001028294200 656979587 /nfs/dbraw/zinc/97/95/87/656979587.db2.gz WNCGQBVBXGOOMU-ZDUSSCGKSA-N 0 1 316.426 3.388 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)c1cc(Cl)ccc1OC ZINC001028302703 656986985 /nfs/dbraw/zinc/98/69/85/656986985.db2.gz VZBUWLLSAJSDJK-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001028304285 656988307 /nfs/dbraw/zinc/98/83/07/656988307.db2.gz RHDGNZWRWBLBGL-CQSZACIVSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)(C)C)s1 ZINC001028331928 656994409 /nfs/dbraw/zinc/99/44/09/656994409.db2.gz XFAMYJVOGHGAKS-ZDUSSCGKSA-N 0 1 306.475 3.426 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1oc2ccccc2c1CC ZINC001028342973 656999180 /nfs/dbraw/zinc/99/91/80/656999180.db2.gz JHTPMBLQEOPQGZ-AWEZNQCLSA-N 0 1 312.413 3.375 20 30 DGEDMN O=C(NC[C@H]1CCN(CC#Cc2ccccc2)C1)C1CCCCC1 ZINC001028381119 657016203 /nfs/dbraw/zinc/01/62/03/657016203.db2.gz ARICYJZACUNILE-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc3ccsc3[nH]2)C[C@H]1C ZINC001054954279 657025198 /nfs/dbraw/zinc/02/51/98/657025198.db2.gz OHURKMNDJZFZFJ-RNCFNFMXSA-N 0 1 323.849 3.032 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2cc(F)ccc2F)C1 ZINC001054976873 657027699 /nfs/dbraw/zinc/02/76/99/657027699.db2.gz QIGNXFOJHUWOIG-MLGOLLRUSA-N 0 1 322.399 3.114 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cccc3c2CCCCC3)CC1 ZINC001045471151 657027807 /nfs/dbraw/zinc/02/78/07/657027807.db2.gz NGUYFQOFGCZSLS-UHFFFAOYSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2cccc(F)c2F)C1 ZINC001054977466 657027877 /nfs/dbraw/zinc/02/78/77/657027877.db2.gz YDUCLOZZKZCRPR-IUODEOHRSA-N 0 1 322.399 3.114 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(F)F)CCCC2)C[C@H]1C ZINC001055002920 657030799 /nfs/dbraw/zinc/03/07/99/657030799.db2.gz DGJKFBGXRGQTLU-ZYHUDNBSSA-N 0 1 320.811 3.001 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3c(cc(C)cc3C)[nH]2)CC1 ZINC001045515521 657031760 /nfs/dbraw/zinc/03/17/60/657031760.db2.gz SZCDDNOMVGFVTM-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C#Cc1ccc(C(=O)NC2(C)CCN(C[C@@H](F)CC)CC2)cc1 ZINC001045520689 657032682 /nfs/dbraw/zinc/03/26/82/657032682.db2.gz PRWBKFZMWZXOTC-KRWDZBQOSA-N 0 1 316.420 3.000 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(F)cc2Cl)CC1 ZINC001045632775 657045723 /nfs/dbraw/zinc/04/57/23/657045723.db2.gz RQRQFBYMXFTTJC-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(Cl)s2)CC1 ZINC001045633159 657046030 /nfs/dbraw/zinc/04/60/30/657046030.db2.gz XNAMBWTYZDATRY-UHFFFAOYSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc3cccc(C)c3o2)CC1 ZINC001045646171 657048913 /nfs/dbraw/zinc/04/89/13/657048913.db2.gz ALNJRTJKNADLQF-UHFFFAOYSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001028579345 657080126 /nfs/dbraw/zinc/08/01/26/657080126.db2.gz CGUNPDQMWVBADU-INIZCTEOSA-N 0 1 310.441 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C(C)(C)F)C2 ZINC001045908595 657084759 /nfs/dbraw/zinc/08/47/59/657084759.db2.gz IVUYIGYEEMMVRV-MRXNPFEDSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001000017153 657085424 /nfs/dbraw/zinc/08/54/24/657085424.db2.gz ZHFQRPQHZRVUBX-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)/C(C)=C\C)C2 ZINC001045929970 657097223 /nfs/dbraw/zinc/09/72/23/657097223.db2.gz HYBHIXYXDBIIIN-SHDIAVBESA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H]1C[C@H]1C)C2 ZINC001045956099 657104269 /nfs/dbraw/zinc/10/42/69/657104269.db2.gz FSEYWWZHQZFXDE-FRFSOERESA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2csc(Cl)c2)C1 ZINC001000388185 657122452 /nfs/dbraw/zinc/12/24/52/657122452.db2.gz IMXCQEJSUHECNL-LLVKDONJSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)c(CC)o2)C1 ZINC001000675772 657142695 /nfs/dbraw/zinc/14/26/95/657142695.db2.gz VAEZQJQVTFMKFV-CYBMUJFWSA-N 0 1 310.825 3.097 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(CCC)s2)CC1 ZINC001000736496 657147504 /nfs/dbraw/zinc/14/75/04/657147504.db2.gz IPYZCTIFJVOOOD-UHFFFAOYSA-N 0 1 316.470 3.086 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(c3ccccc3)CC2)C1 ZINC001000839252 657156665 /nfs/dbraw/zinc/15/66/65/657156665.db2.gz DEWIWKBURUEBPG-MRXNPFEDSA-N 0 1 318.848 3.051 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3ccccc3[nH]2)C1 ZINC001000866888 657159779 /nfs/dbraw/zinc/15/97/79/657159779.db2.gz RPMBNNUONJWZLX-CQSZACIVSA-N 0 1 317.820 3.115 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001046458027 657163699 /nfs/dbraw/zinc/16/36/99/657163699.db2.gz LXJLCOIMFTVQCH-KRWDZBQOSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001046518740 657171754 /nfs/dbraw/zinc/17/17/54/657171754.db2.gz VBBNSBUHCCPDEL-LJQANCHMSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001046518651 657172017 /nfs/dbraw/zinc/17/20/17/657172017.db2.gz SCBNCBCAXONUSD-HXUWFJFHSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001001007816 657173356 /nfs/dbraw/zinc/17/33/56/657173356.db2.gz SVXILSMTIWIBJP-FJBKBRRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001046539589 657175307 /nfs/dbraw/zinc/17/53/07/657175307.db2.gz YTQJZJARJHOZIR-LJQANCHMSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(CF)cc2)C1 ZINC001001027767 657176117 /nfs/dbraw/zinc/17/61/17/657176117.db2.gz WFKQZJAWHVNSTK-OAHLLOKOSA-N 0 1 310.800 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(C)C(C)(C)C2(C)C)C1 ZINC001001082724 657181107 /nfs/dbraw/zinc/18/11/07/657181107.db2.gz CSNOLICOFRNQMN-ZDUSSCGKSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc(C3CCC3)c2)CC1 ZINC001001367636 657211493 /nfs/dbraw/zinc/21/14/93/657211493.db2.gz ALWZBYFDPSUTJO-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001046769510 657215847 /nfs/dbraw/zinc/21/58/47/657215847.db2.gz WQSSVEUERNEMRA-SFHVURJKSA-N 0 1 318.848 3.120 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccsc2C(F)F)CC1 ZINC001001412357 657217170 /nfs/dbraw/zinc/21/71/70/657217170.db2.gz KBBLEFHBQLSQGA-UHFFFAOYSA-N 0 1 324.396 3.071 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc3ccccc3c2)C1 ZINC001046797643 657222642 /nfs/dbraw/zinc/22/26/42/657222642.db2.gz FVTMJOQIAKCRMC-FQEVSTJZSA-N 0 1 306.409 3.057 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc3cccc(C)c3o2)CC1 ZINC001001475821 657224706 /nfs/dbraw/zinc/22/47/06/657224706.db2.gz KKXOQYHMXFNZKB-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001028933873 657226596 /nfs/dbraw/zinc/22/65/96/657226596.db2.gz VIPDQSBIWLRNPH-AWEZNQCLSA-N 0 1 323.868 3.017 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001046818537 657229869 /nfs/dbraw/zinc/22/98/69/657229869.db2.gz URNPUSKBDCOETC-LADRWXRNSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001046821726 657230803 /nfs/dbraw/zinc/23/08/03/657230803.db2.gz BGUASCKOKXDDJV-LJQANCHMSA-N 0 1 316.445 3.102 20 30 DGEDMN C#CCCN1CC[C@](C)(NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001046851077 657236656 /nfs/dbraw/zinc/23/66/56/657236656.db2.gz CDXSLORFVROGBM-SFHVURJKSA-N 0 1 318.486 3.263 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001046870294 657241386 /nfs/dbraw/zinc/24/13/86/657241386.db2.gz KPQHMKWRUWYRCM-IBGZPJMESA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC001046937394 657246344 /nfs/dbraw/zinc/24/63/44/657246344.db2.gz JOLQEZHMPFLDJO-AWEZNQCLSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2ccc(C=C)cc2)C1 ZINC001046973904 657249141 /nfs/dbraw/zinc/24/91/41/657249141.db2.gz YYBRDCJLBBSSKY-MRXNPFEDSA-N 0 1 320.383 3.203 20 30 DGEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ccc(CCC)cc3)[C@@H]2C1 ZINC001050128334 657255455 /nfs/dbraw/zinc/25/54/55/657255455.db2.gz IANDCJNSDYUURL-VQTJNVASSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc3sccc3c2)CC1 ZINC000983482357 657269868 /nfs/dbraw/zinc/26/98/68/657269868.db2.gz KSEDCKGBLUNWAU-UHFFFAOYSA-N 0 1 300.427 3.235 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](C2CCN(CCF)CC2)C1 ZINC001047251259 657286778 /nfs/dbraw/zinc/28/67/78/657286778.db2.gz HRAIFLIVQGVOQA-INIZCTEOSA-N 0 1 310.457 3.119 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3C=CCC3)C2)CC1 ZINC001050425795 657305293 /nfs/dbraw/zinc/30/52/93/657305293.db2.gz YPFNCIWLVDNQBG-HNNXBMFYSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3C=CCC3)C2)CC1 ZINC001050425796 657305706 /nfs/dbraw/zinc/30/57/06/657305706.db2.gz YPFNCIWLVDNQBG-OAHLLOKOSA-N 0 1 308.853 3.020 20 30 DGEDMN CC[C@H](C)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001050437911 657308450 /nfs/dbraw/zinc/30/84/50/657308450.db2.gz MGCKCOTXNYFUEZ-SFHVURJKSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3CC[C@@H](C)C3)C2)CC1 ZINC001050623446 657332189 /nfs/dbraw/zinc/33/21/89/657332189.db2.gz MRXPZHGTJBKUAE-ZBFHGGJFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@]3(C)C=CCC3)C2)CC1 ZINC001050622815 657332347 /nfs/dbraw/zinc/33/23/47/657332347.db2.gz MDLJMPBXODVIEF-MRXNPFEDSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCCC[C@H]3C)C2)CC1 ZINC001050637542 657334552 /nfs/dbraw/zinc/33/45/52/657334552.db2.gz BBHNYGCHMXXVJK-GDBMZVCRSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@]3(C)CCC[C@@H]3C)C2)CC1 ZINC001050652550 657338990 /nfs/dbraw/zinc/33/89/90/657338990.db2.gz VIFGFAZVJHLTGN-YOEHRIQHSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cccc(Cl)c2F)C1 ZINC000968354669 657392032 /nfs/dbraw/zinc/39/20/32/657392032.db2.gz VEUIXYJYLKKKTP-SWLSCSKDSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968354760 657392431 /nfs/dbraw/zinc/39/24/31/657392431.db2.gz WCZJFMSZQCCIJM-BLLLJJGKSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCCN1CCN(C(=O)c2[nH]ccc2-c2ccccc2)CC1 ZINC000957299608 657396036 /nfs/dbraw/zinc/39/60/36/657396036.db2.gz JMMISPGTSKEKNM-UHFFFAOYSA-N 0 1 309.413 3.016 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(C)c(C)c2)C1 ZINC000957350736 657404412 /nfs/dbraw/zinc/40/44/12/657404412.db2.gz NDAKCESHJOOZTN-UHFFFAOYSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2scnc2C2CC2)C1 ZINC000968400257 657405275 /nfs/dbraw/zinc/40/52/75/657405275.db2.gz ODCJKRWSQJFMFZ-TZMCWYRMSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC000968400858 657406086 /nfs/dbraw/zinc/40/60/86/657406086.db2.gz UTTPMBMNQNKMBF-KBPBESRZSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413837 657408366 /nfs/dbraw/zinc/40/83/66/657408366.db2.gz NMIWOBJNSCLELC-XJKSGUPXSA-N 0 1 320.383 3.088 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413834 657408384 /nfs/dbraw/zinc/40/83/84/657408384.db2.gz NMIWOBJNSCLELC-BBRMVZONSA-N 0 1 320.383 3.088 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccc(C)cc2)CC1 ZINC000957373621 657409529 /nfs/dbraw/zinc/40/95/29/657409529.db2.gz VVMBWSYKFAQVTL-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431061 657410976 /nfs/dbraw/zinc/41/09/76/657410976.db2.gz HTVFTSRFIDOIIO-SWLSCSKDSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431061 657410984 /nfs/dbraw/zinc/41/09/84/657410984.db2.gz HTVFTSRFIDOIIO-SWLSCSKDSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cncc3ccccc32)C1 ZINC000957420841 657413746 /nfs/dbraw/zinc/41/37/46/657413746.db2.gz VULDHHWGEASLCD-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968528610 657421927 /nfs/dbraw/zinc/42/19/27/657421927.db2.gz CKRGOYPGFACDGV-ZIAGYGMSSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529484 657421944 /nfs/dbraw/zinc/42/19/44/657421944.db2.gz RIUIXFXYUDHYRJ-KGLIPLIRSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529484 657421945 /nfs/dbraw/zinc/42/19/45/657421945.db2.gz RIUIXFXYUDHYRJ-KGLIPLIRSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC000968566575 657427915 /nfs/dbraw/zinc/42/79/15/657427915.db2.gz UZFWHPMVPJBXAS-XSLAGTTESA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cccc3ccccc32)C1 ZINC000968574979 657431466 /nfs/dbraw/zinc/43/14/66/657431466.db2.gz PYVVUOVBMHTEEE-OXQOHEQNSA-N 0 1 322.452 3.395 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968580152 657432072 /nfs/dbraw/zinc/43/20/72/657432072.db2.gz TYLGEZKJKNKDIU-OXJNMPFZSA-N 0 1 320.436 3.303 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC000968580005 657432327 /nfs/dbraw/zinc/43/23/27/657432327.db2.gz RZUSQAUYQGPXMA-HNAYVOBHSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC000968596270 657436204 /nfs/dbraw/zinc/43/62/04/657436204.db2.gz IPJCLVTVVTWUBR-LRDDRELGSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968619401 657445320 /nfs/dbraw/zinc/44/53/20/657445320.db2.gz MDGOIUCKRLSEMY-CZUORRHYSA-N 0 1 312.413 3.367 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968624824 657447318 /nfs/dbraw/zinc/44/73/18/657447318.db2.gz ZTPGQDSVMCIXFE-ZFWWWQNUSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC000968630704 657449749 /nfs/dbraw/zinc/44/97/49/657449749.db2.gz AVIDRXRIBDOAPK-RDTXWAMCSA-N 0 1 317.477 3.312 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2sccc2C(C)C)C1 ZINC000968677411 657453960 /nfs/dbraw/zinc/45/39/60/657453960.db2.gz CWYPQKUKHTZYGX-GDBMZVCRSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968682204 657456014 /nfs/dbraw/zinc/45/60/14/657456014.db2.gz PASZVZHBTNETGU-DOTOQJQBSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC000968685371 657457719 /nfs/dbraw/zinc/45/77/19/657457719.db2.gz RPKCWXWCMXAOED-LSDHHAIUSA-N 0 1 318.486 3.335 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692293 657461351 /nfs/dbraw/zinc/46/13/51/657461351.db2.gz MMGDWFUNCQXASG-OMGLFLRBSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC000968694838 657462095 /nfs/dbraw/zinc/46/20/95/657462095.db2.gz BHPZBKZODZGFFO-AEFFLSMTSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2ccccc2C)CC1 ZINC000957923624 657473179 /nfs/dbraw/zinc/47/31/79/657473179.db2.gz FOEWDWQGTWINKS-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)cs1 ZINC000968853172 657502606 /nfs/dbraw/zinc/50/26/06/657502606.db2.gz AHSSMWGKXPTDRZ-HNNXBMFYSA-N 0 1 304.459 3.054 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)oc2ccccc21 ZINC000968871040 657505941 /nfs/dbraw/zinc/50/59/41/657505941.db2.gz JDXDGBVXBSDPGU-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1csc(C)c1C ZINC000968871305 657506433 /nfs/dbraw/zinc/50/64/33/657506433.db2.gz QVNGZQDZTBMUBB-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC000968870775 657506724 /nfs/dbraw/zinc/50/67/24/657506724.db2.gz BSAAOMUQMVZMEA-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC000968917491 657515131 /nfs/dbraw/zinc/51/51/31/657515131.db2.gz YFWDXPCCQAEYEK-QUCCMNQESA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(CC)s1 ZINC000968938595 657518862 /nfs/dbraw/zinc/51/88/62/657518862.db2.gz MMEWYBFIQPDIKV-OAHLLOKOSA-N 0 1 318.486 3.308 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)oc(C)c1C ZINC000968942594 657520325 /nfs/dbraw/zinc/52/03/25/657520325.db2.gz YNLZINUTOMRPKZ-QGZVFWFLSA-N 0 1 316.445 3.203 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCCCC1(F)F ZINC000968969037 657525357 /nfs/dbraw/zinc/52/53/57/657525357.db2.gz WSZOKFFTIZTXGV-GJZGRUSLSA-N 0 1 314.420 3.359 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCC1(C)C ZINC000968990300 657528606 /nfs/dbraw/zinc/52/86/06/657528606.db2.gz AJKCIQIZRPCBTN-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1occc1C(C)C ZINC000969001853 657530403 /nfs/dbraw/zinc/53/04/03/657530403.db2.gz GJYRGZGFIBPQRS-INIZCTEOSA-N 0 1 316.445 3.401 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c(C)cccc1Cl ZINC000969013948 657533510 /nfs/dbraw/zinc/53/35/10/657533510.db2.gz LCKSNHUGMSUADT-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)cccc1Cl ZINC000969013949 657534197 /nfs/dbraw/zinc/53/41/97/657534197.db2.gz LCKSNHUGMSUADT-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C)cc1F ZINC000969044396 657540994 /nfs/dbraw/zinc/54/09/94/657540994.db2.gz OXQYQQZUMJHVKS-MRXNPFEDSA-N 0 1 316.420 3.132 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC000969044302 657541797 /nfs/dbraw/zinc/54/17/97/657541797.db2.gz MHPCNVORYYUVMD-MRXNPFEDSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC000969103105 657556503 /nfs/dbraw/zinc/55/65/03/657556503.db2.gz ACORKMNZQAHYLB-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC000969103106 657557327 /nfs/dbraw/zinc/55/73/27/657557327.db2.gz ACORKMNZQAHYLB-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC001007412563 657605721 /nfs/dbraw/zinc/60/57/21/657605721.db2.gz NZDGUBBZRIOQNJ-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2-c2ccncc2)C1 ZINC001007649484 657625641 /nfs/dbraw/zinc/62/56/41/657625641.db2.gz LDCSSJIHLAFNET-QGZVFWFLSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001008138534 657663540 /nfs/dbraw/zinc/66/35/40/657663540.db2.gz MAEWRQFBBHWOHD-MRXNPFEDSA-N 0 1 311.429 3.247 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(F)c3)CCC2)C1 ZINC001008134486 657663894 /nfs/dbraw/zinc/66/38/94/657663894.db2.gz DSKYFQFMNUEHAQ-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001008397736 657691804 /nfs/dbraw/zinc/69/18/04/657691804.db2.gz FIYLAAHZNWVKJH-XJKSGUPXSA-N 0 1 306.837 3.210 20 30 DGEDMN CC(C)CC(=O)N1CCCC[C@H]1CNCc1ccccc1C#N ZINC000959860423 657715621 /nfs/dbraw/zinc/71/56/21/657715621.db2.gz ROZHVCUQABNAMA-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001008664052 657717378 /nfs/dbraw/zinc/71/73/78/657717378.db2.gz IOXBYCAHPFYYPK-CQSZACIVSA-N 0 1 304.434 3.266 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001008809883 657731937 /nfs/dbraw/zinc/73/19/37/657731937.db2.gz JLZSGMUGPQNANE-BHYGNILZSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001008872817 657735611 /nfs/dbraw/zinc/73/56/11/657735611.db2.gz ZACBIXHVRBDKHR-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cc2ccc(C)cc2)CC1 ZINC000985526381 657738853 /nfs/dbraw/zinc/73/88/53/657738853.db2.gz RFOTUPBNUFHRHE-UHFFFAOYSA-N 0 1 320.864 3.213 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3cnccc3c2)C1 ZINC001009106456 657749089 /nfs/dbraw/zinc/74/90/89/657749089.db2.gz FKUQOUHQYSXWLW-SFHVURJKSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001009141250 657750611 /nfs/dbraw/zinc/75/06/11/657750611.db2.gz YKLKRRYCPGFHHN-GOEBONIOSA-N 0 1 312.413 3.303 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001009128996 657751876 /nfs/dbraw/zinc/75/18/76/657751876.db2.gz DYYFRFKLDLOWPX-NWDGAFQWSA-N 0 1 312.866 3.272 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3F)CCC2)C1 ZINC001009159031 657753682 /nfs/dbraw/zinc/75/36/82/657753682.db2.gz DTLRVQLNWJHPBN-OAHLLOKOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001009271241 657761128 /nfs/dbraw/zinc/76/11/28/657761128.db2.gz VPBSZRKKLADIFK-SFHVURJKSA-N 0 1 314.473 3.429 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001009402083 657778593 /nfs/dbraw/zinc/77/85/93/657778593.db2.gz NMTBKXNTINTSMT-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001009430152 657785762 /nfs/dbraw/zinc/78/57/62/657785762.db2.gz BLTQURVJGOUENC-AWEZNQCLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001009460982 657790667 /nfs/dbraw/zinc/79/06/67/657790667.db2.gz VZUIFSSBXUCTAA-INIZCTEOSA-N 0 1 303.450 3.066 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC000985637910 657791226 /nfs/dbraw/zinc/79/12/26/657791226.db2.gz NIVNDOPBQQLDQJ-GOEBONIOSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(C(C)(F)F)CC2)CC1 ZINC000985652507 657795442 /nfs/dbraw/zinc/79/54/42/657795442.db2.gz HYUAJTWZFLEUBV-UHFFFAOYSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001009746204 657813358 /nfs/dbraw/zinc/81/33/58/657813358.db2.gz UREWZBXIDZHOLZ-KRWDZBQOSA-N 0 1 314.473 3.429 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2c(C)oc3ccccc32)C1 ZINC001009784729 657818487 /nfs/dbraw/zinc/81/84/87/657818487.db2.gz FETPTGWHYKSGLC-OAHLLOKOSA-N 0 1 312.413 3.050 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(C)CC(F)(F)C2)CC1 ZINC000985744339 657830512 /nfs/dbraw/zinc/83/05/12/657830512.db2.gz YPILNMBDFVANHJ-UHFFFAOYSA-N 0 1 320.811 3.097 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960539146 657833819 /nfs/dbraw/zinc/83/38/19/657833819.db2.gz AUUBKZNLDPGJPA-YLIUPVCFSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)c2ccccc2)CC1 ZINC000985760133 657836830 /nfs/dbraw/zinc/83/68/30/657836830.db2.gz RJVKEHMBYNWEFW-OAHLLOKOSA-N 0 1 320.864 3.465 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccccc1F ZINC000960793167 657876398 /nfs/dbraw/zinc/87/63/98/657876398.db2.gz YWPSVWOUUUWSCQ-MRXNPFEDSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC000961079823 657941252 /nfs/dbraw/zinc/94/12/52/657941252.db2.gz LKUZVHIZKMWWQY-HZPDHXFCSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C(C)(C)F)C2)C1 ZINC000961093245 657945566 /nfs/dbraw/zinc/94/55/66/657945566.db2.gz WGMDUNKVUQBCKN-KGLIPLIRSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C(C)(C)C)C2)C1 ZINC000961273085 657991837 /nfs/dbraw/zinc/99/18/37/657991837.db2.gz MZEHMYLCIKUTQR-GJZGRUSLSA-N 0 1 312.885 3.346 20 30 DGEDMN CCCC(=O)N1CCC(CN(C)Cc2ccccc2C#N)CC1 ZINC001029925646 658019114 /nfs/dbraw/zinc/01/91/14/658019114.db2.gz GWNVLYQLJZYVBW-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(F)c2C)[C@@H]1C ZINC000986316642 658037588 /nfs/dbraw/zinc/03/75/88/658037588.db2.gz QMOBLTSNNKGJQO-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccccc2CC)[C@@H]1C ZINC000986420466 658047231 /nfs/dbraw/zinc/04/72/31/658047231.db2.gz ULDUKOZWKUMGPL-RHSMWYFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C(C)C)n2)[C@@H]1C ZINC000986481680 658054591 /nfs/dbraw/zinc/05/45/91/658054591.db2.gz MRQABVCIMIXZMD-UKRRQHHQSA-N 0 1 321.852 3.150 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccccc2C(C)(C)CC)C1 ZINC001014859006 658056865 /nfs/dbraw/zinc/05/68/65/658056865.db2.gz HDQHVRHIPXRTRV-OAHLLOKOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001015012762 658078686 /nfs/dbraw/zinc/07/86/86/658078686.db2.gz GVLQEVFZSPKVKC-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cccc(C)c2)[C@H]1C ZINC000986607413 658079897 /nfs/dbraw/zinc/07/98/97/658079897.db2.gz ATXROKWNIGPJDM-DOTOQJQBSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001015428487 658120266 /nfs/dbraw/zinc/12/02/66/658120266.db2.gz BXWLUHQOFXZPFV-ZDUSSCGKSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001015595387 658144088 /nfs/dbraw/zinc/14/40/88/658144088.db2.gz OHHGQIPZZCJCLL-NSHDSACASA-N 0 1 304.777 3.076 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccc(CC)cc2)[C@@H]1C ZINC000987078542 658152239 /nfs/dbraw/zinc/15/22/39/658152239.db2.gz VIILDBCEVFLRHK-PBHICJAKSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(Cl)cs2)[C@@H]1C ZINC000987127910 658163683 /nfs/dbraw/zinc/16/36/83/658163683.db2.gz ZYJCXMLNGWVMID-KOLCDFICSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(Cl)cs2)[C@@H]1C ZINC000987127912 658163987 /nfs/dbraw/zinc/16/39/87/658163987.db2.gz ZYJCXMLNGWVMID-MWLCHTKSSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H]1[C@@H]1CCCN(C(=O)C=C(C)C)C1 ZINC000963249504 658169614 /nfs/dbraw/zinc/16/96/14/658169614.db2.gz INBHEPOHTOMJKP-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@@H]1[C@@H]1CCCN(C(=O)C=C(C)C)C1 ZINC000963249506 658169685 /nfs/dbraw/zinc/16/96/85/658169685.db2.gz INBHEPOHTOMJKP-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(CC)o2)[C@@H]1C ZINC000987343837 658191891 /nfs/dbraw/zinc/19/18/91/658191891.db2.gz XVZQTXPKVOXZFM-CHWSQXEVSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(C)CCCc3ccccc32)C1 ZINC001015843711 658194895 /nfs/dbraw/zinc/19/48/95/658194895.db2.gz RJILNOMYLPFHPE-XLIONFOSSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(Cl)c2)[C@H]1C ZINC000987386719 658196958 /nfs/dbraw/zinc/19/69/58/658196958.db2.gz METXJSLQPZYWNG-FZMZJTMJSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)cc(C)c2)[C@@H]1C ZINC000987404085 658199374 /nfs/dbraw/zinc/19/93/74/658199374.db2.gz LQYYJBDMHNYZMZ-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001015894356 658201189 /nfs/dbraw/zinc/20/11/89/658201189.db2.gz OUPYTQMIFXYXBC-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)cc2C)[C@H]1C ZINC000987443702 658207673 /nfs/dbraw/zinc/20/76/73/658207673.db2.gz JEDLEVQSFUPXJF-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CC)cc2)[C@@H]1C ZINC000987445433 658209959 /nfs/dbraw/zinc/20/99/59/658209959.db2.gz ZACBZCSNZOVKSY-CJNGLKHVSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CC)cc2)[C@H]1C ZINC000987445432 658210429 /nfs/dbraw/zinc/21/04/29/658210429.db2.gz ZACBZCSNZOVKSY-BBRMVZONSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccc(C)c(C)c2)[C@H]1C ZINC000987482073 658216168 /nfs/dbraw/zinc/21/61/68/658216168.db2.gz MVSQQGVURAYRSH-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001016019006 658221154 /nfs/dbraw/zinc/22/11/54/658221154.db2.gz NCPMNTVAGMRROU-QGZVFWFLSA-N 0 1 300.446 3.039 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001016084861 658232198 /nfs/dbraw/zinc/23/21/98/658232198.db2.gz CJHSXTPZEJOUNF-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CF)cc2)[C@H]1C ZINC000987591123 658238451 /nfs/dbraw/zinc/23/84/51/658238451.db2.gz QLHXLNXPILDZJE-SWLSCSKDSA-N 0 1 310.800 3.101 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc3ccccc23)[C@@H]1C ZINC000987609292 658240967 /nfs/dbraw/zinc/24/09/67/658240967.db2.gz JKJRFHNJJSGPRG-DOMZBBRYSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001016445714 658283220 /nfs/dbraw/zinc/28/32/20/658283220.db2.gz GCLYKUIDHYCNDK-OAHLLOKOSA-N 0 1 308.372 3.179 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccccc2F)CC1 ZINC001016481172 658291173 /nfs/dbraw/zinc/29/11/73/658291173.db2.gz ZRKDMBPMEFVLFX-HNNXBMFYSA-N 0 1 322.811 3.163 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2C(C)(C)C2(C)C)CC1 ZINC001016553242 658299779 /nfs/dbraw/zinc/29/97/79/658299779.db2.gz GHKOASOYEHUFJU-ZDUSSCGKSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001016644714 658312002 /nfs/dbraw/zinc/31/20/02/658312002.db2.gz GQRQHTHUHYYNIS-RDJZCZTQSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@]2(C)C=CCC2)CC1 ZINC001016776515 658327245 /nfs/dbraw/zinc/32/72/45/658327245.db2.gz WRRBPIJTFCOHTH-HOCLYGCPSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2CC3(CCC3)C2)CC1 ZINC001016776280 658327810 /nfs/dbraw/zinc/32/78/10/658327810.db2.gz WBLMJNWLKPTFBK-OAHLLOKOSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2(C)CC=CC2)CC1 ZINC001016811797 658334669 /nfs/dbraw/zinc/33/46/69/658334669.db2.gz XKXBLUZPJNTTDH-AWEZNQCLSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001016841495 658340435 /nfs/dbraw/zinc/34/04/35/658340435.db2.gz ZDCJARFTEAXWBE-OAGGEKHMSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccc(C)cc2)CC1 ZINC001016946066 658356292 /nfs/dbraw/zinc/35/62/92/658356292.db2.gz GSBWJGNIXVJGAE-INIZCTEOSA-N 0 1 318.848 3.332 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2CCC=CCC2)CC1 ZINC001016979448 658361995 /nfs/dbraw/zinc/36/19/95/658361995.db2.gz PVCJNYHCNWQZQX-MRXNPFEDSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)C(F)=C2CCCC2)C1 ZINC000988602645 658365437 /nfs/dbraw/zinc/36/54/37/658365437.db2.gz KFSCJNSGVMLALG-DGCLKSJQSA-N 0 1 300.805 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc(C)c2F)C1 ZINC000988864399 658410262 /nfs/dbraw/zinc/41/02/62/658410262.db2.gz GSUDUKIYFHHHKJ-CHWSQXEVSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C(C)C)nc2)C1 ZINC000988963251 658420733 /nfs/dbraw/zinc/42/07/33/658420733.db2.gz UVJKZVPVGNPFNT-DZGCQCFKSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1conc1C(C)C ZINC001017614354 658443917 /nfs/dbraw/zinc/44/39/17/658443917.db2.gz RRCUEFRSNZRPMV-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)c(C)cc1F ZINC001017634009 658445510 /nfs/dbraw/zinc/44/55/10/658445510.db2.gz MYPWLZWHUQKWKE-OKILXGFUSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(F)cc1F ZINC001017650224 658447233 /nfs/dbraw/zinc/44/72/33/658447233.db2.gz HNIWXVXXERSYNC-OKILXGFUSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2cccc(C)c2)C1 ZINC000989238844 658455428 /nfs/dbraw/zinc/45/54/28/658455428.db2.gz VGSVGOJSGRYPDP-NVXWUHKLSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2sccc2Cl)C1 ZINC000966268497 658459950 /nfs/dbraw/zinc/45/99/50/658459950.db2.gz TZSRYYWDPIITQU-AAEUAGOBSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966325109 658466995 /nfs/dbraw/zinc/46/69/95/658466995.db2.gz LBYZYIXSHZCIIS-CZUORRHYSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCC1(F)F ZINC001017849189 658467204 /nfs/dbraw/zinc/46/72/04/658467204.db2.gz GYQKQTQPBWSPIV-SOUVJXGZSA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(F)c(C)c2)C1 ZINC000989378078 658470055 /nfs/dbraw/zinc/47/00/55/658470055.db2.gz AJXQSELUVBLMJV-GXTWGEPZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2sc(C)cc2C)C1 ZINC000966418339 658473785 /nfs/dbraw/zinc/47/37/85/658473785.db2.gz DPVNDGCITRVKRL-SWLSCSKDSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)cn1 ZINC001017946169 658483032 /nfs/dbraw/zinc/48/30/32/658483032.db2.gz TVKPYMNGYGOXSJ-CALCHBBNSA-N 0 1 313.445 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3occc3s2)C1 ZINC000989439545 658484030 /nfs/dbraw/zinc/48/40/30/658484030.db2.gz CPXMLXGWWPGOGL-WDEREUQCSA-N 0 1 324.833 3.439 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(F)CCCCC1 ZINC001018141525 658506175 /nfs/dbraw/zinc/50/61/75/658506175.db2.gz AXPCMKSKGKSYTL-IYBDPMFKSA-N 0 1 308.441 3.300 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CCC ZINC001018168708 658508834 /nfs/dbraw/zinc/50/88/34/658508834.db2.gz BHSSOEXFIDAOBG-GASCZTMLSA-N 0 1 302.418 3.097 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc3ccc(C)nc32)C1 ZINC000966900080 658509972 /nfs/dbraw/zinc/50/99/72/658509972.db2.gz PXSIREWAIUFAQU-KBXCAEBGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC000966903666 658512165 /nfs/dbraw/zinc/51/21/65/658512165.db2.gz JDBVHQCITYKODJ-YVEFUNNKSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(F)cc3ccoc32)C1 ZINC000967058944 658535912 /nfs/dbraw/zinc/53/59/12/658535912.db2.gz IWFPPFMBALOVTB-BLLLJJGKSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2cc(C)ccc2F)C1 ZINC000989703455 658546808 /nfs/dbraw/zinc/54/68/08/658546808.db2.gz MKOQCBVGCDLHRT-ZFWWWQNUSA-N 0 1 324.827 3.008 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC000967227942 658550528 /nfs/dbraw/zinc/55/05/28/658550528.db2.gz GNXRTNPONDLMGX-GUYCJALGSA-N 0 1 304.409 3.014 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C(F)F)o2)C1 ZINC000989734023 658557575 /nfs/dbraw/zinc/55/75/75/658557575.db2.gz PAWDCFJSYKLNSS-VHSXEESVSA-N 0 1 318.751 3.162 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC000967283464 658562583 /nfs/dbraw/zinc/56/25/83/658562583.db2.gz HKEFHFAIMMHPOY-GUYCJALGSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2CCC)C1 ZINC000967291948 658564631 /nfs/dbraw/zinc/56/46/31/658564631.db2.gz PMDHMZXYWYYNQI-QAPCUYQASA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3ccccc3[nH]2)C1 ZINC000989768574 658569871 /nfs/dbraw/zinc/56/98/71/658569871.db2.gz HHMJORBVYNQYGJ-TZMCWYRMSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(C(F)F)cc2)C1 ZINC000967338913 658570781 /nfs/dbraw/zinc/57/07/81/658570781.db2.gz SHPVEOQVSRONSS-CZUORRHYSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc3c2CCC3)C1 ZINC000989846955 658594187 /nfs/dbraw/zinc/59/41/87/658594187.db2.gz BHEXOVIHBGIPPC-HIFRSBDPSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC000967497834 658595925 /nfs/dbraw/zinc/59/59/25/658595925.db2.gz OCWAQUOSVASTKJ-YOEHRIQHSA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001018971627 658599958 /nfs/dbraw/zinc/59/99/58/658599958.db2.gz LEBGLCHOYBYTLX-IKXAHOJESA-N 0 1 322.880 3.002 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001019232060 658617461 /nfs/dbraw/zinc/61/74/61/658617461.db2.gz GTMWNDJZIOVMIX-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001019232389 658617974 /nfs/dbraw/zinc/61/79/74/658617974.db2.gz YRONJAQGWRSEKE-HNNXBMFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001019501747 658651774 /nfs/dbraw/zinc/65/17/74/658651774.db2.gz QAWQBVTVYCPOOL-KRWDZBQOSA-N 0 1 316.832 3.203 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)c2ccccc2F)C1 ZINC001019516753 658653030 /nfs/dbraw/zinc/65/30/30/658653030.db2.gz LVURFHFSZFDPNZ-ZDUSSCGKSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)c2ccccc2F)C1 ZINC001019522618 658653388 /nfs/dbraw/zinc/65/33/88/658653388.db2.gz FZUHPZUHNDXFLT-JSGCOSHPSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001019673786 658660098 /nfs/dbraw/zinc/66/00/98/658660098.db2.gz KMYITOOBGWXSQQ-HNNXBMFYSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(CC)s2)C1 ZINC001019747897 658671622 /nfs/dbraw/zinc/67/16/22/658671622.db2.gz KXQZVFGGHBDTQQ-LBPRGKRZSA-N 0 1 312.866 3.176 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968126781 658671230 /nfs/dbraw/zinc/67/12/30/658671230.db2.gz BCMSNLTXOXJUTK-DOMZBBRYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2CC(C)C)C1 ZINC001019848300 658677838 /nfs/dbraw/zinc/67/78/38/658677838.db2.gz AVCUXJNAWIDVCB-INIZCTEOSA-N 0 1 320.864 3.442 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(Cl)c3C)CCC[C@H]12 ZINC000990792291 658752850 /nfs/dbraw/zinc/75/28/50/658752850.db2.gz RJMWVSOSTJYOPZ-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2cscc2s1 ZINC001038516576 658760488 /nfs/dbraw/zinc/76/04/88/658760488.db2.gz KAXDMGOCRAYJOI-GFCCVEGCSA-N 0 1 306.456 3.343 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2CCc2cccs2)CC1 ZINC001038665536 658776939 /nfs/dbraw/zinc/77/69/39/658776939.db2.gz DYFOJLYYTDXRDI-INIZCTEOSA-N 0 1 318.486 3.228 20 30 DGEDMN C=CCc1cc(CN2CCOC3(CCCC3)C2)c(O)c(OC)c1 ZINC000164517338 658936882 /nfs/dbraw/zinc/93/68/82/658936882.db2.gz FAHWYJOXMXCYTF-UHFFFAOYSA-N 0 1 317.429 3.274 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001038910730 658955361 /nfs/dbraw/zinc/95/53/61/658955361.db2.gz AQCWZDIQFLCLMU-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cccc(Cl)c1Cl ZINC001038956113 658964143 /nfs/dbraw/zinc/96/41/43/658964143.db2.gz MAWJGUYTESYPPA-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccsc1C(F)F ZINC001038976560 658970163 /nfs/dbraw/zinc/97/01/63/658970163.db2.gz QZHUKACTXMHXQL-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C1CCC(CCCC)CC1 ZINC001039001539 658978063 /nfs/dbraw/zinc/97/80/63/658978063.db2.gz VOUNRYKFXOOOKC-ABHNRTSZSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2cc(F)ccc2s1 ZINC001039014299 658981026 /nfs/dbraw/zinc/98/10/26/658981026.db2.gz XHBYYYRRGHDWPR-AWEZNQCLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001039030586 658983613 /nfs/dbraw/zinc/98/36/13/658983613.db2.gz VMZWRGZKHKSWPH-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN CC(=Cc1ccccc1Cl)C(=O)[C@H](C#N)C(=O)N1CCCC1 ZINC000165385937 658985617 /nfs/dbraw/zinc/98/56/17/658985617.db2.gz ROBJGCJMUXOGAH-HCYCVZQXSA-N 0 1 316.788 3.075 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1c[nH]c2c1cccc2CC ZINC001039050408 658986727 /nfs/dbraw/zinc/98/67/27/658986727.db2.gz YUYDQBBCISDBBO-OAHLLOKOSA-N 0 1 311.429 3.111 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)c2ccoc2)C1 ZINC001039187849 659029728 /nfs/dbraw/zinc/02/97/28/659029728.db2.gz VBEGYHADDOGYSA-HOCLYGCPSA-N 0 1 322.836 3.349 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc(C)sc3C)CCC[C@@H]12 ZINC000991617220 659074764 /nfs/dbraw/zinc/07/47/64/659074764.db2.gz BETCKOSBVPNOTE-SJLPKXTDSA-N 0 1 316.470 3.115 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3ccc(CC)s3)CCC[C@@H]12 ZINC000991678234 659126121 /nfs/dbraw/zinc/12/61/21/659126121.db2.gz INPCXQJGJXOTAN-WBVHZDCISA-N 0 1 304.459 3.223 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001040675968 659250823 /nfs/dbraw/zinc/25/08/23/659250823.db2.gz GFAXOZMVHGHRQD-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C)c1 ZINC000171035776 659255479 /nfs/dbraw/zinc/25/54/79/659255479.db2.gz FGSUTEQOAVYELJ-XJKSGUPXSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C ZINC000171036791 659255610 /nfs/dbraw/zinc/25/56/10/659255610.db2.gz PPYUREKFTPMZJE-ZIAGYGMSSA-N 0 1 316.401 3.415 20 30 DGEDMN CCS[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1)C(C)C ZINC000171365451 659277525 /nfs/dbraw/zinc/27/75/25/659277525.db2.gz JUVWKXNEPJJAKW-DZGCQCFKSA-N 0 1 304.415 3.112 20 30 DGEDMN CC(C)(NCC(=O)Nc1ccc(C#N)cc1)c1ccc(F)cc1 ZINC000171672579 659293914 /nfs/dbraw/zinc/29/39/14/659293914.db2.gz KLYCRXFNOFVOSY-UHFFFAOYSA-N 0 1 311.360 3.161 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C(C)C)cc1Cl ZINC000172021288 659310582 /nfs/dbraw/zinc/31/05/82/659310582.db2.gz ZDSYHFIFDGIWCR-CMPLNLGQSA-N 0 1 322.792 3.288 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C(C)C)cc1Cl ZINC000172021291 659310598 /nfs/dbraw/zinc/31/05/98/659310598.db2.gz ZDSYHFIFDGIWCR-PWSUYJOCSA-N 0 1 322.792 3.288 20 30 DGEDMN N#CCOc1ccccc1C(=O)Nc1cccc(Cl)c1O ZINC000172357467 659331572 /nfs/dbraw/zinc/33/15/72/659331572.db2.gz SIASWYMPFFSAOU-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2cccc(Cl)c2O)cc1 ZINC000173473937 659387873 /nfs/dbraw/zinc/38/78/73/659387873.db2.gz OQUPCKFHFZIXMX-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2CCC2)cc1Cl ZINC000173980413 659407592 /nfs/dbraw/zinc/40/75/92/659407592.db2.gz MAPKAMUAVMFTSW-GFCCVEGCSA-N 0 1 320.776 3.186 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000929468446 659451876 /nfs/dbraw/zinc/45/18/76/659451876.db2.gz XMCKRDCKDGKEGM-YOEHRIQHSA-N 0 1 304.434 3.016 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cccc(Cl)c3F)CCC[C@H]12 ZINC000992153322 659474527 /nfs/dbraw/zinc/47/45/27/659474527.db2.gz LQJGPTDCAGMLSA-WMLDXEAASA-N 0 1 322.811 3.392 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(Cl)c(C)c3)CCC[C@H]12 ZINC000992170923 659482710 /nfs/dbraw/zinc/48/27/10/659482710.db2.gz NFPIURMQSDYDQC-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ccccc3Cl)CCC[C@H]12 ZINC000992420006 659544967 /nfs/dbraw/zinc/54/49/67/659544967.db2.gz HEQCIUCCEVCVDP-DOTOQJQBSA-N 0 1 304.821 3.253 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C4CCC4)c3)CCC[C@@H]12 ZINC000992420552 659546237 /nfs/dbraw/zinc/54/62/37/659546237.db2.gz WCEKCOMJCIMDNW-TZIWHRDSSA-N 0 1 322.452 3.314 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C(C)C)cc3)CCC[C@@H]12 ZINC000992420841 659546265 /nfs/dbraw/zinc/54/62/65/659546265.db2.gz ITIJHJDSNFWEQU-QUCCMNQESA-N 0 1 310.441 3.170 20 30 DGEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@H]12 ZINC000992456056 659553842 /nfs/dbraw/zinc/55/38/42/659553842.db2.gz QWLJJJTXWYZUBZ-PXNSSMCTSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@H]12 ZINC000992456056 659553845 /nfs/dbraw/zinc/55/38/45/659553845.db2.gz QWLJJJTXWYZUBZ-PXNSSMCTSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cc4c(s3)CCC4)CCC[C@H]12 ZINC000992455529 659553984 /nfs/dbraw/zinc/55/39/84/659553984.db2.gz OVMSXOPFZRABBQ-WMZOPIPTSA-N 0 1 316.470 3.150 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cc4c(s3)CCC4)CCC[C@H]12 ZINC000992455529 659553987 /nfs/dbraw/zinc/55/39/87/659553987.db2.gz OVMSXOPFZRABBQ-WMZOPIPTSA-N 0 1 316.470 3.150 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc(C)c(C)s3)CCC[C@@H]12 ZINC000992475136 659560361 /nfs/dbraw/zinc/56/03/61/659560361.db2.gz NPEZGAKKKWEVQH-AEFFLSMTSA-N 0 1 316.470 3.115 20 30 DGEDMN C#CCC[N@H+]1CC[C@@]2(NC(=O)c3ccc(Cl)s3)CCC[C@H]12 ZINC000992495347 659563865 /nfs/dbraw/zinc/56/38/65/659563865.db2.gz RVOKQUUPWFJLAG-BBRMVZONSA-N 0 1 322.861 3.152 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3CCCC(C)(C)C3)CCC[C@@H]12 ZINC000992515939 659568167 /nfs/dbraw/zinc/56/81/67/659568167.db2.gz MYJDMYSEOXYNTG-SQGPQFPESA-N 0 1 316.489 3.339 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C=C2CCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993189930 659695365 /nfs/dbraw/zinc/69/53/65/659695365.db2.gz WIGUGAJLPYUSJZ-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2C)[C@H]1C ZINC000993358819 659720887 /nfs/dbraw/zinc/72/08/87/659720887.db2.gz KKNAAGCWDXOZPC-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(C)CCCCCC2)[C@@H]1C ZINC000993439564 659741405 /nfs/dbraw/zinc/74/14/05/659741405.db2.gz GHNHUVKTSSMLBD-IRXDYDNUSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3cc(F)ccc23)[C@H]1C ZINC000993522054 659756143 /nfs/dbraw/zinc/75/61/43/659756143.db2.gz OTLRIAODKGASFS-WBMJQRKESA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)ccc2CC)[C@H]1C ZINC000993532652 659756754 /nfs/dbraw/zinc/75/67/54/659756754.db2.gz VIWYKBFNXDMJDQ-CXAGYDPISA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnc3ccccc32)[C@H]1C ZINC000993577901 659759289 /nfs/dbraw/zinc/75/92/89/659759289.db2.gz WOSDRQDOWIALFP-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-c3ccco3)o2)[C@H]1C ZINC000993565446 659764664 /nfs/dbraw/zinc/76/46/64/659764664.db2.gz DCCNQJQLGGPYOR-KGLIPLIRSA-N 0 1 314.385 3.308 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)noc2C2CC2)[C@H]1C ZINC000993608019 659765200 /nfs/dbraw/zinc/76/52/00/659765200.db2.gz VROYZAHDVCCBHB-HIFRSBDPSA-N 0 1 317.433 3.019 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@@H]1C ZINC000993648110 659770964 /nfs/dbraw/zinc/77/09/64/659770964.db2.gz UGYODTPYQJRUKA-RDJZCZTQSA-N 0 1 318.505 3.441 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@@H]1C ZINC000993664398 659773248 /nfs/dbraw/zinc/77/32/48/659773248.db2.gz SHYSFSSBQNNHBB-RDJZCZTQSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)[C@@H]1C ZINC000993684747 659776306 /nfs/dbraw/zinc/77/63/06/659776306.db2.gz PAEKOBXXKKMPEI-DOTOQJQBSA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccccc2C2(C)CC2)[C@H]1C ZINC000993803360 659790601 /nfs/dbraw/zinc/79/06/01/659790601.db2.gz IWFBRZSASBGUAP-APWZRJJASA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@@H]1C ZINC000993859261 659793753 /nfs/dbraw/zinc/79/37/53/659793753.db2.gz JKZMFGRRCNFBPX-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H]1C ZINC000993853992 659796069 /nfs/dbraw/zinc/79/60/69/659796069.db2.gz BCXNZWJWPNUSOD-MLGOLLRUSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C)c3ccccc23)[C@H]1C ZINC000993888371 659797565 /nfs/dbraw/zinc/79/75/65/659797565.db2.gz VQSABXRUDKLUPG-OXQOHEQNSA-N 0 1 320.436 3.364 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@H]1C ZINC000993933900 659799836 /nfs/dbraw/zinc/79/98/36/659799836.db2.gz LBTMCFYPWWOKFJ-UKRRQHHQSA-N 0 1 319.449 3.347 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sc(C)cc2OC)[C@H]1C ZINC000993932923 659799939 /nfs/dbraw/zinc/79/99/39/659799939.db2.gz WVSZGIKVASFVQX-KGLIPLIRSA-N 0 1 322.474 3.224 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(F)c3)CC2)[C@H]1C ZINC000993910748 659801784 /nfs/dbraw/zinc/80/17/84/659801784.db2.gz YSJGHPWKIDOTGQ-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(F)F)cc2)[C@H]1C ZINC000994005564 659807604 /nfs/dbraw/zinc/80/76/04/659807604.db2.gz MEBOYQUOHQIWBK-IUODEOHRSA-N 0 1 308.372 3.393 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sc(CC)nc2C)[C@@H]1C ZINC000993972335 659808286 /nfs/dbraw/zinc/80/82/86/659808286.db2.gz MCACCYOJCXHYPL-UONOGXRCSA-N 0 1 321.490 3.173 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2F)[C@@H]1C ZINC000994007254 659808772 /nfs/dbraw/zinc/80/87/72/659808772.db2.gz OJKOIRJOZBRJLV-YOEHRIQHSA-N 0 1 318.436 3.258 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@@H]1C ZINC000994069011 659811412 /nfs/dbraw/zinc/81/14/12/659811412.db2.gz IRSNCYGRXBMXCP-MAUKXSAKSA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nc(C3CC3)oc2C)[C@@H]1C ZINC000994123698 659812374 /nfs/dbraw/zinc/81/23/74/659812374.db2.gz LMZIZUUJCHSFNO-WFASDCNBSA-N 0 1 317.433 3.019 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@@H]1C ZINC000994189991 659818278 /nfs/dbraw/zinc/81/82/78/659818278.db2.gz OFZHWGADKQTQPV-KXBFYZLASA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@H]1C ZINC000994189988 659818875 /nfs/dbraw/zinc/81/88/75/659818875.db2.gz OFZHWGADKQTQPV-DNVCBOLYSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)c2ccoc2CCC)[C@H]1C ZINC000994220257 659820401 /nfs/dbraw/zinc/82/04/01/659820401.db2.gz NOVSOYTYASISRA-ZBFHGGJFSA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccoc2CCC)[C@H]1C ZINC000994220257 659820404 /nfs/dbraw/zinc/82/04/04/659820404.db2.gz NOVSOYTYASISRA-ZBFHGGJFSA-N 0 1 304.434 3.391 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2ncsc2C(C)C)[C@@H]1C ZINC000994217855 659820926 /nfs/dbraw/zinc/82/09/26/659820926.db2.gz IUDKEYNTJAYHCA-STQMWFEESA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ncsc2C(C)C)[C@@H]1C ZINC000994217855 659820927 /nfs/dbraw/zinc/82/09/27/659820927.db2.gz IUDKEYNTJAYHCA-STQMWFEESA-N 0 1 307.463 3.035 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@@H]1C ZINC000994234998 659822513 /nfs/dbraw/zinc/82/25/13/659822513.db2.gz UXTACCOJMDLEIV-ZFWWWQNUSA-N 0 1 316.470 3.232 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(CC)cc2C)[C@@H]1C ZINC000994231015 659822639 /nfs/dbraw/zinc/82/26/39/659822639.db2.gz MXOGYXKTKRDSAI-HOCLYGCPSA-N 0 1 304.434 3.309 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCCN1Cc1ccc(C#N)cc1 ZINC000994255772 659825986 /nfs/dbraw/zinc/82/59/86/659825986.db2.gz CEHQJUDGACFPFR-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C(F)F)c2)[C@@H]1C ZINC000994254808 659826046 /nfs/dbraw/zinc/82/60/46/659826046.db2.gz VIBDAEHSLCUPCB-WFASDCNBSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(F)cccc2Cl)[C@@H]1C ZINC000994268573 659829478 /nfs/dbraw/zinc/82/94/78/659829478.db2.gz ZWKQHEGRITTYFJ-FZMZJTMJSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)cccc2F)[C@H]1C ZINC000994302694 659835696 /nfs/dbraw/zinc/83/56/96/659835696.db2.gz WDBGKFWCJCKTPL-IUODEOHRSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ncsc3c2)[C@H]1C ZINC000994324558 659837802 /nfs/dbraw/zinc/83/78/02/659837802.db2.gz BVBUYFJFCIRFRZ-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cc3ccccc3o2)[C@H]1C ZINC000994335494 659839665 /nfs/dbraw/zinc/83/96/65/659839665.db2.gz HDJCKPMCPYSGQK-GDBMZVCRSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3ccccc3o2)[C@H]1C ZINC000994335494 659839672 /nfs/dbraw/zinc/83/96/72/659839672.db2.gz HDJCKPMCPYSGQK-GDBMZVCRSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cc3ccccc3o2)[C@@H]1C ZINC000994335498 659840131 /nfs/dbraw/zinc/84/01/31/659840131.db2.gz HDJCKPMCPYSGQK-GOEBONIOSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3ccccc3o2)[C@@H]1C ZINC000994335498 659840135 /nfs/dbraw/zinc/84/01/35/659840135.db2.gz HDJCKPMCPYSGQK-GOEBONIOSA-N 0 1 310.397 3.039 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C(F)F)o2)[C@@H]1C ZINC000994344644 659840351 /nfs/dbraw/zinc/84/03/51/659840351.db2.gz GZVPHZSFRICNHA-RYUDHWBXSA-N 0 1 312.360 3.376 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)[C@H]1C ZINC000994344731 659841260 /nfs/dbraw/zinc/84/12/60/659841260.db2.gz GFWUKCUMCHUIBI-OXQOHEQNSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3ccccc32)[C@@H]1C ZINC000994356338 659843717 /nfs/dbraw/zinc/84/37/17/659843717.db2.gz VLHRFPLLEYGPPR-HNAYVOBHSA-N 0 1 306.409 3.056 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(Cl)s2)[C@H]1C ZINC000994354744 659843723 /nfs/dbraw/zinc/84/37/23/659843723.db2.gz VKWIQGGDNZFDMP-VXGBXAGGSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)c3occc32)[C@H]1C ZINC000994460042 659857210 /nfs/dbraw/zinc/85/72/10/659857210.db2.gz IDLKTHRPWQRFKZ-MLGOLLRUSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1C ZINC000994484991 659859512 /nfs/dbraw/zinc/85/95/12/659859512.db2.gz AVSSTFXZBBKEJY-OMGLFLRBSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H]1C ZINC000994488582 659860672 /nfs/dbraw/zinc/86/06/72/659860672.db2.gz REBKCQVULRAWHJ-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H]1C ZINC000994488582 659860674 /nfs/dbraw/zinc/86/06/74/659860674.db2.gz REBKCQVULRAWHJ-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(C3CC3)n2)[C@@H]1C ZINC000994510153 659864710 /nfs/dbraw/zinc/86/47/10/659864710.db2.gz SHDPOWRTQCWJOC-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2coc3ccccc23)[C@@H]1C ZINC000994527359 659872319 /nfs/dbraw/zinc/87/23/19/659872319.db2.gz GOBNKBADUYJCJI-WMLDXEAASA-N 0 1 310.397 3.039 20 30 DGEDMN C[C@@H](C#N)CN(C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C)C1CC1 ZINC000930088098 659905355 /nfs/dbraw/zinc/90/53/55/659905355.db2.gz PXLIGLOFYBWCTL-RRFJBIMHSA-N 0 1 321.465 3.113 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001031718940 659922315 /nfs/dbraw/zinc/92/23/15/659922315.db2.gz BQOMSUGYUWPVLB-UHFFFAOYSA-N 0 1 312.388 3.217 20 30 DGEDMN CC[C@@H](Oc1ccccc1F)C(=O)Nc1cc(C#N)ccc1O ZINC000179738991 659940112 /nfs/dbraw/zinc/94/01/12/659940112.db2.gz LAMPZELMTCCQCB-OAHLLOKOSA-N 0 1 314.316 3.199 20 30 DGEDMN N#CCCCOc1cccc(CNCc2cccc3c2OCO3)c1 ZINC000237823262 659943432 /nfs/dbraw/zinc/94/34/32/659943432.db2.gz AHBPOZWXIFSLQS-UHFFFAOYSA-N 0 1 324.380 3.388 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000070032817 660034018 /nfs/dbraw/zinc/03/40/18/660034018.db2.gz HLHHRVLWEABFGR-GOEBONIOSA-N 0 1 300.402 3.084 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)oc3ccccc32)C(C)(C)C1 ZINC000940775011 660063900 /nfs/dbraw/zinc/06/39/00/660063900.db2.gz YNHTZZLAVLQVRR-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CCCCCCC2)C(C)(C)C1 ZINC000940879372 660070151 /nfs/dbraw/zinc/07/01/51/660070151.db2.gz UFKBVVPLPWDCNV-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)cc(Cl)c2)C(C)(C)C1 ZINC000940896670 660071538 /nfs/dbraw/zinc/07/15/38/660071538.db2.gz XLYHWRXDQMRNEO-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(F)c(C)c2)C(C)(C)C1 ZINC000940988374 660078151 /nfs/dbraw/zinc/07/81/51/660078151.db2.gz RLLJHRDMDIBPLO-INIZCTEOSA-N 0 1 304.409 3.150 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc(C(C)C)c2)C(C)(C)C1 ZINC000941144673 660088703 /nfs/dbraw/zinc/08/87/03/660088703.db2.gz DOBHCEPQKPVMJT-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cnc(C3CC3)s2)C(C)(C)C1 ZINC000941173742 660091370 /nfs/dbraw/zinc/09/13/70/660091370.db2.gz BFTKESJHPAICNO-CQSZACIVSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(CC)c(CC)o2)C(C)(C)C1 ZINC000941167735 660092259 /nfs/dbraw/zinc/09/22/59/660092259.db2.gz ZUEUSRYWJUCEJE-KRWDZBQOSA-N 0 1 318.461 3.421 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(CC)c(C)s2)C(C)(C)C1 ZINC000941207351 660094954 /nfs/dbraw/zinc/09/49/54/660094954.db2.gz PYFZQNHUUYNZNB-INIZCTEOSA-N 0 1 318.486 3.082 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3c(cccc3C)o2)C(C)(C)C1 ZINC000941242768 660097403 /nfs/dbraw/zinc/09/74/03/660097403.db2.gz LPBQLGZLZFUBDL-GOSISDBHSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000941247168 660098055 /nfs/dbraw/zinc/09/80/55/660098055.db2.gz FDYXVLAOXUBVJL-CTWPCTMYSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C(C)(C)C1 ZINC000941237776 660098649 /nfs/dbraw/zinc/09/86/49/660098649.db2.gz QXEMSAILXFRQLS-GOSISDBHSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941239649 660098854 /nfs/dbraw/zinc/09/88/54/660098854.db2.gz SJLMLCGZTPXGNQ-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccccc2O[C@H](C)C#N)c1 ZINC000183136587 660102892 /nfs/dbraw/zinc/10/28/92/660102892.db2.gz JWKIJYMWFUTMJJ-CYBMUJFWSA-N 0 1 316.382 3.087 20 30 DGEDMN C=C1CCC(C(=O)NCC2CN(Cc3ccc(C)cc3)C2)CC1 ZINC001031950542 660104249 /nfs/dbraw/zinc/10/42/49/660104249.db2.gz MWVFGVOLIYDRDG-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C1CCC(C(=O)NCC2CN(C/C=C/c3ccccc3)C2)CC1 ZINC001031950731 660104255 /nfs/dbraw/zinc/10/42/55/660104255.db2.gz VJVJEPZUAFEBGR-VMPITWQZSA-N 0 1 324.468 3.494 20 30 DGEDMN C[C@@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)C1CCCC1 ZINC000941719058 660112702 /nfs/dbraw/zinc/11/27/02/660112702.db2.gz GJCHWFGZUBFSNG-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)C1CCCC1 ZINC000941719058 660112703 /nfs/dbraw/zinc/11/27/03/660112703.db2.gz GJCHWFGZUBFSNG-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001032014567 660151023 /nfs/dbraw/zinc/15/10/23/660151023.db2.gz FWNRCVSCROBVLF-HNNXBMFYSA-N 0 1 316.445 3.102 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)[C@H](C)C1 ZINC000942395390 660151047 /nfs/dbraw/zinc/15/10/47/660151047.db2.gz XRZUQGVMIUVYIG-QAPCUYQASA-N 0 1 322.408 3.020 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C/Cl)C[C@H]2C)CC1 ZINC000942600790 660164136 /nfs/dbraw/zinc/16/41/36/660164136.db2.gz VCZUQPBATPBRCN-ZQGACQJRSA-N 0 1 310.869 3.312 20 30 DGEDMN N#Cc1cncc(CN2CCC(COc3ccccc3)CC2)c1 ZINC000929336119 661298333 /nfs/dbraw/zinc/29/83/33/661298333.db2.gz QDRMIODRBPIAJC-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN Cc1cc(CN2CCC(Oc3cccc(C#N)c3)CC2)c(C)nn1 ZINC000929525296 661315012 /nfs/dbraw/zinc/31/50/12/661315012.db2.gz UIGJWYMPNXKKKB-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN CC(=O)NCCCCCN1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000929756521 661335463 /nfs/dbraw/zinc/33/54/63/661335463.db2.gz HAKIANRVAHEEMQ-MOPGFXCFSA-N 0 1 313.445 3.065 20 30 DGEDMN COc1ccc(C2CCN(Cc3cncc(C#N)c3)CC2)cc1 ZINC000929759918 661335997 /nfs/dbraw/zinc/33/59/97/661335997.db2.gz UEZAZEODYDHJAW-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCO[C@@H](c3cccc(C#N)c3)C2)C[C@H](C)O1 ZINC000930134335 661369888 /nfs/dbraw/zinc/36/98/88/661369888.db2.gz GMMDIOKPAFUKPT-WXSAJPJJSA-N 0 1 314.429 3.135 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000930526026 661407270 /nfs/dbraw/zinc/40/72/70/661407270.db2.gz FQNRICVIHMRAAQ-INIZCTEOSA-N 0 1 314.820 3.472 20 30 DGEDMN CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)C1(C#N)CCC1 ZINC000930591772 661413622 /nfs/dbraw/zinc/41/36/22/661413622.db2.gz KNCGMJLMYUDMHK-UHFFFAOYSA-N 0 1 304.300 3.019 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H](c3ccc([N+](=O)[O-])cc3)C2)c1 ZINC000930638402 661417450 /nfs/dbraw/zinc/41/74/50/661417450.db2.gz NSYHUFYUPRKXMK-KRWDZBQOSA-N 0 1 322.368 3.241 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2c2nnc[nH]2)c(C(F)(F)F)c1 ZINC000931142382 661456222 /nfs/dbraw/zinc/45/62/22/661456222.db2.gz LZLUFFNJFFOPLF-ZDUSSCGKSA-N 0 1 321.306 3.032 20 30 DGEDMN C#CC1(O)CCN(Cc2cccc(SC(F)(F)F)c2)CC1 ZINC000931151591 661457258 /nfs/dbraw/zinc/45/72/58/661457258.db2.gz YYZRFEIUFZTRRI-UHFFFAOYSA-N 0 1 315.360 3.259 20 30 DGEDMN N#CCc1cccc2c1CCN(CCSc1ccncc1)C2 ZINC000931332475 661467937 /nfs/dbraw/zinc/46/79/37/661467937.db2.gz FVEUQODQHSKUMJ-UHFFFAOYSA-N 0 1 309.438 3.298 20 30 DGEDMN COC[C@H](C)N(Cc1cncc(C#N)c1)[C@H]1CCc2ccccc21 ZINC000931332515 661468137 /nfs/dbraw/zinc/46/81/37/661468137.db2.gz GOJARGCHQJHXER-YWZLYKJASA-N 0 1 321.424 3.478 20 30 DGEDMN C[C@H](NCc1cncc(C#N)c1)c1ccc(C(F)(F)F)nc1 ZINC000931617534 661493066 /nfs/dbraw/zinc/49/30/66/661493066.db2.gz GRRXDGXXOZDZAC-JTQLQIEISA-N 0 1 306.291 3.218 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)c1 ZINC000931686168 661498370 /nfs/dbraw/zinc/49/83/70/661498370.db2.gz XGVNHCBCURZPNT-HDICACEKSA-N 0 1 312.800 3.351 20 30 DGEDMN CN(C)[C@H](CNC(=O)Nc1sccc1C#N)c1ccsc1 ZINC000931845395 661515470 /nfs/dbraw/zinc/51/54/70/661515470.db2.gz JWLWLBNXJGJWML-GFCCVEGCSA-N 0 1 320.443 3.106 20 30 DGEDMN C=CCCN1CC[C@H](C(F)(F)F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000931979181 661526918 /nfs/dbraw/zinc/52/69/18/661526918.db2.gz VDSGZSBJXTWAAU-NWDGAFQWSA-N 0 1 322.371 3.340 20 30 DGEDMN Cn1ccc([C@H]2CCCN(Cc3cc(Cl)cc(C#N)c3)C2)n1 ZINC000932132756 661540507 /nfs/dbraw/zinc/54/05/07/661540507.db2.gz OCKADNKODZDSSZ-HNNXBMFYSA-N 0 1 314.820 3.325 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(CCN2CCC[C@H](C#N)C2)CC1 ZINC000932147551 661542335 /nfs/dbraw/zinc/54/23/35/661542335.db2.gz FPWHFLGRDGPBLQ-MRXNPFEDSA-N 0 1 321.465 3.259 20 30 DGEDMN Cc1ccc(CN2CCC(CO)(C(F)(F)F)CC2)cc1C#N ZINC000932831766 661600383 /nfs/dbraw/zinc/60/03/83/661600383.db2.gz SDQBXJNIIWQAAF-UHFFFAOYSA-N 0 1 312.335 3.004 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H](C2CCOCC2)C1 ZINC000933077935 661616074 /nfs/dbraw/zinc/61/60/74/661616074.db2.gz OKSTWIKCZQNRFY-OAHLLOKOSA-N 0 1 302.393 3.336 20 30 DGEDMN C#CCC1(NCc2ccn(-c3c(F)cccc3F)n2)CCC1 ZINC000933299316 661628506 /nfs/dbraw/zinc/62/85/06/661628506.db2.gz RKLDBZNWFVIHTK-UHFFFAOYSA-N 0 1 301.340 3.186 20 30 DGEDMN C[C@H](C(=O)NC(C)(C)C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933614900 661645276 /nfs/dbraw/zinc/64/52/76/661645276.db2.gz WRIAEOGBGUXFBQ-CQSZACIVSA-N 0 1 313.445 3.041 20 30 DGEDMN Cc1cc(CNC2(c3ccc4c(c3)OCCO4)CC2)ccc1C#N ZINC000933999854 661672660 /nfs/dbraw/zinc/67/26/60/661672660.db2.gz YYWHGQGKMRDJTF-UHFFFAOYSA-N 0 1 320.392 3.417 20 30 DGEDMN COc1ccc([C@@H]2CCCN2CCOc2ccc(C#N)cc2)nc1 ZINC000934347864 661700744 /nfs/dbraw/zinc/70/07/44/661700744.db2.gz ZCUQTRPOEBLAHQ-IBGZPJMESA-N 0 1 323.396 3.178 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N1CCc2sccc2[C@@H]1CC ZINC000934472682 661709660 /nfs/dbraw/zinc/70/96/60/661709660.db2.gz PHEGWKJUPPSDMR-ZFWWWQNUSA-N 0 1 304.459 3.234 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)s1)c1cnn(CC2CCC2)c1 ZINC000934558762 661715178 /nfs/dbraw/zinc/71/51/78/661715178.db2.gz HHDFCOZNJPHEKH-GFCCVEGCSA-N 0 1 300.431 3.467 20 30 DGEDMN C[C@]12CSC[C@H]1CN(Cc1ccc(C#N)cc1OC(F)F)C2 ZINC000934774660 661733725 /nfs/dbraw/zinc/73/37/25/661733725.db2.gz SPSSMOCKSFBJBU-CJNGLKHVSA-N 0 1 324.396 3.345 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1ccccc1CN1CCC(O)CC1 ZINC000935141850 661763246 /nfs/dbraw/zinc/76/32/46/661763246.db2.gz WWTSZTRUTBWDJP-HNNXBMFYSA-N 0 1 316.445 3.184 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@H](C)Oc2ccc(C)cc2)n1 ZINC000041521380 661835143 /nfs/dbraw/zinc/83/51/43/661835143.db2.gz SWCTZBPGSMXYOF-JSGCOSHPSA-N 0 1 300.383 3.404 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000155474313 661847592 /nfs/dbraw/zinc/84/75/92/661847592.db2.gz VFLDRTAKGXBPLV-CHWSQXEVSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000155474411 661847619 /nfs/dbraw/zinc/84/76/19/661847619.db2.gz VFLDRTAKGXBPLV-QWHCGFSZSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000155464988 661847636 /nfs/dbraw/zinc/84/76/36/661847636.db2.gz QHGYSORQILPOGU-WFASDCNBSA-N 0 1 302.374 3.169 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)s2)C[C@@H]1C ZINC000948075117 661948676 /nfs/dbraw/zinc/94/86/76/661948676.db2.gz WVMDRJXTRPLGHH-KBPBESRZSA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2CC(F)(F)C2)CC1 ZINC000948282350 661965169 /nfs/dbraw/zinc/96/51/69/661965169.db2.gz NBNRRPDFQZCADX-ZDUSSCGKSA-N 0 1 320.811 3.097 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2cc3occc3s2)CC1 ZINC000948766413 661996692 /nfs/dbraw/zinc/99/66/92/661996692.db2.gz JXGVCCKDGMXWMI-ZDUSSCGKSA-N 0 1 316.426 3.054 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1CC(C)C1 ZINC000948906334 662006695 /nfs/dbraw/zinc/00/66/95/662006695.db2.gz LPZPYMNDYAWRIL-GXOAENINSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000948951008 662009053 /nfs/dbraw/zinc/00/90/53/662009053.db2.gz QRIDLMWGFXKRJR-XFKSJGNHSA-N 0 1 324.468 3.324 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(OC)c(C(C)C)c2)CC1 ZINC000949121364 662012225 /nfs/dbraw/zinc/01/22/25/662012225.db2.gz IBMNISXOLPSFDV-UHFFFAOYSA-N 0 1 316.445 3.153 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC000950089632 662045878 /nfs/dbraw/zinc/04/58/78/662045878.db2.gz JEMKQZLTUREUGO-MRXNPFEDSA-N 0 1 304.478 3.456 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC001006457546 662068768 /nfs/dbraw/zinc/06/87/68/662068768.db2.gz GNOMZJXYCMHKAT-IBGZPJMESA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCC[C@@H]2C(F)F)C1 ZINC000950505666 662069341 /nfs/dbraw/zinc/06/93/41/662069341.db2.gz ZZGUNWFEDZRADB-LSDHHAIUSA-N 0 1 314.420 3.167 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3ncccc32)C1 ZINC000951017052 662100549 /nfs/dbraw/zinc/10/05/49/662100549.db2.gz JYJCKTLLCRLHHO-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2cccc3cccnc32)cc1 ZINC000079863135 662123560 /nfs/dbraw/zinc/12/35/60/662123560.db2.gz BVQAYIBLZWRSCR-UHFFFAOYSA-N 0 1 320.396 3.138 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(-c3ccccc3)co2)CC1 ZINC001006487098 662330590 /nfs/dbraw/zinc/33/05/90/662330590.db2.gz UAAFUYBUROYUTE-UHFFFAOYSA-N 0 1 322.408 3.116 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@]23CCc2ccccc23)CC1 ZINC000956973581 662340960 /nfs/dbraw/zinc/34/09/60/662340960.db2.gz GNHPFKGTBYEJLR-FPOVZHCZSA-N 0 1 324.468 3.001 20 30 DGEDMN CC(C)CCN(CCC#N)Cc1ccc(N2CCOCC2)cc1 ZINC000093100849 662344048 /nfs/dbraw/zinc/34/40/48/662344048.db2.gz WHNPCRSKKVGIHV-UHFFFAOYSA-N 0 1 315.461 3.285 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc3sccc3c2)CC1 ZINC000957034676 662345215 /nfs/dbraw/zinc/34/52/15/662345215.db2.gz OTZWITPGVOPSGC-UHFFFAOYSA-N 0 1 300.427 3.235 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H]3CCCC(C)(C)C3)C2)C1 ZINC000982116723 685343341 /nfs/dbraw/zinc/34/33/41/685343341.db2.gz RFCGKXQSZUTSHF-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3cc[nH]c32)[C@@H]1C ZINC000987011480 685355038 /nfs/dbraw/zinc/35/50/38/685355038.db2.gz IVIWWMPJGFEECG-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3nccc(C)c3c2)CC[C@@H]1C ZINC001071531135 686681212 /nfs/dbraw/zinc/68/12/12/686681212.db2.gz GWCOWCGKHGOWEQ-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3ccc(C)nc32)CC[C@@H]1C ZINC001071600555 686697475 /nfs/dbraw/zinc/69/74/75/686697475.db2.gz MUHIWVGEQGWHSK-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(F)cc2F)CC[C@H]1C ZINC001071602301 686698435 /nfs/dbraw/zinc/69/84/35/686698435.db2.gz IGMYVSRICFIALI-CHWSQXEVSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)cc3ccoc32)CC[C@H]1C ZINC001071629347 686708089 /nfs/dbraw/zinc/70/80/89/686708089.db2.gz YHYBAUBYZGWYMH-DOMZBBRYSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C(C)(C)C)on2)CC[C@@H]1C ZINC001071675741 686721102 /nfs/dbraw/zinc/72/11/02/686721102.db2.gz HDHKRFKCSVPHMK-UONOGXRCSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-c3ccco3)o2)CC[C@@H]1C ZINC001071674489 686721415 /nfs/dbraw/zinc/72/14/15/686721415.db2.gz VAGNHQVADTZOMM-UONOGXRCSA-N 0 1 314.385 3.308 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@H]1C ZINC001071685461 686721851 /nfs/dbraw/zinc/72/18/51/686721851.db2.gz CAPABWMMDLYUTM-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2C2CC2)CC[C@H]1C ZINC001071694768 686724921 /nfs/dbraw/zinc/72/49/21/686724921.db2.gz JQPQHRUSCKCTRG-DOMZBBRYSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)Cc2cccc(C3CC3)c2)CC[C@@H]1C ZINC001071701604 686726747 /nfs/dbraw/zinc/72/67/47/686726747.db2.gz LLNDJHMQJAQBCF-OXJNMPFZSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@@H]1C ZINC001071711612 686729794 /nfs/dbraw/zinc/72/97/94/686729794.db2.gz AWKBUDSHNXLMKM-GOEBONIOSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)CC[C@@H]1C ZINC001071715776 686731775 /nfs/dbraw/zinc/73/17/75/686731775.db2.gz KEYCEXKTPMUDJE-HOTGVXAUSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)CC[C@@H]1C ZINC001071727710 686734100 /nfs/dbraw/zinc/73/41/00/686734100.db2.gz CDLTYVSAQXSLRF-WFASDCNBSA-N 0 1 315.392 3.076 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)CC[C@H]1C ZINC001071728824 686735550 /nfs/dbraw/zinc/73/55/50/686735550.db2.gz UBPPFSIUSYUPMS-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@@H]1C ZINC001071736001 686737673 /nfs/dbraw/zinc/73/76/73/686737673.db2.gz WCBOOPALLUHKFO-RDJZCZTQSA-N 0 1 316.420 3.049 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cncc3sccc32)CC[C@@H]1C ZINC001071766229 686745298 /nfs/dbraw/zinc/74/52/98/686745298.db2.gz RMIKRCBGTUGPJS-QWHCGFSZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C(F)F)c2)CC[C@H]1C ZINC001071772886 686747394 /nfs/dbraw/zinc/74/73/94/686747394.db2.gz SAEGGTKYSALHGX-YPMHNXCESA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2OC)CC[C@H]1C ZINC001071772502 686747409 /nfs/dbraw/zinc/74/74/09/686747409.db2.gz LXZAABYMQYGTTF-TZMCWYRMSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C2(C)CC2)CC[C@H]1C ZINC001071820642 686760734 /nfs/dbraw/zinc/76/07/34/686760734.db2.gz BGYXPCVKYLKOHQ-SJORKVTESA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)cc2Cl)CC[C@H]1C ZINC001071836745 686766539 /nfs/dbraw/zinc/76/65/39/686766539.db2.gz WYURREIAQKEYHI-KGLIPLIRSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(Cl)cs2)CC[C@H]1C ZINC001071868254 686776677 /nfs/dbraw/zinc/77/66/77/686776677.db2.gz IIVQOVFWGXJJPM-YPMHNXCESA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccn(C(CC)CC)n2)CC[C@H]1C ZINC001071871981 686777305 /nfs/dbraw/zinc/77/73/05/686777305.db2.gz KNLJPIJRBQDFHY-HUUCEWRRSA-N 0 1 318.465 3.013 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@H]1C ZINC001071883750 686781820 /nfs/dbraw/zinc/78/18/20/686781820.db2.gz QKQZIMLVDQVZIC-UZLBHIALSA-N 0 1 322.452 3.252 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@H]1C ZINC001071899945 686787042 /nfs/dbraw/zinc/78/70/42/686787042.db2.gz FYDPZCOLTPUNBZ-CZUORRHYSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C)c2Cl)CC[C@H]1C ZINC001071904928 686788710 /nfs/dbraw/zinc/78/87/10/686788710.db2.gz RILDBMBGODGJSR-KGLIPLIRSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@H]1C ZINC001071934908 686792562 /nfs/dbraw/zinc/79/25/62/686792562.db2.gz UKHWBIFMVVEKRZ-MKXGPGLRSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)CC[C@@H]1C ZINC001071954693 686794889 /nfs/dbraw/zinc/79/48/89/686794889.db2.gz TXOXJMBAODMRPM-HOCLYGCPSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C3CC3)s2)CC[C@@H]1C ZINC001071987464 686805704 /nfs/dbraw/zinc/80/57/04/686805704.db2.gz DDZZQENMLAQZLE-JSGCOSHPSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)CC[C@@H]1C ZINC001072002342 686810290 /nfs/dbraw/zinc/81/02/90/686810290.db2.gz KHEOKXTUONXQPO-WMZOPIPTSA-N 0 1 314.473 3.428 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)CC[C@@H]1C ZINC001072030710 686833296 /nfs/dbraw/zinc/83/32/96/686833296.db2.gz USXKMVXMUSRVCV-STQMWFEESA-N 0 1 317.458 3.388 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C(F)F)o2)CC[C@@H]1C ZINC001072033257 686834525 /nfs/dbraw/zinc/83/45/25/686834525.db2.gz LAXVRWWSMNQELT-NWDGAFQWSA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(s2)CCCC3)CC[C@H]1C ZINC001072045833 686841987 /nfs/dbraw/zinc/84/19/87/686841987.db2.gz UTWQVACEYZGVIL-UKRRQHHQSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ncsc3c2)CC[C@H]1C ZINC001072045790 686842490 /nfs/dbraw/zinc/84/24/90/686842490.db2.gz UAXUSVKUJFNZAY-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC(C)C)cc2)CC[C@@H]1C ZINC001072049959 686844210 /nfs/dbraw/zinc/84/42/10/686844210.db2.gz SBFWDOVBXORERR-DOTOQJQBSA-N 0 1 316.445 3.243 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2)CC[C@@H]1C ZINC001072050455 686846053 /nfs/dbraw/zinc/84/60/53/686846053.db2.gz XTWSBXUKIWCZRZ-DOTOQJQBSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2)CC[C@H]1C ZINC001072050456 686846171 /nfs/dbraw/zinc/84/61/71/686846171.db2.gz XTWSBXUKIWCZRZ-NVXWUHKLSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@@H]1C ZINC001072050126 686846889 /nfs/dbraw/zinc/84/68/89/686846889.db2.gz SZKQKQCDEVWKJJ-HNAYVOBHSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc3c2CCCC3)CC[C@@H]1C ZINC001072053479 686848129 /nfs/dbraw/zinc/84/81/29/686848129.db2.gz TUDAATTUMUEFDV-KBPBESRZSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)CC[C@@H]1C ZINC001072057772 686850305 /nfs/dbraw/zinc/85/03/05/686850305.db2.gz ASFNIJGWEUBOPY-JSGCOSHPSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cncc3ccccc32)CC[C@H]1C ZINC001072092651 686861383 /nfs/dbraw/zinc/86/13/83/686861383.db2.gz VLWLQPFTOUEJJN-GDBMZVCRSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C3CC3)cc2)CC[C@H]1C ZINC001072092475 686861658 /nfs/dbraw/zinc/86/16/58/686861658.db2.gz SCPYQHPROFNCSV-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(Cl)cccc2OC)CC[C@H]1C ZINC001072095847 686863199 /nfs/dbraw/zinc/86/31/99/686863199.db2.gz PDOPULGYJSBYQZ-CHWSQXEVSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@@H]1C ZINC001072099546 686864000 /nfs/dbraw/zinc/86/40/00/686864000.db2.gz SIXVLQKFCYYTRV-WMZOPIPTSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)CC[C@@H]1C ZINC001072109227 686870076 /nfs/dbraw/zinc/87/00/76/686870076.db2.gz DCQGVKKKOUJTLD-GYIPLFPLSA-N 0 1 324.468 3.263 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(CC(C)C)n2)CC[C@H]1C ZINC001072113616 686874251 /nfs/dbraw/zinc/87/42/51/686874251.db2.gz YYFNSZXOBXHCSP-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@@H]1C ZINC001072115895 686876000 /nfs/dbraw/zinc/87/60/00/686876000.db2.gz DTAYYUXYAPVUOR-DLBZAZTESA-N 0 1 312.457 3.182 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC3CCCC3)C(C)C)C2)C1 ZINC001073029860 687001954 /nfs/dbraw/zinc/00/19/54/687001954.db2.gz JGHWMUDFSIENHV-SFHVURJKSA-N 0 1 316.489 3.006 20 30 DGEDMN CC#CCN1CCC2(CN(C(=O)c3cccc4ccsc43)C2)C1 ZINC001073103922 687013160 /nfs/dbraw/zinc/01/31/60/687013160.db2.gz RHIHZZAHSWOGAR-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN CC#CCN1CCC2(CN(C(=O)c3sccc3C(C)C)C2)C1 ZINC001073452464 687196494 /nfs/dbraw/zinc/19/64/94/687196494.db2.gz GGDBDTODHASGQQ-UHFFFAOYSA-N 0 1 316.470 3.043 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2CC(C)(C)C)[C@H]1C ZINC001074658801 687289798 /nfs/dbraw/zinc/28/97/98/687289798.db2.gz BNUCTCLITUUKTF-XQLPTFJDSA-N 0 1 312.885 3.390 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCCC2(F)F)[C@H]1C ZINC001074769697 687292715 /nfs/dbraw/zinc/29/27/15/687292715.db2.gz XZHPEWHITGGLSJ-AVGNSLFASA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CC3CCC2CC3)[C@H]1C ZINC001075131285 687338649 /nfs/dbraw/zinc/33/86/49/687338649.db2.gz IFVCNNMMCIUNOT-VLSVSDDBSA-N 0 1 310.869 3.144 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C(C)(C)C)s3)[C@@H]2C1 ZINC001076596507 687540976 /nfs/dbraw/zinc/54/09/76/687540976.db2.gz LHLRWLAUKLIRLQ-UONOGXRCSA-N 0 1 318.486 3.378 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4cc(C)ccc4o3)[C@@H]2C1 ZINC001076606518 687541877 /nfs/dbraw/zinc/54/18/77/687541877.db2.gz ABGQCZXDVSNUDN-GOEBONIOSA-N 0 1 310.397 3.074 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001076644825 687546358 /nfs/dbraw/zinc/54/63/58/687546358.db2.gz WZMKIXATYXCVLC-RCCFBDPRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001080035899 687912922 /nfs/dbraw/zinc/91/29/22/687912922.db2.gz FPUAOXPOLJSSLV-BXUZGUMPSA-N 0 1 317.820 3.057 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC001080064408 687916946 /nfs/dbraw/zinc/91/69/46/687916946.db2.gz YIHXLFFFWPEVCA-BXUZGUMPSA-N 0 1 318.417 3.277 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001080743121 688009247 /nfs/dbraw/zinc/00/92/47/688009247.db2.gz SEQIAJPYMQLVJV-CRAIPNDOSA-N 0 1 314.473 3.149 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001080872920 688020278 /nfs/dbraw/zinc/02/02/78/688020278.db2.gz QWHGKIBAPCGZOY-CHWSQXEVSA-N 0 1 316.426 3.244 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC001080922636 688027540 /nfs/dbraw/zinc/02/75/40/688027540.db2.gz NIOQFKPSJONJAG-UKRRQHHQSA-N 0 1 320.502 3.499 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001081057395 688044140 /nfs/dbraw/zinc/04/41/40/688044140.db2.gz MFXFNAPZMXMLEP-RHSMWYFYSA-N 0 1 310.397 3.183 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCC3(CC3)C2)C[C@H]1C ZINC001082510319 688118505 /nfs/dbraw/zinc/11/85/05/688118505.db2.gz IAGQDFBHQSXWTH-VHDGCEQUSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2ccsc2)C[C@H]1C ZINC001082735981 688125473 /nfs/dbraw/zinc/12/54/73/688125473.db2.gz UFNVDYURUNSVQI-SCDSUCTJSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccoc1C1CC1 ZINC001660581799 1196500548 /nfs/dbraw/zinc/50/05/48/1196500548.db2.gz OHLMCKXKZROSAM-UHFFFAOYSA-N 0 1 310.825 3.351 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@@]12CCCOC2 ZINC001620684824 1192066616 /nfs/dbraw/zinc/06/66/16/1192066616.db2.gz VCQFVBZJHYFUSH-SFHVURJKSA-N 0 1 300.402 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001662387919 1196715767 /nfs/dbraw/zinc/71/57/67/1196715767.db2.gz JVTMKUXFILGAMT-OCCSQVGLSA-N 0 1 304.837 3.142 20 30 DGEDMN CN(CCc1cccc(C#N)c1)C(=O)c1ccc(O)c(Cl)c1 ZINC001586612620 1192339965 /nfs/dbraw/zinc/33/99/65/1192339965.db2.gz WSIGAZKBQKQOBG-UHFFFAOYSA-N 0 1 314.772 3.232 20 30 DGEDMN CC(C)c1ncc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)s1 ZINC001587347218 1192393690 /nfs/dbraw/zinc/39/36/90/1192393690.db2.gz BXPOIQKLLRCSFU-UHFFFAOYSA-N 0 1 311.370 3.267 20 30 DGEDMN C=CCC1([NH2+]Cc2cccc(F)c2-c2nnn[n-]2)CCCCC1 ZINC001588557189 1192450595 /nfs/dbraw/zinc/45/05/95/1192450595.db2.gz HQMQDTBUCCXTIW-UHFFFAOYSA-N 0 1 315.396 3.374 20 30 DGEDMN C=CCC1([NH2+]Cc2cccc(F)c2-c2nn[n-]n2)CCCCC1 ZINC001588557189 1192450597 /nfs/dbraw/zinc/45/05/97/1192450597.db2.gz HQMQDTBUCCXTIW-UHFFFAOYSA-N 0 1 315.396 3.374 20 30 DGEDMN C=CC[N@H+](Cc1ccccc1)Cc1cccc(F)c1-c1nn[n-]n1 ZINC001588653599 1192452691 /nfs/dbraw/zinc/45/26/91/1192452691.db2.gz OYGHWMVVRDACAT-UHFFFAOYSA-N 0 1 323.375 3.194 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)Cc1cccc(F)c1-c1nn[n-]n1 ZINC001588653599 1192452692 /nfs/dbraw/zinc/45/26/92/1192452692.db2.gz OYGHWMVVRDACAT-UHFFFAOYSA-N 0 1 323.375 3.194 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](CC)CC1CCCC1)C1CC1 ZINC001663761268 1196941683 /nfs/dbraw/zinc/94/16/83/1196941683.db2.gz OCLDKHFEMRKFEE-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)CCCCN=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001589596457 1192470279 /nfs/dbraw/zinc/47/02/79/1192470279.db2.gz WCPPOQCGBOCLDJ-UHFFFAOYSA-N 0 1 305.386 3.202 20 30 DGEDMN C#Cc1ccc(CNCc2cnn(-c3ccc(F)cc3)c2)cc1 ZINC001620942760 1192638978 /nfs/dbraw/zinc/63/89/78/1192638978.db2.gz VNTDESSRJTWODC-UHFFFAOYSA-N 0 1 305.356 3.283 20 30 DGEDMN C=CCCCn1c(N2CCN(CC)[C@H](C)C2)nnc1C(C)(C)C ZINC001594774600 1192648911 /nfs/dbraw/zinc/64/89/11/1192648911.db2.gz LEFNLQAHBOOGCA-OAHLLOKOSA-N 0 1 319.497 3.072 20 30 DGEDMN C[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1cccc(F)c1 ZINC001595734806 1192668478 /nfs/dbraw/zinc/66/84/78/1192668478.db2.gz OLXWNDYQAOFYQH-JTQLQIEISA-N 0 1 308.316 3.316 20 30 DGEDMN COc1ccccc1CCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595733421 1192667463 /nfs/dbraw/zinc/66/74/63/1192667463.db2.gz BFXDMTPPXMUJQS-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001690971103 1176052379 /nfs/dbraw/zinc/05/23/79/1176052379.db2.gz RVFMSHVJNGMJBR-HNNXBMFYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)Cc2cccc(C)c2)C1 ZINC001691975269 1176072731 /nfs/dbraw/zinc/07/27/31/1176072731.db2.gz CYNZQNLWECQEIG-QGZVFWFLSA-N 0 1 320.864 3.070 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1occc1-c1ccccc1 ZINC001691031585 1176153920 /nfs/dbraw/zinc/15/39/20/1176153920.db2.gz KWEWZEIEYABSEU-UHFFFAOYSA-N 0 1 310.397 3.022 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1ccsc1)C1CC1 ZINC001670517427 1176159104 /nfs/dbraw/zinc/15/91/04/1176159104.db2.gz OGOLIVDWPLQIOL-RISCZKNCSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C)cc1C)C1CC1 ZINC001670549331 1176167754 /nfs/dbraw/zinc/16/77/54/1176167754.db2.gz BZLYWHLDYPXWKK-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1[C@@H](CC)c1ccc(F)cc1 ZINC001670635440 1176197879 /nfs/dbraw/zinc/19/78/79/1176197879.db2.gz LKMXQSPCCBVJAP-ROUUACIJSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001670719596 1176232446 /nfs/dbraw/zinc/23/24/46/1176232446.db2.gz SEDMRSCVSGOKHN-MSOLQXFVSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@@H](C)NCc2nocc2C)C1 ZINC001772541459 1176272675 /nfs/dbraw/zinc/27/26/75/1176272675.db2.gz KMMGKZWVTLRUEE-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCN1CC[C@H]1CN(C)C(=O)c1cnc(C(C)C)s1 ZINC001691074630 1176296752 /nfs/dbraw/zinc/29/67/52/1176296752.db2.gz FCRFJRLRGXRPCA-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001691172560 1176355704 /nfs/dbraw/zinc/35/57/04/1176355704.db2.gz IUEQDMVWOZNODR-XZQWCENXSA-N 0 1 319.276 3.392 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H](C)c1cc(F)ccc1F ZINC001691176798 1176358245 /nfs/dbraw/zinc/35/82/45/1176358245.db2.gz AWUHGUMHCNYJRU-CHWSQXEVSA-N 0 1 323.387 3.014 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H]1CCC[C@@H]1c1ccccc1)C1CC1 ZINC001691217258 1176394164 /nfs/dbraw/zinc/39/41/64/1176394164.db2.gz BEEUWFHFMOVSGG-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)/C(C)=C\CC)C2 ZINC001691802377 1176410530 /nfs/dbraw/zinc/41/05/30/1176410530.db2.gz QVJRMTWENUEYKM-QPEQYQDCSA-N 0 1 319.474 3.050 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001691242997 1176410665 /nfs/dbraw/zinc/41/06/65/1176410665.db2.gz AWXGFQALNLVOJA-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC001670733883 1176492362 /nfs/dbraw/zinc/49/23/62/1176492362.db2.gz CPTYTSKHCDQIAS-WMLDXEAASA-N 0 1 320.864 3.285 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001691458985 1176624124 /nfs/dbraw/zinc/62/41/24/1176624124.db2.gz NXKFWGSDHZBJAV-LBPRGKRZSA-N 0 1 315.244 3.244 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001691460383 1176625147 /nfs/dbraw/zinc/62/51/47/1176625147.db2.gz NTEJHMAZTJOPCJ-NZSAHSFTSA-N 0 1 312.457 3.053 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](CC)CC2CCCC2)CC1 ZINC001752309544 1176725687 /nfs/dbraw/zinc/72/56/87/1176725687.db2.gz XVZCCYFFRQYOFN-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ncccc2NCC2CCC2)c1 ZINC001598128432 1192763532 /nfs/dbraw/zinc/76/35/32/1192763532.db2.gz QXPMPTGCYOCOJA-UHFFFAOYSA-N 0 1 322.368 3.123 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2cccc(Cl)c2F)CC1 ZINC001670772414 1176803858 /nfs/dbraw/zinc/80/38/58/1176803858.db2.gz TVGQWCXWAIYDPB-UHFFFAOYSA-N 0 1 322.811 3.021 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC)CC1CCCC1 ZINC001691500133 1176910588 /nfs/dbraw/zinc/91/05/88/1176910588.db2.gz JZZLHQJRDKMORT-OAHLLOKOSA-N 0 1 300.874 3.393 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001753854000 1176968963 /nfs/dbraw/zinc/96/89/63/1176968963.db2.gz SJIFCGHKMPIBQR-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C/C=C/c2ccc(F)cc2)CC1 ZINC001670807793 1177036071 /nfs/dbraw/zinc/03/60/71/1177036071.db2.gz QKQUEKFOTGZVOC-NSCUHMNNSA-N 0 1 322.811 3.220 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001600074704 1192787446 /nfs/dbraw/zinc/78/74/46/1192787446.db2.gz PPUBQNSMAJBULR-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)s1 ZINC001733038909 1177170736 /nfs/dbraw/zinc/17/07/36/1177170736.db2.gz QUVAOGUKAYXKKP-GFCCVEGCSA-N 0 1 312.866 3.142 20 30 DGEDMN Cc1cc(C)cc([C@H]([NH2+]Cc2ccc(C#N)s2)C(=O)[O-])c1 ZINC001600168155 1192798893 /nfs/dbraw/zinc/79/88/93/1192798893.db2.gz MQHFZXGUQDZQDU-HNNXBMFYSA-N 0 1 300.383 3.152 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H]1CCCc2ccccc21 ZINC001691567497 1177314900 /nfs/dbraw/zinc/31/49/00/1177314900.db2.gz UAFJAWOOSKIWKE-INIZCTEOSA-N 0 1 320.864 3.297 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)C12CCC(CC1)CC2 ZINC001691615416 1177329167 /nfs/dbraw/zinc/32/91/67/1177329167.db2.gz NVMCBMQZVPCALK-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN CCC(=CC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F)CC ZINC001752867208 1177360237 /nfs/dbraw/zinc/36/02/37/1177360237.db2.gz ZQSPOVCRKZUMGB-CYBMUJFWSA-N 0 1 317.408 3.038 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001691781757 1177425630 /nfs/dbraw/zinc/42/56/30/1177425630.db2.gz CJZGXFZOKGNNQR-RBUKOAKNSA-N 0 1 324.468 3.427 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001691852498 1177505693 /nfs/dbraw/zinc/50/56/93/1177505693.db2.gz HMNWVRCRFSJYDY-SJORKVTESA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccsc2C(F)F)CC1 ZINC001670892337 1177519684 /nfs/dbraw/zinc/51/96/84/1177519684.db2.gz WQIDJMIUCLSMJX-UHFFFAOYSA-N 0 1 320.792 3.290 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C/C=C\c2ccc(C)cc2)CC1 ZINC001670912096 1177526098 /nfs/dbraw/zinc/52/60/98/1177526098.db2.gz TWMVWIKNBDIYJP-ARJAWSKDSA-N 0 1 318.848 3.389 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001671033445 1177575587 /nfs/dbraw/zinc/57/55/87/1177575587.db2.gz OTMAGPZXJSWZPP-VTSXBNNFSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CSCCC)C(C)(C)C ZINC001734558077 1177594594 /nfs/dbraw/zinc/59/45/94/1177594594.db2.gz VCAVABXUSBIJAR-LBPRGKRZSA-N 0 1 306.903 3.003 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCC2CCCCC2)C1 ZINC001691867351 1177785217 /nfs/dbraw/zinc/78/52/17/1177785217.db2.gz RZMRUDFCPDJERO-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(C)nc2C)[C@@H]1C ZINC001691719543 1177884557 /nfs/dbraw/zinc/88/45/57/1177884557.db2.gz HSOZRGHCPKQPOW-AEFFLSMTSA-N 0 1 315.461 3.134 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCCNCc2cc(C)on2)CC1 ZINC001330911550 1178090191 /nfs/dbraw/zinc/09/01/91/1178090191.db2.gz SBZWUBVXOMSBQF-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cccc(C)c1C ZINC001331355264 1178192884 /nfs/dbraw/zinc/19/28/84/1178192884.db2.gz NDOXBABMKQAUGA-KRWDZBQOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001331576241 1178250906 /nfs/dbraw/zinc/25/09/06/1178250906.db2.gz NPJMHYCSURNZOQ-CABCVRRESA-N 0 1 308.853 3.288 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccsc2)[C@@H]1C ZINC001332179221 1178381677 /nfs/dbraw/zinc/38/16/77/1178381677.db2.gz UGTBEMLRRSVMQK-NVXWUHKLSA-N 0 1 318.486 3.411 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001332179221 1178381683 /nfs/dbraw/zinc/38/16/83/1178381683.db2.gz UGTBEMLRRSVMQK-NVXWUHKLSA-N 0 1 318.486 3.411 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(Cl)c(C)c1)C(C)C ZINC001332722631 1178482329 /nfs/dbraw/zinc/48/23/29/1178482329.db2.gz ITGGOZJJEUPLBE-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC/C=C/c1ccccc1)C(C)C ZINC001332731687 1178484966 /nfs/dbraw/zinc/48/49/66/1178484966.db2.gz DIESAPCDTXRFFB-UKTHLTGXSA-N 0 1 312.457 3.282 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C)cc1C)C(C)C ZINC001332740660 1178490020 /nfs/dbraw/zinc/49/00/20/1178490020.db2.gz PURDHUHDSPLPRI-UHFFFAOYSA-N 0 1 300.446 3.109 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cn(-c3ccccc3F)nc2C)C1 ZINC001332984505 1178534076 /nfs/dbraw/zinc/53/40/76/1178534076.db2.gz LIALYCLCYBZFKZ-INIZCTEOSA-N 0 1 315.392 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001333053060 1178547930 /nfs/dbraw/zinc/54/79/30/1178547930.db2.gz DBTUGZZFIKTZCW-RDJZCZTQSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CC(NCc2nc(C)c(C)s2)C1 ZINC001333103587 1178556145 /nfs/dbraw/zinc/55/61/45/1178556145.db2.gz YTKUFGUNXDOEKD-UHFFFAOYSA-N 0 1 321.490 3.051 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001333495377 1178614980 /nfs/dbraw/zinc/61/49/80/1178614980.db2.gz RCAGFJCSNVICNI-SKDZVZGDSA-N 0 1 304.409 3.289 20 30 DGEDMN Cc1oc(C[N@H+](C)Cc2ccc(C3(C#N)CC3)cc2)cc1C(=O)[O-] ZINC001600842773 1192903693 /nfs/dbraw/zinc/90/36/93/1192903693.db2.gz GBAVRGIFQNAXSB-UHFFFAOYSA-N 0 1 324.380 3.473 20 30 DGEDMN CC(N=Nc1ccc(C(C)(C)C)nn1)c1c(F)cncc1F ZINC001334664703 1178778902 /nfs/dbraw/zinc/77/89/02/1178778902.db2.gz ACCVQIDFSAWADB-UHFFFAOYSA-N 0 1 305.332 3.283 20 30 DGEDMN N#CC1(CCCC[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@@H]32)CCC1 ZINC001601054828 1192914459 /nfs/dbraw/zinc/91/44/59/1192914459.db2.gz SUXBECQBKMBPRM-PMPSAXMXSA-N 0 1 304.434 3.426 20 30 DGEDMN N#CC1(CCCC[N@@H+]2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)CCC1 ZINC001601054696 1192914675 /nfs/dbraw/zinc/91/46/75/1192914675.db2.gz QZELYIFFIJYVBM-CQSZACIVSA-N 0 1 318.339 3.190 20 30 DGEDMN N#C[C@H]1CCC[N@@H+]([C@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059584 1192915275 /nfs/dbraw/zinc/91/52/75/1192915275.db2.gz HTPKUFNVRYLEMS-MFKMUULPSA-N 0 1 312.291 3.067 20 30 DGEDMN N#C[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059584 1192915278 /nfs/dbraw/zinc/91/52/78/1192915278.db2.gz HTPKUFNVRYLEMS-MFKMUULPSA-N 0 1 312.291 3.067 20 30 DGEDMN CC(C)(C)c1ccc(NN=Cc2cccc3[nH]c(=O)oc32)nn1 ZINC001335209943 1178870137 /nfs/dbraw/zinc/87/01/37/1178870137.db2.gz WZDLFMCUDKKOLI-UHFFFAOYSA-N 0 1 311.345 3.067 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](C[C@@H]1CCCC[C@H]1C(=O)[O-])C2 ZINC001601077553 1192916864 /nfs/dbraw/zinc/91/68/64/1192916864.db2.gz FSOSCTAGQUEZOA-FUHWJXTLSA-N 0 1 312.413 3.002 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@H]21 ZINC001601086929 1192917321 /nfs/dbraw/zinc/91/73/21/1192917321.db2.gz NONDTDBDQCBRAL-HRCADAONSA-N 0 1 318.804 3.287 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CCSC3(CCC3)CC2)cc1 ZINC001601095277 1192917794 /nfs/dbraw/zinc/91/77/94/1192917794.db2.gz MFXARZWABZOUPG-HNNXBMFYSA-N 0 1 316.426 3.046 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(c3cccc(CC#N)n3)C2)[nH]n1 ZINC001335871590 1178965862 /nfs/dbraw/zinc/96/58/62/1178965862.db2.gz DNXDITQVMYLZGU-AWEZNQCLSA-N 0 1 309.417 3.378 20 30 DGEDMN CCc1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)cc(Cl)n1 ZINC001341931519 1179081903 /nfs/dbraw/zinc/08/19/03/1179081903.db2.gz NGRLJFINNZQGOH-CYBMUJFWSA-N 0 1 314.776 3.194 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(CC(C)C)s2)nc(C)n1 ZINC001341931307 1179082488 /nfs/dbraw/zinc/08/24/88/1179082488.db2.gz LTFVNYZRQGGYKC-GFCCVEGCSA-N 0 1 314.414 3.239 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)c2cnc(CC(C)C)s2)nc(C)n1 ZINC001341931307 1179082497 /nfs/dbraw/zinc/08/24/97/1179082497.db2.gz LTFVNYZRQGGYKC-GFCCVEGCSA-N 0 1 314.414 3.239 20 30 DGEDMN C=C(C)Cn1c([C@H]2CCC[N@H+](C)C2)nnc1N1CCCCCC1 ZINC001342354138 1179133832 /nfs/dbraw/zinc/13/38/32/1179133832.db2.gz FAYKVDPJILHIPQ-INIZCTEOSA-N 0 1 317.481 3.044 20 30 DGEDMN N#CC(C(=O)C=Cc1cccs1)c1nc(N)c2ccccc2n1 ZINC001342521618 1179149009 /nfs/dbraw/zinc/14/90/09/1179149009.db2.gz SGUIXGSJLXIGQK-WSROAFLRSA-N 0 1 320.377 3.163 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC[C@@H]1C ZINC001343295357 1179252886 /nfs/dbraw/zinc/25/28/86/1179252886.db2.gz OKXSNBIECCQLIJ-ZDUSSCGKSA-N 0 1 322.416 3.386 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCCN1Cc1ccccc1)c1cccc(F)n1 ZINC001343330687 1179256922 /nfs/dbraw/zinc/25/69/22/1179256922.db2.gz JFERZTYPRQNGGI-RDJZCZTQSA-N 0 1 323.371 3.062 20 30 DGEDMN N#C[C@@H](C(=O)CCCC(F)(F)F)c1nc(N)c2ccccc2n1 ZINC001343461567 1179278782 /nfs/dbraw/zinc/27/87/82/1179278782.db2.gz CDKZFRDDSCEHTF-JTQLQIEISA-N 0 1 322.290 3.121 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001343581806 1179299847 /nfs/dbraw/zinc/29/98/47/1179299847.db2.gz FVDLERJKJIHPGZ-MPTYRVRUSA-N 0 1 310.397 3.469 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)[C@@]1(c2ccc(F)cc2)CC1(C)C ZINC001343841622 1179336846 /nfs/dbraw/zinc/33/68/46/1179336846.db2.gz GWXCGXBAGNBNIP-KDOFPFPSSA-N 0 1 311.360 3.103 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCCN1c1ccccc1)c1cccc(F)n1 ZINC001344033986 1179367321 /nfs/dbraw/zinc/36/73/21/1179367321.db2.gz FXVHPMSACJNIFT-HOCLYGCPSA-N 0 1 309.344 3.066 20 30 DGEDMN C=CCC1(NC(=O)C[C@@H](N)c2ccccc2OCC)CCCC1 ZINC001344034933 1179367421 /nfs/dbraw/zinc/36/74/21/1179367421.db2.gz ZNYIFLMKJYOSNF-MRXNPFEDSA-N 0 1 316.445 3.480 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSc1ccc(C)cc1C ZINC001344321774 1179412765 /nfs/dbraw/zinc/41/27/65/1179412765.db2.gz BEBVUPIWKIZSTN-CQSZACIVSA-N 0 1 313.426 3.488 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001344322178 1179412855 /nfs/dbraw/zinc/41/28/55/1179412855.db2.gz JJFHWAYIKAURGX-UKRRQHHQSA-N 0 1 313.788 3.444 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccc(F)cc2)CCC1 ZINC001344322499 1179412932 /nfs/dbraw/zinc/41/29/32/1179412932.db2.gz QQFYPWALYJLSTP-HNNXBMFYSA-N 0 1 311.360 3.340 20 30 DGEDMN N#C[C@@H]1CC[C@H](OC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)C1 ZINC001344623458 1179460065 /nfs/dbraw/zinc/46/00/65/1179460065.db2.gz ZBGBFKQCVWNBAU-ABAIWWIYSA-N 0 1 322.368 3.198 20 30 DGEDMN N#CC(C(=O)Cc1ccccc1)=C(O)C1(c2ccccc2)CC1 ZINC001345039188 1179514553 /nfs/dbraw/zinc/51/45/53/1179514553.db2.gz XVPRDBIGBNUGCP-QGZVFWFLSA-N 0 1 303.361 3.239 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1ccc(F)cc1F ZINC001345643372 1179585551 /nfs/dbraw/zinc/58/55/51/1179585551.db2.gz QEDDEZIDCJDWOT-GFCCVEGCSA-N 0 1 317.339 3.335 20 30 DGEDMN Fc1ccc(CNCCOC(F)(F)C(F)(F)F)c(F)c1F ZINC001621055263 1192979039 /nfs/dbraw/zinc/97/90/39/1192979039.db2.gz SKHVMERLGVLWMQ-UHFFFAOYSA-N 0 1 323.183 3.365 20 30 DGEDMN Cc1ccoc1C(=O)C(C#N)c1nccn1Cc1ccccc1 ZINC001347063130 1179773654 /nfs/dbraw/zinc/77/36/54/1179773654.db2.gz HXHAWEAQKAYLNT-HNNXBMFYSA-N 0 1 305.337 3.323 20 30 DGEDMN C=CCNC(=O)[C@H](C#N)C(=O)C=Cc1cc(Cl)cc(Cl)c1 ZINC001347064020 1179773806 /nfs/dbraw/zinc/77/38/06/1179773806.db2.gz PQTLJSSXUBHETQ-ITDFMYJTSA-N 0 1 323.179 3.018 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C(C)(C)c1ccc(F)cc1 ZINC001347339203 1179798363 /nfs/dbraw/zinc/79/83/63/1179798363.db2.gz WKIQJXYWGOFQLP-GFCCVEGCSA-N 0 1 319.767 3.367 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccc(OC)cc2)CCC1 ZINC001349701247 1179935063 /nfs/dbraw/zinc/93/50/63/1179935063.db2.gz BAPZCLUFOAXQKJ-MRXNPFEDSA-N 0 1 323.396 3.210 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CCCc2ccccc21 ZINC001349702403 1179935107 /nfs/dbraw/zinc/93/51/07/1179935107.db2.gz OWODSUKKWBPYLM-KBPBESRZSA-N 0 1 313.788 3.370 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cncc(-c3ccccc3)c2)CC1 ZINC001349770597 1179947513 /nfs/dbraw/zinc/94/75/13/1179947513.db2.gz WLJZOSDIMNRERL-UHFFFAOYSA-N 0 1 320.392 3.003 20 30 DGEDMN C=CC1CC[NH+](Cc2oc(Br)cc2C(=O)[O-])CC1 ZINC001602829198 1192998737 /nfs/dbraw/zinc/99/87/37/1192998737.db2.gz INAVJEKXMVTQMN-UHFFFAOYSA-N 0 1 314.179 3.138 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC001602836154 1192999348 /nfs/dbraw/zinc/99/93/48/1192999348.db2.gz HHXIIWZTORDMAH-GFCCVEGCSA-N 0 1 300.185 3.381 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](Cc1c(O)c(C(=O)[O-])cc2ccccc21)C1CC1 ZINC001603129743 1193008448 /nfs/dbraw/zinc/00/84/48/1193008448.db2.gz MAMQQKUJTFAFIV-LBPRGKRZSA-N 0 1 324.380 3.368 20 30 DGEDMN CCCCCCCCCCC(=O)N[C@@H]1CC[N@@H+](CC)C[C@H]1O ZINC001100083185 1180365595 /nfs/dbraw/zinc/36/55/95/1180365595.db2.gz OIQPGCLSWYTFDQ-IAGOWNOFSA-N 0 1 312.498 3.089 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCC[N@H+]1CCc1ccns1 ZINC001480325194 1180370159 /nfs/dbraw/zinc/37/01/59/1180370159.db2.gz VNRLAMYEKZEFTG-OAHLLOKOSA-N 0 1 321.490 3.013 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1CCc1ccns1 ZINC001480325194 1180370164 /nfs/dbraw/zinc/37/01/64/1180370164.db2.gz VNRLAMYEKZEFTG-OAHLLOKOSA-N 0 1 321.490 3.013 20 30 DGEDMN CCCCCCCCCCNC(=S)N1C[C@@H]2C[C@H]1CN2C ZINC001200216907 1180457465 /nfs/dbraw/zinc/45/74/65/1180457465.db2.gz LGRIPDRFFRBHTI-HOTGVXAUSA-N 0 1 311.539 3.390 20 30 DGEDMN C=CCCCCCN(C)C(=O)[C@@H](N)Cc1ccc2cc[nH]c2c1 ZINC001353349155 1180534512 /nfs/dbraw/zinc/53/45/12/1180534512.db2.gz CGLXVYGADYHORN-KRWDZBQOSA-N 0 1 313.445 3.243 20 30 DGEDMN COC(=O)c1sccc1CN=Nc1ccc(C(C)(C)C)nn1 ZINC001353975122 1180697982 /nfs/dbraw/zinc/69/79/82/1180697982.db2.gz KAVSLBLSVSJBOX-UHFFFAOYSA-N 0 1 318.402 3.068 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001300477298 1180702127 /nfs/dbraw/zinc/70/21/27/1180702127.db2.gz FWALGZGFYUMIBH-HNNXBMFYSA-N 0 1 302.418 3.304 20 30 DGEDMN CC[C@@H]([NH2+]C[C@H]1CCCN(CC)C1)c1cccc(C#N)c1[O-] ZINC001354203687 1180743374 /nfs/dbraw/zinc/74/33/74/1180743374.db2.gz DOPJGLNCXFKJEH-RHSMWYFYSA-N 0 1 301.434 3.036 20 30 DGEDMN CC[C@@H](NCc1cccc(OCC#N)c1)c1cccc(OC)n1 ZINC001354229710 1180752743 /nfs/dbraw/zinc/75/27/43/1180752743.db2.gz SZVVZESELMNDAW-MRXNPFEDSA-N 0 1 311.385 3.233 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NCc2csc(CC)n2)C1 ZINC001100624414 1180860754 /nfs/dbraw/zinc/86/07/54/1180860754.db2.gz KBZCHTIUPKNHLL-UHFFFAOYSA-N 0 1 321.490 3.046 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CC(NC/C(Cl)=C/Cl)C2)C1 ZINC001100661544 1180904684 /nfs/dbraw/zinc/90/46/84/1180904684.db2.gz ZUKKKGCMYWVDSC-GHXNOFRVSA-N 0 1 317.260 3.146 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@H]1CCCC1(F)F ZINC001356220989 1181159610 /nfs/dbraw/zinc/15/96/10/1181159610.db2.gz NDUHJNISWIFNPF-OLZOCXBDSA-N 0 1 314.376 3.217 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2cc(C)nc(C)n2)cc1C ZINC001356238978 1181161984 /nfs/dbraw/zinc/16/19/84/1181161984.db2.gz NBZZZKKYMYQMRZ-MRXNPFEDSA-N 0 1 307.353 3.095 20 30 DGEDMN N#C[C@@H]1CC[C@@H](OC(=O)c2cccc3nc(C(F)F)[nH]c32)C1 ZINC001358087022 1181454891 /nfs/dbraw/zinc/45/48/91/1181454891.db2.gz FHEXYRMOMGBZJY-RKDXNWHRSA-N 0 1 305.284 3.350 20 30 DGEDMN Cc1ccc(C(=O)NCCN(C)Cc2cccc(C#N)c2)cc1C ZINC001492838954 1181717941 /nfs/dbraw/zinc/71/79/41/1181717941.db2.gz AWSMIWFAKMBJAR-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN Cc1c(C(=O)Nc2cc(C#N)c(F)cc2F)ccc2cncn21 ZINC001438608119 1181975340 /nfs/dbraw/zinc/97/53/40/1181975340.db2.gz BWDBUTLODFOEKY-UHFFFAOYSA-N 0 1 312.279 3.045 20 30 DGEDMN N#Cc1ccc(C2(NC(=O)c3cccc4nc[nH]c43)CCC2)cc1 ZINC001452451820 1182288899 /nfs/dbraw/zinc/28/88/99/1182288899.db2.gz JDZMWIJIKAUZAN-UHFFFAOYSA-N 0 1 316.364 3.244 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458040610 1182491307 /nfs/dbraw/zinc/49/13/07/1182491307.db2.gz DBOWDPUFZHIRPR-TZMCWYRMSA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001458049680 1182492132 /nfs/dbraw/zinc/49/21/32/1182492132.db2.gz QZWNZUGXMAXABB-AULYBMBSSA-N 0 1 309.373 3.108 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C3CCC=CCC3)n2)c1 ZINC001458050021 1182492225 /nfs/dbraw/zinc/49/22/25/1182492225.db2.gz RUAHNQRQIMKYSV-UHFFFAOYSA-N 0 1 307.357 3.028 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1sccc1C#N)c1ccc(F)cc1 ZINC001459800376 1182618022 /nfs/dbraw/zinc/61/80/22/1182618022.db2.gz ZKKAMRPUWQSLQF-CQSZACIVSA-N 0 1 317.389 3.438 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(F)cc2C#N)CCN1Cc1ccccc1 ZINC001460238943 1182666790 /nfs/dbraw/zinc/66/67/90/1182666790.db2.gz GNWXRLUEIFIRCX-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN CCC#C[C@H](C)N1CCN(c2ccc(Br)cc2)CC1 ZINC001460358749 1182682187 /nfs/dbraw/zinc/68/21/87/1182682187.db2.gz YLZLYUOLBCOUIL-AWEZNQCLSA-N 0 1 321.262 3.373 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCC(C)(F)CC1 ZINC001460552680 1182694372 /nfs/dbraw/zinc/69/43/72/1182694372.db2.gz GHLSAORRVIPBDL-SWKXRBFHSA-N 0 1 323.456 3.130 20 30 DGEDMN Cc1csc(C[C@H]2CCCN(Cc3cc(C#N)ccn3)C2)n1 ZINC001460790010 1182723000 /nfs/dbraw/zinc/72/30/00/1182723000.db2.gz DQOWIWZHOJZUDB-OAHLLOKOSA-N 0 1 312.442 3.173 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1C[C@@H](OC)C1(C)C ZINC001461267481 1182789897 /nfs/dbraw/zinc/78/98/97/1182789897.db2.gz VHPHJLWBCDPAGC-JKSUJKDBSA-N 0 1 307.821 3.255 20 30 DGEDMN C#Cc1cccc(CNCc2ccc(Br)cn2)c1 ZINC001461582385 1182831934 /nfs/dbraw/zinc/83/19/34/1182831934.db2.gz QYETYVMWHZUVSS-UHFFFAOYSA-N 0 1 301.187 3.115 20 30 DGEDMN C[N@@H+](Cc1cc(F)cc(F)c1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001606147146 1193191416 /nfs/dbraw/zinc/19/14/16/1193191416.db2.gz NEFYKPZRDNZBCO-INIZCTEOSA-N 0 1 316.307 3.094 20 30 DGEDMN C=CCCCCCCNS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC001463674629 1183040392 /nfs/dbraw/zinc/04/03/92/1183040392.db2.gz POVHQHIDLRSQEV-UHFFFAOYSA-N 0 1 321.446 3.286 20 30 DGEDMN C=C(CC(C)C)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC001464643242 1183105374 /nfs/dbraw/zinc/10/53/74/1183105374.db2.gz UTHDMYNOXIDABS-INIZCTEOSA-N 0 1 300.446 3.152 20 30 DGEDMN Cc1ccc(Oc2ccc(C(=O)N=C3C[C@@H](C)ON3)nc2)cc1 ZINC001466618047 1183214053 /nfs/dbraw/zinc/21/40/53/1183214053.db2.gz HSTBPJCMBBXEIF-GFCCVEGCSA-N 0 1 311.341 3.034 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC001468099102 1183310668 /nfs/dbraw/zinc/31/06/68/1183310668.db2.gz KYXXIASRSYFTHP-MRXNPFEDSA-N 0 1 321.440 3.472 20 30 DGEDMN C=CCCCCCCCNC(=O)c1cccc2nc(CO)[nH]c21 ZINC001468699860 1183352877 /nfs/dbraw/zinc/35/28/77/1183352877.db2.gz BQAIVLFSMPWXQQ-UHFFFAOYSA-N 0 1 315.417 3.312 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NC(=O)c1cccc2nc[nH]c21 ZINC001469107844 1183383796 /nfs/dbraw/zinc/38/37/96/1183383796.db2.gz POFWYCFMURYMMC-MRXNPFEDSA-N 0 1 316.364 3.242 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001469519156 1183414935 /nfs/dbraw/zinc/41/49/35/1183414935.db2.gz JXHBBSKRBRYDOS-LBPRGKRZSA-N 0 1 313.788 3.216 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN([C@H](C)CC)CC1 ZINC001470786484 1183514175 /nfs/dbraw/zinc/51/41/75/1183514175.db2.gz JDAVQEQRHZODHT-BRWVUGGUSA-N 0 1 307.482 3.247 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(F)cc1O)c1ccc(Cl)cc1 ZINC001472575092 1183653205 /nfs/dbraw/zinc/65/32/05/1183653205.db2.gz HAHFSHACINSXRV-CQSZACIVSA-N 0 1 303.720 3.289 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635365 1183659044 /nfs/dbraw/zinc/65/90/44/1183659044.db2.gz JMTMWBMUKXYMSY-LLVKDONJSA-N 0 1 311.389 3.354 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC001607566586 1193237384 /nfs/dbraw/zinc/23/73/84/1193237384.db2.gz YLGCKYWXRQFPAS-UHFFFAOYSA-N 0 1 324.355 3.262 20 30 DGEDMN C=CCN(CCc1ccc(C(N)=O)cc1)Cc1ccccc1F ZINC001473118607 1183717241 /nfs/dbraw/zinc/71/72/41/1183717241.db2.gz NNWSIFZORDMIKG-UHFFFAOYSA-N 0 1 312.388 3.155 20 30 DGEDMN CCOc1ccc2c(c1)C[N@H+](C[C@H](O)c1cccc(C#N)c1)CC2 ZINC001473124617 1183718647 /nfs/dbraw/zinc/71/86/47/1183718647.db2.gz QOEAFYNVOYCGAQ-FQEVSTJZSA-N 0 1 322.408 3.049 20 30 DGEDMN CCOc1ccc2c(c1)CN(C[C@H](O)c1cccc(C#N)c1)CC2 ZINC001473124617 1183718648 /nfs/dbraw/zinc/71/86/48/1183718648.db2.gz QOEAFYNVOYCGAQ-FQEVSTJZSA-N 0 1 322.408 3.049 20 30 DGEDMN CN(CCc1ccc2c(c1)OC(F)(F)O2)[C@@H]1CCC[C@H]1C#N ZINC001473707118 1183791257 /nfs/dbraw/zinc/79/12/57/1183791257.db2.gz HNMWUELNQWXUOS-QWHCGFSZSA-N 0 1 308.328 3.175 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCO[C@@H](C(C)(C)C)CC1 ZINC001473898241 1183822657 /nfs/dbraw/zinc/82/26/57/1183822657.db2.gz XIIUGNWBSKJGMY-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H](CO)C[C@H](C)CC ZINC001474189020 1183880605 /nfs/dbraw/zinc/88/06/05/1183880605.db2.gz LAKXFBGMMAANJI-ZIAGYGMSSA-N 0 1 309.837 3.239 20 30 DGEDMN COc1ccc(CNCc2ccc(SC)c(C#N)c2)cc1C#N ZINC001474266082 1183891798 /nfs/dbraw/zinc/89/17/98/1183891798.db2.gz LTZOVEWVHADFSA-UHFFFAOYSA-N 0 1 323.421 3.450 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]c(=O)c3ccccc23)c(F)c1 ZINC001474273044 1183892853 /nfs/dbraw/zinc/89/28/53/1183892853.db2.gz GCEJEEYUDOTHHA-UHFFFAOYSA-N 0 1 307.328 3.241 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H](C)[C@@H](OC)C1 ZINC001474449114 1183923971 /nfs/dbraw/zinc/92/39/71/1183923971.db2.gz RMTKNNRNDHWECD-GUYCJALGSA-N 0 1 307.821 3.209 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@@H](NCc1c(Cl)ccnc1F)C2 ZINC001474677745 1183960755 /nfs/dbraw/zinc/96/07/55/1183960755.db2.gz VJYVYPCAFPJKNA-ZDUSSCGKSA-N 0 1 301.752 3.003 20 30 DGEDMN CC[C@H](CNCc1cccc(F)c1C#N)C(=O)OC(C)(C)C ZINC001474715838 1183967829 /nfs/dbraw/zinc/96/78/29/1183967829.db2.gz SMXNAOJSSQQXKE-GFCCVEGCSA-N 0 1 306.381 3.155 20 30 DGEDMN C[C@H](CNCc1cccc(F)c1C#N)c1ccccc1[N+](=O)[O-] ZINC001474727803 1183969132 /nfs/dbraw/zinc/96/91/32/1183969132.db2.gz LZOXFKNPXLRIIT-GFCCVEGCSA-N 0 1 313.332 3.499 20 30 DGEDMN Cc1ccc(N[NH+]=Cc2ccc(N3CCCC3)nc2)cc1C(=O)[O-] ZINC001607808597 1193259310 /nfs/dbraw/zinc/25/93/10/1193259310.db2.gz UQXYDZIGDMSKRV-UHFFFAOYSA-N 0 1 324.384 3.134 20 30 DGEDMN Cc1sc([C@H]2CCC[N@H+]2CCC(C)(C)C#N)nc1C(=O)[O-] ZINC001608068626 1193277373 /nfs/dbraw/zinc/27/73/73/1193277373.db2.gz OZCDNUIMHULRQA-LLVKDONJSA-N 0 1 307.419 3.227 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001479736098 1184306850 /nfs/dbraw/zinc/30/68/50/1184306850.db2.gz RDHGTPQAXHDWQV-AQWUKCDYSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2ccccc2[nH]1 ZINC001479740098 1184310198 /nfs/dbraw/zinc/31/01/98/1184310198.db2.gz YJYJYVYDFOIUDA-KRWDZBQOSA-N 0 1 323.440 3.118 20 30 DGEDMN C[C@@H](C(=O)NCCCN(CC#Cc1ccccc1)C1CC1)C1CC1 ZINC001479867387 1184356851 /nfs/dbraw/zinc/35/68/51/1184356851.db2.gz MXCUMOZAWLAZPQ-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN N#CCc1cccc2c1CC[N@@H+](Cc1ccc(/C=C\C(=O)[O-])o1)C2 ZINC001608189082 1193282512 /nfs/dbraw/zinc/28/25/12/1193282512.db2.gz AILBIDODANDBRE-SREVYHEPSA-N 0 1 322.364 3.002 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(/C=C\C(=O)[O-])o1)C2 ZINC001608189082 1193282513 /nfs/dbraw/zinc/28/25/13/1193282513.db2.gz AILBIDODANDBRE-SREVYHEPSA-N 0 1 322.364 3.002 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@H+](CC(=O)[O-])C1CCCCC1 ZINC001608196974 1193282530 /nfs/dbraw/zinc/28/25/30/1193282530.db2.gz MXALQIWNWHZOAB-UHFFFAOYSA-N 0 1 306.793 3.431 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc(/C=C\C(=O)[O-])o2)C2CC2)cc1 ZINC001608205304 1193283262 /nfs/dbraw/zinc/28/32/62/1193283262.db2.gz ZUYQHHAJNTXMCM-KTKRTIGZSA-N 0 1 322.364 3.414 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC001479951533 1184387773 /nfs/dbraw/zinc/38/77/73/1184387773.db2.gz RHMOQQIYBWYNEH-RHSMWYFYSA-N 0 1 318.848 3.395 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001479964963 1184394529 /nfs/dbraw/zinc/39/45/29/1184394529.db2.gz GUBUQETVKJZPFO-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CC3CC2(C(=O)[O-])C3)cc1 ZINC001608221350 1193284608 /nfs/dbraw/zinc/28/46/08/1193284608.db2.gz SPNOPTCDTRTHAT-UHFFFAOYSA-N 0 1 318.376 3.274 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@@H](F)C2)CC1 ZINC001479994007 1184406384 /nfs/dbraw/zinc/40/63/84/1184406384.db2.gz ZPDOVADFWGTFGW-IAGOWNOFSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cc(C)co2)C1 ZINC001480082897 1184428855 /nfs/dbraw/zinc/42/88/55/1184428855.db2.gz WINGOBOUCVERGA-CQSZACIVSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1 ZINC001480091564 1184432947 /nfs/dbraw/zinc/43/29/47/1184432947.db2.gz SVCKYAVGPVJMET-CALCHBBNSA-N 0 1 302.418 3.315 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)COC ZINC001480096638 1184434280 /nfs/dbraw/zinc/43/42/80/1184434280.db2.gz DNKXINNETKQRKF-CALCHBBNSA-N 0 1 324.509 3.305 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001480154945 1184446408 /nfs/dbraw/zinc/44/64/08/1184446408.db2.gz DCWWMWYYKMVQFX-HWHJPOBUSA-N 0 1 305.249 3.145 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001480204742 1184459758 /nfs/dbraw/zinc/45/97/58/1184459758.db2.gz AKJBFVWSWNJRGJ-IRBPBCMDSA-N 0 1 317.260 3.311 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1sc(C)nc1C ZINC001480312916 1184488309 /nfs/dbraw/zinc/48/83/09/1184488309.db2.gz PXWRYGKWFYWFJI-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)[C@@H](F)Cc1ccccc1 ZINC001480331983 1184496056 /nfs/dbraw/zinc/49/60/56/1184496056.db2.gz OZYZUUBHEDRAHU-ROUUACIJSA-N 0 1 318.436 3.114 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001480335240 1184497003 /nfs/dbraw/zinc/49/70/03/1184497003.db2.gz PBXAHSZEQPGQNC-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](C)[C@H]1CCCN(CC(=C)Cl)C1 ZINC001480382806 1184516873 /nfs/dbraw/zinc/51/68/73/1184516873.db2.gz MTRJWIASLCBZEX-UVIRXAIJSA-N 0 1 310.869 3.478 20 30 DGEDMN C=CCCC(=O)NC1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001480400720 1184522818 /nfs/dbraw/zinc/52/28/18/1184522818.db2.gz VPCVPQCFJLHCCI-WLYUNCDWSA-N 0 1 305.422 3.027 20 30 DGEDMN CCC(C)(C)CC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001480465288 1184532881 /nfs/dbraw/zinc/53/28/81/1184532881.db2.gz GBADQBPMSKKBTA-OAHLLOKOSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cc(F)ccc1Cl ZINC001480469539 1184534168 /nfs/dbraw/zinc/53/41/68/1184534168.db2.gz STYPKZHTWRBFGB-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)C(C)(C)CC(C)C ZINC001480568213 1184548968 /nfs/dbraw/zinc/54/89/68/1184548968.db2.gz XKOPDMPTRHDXSR-LBPRGKRZSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2cccc(Cl)n2)C1 ZINC001480721008 1184588264 /nfs/dbraw/zinc/58/82/64/1184588264.db2.gz ZDTZTTUVZRCTGB-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001480732670 1184592023 /nfs/dbraw/zinc/59/20/23/1184592023.db2.gz FWBRRAPTTFNIQF-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001493128143 1184655379 /nfs/dbraw/zinc/65/53/79/1184655379.db2.gz DHIMGWHOWONSFQ-OLZOCXBDSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001493188879 1184665488 /nfs/dbraw/zinc/66/54/88/1184665488.db2.gz ZVZTYBNWHBUICR-UONOGXRCSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001493588872 1184718872 /nfs/dbraw/zinc/71/88/72/1184718872.db2.gz BMGRQWDMFLCXQK-ARJAWSKDSA-N 0 1 310.800 3.030 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@@H](C)NCc2cscn2)CC1 ZINC001493838531 1184746287 /nfs/dbraw/zinc/74/62/87/1184746287.db2.gz GBCHCDXTDRDGJT-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CC[C@@H](C)C1)C(C)C ZINC001493870640 1184753410 /nfs/dbraw/zinc/75/34/10/1184753410.db2.gz AWCZOOPHFZITND-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccccc1CC)c1ccccc1 ZINC001493895818 1184760731 /nfs/dbraw/zinc/76/07/31/1184760731.db2.gz HUPFMNANMDBFMD-FQEVSTJZSA-N 0 1 320.436 3.333 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(C)CCCCC1)c1ccccc1 ZINC001493895125 1184761200 /nfs/dbraw/zinc/76/12/00/1184761200.db2.gz DPAQLONFILBOTL-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001493965177 1184778391 /nfs/dbraw/zinc/77/83/91/1184778391.db2.gz RECLTYONCRRQFO-ZJPYXAASSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ncoc2C2CC2)C1 ZINC001493973045 1184779751 /nfs/dbraw/zinc/77/97/51/1184779751.db2.gz YMTAGHKKICNHET-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)[C@H](C=C)c2ccccc2)C1 ZINC001493977558 1184781501 /nfs/dbraw/zinc/78/15/01/1184781501.db2.gz NKKJSFZBDMQYSI-RBUKOAKNSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C=C)c2ccccc2)C1 ZINC001493977558 1184781503 /nfs/dbraw/zinc/78/15/03/1184781503.db2.gz NKKJSFZBDMQYSI-RBUKOAKNSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCCCC(=O)NCC[C@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC001494041501 1184796855 /nfs/dbraw/zinc/79/68/55/1184796855.db2.gz ACVSSGHVKLBUSA-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@@H](C)c2ccco2)C1 ZINC001494172322 1184824214 /nfs/dbraw/zinc/82/42/14/1184824214.db2.gz ORPPUERRVMWVAV-HIFRSBDPSA-N 0 1 324.852 3.306 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001494286953 1184845888 /nfs/dbraw/zinc/84/58/88/1184845888.db2.gz XRCKQTDKFLQEBO-DOTOQJQBSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc3c(C)cccn3c2)C1 ZINC001494478795 1184875063 /nfs/dbraw/zinc/87/50/63/1184875063.db2.gz ISOQHOXTPICUMR-HNNXBMFYSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(c3ccccc3F)CC2)C1 ZINC001494476552 1184875119 /nfs/dbraw/zinc/87/51/19/1184875119.db2.gz MRBHGIXWIKZGLQ-AWEZNQCLSA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001494568411 1184886123 /nfs/dbraw/zinc/88/61/23/1184886123.db2.gz PAOIPDKDNXBSMI-UHFFFAOYSA-N 0 1 312.457 3.194 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1 ZINC001494656442 1184900056 /nfs/dbraw/zinc/90/00/56/1184900056.db2.gz SHIDAFPZFBRQJT-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001494726699 1184915264 /nfs/dbraw/zinc/91/52/64/1184915264.db2.gz UUQJUOPPUUOTDQ-HNNXBMFYSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2ccc(OC)cc2)C1 ZINC001494826411 1184935915 /nfs/dbraw/zinc/93/59/15/1184935915.db2.gz YDNMYKHFCHZEDT-QGZVFWFLSA-N 0 1 316.445 3.084 20 30 DGEDMN CC[C@H](CNC(=O)CCC(C)C)NCc1cc(F)ccc1C#N ZINC001494936802 1184967310 /nfs/dbraw/zinc/96/73/10/1184967310.db2.gz DMZWKUGFROBLAZ-QGZVFWFLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NCc1nc(C)cs1 ZINC001495062979 1184990272 /nfs/dbraw/zinc/99/02/72/1184990272.db2.gz FTOGXNAHWXXQRL-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2c(c1)CCC2 ZINC001495104493 1184996927 /nfs/dbraw/zinc/99/69/27/1184996927.db2.gz ZQLKNOPVWSZZFZ-MRXNPFEDSA-N 0 1 306.837 3.026 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2cc(C3CC3)no2)CCCC1 ZINC001495134161 1185002628 /nfs/dbraw/zinc/00/26/28/1185002628.db2.gz FQNGERNDUOGSAK-UHFFFAOYSA-N 0 1 317.433 3.037 20 30 DGEDMN C#CCCC[N@H+](CCC(=O)[O-])Cc1cccc(Br)c1 ZINC001609106652 1193324841 /nfs/dbraw/zinc/32/48/41/1193324841.db2.gz IGMRNKDDJGYKIA-UHFFFAOYSA-N 0 1 324.218 3.139 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CCNC/C(Cl)=C/Cl)C1 ZINC001495344809 1185037056 /nfs/dbraw/zinc/03/70/56/1185037056.db2.gz SVJRTLNMRQTDKL-JFBXQDQPSA-N 0 1 319.276 3.490 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc(CNCC(=C)Cl)cc1 ZINC001495492089 1185063852 /nfs/dbraw/zinc/06/38/52/1185063852.db2.gz OZCSBAIAPOUJCY-UHFFFAOYSA-N 0 1 306.837 3.357 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](CC)SC)CC1 ZINC001495512439 1185067021 /nfs/dbraw/zinc/06/70/21/1185067021.db2.gz MMMMTGGUAPYFPQ-AWEZNQCLSA-N 0 1 318.914 3.099 20 30 DGEDMN C=CCC1(C(=O)N2CCC3(CN(C[C@H](F)CC)C3)CC2)CCC1 ZINC001495978963 1185121050 /nfs/dbraw/zinc/12/10/50/1185121050.db2.gz TXDTUVSAZXCQQM-MRXNPFEDSA-N 0 1 322.468 3.405 20 30 DGEDMN CCC1(C(=O)N(C)[C@H](C)CNCc2ccccc2C#N)CCC1 ZINC001496136122 1185138778 /nfs/dbraw/zinc/13/87/78/1185138778.db2.gz DQYVVONLDCJJGT-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2ccc(F)cc2F)C1 ZINC001496152157 1185140614 /nfs/dbraw/zinc/14/06/14/1185140614.db2.gz NLYMBCWHEUVWKB-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001496297188 1185162417 /nfs/dbraw/zinc/16/24/17/1185162417.db2.gz UQRRKYVVBUSZKL-OWCLPIDISA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccncc1)C1CCCCC1 ZINC001496304713 1185163627 /nfs/dbraw/zinc/16/36/27/1185163627.db2.gz BLYUDMMDLYVBDO-MRXNPFEDSA-N 0 1 321.852 3.102 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(-c2ccccc2)cc1 ZINC001496827334 1185255262 /nfs/dbraw/zinc/25/52/62/1185255262.db2.gz MYFMLXDXXSFAFR-UHFFFAOYSA-N 0 1 320.436 3.381 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1ncc(C2CC2)o1 ZINC001497242459 1185301898 /nfs/dbraw/zinc/30/18/98/1185301898.db2.gz XYWBIYMESDTCAW-CYBMUJFWSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(CC)CCNCc1ccccc1C#N ZINC001497433707 1185331783 /nfs/dbraw/zinc/33/17/83/1185331783.db2.gz CFUJPMZMBUFISW-MHWRWJLKSA-N 0 1 311.429 3.019 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001497452229 1185335817 /nfs/dbraw/zinc/33/58/17/1185335817.db2.gz FOAKJAKXHMGNMH-GFCCVEGCSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001497686890 1185376546 /nfs/dbraw/zinc/37/65/46/1185376546.db2.gz WLUUYILNHAVPPR-OZSKJFCKSA-N 0 1 320.864 3.441 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001497821513 1185398187 /nfs/dbraw/zinc/39/81/87/1185398187.db2.gz HTAJJKBCEFJIPH-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN Cc1ccc(C(=O)N[C@H](C)CNCc2ccccc2C#N)c(C)c1 ZINC001497976612 1185417816 /nfs/dbraw/zinc/41/78/16/1185417816.db2.gz WJNZEVWGFACREA-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001498033705 1185427376 /nfs/dbraw/zinc/42/73/76/1185427376.db2.gz XYTRQJNWXDDAHW-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@H]([NH2+]Cc1ccc(C#N)c(Cl)c1)c1ncc(C(=O)[O-])s1 ZINC001609776966 1193355784 /nfs/dbraw/zinc/35/57/84/1193355784.db2.gz CKVOMDUGKSFFRJ-QMMMGPOBSA-N 0 1 321.789 3.217 20 30 DGEDMN C[C@H]([NH2+]Cc1ccc(C#N)c(Cl)c1)c1cc(C(=O)[O-])co1 ZINC001609782445 1193356942 /nfs/dbraw/zinc/35/69/42/1193356942.db2.gz ZCIPDTHXLMKPLX-VIFPVBQESA-N 0 1 304.733 3.354 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1ccc(C)c(Cl)c1 ZINC001498347489 1185475188 /nfs/dbraw/zinc/47/51/88/1185475188.db2.gz ICTCRCUKKQXQKU-HNNXBMFYSA-N 0 1 320.864 3.041 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)Cc2cnccc2C)CC1 ZINC001498375584 1185481136 /nfs/dbraw/zinc/48/11/36/1185481136.db2.gz MCLQCEIOWAGNKN-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001498377759 1185482258 /nfs/dbraw/zinc/48/22/58/1185482258.db2.gz BJKFDWFHONKPDG-DLBZAZTESA-N 0 1 314.473 3.202 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccccc1-c1ccccc1 ZINC001498443839 1185495958 /nfs/dbraw/zinc/49/59/58/1185495958.db2.gz IOWDBCQCHILZLW-INIZCTEOSA-N 0 1 306.409 3.037 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@H]1CNCc1ncc(C)o1 ZINC001498491652 1185507856 /nfs/dbraw/zinc/50/78/56/1185507856.db2.gz QROYOLVSLLPMQF-HOTGVXAUSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c(C)oc(C)c1C)C1CC1 ZINC001498787093 1185546547 /nfs/dbraw/zinc/54/65/47/1185546547.db2.gz ACZKEQPZYDWQNB-CQSZACIVSA-N 0 1 310.825 3.055 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(Cl)cc1)C1CC1 ZINC001498864079 1185557276 /nfs/dbraw/zinc/55/72/76/1185557276.db2.gz YMJJLUFAAHFMHF-CQSZACIVSA-N 0 1 313.228 3.191 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1csc(CC(C)C)n1 ZINC001499135680 1185619012 /nfs/dbraw/zinc/61/90/12/1185619012.db2.gz CWLPTDDDERRWCR-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2CCC(C3CC3)CC2)CC1 ZINC001499434505 1185650031 /nfs/dbraw/zinc/65/00/31/1185650031.db2.gz NJNFVDSRVOSXTF-UHFFFAOYSA-N 0 1 310.869 3.194 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)CCC(F)(F)F)C1 ZINC001499650697 1185682367 /nfs/dbraw/zinc/68/23/67/1185682367.db2.gz WLBKFAGPGXJHJN-UHFFFAOYSA-N 0 1 308.388 3.442 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCC[C@@H](OC)C2)C1 ZINC001499737744 1185690299 /nfs/dbraw/zinc/69/02/99/1185690299.db2.gz UGKXNZIGHQWVJV-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)ccc2C)C1 ZINC001499777272 1185694925 /nfs/dbraw/zinc/69/49/25/1185694925.db2.gz ZSOFEXLAVRWCAS-INIZCTEOSA-N 0 1 300.446 3.414 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(CCC(C)C)C2)CCCCC1 ZINC001499804031 1185697556 /nfs/dbraw/zinc/69/75/56/1185697556.db2.gz BQPGSGPSGRUKBX-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001499898061 1185713535 /nfs/dbraw/zinc/71/35/35/1185713535.db2.gz YIOHKXWQNAWHSX-FSBNRTBOSA-N 0 1 305.249 3.242 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1ncc(C)s1)C1CCCC1 ZINC001500075187 1185738466 /nfs/dbraw/zinc/73/84/66/1185738466.db2.gz JZEHIMRZZLIREN-OAHLLOKOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1ncc(C)s1)C1CCCC1 ZINC001500075186 1185738701 /nfs/dbraw/zinc/73/87/01/1185738701.db2.gz JZEHIMRZZLIREN-HNNXBMFYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C=C(CC)CC)C1 ZINC001500610664 1185828172 /nfs/dbraw/zinc/82/81/72/1185828172.db2.gz DRQZRVXRJXDTAV-HNNXBMFYSA-N 0 1 314.420 3.382 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C[C@H](F)CC ZINC001501399276 1185900102 /nfs/dbraw/zinc/90/01/02/1185900102.db2.gz DVKAAAHBBIMSSG-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001501422437 1185902221 /nfs/dbraw/zinc/90/22/21/1185902221.db2.gz PNIATUXCGOGZIP-ZNROSVKFSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)/C=C/c1ccco1 ZINC001501859282 1185931945 /nfs/dbraw/zinc/93/19/45/1185931945.db2.gz AOFKWXVXXDNFMA-HSBSLETESA-N 0 1 308.809 3.016 20 30 DGEDMN CN(CCCN(C)C(=O)C(C)(C)C)Cc1ccc(C#N)cc1F ZINC001502392626 1185979133 /nfs/dbraw/zinc/97/91/33/1185979133.db2.gz OMFROAGEPWPIHN-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC001502986297 1186034177 /nfs/dbraw/zinc/03/41/77/1186034177.db2.gz KJPROGHZMUQWDN-KGLIPLIRSA-N 0 1 321.490 3.215 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)C(C)C ZINC001503690018 1186050703 /nfs/dbraw/zinc/05/07/03/1186050703.db2.gz CUWAPPHWCYPFFW-VQIMIIECSA-N 0 1 312.457 3.017 20 30 DGEDMN C=CCCCC(=O)N1CCC2(CC1)CN(CC=C(C)C)CCO2 ZINC001503736571 1186052186 /nfs/dbraw/zinc/05/21/86/1186052186.db2.gz XHPFTDHZFGZRKV-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](CC)CCCC ZINC001505412987 1186071114 /nfs/dbraw/zinc/07/11/14/1186071114.db2.gz VBDGWENALBIHQY-KFKAGJAMSA-N 0 1 312.457 3.208 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@@H](F)CC ZINC001505414724 1186071347 /nfs/dbraw/zinc/07/13/47/1186071347.db2.gz QZXBPOFZMKPGTA-KVSKMBFKSA-N 0 1 318.436 3.463 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1oc(C(F)F)cc1C ZINC001505433604 1186078241 /nfs/dbraw/zinc/07/82/41/1186078241.db2.gz APHBHTOQBYCIAG-ZDUSSCGKSA-N 0 1 324.371 3.085 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001505588870 1186109871 /nfs/dbraw/zinc/10/98/71/1186109871.db2.gz RBEWHDGQPYUWKD-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2ccncc21 ZINC001505724763 1186129075 /nfs/dbraw/zinc/12/90/75/1186129075.db2.gz LTDAKSGPAVBOIY-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2ccncc21 ZINC001505724764 1186129124 /nfs/dbraw/zinc/12/91/24/1186129124.db2.gz LTDAKSGPAVBOIY-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)Cc2cc(F)cc(F)c2)C1 ZINC001505996759 1186178221 /nfs/dbraw/zinc/17/82/21/1186178221.db2.gz MSRMXDWLWDJVCW-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CCC)CC1CCCC1 ZINC001506013284 1186182039 /nfs/dbraw/zinc/18/20/39/1186182039.db2.gz WYUKGBDYHJGVFO-FUHWJXTLSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2ccc(CCCC)cc2)C1 ZINC001506639943 1186266634 /nfs/dbraw/zinc/26/66/34/1186266634.db2.gz IKLWVIKNBFTLEO-MRXNPFEDSA-N 0 1 314.473 3.194 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)CCCC)C2)C1 ZINC001506718850 1186277305 /nfs/dbraw/zinc/27/73/05/1186277305.db2.gz BAQKBHXGUNROLV-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CCCC(C)C)C2)C1 ZINC001506727858 1186278885 /nfs/dbraw/zinc/27/88/85/1186278885.db2.gz HTWJILWQGBIGPC-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001506892062 1186305498 /nfs/dbraw/zinc/30/54/98/1186305498.db2.gz HDMWQGFITKKCLU-PAYQAHJCSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)=CC(=O)N[C@H](C)CCCNCc1cc(F)ccc1C#N ZINC001506912533 1186310460 /nfs/dbraw/zinc/31/04/60/1186310460.db2.gz YRDDGMDTFMBEEU-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1cccc(F)c1Cl ZINC001507054718 1186351163 /nfs/dbraw/zinc/35/11/63/1186351163.db2.gz CPUAFUFYJRQEHN-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001507058555 1186351202 /nfs/dbraw/zinc/35/12/02/1186351202.db2.gz CSRCRMIHZHUBEL-NUKWSGDCSA-N 0 1 318.848 3.094 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1Cl ZINC001507054715 1186351330 /nfs/dbraw/zinc/35/13/30/1186351330.db2.gz CPUAFUFYJRQEHN-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN CC(C)=CC(=O)N[C@]12CCC[C@H]1N(Cc1ccccc1C#N)CC2 ZINC001507334835 1186393997 /nfs/dbraw/zinc/39/39/97/1186393997.db2.gz KNQIUDKQIXUDKL-QUCCMNQESA-N 0 1 323.440 3.138 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cccnc2)[C@@H]1C ZINC001507372673 1186406548 /nfs/dbraw/zinc/40/65/48/1186406548.db2.gz CNESBGOXPARDCA-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)C(C)C ZINC001507825779 1186447623 /nfs/dbraw/zinc/44/76/23/1186447623.db2.gz OMSHWWOGESGBEB-BCDXTJNWSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](CC)Cc1ccccc1)C(C)C ZINC001507842733 1186449029 /nfs/dbraw/zinc/44/90/29/1186449029.db2.gz YHLLPKQNNJQMOO-LJQANCHMSA-N 0 1 314.473 3.057 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001508015804 1186466333 /nfs/dbraw/zinc/46/63/33/1186466333.db2.gz FPECPWLEQXJNFU-BBRMVZONSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2cc(C)no2)CC1 ZINC001508073734 1186477784 /nfs/dbraw/zinc/47/77/84/1186477784.db2.gz JMERHONVCDRDBU-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)C#CC(=O)NCC1=CCN(C/C=C\c2ccccc2)CC1 ZINC001508201846 1186488174 /nfs/dbraw/zinc/48/81/74/1186488174.db2.gz LHRFPPROQIGLFM-TWGQIWQCSA-N 0 1 322.452 3.108 20 30 DGEDMN CC(C)(CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CC1 ZINC001611704028 1193440272 /nfs/dbraw/zinc/44/02/72/1193440272.db2.gz MPTFJOVWIRWXHF-UHFFFAOYSA-N 0 1 309.373 3.108 20 30 DGEDMN C=CCC[C@H]1CCCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC001516126037 1186778637 /nfs/dbraw/zinc/77/86/37/1186778637.db2.gz IQECCEMOKKREIM-VIFPVBQESA-N 0 1 311.311 3.307 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1ncc(F)cc1F)[C@H]1CCCCO1 ZINC001518065750 1186830955 /nfs/dbraw/zinc/83/09/55/1186830955.db2.gz QKOZSYVMOCLXNY-DGCLKSJQSA-N 0 1 308.328 3.131 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[N@H+](Cc2ccccc2)C[C@@H]1C ZINC001521228044 1187005978 /nfs/dbraw/zinc/00/59/78/1187005978.db2.gz XALQHVXVXYSFBM-RDJZCZTQSA-N 0 1 300.446 3.225 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1ccc2n1CCN[C@H]2C ZINC001521340396 1187016168 /nfs/dbraw/zinc/01/61/68/1187016168.db2.gz NUMSLNUYJFIIGD-HNNXBMFYSA-N 0 1 315.461 3.361 20 30 DGEDMN Cc1nsc(N2CCN[C@@H](c3ccc(Cl)cc3)C2)c1C#N ZINC001613087957 1193466889 /nfs/dbraw/zinc/46/68/89/1193466889.db2.gz KDZVULYLKMRHAP-CQSZACIVSA-N 0 1 318.833 3.128 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CCCc2sccc21 ZINC001526588819 1187376451 /nfs/dbraw/zinc/37/64/51/1187376451.db2.gz ZIMMJOYWOROWMA-QWRGUYRKSA-N 0 1 319.817 3.431 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CCCc2sccc21 ZINC001526588823 1187376923 /nfs/dbraw/zinc/37/69/23/1187376923.db2.gz ZIMMJOYWOROWMA-WDEREUQCSA-N 0 1 319.817 3.431 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cccc(F)c1O ZINC001528686297 1187505976 /nfs/dbraw/zinc/50/59/76/1187505976.db2.gz RJMXLJHMSGOWRC-UHFFFAOYSA-N 0 1 310.328 3.231 20 30 DGEDMN C#C[C@@H](NC(=O)c1n[nH]c2ccc(Cl)cc21)c1ccccc1 ZINC001530141624 1187608400 /nfs/dbraw/zinc/60/84/00/1187608400.db2.gz BCHQGWKPUPZTTO-CQSZACIVSA-N 0 1 309.756 3.321 20 30 DGEDMN C=CCN(CC(=O)NCCOc1ccc(C(C)C)cc1)C(C)C ZINC001615303541 1193520426 /nfs/dbraw/zinc/52/04/26/1193520426.db2.gz RLKZMEYPSWCKEZ-UHFFFAOYSA-N 0 1 318.461 3.201 20 30 DGEDMN Cc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(F)cc1F ZINC001534067844 1187873061 /nfs/dbraw/zinc/87/30/61/1187873061.db2.gz KIIHXDZGSJGFPU-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN Cc1nc(C2CC2)ccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001534359065 1187893005 /nfs/dbraw/zinc/89/30/05/1187893005.db2.gz SQADTYGTGMKMRK-UHFFFAOYSA-N 0 1 317.352 3.268 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4scnc43)[nH]c2c1 ZINC001534357630 1187893264 /nfs/dbraw/zinc/89/32/64/1187893264.db2.gz GFDDWBVQPBHGLZ-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN Cc1ccc2nc(CNC(=O)c3cc(C)c(C#N)c(C)c3)[nH]c2c1 ZINC001539681448 1188198799 /nfs/dbraw/zinc/19/87/99/1188198799.db2.gz ZOQZVOYDMPCUKV-UHFFFAOYSA-N 0 1 318.380 3.290 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)C#CC(C)C)c1ccccc1Cl ZINC001540580004 1188247063 /nfs/dbraw/zinc/24/70/63/1188247063.db2.gz JJQNKYPMVUIHOY-KRWDZBQOSA-N 0 1 320.864 3.499 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3c[nH]c4ccccc34)[nH]c2c1 ZINC001543404079 1188330847 /nfs/dbraw/zinc/33/08/47/1188330847.db2.gz OIJWBXIVCLPRPL-UHFFFAOYSA-N 0 1 315.336 3.097 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4ncccc4c3)[nH]c2c1 ZINC001543404142 1188331069 /nfs/dbraw/zinc/33/10/69/1188331069.db2.gz OYVIYEXCYAOJTC-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN Cc1cccc(C)c1OCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001543403638 1188331242 /nfs/dbraw/zinc/33/12/42/1188331242.db2.gz GAFASDPCQJXGDU-UHFFFAOYSA-N 0 1 320.352 3.069 20 30 DGEDMN C=CC[C@@H]1N(Cc2n[nH]c(C)c2C(=O)OCC)CCCC1(C)C ZINC001617603767 1193574785 /nfs/dbraw/zinc/57/47/85/1193574785.db2.gz FSKCTHNZNMKOQL-HNNXBMFYSA-N 0 1 319.449 3.462 20 30 DGEDMN C=CCCC(=O)N(CCC)C1CCN(Cc2ocnc2C)CC1 ZINC001566697379 1188949185 /nfs/dbraw/zinc/94/91/85/1188949185.db2.gz XKZFZSHHAPLNKO-UHFFFAOYSA-N 0 1 319.449 3.152 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@H](C)Oc1cccc(Cl)c1 ZINC001556466156 1189002323 /nfs/dbraw/zinc/00/23/23/1189002323.db2.gz LDPYFFDSMKNOCT-AWEZNQCLSA-N 0 1 324.852 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001565872340 1189054483 /nfs/dbraw/zinc/05/44/83/1189054483.db2.gz LMOKVEGNWSCBIH-CKEIUWERSA-N 0 1 321.490 3.038 20 30 DGEDMN Cc1ccc2c(CC(=O)NOc3cccc(C#N)c3)c[nH]c2c1 ZINC001557920830 1189091913 /nfs/dbraw/zinc/09/19/13/1189091913.db2.gz FZOLMWRFKNFXRY-UHFFFAOYSA-N 0 1 305.337 3.001 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001567810394 1189122666 /nfs/dbraw/zinc/12/26/66/1189122666.db2.gz OFJHEIAWYFYHRX-RDJZCZTQSA-N 0 1 312.457 3.262 20 30 DGEDMN CC(C)[N@@H+]1CCC[C@@H]1C(=O)N([O-])Cc1cccc2ccccc21 ZINC001559036714 1189164675 /nfs/dbraw/zinc/16/46/75/1189164675.db2.gz UNFIGXXOFKPDTM-GOSISDBHSA-N 0 1 312.413 3.430 20 30 DGEDMN CC(C)[N@H+]1CCC[C@@H]1C(=O)N([O-])Cc1cccc2ccccc21 ZINC001559036714 1189164677 /nfs/dbraw/zinc/16/46/77/1189164677.db2.gz UNFIGXXOFKPDTM-GOSISDBHSA-N 0 1 312.413 3.430 20 30 DGEDMN CCc1ccc(CN2CCN(c3cc(C)ncc3C#N)CC2)cc1 ZINC001559268027 1189189636 /nfs/dbraw/zinc/18/96/36/1189189636.db2.gz NZHXPJXWHNMEPI-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN CCN(CC[S@@](=O)C(F)(F)F)[C@@H](C)c1ccc(C#N)cc1 ZINC001559494985 1189210134 /nfs/dbraw/zinc/21/01/34/1189210134.db2.gz SWBNCWNJIMWQNH-WIUDPPPLSA-N 0 1 318.364 3.210 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)c1 ZINC001559609883 1189222919 /nfs/dbraw/zinc/22/29/19/1189222919.db2.gz PAFVRFNDMSYMHE-OAHLLOKOSA-N 0 1 318.380 3.474 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2ccc(Br)cc2)C1 ZINC001560026752 1189269843 /nfs/dbraw/zinc/26/98/43/1189269843.db2.gz FMYVEBHZRNFPAR-LBPRGKRZSA-N 0 1 323.234 3.286 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)CCN(C)[C@H]1CCC[C@H]1C#N ZINC001560060571 1189274214 /nfs/dbraw/zinc/27/42/14/1189274214.db2.gz QICXHNXDLIXDQV-BBRMVZONSA-N 0 1 303.381 3.087 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC001560136545 1189283225 /nfs/dbraw/zinc/28/32/25/1189283225.db2.gz WUQDZUCPCXAAPR-RHSMWYFYSA-N 0 1 316.832 3.181 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1cc(C(C)(C)C)nn1C ZINC001560199779 1189289793 /nfs/dbraw/zinc/28/97/93/1189289793.db2.gz CYUBAOQNAAGEGQ-AWEZNQCLSA-N 0 1 318.465 3.087 20 30 DGEDMN N#Cc1cccc(NC(=O)C[N@H+]2CCC[C@H](C3CCCC3)C2)c1 ZINC001560225824 1189293450 /nfs/dbraw/zinc/29/34/50/1189293450.db2.gz CVTYURIXRMEOCM-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@H](C3CCCC3)C2)c1 ZINC001560225824 1189293454 /nfs/dbraw/zinc/29/34/54/1189293454.db2.gz CVTYURIXRMEOCM-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(C(=O)OC)c(C)c1 ZINC001560338121 1189313343 /nfs/dbraw/zinc/31/33/43/1189313343.db2.gz LJKUGQIQCJPGOP-UHFFFAOYSA-N 0 1 323.392 3.083 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cc(-c2ccccn2)n[nH]1 ZINC001560460418 1189331544 /nfs/dbraw/zinc/33/15/44/1189331544.db2.gz YCHNJEKLJUBDPK-KRWDZBQOSA-N 0 1 315.380 3.120 20 30 DGEDMN CC#CC[C@@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001560519653 1189335860 /nfs/dbraw/zinc/33/58/60/1189335860.db2.gz LNHNSUVRXNCVDR-HNNXBMFYSA-N 0 1 302.805 3.061 20 30 DGEDMN N#Cc1c(F)cccc1CNCCCOc1cccc(F)c1 ZINC001561038251 1189424678 /nfs/dbraw/zinc/42/46/78/1189424678.db2.gz HWMDXKNNZBALDE-UHFFFAOYSA-N 0 1 302.324 3.395 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccc(C)cc1C ZINC001565823159 1189676958 /nfs/dbraw/zinc/67/69/58/1189676958.db2.gz SUDYGFXCDDJTIF-LJQANCHMSA-N 0 1 314.473 3.345 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1C ZINC001565823488 1189677723 /nfs/dbraw/zinc/67/77/23/1189677723.db2.gz YZRNJSHTGONBSV-KRWDZBQOSA-N 0 1 300.446 3.240 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCc1cc(Cl)cs1 ZINC001565828151 1189680337 /nfs/dbraw/zinc/68/03/37/1189680337.db2.gz KYHGVEXGVJQHLF-CYBMUJFWSA-N 0 1 312.866 3.053 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc(Cl)c(F)c1 ZINC001565827801 1189680598 /nfs/dbraw/zinc/68/05/98/1189680598.db2.gz GXJNZBPCXHBNFZ-AWEZNQCLSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C\Cl)[C@H]2C1(C)C ZINC001565992165 1189710036 /nfs/dbraw/zinc/71/00/36/1189710036.db2.gz DGEBTDHNPTWRIO-CLYGMLIYSA-N 0 1 310.869 3.310 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)[C@H]1C ZINC001566118003 1189737095 /nfs/dbraw/zinc/73/70/95/1189737095.db2.gz YFPZAVAGLFNKHG-IIFCEKJISA-N 0 1 310.441 3.001 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)c(F)c1 ZINC001566121272 1189739425 /nfs/dbraw/zinc/73/94/25/1189739425.db2.gz APLKRMVKIJFEGP-KRWDZBQOSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2cnoc2C)CCCC1 ZINC001566521979 1189789824 /nfs/dbraw/zinc/78/98/24/1189789824.db2.gz JVYJVUMVNFFTHF-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cscc1C ZINC001566546153 1189798049 /nfs/dbraw/zinc/79/80/49/1189798049.db2.gz FLJIYELBWQUDMZ-UHFFFAOYSA-N 0 1 300.855 3.251 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001566635044 1189840532 /nfs/dbraw/zinc/84/05/32/1189840532.db2.gz QXYVFHKEXBCRLT-ROUUACIJSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)/C=C(\C)C2CC2)C1 ZINC001566736138 1189881808 /nfs/dbraw/zinc/88/18/08/1189881808.db2.gz MZMJYLUYVFOYTL-GLNPCMGASA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oncc1C ZINC001566757774 1189893491 /nfs/dbraw/zinc/89/34/91/1189893491.db2.gz OOTFQPXCWZYCFC-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001566922852 1189953559 /nfs/dbraw/zinc/95/35/59/1189953559.db2.gz GBCZIUGAVSJDQS-PMACEKPBSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001566927305 1189956151 /nfs/dbraw/zinc/95/61/51/1189956151.db2.gz OHHHHLONSZAVDS-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001566927304 1189956968 /nfs/dbraw/zinc/95/69/68/1189956968.db2.gz OHHHHLONSZAVDS-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2ccccc2nc1C ZINC001567019881 1189997261 /nfs/dbraw/zinc/99/72/61/1189997261.db2.gz LUVWUADGZAYHTC-GFCCVEGCSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1cccc(C(F)F)c1 ZINC001567034905 1190003196 /nfs/dbraw/zinc/00/31/96/1190003196.db2.gz FYPMNFMFVSNWHE-LLVKDONJSA-N 0 1 316.779 3.014 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2nc(C)c(C)s2)CC1 ZINC001567050703 1190009184 /nfs/dbraw/zinc/00/91/84/1190009184.db2.gz FYERPDJKGVGKHA-LBPRGKRZSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C)c(Cl)c1 ZINC001567051077 1190010214 /nfs/dbraw/zinc/01/02/14/1190010214.db2.gz NESGMQAKBQCWJZ-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Cl)nc(C3CC3)c2)c1 ZINC001567072369 1190020358 /nfs/dbraw/zinc/02/03/58/1190020358.db2.gz BYODEJIPAHXXBS-UHFFFAOYSA-N 0 1 313.744 3.442 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001567071104 1190020418 /nfs/dbraw/zinc/02/04/18/1190020418.db2.gz JSQFMCFMYSCKRO-ZDUSSCGKSA-N 0 1 304.821 3.106 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)s1 ZINC001567191000 1190060370 /nfs/dbraw/zinc/06/03/70/1190060370.db2.gz WYLSWDRGOOOEEO-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN CC/C(C)=C/C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001567191401 1190060635 /nfs/dbraw/zinc/06/06/35/1190060635.db2.gz GXNGZAYWGIWEJC-BONDDZEJSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(C)CCC(=O)NCCN(CC)Cc1ccc(F)c(F)c1 ZINC001567334099 1190137232 /nfs/dbraw/zinc/13/72/32/1190137232.db2.gz SRQPFSKDSFGJJC-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001567358243 1190150582 /nfs/dbraw/zinc/15/05/82/1190150582.db2.gz PSTZMZCXHDHNOX-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001567380668 1190161839 /nfs/dbraw/zinc/16/18/39/1190161839.db2.gz UJOFPQULMGZTSC-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2ccc(F)cc2Cl)C1 ZINC001567395030 1190169525 /nfs/dbraw/zinc/16/95/25/1190169525.db2.gz DRIOCEZSPOHHHZ-CYBMUJFWSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(Cc1cnccc1C)C1CC1 ZINC001567449187 1190198409 /nfs/dbraw/zinc/19/84/09/1190198409.db2.gz PRRKXVKYOSDQHI-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(Cc1cccnc1C)C1CC1 ZINC001567448428 1190199222 /nfs/dbraw/zinc/19/92/22/1190199222.db2.gz AWAWIDOQUQFZRO-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN(CCNC(=O)[C@H](CC)CC1CCCCC1)C1CC1 ZINC001567450951 1190200069 /nfs/dbraw/zinc/20/00/69/1190200069.db2.gz XHPBVWRSKFZCJQ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(F)c(Cl)c1F ZINC001567555538 1190217519 /nfs/dbraw/zinc/21/75/19/1190217519.db2.gz RFAWJYATKTVWCE-UHFFFAOYSA-N 0 1 323.170 3.032 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@]12C[C@H]1CCCC2)c1ccccc1 ZINC001567663489 1190241835 /nfs/dbraw/zinc/24/18/35/1190241835.db2.gz PUXFDTMTALXWQV-QWFCFKBJSA-N 0 1 310.441 3.037 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC[C@H](C)CC)c1ccccc1 ZINC001567663137 1190242196 /nfs/dbraw/zinc/24/21/96/1190242196.db2.gz GGYDCHJTFBAHFQ-SJLPKXTDSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(C2CC2)cc1)c1ccccc1 ZINC001567666054 1190243257 /nfs/dbraw/zinc/24/32/57/1190243257.db2.gz QXMHILDLLFXGIA-FQEVSTJZSA-N 0 1 318.420 3.258 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)c1ccccc1)c1ccccc1 ZINC001567666375 1190243652 /nfs/dbraw/zinc/24/36/52/1190243652.db2.gz ZGMRQEBEQMDSCG-IBGZPJMESA-N 0 1 320.436 3.045 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001567673635 1190245016 /nfs/dbraw/zinc/24/50/16/1190245016.db2.gz GFZIESFBPJIDDZ-BGYRXZFFSA-N 0 1 324.468 3.446 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)cc2C)C1 ZINC001567689052 1190255232 /nfs/dbraw/zinc/25/52/32/1190255232.db2.gz PYQRQYMPKNBMRV-GOSISDBHSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)cs2)C1 ZINC001567727159 1190274760 /nfs/dbraw/zinc/27/47/60/1190274760.db2.gz CFCJLTHVUUYFMA-ZDUSSCGKSA-N 0 1 312.866 3.203 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001567776700 1190296083 /nfs/dbraw/zinc/29/60/83/1190296083.db2.gz MPPMAWFDWVIKIO-RDJZCZTQSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001567801170 1190301675 /nfs/dbraw/zinc/30/16/75/1190301675.db2.gz WQFRFTJZVOMPFE-STQMWFEESA-N 0 1 322.399 3.223 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001567815846 1190308235 /nfs/dbraw/zinc/30/82/35/1190308235.db2.gz ZEZFLBLAFZUZBH-UHFFFAOYSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CC[C@H](C(=O)NC1CN(CC2CCCC2)C1)c1ccccc1 ZINC001567817436 1190308709 /nfs/dbraw/zinc/30/87/09/1190308709.db2.gz QFVWHKOILMUXAI-IBGZPJMESA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccs1 ZINC001567850395 1190320999 /nfs/dbraw/zinc/32/09/99/1190320999.db2.gz GUYUDOAKPZCTFA-GJZGRUSLSA-N 0 1 304.459 3.393 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001567879014 1190331231 /nfs/dbraw/zinc/33/12/31/1190331231.db2.gz GHFQGEASKDQUAD-NSHDSACASA-N 0 1 301.390 3.351 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001567879013 1190331609 /nfs/dbraw/zinc/33/16/09/1190331609.db2.gz GHFQGEASKDQUAD-LLVKDONJSA-N 0 1 301.390 3.351 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001567878354 1190332140 /nfs/dbraw/zinc/33/21/40/1190332140.db2.gz ANGCOGVOQSGYMN-YOEHRIQHSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN([C@@H]2CCc3ccc(Cl)cc32)C1 ZINC001567887611 1190335914 /nfs/dbraw/zinc/33/59/14/1190335914.db2.gz KUHOHVSJOXISAY-NVXWUHKLSA-N 0 1 318.848 3.484 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001567922728 1190353576 /nfs/dbraw/zinc/35/35/76/1190353576.db2.gz YFHQVPSANVKXBD-HOCLYGCPSA-N 0 1 320.864 3.237 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001567929226 1190358530 /nfs/dbraw/zinc/35/85/30/1190358530.db2.gz YUHVIRBQHDCYJN-MSOLQXFVSA-N 0 1 318.436 3.284 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)[C@@H](F)c2ccccc2)C1 ZINC001567943212 1190367542 /nfs/dbraw/zinc/36/75/42/1190367542.db2.gz ZNPGJMHXPWOKMY-SJORKVTESA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)N[C@@H](C)c1c(F)cccc1F ZINC001567952873 1190373719 /nfs/dbraw/zinc/37/37/19/1190373719.db2.gz LTFOMCFIROWXTO-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN CC[C@H](CNC(=O)C[C@@H](C)CC)NCc1cc(C#N)ccc1F ZINC001567960064 1190377241 /nfs/dbraw/zinc/37/72/41/1190377241.db2.gz VCDKNDVECVODMH-XJKSGUPXSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CNCc1cc(O)ccc1Cl ZINC001568134706 1190432378 /nfs/dbraw/zinc/43/23/78/1190432378.db2.gz HEUSCXDQEBMVMK-CQSZACIVSA-N 0 1 322.836 3.092 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C1(CC(C)C)CCCC1)CC2 ZINC001568252824 1190463010 /nfs/dbraw/zinc/46/30/10/1190463010.db2.gz RAXYNSZUJXYHLA-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1F ZINC001568293759 1190476046 /nfs/dbraw/zinc/47/60/46/1190476046.db2.gz OTWSKBSZSAXJKH-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(C)CCC(C)CC1 ZINC001568320625 1190489165 /nfs/dbraw/zinc/48/91/65/1190489165.db2.gz LWSQYKGLEHVWLJ-CXOJTPOUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccoc1CC ZINC001568327864 1190491761 /nfs/dbraw/zinc/49/17/61/1190491761.db2.gz QFMKIIRQJINWFF-CYBMUJFWSA-N 0 1 312.841 3.329 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1[nH]c(C)cc1C ZINC001568331148 1190492379 /nfs/dbraw/zinc/49/23/79/1190492379.db2.gz MDIANNZYDWKQPA-AWEZNQCLSA-N 0 1 311.857 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CCc1ccco1 ZINC001568419308 1190515558 /nfs/dbraw/zinc/51/55/58/1190515558.db2.gz NVERGFJYLNXBDB-CJNGLKHVSA-N 0 1 324.852 3.181 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001568443703 1190523438 /nfs/dbraw/zinc/52/34/38/1190523438.db2.gz HGNCUKYHZZUSMQ-CQYSBYMNSA-N 0 1 313.445 3.145 20 30 DGEDMN CN(CCN(C)C(=O)/C=C/C(C)(C)C)Cc1ccc(C#N)cc1 ZINC001568476880 1190537632 /nfs/dbraw/zinc/53/76/32/1190537632.db2.gz OCNYJBDANGPEPT-ZHACJKMWSA-N 0 1 313.445 3.051 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc2c1CCCC2 ZINC001568745187 1190584786 /nfs/dbraw/zinc/58/47/86/1190584786.db2.gz JSJNTLBJSUWQTN-UHFFFAOYSA-N 0 1 320.864 3.370 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CC(C)(C)C(F)(F)F ZINC001568795252 1190589235 /nfs/dbraw/zinc/58/92/35/1190589235.db2.gz QBYAPSRUIGZJLZ-UHFFFAOYSA-N 0 1 314.779 3.156 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)Cc1ccccc1C ZINC001568800243 1190589644 /nfs/dbraw/zinc/58/96/44/1190589644.db2.gz FIXJUCJQCOGXLN-OAHLLOKOSA-N 0 1 322.880 3.364 20 30 DGEDMN O=C(C=C1CCCCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001568824047 1190592446 /nfs/dbraw/zinc/59/24/46/1190592446.db2.gz VNCIQLYPLGYBQD-FPLPWBNLSA-N 0 1 322.452 3.191 20 30 DGEDMN CC(C)(CC(=O)NC/C=C\CNCC#Cc1ccccc1)C1CC1 ZINC001568846695 1190594546 /nfs/dbraw/zinc/59/45/46/1190594546.db2.gz FFQLDNXSOVVMPI-SREVYHEPSA-N 0 1 324.468 3.126 20 30 DGEDMN Cc1ccc(C)c(C(=O)N[C@@H](C)CNCc2ccccc2C#N)c1 ZINC001569094583 1190620428 /nfs/dbraw/zinc/62/04/28/1190620428.db2.gz SXNCEUHKLMTKIG-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[N@H+](C)Cc1cccc(OC)c1 ZINC001569130904 1190633810 /nfs/dbraw/zinc/63/38/10/1190633810.db2.gz FRBGFKPKCADCQT-HNNXBMFYSA-N 0 1 318.461 3.234 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)CC(C)C)C1CC1 ZINC001569324394 1190666400 /nfs/dbraw/zinc/66/64/00/1190666400.db2.gz RPBKMKPHNCZJSD-AWEZNQCLSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CC[C@H]1CCCC1(F)F ZINC001569359886 1190674992 /nfs/dbraw/zinc/67/49/92/1190674992.db2.gz OBWQLUSLXDVSGD-CABCVRRESA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1scc(C)c1Cl ZINC001569360451 1190676338 /nfs/dbraw/zinc/67/63/38/1190676338.db2.gz ZXLCGVBSOYGKIK-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)CCc1cccc(F)c1 ZINC001569383509 1190687691 /nfs/dbraw/zinc/68/76/91/1190687691.db2.gz RRJGEVDBNRFNKO-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)CCc1cccc(F)c1 ZINC001569383511 1190688056 /nfs/dbraw/zinc/68/80/56/1190688056.db2.gz RRJGEVDBNRFNKO-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001569419460 1190694675 /nfs/dbraw/zinc/69/46/75/1190694675.db2.gz IDBHSPAZBWFZJT-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(F)cc(Cl)c2)CC1 ZINC001569419878 1190695308 /nfs/dbraw/zinc/69/53/08/1190695308.db2.gz PYMLJBWSEHAECA-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)c1ccccc1 ZINC001569448470 1190700496 /nfs/dbraw/zinc/70/04/96/1190700496.db2.gz AWZOTCQZUQYJKB-SXLOBPIMSA-N 0 1 324.468 3.269 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2CCO[C@@H](CC)C2)C1 ZINC001569503834 1190710097 /nfs/dbraw/zinc/71/00/97/1190710097.db2.gz DYCRWPLWTLFFSM-AEFFLSMTSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccccc2Cl)C1 ZINC001569505491 1190710566 /nfs/dbraw/zinc/71/05/66/1190710566.db2.gz AZJDMZGCXYLOEH-CQSZACIVSA-N 0 1 320.864 3.380 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1csc(C)n1)C1CCCC1 ZINC001569601177 1190721588 /nfs/dbraw/zinc/72/15/88/1190721588.db2.gz RGEQDCKZDWRYTJ-INIZCTEOSA-N 0 1 321.490 3.182 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001569633737 1190727460 /nfs/dbraw/zinc/72/74/60/1190727460.db2.gz PVBJLIZJQNNLJD-HNAYVOBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccc(F)cc2)CC1 ZINC001569696513 1190741895 /nfs/dbraw/zinc/74/18/95/1190741895.db2.gz FXKRQFNIGJBWDX-UHFFFAOYSA-N 0 1 324.827 3.410 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC=CCC2)CC1 ZINC001569697064 1190742500 /nfs/dbraw/zinc/74/25/00/1190742500.db2.gz QRACHFLANCZYCE-OAHLLOKOSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cc(C)c(C)o2)CC1 ZINC001569698864 1190742754 /nfs/dbraw/zinc/74/27/54/1190742754.db2.gz UMVMYXNPEXUQGH-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C(C)(C)C2CCCCC2)C1 ZINC001569722645 1190748971 /nfs/dbraw/zinc/74/89/71/1190748971.db2.gz MKNXLIUYRYIJLL-HXUWFJFHSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C(C)(C)C2CCCCC2)C1 ZINC001569722643 1190749535 /nfs/dbraw/zinc/74/95/35/1190749535.db2.gz MKNXLIUYRYIJLL-FQEVSTJZSA-N 0 1 318.505 3.444 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC(C)=C(C)C)C1 ZINC001569738472 1190752015 /nfs/dbraw/zinc/75/20/15/1190752015.db2.gz BDGUBOSLGNFENT-OAHLLOKOSA-N 0 1 314.420 3.382 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001569738515 1190752316 /nfs/dbraw/zinc/75/23/16/1190752316.db2.gz CBLPHRDRWLFDPC-SXSSENBOSA-N 0 1 312.404 3.158 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]([C@@H](C)NCc2ncc(C(C)C)o2)C1 ZINC001569807240 1190757446 /nfs/dbraw/zinc/75/74/46/1190757446.db2.gz VIRBGYYBBIAEFY-CABCVRRESA-N 0 1 319.449 3.091 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(CC(C)C)CC(C)C ZINC001569915626 1190773643 /nfs/dbraw/zinc/77/36/43/1190773643.db2.gz SWUJVJHLGLDCRH-OALUTQOASA-N 0 1 318.505 3.393 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]3[C@@H]2CCN3CC#CC)CCCC1 ZINC001569917071 1190773726 /nfs/dbraw/zinc/77/37/26/1190773726.db2.gz KFCKHKVZRZIUPZ-MSOLQXFVSA-N 0 1 314.473 3.212 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCCCC(C)C)[C@@H]2C1 ZINC001569922101 1190774885 /nfs/dbraw/zinc/77/48/85/1190774885.db2.gz ZUMMDVVVAGTZKR-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1ccc([N+](=O)[O-])c(C)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC001569993496 1190779963 /nfs/dbraw/zinc/77/99/63/1190779963.db2.gz DCSPAQFHCHUARI-UHFFFAOYSA-N 0 1 311.297 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)C(C)(F)F)CCC1 ZINC001570125037 1190801031 /nfs/dbraw/zinc/80/10/31/1190801031.db2.gz GEMAAJKCGGTLSO-UHFFFAOYSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2coc3ccccc23)CCC1 ZINC001570127413 1190801377 /nfs/dbraw/zinc/80/13/77/1190801377.db2.gz FQXBUXCFOJRYDO-UHFFFAOYSA-N 0 1 318.804 3.427 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)cc1F ZINC001570190247 1190813809 /nfs/dbraw/zinc/81/38/09/1190813809.db2.gz FDVKGQMKNQJKBO-CQSZACIVSA-N 0 1 319.424 3.024 20 30 DGEDMN CN(CCCN(C)C(=O)C1(C)CCC1)Cc1ccc(C#N)s1 ZINC001570206517 1190820666 /nfs/dbraw/zinc/82/06/66/1190820666.db2.gz VURAVYRMIDNZHC-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2ccncc2Cl)C1 ZINC001570342125 1190841566 /nfs/dbraw/zinc/84/15/66/1190841566.db2.gz NFTCSIRGEXRPDQ-XJKSGUPXSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H](C)C1 ZINC001570437947 1190858430 /nfs/dbraw/zinc/85/84/30/1190858430.db2.gz TYJLPMMPUMKFCK-HOTGVXAUSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H](C)C1 ZINC001570441605 1190859804 /nfs/dbraw/zinc/85/98/04/1190859804.db2.gz WCBOSRMSVMYUIW-JSGCOSHPSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC/C(Cl)=C/Cl ZINC001570466770 1190865054 /nfs/dbraw/zinc/86/50/54/1190865054.db2.gz JNZSPZCBUCRWHJ-YTLUSRLNSA-N 0 1 307.265 3.392 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1c2ccccc2CCN1CC=C(C)C ZINC001570476376 1190866301 /nfs/dbraw/zinc/86/63/01/1190866301.db2.gz AZQFMOLYAKYCLW-HXUWFJFHSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C/C=C/Cc1ccccc1 ZINC001570610781 1190900492 /nfs/dbraw/zinc/90/04/92/1190900492.db2.gz KJQCPGIGMUCQAN-XLBHYRNJSA-N 0 1 320.864 3.411 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CC(C)(C)CNCc1cc(C)on1 ZINC001570675956 1190915637 /nfs/dbraw/zinc/91/56/37/1190915637.db2.gz XJQADHSDKGWUIM-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccccc1C(C)(C)CC ZINC001570717393 1190927596 /nfs/dbraw/zinc/92/75/96/1190927596.db2.gz BUDHYSGVHFJJHI-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1cnoc1C ZINC001570824717 1190959560 /nfs/dbraw/zinc/95/95/60/1190959560.db2.gz DNGCWNUNGNFTHT-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@](C)(CC)CCCCC)C1 ZINC001570864310 1190968639 /nfs/dbraw/zinc/96/86/39/1190968639.db2.gz WNDSDGPXZBDRDP-VQIMIIECSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H](C)Cc1ccco1 ZINC001571370204 1191004466 /nfs/dbraw/zinc/00/44/66/1191004466.db2.gz QCILXBGILISQDI-WBMJQRKESA-N 0 1 324.852 3.037 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001571411127 1191018352 /nfs/dbraw/zinc/01/83/52/1191018352.db2.gz XOCAMHQXPUJZKN-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C[C@H](C(=O)N(C)C[C@@H](C)NCC(=C)Cl)c1ccccc1 ZINC001571417790 1191020544 /nfs/dbraw/zinc/02/05/44/1191020544.db2.gz KAWALHWYNKHEIV-ZBFHGGJFSA-N 0 1 306.837 3.145 20 30 DGEDMN CCCCC(=O)N[C@@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001571495985 1191031747 /nfs/dbraw/zinc/03/17/47/1191031747.db2.gz YECATMNKSDHBJG-TZIWHRDSSA-N 0 1 324.468 3.342 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@@H]1N(Cc1ccccc1C#N)CC2 ZINC001571496475 1191032236 /nfs/dbraw/zinc/03/22/36/1191032236.db2.gz FIBXBYNOKZXJIA-ICSRJNTNSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cccc(Cl)n2)C(C)(C)C1 ZINC001571554113 1191050711 /nfs/dbraw/zinc/05/07/11/1191050711.db2.gz DIBOJUXIHSTOQS-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1C[C@H]1CC(C)(C)C)C(C)C ZINC001571612206 1191057324 /nfs/dbraw/zinc/05/73/24/1191057324.db2.gz BFIWEKJQUJKSMH-DLBZAZTESA-N 0 1 306.494 3.251 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@@H]1CCCC1(F)F)C(C)C ZINC001571613956 1191061471 /nfs/dbraw/zinc/06/14/71/1191061471.db2.gz ORQLMRZENYDWAU-HNNXBMFYSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(C(F)F)c1)C(C)C ZINC001571616044 1191062569 /nfs/dbraw/zinc/06/25/69/1191062569.db2.gz OJBAYUNJCSHASK-UHFFFAOYSA-N 0 1 322.399 3.430 20 30 DGEDMN C=CC1(CC(=O)NCC2=CCN(CC#CC)CC2)CCCCC1 ZINC001571695847 1191083950 /nfs/dbraw/zinc/08/39/50/1191083950.db2.gz BWDORCTWPRXNHM-UHFFFAOYSA-N 0 1 314.473 3.285 20 30 DGEDMN C#CCSc1ccc(COC(=O)[C@]2(CC=C)CCCN2)cc1 ZINC001574760467 1191449455 /nfs/dbraw/zinc/44/94/55/1191449455.db2.gz ZFCJPKMAPAXAIM-SFHVURJKSA-N 0 1 315.438 3.153 20 30 DGEDMN C=CC[C@@]1(C(=O)OCc2ccc3c(c2)CC(C)(C)O3)CCCN1 ZINC001574896952 1191456798 /nfs/dbraw/zinc/45/67/98/1191456798.db2.gz BTPODKIBZQBRDO-IBGZPJMESA-N 0 1 315.413 3.142 20 30 DGEDMN C=CC[C@]1(C(=O)O[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CCCN1 ZINC001574897733 1191457033 /nfs/dbraw/zinc/45/70/33/1191457033.db2.gz ULKYYOAHGUQXLZ-SUMWQHHRSA-N 0 1 318.373 3.206 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(N(C)Cc2ccccc2)cc1 ZINC001574970334 1191460568 /nfs/dbraw/zinc/46/05/68/1191460568.db2.gz SXZFKJIPLGCZQV-IBGZPJMESA-N 0 1 321.424 3.002 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)C(=O)[C@@H](CC)C1CCC(C)CC1 ZINC001575119166 1191467109 /nfs/dbraw/zinc/46/71/09/1191467109.db2.gz VDBAAIZVZXYAAH-DQPZFDDXSA-N 0 1 316.449 3.009 20 30 DGEDMN CCCCCCCCCNC(=O)N(Cc1c[nH]nn1)C(C)C ZINC001576394810 1191584129 /nfs/dbraw/zinc/58/41/29/1191584129.db2.gz LTQKOFYWIUXZBQ-UHFFFAOYSA-N 0 1 309.458 3.475 20 30 DGEDMN CCCCCCCCN1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC001618356104 1193735039 /nfs/dbraw/zinc/73/50/39/1193735039.db2.gz XDTHXGWNYNHXJW-UHFFFAOYSA-N 0 1 320.437 3.472 20 30 DGEDMN COCC1(N(C)Cc2ccc(Br)cc2C#N)CCC1 ZINC001620562298 1193779334 /nfs/dbraw/zinc/77/93/34/1193779334.db2.gz DDRQFUOMERUVLZ-UHFFFAOYSA-N 0 1 323.234 3.322 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CCO[C@@H](c3ccccc3)CC2)c1 ZINC001620670946 1193789459 /nfs/dbraw/zinc/78/94/59/1193789459.db2.gz BGYSNCUFCMWYKE-VQTJNVASSA-N 0 1 322.408 3.055 20 30 DGEDMN C#C[C@H](NC1CC(OCC)C1)c1ccc(Br)cc1 ZINC001621356459 1193833131 /nfs/dbraw/zinc/83/31/31/1193833131.db2.gz AXUQPPALRRANQU-NRXISQOPSA-N 0 1 308.219 3.281 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H](C(C)(C)O)C1 ZINC001621513247 1193852115 /nfs/dbraw/zinc/85/21/15/1193852115.db2.gz IERFHVAWIFZSFW-AWEZNQCLSA-N 0 1 321.848 3.335 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1ccccc1 ZINC001623517701 1193936523 /nfs/dbraw/zinc/93/65/23/1193936523.db2.gz HFZDSMIKRHDSJN-OAHLLOKOSA-N 0 1 316.307 3.445 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ncc(Cc3ccccc3)o2)c1 ZINC001624287551 1193990517 /nfs/dbraw/zinc/99/05/17/1193990517.db2.gz IVAUNRUFLOFVBS-UHFFFAOYSA-N 0 1 319.320 3.095 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(Cc3ccccc3)[C@H](CC)C2)C1 ZINC001624854959 1194018475 /nfs/dbraw/zinc/01/84/75/1194018475.db2.gz MZRHOICHCLDWDQ-GOSISDBHSA-N 0 1 312.457 3.466 20 30 DGEDMN CN(CC(=O)N[C@H](C#N)c1ccc(C(C)(C)C)cc1)C1CCC1 ZINC001627237090 1194118186 /nfs/dbraw/zinc/11/81/86/1194118186.db2.gz SLJYGHMOCNKLMS-QGZVFWFLSA-N 0 1 313.445 3.149 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc(-c3nc(C)no3)cc2)n1 ZINC000041516522 1194140529 /nfs/dbraw/zinc/14/05/29/1194140529.db2.gz AQRPAVXWMOMAJA-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-c3nc(C)no3)cc2)n1 ZINC000041516522 1194140535 /nfs/dbraw/zinc/14/05/35/1194140535.db2.gz AQRPAVXWMOMAJA-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN C=CC[C@H](NC(=O)c1cc(F)cc(F)c1O)c1ccncc1 ZINC001628149443 1194163262 /nfs/dbraw/zinc/16/32/62/1194163262.db2.gz XVCJRYOPJXGMHH-AWEZNQCLSA-N 0 1 304.296 3.113 20 30 DGEDMN C=CCN(CCc1ccco1)C(=O)c1c2[nH]cnc2ccc1F ZINC001631675052 1194348889 /nfs/dbraw/zinc/34/88/89/1194348889.db2.gz KFPPPJDGZQTANC-UHFFFAOYSA-N 0 1 313.332 3.166 20 30 DGEDMN C=C(CC)CNC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC001632590373 1194398024 /nfs/dbraw/zinc/39/80/24/1194398024.db2.gz POYGVBWRNFZYOQ-OAHLLOKOSA-N 0 1 309.841 3.208 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2cc(-c3ccn(C)c3)n[nH]2)c1 ZINC001632692909 1194402669 /nfs/dbraw/zinc/40/26/69/1194402669.db2.gz QPZYJKNRTLJKLF-UHFFFAOYSA-N 0 1 318.380 3.063 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccc(Cl)cc2)C1 ZINC001671152577 1194404789 /nfs/dbraw/zinc/40/47/89/1194404789.db2.gz BDBGYIRUURXGJD-UHFFFAOYSA-N 0 1 320.864 3.381 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(F)cc3ccncc32)c1 ZINC001633077382 1194420241 /nfs/dbraw/zinc/42/02/41/1194420241.db2.gz RMGXESFOHNJTRI-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C=CC(C)(C)CCNC(=O)c1cccc(Br)c1O ZINC001633809639 1194457178 /nfs/dbraw/zinc/45/71/78/1194457178.db2.gz RYVQUQJGIUGASB-UHFFFAOYSA-N 0 1 312.207 3.487 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCCN(CC)CCC(F)(F)F ZINC001634201290 1194480581 /nfs/dbraw/zinc/48/05/81/1194480581.db2.gz MTDYAQFTSNADFD-UHFFFAOYSA-N 0 1 323.403 3.305 20 30 DGEDMN Cc1cccc(OCCC(=O)NOc2cccc(C#N)c2)c1C ZINC001635506233 1194555858 /nfs/dbraw/zinc/55/58/58/1194555858.db2.gz FDDSSNIVIFFKFL-UHFFFAOYSA-N 0 1 310.353 3.054 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(OC)c2C)C1 ZINC001671171548 1194594525 /nfs/dbraw/zinc/59/45/25/1194594525.db2.gz VQCZCAXCLFQTJZ-CQSZACIVSA-N 0 1 316.445 3.115 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)c2sccc2CC#N)c1 ZINC001636439823 1194613716 /nfs/dbraw/zinc/61/37/16/1194613716.db2.gz RXNSLHLETDBDBJ-UHFFFAOYSA-N 0 1 314.366 3.365 20 30 DGEDMN CC[N@@H+](C)[C@@H](C(=O)NCc1ccc(C)c(C#N)c1)c1ccccc1 ZINC001637702510 1194683334 /nfs/dbraw/zinc/68/33/34/1194683334.db2.gz ANFXIEWWTPUCRQ-LJQANCHMSA-N 0 1 321.424 3.176 20 30 DGEDMN CCN(C)[C@@H](C(=O)NCc1ccc(C)c(C#N)c1)c1ccccc1 ZINC001637702510 1194683337 /nfs/dbraw/zinc/68/33/37/1194683337.db2.gz ANFXIEWWTPUCRQ-LJQANCHMSA-N 0 1 321.424 3.176 20 30 DGEDMN N#CC1(c2ccc(C(=O)Nc3ccc4cncn4c3)cc2)CC1 ZINC001639572516 1194771689 /nfs/dbraw/zinc/77/16/89/1194771689.db2.gz MIZYMVUASQCQGJ-UHFFFAOYSA-N 0 1 302.337 3.142 20 30 DGEDMN N#CCc1ccc(CNC(=O)c2ccc(Cl)c(O)c2)cc1 ZINC001639967407 1194789515 /nfs/dbraw/zinc/78/95/15/1194789515.db2.gz CRHPNWQYXMOEPD-UHFFFAOYSA-N 0 1 300.745 3.042 20 30 DGEDMN Cc1cc(N(C)Cc2cnc[nH]2)n2c3ccccc3nc2c1C#N ZINC001640146613 1194798860 /nfs/dbraw/zinc/79/88/60/1194798860.db2.gz ZCQGMEISOUHYGG-UHFFFAOYSA-N 0 1 316.368 3.027 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc2nc(Cc3ccc(F)cc3)[nH]c2c1 ZINC001641061689 1194838151 /nfs/dbraw/zinc/83/81/51/1194838151.db2.gz QNHYKSOBSLPQCZ-NSHDSACASA-N 0 1 322.343 3.391 20 30 DGEDMN C[N@@H+]1CCCC[C@H]1CCC(=O)N([O-])Cc1ccccc1Cl ZINC001641862055 1194876016 /nfs/dbraw/zinc/87/60/16/1194876016.db2.gz QFBXBKYOILKUEM-AWEZNQCLSA-N 0 1 310.825 3.322 20 30 DGEDMN C[N@H+]1CCCC[C@H]1CCC(=O)N([O-])Cc1ccccc1Cl ZINC001641862055 1194876019 /nfs/dbraw/zinc/87/60/19/1194876019.db2.gz QFBXBKYOILKUEM-AWEZNQCLSA-N 0 1 310.825 3.322 20 30 DGEDMN CCC[C@@H]1CCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641930566 1194879594 /nfs/dbraw/zinc/87/95/94/1194879594.db2.gz YTNLTMZCCNKXDN-HIFRSBDPSA-N 0 1 323.400 3.498 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC001642834774 1194945181 /nfs/dbraw/zinc/94/51/81/1194945181.db2.gz XGRRDAMWOMUOEY-QGZVFWFLSA-N 0 1 323.383 3.337 20 30 DGEDMN C=CCN(CCOC)C[C@@H](O)c1ccc(Cl)c(Cl)c1 ZINC001642940567 1194955623 /nfs/dbraw/zinc/95/56/23/1194955623.db2.gz DRNOQPZUHCPTBC-CQSZACIVSA-N 0 1 304.217 3.161 20 30 DGEDMN CC[C@@H](C)Oc1cccc(NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC001643096663 1194984491 /nfs/dbraw/zinc/98/44/91/1194984491.db2.gz YXTNZEBEUMSGBF-LLVKDONJSA-N 0 1 311.341 3.088 20 30 DGEDMN C[C@H](NCc1ccc(F)c(C#N)c1)c1ccc2[nH]c(=O)oc2c1 ZINC001644019791 1195073758 /nfs/dbraw/zinc/07/37/58/1195073758.db2.gz YIZBMCVNBCFKJD-JTQLQIEISA-N 0 1 311.316 3.395 20 30 DGEDMN CC(C)CSCCCN1CCN(c2ccccc2C#N)CC1 ZINC001644497255 1195113055 /nfs/dbraw/zinc/11/30/55/1195113055.db2.gz GXGBQOZTSGDQFL-UHFFFAOYSA-N 0 1 317.502 3.460 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC001645015925 1195151108 /nfs/dbraw/zinc/15/11/08/1195151108.db2.gz PMCLZXLMQCEDKX-JKSUJKDBSA-N 0 1 320.820 3.110 20 30 DGEDMN CC(C)c1ncc(CNC(=O)c2ccc(C(C)(C)C#N)cc2)[nH]1 ZINC001645476786 1195187184 /nfs/dbraw/zinc/18/71/84/1195187184.db2.gz WJAQRMPQODYNES-UHFFFAOYSA-N 0 1 310.401 3.264 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H]1CCCN(c2ccccc2Cl)C1=O ZINC001645745942 1195200334 /nfs/dbraw/zinc/20/03/34/1195200334.db2.gz MHDGKGQBBXQAMH-YOEHRIQHSA-N 0 1 304.821 3.227 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001646080206 1195212984 /nfs/dbraw/zinc/21/29/84/1195212984.db2.gz DUBQHXYCQVSQQZ-SFHVURJKSA-N 0 1 320.371 3.017 20 30 DGEDMN C=C[C@@H](C(=O)NCCCCc1ccnc(N)c1)c1ccccc1 ZINC001648334226 1195293426 /nfs/dbraw/zinc/29/34/26/1195293426.db2.gz GTXRGOZLSWXCIG-QGZVFWFLSA-N 0 1 309.413 3.073 20 30 DGEDMN C#Cc1ccc(NC(=O)c2c(O)cnc3c(F)cccc32)cc1 ZINC001648498771 1195304510 /nfs/dbraw/zinc/30/45/10/1195304510.db2.gz RIVRJIQPTFDZRX-UHFFFAOYSA-N 0 1 306.296 3.313 20 30 DGEDMN O=C(c1c(O)cccc1F)N(O)CCCCc1ccccc1 ZINC001651225996 1195428846 /nfs/dbraw/zinc/42/88/46/1195428846.db2.gz HEBATPWUHISVKT-UHFFFAOYSA-N 0 1 303.333 3.386 20 30 DGEDMN CC(C)c1ncc(CNc2ncc(Br)cc2C#N)[nH]1 ZINC001651453956 1195464003 /nfs/dbraw/zinc/46/40/03/1195464003.db2.gz CBBCQSVJUIOAEW-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN CCc1cc(CNc2cc(Br)ccc2C#N)n[nH]1 ZINC001651511773 1195473753 /nfs/dbraw/zinc/47/37/53/1195473753.db2.gz YKTDKIZRFDHYAD-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN N#Cc1ccc(OC2CN(CC3Cc4ccccc4C3)C2)cc1 ZINC001652626396 1195628469 /nfs/dbraw/zinc/62/84/69/1195628469.db2.gz XLPFAYMROZFQOE-UHFFFAOYSA-N 0 1 304.393 3.036 20 30 DGEDMN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@@H](O)c1cccc(C#N)c1 ZINC001654113390 1195775959 /nfs/dbraw/zinc/77/59/59/1195775959.db2.gz PLPGQQOBZOLXKR-KCPJHIHWSA-N 0 1 312.335 3.254 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC001654177944 1195780659 /nfs/dbraw/zinc/78/06/59/1195780659.db2.gz PMNWIKNPXPIBKH-ZDUSSCGKSA-N 0 1 317.820 3.438 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC001654177943 1195780932 /nfs/dbraw/zinc/78/09/32/1195780932.db2.gz PMNWIKNPXPIBKH-CYBMUJFWSA-N 0 1 317.820 3.438 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC001654177751 1195781164 /nfs/dbraw/zinc/78/11/64/1195781164.db2.gz JXQRYNAUMXHYQQ-WMLDXEAASA-N 0 1 302.393 3.219 20 30 DGEDMN CCc1ccc(CN2CCN(Cc3cccc(C#N)c3)CC2)cc1 ZINC001654650823 1195827075 /nfs/dbraw/zinc/82/70/75/1195827075.db2.gz LUBVZPJJNMQGSP-UHFFFAOYSA-N 0 1 319.452 3.438 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2nc3ccc(C)cc3s2)C1 ZINC001655175714 1195887251 /nfs/dbraw/zinc/88/72/51/1195887251.db2.gz MZHCCAJKBCPVJR-CYBMUJFWSA-N 0 1 315.442 3.441 20 30 DGEDMN N#Cc1cc(CNCc2cccc(Cn3ccnc3)c2)cs1 ZINC001656027442 1195989384 /nfs/dbraw/zinc/98/93/84/1195989384.db2.gz OBIHIWOQDAXUFE-UHFFFAOYSA-N 0 1 308.410 3.154 20 30 DGEDMN C=CC[C@@H](Sc1nc(-c2ccc(CC)cc2)n[nH]1)C(=O)OC ZINC001656067803 1195994206 /nfs/dbraw/zinc/99/42/06/1195994206.db2.gz WEILTXCNCCFYPW-CYBMUJFWSA-N 0 1 317.414 3.244 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccccc1F ZINC001656097244 1195999936 /nfs/dbraw/zinc/99/99/36/1195999936.db2.gz IUQLSBDGURPZBV-LSDHHAIUSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)C1CCCCC1 ZINC001656096960 1196000333 /nfs/dbraw/zinc/00/03/33/1196000333.db2.gz GMIZGKGQOWQSDN-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccccc1C ZINC001656109201 1196003949 /nfs/dbraw/zinc/00/39/49/1196003949.db2.gz GOUDGAZQALBBBC-IAGOWNOFSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(C(F)(F)F)CCCC1 ZINC001656112598 1196005245 /nfs/dbraw/zinc/00/52/45/1196005245.db2.gz FUJFDFXFYRWBDG-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001656251999 1196024316 /nfs/dbraw/zinc/02/43/16/1196024316.db2.gz PUOGPYFSCHRDAT-BPQIPLTHSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](N[C@@H](C)c2ncc(C)o2)C1 ZINC001656330184 1196030682 /nfs/dbraw/zinc/03/06/82/1196030682.db2.gz ZLFVDCSOBDZBPC-SOUVJXGZSA-N 0 1 319.449 3.131 20 30 DGEDMN CN(C/C=C/Cl)C[C@@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001657063550 1196099116 /nfs/dbraw/zinc/09/91/16/1196099116.db2.gz SESBLLAFKWEJGZ-USYSOWRXSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CCCc1ccsc1 ZINC001657067482 1196100018 /nfs/dbraw/zinc/10/00/18/1196100018.db2.gz WTYUMUATSTVJKS-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)CC(C)C)CC(C)(C)C1 ZINC001657091262 1196104323 /nfs/dbraw/zinc/10/43/23/1196104323.db2.gz ITZNXHKQQNVPGA-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)CC(C)(F)F)CC(C)(C)C1 ZINC001657102595 1196106599 /nfs/dbraw/zinc/10/65/99/1196106599.db2.gz WOUWKWGSXHEODT-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN Cc1cc(CNCC2(C#N)CCOCC2)cc(C)c1OC(F)F ZINC001657123858 1196108379 /nfs/dbraw/zinc/10/83/79/1196108379.db2.gz BAFVVTUSSBAJBS-UHFFFAOYSA-N 0 1 324.371 3.315 20 30 DGEDMN C#CCOc1ccccc1CNCc1c(C)cc(C#N)cc1C ZINC001657234906 1196122064 /nfs/dbraw/zinc/12/20/64/1196122064.db2.gz HPGCGUPBLFOAEM-UHFFFAOYSA-N 0 1 304.393 3.477 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H](NCCC2CCCCC2)C1 ZINC001657689616 1196169827 /nfs/dbraw/zinc/16/98/27/1196169827.db2.gz ILVGZVNTHMOGKH-HZPDHXFCSA-N 0 1 321.465 3.305 20 30 DGEDMN C=CCOCCNCc1c(Br)c2ccccc2n1C ZINC001657727367 1196172554 /nfs/dbraw/zinc/17/25/54/1196172554.db2.gz SXQVQWOTZPZEIN-UHFFFAOYSA-N 0 1 323.234 3.233 20 30 DGEDMN C=CC[C@@H]1CC[C@H](NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC001657822514 1196181281 /nfs/dbraw/zinc/18/12/81/1196181281.db2.gz IFECUZLPDQMQRY-OLZOCXBDSA-N 0 1 318.408 3.481 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)C[C@H]1CCCC[C@H]1O ZINC001658604850 1196279506 /nfs/dbraw/zinc/27/95/06/1196279506.db2.gz ANEPFFPVHPYCQO-RHSMWYFYSA-N 0 1 321.848 3.335 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCOc3ccc(F)cc32)cc1F ZINC001658871593 1196312631 /nfs/dbraw/zinc/31/26/31/1196312631.db2.gz KGGSHJCZOWQLMM-MRXNPFEDSA-N 0 1 300.308 3.450 20 30 DGEDMN COCC#CCN1CCC(Cc2nc(C(C)(C)C)cs2)CC1 ZINC001659382850 1196377434 /nfs/dbraw/zinc/37/74/34/1196377434.db2.gz AUOCAKDNGNRNTG-UHFFFAOYSA-N 0 1 320.502 3.345 20 30 DGEDMN COc1ccc(C(C)(C)CN(C)CC(C)(C)C#N)cc1OC ZINC001659859762 1196422766 /nfs/dbraw/zinc/42/27/66/1196422766.db2.gz QRMDOVIOSZZENK-UHFFFAOYSA-N 0 1 304.434 3.463 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)Cc1cc(Cl)ccc1F ZINC001660128995 1196449159 /nfs/dbraw/zinc/44/91/59/1196449159.db2.gz OIOHRLIMONEXEL-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN CC#CCN(C)Cc1ccc(CNC(=O)OC(C)(C)C)cc1 ZINC001660130916 1196449932 /nfs/dbraw/zinc/44/99/32/1196449932.db2.gz BIVLIXXZHPRJEL-UHFFFAOYSA-N 0 1 302.418 3.166 20 30 DGEDMN CCC(C)(C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001660154433 1196451667 /nfs/dbraw/zinc/45/16/67/1196451667.db2.gz KLDXQIJXSFULGX-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1nccs1 ZINC001660446189 1196484660 /nfs/dbraw/zinc/48/46/60/1196484660.db2.gz FLOHBJZBRWKBHR-GJZGRUSLSA-N 0 1 321.490 3.359 20 30 DGEDMN C#C[C@@H]1CCCCN1C[C@@H]1CC(c2ccc(Cl)cc2)=NO1 ZINC001660543325 1196495238 /nfs/dbraw/zinc/49/52/38/1196495238.db2.gz XIXGTKGTCBVPEH-CVEARBPZSA-N 0 1 302.805 3.321 20 30 DGEDMN CCOC(=O)[C@@H]1[C@H]2CC[C@H](C2)N1CCCCC1(C#N)CCC1 ZINC001660730845 1196517410 /nfs/dbraw/zinc/51/74/10/1196517410.db2.gz KEQFHRWIPRMNQT-XHSDSOJGSA-N 0 1 304.434 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC001660826086 1196530032 /nfs/dbraw/zinc/53/00/32/1196530032.db2.gz FUEBFJFTURJTEW-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC001660980164 1196549871 /nfs/dbraw/zinc/54/98/71/1196549871.db2.gz GLCLRCXWOJUTOA-YOEHRIQHSA-N 0 1 318.848 3.395 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001660991948 1196550837 /nfs/dbraw/zinc/55/08/37/1196550837.db2.gz XBOUHRRDBDZBIG-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001661249058 1196588790 /nfs/dbraw/zinc/58/87/90/1196588790.db2.gz IPPJIGIQNCFFPP-QRVBRYPASA-N 0 1 324.468 3.391 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC[C@H](C)c1ccccc1 ZINC001661267007 1196590264 /nfs/dbraw/zinc/59/02/64/1196590264.db2.gz HBIUAMCWBYYCBY-YSIASYRMSA-N 0 1 324.468 3.269 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCC1CCCCC1 ZINC001661275737 1196591415 /nfs/dbraw/zinc/59/14/15/1196591415.db2.gz CWRUJEHQGSPTHR-KDURUIRLSA-N 0 1 316.489 3.436 20 30 DGEDMN CCCCCCC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001661502049 1196613695 /nfs/dbraw/zinc/61/36/95/1196613695.db2.gz QKOHYVDHTGIYPT-IYARVYRRSA-N 0 1 313.445 3.265 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2cccc(C(C)C)n2)C1 ZINC001662329534 1196708785 /nfs/dbraw/zinc/70/87/85/1196708785.db2.gz MBFZLINMWMRKCU-OAHLLOKOSA-N 0 1 315.461 3.156 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CNCc2ncc(C(C)C)o2)C1 ZINC001662635199 1196736331 /nfs/dbraw/zinc/73/63/31/1196736331.db2.gz LAKVFESKTSNZTP-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001663271992 1196823692 /nfs/dbraw/zinc/82/36/92/1196823692.db2.gz NRMZRSWPARTMEN-CYBMUJFWSA-N 0 1 322.399 3.430 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001663613605 1196913875 /nfs/dbraw/zinc/91/38/75/1196913875.db2.gz NKALZRNRXVVFMR-OAHLLOKOSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1cc(C)sc1C)C1CC1 ZINC001663717804 1196934225 /nfs/dbraw/zinc/93/42/25/1196934225.db2.gz BFJKFGMNTJPLOM-UHFFFAOYSA-N 0 1 318.486 3.249 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001663911274 1196956338 /nfs/dbraw/zinc/95/63/38/1196956338.db2.gz VSEWHAVFNUTHKH-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)C(CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N)C(C)C ZINC001664205743 1196979880 /nfs/dbraw/zinc/97/98/80/1196979880.db2.gz YMZBCWXRRGRUOW-ZBFHGGJFSA-N 0 1 323.481 3.263 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCC1CCCC1)c1ccccc1 ZINC001664567942 1197015758 /nfs/dbraw/zinc/01/57/58/1197015758.db2.gz PTGMOGPILYTTKX-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H](NC/C=C\Cl)c1ccccc1 ZINC001664578175 1197016569 /nfs/dbraw/zinc/01/65/69/1197016569.db2.gz KXBKDMGBDWVNST-FGLWMGOFSA-N 0 1 318.848 3.236 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(C1CC1)C1CC1)c1ccccc1 ZINC001664598452 1197019552 /nfs/dbraw/zinc/01/95/52/1197019552.db2.gz KZBJNIVOBGTHET-FQEVSTJZSA-N 0 1 324.468 3.283 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001664746255 1197049640 /nfs/dbraw/zinc/04/96/40/1197049640.db2.gz WHCLXPPWQWZVPW-HUUCEWRRSA-N 0 1 324.852 3.272 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CC3CCC2CC3)C1 ZINC001664760481 1197053996 /nfs/dbraw/zinc/05/39/96/1197053996.db2.gz UBHMLQKDYQZSDO-XGHPGWEVSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(CCOC2CCCCCC2)C1 ZINC001665043449 1197089861 /nfs/dbraw/zinc/08/98/61/1197089861.db2.gz KODGNYAZBXVIIM-KRWDZBQOSA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001665090998 1197095461 /nfs/dbraw/zinc/09/54/61/1197095461.db2.gz JZQOWEWSBGTAGT-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cccc(C)c2Cl)C1 ZINC001665165711 1197104366 /nfs/dbraw/zinc/10/43/66/1197104366.db2.gz JOXZLCQZSSPHGB-AWEZNQCLSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccccc2-n2cccc2)C1 ZINC001665187815 1197107319 /nfs/dbraw/zinc/10/73/19/1197107319.db2.gz SWQDMFZRTUIZSM-MRXNPFEDSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2cc(F)ccc2F)C1 ZINC001665235703 1197113417 /nfs/dbraw/zinc/11/34/17/1197113417.db2.gz VQRRWBICGMEYSQ-ZDUSSCGKSA-N 0 1 322.399 3.053 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@H]2CCc3c2cccc3F)C1 ZINC001665324315 1197122590 /nfs/dbraw/zinc/12/25/90/1197122590.db2.gz MYGJHGLROHFFPY-SFHVURJKSA-N 0 1 316.420 3.217 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1F ZINC001665387539 1197131740 /nfs/dbraw/zinc/13/17/40/1197131740.db2.gz UXKQHOSQGGGGBL-AVGNSLFASA-N 0 1 308.422 3.141 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C/CC)CC1 ZINC001665413884 1197133792 /nfs/dbraw/zinc/13/37/92/1197133792.db2.gz ZSXREBFYWGIZKE-JDTHPWDHSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001665658636 1197166183 /nfs/dbraw/zinc/16/61/83/1197166183.db2.gz ARCKMEARAFOZOV-GOEBONIOSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001665658796 1197166692 /nfs/dbraw/zinc/16/66/92/1197166692.db2.gz BXXFEJYIVJNQBJ-WBVHZDCISA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(CC(C)C)CCC1 ZINC001665813185 1197200647 /nfs/dbraw/zinc/20/06/47/1197200647.db2.gz DTKWRHWKAQJBNY-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)cc(OC)c1C ZINC001665813816 1197201156 /nfs/dbraw/zinc/20/11/56/1197201156.db2.gz KCQCUUFMZWIWGK-CQSZACIVSA-N 0 1 324.852 3.163 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001665827932 1197203284 /nfs/dbraw/zinc/20/32/84/1197203284.db2.gz FPYKOFPSPHZYKA-SPQRHKFCSA-N 0 1 311.429 3.065 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)c(C)s1 ZINC001665883907 1197211318 /nfs/dbraw/zinc/21/13/18/1197211318.db2.gz NIDWFBHBJSCNJJ-LBPRGKRZSA-N 0 1 300.855 3.215 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)c(C)s1 ZINC001665883906 1197211425 /nfs/dbraw/zinc/21/14/25/1197211425.db2.gz NIDWFBHBJSCNJJ-GFCCVEGCSA-N 0 1 300.855 3.215 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2cnccc21 ZINC001665888198 1197211992 /nfs/dbraw/zinc/21/19/92/1197211992.db2.gz PDSNHCQTFFAGEP-CQSZACIVSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1cccc(OC)c1 ZINC001665892910 1197212684 /nfs/dbraw/zinc/21/26/84/1197212684.db2.gz JMHFQVPVOATGCY-DZGCQCFKSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001665893249 1197213077 /nfs/dbraw/zinc/21/30/77/1197213077.db2.gz NIFQZGOMVFLSFM-NVPAJSRCSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@H]2C=CCC2)CC1 ZINC001666355337 1197255151 /nfs/dbraw/zinc/25/51/51/1197255151.db2.gz HTWFBSRELJXLMO-INIZCTEOSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001666972325 1197303716 /nfs/dbraw/zinc/30/37/16/1197303716.db2.gz MZZKIMFIMKDDKC-HZPDHXFCSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Br)CNC[C@@H](CC(C)C)NC(=O)CC(C)C ZINC001667069532 1197313918 /nfs/dbraw/zinc/31/39/18/1197313918.db2.gz JUBQAGFTAUYKLD-CYBMUJFWSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1)C1CCCCC1 ZINC001667124245 1197320952 /nfs/dbraw/zinc/32/09/52/1197320952.db2.gz JMWOCVGSRYMCIE-HNNXBMFYSA-N 0 1 310.825 3.300 20 30 DGEDMN C#CCCCC(=O)N[C@@H](CNCC(=C)Cl)C1CCCCC1 ZINC001667130345 1197321688 /nfs/dbraw/zinc/32/16/88/1197321688.db2.gz LAEBIYNZEMQAEE-INIZCTEOSA-N 0 1 310.869 3.197 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1ncc(C)s1)C(C)C ZINC001667463181 1197351182 /nfs/dbraw/zinc/35/11/82/1197351182.db2.gz CMGXBGCSSKGONP-AWEZNQCLSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCN[C@@H](C)c2ncc(C)o2)CCC1 ZINC001668204307 1197404846 /nfs/dbraw/zinc/40/48/46/1197404846.db2.gz WTAAMHIYPHSYLT-ZFWWWQNUSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C1CCC1)C1CCC1 ZINC001669001155 1197437108 /nfs/dbraw/zinc/43/71/08/1197437108.db2.gz LVVMVFWWCPSRND-IHWYPQMZSA-N 0 1 310.869 3.217 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1csc2ccccc21 ZINC001669364704 1197450785 /nfs/dbraw/zinc/45/07/85/1197450785.db2.gz RGXAZWSIYAKRSR-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001669434443 1197454795 /nfs/dbraw/zinc/45/47/95/1197454795.db2.gz OXZYJESWKIJGEJ-DNVCBOLYSA-N 0 1 315.461 3.369 20 30 DGEDMN C[C@@H](CNCc1cccc(F)c1Cl)NC(=O)C#CC(C)(C)C ZINC001669554091 1197462405 /nfs/dbraw/zinc/46/24/05/1197462405.db2.gz UJUHDWGKUWEJFJ-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1nc(C)sc1C ZINC001670200600 1197525022 /nfs/dbraw/zinc/52/50/22/1197525022.db2.gz GPKPMRZDNXBOFE-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001671881987 1197579720 /nfs/dbraw/zinc/57/97/20/1197579720.db2.gz HLXISLDLACDMNS-FTUHFCPYSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc(C3CCC3)c2)C1 ZINC001671912175 1197584796 /nfs/dbraw/zinc/58/47/96/1197584796.db2.gz ITBQEKCYKLCQCS-NRFANRHFSA-N 0 1 324.468 3.419 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CCCCC(C)C)C1 ZINC001671971496 1197589042 /nfs/dbraw/zinc/58/90/42/1197589042.db2.gz BZUURTPDWUCWOA-OAHLLOKOSA-N 0 1 316.436 3.462 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CCCC1)c1ccccc1CC ZINC001672171129 1197594329 /nfs/dbraw/zinc/59/43/29/1197594329.db2.gz NJFBAHBGGAMTHO-IBGZPJMESA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC(C)C)CCCC1 ZINC001672537669 1197615818 /nfs/dbraw/zinc/61/58/18/1197615818.db2.gz JSDMRIZZIKQKGO-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cccc(C)c1C ZINC001672933919 1197629674 /nfs/dbraw/zinc/62/96/74/1197629674.db2.gz OCIKELMNMFXXOV-UHFFFAOYSA-N 0 1 320.436 3.298 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C3CC3)C3CC3)cccc2C1 ZINC001673079705 1197636727 /nfs/dbraw/zinc/63/67/27/1197636727.db2.gz LDIUJOZUIKADSM-UHFFFAOYSA-N 0 1 324.468 3.283 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001673381554 1197653548 /nfs/dbraw/zinc/65/35/48/1197653548.db2.gz SFIHYLFMZFSWSY-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(CC)C[C@H]2CC)c(Cl)c1 ZINC001673386394 1197654942 /nfs/dbraw/zinc/65/49/42/1197654942.db2.gz JWHDBGOYXJNJTC-CQSZACIVSA-N 0 1 319.836 3.269 20 30 DGEDMN CCCCC(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001673416429 1197658887 /nfs/dbraw/zinc/65/88/87/1197658887.db2.gz AKTOGINGBNUXSR-UHFFFAOYSA-N 0 1 301.434 3.029 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@@H]1[C@H]1CCCN(CCF)C1 ZINC001673495659 1197669198 /nfs/dbraw/zinc/66/91/98/1197669198.db2.gz BGGQWNPQHDEKAC-ZWKOTPCHSA-N 0 1 322.468 3.243 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)CC(C)C)C[C@H]1C ZINC001673917348 1197706310 /nfs/dbraw/zinc/70/63/10/1197706310.db2.gz LUBKMTVEEIMYAV-OCCSQVGLSA-N 0 1 300.874 3.248 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)C(C)(C)C1 ZINC001674033328 1197725327 /nfs/dbraw/zinc/72/53/27/1197725327.db2.gz HGGPEIGKIMSJSU-OAHLLOKOSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)C(C)(C)C1 ZINC001674039043 1197726714 /nfs/dbraw/zinc/72/67/14/1197726714.db2.gz GPKAQCNMFYHGFE-GHOSXJJBSA-N 0 1 324.468 3.248 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(C/C=C\Cl)[C@H](C)C1 ZINC001674134197 1197736322 /nfs/dbraw/zinc/73/63/22/1197736322.db2.gz JRGKDTOTCXWIEZ-WNTKCETOSA-N 0 1 310.869 3.476 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(C/C=C/Cl)[C@@H](C)C1 ZINC001674134195 1197736406 /nfs/dbraw/zinc/73/64/06/1197736406.db2.gz JRGKDTOTCXWIEZ-CAQACHJTSA-N 0 1 310.869 3.476 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)C[C@H]1C ZINC001674155982 1197740930 /nfs/dbraw/zinc/74/09/30/1197740930.db2.gz RYKVPGOVCJFLCC-QRMWTIMZSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)[C@H](CC)CCC)CC2)C1 ZINC001674284206 1197756949 /nfs/dbraw/zinc/75/69/49/1197756949.db2.gz NHDZGKCFYYCCSQ-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C(C)(C)C)cc1 ZINC001674495479 1197787884 /nfs/dbraw/zinc/78/78/84/1197787884.db2.gz JPZGRPBRDDVBOI-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1oc(C(F)F)cc1C ZINC001674680248 1197830823 /nfs/dbraw/zinc/83/08/23/1197830823.db2.gz LFQOJAAMNORHTC-ZJUUUORDSA-N 0 1 320.767 3.375 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2cccnc21 ZINC001674693439 1197836950 /nfs/dbraw/zinc/83/69/50/1197836950.db2.gz GMQBMHULCCLEQY-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1c(C)onc1CC ZINC001674716982 1197845012 /nfs/dbraw/zinc/84/50/12/1197845012.db2.gz QXADSBGZNZXHDE-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2ccccc2n1C ZINC001674723199 1197848109 /nfs/dbraw/zinc/84/81/09/1197848109.db2.gz WLXREAXDKUNCCR-OLZOCXBDSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1c(C)cc(C)cc1C ZINC001674722725 1197849218 /nfs/dbraw/zinc/84/92/18/1197849218.db2.gz RGTLVODECOZVGC-JKSUJKDBSA-N 0 1 322.880 3.390 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)nc1C ZINC001674728936 1197849909 /nfs/dbraw/zinc/84/99/09/1197849909.db2.gz KTJWBUPKWGFSIH-QWHCGFSZSA-N 0 1 323.868 3.362 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)nc1C ZINC001674728937 1197850493 /nfs/dbraw/zinc/85/04/93/1197850493.db2.gz KTJWBUPKWGFSIH-STQMWFEESA-N 0 1 323.868 3.362 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001674930746 1197923331 /nfs/dbraw/zinc/92/33/31/1197923331.db2.gz CPSJRVMVUWDEIM-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001675035688 1197973014 /nfs/dbraw/zinc/97/30/14/1197973014.db2.gz HHULPRSGUSYGFI-INIZCTEOSA-N 0 1 306.409 3.037 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001675349670 1198050334 /nfs/dbraw/zinc/05/03/34/1198050334.db2.gz XTQXVWSXBDSDKX-DLBZAZTESA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001675363543 1198054419 /nfs/dbraw/zinc/05/44/19/1198054419.db2.gz KSJOIKQJOKHHBM-IHXKFAGKSA-N 0 1 316.489 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(F)=C1CCCC1 ZINC001676209249 1198250774 /nfs/dbraw/zinc/25/07/74/1198250774.db2.gz AJAQXPGGIMXPEH-AWEZNQCLSA-N 0 1 314.832 3.363 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)C=C(CC)CC ZINC001676329422 1198291095 /nfs/dbraw/zinc/29/10/95/1198291095.db2.gz AZOCXBAKUBACQF-GFCCVEGCSA-N 0 1 317.271 3.078 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001676359285 1198299784 /nfs/dbraw/zinc/29/97/84/1198299784.db2.gz CLPAYFVRLUBJEV-XXXXFFMBSA-N 0 1 324.896 3.248 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCC[N@H+](CCOCC)[C@@H]2C)CCCC1 ZINC001676898838 1198429044 /nfs/dbraw/zinc/42/90/44/1198429044.db2.gz SJIPJGGXWPSUPO-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(F)c2F)C(C)(C)C1 ZINC001676985085 1198453470 /nfs/dbraw/zinc/45/34/70/1198453470.db2.gz CGPNSJXDHCKXLW-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001677276350 1198507598 /nfs/dbraw/zinc/50/75/98/1198507598.db2.gz AXWBUAVOYDAAOQ-ZBFHGGJFSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CNCc2nccs2)C(C)(C)C)C1 ZINC001677281326 1198508496 /nfs/dbraw/zinc/50/84/96/1198508496.db2.gz GYLNVMDITHSOOM-ZDUSSCGKSA-N 0 1 321.490 3.120 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2ccc(CC)cc2)c(F)c1 ZINC001677648201 1198567776 /nfs/dbraw/zinc/56/77/76/1198567776.db2.gz HRPYYLBHOYFHTP-UHFFFAOYSA-N 0 1 324.399 3.041 20 30 DGEDMN C#CCC1(NC(=O)NCc2nc3ccc(C)cc3[nH]2)CCCCC1 ZINC001679875472 1198833198 /nfs/dbraw/zinc/83/31/98/1198833198.db2.gz NUCOIEYPSPLCFR-UHFFFAOYSA-N 0 1 324.428 3.397 20 30 DGEDMN C=C(C)CCNC(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC001682512567 1199167736 /nfs/dbraw/zinc/16/77/36/1199167736.db2.gz BBDLKYRTLCLJRG-SFHVURJKSA-N 0 1 315.461 3.431 20 30 DGEDMN CCCC[C@@H](CC)CCCN(C)C(=O)NCC#CCN(C)C ZINC001685832147 1199511747 /nfs/dbraw/zinc/51/17/47/1199511747.db2.gz OKOIBBJJFJKGPT-QGZVFWFLSA-N 0 1 309.498 3.189 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)N1CCN(CC(C)C)CC1 ZINC001687035716 1199613003 /nfs/dbraw/zinc/61/30/03/1199613003.db2.gz AEUVZJHODWTVRY-DLBZAZTESA-N 0 1 307.482 3.105 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1CCCC1 ZINC001688184085 1199710920 /nfs/dbraw/zinc/71/09/20/1199710920.db2.gz UJNOZKXFRODXIS-IBGZPJMESA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1c(C)cc(C)cc1C ZINC001688886031 1199942824 /nfs/dbraw/zinc/94/28/24/1199942824.db2.gz GVUZNSZKAIOKJJ-SFHVURJKSA-N 0 1 314.473 3.263 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001688897597 1199950116 /nfs/dbraw/zinc/95/01/16/1199950116.db2.gz ZBLSRXDABZZLEB-ZFWWWQNUSA-N 0 1 322.399 3.177 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001689282370 1200081609 /nfs/dbraw/zinc/08/16/09/1200081609.db2.gz DOUMURGMYBOTBC-RKVPGOIHSA-N 0 1 313.445 3.073 20 30 DGEDMN CCCCC(=O)NC/C=C/CNCC#Cc1ccccc1Cl ZINC001754096449 1200102716 /nfs/dbraw/zinc/10/27/16/1200102716.db2.gz WRCBGGCAZUMGRN-VOTSOKGWSA-N 0 1 318.848 3.144 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCC(C)(C)C1 ZINC001689352245 1200105874 /nfs/dbraw/zinc/10/58/74/1200105874.db2.gz ABZYNVNALWKOMS-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCCCC(=O)NC/C=C/CNCC#Cc1ccc(F)cc1 ZINC001754111284 1200107403 /nfs/dbraw/zinc/10/74/03/1200107403.db2.gz XMKFJNGBFITUPZ-AATRIKPKSA-N 0 1 316.420 3.020 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H]1CCc2ccccc21 ZINC001689353999 1200107526 /nfs/dbraw/zinc/10/75/26/1200107526.db2.gz TYUSGOXLEJAUSW-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1ccncc1Cl ZINC001754125946 1200110391 /nfs/dbraw/zinc/11/03/91/1200110391.db2.gz SDBPQDGXMVNLGF-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN CC(C)=C(C)CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001754157422 1200117145 /nfs/dbraw/zinc/11/71/45/1200117145.db2.gz LIRMRDKBGMJTQJ-BQYQJAHWSA-N 0 1 310.441 3.047 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001754156230 1200117204 /nfs/dbraw/zinc/11/72/04/1200117204.db2.gz IZDORLFOPHOJAS-SREVYHEPSA-N 0 1 304.409 3.108 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001754247873 1200151817 /nfs/dbraw/zinc/15/18/17/1200151817.db2.gz BRYFPHJRTSGTDD-CHWSQXEVSA-N 0 1 320.820 3.383 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN[C@@H](C)c2ccc(F)cc2F)C1 ZINC001754282864 1200171033 /nfs/dbraw/zinc/17/10/33/1200171033.db2.gz PVYGREMEAYRVFO-STQMWFEESA-N 0 1 322.399 3.476 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001754287880 1200173465 /nfs/dbraw/zinc/17/34/65/1200173465.db2.gz UKMPDNNVPGEIIS-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@H](CCC)C(C)C ZINC001754285969 1200173677 /nfs/dbraw/zinc/17/36/77/1200173677.db2.gz SUNJTWIOMGVURE-QWHCGFSZSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc2c(o1)CCCC2)C1CC1 ZINC001754443118 1200266772 /nfs/dbraw/zinc/26/67/72/1200266772.db2.gz QETUASOHCLGZAX-CQSZACIVSA-N 0 1 322.836 3.009 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1cc(F)ccc1F)C1CC1 ZINC001754447401 1200267910 /nfs/dbraw/zinc/26/79/10/1200267910.db2.gz DVZANJOEHIERFZ-INIZCTEOSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001754493330 1200307456 /nfs/dbraw/zinc/30/74/56/1200307456.db2.gz VBGNHWKNKMVWAC-IEBWSBKVSA-N 0 1 300.446 3.022 20 30 DGEDMN CCC(=O)NCCCN(CC#Cc1ccc(Cl)cc1)C1CC1 ZINC001689971460 1200351396 /nfs/dbraw/zinc/35/13/96/1200351396.db2.gz COQMWWQPHMZJQO-UHFFFAOYSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccc(Cl)cc2)C1 ZINC001754585801 1200382505 /nfs/dbraw/zinc/38/25/05/1200382505.db2.gz CNXXAHHLVXBLOM-CQSZACIVSA-N 0 1 320.864 3.380 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001690093028 1200395369 /nfs/dbraw/zinc/39/53/69/1200395369.db2.gz GTERXXCUAHSLBA-MRXNPFEDSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001754614128 1200396173 /nfs/dbraw/zinc/39/61/73/1200396173.db2.gz LWXZGHLTMQZPKA-OBIHZWKSSA-N 0 1 305.249 3.098 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCCC3(CC3)C2)CC1 ZINC001690134548 1200414048 /nfs/dbraw/zinc/41/40/48/1200414048.db2.gz VYLFACWANDTLQB-QGZVFWFLSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C(F)=C1CCCC1)C1CC1 ZINC001690148173 1200421767 /nfs/dbraw/zinc/42/17/67/1200421767.db2.gz YUHXEMNEYZHXFW-ZDUSSCGKSA-N 0 1 300.805 3.021 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001690160285 1200427733 /nfs/dbraw/zinc/42/77/33/1200427733.db2.gz CRTDHBDXGYQGMF-LVQVYYBASA-N 0 1 310.869 3.050 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001754668387 1200428329 /nfs/dbraw/zinc/42/83/29/1200428329.db2.gz KLDYIUSQHBQIQA-KXBFYZLASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C2CC2)C1 ZINC001690169265 1200430995 /nfs/dbraw/zinc/43/09/95/1200430995.db2.gz WXRHXTYYTOMRFQ-BWODNOAJSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)/C=C/c2ccco2)C1 ZINC001690182125 1200439510 /nfs/dbraw/zinc/43/95/10/1200439510.db2.gz NIRLZNUROHJWGT-MVGZEHJDSA-N 0 1 322.836 3.216 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)/C=C/c2ccco2)C1 ZINC001690182123 1200440349 /nfs/dbraw/zinc/44/03/49/1200440349.db2.gz NIRLZNUROHJWGT-KIUWMYQTSA-N 0 1 322.836 3.216 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C2CCC2)C1 ZINC001754744024 1200484059 /nfs/dbraw/zinc/48/40/59/1200484059.db2.gz VGKMQDRDSNFJHL-HIFRSBDPSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)(C)CC)c1ccccc1CC ZINC001754785809 1200487975 /nfs/dbraw/zinc/48/79/75/1200487975.db2.gz PQXHJUWHNMTGDX-SFHVURJKSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC1(C)CC1)c1ccccc1CC ZINC001754789225 1200490979 /nfs/dbraw/zinc/49/09/79/1200490979.db2.gz JNQJZNFPJNIHGW-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN(Cc2cc(F)cc(F)c2)C1 ZINC001690377243 1200522617 /nfs/dbraw/zinc/52/26/17/1200522617.db2.gz XEELPTJQYLQLLG-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCC=C(Cl)Cl)CCC1 ZINC001754982854 1200569962 /nfs/dbraw/zinc/56/99/62/1200569962.db2.gz JGXWJRMDHRTCJZ-UHFFFAOYSA-N 0 1 305.249 3.290 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](C)c1ccccc1 ZINC001690458482 1200573064 /nfs/dbraw/zinc/57/30/64/1200573064.db2.gz DBRPVRKZLPVGIV-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CNCc1conc1CC ZINC001690469928 1200578551 /nfs/dbraw/zinc/57/85/51/1200578551.db2.gz IMAXIGMTLUMMPO-INIZCTEOSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc2occc2[nH]1 ZINC001690469865 1200578948 /nfs/dbraw/zinc/57/89/48/1200578948.db2.gz FNGBCDHVGLOVCK-LBPRGKRZSA-N 0 1 321.808 3.098 20 30 DGEDMN CCCCC(=O)N(C)CCCN(C)CC#Cc1ccc(F)cc1 ZINC001755033226 1200597305 /nfs/dbraw/zinc/59/73/05/1200597305.db2.gz NWTFZGDMGVBWPM-UHFFFAOYSA-N 0 1 318.436 3.148 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1scnc1C ZINC001755038149 1200604195 /nfs/dbraw/zinc/60/41/95/1200604195.db2.gz OTRPRAWZWKWAPN-UHFFFAOYSA-N 0 1 309.479 3.088 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2cccc(F)c2F)C1 ZINC001755113431 1200642146 /nfs/dbraw/zinc/64/21/46/1200642146.db2.gz HJJYDZFPEFQRFN-CJNGLKHVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001690612085 1200646754 /nfs/dbraw/zinc/64/67/54/1200646754.db2.gz GBTQDEZLGJZJON-ABAIWWIYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC(C1CCC1)C1CCC1 ZINC001690623818 1200655199 /nfs/dbraw/zinc/65/51/99/1200655199.db2.gz XMWYWXNGXWXAPX-CYBMUJFWSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@@](C)(CC)CCC ZINC001690635369 1200661852 /nfs/dbraw/zinc/66/18/52/1200661852.db2.gz MEKZEQPBIZWPLH-OCCSQVGLSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2c(C)noc2C)[C@@H](C)C1 ZINC001755162994 1200668074 /nfs/dbraw/zinc/66/80/74/1200668074.db2.gz BXNGBYNWPPHCAD-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001690649990 1200671174 /nfs/dbraw/zinc/67/11/74/1200671174.db2.gz CGMJRZLAFLIEDD-ZBFHGGJFSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001690652921 1200674527 /nfs/dbraw/zinc/67/45/27/1200674527.db2.gz XOJRPFOZMPWOGE-HIFRSBDPSA-N 0 1 308.853 3.020 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@H]1C=CCCC1 ZINC001755186168 1200685043 /nfs/dbraw/zinc/68/50/43/1200685043.db2.gz JBHHYUFPNGWLPG-LDQXTDLNSA-N 0 1 322.452 3.128 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001755192188 1200691031 /nfs/dbraw/zinc/69/10/31/1200691031.db2.gz AFMOHHPSGFUGFR-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C[C@H](C)CCC=C(C)C ZINC001755199018 1200696683 /nfs/dbraw/zinc/69/66/83/1200696683.db2.gz NBOSVILNKAENCH-MSOLQXFVSA-N 0 1 304.478 3.315 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](C)CCC=C(C)C ZINC001755199018 1200696689 /nfs/dbraw/zinc/69/66/89/1200696689.db2.gz NBOSVILNKAENCH-MSOLQXFVSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCC[C@@H]1CN(C)CC#CC)c1ccccc1 ZINC001755201718 1200697755 /nfs/dbraw/zinc/69/77/55/1200697755.db2.gz WHKUIEPJCCXNTE-AZUAARDMSA-N 0 1 324.468 3.292 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@@H](C)NC(=O)CC1CCCC1 ZINC001755223069 1200712161 /nfs/dbraw/zinc/71/21/61/1200712161.db2.gz ISAUFYQRJQZXOZ-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(F)c(C)cc1F ZINC001755239490 1200726018 /nfs/dbraw/zinc/72/60/18/1200726018.db2.gz CMNZBYFTTQVLQH-QWRGUYRKSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@@H](C)NCc2ncc(C)s2)CC1 ZINC001755253367 1200739419 /nfs/dbraw/zinc/73/94/19/1200739419.db2.gz RYJAQNIHZLLDIA-ZIAGYGMSSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cncc2ccccc21 ZINC001755269366 1200750814 /nfs/dbraw/zinc/75/08/14/1200750814.db2.gz JKPOYOBPGPQLNK-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)C1CCCC1)c1ccccc1 ZINC001691786986 1200808896 /nfs/dbraw/zinc/80/88/96/1200808896.db2.gz IKNHJRIMWQTNCM-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@H](C)CC ZINC001691808117 1200815592 /nfs/dbraw/zinc/81/55/92/1200815592.db2.gz PESCVNDFTIGVMC-NFAWXSAZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccc(Cl)o2)C1 ZINC001691841592 1200831517 /nfs/dbraw/zinc/83/15/17/1200831517.db2.gz FZIJLBKMAOQCJM-ZDUSSCGKSA-N 0 1 310.825 3.436 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(C)CCCCCC1 ZINC001755363246 1200848138 /nfs/dbraw/zinc/84/81/38/1200848138.db2.gz FLEHSTLVHNVBLU-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001755373181 1200862577 /nfs/dbraw/zinc/86/25/77/1200862577.db2.gz JIRJHABJSWAXEM-GJZGRUSLSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001755377051 1200863377 /nfs/dbraw/zinc/86/33/77/1200863377.db2.gz PBNMABPIFAENAW-CQSZACIVSA-N 0 1 304.409 3.119 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C/Cl)[C@@H]2C1(C)C ZINC001691919444 1200872541 /nfs/dbraw/zinc/87/25/41/1200872541.db2.gz NUZMTQLIWKAMCM-NSOCIMAHSA-N 0 1 322.880 3.148 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC2(C)CCCC2)C1 ZINC001691956959 1200887018 /nfs/dbraw/zinc/88/70/18/1200887018.db2.gz HQAPKMSVZYNKJJ-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001755415800 1200890217 /nfs/dbraw/zinc/89/02/17/1200890217.db2.gz LWFKFQFJDPKTIA-HZPDHXFCSA-N 0 1 310.457 3.308 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1ncc(C)o1 ZINC001691988837 1200900506 /nfs/dbraw/zinc/90/05/06/1200900506.db2.gz YNAHBUZKDAOYDI-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C=C(CC)CC)C[C@@H]2C1 ZINC001692056534 1200918948 /nfs/dbraw/zinc/91/89/48/1200918948.db2.gz FEGULHLERGASBM-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001692089974 1200926804 /nfs/dbraw/zinc/92/68/04/1200926804.db2.gz HBQVEXBOYLYVHF-IPOQPSJVSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](CNCc1nccs1)C1CC1 ZINC001755565630 1200956492 /nfs/dbraw/zinc/95/64/92/1200956492.db2.gz CGJXHMKGHGPISQ-PBHICJAKSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@H](C)c1ccco1 ZINC001755568171 1200958501 /nfs/dbraw/zinc/95/85/01/1200958501.db2.gz FUNQXHBLAREVKH-WFASDCNBSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)ccc1C ZINC001755571712 1200959435 /nfs/dbraw/zinc/95/94/35/1200959435.db2.gz LXFSRIVJGLDRRN-QGZVFWFLSA-N 0 1 320.864 3.496 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](CC)c2ccc(C)cc2)[C@H]1C ZINC001692202241 1200967603 /nfs/dbraw/zinc/96/76/03/1200967603.db2.gz GYPBBTVJBLQTTQ-AOIWGVFYSA-N 0 1 312.457 3.091 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C/C=C\Cc2ccccc2)C1 ZINC001692206592 1200970820 /nfs/dbraw/zinc/97/08/20/1200970820.db2.gz CJHBHAOZQXGUSY-XZVRFQMRSA-N 0 1 312.457 3.331 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@H](C)NCc2nc(C)cs2)CC1 ZINC001755604838 1200982700 /nfs/dbraw/zinc/98/27/00/1200982700.db2.gz FAYRQZAMWXFFOO-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001755605249 1200983372 /nfs/dbraw/zinc/98/33/72/1200983372.db2.gz HXAMZHSDSPPKEA-SJCJKPOMSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001692249942 1200987893 /nfs/dbraw/zinc/98/78/93/1200987893.db2.gz MERCTVVSENSGSV-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001692258655 1200990960 /nfs/dbraw/zinc/99/09/60/1200990960.db2.gz YOEIGMLXHDOWDE-SJCJKPOMSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)sc2C)[C@@H]1C ZINC001755658582 1201001330 /nfs/dbraw/zinc/00/13/30/1201001330.db2.gz JTDMBNGWCZLPSC-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2cc(C)sc2C)C1 ZINC001692323378 1201017103 /nfs/dbraw/zinc/01/71/03/1201017103.db2.gz KQZRRIZGSGYQJN-UHFFFAOYSA-N 0 1 306.475 3.125 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2sc(C)nc2C)[C@H]1C ZINC001755715450 1201036158 /nfs/dbraw/zinc/03/61/58/1201036158.db2.gz ZENVVNOMYHTSER-ZFWWWQNUSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cccc(OC)c2)[C@@H]1C ZINC001692364301 1201037805 /nfs/dbraw/zinc/03/78/05/1201037805.db2.gz WALQQANHPNQRGL-QAPCUYQASA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001692364952 1201038404 /nfs/dbraw/zinc/03/84/04/1201038404.db2.gz SHFUSIUGMKFVQP-IRXDYDNUSA-N 0 1 302.462 3.067 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCCC[C@H]1CC)C(C)C ZINC001755817250 1201083307 /nfs/dbraw/zinc/08/33/07/1201083307.db2.gz FWINQACJYVGKBQ-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001698574298 1201245337 /nfs/dbraw/zinc/24/53/37/1201245337.db2.gz IJSRRUUVMBOZMY-YOEHRIQHSA-N 0 1 320.864 3.237 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCCC2CCCC2)C1 ZINC001698586980 1201261481 /nfs/dbraw/zinc/26/14/81/1201261481.db2.gz MBEIFRHPXCOXTO-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001698605865 1201275410 /nfs/dbraw/zinc/27/54/10/1201275410.db2.gz JWHDTNJPIDYTNQ-DLBZAZTESA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(Cl)c1F ZINC001698611437 1201281041 /nfs/dbraw/zinc/28/10/41/1201281041.db2.gz SCVHEWQUXSAYHQ-JTQLQIEISA-N 0 1 319.207 3.330 20 30 DGEDMN CC[C@@H](CNC(=O)c1ccc(C)cc1)NCc1ccccc1C#N ZINC001698617639 1201288863 /nfs/dbraw/zinc/28/88/63/1201288863.db2.gz FBJDEUXKLODZEY-IBGZPJMESA-N 0 1 321.424 3.165 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C1CC(C)(C)C1 ZINC001698937777 1201587652 /nfs/dbraw/zinc/58/76/52/1201587652.db2.gz FLEKMGLPBUAAFE-WFASDCNBSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1cc(C)no1 ZINC001698948703 1201597510 /nfs/dbraw/zinc/59/75/10/1201597510.db2.gz DTQURSMALYGCBD-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001698955191 1201604080 /nfs/dbraw/zinc/60/40/80/1201604080.db2.gz CAUPJOHFYJMCLP-INIZCTEOSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001698993049 1201657959 /nfs/dbraw/zinc/65/79/59/1201657959.db2.gz ULXXZSPAYDEMEL-UHFFFAOYSA-N 0 1 306.494 3.252 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC(C1CC1)C1CC1 ZINC001750929732 1201723901 /nfs/dbraw/zinc/72/39/01/1201723901.db2.gz PKGJYHRHCBTPDV-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](c2ccccc2)C(C)C)[C@H]1C ZINC001750931132 1201726053 /nfs/dbraw/zinc/72/60/53/1201726053.db2.gz KXNRWQUDHNZNRD-NEWSRXKRSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1ccc(C)c(C)c1 ZINC001699218653 1201758186 /nfs/dbraw/zinc/75/81/86/1201758186.db2.gz WVSWUJNBJSCAPG-UHFFFAOYSA-N 0 1 308.853 3.037 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001699261736 1201773394 /nfs/dbraw/zinc/77/33/94/1201773394.db2.gz HKNSXXITQDMOKY-SNAWJCMRSA-N 0 1 304.409 3.252 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001752085502 1201773480 /nfs/dbraw/zinc/77/34/80/1201773480.db2.gz SOUYRONXOSRXLR-ZIAGYGMSSA-N 0 1 321.490 3.240 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1ccc(Cl)cc1F ZINC001699376154 1201821878 /nfs/dbraw/zinc/82/18/78/1201821878.db2.gz FPQPKLAVWQROLL-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(COC(C)C)cc1 ZINC001699390673 1201834255 /nfs/dbraw/zinc/83/42/55/1201834255.db2.gz YTAVFZZRJMBSOI-CQSZACIVSA-N 0 1 324.852 3.072 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2sccc2F)CC1 ZINC001752433627 1201837905 /nfs/dbraw/zinc/83/79/05/1201837905.db2.gz NDEMSEVXYFDENO-UHFFFAOYSA-N 0 1 310.438 3.390 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1ccc(Cl)cc1F ZINC001699404173 1201841447 /nfs/dbraw/zinc/84/14/47/1201841447.db2.gz FIMBOTZUGJGCHH-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H](NCC(=C)Cl)C1CC1)c1ccccc1 ZINC001752499958 1201856532 /nfs/dbraw/zinc/85/65/32/1201856532.db2.gz RZMNYOGJGTXAKE-DLBZAZTESA-N 0 1 318.848 3.193 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC/C=C\c1ccccc1 ZINC001699422055 1201857460 /nfs/dbraw/zinc/85/74/60/1201857460.db2.gz NPJSRFLGBVSGKV-MLBQELRYSA-N 0 1 306.837 3.327 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001752650298 1201886180 /nfs/dbraw/zinc/88/61/80/1201886180.db2.gz DJKZYEPZKHNCDI-JUKMJGIZSA-N 0 1 317.260 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2sccc2s1 ZINC001699451402 1201888571 /nfs/dbraw/zinc/88/85/71/1201888571.db2.gz RWUKTBUODCJWLL-LLVKDONJSA-N 0 1 306.456 3.036 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2sccc2s1 ZINC001699451403 1201890612 /nfs/dbraw/zinc/89/06/12/1201890612.db2.gz RWUKTBUODCJWLL-NSHDSACASA-N 0 1 306.456 3.036 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001699453708 1201892492 /nfs/dbraw/zinc/89/24/92/1201892492.db2.gz RKTWTLDPJIJPEF-HNNXBMFYSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCC(NCc2nc(C)oc2C)CC1 ZINC001752851896 1201975173 /nfs/dbraw/zinc/97/51/73/1201975173.db2.gz HSNIYGVBXZFOFL-UHFFFAOYSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001699620538 1201984284 /nfs/dbraw/zinc/98/42/84/1201984284.db2.gz BPRFULLGPTUXMY-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2CC/C=C/CCC)cc1 ZINC001699625444 1201986291 /nfs/dbraw/zinc/98/62/91/1201986291.db2.gz DEKKGEUGFGDPCR-GHOSXJJBSA-N 0 1 310.441 3.218 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2CC/C=C\CCC)cc1 ZINC001699625447 1201987102 /nfs/dbraw/zinc/98/71/02/1201987102.db2.gz DEKKGEUGFGDPCR-RURPWVQCSA-N 0 1 310.441 3.218 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCc1ccc(C)s1 ZINC001699641079 1202007357 /nfs/dbraw/zinc/00/73/57/1202007357.db2.gz TZTYRRZNWMDYKC-HNNXBMFYSA-N 0 1 306.475 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001752915482 1202011256 /nfs/dbraw/zinc/01/12/56/1202011256.db2.gz XPNGLCWSNYWXNJ-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCc3ccccc3F)CC2)CCC1 ZINC001699663045 1202021873 /nfs/dbraw/zinc/02/18/73/1202021873.db2.gz HHDGDOCDGNOHFF-UHFFFAOYSA-N 0 1 316.420 3.311 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2csc(Cl)c2)CC1 ZINC001699664603 1202022832 /nfs/dbraw/zinc/02/28/32/1202022832.db2.gz MYOUMDLTRHUPGB-UHFFFAOYSA-N 0 1 305.230 3.006 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001699692495 1202036423 /nfs/dbraw/zinc/03/64/23/1202036423.db2.gz FZUJPZQVQOTTRI-DNXKIMQPSA-N 0 1 322.880 3.103 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001699692497 1202037456 /nfs/dbraw/zinc/03/74/56/1202037456.db2.gz FZUJPZQVQOTTRI-YPHWWSSASA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCc2ccc(Cl)cc2)C1 ZINC001699716315 1202049551 /nfs/dbraw/zinc/04/95/51/1202049551.db2.gz AOFIZSVZWNRJDE-UHFFFAOYSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccccc2CC)C1 ZINC001699718157 1202049767 /nfs/dbraw/zinc/04/97/67/1202049767.db2.gz OKMGPAWDGFUVAW-HNNXBMFYSA-N 0 1 300.446 3.360 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCCC(F)(F)F)C1 ZINC001699722671 1202053090 /nfs/dbraw/zinc/05/30/90/1202053090.db2.gz YLPXXPMHQDQLFL-LBPRGKRZSA-N 0 1 306.372 3.216 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001699725594 1202055467 /nfs/dbraw/zinc/05/54/67/1202055467.db2.gz LDVIVJGGBAYVPD-MMIPBJDJSA-N 0 1 304.478 3.310 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001699756978 1202066535 /nfs/dbraw/zinc/06/65/35/1202066535.db2.gz LFDPGRKUJOEWPA-HOCLYGCPSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](CCC)C(C)C ZINC001699760844 1202068432 /nfs/dbraw/zinc/06/84/32/1202068432.db2.gz SIHSKKLAHPERSL-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN(C(=O)c1cccs1)C1CCN(C/C=C\Cl)CC1 ZINC001699778745 1202076479 /nfs/dbraw/zinc/07/64/79/1202076479.db2.gz RKFNYKKUKLVWSP-YWEYNIOJSA-N 0 1 322.861 3.040 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1ccco1)C1CCCC1 ZINC001699797337 1202083003 /nfs/dbraw/zinc/08/30/03/1202083003.db2.gz UJROCECQEXCRGY-UKRRQHHQSA-N 0 1 324.852 3.400 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC[C@H](C)CC)C1 ZINC001699886698 1202136611 /nfs/dbraw/zinc/13/66/11/1202136611.db2.gz GEUGIGWEDAJCQQ-HUUCEWRRSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1oc(C)cc1C)c1ccccc1CC ZINC001699932304 1202139867 /nfs/dbraw/zinc/13/98/67/1202139867.db2.gz HGUBOESXULLDNO-SFHVURJKSA-N 0 1 324.424 3.153 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1CCC1)c1ccccc1CC ZINC001699933446 1202140335 /nfs/dbraw/zinc/14/03/35/1202140335.db2.gz MGSDPTLWOBGMJT-LJQANCHMSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001753112752 1202143037 /nfs/dbraw/zinc/14/30/37/1202143037.db2.gz GTSZGANXHGENOK-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](CC)CCCC)C[C@@H]2C1 ZINC001699986612 1202158390 /nfs/dbraw/zinc/15/83/90/1202158390.db2.gz VPYLSGMIVPMYSX-HRCADAONSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(CF)cc1 ZINC001700091109 1202177925 /nfs/dbraw/zinc/17/79/25/1202177925.db2.gz LUZMQVYNCXZYSN-UHFFFAOYSA-N 0 1 324.399 3.151 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccccc1CC ZINC001700092634 1202178058 /nfs/dbraw/zinc/17/80/58/1202178058.db2.gz YWIPTEHYUBGKAA-UHFFFAOYSA-N 0 1 320.436 3.244 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001753177219 1202180825 /nfs/dbraw/zinc/18/08/25/1202180825.db2.gz PPPGZTIOVCHHHG-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCNCC#Cc2ccccc2)cc1C ZINC001753258118 1202190681 /nfs/dbraw/zinc/19/06/81/1202190681.db2.gz WKVSDGQMUVBXIL-UHFFFAOYSA-N 0 1 320.436 3.017 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)[nH]c1C)C(C)C ZINC001753298700 1202199677 /nfs/dbraw/zinc/19/96/77/1202199677.db2.gz AOKKAGROTCTJTP-UHFFFAOYSA-N 0 1 311.857 3.214 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](F)c1ccccc1)c1ccccc1 ZINC001753311336 1202202969 /nfs/dbraw/zinc/20/29/69/1202202969.db2.gz LVXUBAMTMKQQGL-OALUTQOASA-N 0 1 324.399 3.168 20 30 DGEDMN CCCN(C(=O)C#CC(C)C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001753316006 1202205934 /nfs/dbraw/zinc/20/59/34/1202205934.db2.gz JTOOZCFHTCUMIO-KRWDZBQOSA-N 0 1 318.486 3.220 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(C(C)C)n2)C1 ZINC001753317169 1202207080 /nfs/dbraw/zinc/20/70/80/1202207080.db2.gz JCOKSIJCNXAMCF-MRXNPFEDSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)C(C)(C)CC)O2 ZINC001700204735 1202210167 /nfs/dbraw/zinc/21/01/67/1202210167.db2.gz HTAWTVNKPFSXES-JKSUJKDBSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(CC)CCCCC2)C(C)(C)C1 ZINC001700293977 1202229616 /nfs/dbraw/zinc/22/96/16/1202229616.db2.gz MDTHUEZAEWXGNK-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CC(C)(C)C=C ZINC001700330347 1202239207 /nfs/dbraw/zinc/23/92/07/1202239207.db2.gz PHPOCQAYUNHIAK-LJQANCHMSA-N 0 1 324.468 3.328 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H](C)c1cccc(C)c1 ZINC001753420387 1202248571 /nfs/dbraw/zinc/24/85/71/1202248571.db2.gz VLMULRBWQCTTHM-NEWSRXKRSA-N 0 1 310.441 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cscc1Cl ZINC001700404694 1202263643 /nfs/dbraw/zinc/26/36/43/1202263643.db2.gz LSZISNZAIGNWQS-DTWKUNHWSA-N 0 1 307.246 3.250 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](F)CC1CCCCC1 ZINC001700366054 1202252002 /nfs/dbraw/zinc/25/20/02/1202252002.db2.gz ZPPZPZWVJXBHRC-ZWKOTPCHSA-N 0 1 322.468 3.241 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](F)CC1CCCCC1 ZINC001700366052 1202252395 /nfs/dbraw/zinc/25/23/95/1202252395.db2.gz ZPPZPZWVJXBHRC-ROUUACIJSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001753441985 1202255830 /nfs/dbraw/zinc/25/58/30/1202255830.db2.gz SZCJYFGDXXUHIA-IAGOWNOFSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(Cl)sc1Cl ZINC001700462454 1202281719 /nfs/dbraw/zinc/28/17/19/1202281719.db2.gz VWCXTWFJJWNSLN-SECBINFHSA-N 0 1 319.257 3.128 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2c1CCCC2 ZINC001700401268 1202263084 /nfs/dbraw/zinc/26/30/84/1202263084.db2.gz NXEIOBUMOXRIBD-ZIAGYGMSSA-N 0 1 320.864 3.414 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001753460637 1202263534 /nfs/dbraw/zinc/26/35/34/1202263534.db2.gz DABWTRNOVBCOCN-UUKMXZOPSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cc(C2CC2)no1 ZINC001700411367 1202265407 /nfs/dbraw/zinc/26/54/07/1202265407.db2.gz GKBAIXYRGJDTAO-STQMWFEESA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@H]1CCC(F)(F)C1 ZINC001753475082 1202269079 /nfs/dbraw/zinc/26/90/79/1202269079.db2.gz JPHQOWGWWRCBSN-NEPJUHHUSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1csc(C)c1C ZINC001753475049 1202269152 /nfs/dbraw/zinc/26/91/52/1202269152.db2.gz IYSOXONTKMQSEV-LBPRGKRZSA-N 0 1 300.855 3.215 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(Cl)cn1CC ZINC001700432010 1202271381 /nfs/dbraw/zinc/27/13/81/1202271381.db2.gz UWQLBOUJISEFEM-MNOVXSKESA-N 0 1 318.248 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2ccccc2n1 ZINC001753495444 1202275277 /nfs/dbraw/zinc/27/52/77/1202275277.db2.gz HNYXNVDNLUGKGP-AWEZNQCLSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@]1(C)CCc2ccccc21 ZINC001753498122 1202276395 /nfs/dbraw/zinc/27/63/95/1202276395.db2.gz PJLZBCPMSIGJKZ-QAPCUYQASA-N 0 1 320.864 3.127 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CCCC#CC)cc1 ZINC001753590027 1202295013 /nfs/dbraw/zinc/29/50/13/1202295013.db2.gz XIJRJNPVPMGGBP-UHFFFAOYSA-N 0 1 318.848 3.339 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001753595800 1202296444 /nfs/dbraw/zinc/29/64/44/1202296444.db2.gz IQEWFAUVZNRXJH-BRWVUGGUSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C(C)=C2CCCC2)C1 ZINC001753650090 1202307126 /nfs/dbraw/zinc/30/71/26/1202307126.db2.gz MPRQSYZUWRIHFW-CRAIPNDOSA-N 0 1 320.477 3.049 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)C1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001700538056 1202308571 /nfs/dbraw/zinc/30/85/71/1202308571.db2.gz KIJYPERAZAIAOV-MRXNPFEDSA-N 0 1 324.468 3.160 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001700541579 1202309719 /nfs/dbraw/zinc/30/97/19/1202309719.db2.gz RECHNBBFGIAFRV-AWEZNQCLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001700547447 1202311074 /nfs/dbraw/zinc/31/10/74/1202311074.db2.gz ZGNKUOFSSYAKAH-STJUIISESA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(CCC)cc1 ZINC001753709994 1202318951 /nfs/dbraw/zinc/31/89/51/1202318951.db2.gz JKCHGTSIMGOHSC-CQSZACIVSA-N 0 1 308.853 3.442 20 30 DGEDMN CCCC(=O)N[C@H](CNCc1cc(C#N)ccc1F)CC(C)C ZINC001753730648 1202323282 /nfs/dbraw/zinc/32/32/82/1202323282.db2.gz BWUZFTYAEBTZOO-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCCC(=O)N1CC2(C1)CCCN(CCC(F)(F)F)C2 ZINC001700618683 1202323924 /nfs/dbraw/zinc/32/39/24/1202323924.db2.gz AUUAIWPAXRQZLF-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncc(C(C)C)o2)[C@@H](C)C1 ZINC001753764563 1202330161 /nfs/dbraw/zinc/33/01/61/1202330161.db2.gz GGRSUYQMSAUYTQ-LSDHHAIUSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC[C@@H](C)CC)CC1 ZINC001700696065 1202336960 /nfs/dbraw/zinc/33/69/60/1202336960.db2.gz BZADMWVDJZUSDT-ZDUSSCGKSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001700727860 1202343857 /nfs/dbraw/zinc/34/38/57/1202343857.db2.gz PYVSPMRUOOOJAT-KFWWJZLASA-N 0 1 312.885 3.248 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1cccc(F)c1Cl ZINC001700749765 1202348132 /nfs/dbraw/zinc/34/81/32/1202348132.db2.gz YKDMUQMBVRUNJJ-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2nc(C)sc2C)C1 ZINC001700765481 1202350980 /nfs/dbraw/zinc/35/09/80/1202350980.db2.gz BHACDYOCNPWXBQ-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001700767541 1202351352 /nfs/dbraw/zinc/35/13/52/1202351352.db2.gz KTPDTFYJCUHTLW-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(C)C)nc1C ZINC001700770114 1202352092 /nfs/dbraw/zinc/35/20/92/1202352092.db2.gz JANPGUIHMYLMAU-ZDUSSCGKSA-N 0 1 323.868 3.316 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](CNCc2nc(C)c(C)o2)C1 ZINC001700902716 1202368010 /nfs/dbraw/zinc/36/80/10/1202368010.db2.gz RDPOWUXRKRXFNG-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN CC(C)N(CCN(C)C/C=C\c1ccccc1)C(=O)[C@@H](C)C#N ZINC001700974546 1202374245 /nfs/dbraw/zinc/37/42/45/1202374245.db2.gz KDHUKUFCBGARSS-NLZINVLXSA-N 0 1 313.445 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C/C(C)(C)C)C(C)(C)C ZINC001700983058 1202376392 /nfs/dbraw/zinc/37/63/92/1202376392.db2.gz FFUQOHKPJDDKPU-XEHSLEBBSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C(C)(F)F)C(C)(C)C ZINC001700993232 1202378041 /nfs/dbraw/zinc/37/80/41/1202378041.db2.gz RIICQKBMYXSTAQ-GHMZBOCLSA-N 0 1 310.816 3.151 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](CC)C2CCC(C)CC2)CC1 ZINC001701049496 1202384901 /nfs/dbraw/zinc/38/49/01/1202384901.db2.gz BHBUWQFDFCWULE-NKELODKYSA-N 0 1 316.489 3.220 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1cccc(C(F)F)c1 ZINC001707046295 1202568657 /nfs/dbraw/zinc/56/86/57/1202568657.db2.gz MWTLICIWFKKIPI-MRXNPFEDSA-N 0 1 322.399 3.276 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1C ZINC001707052192 1202569910 /nfs/dbraw/zinc/56/99/10/1202569910.db2.gz FKUIKIKOXSHEDM-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)CC(C)(C)C)[C@H]1CC ZINC001707204252 1202576408 /nfs/dbraw/zinc/57/64/08/1202576408.db2.gz OUOOTOFQOYFWQV-JKSUJKDBSA-N 0 1 306.494 3.441 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC[C@@H]2CCc3ccccc32)[C@H]1C ZINC001707267458 1202579187 /nfs/dbraw/zinc/57/91/87/1202579187.db2.gz BZOWGASWDGOKAH-KPFFTGBYSA-N 0 1 324.468 3.099 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCCCC1(C)C ZINC001707277219 1202580374 /nfs/dbraw/zinc/58/03/74/1202580374.db2.gz XEPCGGJPQVCTIP-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001707367240 1202581237 /nfs/dbraw/zinc/58/12/37/1202581237.db2.gz RRRJOZCMBVABQG-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(CC)Cc2cc(C)ns2)C1 ZINC001707460953 1202586483 /nfs/dbraw/zinc/58/64/83/1202586483.db2.gz KNSNMSHYZVQMNC-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN CC/C(C)=C/C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001713041745 1202612310 /nfs/dbraw/zinc/61/23/10/1202612310.db2.gz VTGUMTCPKLFUQY-ISLYRVAYSA-N 0 1 324.468 3.365 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2CCC(F)CC2)CC1 ZINC001713101763 1202617376 /nfs/dbraw/zinc/61/73/76/1202617376.db2.gz ZPVGFMUEQVKSCU-UHFFFAOYSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccsc2)C1 ZINC001713127408 1202619716 /nfs/dbraw/zinc/61/97/16/1202619716.db2.gz BJXTVIMQLNCQDF-ZDUSSCGKSA-N 0 1 312.866 3.285 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H](C)CO1 ZINC001713142468 1202621670 /nfs/dbraw/zinc/62/16/70/1202621670.db2.gz VLIJJOVCSYDFTB-FJIDUMEYSA-N 0 1 322.493 3.057 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H](C)CO1 ZINC001713142470 1202621819 /nfs/dbraw/zinc/62/18/19/1202621819.db2.gz VLIJJOVCSYDFTB-ZJPYXAASSA-N 0 1 322.493 3.057 20 30 DGEDMN CCC(CC)CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001713203898 1202626350 /nfs/dbraw/zinc/62/63/50/1202626350.db2.gz DQIGEDPWOXVFQA-HDICACEKSA-N 0 1 313.445 3.121 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2)CCCC1 ZINC001713259487 1202632718 /nfs/dbraw/zinc/63/27/18/1202632718.db2.gz UOVSILSNYQSVPK-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCC1(C)CC1 ZINC001713271455 1202633807 /nfs/dbraw/zinc/63/38/07/1202633807.db2.gz JCZUFDQRXPORLJ-QZTJIDSGSA-N 0 1 316.489 3.436 20 30 DGEDMN C[C@H](CNC(=O)CC1=CCCCC1)NCC#Cc1ccccc1 ZINC001713298988 1202637152 /nfs/dbraw/zinc/63/71/52/1202637152.db2.gz GLKLEKZMVBOPGL-QGZVFWFLSA-N 0 1 310.441 3.023 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001713300988 1202637231 /nfs/dbraw/zinc/63/72/31/1202637231.db2.gz VOOOERKOXVRSDL-ABAIWWIYSA-N 0 1 308.372 3.013 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1sccc1Cl ZINC001713303729 1202637615 /nfs/dbraw/zinc/63/76/15/1202637615.db2.gz DIJMLLRCNPHZBM-LBPRGKRZSA-N 0 1 312.866 3.189 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2ccncc2Cl)CC1 ZINC001713336655 1202640325 /nfs/dbraw/zinc/64/03/25/1202640325.db2.gz FKVOLYWVCJYMPN-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2ccc(Cl)cc2F)C1 ZINC001713337328 1202640422 /nfs/dbraw/zinc/64/04/22/1202640422.db2.gz NLVBWSRIGDWDSX-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2c(C)noc2C)C1 ZINC001713395696 1202644914 /nfs/dbraw/zinc/64/49/14/1202644914.db2.gz NBTPNWIICJWZPP-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@H]1CCCN1Cc1nocc1C ZINC001713433089 1202649214 /nfs/dbraw/zinc/64/92/14/1202649214.db2.gz RDXMHFVXZXIPJA-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN CN(CCNC(=O)CC1CCCCC1)Cc1ccc(C#N)cc1 ZINC001713445795 1202650539 /nfs/dbraw/zinc/65/05/39/1202650539.db2.gz NAIHGBLVPVBNEE-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001713455419 1202652103 /nfs/dbraw/zinc/65/21/03/1202652103.db2.gz ZEPBGKYYJQBNGG-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(CCCC)cc1 ZINC001713488068 1202658292 /nfs/dbraw/zinc/65/82/92/1202658292.db2.gz NGNDKKZYSVIVOM-UHFFFAOYSA-N 0 1 300.446 3.104 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1[C@H](C)c1cccc(F)c1 ZINC001713506311 1202662020 /nfs/dbraw/zinc/66/20/20/1202662020.db2.gz SFEDFFFFSHGWOY-CRAIPNDOSA-N 0 1 316.420 3.127 20 30 DGEDMN CCCCCC(=O)NC[C@@H]1CCN(Cc2ccc(C#N)s2)C1 ZINC001713521680 1202664638 /nfs/dbraw/zinc/66/46/38/1202664638.db2.gz JKZCLUBMKRGHHM-AWEZNQCLSA-N 0 1 319.474 3.138 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C)c(Cl)c1F ZINC001713618299 1202673449 /nfs/dbraw/zinc/67/34/49/1202673449.db2.gz SYCOZCGTPTUIHE-UHFFFAOYSA-N 0 1 319.207 3.202 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](c1ccccc1)C(C)C ZINC001713639186 1202674636 /nfs/dbraw/zinc/67/46/36/1202674636.db2.gz VQXBKWVLQBUKJX-INIZCTEOSA-N 0 1 308.853 3.227 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2nc(C)cs2)CCCC1 ZINC001713671517 1202675898 /nfs/dbraw/zinc/67/58/98/1202675898.db2.gz FUGYFBFEMAXXTA-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@H](C)NCc2nocc2C)CC1 ZINC001713681152 1202676683 /nfs/dbraw/zinc/67/66/83/1202676683.db2.gz YASUHECWSNOHFD-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1(C)CC(F)(F)C1)C(C)C ZINC001713694157 1202677947 /nfs/dbraw/zinc/67/79/47/1202677947.db2.gz ALMNFGFQJKRYBU-UHFFFAOYSA-N 0 1 322.827 3.391 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)c(C)c1)c1ccccc1 ZINC001713703629 1202678909 /nfs/dbraw/zinc/67/89/09/1202678909.db2.gz HWYGXZSOUYQZHE-FQEVSTJZSA-N 0 1 320.436 3.387 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001713712275 1202679450 /nfs/dbraw/zinc/67/94/50/1202679450.db2.gz FRFVTDFSMVTVTE-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](C)CCCC)C1 ZINC001713729101 1202683070 /nfs/dbraw/zinc/68/30/70/1202683070.db2.gz VNGNOGFAYVJAJP-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CCCC23CC3)C1 ZINC001713729871 1202683289 /nfs/dbraw/zinc/68/32/89/1202683289.db2.gz DJINSZNFSPXUHJ-LSDHHAIUSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)CC(F)(F)F)C1 ZINC001713789979 1202691008 /nfs/dbraw/zinc/69/10/08/1202691008.db2.gz WWKXAKCRLXIKSC-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001713841462 1202695030 /nfs/dbraw/zinc/69/50/30/1202695030.db2.gz ATQWRFLYJFKFFA-MRXNPFEDSA-N 0 1 300.446 3.337 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC001713857413 1202696360 /nfs/dbraw/zinc/69/63/60/1202696360.db2.gz UBIGUNJIPMEXNF-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)c2cccs2)CC1 ZINC001713857801 1202696400 /nfs/dbraw/zinc/69/64/00/1202696400.db2.gz FHUXDLTVBQEVGA-OAHLLOKOSA-N 0 1 318.486 3.188 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)c2cccs2)CC1 ZINC001713857800 1202696496 /nfs/dbraw/zinc/69/64/96/1202696496.db2.gz FHUXDLTVBQEVGA-HNNXBMFYSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1cccc(F)c1)C1CC1 ZINC001713884086 1202698664 /nfs/dbraw/zinc/69/86/64/1202698664.db2.gz PUUQFWXWUYXVAC-LRDDRELGSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(CCCCC(F)(F)F)C1 ZINC001713904776 1202700980 /nfs/dbraw/zinc/70/09/80/1202700980.db2.gz IDRAGYPJMSKTPD-UHFFFAOYSA-N 0 1 306.372 3.123 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(CCCCC(F)(F)F)C2)C1 ZINC001713917488 1202702062 /nfs/dbraw/zinc/70/20/62/1202702062.db2.gz LFJBIDFLNOKKMG-UHFFFAOYSA-N 0 1 318.383 3.123 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1C ZINC001713927839 1202703026 /nfs/dbraw/zinc/70/30/26/1202703026.db2.gz MVPRRKYCJLDSSR-HOTGVXAUSA-N 0 1 304.434 3.457 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001714014486 1202728680 /nfs/dbraw/zinc/72/86/80/1202728680.db2.gz VNTSEJKJTUQZBD-MJGOQNOKSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1ncc(C)s1 ZINC001714096006 1202771239 /nfs/dbraw/zinc/77/12/39/1202771239.db2.gz RMMCYXMFRFTYHD-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1cccs1 ZINC001714104529 1202778407 /nfs/dbraw/zinc/77/84/07/1202778407.db2.gz PUUBTLSGHSQQIA-NWDGAFQWSA-N 0 1 300.855 3.089 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2ccncc21 ZINC001714115871 1202787209 /nfs/dbraw/zinc/78/72/09/1202787209.db2.gz ONVRYEPTIBHINZ-CQSZACIVSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CCC(C)C)C1 ZINC001714144983 1202800235 /nfs/dbraw/zinc/80/02/35/1202800235.db2.gz ODSZJPGVQIXEDC-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCC(NCc2cscn2)CC1 ZINC001714194498 1202826424 /nfs/dbraw/zinc/82/64/24/1202826424.db2.gz MCYFMGUZGJIESW-MQBCKMQZSA-N 0 1 321.490 3.262 20 30 DGEDMN CC[C@@H](CC(=O)NC[C@H](C)NCC#Cc1ccccc1)C(C)C ZINC001714299010 1202870229 /nfs/dbraw/zinc/87/02/29/1202870229.db2.gz HQVOHBUZQQVJMW-HKUYNNGSSA-N 0 1 314.473 3.205 20 30 DGEDMN C=CCCCC(=O)NCCC1CCN(Cc2cc(C)no2)CC1 ZINC001714329726 1202885864 /nfs/dbraw/zinc/88/58/64/1202885864.db2.gz JVIQOMYZYQUYOR-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001714330148 1202885966 /nfs/dbraw/zinc/88/59/66/1202885966.db2.gz CTYMZVQEDQTLFU-HOTGVXAUSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1ccon1 ZINC001714521927 1202977296 /nfs/dbraw/zinc/97/72/96/1202977296.db2.gz HVXQATZVPXLZEQ-MRXNPFEDSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1cccc(F)c1Cl ZINC001714564528 1203006679 /nfs/dbraw/zinc/00/66/79/1203006679.db2.gz QUEDOFJXRPDIGO-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001714567734 1203008783 /nfs/dbraw/zinc/00/87/83/1203008783.db2.gz YUINUUUVDKLFRI-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001714566203 1203008877 /nfs/dbraw/zinc/00/88/77/1203008877.db2.gz NGLQGONFQCYBFS-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@H](C)c2c(F)cccc2F)C1 ZINC001714631744 1203063045 /nfs/dbraw/zinc/06/30/45/1203063045.db2.gz RCICVCSGAUIMSP-ZIAGYGMSSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1sc(C)cc1C ZINC001714639196 1203066105 /nfs/dbraw/zinc/06/61/05/1203066105.db2.gz VYVAOHTUWPMGMO-LLVKDONJSA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1ccc(C)cc1C ZINC001714707488 1203107037 /nfs/dbraw/zinc/10/70/37/1203107037.db2.gz LVUUHJZGUIQTIN-INIZCTEOSA-N 0 1 322.880 3.425 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2nc(C)cs2)CCC1 ZINC001714746310 1203115401 /nfs/dbraw/zinc/11/54/01/1203115401.db2.gz JULDVKWDTLBYMO-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001714756093 1203119464 /nfs/dbraw/zinc/11/94/64/1203119464.db2.gz WRVRIIVIJHYKCX-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)C(CC)(CC)CC ZINC001714770578 1203123186 /nfs/dbraw/zinc/12/31/86/1203123186.db2.gz CHAMYTRJPWBJKX-UHFFFAOYSA-N 0 1 319.287 3.159 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001714819280 1203137638 /nfs/dbraw/zinc/13/76/38/1203137638.db2.gz CBOFBFAAICVSSB-OAHLLOKOSA-N 0 1 322.880 3.462 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001714819279 1203137689 /nfs/dbraw/zinc/13/76/89/1203137689.db2.gz CBOFBFAAICVSSB-HNNXBMFYSA-N 0 1 322.880 3.462 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC2CCC1CC2)c1ccccc1 ZINC001714839262 1203146923 /nfs/dbraw/zinc/14/69/23/1203146923.db2.gz GCAJZPSODNCGAV-ZYKFHVCXSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001714853901 1203153900 /nfs/dbraw/zinc/15/39/00/1203153900.db2.gz ATVZSFKLUNBKOW-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C2C(C)(C)C2(C)C)C1 ZINC001714857720 1203157130 /nfs/dbraw/zinc/15/71/30/1203157130.db2.gz MABDXMHIWFWCQY-OAHLLOKOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3ncccc32)C1 ZINC001714873190 1203168440 /nfs/dbraw/zinc/16/84/40/1203168440.db2.gz VHQVNIVYLARGNM-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)ccc2F)C1 ZINC001714874833 1203170712 /nfs/dbraw/zinc/17/07/12/1203170712.db2.gz SFCYCDTZNCMWRE-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(OCC)c2)C1 ZINC001714876380 1203171834 /nfs/dbraw/zinc/17/18/34/1203171834.db2.gz XERJUOJLIMVMNS-QGZVFWFLSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC(CC)CC)C1 ZINC001714877037 1203172477 /nfs/dbraw/zinc/17/24/77/1203172477.db2.gz AMAGIDKWLBFZTR-HNNXBMFYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@@H](F)CC)C1 ZINC001714904226 1203189687 /nfs/dbraw/zinc/18/96/87/1203189687.db2.gz FFOUTYZSJAVJKT-MSOLQXFVSA-N 0 1 318.436 3.414 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCC2(C)CC2)CC1 ZINC001714911263 1203194246 /nfs/dbraw/zinc/19/42/46/1203194246.db2.gz BONBJPOWBUSQSH-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001714936565 1203206920 /nfs/dbraw/zinc/20/69/20/1203206920.db2.gz VUTUWBPUKVWIPN-MAUKXSAKSA-N 0 1 322.493 3.269 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001714969336 1203221041 /nfs/dbraw/zinc/22/10/41/1203221041.db2.gz DACSLKQYAKJUSP-MRXNPFEDSA-N 0 1 314.473 3.399 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001715018813 1203239510 /nfs/dbraw/zinc/23/95/10/1203239510.db2.gz IVGCJYOEGKPSPC-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001715020671 1203239655 /nfs/dbraw/zinc/23/96/55/1203239655.db2.gz VVIPMCVLEIVTBN-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001715021603 1203241288 /nfs/dbraw/zinc/24/12/88/1203241288.db2.gz RKGQNQFOVQKIDG-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001715044565 1203251969 /nfs/dbraw/zinc/25/19/69/1203251969.db2.gz KUIPGIZXFMZYGI-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCCC2CCCCC2)C1 ZINC001715047677 1203253896 /nfs/dbraw/zinc/25/38/96/1203253896.db2.gz RQRITRYWORAZJG-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001715052185 1203255854 /nfs/dbraw/zinc/25/58/54/1203255854.db2.gz VQUVLBFZRDJAPN-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1ccc(F)cc1Cl ZINC001715053085 1203256529 /nfs/dbraw/zinc/25/65/29/1203256529.db2.gz ZRFDWXPZIDRLAN-CQSZACIVSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001715189666 1203292585 /nfs/dbraw/zinc/29/25/85/1203292585.db2.gz MVJNYBXQLMAHRB-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001715209596 1203296327 /nfs/dbraw/zinc/29/63/27/1203296327.db2.gz MFALQZNUJBALQS-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CN(CCCCC)CCO2)CCCC1 ZINC001715299360 1203309878 /nfs/dbraw/zinc/30/98/78/1203309878.db2.gz ZKJLYPPZYCFXHY-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001715330734 1203317362 /nfs/dbraw/zinc/31/73/62/1203317362.db2.gz MKHTYDFHQYCCEW-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001715336660 1203318248 /nfs/dbraw/zinc/31/82/48/1203318248.db2.gz XYHPZXBAAJLPTN-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1ncc(C)s1 ZINC001715355655 1203322975 /nfs/dbraw/zinc/32/29/75/1203322975.db2.gz LGZGTDOHQQAWRP-UKRRQHHQSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C12CCC(CC1)C2(C)C ZINC001715360080 1203323986 /nfs/dbraw/zinc/32/39/86/1203323986.db2.gz ICGXBHWRTKJMGR-TUBUQKNSSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(CC(C)C)c[nH]1 ZINC001715370415 1203327059 /nfs/dbraw/zinc/32/70/59/1203327059.db2.gz ADKYCHHDGYTDIX-CYBMUJFWSA-N 0 1 311.857 3.016 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@@H](C)c1ccccc1 ZINC001715372296 1203327402 /nfs/dbraw/zinc/32/74/02/1203327402.db2.gz CSVOSDREIPQRLQ-HIFRSBDPSA-N 0 1 308.853 3.369 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C1(CCF)CC1 ZINC001715386981 1203331415 /nfs/dbraw/zinc/33/14/15/1203331415.db2.gz LMYFJHQYSQGUAN-GXTWGEPZSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CCC(F)F ZINC001715393513 1203333147 /nfs/dbraw/zinc/33/31/47/1203333147.db2.gz UELPPZITDHZSRI-PWSUYJOCSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CCNCc1nocc1C ZINC001715434724 1203340903 /nfs/dbraw/zinc/34/09/03/1203340903.db2.gz NKBHACYYYMGPIP-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CCNCc1nocc1C ZINC001715434722 1203341054 /nfs/dbraw/zinc/34/10/54/1203341054.db2.gz NKBHACYYYMGPIP-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CCNCc1ncc(C)o1 ZINC001715443846 1203342324 /nfs/dbraw/zinc/34/23/24/1203342324.db2.gz IKESONXCWJIZGD-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1cc(C)no1 ZINC001715479941 1203348491 /nfs/dbraw/zinc/34/84/91/1203348491.db2.gz SYIJMSITUNMULY-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(Cl)c(CCC)c1 ZINC001715537031 1203366932 /nfs/dbraw/zinc/36/69/32/1203366932.db2.gz NNSJPWUOVFTIMZ-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(F)c(Cl)c1 ZINC001715864582 1203398666 /nfs/dbraw/zinc/39/86/66/1203398666.db2.gz PJUMQVZEICKDPR-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C)cc1Cl ZINC001715949314 1203409597 /nfs/dbraw/zinc/40/95/97/1203409597.db2.gz VKJNMIINWBEGIC-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(Cl)ccc1C ZINC001716005519 1203419687 /nfs/dbraw/zinc/41/96/87/1203419687.db2.gz MOFSBBNYCOEAKZ-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)[C@H](C)c1ccccc1Cl ZINC001716026752 1203427905 /nfs/dbraw/zinc/42/79/05/1203427905.db2.gz TXLZJADXSIVWRA-LSDHHAIUSA-N 0 1 320.864 3.497 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2cccc(Cl)c2o1 ZINC001716033417 1203429814 /nfs/dbraw/zinc/42/98/14/1203429814.db2.gz HXBKCCINJFLQMO-UHFFFAOYSA-N 0 1 318.804 3.113 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1ncoc1C(C)C ZINC001716163835 1203444793 /nfs/dbraw/zinc/44/47/93/1203444793.db2.gz GVQFOIHJJPUAJY-CQSZACIVSA-N 0 1 321.465 3.385 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCc1cccc(Cl)n1 ZINC001716241753 1203452621 /nfs/dbraw/zinc/45/26/21/1203452621.db2.gz BRRWTPOGXOJEMM-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(C)cc(C)cc1C ZINC001716252503 1203454119 /nfs/dbraw/zinc/45/41/19/1203454119.db2.gz CVTRSDDVIGJDDH-UHFFFAOYSA-N 0 1 308.853 3.416 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cnc(C(C)C)s1 ZINC001716259470 1203455087 /nfs/dbraw/zinc/45/50/87/1203455087.db2.gz YJFDDGDNVWSZAU-UHFFFAOYSA-N 0 1 315.870 3.071 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H](C)c1cccc(F)c1 ZINC001716342259 1203461181 /nfs/dbraw/zinc/46/11/81/1203461181.db2.gz PWHMEKVZZFMXFC-SCOBNMCVSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001716382599 1203463751 /nfs/dbraw/zinc/46/37/51/1203463751.db2.gz JBCZIRPKOBLYNF-SCOBNMCVSA-N 0 1 324.827 3.019 20 30 DGEDMN Cc1cccc(C(=O)N[C@H](C)CNCc2ccccc2C#N)c1C ZINC001716421500 1203470167 /nfs/dbraw/zinc/47/01/67/1203470167.db2.gz LPGDPQIMBCFFKI-OAHLLOKOSA-N 0 1 321.424 3.083 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1cccc(Cl)c1F ZINC001716433986 1203473101 /nfs/dbraw/zinc/47/31/01/1203473101.db2.gz HLJDGCODCASFRW-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001716449703 1203475996 /nfs/dbraw/zinc/47/59/96/1203475996.db2.gz WWDIOHCLQPGFFK-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001716691298 1203525639 /nfs/dbraw/zinc/52/56/39/1203525639.db2.gz GTLVYTQAOVRQKA-LLVKDONJSA-N 0 1 305.249 3.146 20 30 DGEDMN CC(C)[C@H](C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001716454908 1203476969 /nfs/dbraw/zinc/47/69/69/1203476969.db2.gz MQDIPGODSCANIS-GJZGRUSLSA-N 0 1 320.864 3.078 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001716463581 1203478982 /nfs/dbraw/zinc/47/89/82/1203478982.db2.gz APHASOAACWTKOE-NSHDSACASA-N 0 1 319.836 3.245 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001716500455 1203492831 /nfs/dbraw/zinc/49/28/31/1203492831.db2.gz CDZHLIOOSDRMHT-PKOBYXMFSA-N 0 1 314.473 3.276 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001716532768 1203500332 /nfs/dbraw/zinc/50/03/32/1203500332.db2.gz UOCDXJSUVDMRTN-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@]1(C)CC=C(C)CC1)C1CC1 ZINC001716631300 1203509325 /nfs/dbraw/zinc/50/93/25/1203509325.db2.gz JDRKEQHEBKPQBE-WBVHZDCISA-N 0 1 310.869 3.360 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1c(C)cccc1C ZINC001716658642 1203516100 /nfs/dbraw/zinc/51/61/00/1203516100.db2.gz KNCAGTBHSRCJEI-MRXNPFEDSA-N 0 1 300.446 3.206 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(Cl)c2C)CC1 ZINC001716683435 1203524514 /nfs/dbraw/zinc/52/45/14/1203524514.db2.gz CQKSWORWNVWFIU-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CC(F)(F)F ZINC001716712659 1203530416 /nfs/dbraw/zinc/53/04/16/1203530416.db2.gz SQBSDAFMBVSKSO-MCIONIFRSA-N 0 1 318.383 3.216 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CCCC#CC)C2)CC1 ZINC001716726595 1203533208 /nfs/dbraw/zinc/53/32/08/1203533208.db2.gz QAYSJJXPONHVFY-UHFFFAOYSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2[nH]c(C)cc2C)C1 ZINC001716743240 1203535391 /nfs/dbraw/zinc/53/53/91/1203535391.db2.gz MXGDPYLGYYBWHD-UHFFFAOYSA-N 0 1 303.450 3.134 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2oc(C)nc2C)CC1 ZINC001716795162 1203544042 /nfs/dbraw/zinc/54/40/42/1203544042.db2.gz HOCNWNWUGQUAGU-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCN(C(C)=O)C1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC001716801626 1203545081 /nfs/dbraw/zinc/54/50/81/1203545081.db2.gz OQTVIMNTLCZKAW-CQSZACIVSA-N 0 1 318.848 3.347 20 30 DGEDMN C#CCN(C(=O)/C=C\C(C)(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001716803992 1203545117 /nfs/dbraw/zinc/54/51/17/1203545117.db2.gz RYNMFHHCFVVMJB-IKVLVDHLSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCN(C(C)=O)C1CCN(Cc2c(C)cccc2Cl)CC1 ZINC001716801852 1203545146 /nfs/dbraw/zinc/54/51/46/1203545146.db2.gz XNTMSLSJJDQFCB-UHFFFAOYSA-N 0 1 318.848 3.095 20 30 DGEDMN C#CCN(C(=O)CC[C@H](C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001716810252 1203545645 /nfs/dbraw/zinc/54/56/45/1203545645.db2.gz KJZZFDPWSQEYSU-IAGOWNOFSA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)CC[C@@H](C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001716810251 1203545750 /nfs/dbraw/zinc/54/57/50/1203545750.db2.gz KJZZFDPWSQEYSU-DLBZAZTESA-N 0 1 324.484 3.487 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@]1(C)C=CCC1)C1CCCC1 ZINC001716821424 1203548082 /nfs/dbraw/zinc/54/80/82/1203548082.db2.gz PRDPBKKBKNFUKC-DOTOQJQBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001716829910 1203549254 /nfs/dbraw/zinc/54/92/54/1203549254.db2.gz FRXUGYDADJWAES-FUHWJXTLSA-N 0 1 310.457 3.263 20 30 DGEDMN C#CCCCCC(=O)N[C@@]1(C)CCN(Cc2ccccc2F)C1 ZINC001716838203 1203551962 /nfs/dbraw/zinc/55/19/62/1203551962.db2.gz JXVXTBCGDMJKHU-IBGZPJMESA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CCCCc2ccccc2)C1 ZINC001716848005 1203554650 /nfs/dbraw/zinc/55/46/50/1203554650.db2.gz VRKZFOSNKVDNJC-FQEVSTJZSA-N 0 1 312.457 3.003 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001716850215 1203555865 /nfs/dbraw/zinc/55/58/65/1203555865.db2.gz LEKFJVPKIZYPNH-XLIONFOSSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(C3CCCCC3)CC2)C1 ZINC001716901946 1203566768 /nfs/dbraw/zinc/56/67/68/1203566768.db2.gz UACDWVXSUFFQBS-LJQANCHMSA-N 0 1 316.489 3.198 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1CC ZINC001716954558 1203569314 /nfs/dbraw/zinc/56/93/14/1203569314.db2.gz YMFBADSMAVHENF-QAPCUYQASA-N 0 1 314.473 3.311 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCc1ccccc1CN(C)CC#CC ZINC001717113388 1203586176 /nfs/dbraw/zinc/58/61/76/1203586176.db2.gz LLHMRANVYVOJQK-SFQUDFHCSA-N 0 1 310.441 3.280 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CCCCC)cccc2C1 ZINC001717142246 1203589714 /nfs/dbraw/zinc/58/97/14/1203589714.db2.gz FOPMQKOHSZAACC-UHFFFAOYSA-N 0 1 312.457 3.265 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cc(F)cc2C)CCC1 ZINC001717155022 1203592284 /nfs/dbraw/zinc/59/22/84/1203592284.db2.gz VYYLUJKKISJUDW-UHFFFAOYSA-N 0 1 324.827 3.437 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001717191251 1203595571 /nfs/dbraw/zinc/59/55/71/1203595571.db2.gz JZCDHWLHHQTFOG-MJGOQNOKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2nc(C)sc2C)CC1 ZINC001717190906 1203595918 /nfs/dbraw/zinc/59/59/18/1203595918.db2.gz CYIRYYAVPZAUSZ-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001717199127 1203597951 /nfs/dbraw/zinc/59/79/51/1203597951.db2.gz GPKXWUYOCXUNTL-SJLPKXTDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001717199126 1203598393 /nfs/dbraw/zinc/59/83/93/1203598393.db2.gz GPKXWUYOCXUNTL-FUHWJXTLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)NCc1ccc2c(c1)CN(C[C@H](C)OC)C2 ZINC001717270681 1203611247 /nfs/dbraw/zinc/61/12/47/1203611247.db2.gz HQXADUCPFNCTKG-HNNXBMFYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001717344163 1203622861 /nfs/dbraw/zinc/62/28/61/1203622861.db2.gz KILJTFPPLXUKED-QGZVFWFLSA-N 0 1 300.446 3.324 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(OC)ccc1Cl ZINC001717401434 1203636625 /nfs/dbraw/zinc/63/66/25/1203636625.db2.gz RWWINNHJBZTGLS-STQMWFEESA-N 0 1 324.852 3.298 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C/C=C\c1ccc(F)cc1 ZINC001717415105 1203639195 /nfs/dbraw/zinc/63/91/95/1203639195.db2.gz IKDDFXCFXLIQJY-VSVUCHCSSA-N 0 1 324.827 3.464 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001717419748 1203640134 /nfs/dbraw/zinc/64/01/34/1203640134.db2.gz IMUZFWYOCNQPLK-MNOVXSKESA-N 0 1 323.799 3.156 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC(C)(C)CNCc1csc(C)n1 ZINC001717453484 1203647818 /nfs/dbraw/zinc/64/78/18/1203647818.db2.gz RGVJPCJPTGKGCC-UHFFFAOYSA-N 0 1 323.506 3.382 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)CCC(F)(F)F)CCC1 ZINC001717486164 1203660816 /nfs/dbraw/zinc/66/08/16/1203660816.db2.gz ACBYIYNPSBDNOG-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001717489861 1203662228 /nfs/dbraw/zinc/66/22/28/1203662228.db2.gz BBLFYMZWQBYMMQ-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCCCN2CC#CC)CCC1 ZINC001717539516 1203676958 /nfs/dbraw/zinc/67/69/58/1203676958.db2.gz WWSKWSVXIAMZJX-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001717543969 1203678835 /nfs/dbraw/zinc/67/88/35/1203678835.db2.gz GOESVQHHEPLADJ-KBPBESRZSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001717543972 1203679000 /nfs/dbraw/zinc/67/90/00/1203679000.db2.gz GOESVQHHEPLADJ-ZIAGYGMSSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001717554636 1203680439 /nfs/dbraw/zinc/68/04/39/1203680439.db2.gz GEBZTVSHWUDXOB-CQSZACIVSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001717557566 1203681556 /nfs/dbraw/zinc/68/15/56/1203681556.db2.gz PIRWARKLMKAKJY-HNNXBMFYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001717559076 1203682069 /nfs/dbraw/zinc/68/20/69/1203682069.db2.gz DGAUTKRFUDBJPT-MRXNPFEDSA-N 0 1 312.457 3.039 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@H](CC)c2ccccc2)C(C)(C)C1 ZINC001717587679 1203687284 /nfs/dbraw/zinc/68/72/84/1203687284.db2.gz JOOPRNXAWQATHR-WMZOPIPTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCN[C@@H](C)c2ncc(C)o2)C1 ZINC001717684340 1203698485 /nfs/dbraw/zinc/69/84/85/1203698485.db2.gz KRHPDOUSGOVZGC-HIFRSBDPSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)CC[C@H](C)CC ZINC001717747682 1203709349 /nfs/dbraw/zinc/70/93/49/1203709349.db2.gz QMOCRGOGRSWJNZ-DGCLKSJQSA-N 0 1 319.287 3.158 20 30 DGEDMN Cc1ccc(C(=O)N(C)C[C@@H](C)NCc2ccccc2C#N)cc1 ZINC001717750312 1203709914 /nfs/dbraw/zinc/70/99/14/1203709914.db2.gz HPYVEOHPDFWOBL-MRXNPFEDSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)sc2C)[C@H]1C ZINC001717793212 1203712797 /nfs/dbraw/zinc/71/27/97/1203712797.db2.gz FOPDWJOAEFKJGG-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@@H](C)CCC=C(C)C)CC1 ZINC001718028234 1203744370 /nfs/dbraw/zinc/74/43/70/1203744370.db2.gz KAPZPEPFOKZQNS-KRWDZBQOSA-N 0 1 302.462 3.141 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H]1CNC(=O)c1cc(COC)cs1 ZINC001723437088 1203971775 /nfs/dbraw/zinc/97/17/75/1203971775.db2.gz CETCMOYWZOBFKV-DZGCQCFKSA-N 0 1 322.474 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(OCCCC)cc1 ZINC001723481941 1203977250 /nfs/dbraw/zinc/97/72/50/1203977250.db2.gz KVZPWMXLMDXCNK-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCN2CC=C(C)C)CCCCC1 ZINC001723485745 1203978839 /nfs/dbraw/zinc/97/88/39/1203978839.db2.gz ZEVJARIMTLFGON-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCCCC(=O)NCC1(NCc2cc3ccccc3o2)CC1 ZINC001723544954 1203981412 /nfs/dbraw/zinc/98/14/12/1203981412.db2.gz FZNZZLPVWAAVOU-UHFFFAOYSA-N 0 1 324.424 3.365 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001723741066 1203991304 /nfs/dbraw/zinc/99/13/04/1203991304.db2.gz ICQJLIDKBYJBHF-JGZYNSJSSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC001723777268 1203992800 /nfs/dbraw/zinc/99/28/00/1203992800.db2.gz VMYCHBYDNKSYFX-YOEHRIQHSA-N 0 1 316.445 3.054 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H](C)c2ccccc2F)C1 ZINC001723802373 1203993597 /nfs/dbraw/zinc/99/35/97/1203993597.db2.gz LAHMBWYEHXUOLS-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3cccnc3c2)C1 ZINC001723801323 1203993732 /nfs/dbraw/zinc/99/37/32/1203993732.db2.gz DGDRBKQASGMROO-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)ccc1C ZINC001723971970 1204000159 /nfs/dbraw/zinc/00/01/59/1204000159.db2.gz SBUMDYIDKNDSPG-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN C#CCN(C(=O)CCCC1CC1)C1CCN(C/C=C/Cl)CC1 ZINC001724054821 1204002647 /nfs/dbraw/zinc/00/26/47/1204002647.db2.gz ZKQUPPSACBOOSD-NYYWCZLTSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC(F)F)C1CCCC1 ZINC001724088046 1204004693 /nfs/dbraw/zinc/00/46/93/1204004693.db2.gz DILJGGSCWPAACC-LBPRGKRZSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](C)C(C)C)CC1 ZINC001724300718 1204018807 /nfs/dbraw/zinc/01/88/07/1204018807.db2.gz JHLRPIMXLYYWLZ-AWEZNQCLSA-N 0 1 300.874 3.249 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001724343118 1204024003 /nfs/dbraw/zinc/02/40/03/1204024003.db2.gz HCFCJRQKNLPPEJ-JEUZPXFCSA-N 0 1 324.468 3.250 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccn1 ZINC001724652009 1204036099 /nfs/dbraw/zinc/03/60/99/1204036099.db2.gz NTVBOPNWLLXRJL-QZTJIDSGSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C1CCCC1 ZINC001724740552 1204041694 /nfs/dbraw/zinc/04/16/94/1204041694.db2.gz JEQPKGQQSBUPLO-INIZCTEOSA-N 0 1 312.457 3.194 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001724796760 1204048391 /nfs/dbraw/zinc/04/83/91/1204048391.db2.gz NXXMDVABBVSIEE-SFHVURJKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1scnc1C ZINC001724851377 1204056149 /nfs/dbraw/zinc/05/61/49/1204056149.db2.gz BAEWFLWIJCYDPZ-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1cnc(C)s1 ZINC001724858020 1204059522 /nfs/dbraw/zinc/05/95/22/1204059522.db2.gz VWCCYCDACPAOBN-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001724937751 1204067758 /nfs/dbraw/zinc/06/77/58/1204067758.db2.gz JTCPBDVWTIQEAT-BAJBZYGVSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001724943775 1204068562 /nfs/dbraw/zinc/06/85/62/1204068562.db2.gz LPIAUYVWNWIVKB-KGBWWEOFSA-N 0 1 305.249 3.098 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCN(Cc2ccccc2C#N)[C@@H](C)C1 ZINC001724994451 1204076196 /nfs/dbraw/zinc/07/61/96/1204076196.db2.gz YCACAMQXUUDNJY-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc2c1CCCC2 ZINC001725040029 1204083838 /nfs/dbraw/zinc/08/38/38/1204083838.db2.gz FKLSAEQJKMJHTG-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1CCCCCCC1 ZINC001725042393 1204084094 /nfs/dbraw/zinc/08/40/94/1204084094.db2.gz ONEUCYGPUVYBSD-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CCCC)C(C)C ZINC001725059776 1204087793 /nfs/dbraw/zinc/08/77/93/1204087793.db2.gz SJSDDNSFUZPMNK-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC001725089449 1204092241 /nfs/dbraw/zinc/09/22/41/1204092241.db2.gz KJDQJVNBJQUJAP-STQMWFEESA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cc(O)ccc1Cl ZINC001725091023 1204092663 /nfs/dbraw/zinc/09/26/63/1204092663.db2.gz LUWQUIZQPWERRS-CHWSQXEVSA-N 0 1 324.852 3.385 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2ncc(C)s2)CCC1 ZINC001725105025 1204095369 /nfs/dbraw/zinc/09/53/69/1204095369.db2.gz FDKPHDJRQKXSAY-UONOGXRCSA-N 0 1 321.490 3.181 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001725110524 1204096969 /nfs/dbraw/zinc/09/69/69/1204096969.db2.gz ILDYFXFSLSWQCD-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001725138575 1204101834 /nfs/dbraw/zinc/10/18/34/1204101834.db2.gz YSQCUQWBHURRRD-OLZOCXBDSA-N 0 1 319.836 3.325 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2cnccc2C)CCC1 ZINC001725178492 1204112583 /nfs/dbraw/zinc/11/25/83/1204112583.db2.gz GUUZFYFMFSAWON-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C2CN(Cc3ccsc3)C2)CCC1 ZINC001725256832 1204129116 /nfs/dbraw/zinc/12/91/16/1204129116.db2.gz FRBPMGZAUBTJIU-CQSZACIVSA-N 0 1 318.486 3.431 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001725279692 1204131603 /nfs/dbraw/zinc/13/16/03/1204131603.db2.gz HFQYCDYLQMHWOR-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H](CC)CCCC)C(C)(C)C1 ZINC001725293985 1204134517 /nfs/dbraw/zinc/13/45/17/1204134517.db2.gz MBFKOMIZWRKYFN-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001725474909 1204155208 /nfs/dbraw/zinc/15/52/08/1204155208.db2.gz DETNSYLMWVPTPH-LRDDRELGSA-N 0 1 322.399 3.355 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cccnc2C)[C@@H]1C ZINC001725627218 1204185488 /nfs/dbraw/zinc/18/54/88/1204185488.db2.gz ORABEOSXLXMLFH-NVXWUHKLSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2oc(C)nc2C)[C@@H]1C ZINC001725629589 1204186216 /nfs/dbraw/zinc/18/62/16/1204186216.db2.gz NKFSMHJPZPFGES-ZBFHGGJFSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001725674760 1204197065 /nfs/dbraw/zinc/19/70/65/1204197065.db2.gz PONNNTLEPHONFC-LLVKDONJSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725798859 1204224439 /nfs/dbraw/zinc/22/44/39/1204224439.db2.gz RAAZUQJIVZNTHT-HOCLYGCPSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001753063288 1204305655 /nfs/dbraw/zinc/30/56/55/1204305655.db2.gz NNEXQSQEBVEBFQ-SFHVURJKSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccnc1C1CC1 ZINC001731310492 1204370074 /nfs/dbraw/zinc/37/00/74/1204370074.db2.gz BWIQLFPCWCWBSD-UHFFFAOYSA-N 0 1 321.852 3.153 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001731347563 1204381156 /nfs/dbraw/zinc/38/11/56/1204381156.db2.gz MLMQWXMDQHKVJW-UHFFFAOYSA-N 0 1 315.461 3.107 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001731370917 1204385518 /nfs/dbraw/zinc/38/55/18/1204385518.db2.gz AQGJYNKTUQJNEG-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001731373754 1204387783 /nfs/dbraw/zinc/38/77/83/1204387783.db2.gz ONZQVCIFNHYQIO-BBRMVZONSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001731382910 1204390275 /nfs/dbraw/zinc/39/02/75/1204390275.db2.gz NGJMPZYVNUTQIS-CQSZACIVSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001731384012 1204391225 /nfs/dbraw/zinc/39/12/25/1204391225.db2.gz NGPYINYQBKEAPN-BWTSREIZSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)CC1 ZINC001731386088 1204391699 /nfs/dbraw/zinc/39/16/99/1204391699.db2.gz NZLHPXYOFFCZEZ-FUHWJXTLSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1ncc(C)s1)C1CC1 ZINC001731405075 1204399111 /nfs/dbraw/zinc/39/91/11/1204399111.db2.gz JBTNOEDNEBODAI-PBHICJAKSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC001731431466 1204410782 /nfs/dbraw/zinc/41/07/82/1204410782.db2.gz USNYUTGPJOSVGR-OCCSQVGLSA-N 0 1 308.372 3.183 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC/C=C/c1ccccc1 ZINC001731542050 1204452617 /nfs/dbraw/zinc/45/26/17/1204452617.db2.gz TZMGRVBBUSAMGT-IPVAERDFSA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001731543614 1204453839 /nfs/dbraw/zinc/45/38/39/1204453839.db2.gz ZFXBPWKQNFPUNX-WHXUGTBJSA-N 0 1 324.468 3.392 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001731548536 1204455388 /nfs/dbraw/zinc/45/53/88/1204455388.db2.gz RUECZLOFTZPXNG-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001731548537 1204455732 /nfs/dbraw/zinc/45/57/32/1204455732.db2.gz RUECZLOFTZPXNG-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CCc2ccoc2)C1 ZINC001731554532 1204459336 /nfs/dbraw/zinc/45/93/36/1204459336.db2.gz MQFNNKUALVSXNB-ZBFHGGJFSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc2c1CCCC2 ZINC001731594585 1204476713 /nfs/dbraw/zinc/47/67/13/1204476713.db2.gz MUQNNKWEGYLVAV-CYBMUJFWSA-N 0 1 306.837 3.026 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001731638430 1204492625 /nfs/dbraw/zinc/49/26/25/1204492625.db2.gz IVJJETYULJSVFC-ZDUSSCGKSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@H](C)NCC(=C)Cl)c1ccccc1 ZINC001731638283 1204492735 /nfs/dbraw/zinc/49/27/35/1204492735.db2.gz BALFAWJXEANRRD-GJZGRUSLSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@@H](NCc2ncc(CC)o2)C1 ZINC001731694489 1204507776 /nfs/dbraw/zinc/50/77/76/1204507776.db2.gz KARYMRSZDKNPCA-HUUCEWRRSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001731711292 1204515657 /nfs/dbraw/zinc/51/56/57/1204515657.db2.gz BMSTXJGTRIPGEQ-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@H](C)c1ccccc1F ZINC001731819485 1204584342 /nfs/dbraw/zinc/58/43/42/1204584342.db2.gz RAUKKQNYZKSCQV-HUUCEWRRSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN1Cc1ccccc1F ZINC001731830777 1204590849 /nfs/dbraw/zinc/59/08/49/1204590849.db2.gz OGRONEXBNCUGAT-MRXNPFEDSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001731833216 1204591834 /nfs/dbraw/zinc/59/18/34/1204591834.db2.gz GJUSAIQPOUBJNZ-RDJZCZTQSA-N 0 1 306.475 3.431 20 30 DGEDMN CCCN(CCNC(=O)CC#Cc1ccccc1)C[C@H](F)CC ZINC001731873855 1204616888 /nfs/dbraw/zinc/61/68/88/1204616888.db2.gz PXVNTNBWNQLUNC-GOSISDBHSA-N 0 1 318.436 3.005 20 30 DGEDMN CN(CCNCc1cccc(Cl)c1F)C(=O)C#CC(C)(C)C ZINC001731909027 1204629349 /nfs/dbraw/zinc/62/93/49/1204629349.db2.gz YYJBXHAXQQAUKU-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001731971764 1204638831 /nfs/dbraw/zinc/63/88/31/1204638831.db2.gz WFRWKNQIRUMEHT-OAHLLOKOSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(Cl)cs1)c1ccccc1 ZINC001732022720 1204652465 /nfs/dbraw/zinc/65/24/65/1204652465.db2.gz BZFCGFUMSGSOGM-CQSZACIVSA-N 0 1 318.829 3.095 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC[C@@H](F)CC)c1ccccc1 ZINC001732024745 1204653183 /nfs/dbraw/zinc/65/31/83/1204653183.db2.gz XYENZTDKTTZWJJ-HOTGVXAUSA-N 0 1 306.425 3.394 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)C(C)C)c1ccccc1 ZINC001732024637 1204653378 /nfs/dbraw/zinc/65/33/78/1204653378.db2.gz RKXPRVAIXYDHMV-QGZVFWFLSA-N 0 1 300.446 3.139 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C=C)c1ccccc1)c1ccccc1 ZINC001732027836 1204654757 /nfs/dbraw/zinc/65/47/57/1204654757.db2.gz ZMHPDIUZUKCVDQ-UXHICEINSA-N 0 1 318.420 3.037 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2sccc2F)C1 ZINC001732037552 1204659977 /nfs/dbraw/zinc/65/99/77/1204659977.db2.gz TZYGYMQSCXCXCI-CYBMUJFWSA-N 0 1 310.438 3.390 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001732074769 1204680551 /nfs/dbraw/zinc/68/05/51/1204680551.db2.gz KVTDEGLMKFCMFY-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)cc2F)C1 ZINC001732084965 1204687808 /nfs/dbraw/zinc/68/78/08/1204687808.db2.gz ZQESWNSAQHNCNC-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1ccsc1 ZINC001732213211 1204752436 /nfs/dbraw/zinc/75/24/36/1204752436.db2.gz WQRRVAOITCNQEB-DGCLKSJQSA-N 0 1 300.855 3.089 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001732311780 1204787187 /nfs/dbraw/zinc/78/71/87/1204787187.db2.gz DEANUFYJJKPONL-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C#CC(C)(C)C)CC1 ZINC001732321923 1204790952 /nfs/dbraw/zinc/79/09/52/1204790952.db2.gz SABGWJLITQOSKN-UHFFFAOYSA-N 0 1 310.869 3.007 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccccc1CCC ZINC001732430102 1204836061 /nfs/dbraw/zinc/83/60/61/1204836061.db2.gz ZBJWQHSSLDEPLV-CQSZACIVSA-N 0 1 308.853 3.442 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)CC(C)=C(C)C ZINC001732435546 1204839051 /nfs/dbraw/zinc/83/90/51/1204839051.db2.gz QABZQQQNVKUGBH-CYBMUJFWSA-N 0 1 317.271 3.078 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001732600124 1204918988 /nfs/dbraw/zinc/91/89/88/1204918988.db2.gz KYBBURWIBNZOPF-UHFFFAOYSA-N 0 1 320.864 3.491 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(CC)CC)CC[C@@H]21 ZINC001732612038 1204922135 /nfs/dbraw/zinc/92/21/35/1204922135.db2.gz YCWMHNQZUBRTLR-HOTGVXAUSA-N 0 1 312.885 3.488 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@H]1CC12CCCC2 ZINC001732804516 1204946471 /nfs/dbraw/zinc/94/64/71/1204946471.db2.gz GQHDAOIVNSLPIG-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@]1(C)CCc2ccccc21 ZINC001732813837 1204947058 /nfs/dbraw/zinc/94/70/58/1204947058.db2.gz QYHDFKPITMUMCU-GOSISDBHSA-N 0 1 320.864 3.081 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CN[C@@H](C)c1ccccc1F ZINC001732888600 1204955057 /nfs/dbraw/zinc/95/50/57/1204955057.db2.gz VSOYJEQAQOWXHM-VFNNOXKTSA-N 0 1 304.409 3.361 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C/CNCc2cc(F)ccc2F)C1 ZINC001732897484 1204956888 /nfs/dbraw/zinc/95/68/88/1204956888.db2.gz NEEAPGLVNRBCSP-ONEGZZNKSA-N 0 1 320.383 3.083 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C(C)C)cc1 ZINC001732931438 1204960026 /nfs/dbraw/zinc/96/00/26/1204960026.db2.gz OMIUNXOJJJHTMD-SNAWJCMRSA-N 0 1 306.837 3.438 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)Cc1ccccc1 ZINC001732979172 1204971624 /nfs/dbraw/zinc/97/16/24/1204971624.db2.gz LUHDMYHTJPICFV-CQSZACIVSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001732997974 1204977396 /nfs/dbraw/zinc/97/73/96/1204977396.db2.gz UAHLKNVRZURSKB-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1ccc(Cl)cc1F ZINC001733009554 1204980458 /nfs/dbraw/zinc/98/04/58/1204980458.db2.gz LCHGLLKEHFUGKO-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1C1(C)CC1 ZINC001733013830 1204982350 /nfs/dbraw/zinc/98/23/50/1204982350.db2.gz FZEKUPKCXYGJFL-ZDUSSCGKSA-N 0 1 306.837 3.199 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001733035216 1204991803 /nfs/dbraw/zinc/99/18/03/1204991803.db2.gz AMDFFVWGBMNLKU-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001733218883 1205049171 /nfs/dbraw/zinc/04/91/71/1205049171.db2.gz HDYRSXNWERBRHR-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN CC1(C)CC(CC(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001733253259 1205070137 /nfs/dbraw/zinc/07/01/37/1205070137.db2.gz CMKSPVCYXFKREX-UHFFFAOYSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(F)cc1C ZINC001733352085 1205102813 /nfs/dbraw/zinc/10/28/13/1205102813.db2.gz JMWNQDGROYDZQL-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C#CCCCCC(=O)N[C@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC001733403929 1205119713 /nfs/dbraw/zinc/11/97/13/1205119713.db2.gz XSUMUEWLXTUUFJ-LJQANCHMSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCCCC(=O)N[C@]1(C)CCN(Cc2ccccc2F)C1 ZINC001733409400 1205121780 /nfs/dbraw/zinc/12/17/80/1205121780.db2.gz CSZNGLQILKJWCW-GOSISDBHSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC/C=C\c2ccccc2)C1 ZINC001733409823 1205122725 /nfs/dbraw/zinc/12/27/25/1205122725.db2.gz FZCNYPBEMLWLHV-VUBAQRPUSA-N 0 1 310.441 3.084 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cnc(C)s2)C1 ZINC001733411182 1205122967 /nfs/dbraw/zinc/12/29/67/1205122967.db2.gz LEWVPHMMQMKMKQ-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccccc2CCC)C1 ZINC001733459656 1205142656 /nfs/dbraw/zinc/14/26/56/1205142656.db2.gz BUWAHFRRVPWBQL-FQEVSTJZSA-N 0 1 312.457 3.104 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C(C)C)cc2)C1 ZINC001733463732 1205145090 /nfs/dbraw/zinc/14/50/90/1205145090.db2.gz SPGLVMXOOFBWSJ-FQEVSTJZSA-N 0 1 312.457 3.275 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001733464877 1205146540 /nfs/dbraw/zinc/14/65/40/1205146540.db2.gz IOVCMKJRLRMOPT-QFBILLFUSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](CCC)C(C)C)C1 ZINC001733476592 1205149570 /nfs/dbraw/zinc/14/95/70/1205149570.db2.gz JDFCFTJWBATEIS-HUUCEWRRSA-N 0 1 316.436 3.318 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CCC)c1ccccc1 ZINC001733604526 1205172447 /nfs/dbraw/zinc/17/24/47/1205172447.db2.gz XFTQFIIDSIKARH-XUVXKRRUSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CC1(CC(=O)N2CC3(C2)CCN(CCF)CC3)CCCCC1 ZINC001733695210 1205188255 /nfs/dbraw/zinc/18/82/55/1205188255.db2.gz VEENHHVKSHBXQF-UHFFFAOYSA-N 0 1 322.468 3.407 20 30 DGEDMN C=Cc1ccc(C(=O)NCC2(NCC(=C)Cl)CCC2)cc1 ZINC001733723542 1205195305 /nfs/dbraw/zinc/19/53/05/1205195305.db2.gz KYVXRKBLMXAGGY-UHFFFAOYSA-N 0 1 304.821 3.324 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001733763151 1205202961 /nfs/dbraw/zinc/20/29/61/1205202961.db2.gz HQXFEYBRTKHUNJ-QAPCUYQASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H](C)CCC)C2 ZINC001733815601 1205225215 /nfs/dbraw/zinc/22/52/15/1205225215.db2.gz PWEJALRKIYJUTP-WMLDXEAASA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C[C@H](C=C)CC ZINC001733812933 1205225675 /nfs/dbraw/zinc/22/56/75/1205225675.db2.gz VJZRRYVSJGWSAV-XLIONFOSSA-N 0 1 324.468 3.155 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2Cc3ccccc3[C@H]2NCC#CC)CCC1 ZINC001733921975 1205255299 /nfs/dbraw/zinc/25/52/99/1205255299.db2.gz AVPRDYCFIODPPT-RTBURBONSA-N 0 1 322.452 3.128 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CCC1CCCC1 ZINC001733922636 1205255424 /nfs/dbraw/zinc/25/54/24/1205255424.db2.gz CSMBBPBOTAHUNG-TZIWHRDSSA-N 0 1 324.468 3.352 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C1(c2ccccc2)CCC1 ZINC001733932207 1205261241 /nfs/dbraw/zinc/26/12/41/1205261241.db2.gz MNEDYCPYYWKQSB-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(c2ccccc2)CCC1 ZINC001733932207 1205261244 /nfs/dbraw/zinc/26/12/44/1205261244.db2.gz MNEDYCPYYWKQSB-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc2ccsc21 ZINC001733938744 1205266021 /nfs/dbraw/zinc/26/60/21/1205266021.db2.gz SDQHSFRZYHKYQP-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1ccc(C)c2c1CCC2 ZINC001733942699 1205267437 /nfs/dbraw/zinc/26/74/37/1205267437.db2.gz CQWWYZIZVZTYQL-QGZVFWFLSA-N 0 1 324.468 3.043 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C)c2c1CCC2 ZINC001733942699 1205267438 /nfs/dbraw/zinc/26/74/38/1205267438.db2.gz CQWWYZIZVZTYQL-QGZVFWFLSA-N 0 1 324.468 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2ccsc2[nH]1 ZINC001733984044 1205278843 /nfs/dbraw/zinc/27/88/43/1205278843.db2.gz KESAUOJDQCKSIA-VHSXEESVSA-N 0 1 311.838 3.078 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001733992457 1205281733 /nfs/dbraw/zinc/28/17/33/1205281733.db2.gz WXBWQUKRRGANAD-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001733992453 1205281745 /nfs/dbraw/zinc/28/17/45/1205281745.db2.gz WXBWQUKRRGANAD-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C#CCC1(C(=O)NC[C@H](C)N(C)C[C@@H](F)CC)CCCCC1 ZINC001734064950 1205313526 /nfs/dbraw/zinc/31/35/26/1205313526.db2.gz HBRYADSVNWXIIQ-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@H](C)C1CN(CC#CC)C1)c1ccccc1 ZINC001734130904 1205331583 /nfs/dbraw/zinc/33/15/83/1205331583.db2.gz LIUSDKKMYHTNNY-XLIONFOSSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(Cc2csc(C)c2)CC1(C)C ZINC001734142087 1205333419 /nfs/dbraw/zinc/33/34/19/1205333419.db2.gz JJCHURVWGSHSJL-MRXNPFEDSA-N 0 1 318.486 3.187 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001734150777 1205335757 /nfs/dbraw/zinc/33/57/57/1205335757.db2.gz VBEBUPVCWJWLHN-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNCc1ncc(C)s1 ZINC001734248276 1205349456 /nfs/dbraw/zinc/34/94/56/1205349456.db2.gz QKILDAKODBBASW-CYBMUJFWSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)Cc2cc(F)ccc2F)CC1 ZINC001734266740 1205351929 /nfs/dbraw/zinc/35/19/29/1205351929.db2.gz HZQTVLWQHZBDHF-UHFFFAOYSA-N 0 1 322.399 3.354 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001734325490 1205364901 /nfs/dbraw/zinc/36/49/01/1205364901.db2.gz AUANAJTUENNZGP-CYBMUJFWSA-N 0 1 323.868 3.182 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001734324474 1205364936 /nfs/dbraw/zinc/36/49/36/1205364936.db2.gz LUWMUDFMKGMIDM-ZIAGYGMSSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001734346977 1205368950 /nfs/dbraw/zinc/36/89/50/1205368950.db2.gz YVNGZLDLBNCYHN-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)[C@H](CC)CCC ZINC001734349518 1205369416 /nfs/dbraw/zinc/36/94/16/1205369416.db2.gz QINJNBMDEKLABU-CHWSQXEVSA-N 0 1 319.287 3.158 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCc1ccc(Cl)cc1)C(C)C ZINC001734551105 1205391198 /nfs/dbraw/zinc/39/11/98/1205391198.db2.gz AXIATXZUYCLXLD-UHFFFAOYSA-N 0 1 320.864 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CSCCC)C(C)(C)C ZINC001734558060 1205394069 /nfs/dbraw/zinc/39/40/69/1205394069.db2.gz VCAVABXUSBIJAR-GFCCVEGCSA-N 0 1 306.903 3.003 20 30 DGEDMN N#CCC[C@@H](C#N)C[NH+]1CCC(c2ccccc2C(=O)[O-])CC1 ZINC001611291365 970977094 /nfs/dbraw/zinc/97/70/94/970977094.db2.gz RAGHGCBXCKBLMF-AWEZNQCLSA-N 0 1 311.385 3.008 20 30 DGEDMN O=C([O-])CC[C@H]1CCCC[N@H+]1CC#Cc1ccccc1Cl ZINC001595012263 951256992 /nfs/dbraw/zinc/25/69/92/951256992.db2.gz XARXDDBLTNDPAV-OAHLLOKOSA-N 0 1 305.805 3.411 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)C[C@H]1C(=O)[O-] ZINC001589355876 954142237 /nfs/dbraw/zinc/14/22/37/954142237.db2.gz YXWXQGHQBVKXMA-DZGCQCFKSA-N 0 1 300.402 3.030 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC001573327093 958484254 /nfs/dbraw/zinc/48/42/54/958484254.db2.gz CUYIFWLPJNXMTR-CYBMUJFWSA-N 0 1 321.804 3.039 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@@H](CCC(=O)[O-])C2)c(Cl)c1 ZINC000163519862 959238751 /nfs/dbraw/zinc/23/87/51/959238751.db2.gz JSDYOORZWZDCOE-LBPRGKRZSA-N 0 1 306.793 3.288 20 30 DGEDMN C=CC[C@H]1CC[N@H+](Cc2oc(Br)cc2C(=O)[O-])C1 ZINC001573352603 962989952 /nfs/dbraw/zinc/98/99/52/962989952.db2.gz GRGHHQCXYOAFCT-VIFPVBQESA-N 0 1 314.179 3.138 20 30 DGEDMN Cc1ccc(C[N@@H+](CCC(=O)[O-])Cc2ccccc2)cc1C#N ZINC000701828700 977046872 /nfs/dbraw/zinc/04/68/72/977046872.db2.gz IYHAKAQXHWGOML-UHFFFAOYSA-N 0 1 308.381 3.344 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1ccccc1)Cc1ccc(C#N)cc1)C(=O)[O-] ZINC001592605039 978548393 /nfs/dbraw/zinc/54/83/93/978548393.db2.gz SARLMMLNGAZABH-HNNXBMFYSA-N 0 1 308.381 3.281 20 30 DGEDMN CC[C@H](c1ccncc1)[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001595363866 980787611 /nfs/dbraw/zinc/78/76/11/980787611.db2.gz KVAWWRPVFIYINX-QGZVFWFLSA-N 0 1 309.369 3.235 20 30 DGEDMN CC[C@H](c1ccncc1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001595363866 980787613 /nfs/dbraw/zinc/78/76/13/980787613.db2.gz KVAWWRPVFIYINX-QGZVFWFLSA-N 0 1 309.369 3.235 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001595705415 982285297 /nfs/dbraw/zinc/28/52/97/982285297.db2.gz ZGBQUCOCINURDN-GJZGRUSLSA-N 0 1 313.438 3.075 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2ccc(-c3ccc(C(=O)[O-])cc3)o2)C1 ZINC001588383298 983380105 /nfs/dbraw/zinc/38/01/05/983380105.db2.gz SIBQMDCREZLZAJ-CQSZACIVSA-N 0 1 309.365 3.490 20 30 DGEDMN C=CC[C@@H]([NH2+][C@H](C)c1ncc(C(=O)[O-])s1)c1ccncc1 ZINC001588745716 984054422 /nfs/dbraw/zinc/05/44/22/984054422.db2.gz MITHMNNKQIRWSX-ZYHUDNBSSA-N 0 1 303.387 3.204 20 30 DGEDMN C=CC[C@@H]1[N@@H+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)CCCC1(C)C ZINC001588774002 984150296 /nfs/dbraw/zinc/15/02/96/984150296.db2.gz OKBVSDBHUNKSDQ-INIZCTEOSA-N 0 1 318.417 3.271 20 30 DGEDMN C[C@H](C#N)C[N@H+](C)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328888 986159841 /nfs/dbraw/zinc/15/98/41/986159841.db2.gz HAZCNPLZQZWUFM-PRHODGIISA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@H](C#N)C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001589328888 986159845 /nfs/dbraw/zinc/15/98/45/986159845.db2.gz HAZCNPLZQZWUFM-PRHODGIISA-N 0 1 301.173 3.211 20 30 DGEDMN CC(C)(C)C[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001589764314 988099315 /nfs/dbraw/zinc/09/93/15/988099315.db2.gz GJDOBZBNEQWNSU-HOCLYGCPSA-N 0 1 300.402 3.442 20 30 DGEDMN CC(C)C[N@@H+](CCC(=O)[O-])Cc1ccc(C(C)(C)C#N)cc1 ZINC001591332618 992313950 /nfs/dbraw/zinc/31/39/50/992313950.db2.gz TWXZXDGKSLFNOW-UHFFFAOYSA-N 0 1 302.418 3.420 20 30 DGEDMN CC(C)C[N@@H+](Cc1ccc(C#N)cc1)Cc1ccc(C(=O)[O-])cn1 ZINC001591342777 992321786 /nfs/dbraw/zinc/32/17/86/992321786.db2.gz KPPFVMIWEKCRNA-UHFFFAOYSA-N 0 1 323.396 3.310 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001593698455 996164573 /nfs/dbraw/zinc/16/45/73/996164573.db2.gz LAVQIQOVXYKQON-COXVUDFISA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@@H](CSC(F)(F)F)C(=O)Nc1cc(C#N)ccc1O ZINC001637619905 1194678076 /nfs/dbraw/zinc/67/80/76/1194678076.db2.gz FSFQPOKOCAZEFL-ZETCQYMHSA-N 0 1 304.293 3.091 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC001638168652 1194704919 /nfs/dbraw/zinc/70/49/19/1194704919.db2.gz OGZSVOIGCYMKJN-MRXNPFEDSA-N 0 1 309.498 3.351 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)C[C@@H](C)CCC ZINC001485854009 1090708454 /nfs/dbraw/zinc/70/84/54/1090708454.db2.gz OVFDVENTUUOHKT-WCQYABFASA-N 0 1 319.287 3.158 20 30 DGEDMN C#CC1CCN(Cc2cc(Br)cc(OC)c2)CC1 ZINC001233219359 1098254188 /nfs/dbraw/zinc/25/41/88/1098254188.db2.gz ZTBQPSRTJFMQJI-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(Cl)cc1Cl ZINC001275977820 1104977156 /nfs/dbraw/zinc/97/71/56/1104977156.db2.gz VGZJYTSTBCSLIF-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001266308184 1081654428 /nfs/dbraw/zinc/65/44/28/1081654428.db2.gz QYIBJIDLVGNZQU-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001480869038 1081661780 /nfs/dbraw/zinc/66/17/80/1081661780.db2.gz BTLHKKATFIZZMH-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NCC[N@@H+](C)Cc1cccc(C#N)c1 ZINC001266347579 1081689129 /nfs/dbraw/zinc/68/91/29/1081689129.db2.gz NIPWQCXVYMKDSQ-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001266347579 1081689133 /nfs/dbraw/zinc/68/91/33/1081689133.db2.gz NIPWQCXVYMKDSQ-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC[N@H+](C[C@@H](CC)OC)C2)CCCCC1 ZINC001266361428 1081701624 /nfs/dbraw/zinc/70/16/24/1081701624.db2.gz MVTBHWJENRXKCY-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001266373594 1081714624 /nfs/dbraw/zinc/71/46/24/1081714624.db2.gz HDUXZLLGRCNVMC-ZIAGYGMSSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCCCCCCN1CCOC[C@@](O)(C(F)(F)F)C1 ZINC001209438906 1081753353 /nfs/dbraw/zinc/75/33/53/1081753353.db2.gz XMLHVHHKBUXPKZ-CQSZACIVSA-N 0 1 309.372 3.139 20 30 DGEDMN C=CCCCCCCN1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC001209440079 1081753516 /nfs/dbraw/zinc/75/35/16/1081753516.db2.gz AEQDCJWLCTZISE-UHFFFAOYSA-N 0 1 316.445 3.287 20 30 DGEDMN C=CCCCCCCCN1Cc2ncn(C)c2[C@@H](COC)C1 ZINC001209442205 1081755552 /nfs/dbraw/zinc/75/55/52/1081755552.db2.gz VSSACMIIVQLTLZ-MRXNPFEDSA-N 0 1 305.466 3.492 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2ccsc2)CCC1 ZINC001266416814 1081773677 /nfs/dbraw/zinc/77/36/77/1081773677.db2.gz NXVCBQWMTHTTNV-UHFFFAOYSA-N 0 1 306.475 3.433 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001209875038 1081812902 /nfs/dbraw/zinc/81/29/02/1081812902.db2.gz MMMRVACZDBHKHR-SRXBQZRASA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C/Cc1ccccc1)C2 ZINC001109418797 1081829367 /nfs/dbraw/zinc/82/93/67/1081829367.db2.gz CKIBVZDWVCKVPB-CXLYAGSJSA-N 0 1 310.441 3.083 20 30 DGEDMN C#CCN(CCOc1cccc(OC)c1)Cc1ccc(F)cc1 ZINC001167273604 1081877392 /nfs/dbraw/zinc/87/73/92/1081877392.db2.gz MXFFMHXNASALBR-UHFFFAOYSA-N 0 1 313.372 3.349 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001109656423 1081893692 /nfs/dbraw/zinc/89/36/92/1081893692.db2.gz UGQYAGWLLDVHRD-QYZOEREBSA-N 0 1 323.440 3.136 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001266495526 1081896997 /nfs/dbraw/zinc/89/69/97/1081896997.db2.gz ONJJYHFVFCBIME-HKUYNNGSSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](c1ccccc1)C(C)C)C2 ZINC001109765803 1081911488 /nfs/dbraw/zinc/91/14/88/1081911488.db2.gz BIQSWMPXYRPULN-FYQPLNBISA-N 0 1 324.468 3.171 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001266525495 1081946806 /nfs/dbraw/zinc/94/68/06/1081946806.db2.gz JBNUKSKAJBRQPS-CYBMUJFWSA-N 0 1 322.399 3.115 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCc1sccc1C)C2 ZINC001110044048 1081954391 /nfs/dbraw/zinc/95/43/91/1081954391.db2.gz SLGLPNOACZYECQ-PMPSAXMXSA-N 0 1 318.486 3.287 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1CCCCC1)C2 ZINC001110059263 1081958066 /nfs/dbraw/zinc/95/80/66/1081958066.db2.gz RYHUUXSYYWRQKH-SQNIBIBYSA-N 0 1 302.462 3.092 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001480883093 1081984824 /nfs/dbraw/zinc/98/48/24/1081984824.db2.gz DPGURVAATKLXOO-HNNXBMFYSA-N 0 1 315.461 3.179 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)OCCCC)CC1 ZINC001112676690 1081985046 /nfs/dbraw/zinc/98/50/46/1081985046.db2.gz VIENSNQHRLIWSD-KRWDZBQOSA-N 0 1 312.498 3.306 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2CC(F)(F)C2)CC1 ZINC001112761663 1081997164 /nfs/dbraw/zinc/99/71/64/1081997164.db2.gz GCPILKLQWALJNQ-UHFFFAOYSA-N 0 1 302.409 3.146 20 30 DGEDMN C=CCOCCCC(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001112755286 1081997212 /nfs/dbraw/zinc/99/72/12/1081997212.db2.gz BXZIOPCTTLHPSL-UHFFFAOYSA-N 0 1 320.477 3.004 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001112775156 1082002301 /nfs/dbraw/zinc/00/23/01/1082002301.db2.gz ILCNKWZHXBWFLW-FQEVSTJZSA-N 0 1 324.468 3.402 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001112837218 1082019542 /nfs/dbraw/zinc/01/95/42/1082019542.db2.gz XXCNSLSEGOSKRA-LJQANCHMSA-N 0 1 324.468 3.258 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)[C@H]2CCCCO2)CC1 ZINC001112860217 1082025898 /nfs/dbraw/zinc/02/58/98/1082025898.db2.gz WCOFABOIMICVCX-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CCCC(C)(C)C)CC1)OCC ZINC001112906838 1082047638 /nfs/dbraw/zinc/04/76/38/1082047638.db2.gz QFUKGLKOUQECMU-KRWDZBQOSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(CCCc2cccc(C)c2)CC1 ZINC001112901345 1082048246 /nfs/dbraw/zinc/04/82/46/1082048246.db2.gz JGVKEXURQAWVKW-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)CC(F)F)CC1 ZINC001112950151 1082058895 /nfs/dbraw/zinc/05/88/95/1082058895.db2.gz MOMOJPWLZYTTPJ-ZDUSSCGKSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3c(o2)CCCC3)CC1 ZINC001112944433 1082059713 /nfs/dbraw/zinc/05/97/13/1082059713.db2.gz DGNIXZPSRFQDDL-HNNXBMFYSA-N 0 1 316.445 3.271 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C[C@@H](C)CC(C)C)CC2)CCC1 ZINC001112953107 1082064942 /nfs/dbraw/zinc/06/49/42/1082064942.db2.gz FKBGHCJFHKIOCU-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H](OCC)C(C)C)CC1 ZINC001112966009 1082067916 /nfs/dbraw/zinc/06/79/16/1082067916.db2.gz RHYBOLYAEKJYLJ-SFHVURJKSA-N 0 1 324.509 3.328 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(C)CCC(F)(F)CC2)CC1 ZINC001113024693 1082092933 /nfs/dbraw/zinc/09/29/33/1082092933.db2.gz YUHRUFUHUBHEQW-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccccc2)C1 ZINC001086572093 1082098184 /nfs/dbraw/zinc/09/81/84/1082098184.db2.gz FRWVIUVKEOWSPG-RBUKOAKNSA-N 0 1 306.409 3.070 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001113141613 1082123893 /nfs/dbraw/zinc/12/38/93/1082123893.db2.gz BISXRGYJWJPEII-QZTJIDSGSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(F)ccc2C)CC1 ZINC001113169432 1082131139 /nfs/dbraw/zinc/13/11/39/1082131139.db2.gz CUKBSWIKVFMOHX-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(C[C@@H](C)CC(C)C)CC2)cc1 ZINC001113179890 1082132599 /nfs/dbraw/zinc/13/25/99/1082132599.db2.gz VKZRVIJKYAPULN-KRWDZBQOSA-N 0 1 312.457 3.108 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2nc(C(C)(C)C)cs2)C1 ZINC001266672433 1082133114 /nfs/dbraw/zinc/13/31/14/1082133114.db2.gz YDJFOXNZYWFQRN-BETUJISGSA-N 0 1 321.490 3.144 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113205387 1082139334 /nfs/dbraw/zinc/13/93/34/1082139334.db2.gz SMJJXKRXJTZHPX-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001113232546 1082144947 /nfs/dbraw/zinc/14/49/47/1082144947.db2.gz UAJRSYXFSPSIGC-HXUWFJFHSA-N 0 1 324.468 3.402 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc[nH]c2CCC)CC1 ZINC001113241511 1082146740 /nfs/dbraw/zinc/14/67/40/1082146740.db2.gz IXCPDMRUPJLQKO-HNNXBMFYSA-N 0 1 303.450 3.080 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001113246695 1082147599 /nfs/dbraw/zinc/14/75/99/1082147599.db2.gz RSTGTVGHPGRBPT-APWZRJJASA-N 0 1 312.457 3.420 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001113244142 1082149351 /nfs/dbraw/zinc/14/93/51/1082149351.db2.gz UTXSGBBQFFJMNP-HUUCEWRRSA-N 0 1 314.420 3.168 20 30 DGEDMN Cc1ccc(CN2CC3(CN(Cc4ccccc4)C3)C2)cc1C#N ZINC000706916306 1082158979 /nfs/dbraw/zinc/15/89/79/1082158979.db2.gz QZDBWYWNHMKDDO-UHFFFAOYSA-N 0 1 317.436 3.185 20 30 DGEDMN C#CCN(CC#C)[C@H]1CCCc2ccc(Br)cc21 ZINC001167464691 1082179302 /nfs/dbraw/zinc/17/93/02/1082179302.db2.gz PCNSSOBMSFOUKG-INIZCTEOSA-N 0 1 302.215 3.395 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@@H]1CCC=CCCC1 ZINC001266739205 1082179953 /nfs/dbraw/zinc/17/99/53/1082179953.db2.gz XLQZHWYQIQMOSI-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)c2cccs2)CC1 ZINC001113338716 1082181496 /nfs/dbraw/zinc/18/14/96/1082181496.db2.gz KBWKUGOJFQKYFI-GJZGRUSLSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3cc[nH]c3c2)CC1 ZINC001113383481 1082192983 /nfs/dbraw/zinc/19/29/83/1082192983.db2.gz AIISAQRQEHAPHV-HNNXBMFYSA-N 0 1 311.429 3.280 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cnn(CCC)c2)CC1 ZINC001113389537 1082193411 /nfs/dbraw/zinc/19/34/11/1082193411.db2.gz GNHPWSXAJLETCM-UHFFFAOYSA-N 0 1 320.481 3.021 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2sc(C)cc2OC)CC1 ZINC001113401736 1082196805 /nfs/dbraw/zinc/19/68/05/1082196805.db2.gz PGERVUCYOTUEAD-CYBMUJFWSA-N 0 1 322.474 3.178 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)CC1 ZINC001113410201 1082205978 /nfs/dbraw/zinc/20/59/78/1082205978.db2.gz QTBMLSZIDXLIFA-KCUHQSDYSA-N 0 1 324.468 3.071 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccnc(C)c2)CC1 ZINC001113444942 1082217931 /nfs/dbraw/zinc/21/79/31/1082217931.db2.gz PLTFBVGLVSAOGQ-UHFFFAOYSA-N 0 1 303.450 3.118 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(CCOCC)CCCC2)CC1 ZINC001113458637 1082219122 /nfs/dbraw/zinc/21/91/22/1082219122.db2.gz JURRPGIQLNTOAE-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2cccc(F)c2)CCC1 ZINC001266796111 1082222246 /nfs/dbraw/zinc/22/22/46/1082222246.db2.gz GGGSEJSEKPCPFA-UHFFFAOYSA-N 0 1 324.827 3.048 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2C[C@@]23CCc2ccccc23)CC1 ZINC001113477706 1082228771 /nfs/dbraw/zinc/22/87/71/1082228771.db2.gz HHXBAJPTBQDXPW-TZIWHRDSSA-N 0 1 324.468 3.001 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)C(F)(F)F)CC1 ZINC001113566407 1082252086 /nfs/dbraw/zinc/25/20/86/1082252086.db2.gz VIVMBZAGSVJDQB-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H](C)[C@@H]2CCCO2)CC1 ZINC001113580747 1082254050 /nfs/dbraw/zinc/25/40/50/1082254050.db2.gz ZRGZRLKDAKKFOK-MSOLQXFVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001113574429 1082255121 /nfs/dbraw/zinc/25/51/21/1082255121.db2.gz HCEXQTVHBFWSJY-AWEZNQCLSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCCCN1CCN(C(=O)CC(C)(C)C(F)(F)F)CC1 ZINC001113597737 1082258344 /nfs/dbraw/zinc/25/83/44/1082258344.db2.gz IQWQXIGNIFHKOE-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3c(s2)CCC3)CC1 ZINC001113606322 1082261369 /nfs/dbraw/zinc/26/13/69/1082261369.db2.gz FFFVGTDIQUHWPP-AWEZNQCLSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C)c(C)c2)CC1 ZINC001113599709 1082261718 /nfs/dbraw/zinc/26/17/18/1082261718.db2.gz IZYBNGUPGOPFIX-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)Cc2ccccc2)CC1 ZINC001113659982 1082272578 /nfs/dbraw/zinc/27/25/78/1082272578.db2.gz PDRXQLOZABSVOE-ROUUACIJSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1nc(C)c(C)s1 ZINC001266863109 1082280158 /nfs/dbraw/zinc/28/01/58/1082280158.db2.gz DNIZWUDBBYDKTJ-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c[nH]c3ccc(C)cc32)CC1 ZINC001113692055 1082281077 /nfs/dbraw/zinc/28/10/77/1082281077.db2.gz COPDFLUGKJOQDM-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2OC)CC1 ZINC001113703865 1082285504 /nfs/dbraw/zinc/28/55/04/1082285504.db2.gz QKBMHZRXDPCWDR-MSOLQXFVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCCCCOCC)CC1 ZINC001113706740 1082287326 /nfs/dbraw/zinc/28/73/26/1082287326.db2.gz XPIPTXSZHKGPNP-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CC3CC(C)C3)CC2)CCCC1 ZINC001113745656 1082293750 /nfs/dbraw/zinc/29/37/50/1082293750.db2.gz IBYJGPBVAWPHTE-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCC(=O)NC1CCC(NCc2nc(C)oc2C)CC1 ZINC001266913259 1082333890 /nfs/dbraw/zinc/33/38/90/1082333890.db2.gz BOGWNMUXFHPPFE-UHFFFAOYSA-N 0 1 319.449 3.165 20 30 DGEDMN CC(C)(S)CNc1nc2ccc(Br)cc2[nH]1 ZINC001170305702 1082340263 /nfs/dbraw/zinc/34/02/63/1082340263.db2.gz BBNAEWWIEZKHOC-UHFFFAOYSA-N 0 1 300.225 3.446 20 30 DGEDMN CCCCCC(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001266923559 1082345247 /nfs/dbraw/zinc/34/52/47/1082345247.db2.gz KGLMTKDZRWUOGT-OAHLLOKOSA-N 0 1 320.864 3.366 20 30 DGEDMN CCOC(=O)CN(CC)C1CCC(C#N)(c2ccccc2)CC1 ZINC001170396049 1082360644 /nfs/dbraw/zinc/36/06/44/1082360644.db2.gz UBRWRQSYHDTNLF-UHFFFAOYSA-N 0 1 314.429 3.276 20 30 DGEDMN CC(C)C(=O)NCC1(NCc2ccccc2C#N)CCCCC1 ZINC001115412090 1082378936 /nfs/dbraw/zinc/37/89/36/1082378936.db2.gz MVGRKVKXEDVOMD-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1nsc2ccccc12 ZINC001266968445 1082387904 /nfs/dbraw/zinc/38/79/04/1082387904.db2.gz VSGGZWMSNBVDIL-CYBMUJFWSA-N 0 1 317.458 3.247 20 30 DGEDMN CCOC(=O)c1nc2ccc(NCC#Cc3ccccc3)cc2[nH]1 ZINC001170429306 1082400553 /nfs/dbraw/zinc/40/05/53/1082400553.db2.gz NGBNTTWAAYLGSF-UHFFFAOYSA-N 0 1 319.364 3.203 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001266983714 1082404596 /nfs/dbraw/zinc/40/45/96/1082404596.db2.gz QQGDMKLSMAOQHM-IEBWSBKVSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2nc(C)sc2c1 ZINC001266984539 1082405365 /nfs/dbraw/zinc/40/53/65/1082405365.db2.gz WNIVLLWXYGMRBI-JTQLQIEISA-N 0 1 323.849 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC1CCC(C)(C)CC1 ZINC001266997972 1082419217 /nfs/dbraw/zinc/41/92/17/1082419217.db2.gz BQTUXJRCNMLTPO-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN CCC(=CC(=O)NCCNCC#Cc1ccc(Cl)cc1)CC ZINC001125564579 1082448529 /nfs/dbraw/zinc/44/85/29/1082448529.db2.gz DFOUDTYIJBICCP-UHFFFAOYSA-N 0 1 318.848 3.144 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001267103548 1082518060 /nfs/dbraw/zinc/51/80/60/1082518060.db2.gz ATZKBMFBIOWKOW-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2cnccc2C)C1 ZINC001267103549 1082519261 /nfs/dbraw/zinc/51/92/61/1082519261.db2.gz ATZKBMFBIOWKOW-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001267104306 1082520503 /nfs/dbraw/zinc/52/05/03/1082520503.db2.gz PUHTUFRTGVDUFQ-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CC[C@H](C)CC(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001267125280 1082542504 /nfs/dbraw/zinc/54/25/04/1082542504.db2.gz OURVQMLQUHNPKG-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CCCC)CC1 ZINC001279578557 1082550671 /nfs/dbraw/zinc/55/06/71/1082550671.db2.gz ZBWSHYVRURRSLG-DLBZAZTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@@H](C)NCc2nocc2C)CC1 ZINC001267185156 1082609815 /nfs/dbraw/zinc/60/98/15/1082609815.db2.gz KAHAUFPPRZSUDT-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001267188397 1082614650 /nfs/dbraw/zinc/61/46/50/1082614650.db2.gz OGIQBJGYNNKJLG-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CCCC(C)(C)C)C[C@@H](C)O2 ZINC001131642331 1082697240 /nfs/dbraw/zinc/69/72/40/1082697240.db2.gz OIORFQOMPMEUPP-APWZRJJASA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)C(CCC)CCC)C[C@H](C)O2 ZINC001131681550 1082702773 /nfs/dbraw/zinc/70/27/73/1082702773.db2.gz DKRLNCPIFMYLAD-LPHOPBHVSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)(C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1cccc(F)c1 ZINC001131674268 1082705153 /nfs/dbraw/zinc/70/51/53/1082705153.db2.gz LYUWIEOCJYDJCN-UHFFFAOYSA-N 0 1 322.343 3.490 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CCCCC(C)C)C[C@@H](C)O2 ZINC001131693581 1082707881 /nfs/dbraw/zinc/70/78/81/1082707881.db2.gz QRBCAGRCICSVJX-IEBWSBKVSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131751994 1082718313 /nfs/dbraw/zinc/71/83/13/1082718313.db2.gz OEKCAFBEBUDDNT-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1CCc1c(F)cccc1F ZINC001267263118 1082722628 /nfs/dbraw/zinc/72/26/28/1082722628.db2.gz GPANNRFJDJBIRK-CQSZACIVSA-N 0 1 322.399 3.054 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001267271974 1082731894 /nfs/dbraw/zinc/73/18/94/1082731894.db2.gz GMXMHLOUWVPRMQ-AEFFLSMTSA-N 0 1 315.461 3.073 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2nccn2-c2ccccc2)c(O)c1 ZINC000588579198 1082732127 /nfs/dbraw/zinc/73/21/27/1082732127.db2.gz OXBXLVOWJKPKQG-KTKRTIGZSA-N 0 1 320.348 3.483 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccccc2F)C1 ZINC001131900474 1082758303 /nfs/dbraw/zinc/75/83/03/1082758303.db2.gz YNZUDRUPYWPTNR-ZBFHGGJFSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2csc(C)c2)C1 ZINC001131896841 1082762971 /nfs/dbraw/zinc/76/29/71/1082762971.db2.gz WINSNFSVWLJQNV-CZUORRHYSA-N 0 1 306.475 3.492 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccc(F)cc2)C1 ZINC001131896852 1082763676 /nfs/dbraw/zinc/76/36/76/1082763676.db2.gz WMYYJQQGIKEQBY-RHSMWYFYSA-N 0 1 304.409 3.261 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2csc(C)c2)C1 ZINC001132003441 1082790786 /nfs/dbraw/zinc/79/07/86/1082790786.db2.gz VKTQPYKNZILMDD-WMLDXEAASA-N 0 1 318.486 3.329 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc(C(C)(C)C)c1)C1CC1 ZINC001267334936 1082811949 /nfs/dbraw/zinc/81/19/49/1082811949.db2.gz ISKGGGFBLSKSFB-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccnc2C)C1 ZINC001132064467 1082811911 /nfs/dbraw/zinc/81/19/11/1082811911.db2.gz KBSPLGPTHWFQCM-MAUKXSAKSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(C)o1)c1ccc(C(C)C)cc1 ZINC001267346329 1082824636 /nfs/dbraw/zinc/82/46/36/1082824636.db2.gz OKXXYIZFWHXDLA-GOSISDBHSA-N 0 1 324.424 3.405 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2scnc2C)C1 ZINC001132218320 1082844406 /nfs/dbraw/zinc/84/44/06/1082844406.db2.gz OYBKISRWVJAPCW-TZMCWYRMSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2cccnc2C)C1 ZINC001132217249 1082844520 /nfs/dbraw/zinc/84/45/20/1082844520.db2.gz AFGOJNZMWHARQI-WMLDXEAASA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001132227409 1082848685 /nfs/dbraw/zinc/84/86/85/1082848685.db2.gz CYYKFKTXXVQUHU-UKRRQHHQSA-N 0 1 306.475 3.429 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132256706 1082859100 /nfs/dbraw/zinc/85/91/00/1082859100.db2.gz PILDXJPIEJGILH-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(CCc2ccns2)C1 ZINC001132256065 1082859757 /nfs/dbraw/zinc/85/97/57/1082859757.db2.gz IUAUXEJCSGIJFK-GJZGRUSLSA-N 0 1 321.490 3.011 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cnccc2C)C1 ZINC001132257534 1082861299 /nfs/dbraw/zinc/86/12/99/1082861299.db2.gz XCIGRVWGMNUODO-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(C)c(C)cc1Cl ZINC001132324025 1082886835 /nfs/dbraw/zinc/88/68/35/1082886835.db2.gz RWQDFPWAFCIFHL-UHFFFAOYSA-N 0 1 301.217 3.029 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(C2CCC2)c1 ZINC001267456380 1082894696 /nfs/dbraw/zinc/89/46/96/1082894696.db2.gz VPBJDFYBCRRUHZ-UHFFFAOYSA-N 0 1 306.837 3.368 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CCc1ccccc1)C2 ZINC001111492232 1082897578 /nfs/dbraw/zinc/89/75/78/1082897578.db2.gz PDGDGBBTMWUJRO-AFYVEPGGSA-N 0 1 324.468 3.000 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN[C@@H](CC)c1ccc(F)cc1F ZINC001132395839 1082897948 /nfs/dbraw/zinc/89/79/48/1082897948.db2.gz QOWOGIBJAHBAEM-HNNXBMFYSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)Cc2ccccc2)CC[C@H]1C ZINC001132409928 1082904936 /nfs/dbraw/zinc/90/49/36/1082904936.db2.gz GYOLKKFGOHMQGF-SJLPKXTDSA-N 0 1 314.473 3.410 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)CC[C@H]1C ZINC001132409926 1082904965 /nfs/dbraw/zinc/90/49/65/1082904965.db2.gz GYOLKKFGOHMQGF-AEFFLSMTSA-N 0 1 314.473 3.410 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)CCC2CCCCCC2)[C@H](OC)C1 ZINC001213183081 1082910407 /nfs/dbraw/zinc/91/04/07/1082910407.db2.gz IQHOBRUWMUYINR-QZTJIDSGSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C/C=C/c2ccc(C)cc2)CC[C@H]1C ZINC001132549576 1082943324 /nfs/dbraw/zinc/94/33/24/1082943324.db2.gz VPOKFMVGOFTLJA-IBMJZYDUSA-N 0 1 310.441 3.001 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132568904 1082947447 /nfs/dbraw/zinc/94/74/47/1082947447.db2.gz HFPUXFTZQQIXGD-NEPJUHHUSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132568570 1082947559 /nfs/dbraw/zinc/94/75/59/1082947559.db2.gz AKWIGTCEERDHLR-NWDGAFQWSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132573946 1082950527 /nfs/dbraw/zinc/95/05/27/1082950527.db2.gz STQLFAPZPMRVKV-OLZOCXBDSA-N 0 1 324.852 3.013 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3c(c2)CCC3)C1 ZINC001267496207 1082953942 /nfs/dbraw/zinc/95/39/42/1082953942.db2.gz OYBRPIKCQKYDTC-LJQANCHMSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001267496216 1082954065 /nfs/dbraw/zinc/95/40/65/1082954065.db2.gz OZGITHGKXJCXQX-MSOLQXFVSA-N 0 1 318.436 3.066 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001132659597 1082973711 /nfs/dbraw/zinc/97/37/11/1082973711.db2.gz BHMKOKDSCHGJAV-LRDDRELGSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1ccc(C)cc1Cl ZINC001132660842 1082974187 /nfs/dbraw/zinc/97/41/87/1082974187.db2.gz VCWUBHPMWHHNLO-AWEZNQCLSA-N 0 1 308.853 3.457 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)C(C)C)c1ccc(C(C)C)cc1 ZINC001267517660 1082977132 /nfs/dbraw/zinc/97/71/32/1082977132.db2.gz NFEPBKUAWVYMCJ-QFBILLFUSA-N 0 1 314.473 3.482 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1c(C)cc(F)cc1C)c1ccccc1 ZINC001267518799 1082978691 /nfs/dbraw/zinc/97/86/91/1082978691.db2.gz CSYVBXYEEUAURL-GOSISDBHSA-N 0 1 324.399 3.136 20 30 DGEDMN C=CCCCC(=O)NCC[C@H]1CCN(Cc2csc(C)n2)C1 ZINC001267569600 1083055940 /nfs/dbraw/zinc/05/59/40/1083055940.db2.gz AESZHDQACWJBFI-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C)C(C)(C)C ZINC001158787485 1083056503 /nfs/dbraw/zinc/05/65/03/1083056503.db2.gz UVVSUPLLZOPTKD-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN N#CCc1cc(N[C@@H](Cc2ccccc2)c2nccs2)ccn1 ZINC001170661333 1083062832 /nfs/dbraw/zinc/06/28/32/1083062832.db2.gz SOTDIHWPHABCON-KRWDZBQOSA-N 0 1 320.421 3.422 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1oc2ccccc2c1CC ZINC001481019759 1083086968 /nfs/dbraw/zinc/08/69/68/1083086968.db2.gz DUFYOAOCQGCDJU-UHFFFAOYSA-N 0 1 312.413 3.070 20 30 DGEDMN CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001481026683 1083097592 /nfs/dbraw/zinc/09/75/92/1083097592.db2.gz RXQGEPWHXXQHKK-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](CC)CC(F)F)C1 ZINC001267585030 1083102924 /nfs/dbraw/zinc/10/29/24/1083102924.db2.gz NBLPNYJWXABPJY-STQMWFEESA-N 0 1 322.827 3.201 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2cc(F)ccc2Cl)CC1 ZINC001133375773 1083105842 /nfs/dbraw/zinc/10/58/42/1083105842.db2.gz IOKVXKQUBMNZSO-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001133380520 1083110818 /nfs/dbraw/zinc/11/08/18/1083110818.db2.gz HYMZVDHNFUOLRA-QGZVFWFLSA-N 0 1 308.853 3.457 20 30 DGEDMN CC(C(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1)=C1CCC1 ZINC001481039870 1083125887 /nfs/dbraw/zinc/12/58/87/1083125887.db2.gz MLWCKLHXYVSUSC-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC[C@H](C)c1ccccc1 ZINC001481060419 1083141463 /nfs/dbraw/zinc/14/14/63/1083141463.db2.gz INIGRPRBQYIXGS-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(Cl)s1 ZINC001133883988 1083205351 /nfs/dbraw/zinc/20/53/51/1083205351.db2.gz JMNQCKHCSXLPDU-MNOVXSKESA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1ncc(Cl)s1 ZINC001133883990 1083205485 /nfs/dbraw/zinc/20/54/85/1083205485.db2.gz JMNQCKHCSXLPDU-WDEREUQCSA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2ccc(Cl)s2)C1 ZINC001267622145 1083223827 /nfs/dbraw/zinc/22/38/27/1083223827.db2.gz NSZMBKDBRQIASJ-GFCCVEGCSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2cccnc2C)C1 ZINC001267629007 1083231304 /nfs/dbraw/zinc/23/13/04/1083231304.db2.gz IPIWAZAGYIFTSP-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001267627608 1083231694 /nfs/dbraw/zinc/23/16/94/1083231694.db2.gz BDCAFFADSAWLNR-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001267627608 1083231697 /nfs/dbraw/zinc/23/16/97/1083231697.db2.gz BDCAFFADSAWLNR-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC(C)(C)C2CC2)C1 ZINC001267647808 1083244640 /nfs/dbraw/zinc/24/46/40/1083244640.db2.gz YQZOIGJXPGKIID-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001134164055 1083248715 /nfs/dbraw/zinc/24/87/15/1083248715.db2.gz JYCGADCVMYYFKR-KGLIPLIRSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001267656836 1083249757 /nfs/dbraw/zinc/24/97/57/1083249757.db2.gz YCFNMLDLAMCSGK-RDJZCZTQSA-N 0 1 315.461 3.120 20 30 DGEDMN C=CCCCCC[NH2+][C@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001134193022 1083254024 /nfs/dbraw/zinc/25/40/24/1083254024.db2.gz LNLBLPMFTRXKBR-HUUCEWRRSA-N 0 1 319.449 3.020 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001267691518 1083266898 /nfs/dbraw/zinc/26/68/98/1083266898.db2.gz PLIWIVWFDKJJTA-ZDUSSCGKSA-N 0 1 306.837 3.068 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)o1 ZINC001267700293 1083271560 /nfs/dbraw/zinc/27/15/60/1083271560.db2.gz MNTBMFSWCLGNPU-HOTGVXAUSA-N 0 1 302.418 3.233 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(F)c1 ZINC001267704723 1083273464 /nfs/dbraw/zinc/27/34/64/1083273464.db2.gz WWJNTEOUSLYSSQ-HOTGVXAUSA-N 0 1 319.424 3.090 20 30 DGEDMN O=C(CC1CCCCCC1)NCCNCC#Cc1ccccc1 ZINC001134410157 1083285228 /nfs/dbraw/zinc/28/52/28/1083285228.db2.gz GBDAHQMVALJNSW-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001134410170 1083285232 /nfs/dbraw/zinc/28/52/32/1083285232.db2.gz GXFJAQYWJQTYJX-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001134457595 1083295647 /nfs/dbraw/zinc/29/56/47/1083295647.db2.gz QGKHHQPAUWLZTK-STQMWFEESA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001134485718 1083296424 /nfs/dbraw/zinc/29/64/24/1083296424.db2.gz KOYCTNNEBWAFAB-STQMWFEESA-N 0 1 309.479 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)Cc1ccccc1 ZINC001267830889 1083405539 /nfs/dbraw/zinc/40/55/39/1083405539.db2.gz IRIUMWQPDYGICS-CJNGLKHVSA-N 0 1 308.853 3.102 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CNCc1c(F)cccc1F ZINC001267951941 1083637231 /nfs/dbraw/zinc/63/72/31/1083637231.db2.gz UBSNMMBVVNUNBP-CYBMUJFWSA-N 0 1 308.372 3.012 20 30 DGEDMN C#CCCCCCCCCC(=O)Nc1cc(C(=O)OCC)[nH]n1 ZINC001182185655 1083641298 /nfs/dbraw/zinc/64/12/98/1083641298.db2.gz MFGAKAUVQJDZSA-UHFFFAOYSA-N 0 1 319.405 3.279 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1cc(C)ccc1F ZINC001481284798 1083647118 /nfs/dbraw/zinc/64/71/18/1083647118.db2.gz HDFDSKQSPSLTDD-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001211657902 1083673445 /nfs/dbraw/zinc/67/34/45/1083673445.db2.gz RYSHQRVKBHNXFR-RYQLBKOJSA-N 0 1 318.436 3.142 20 30 DGEDMN C#CCCCCC(=O)NCCN[C@H](CC)c1ccc(F)cc1F ZINC001125945993 1083689959 /nfs/dbraw/zinc/68/99/59/1083689959.db2.gz QNRSVYCJKGTSLW-QGZVFWFLSA-N 0 1 322.399 3.315 20 30 DGEDMN CC#CCCCCCCCC(=O)Nc1nc(C)nc2nc[nH]c21 ZINC001182767468 1083797820 /nfs/dbraw/zinc/79/78/20/1083797820.db2.gz VDUAFBSFWPJXEG-UHFFFAOYSA-N 0 1 313.405 3.354 20 30 DGEDMN C=CCC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ccco2)C1 ZINC001087420059 1083817373 /nfs/dbraw/zinc/81/73/73/1083817373.db2.gz JVDGABASFSUKLB-QZTJIDSGSA-N 0 1 324.424 3.444 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(CCC)cc1)c1ccccc1 ZINC001481368784 1083828562 /nfs/dbraw/zinc/82/85/62/1083828562.db2.gz ISANSOHPYUYQIC-FQEVSTJZSA-N 0 1 320.436 3.333 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H](C)CC(C)C)c1ccccc1 ZINC001481373105 1083834740 /nfs/dbraw/zinc/83/47/40/1083834740.db2.gz NIVBZTORQHCVKK-WMZOPIPTSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001182897669 1083835454 /nfs/dbraw/zinc/83/54/54/1083835454.db2.gz DNGCMPSUSZPNCR-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN N#C[C@@H](CC(=O)NCc1cnc[nH]1)c1c(Cl)cccc1Cl ZINC001183091623 1083868387 /nfs/dbraw/zinc/86/83/87/1083868387.db2.gz KTEQNJLRDMKEIW-SECBINFHSA-N 0 1 323.183 3.030 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC2CC(C)(C)C2)C1 ZINC001481405455 1083875014 /nfs/dbraw/zinc/87/50/14/1083875014.db2.gz GRYLRWCKIFMSPZ-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC001481431756 1083907779 /nfs/dbraw/zinc/90/77/79/1083907779.db2.gz LAAJBFAMLQQHFS-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C3(c4ccccc4C#N)CC3)ccc12 ZINC001183295262 1083918535 /nfs/dbraw/zinc/91/85/35/1083918535.db2.gz NSAXDLNKOPAOEN-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C/c2cccc(F)c2)C1 ZINC001183378632 1083941719 /nfs/dbraw/zinc/94/17/19/1083941719.db2.gz YABUNNAAJWSSHQ-LLCTXKFZSA-N 0 1 322.811 3.172 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)ccc2C)C1 ZINC001481455675 1083944047 /nfs/dbraw/zinc/94/40/47/1083944047.db2.gz ATAOSTDNUYOGMV-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2CCC(F)CC2)C1 ZINC001481496466 1084011452 /nfs/dbraw/zinc/01/14/52/1084011452.db2.gz CSNMJRWXZQSULL-WLYUNCDWSA-N 0 1 316.848 3.048 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(C)[C@@H](C)c2ncc(C)o2)CC1 ZINC001481510907 1084040650 /nfs/dbraw/zinc/04/06/50/1084040650.db2.gz JNCCJIHTCWWJKK-HNNXBMFYSA-N 0 1 319.449 3.181 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(CCF)CC2)CC1 ZINC001481516986 1084054482 /nfs/dbraw/zinc/05/44/82/1084054482.db2.gz TWAHMCFZZFBVAQ-UHFFFAOYSA-N 0 1 316.848 3.049 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001481524607 1084072187 /nfs/dbraw/zinc/07/21/87/1084072187.db2.gz IQGRLKFFHYQBRW-QGZVFWFLSA-N 0 1 324.424 3.317 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001481532251 1084089345 /nfs/dbraw/zinc/08/93/45/1084089345.db2.gz BQUXHBNQMXWUEI-STQMWFEESA-N 0 1 319.276 3.117 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCCc2ccc(C)cc2)C1 ZINC001481579724 1084179714 /nfs/dbraw/zinc/17/97/14/1084179714.db2.gz HUJSDVIQCMWLIJ-UHFFFAOYSA-N 0 1 300.446 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C(C)(C)C(F)F ZINC001268111228 1084183816 /nfs/dbraw/zinc/18/38/16/1084183816.db2.gz NZSIKWOKZJTQGB-LLVKDONJSA-N 0 1 310.816 3.151 20 30 DGEDMN Cc1cc(C)cc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1 ZINC001184470701 1084191461 /nfs/dbraw/zinc/19/14/61/1084191461.db2.gz NEMIXLOTUZJFLH-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001481584875 1084220605 /nfs/dbraw/zinc/22/06/05/1084220605.db2.gz HYRNCZDQGQJWRF-NSHDSACASA-N 0 1 310.800 3.248 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001273488957 1084223045 /nfs/dbraw/zinc/22/30/45/1084223045.db2.gz UKKBLRNABGPHEU-AUWJEWJLSA-N 0 1 319.474 3.256 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3cnccc3c2)C1 ZINC001481592494 1084232058 /nfs/dbraw/zinc/23/20/58/1084232058.db2.gz DYPLFTISJZZZLW-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001184652544 1084235927 /nfs/dbraw/zinc/23/59/27/1084235927.db2.gz BOMKXOUFJROFLO-AWEZNQCLSA-N 0 1 314.420 3.359 20 30 DGEDMN C=C1CC(C)(C(=O)NC2(C#N)CCN(Cc3ccccc3)CC2)C1 ZINC001184793363 1084267607 /nfs/dbraw/zinc/26/76/07/1084267607.db2.gz GCXOZKOWQWWKGB-UHFFFAOYSA-N 0 1 323.440 3.017 20 30 DGEDMN C[C@H](Cc1ccccc1)c1cc(C(=O)N2CCCC[C@@H]2C#N)n[nH]1 ZINC001184984124 1084313853 /nfs/dbraw/zinc/31/38/53/1084313853.db2.gz KLYGTJWHQDPFHX-GDBMZVCRSA-N 0 1 322.412 3.274 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001185064089 1084326448 /nfs/dbraw/zinc/32/64/48/1084326448.db2.gz LGHKTBIRQGMDDZ-XJKSGUPXSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001185048517 1084334380 /nfs/dbraw/zinc/33/43/80/1084334380.db2.gz FDGQCWZCBRCVEJ-XJKSGUPXSA-N 0 1 322.399 3.258 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001268223733 1084354940 /nfs/dbraw/zinc/35/49/40/1084354940.db2.gz LFLAJJSILMTZHJ-CRAIPNDOSA-N 0 1 315.461 3.081 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C)C(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001268246725 1084401242 /nfs/dbraw/zinc/40/12/42/1084401242.db2.gz BYJIYEAUHQDZBP-AWEZNQCLSA-N 0 1 308.853 3.410 20 30 DGEDMN CC1(C)CC[C@H](C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)C1 ZINC001185503017 1084458710 /nfs/dbraw/zinc/45/87/10/1084458710.db2.gz GEYDGBXRQJWREW-RBUKOAKNSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001481674350 1084469015 /nfs/dbraw/zinc/46/90/15/1084469015.db2.gz MLKFLAPZYMWBHO-VJANTYMQSA-N 0 1 316.489 3.454 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001481705958 1084498520 /nfs/dbraw/zinc/49/85/20/1084498520.db2.gz DCGITZBPEQDVEB-IEYVCLCVSA-N 0 1 312.457 3.493 20 30 DGEDMN C[C@H](C#N)c1ccc(NS(=O)(=O)c2ccc(Cl)nc2)cc1 ZINC001185679023 1084509167 /nfs/dbraw/zinc/50/91/67/1084509167.db2.gz BRTXTLJVHXJFOG-SNVBAGLBSA-N 0 1 321.789 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001481727463 1084538715 /nfs/dbraw/zinc/53/87/15/1084538715.db2.gz RWVFRYRLGDDLSB-SFHVURJKSA-N 0 1 312.457 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNC/C(Cl)=C/Cl ZINC001268388951 1084550341 /nfs/dbraw/zinc/55/03/41/1084550341.db2.gz HFFUVKXIONYIGS-LCFDYFRESA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001481746216 1084568200 /nfs/dbraw/zinc/56/82/00/1084568200.db2.gz BXRYPAQYQKUTSJ-IRXDYDNUSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001481765063 1084612695 /nfs/dbraw/zinc/61/26/95/1084612695.db2.gz RYCFWFNEMANGTI-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN N#Cc1nc2ccc(NC(=O)c3cc4cccnc4[nH]3)cc2s1 ZINC001151547976 1084629820 /nfs/dbraw/zinc/62/98/20/1084629820.db2.gz ZOSWJCWOMGSQLJ-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN CC[C@H](CNC(=O)CCC(C)C)NCc1cc(C#N)ccc1F ZINC001481790948 1084640256 /nfs/dbraw/zinc/64/02/56/1084640256.db2.gz UVKXYFZEUPWJQT-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1cc(F)ccc1Cl ZINC001481809519 1084645318 /nfs/dbraw/zinc/64/53/18/1084645318.db2.gz LACKGHULNJWSGF-HNNXBMFYSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(F)cc2ccoc21 ZINC001481825434 1084652849 /nfs/dbraw/zinc/65/28/49/1084652849.db2.gz GKJDFUFFFTVFCL-CYBMUJFWSA-N 0 1 324.783 3.422 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](CC)NCc2oc(C)nc2C)CC1 ZINC001481848685 1084663760 /nfs/dbraw/zinc/66/37/60/1084663760.db2.gz QAXBAZRXBOLNGP-MRXNPFEDSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2ccccc2n1C ZINC001481845687 1084664512 /nfs/dbraw/zinc/66/45/12/1084664512.db2.gz KTWVXTNBNGFZMI-CQSZACIVSA-N 0 1 319.836 3.029 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(c2cccc(F)c2)CC1 ZINC001481856923 1084669125 /nfs/dbraw/zinc/66/91/25/1084669125.db2.gz RZSPSHXXKHGDAD-OAHLLOKOSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCC[N@@H+](C)Cc1ccccc1F ZINC001273524509 1084676764 /nfs/dbraw/zinc/67/67/64/1084676764.db2.gz ZEPMIBJYXOQOGA-UHFFFAOYSA-N 0 1 306.425 3.462 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1ccccc1F ZINC001273524509 1084676768 /nfs/dbraw/zinc/67/67/68/1084676768.db2.gz ZEPMIBJYXOQOGA-UHFFFAOYSA-N 0 1 306.425 3.462 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H](C)c2ccccc2)C1 ZINC001268482079 1084702685 /nfs/dbraw/zinc/70/26/85/1084702685.db2.gz NEPNYGHQKFLRBM-CVEARBPZSA-N 0 1 300.446 3.335 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(C[C@H](C)CC(C)C)C1)c1ccccc1 ZINC001268483442 1084705688 /nfs/dbraw/zinc/70/56/88/1084705688.db2.gz MEZUNWHQVSTROG-APWZRJJASA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCOc1ccc(CN2CCC(c3noc(C)n3)CC2)cc1 ZINC000092787574 1084732571 /nfs/dbraw/zinc/73/25/71/1084732571.db2.gz APVIXUSESLFKRO-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1cccc(Cl)c1F ZINC001268659872 1084924031 /nfs/dbraw/zinc/92/40/31/1084924031.db2.gz JKTMULOAQDZWBT-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2c(c1)CCCC2 ZINC001268672326 1084932484 /nfs/dbraw/zinc/93/24/84/1084932484.db2.gz FCADDLLJXHWSNF-CYBMUJFWSA-N 0 1 306.837 3.026 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC001268709246 1084965728 /nfs/dbraw/zinc/96/57/28/1084965728.db2.gz LCYBHINRBBLJLA-KSSFIOAISA-N 0 1 320.864 3.201 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001268729756 1084991123 /nfs/dbraw/zinc/99/11/23/1084991123.db2.gz PRPUFVGRDDKZTJ-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC[C@@H](C)CC)CC1(C)C ZINC001284332759 1085075544 /nfs/dbraw/zinc/07/55/44/1085075544.db2.gz PSPNRDODJORLGB-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)c(C)c1 ZINC001268965497 1085088716 /nfs/dbraw/zinc/08/87/16/1085088716.db2.gz RDQBUJPHAQHWOK-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2Cl)C1 ZINC001269122533 1085138296 /nfs/dbraw/zinc/13/82/96/1085138296.db2.gz ZGTUCSDCZSNWNN-HUUCEWRRSA-N 0 1 318.848 3.395 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCN1CC/C=C\c1ccccc1 ZINC001269235335 1085226793 /nfs/dbraw/zinc/22/67/93/1085226793.db2.gz JVJNPYCWCJJKHA-KOTDBNOLSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1[C@H]1CCc2ccccc21 ZINC001269271529 1085254785 /nfs/dbraw/zinc/25/47/85/1085254785.db2.gz ATXHDSJPPRZORF-AEFFLSMTSA-N 0 1 312.457 3.467 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCN1CC1=CCCCC1 ZINC001269273257 1085256379 /nfs/dbraw/zinc/25/63/79/1085256379.db2.gz ULQMJMKKYYLARC-GOSISDBHSA-N 0 1 302.462 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001269272037 1085256625 /nfs/dbraw/zinc/25/66/25/1085256625.db2.gz GWPDHHMVSWISAA-HNNXBMFYSA-N 0 1 306.475 3.083 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001269286290 1085269967 /nfs/dbraw/zinc/26/99/67/1085269967.db2.gz NJAPVSABJASGBD-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC)C(C)C ZINC001269597659 1085485219 /nfs/dbraw/zinc/48/52/19/1085485219.db2.gz LVZMISQNHOOYIJ-FGTMMUONSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCCF ZINC001269671587 1085520618 /nfs/dbraw/zinc/52/06/18/1085520618.db2.gz UWMDFFGOTZLETK-IYBDPMFKSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC001269812809 1085573311 /nfs/dbraw/zinc/57/33/11/1085573311.db2.gz UGOBDTGVKISTFY-BRWVUGGUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cccc(CC)c2)C1 ZINC001269812522 1085573610 /nfs/dbraw/zinc/57/36/10/1085573610.db2.gz JIDNKHQYTDKZGW-INIZCTEOSA-N 0 1 314.473 3.289 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)c(F)c2)C1 ZINC001269820613 1085574916 /nfs/dbraw/zinc/57/49/16/1085574916.db2.gz NPKDZLCIMNDQMH-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001269875662 1085595481 /nfs/dbraw/zinc/59/54/81/1085595481.db2.gz MCUUJEOPYAOTKG-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(C)c2C)C1 ZINC001269881088 1085597975 /nfs/dbraw/zinc/59/79/75/1085597975.db2.gz CRRGHZYVKTURCX-OAHLLOKOSA-N 0 1 300.446 3.414 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)C(CC)CC ZINC001270229085 1085741501 /nfs/dbraw/zinc/74/15/01/1085741501.db2.gz XFXLBVWSIJCFBL-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001270255928 1085749796 /nfs/dbraw/zinc/74/97/96/1085749796.db2.gz RTMLENGEPMVJBV-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccnc(C)c1)C1CCCC1 ZINC001270440333 1085814033 /nfs/dbraw/zinc/81/40/33/1085814033.db2.gz DFLIFCJZCLCIPV-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001270614507 1085884213 /nfs/dbraw/zinc/88/42/13/1085884213.db2.gz GPHOZOOHDYVJLR-OAQYLSRUSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccccc1C ZINC001482376064 1086097431 /nfs/dbraw/zinc/09/74/31/1086097431.db2.gz KJNHQVRUKYHXGV-OAHLLOKOSA-N 0 1 308.853 3.482 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(c2ccccc2C)CC1 ZINC001482376486 1086097726 /nfs/dbraw/zinc/09/77/26/1086097726.db2.gz ZOSMYQKDDXCGFB-OAHLLOKOSA-N 0 1 320.864 3.216 20 30 DGEDMN C=CCCC(=O)N1CCC([C@H]2N(CCF)CC23CCC3)CC1 ZINC001105389339 1086132407 /nfs/dbraw/zinc/13/24/07/1086132407.db2.gz NREKWHXXBSMABP-QGZVFWFLSA-N 0 1 308.441 3.015 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001270940285 1086206804 /nfs/dbraw/zinc/20/68/04/1086206804.db2.gz GKVCPWBNDYGTDO-OAQYLSRUSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCCCC(C)(C)C)C1 ZINC001270965746 1086220827 /nfs/dbraw/zinc/22/08/27/1086220827.db2.gz OEDMFUPDUDBFGL-IBGZPJMESA-N 0 1 306.494 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccccc2C2CCC2)C1 ZINC001271006990 1086245655 /nfs/dbraw/zinc/24/56/55/1086245655.db2.gz GIBUQVUYEFOBCM-NRFANRHFSA-N 0 1 324.468 3.419 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(C)[nH]c2ccccc21 ZINC001271028478 1086256345 /nfs/dbraw/zinc/25/63/45/1086256345.db2.gz JVMUZBBJPCRDBC-LBPRGKRZSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001271043719 1086264336 /nfs/dbraw/zinc/26/43/36/1086264336.db2.gz MDALIRXPJFLUAO-UWWQBHOKSA-N 0 1 320.864 3.153 20 30 DGEDMN C=CC[C@H](CCC)Oc1nc(=O)[nH]c(C)c1Br ZINC001226781788 1086280711 /nfs/dbraw/zinc/28/07/11/1086280711.db2.gz MRKAYHCCLPLPJE-SECBINFHSA-N 0 1 301.184 3.377 20 30 DGEDMN CCCC[C@@H](CC)CN1CCC12CN(C(=O)C1(C#N)CCC1)C2 ZINC001271111586 1086295491 /nfs/dbraw/zinc/29/54/91/1086295491.db2.gz FNWWNQLTSPNLPA-MRXNPFEDSA-N 0 1 317.477 3.183 20 30 DGEDMN C=CC[C@H](CCCC)Oc1n[nH]c(=O)cc1Br ZINC001226851250 1086346327 /nfs/dbraw/zinc/34/63/27/1086346327.db2.gz YIWFPOBQXWRIIT-SECBINFHSA-N 0 1 301.184 3.458 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1CC ZINC001087588288 1086318666 /nfs/dbraw/zinc/31/86/66/1086318666.db2.gz LABOKQXKQIIVGQ-JKSUJKDBSA-N 0 1 318.486 3.307 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2C(C)C)[C@H]1CC ZINC001087594236 1086321672 /nfs/dbraw/zinc/32/16/72/1086321672.db2.gz SYAKYGAFBMEBGM-RBUKOAKNSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1CC ZINC001087611043 1086325748 /nfs/dbraw/zinc/32/57/48/1086325748.db2.gz SXEOKDCOQYSUGJ-NWDGAFQWSA-N 0 1 312.360 3.294 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-c3ccco3)o2)[C@H]1CC ZINC001087652922 1086343501 /nfs/dbraw/zinc/34/35/01/1086343501.db2.gz UNIDUEIHSKYYGY-UONOGXRCSA-N 0 1 314.385 3.308 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)[C@H]1CC ZINC001087757241 1086398699 /nfs/dbraw/zinc/39/86/99/1086398699.db2.gz JYHJCCHXTSSKRT-HDAAZOAOSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1CC ZINC001087845013 1086443380 /nfs/dbraw/zinc/44/33/80/1086443380.db2.gz BAGZCIBFFFLWNA-BQTPPKLHSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1CC ZINC001087845013 1086443385 /nfs/dbraw/zinc/44/33/85/1086443385.db2.gz BAGZCIBFFFLWNA-BQTPPKLHSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(C2CC2)c1 ZINC001271228966 1086550176 /nfs/dbraw/zinc/55/01/76/1086550176.db2.gz VFBGVOMDGCODIT-CYBMUJFWSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1conc1C)CC(C)C ZINC001271285921 1086564052 /nfs/dbraw/zinc/56/40/52/1086564052.db2.gz MFJWSJMPNPFVJY-MRXNPFEDSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1nc(C)cs1)CC(C)C ZINC001271286156 1086564753 /nfs/dbraw/zinc/56/47/53/1086564753.db2.gz QKMXFZDFUOYVQC-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@H](NCc2ocnc2C)C1 ZINC001088360033 1086860086 /nfs/dbraw/zinc/86/00/86/1086860086.db2.gz OUSWKCAYXGYDHI-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1ccc(Cl)c(F)c1 ZINC001271997640 1086909580 /nfs/dbraw/zinc/90/95/80/1086909580.db2.gz BIARYNXXPITNML-UHFFFAOYSA-N 0 1 324.827 3.173 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)c1ccc(C(C)(C)CC)cc1 ZINC001272040708 1087020472 /nfs/dbraw/zinc/02/04/72/1087020472.db2.gz DWNRJCVPZFBMQU-UHFFFAOYSA-N 0 1 300.446 3.011 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC(C)(F)F)CC[C@H]21 ZINC001482658332 1087104479 /nfs/dbraw/zinc/10/44/79/1087104479.db2.gz GQLXLVLMYAXODE-QWHCGFSZSA-N 0 1 320.811 3.097 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H]1C[N@H+](CCCCCCC)C[C@H]1OC ZINC001211967508 1087105082 /nfs/dbraw/zinc/10/50/82/1087105082.db2.gz KZWFBVPVYALESO-HPKIFAADSA-N 0 1 310.482 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1C ZINC001088596356 1087121855 /nfs/dbraw/zinc/12/18/55/1087121855.db2.gz WFXXGAPNMXMKJC-HIFRSBDPSA-N 0 1 306.475 3.469 20 30 DGEDMN CCC(CC)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001272138801 1087217411 /nfs/dbraw/zinc/21/74/11/1087217411.db2.gz ZAYFQPAAHWOMDS-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1cc(F)ccc1F ZINC001483064276 1087323333 /nfs/dbraw/zinc/32/33/33/1087323333.db2.gz IYOVETAGSLHXKT-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@H]1C ZINC001088764974 1087344424 /nfs/dbraw/zinc/34/44/24/1087344424.db2.gz GSJRAKBJGCFZOE-OCCSQVGLSA-N 0 1 322.811 3.003 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@H]1C ZINC001088791369 1087356685 /nfs/dbraw/zinc/35/66/85/1087356685.db2.gz DZNGQYLXEONSQI-ZBFHGGJFSA-N 0 1 311.429 3.163 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(C#Cc3ccccc3)CC2)o1 ZINC001142639619 1087391523 /nfs/dbraw/zinc/39/15/23/1087391523.db2.gz PVWHDZZPJJGVKZ-UHFFFAOYSA-N 0 1 323.392 3.330 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2cccc(Cl)n2)CCC1 ZINC001483163544 1087409340 /nfs/dbraw/zinc/40/93/40/1087409340.db2.gz DQHWIMZDVGRBLY-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN CCCCCCCN1CC(OC2CCN(C(=O)C(C)C)CC2)C1 ZINC001105687423 1087424043 /nfs/dbraw/zinc/42/40/43/1087424043.db2.gz FVSYMIWSDQNQEN-UHFFFAOYSA-N 0 1 324.509 3.305 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)c2ccccc2n1 ZINC001483241996 1087448759 /nfs/dbraw/zinc/44/87/59/1087448759.db2.gz ULPLRPIESLPXFA-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@](C)(CC)CCC ZINC001483278353 1087456268 /nfs/dbraw/zinc/45/62/68/1087456268.db2.gz ZULBWLGVXVVYKJ-GXTWGEPZSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)C[C@H](C)CC)CC2)C1 ZINC001105706444 1087458127 /nfs/dbraw/zinc/45/81/27/1087458127.db2.gz UYGUFXFLJHSWHR-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC001483336759 1087474832 /nfs/dbraw/zinc/47/48/32/1087474832.db2.gz UVFMTDUHFCSPKA-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001483373692 1087484385 /nfs/dbraw/zinc/48/43/85/1087484385.db2.gz DYWJJHOIFBAVFY-DOMZBBRYSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CCC)c1ccccc1 ZINC001483418147 1087496714 /nfs/dbraw/zinc/49/67/14/1087496714.db2.gz STGIPTGCIICSKY-SJLPKXTDSA-N 0 1 300.446 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1CNCc1ccon1 ZINC001483445036 1087505613 /nfs/dbraw/zinc/50/56/13/1087505613.db2.gz VUBQMKKRLDDKIB-GOEBONIOSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(CF)cc1)C1CC1 ZINC001483606720 1087533890 /nfs/dbraw/zinc/53/38/90/1087533890.db2.gz FBUABMRBEUMIOS-OAHLLOKOSA-N 0 1 310.800 3.007 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(C2CC2)cc1 ZINC001483647181 1087602735 /nfs/dbraw/zinc/60/27/35/1087602735.db2.gz IGIZEYGDHQJXOO-IBGZPJMESA-N 0 1 310.441 3.058 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001483647181 1087602737 /nfs/dbraw/zinc/60/27/37/1087602737.db2.gz IGIZEYGDHQJXOO-IBGZPJMESA-N 0 1 310.441 3.058 20 30 DGEDMN Cc1cccc(C)c1CN1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001483649059 1087603411 /nfs/dbraw/zinc/60/34/11/1087603411.db2.gz IQKRRTLEDOIGNM-KRWDZBQOSA-N 0 1 312.457 3.043 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)nc2)[C@H]1C ZINC001088937541 1087607648 /nfs/dbraw/zinc/60/76/48/1087607648.db2.gz RSJMFKOYWONZFA-QAPCUYQASA-N 0 1 321.424 3.127 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(s2)CCCCC3)[C@H]1C ZINC001089021523 1087622807 /nfs/dbraw/zinc/62/28/07/1087622807.db2.gz SRZCEOGFYNALEZ-HIFRSBDPSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc(OCC(C)C)c2)[C@H]1C ZINC001089028594 1087624256 /nfs/dbraw/zinc/62/42/56/1087624256.db2.gz DRZBWRLVQPGPLE-QAPCUYQASA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)[C@H]1C ZINC001089063372 1087629526 /nfs/dbraw/zinc/62/95/26/1087629526.db2.gz ACMMOOAEEIMCTG-FCEWJHQRSA-N 0 1 312.457 3.416 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@H]1C ZINC001089094323 1087637035 /nfs/dbraw/zinc/63/70/35/1087637035.db2.gz SLOPYLZRERXLPD-BEFAXECRSA-N 0 1 308.425 3.080 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H]1CCN1Cc1ccccc1)c1ccccc1 ZINC001483707278 1087683010 /nfs/dbraw/zinc/68/30/10/1087683010.db2.gz CSRGKLFGMOTFRN-UXHICEINSA-N 0 1 320.436 3.347 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001483709381 1087685920 /nfs/dbraw/zinc/68/59/20/1087685920.db2.gz XBTATDXYEMJFKP-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2cc(-c3ccccc3)n[nH]2)c1 ZINC001151648643 1087748806 /nfs/dbraw/zinc/74/88/06/1087748806.db2.gz UTEZMGSWCOQNEN-UHFFFAOYSA-N 0 1 306.300 3.340 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H]1CC=CCC1 ZINC001158316148 1087770802 /nfs/dbraw/zinc/77/08/02/1087770802.db2.gz BFGFLYGYMJOJRF-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@@H]1CC=CCC1 ZINC001151831100 1087789182 /nfs/dbraw/zinc/78/91/82/1087789182.db2.gz RTVIXNRRUNAJGC-UYAOXDASSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@H](CC)CCCCC ZINC001151891176 1087811267 /nfs/dbraw/zinc/81/12/67/1087811267.db2.gz UXYQEYSZFAYDLC-CYBMUJFWSA-N 0 1 319.287 3.207 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1)C1CC1 ZINC000176534618 1087892957 /nfs/dbraw/zinc/89/29/57/1087892957.db2.gz UQOIVXREVNWOBI-KWQFWETISA-N 0 1 308.740 3.173 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1ccc2cncn2c1 ZINC001152206979 1087922421 /nfs/dbraw/zinc/92/24/21/1087922421.db2.gz RALTVSCNVXMQIU-CQSZACIVSA-N 0 1 321.380 3.390 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cc(F)ccc1OC ZINC001152313567 1087945247 /nfs/dbraw/zinc/94/52/47/1087945247.db2.gz RFZXMMDGVCJQRU-CQSZACIVSA-N 0 1 322.424 3.127 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C)c1ccco1 ZINC001158751541 1088006171 /nfs/dbraw/zinc/00/61/71/1088006171.db2.gz WVBDBJAGUQXLJW-DLBZAZTESA-N 0 1 316.445 3.109 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001158758967 1088012067 /nfs/dbraw/zinc/01/20/67/1088012067.db2.gz YYEQLPNXPKHAKA-HSALFYBXSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2ccccc2cc1OC ZINC001152810340 1088087994 /nfs/dbraw/zinc/08/79/94/1088087994.db2.gz ZFLMRHMOWZOUOJ-KRWDZBQOSA-N 0 1 324.424 3.229 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC(C1CC1)C1CC1 ZINC001158923134 1088102724 /nfs/dbraw/zinc/10/27/24/1088102724.db2.gz PKGJYHRHCBTPDV-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C(C)C)s1 ZINC001158936225 1088108010 /nfs/dbraw/zinc/10/80/10/1088108010.db2.gz WGLJWWFCANLSGT-OAHLLOKOSA-N 0 1 318.486 3.431 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2c(cccc2C)o1 ZINC001158949954 1088113170 /nfs/dbraw/zinc/11/31/70/1088113170.db2.gz XHZGLGHDZZIWKS-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN N#Cc1ccc(NC(=O)c2cnc3[nH]ccc(Cl)c2-3)c(C#N)c1 ZINC001153105973 1088170062 /nfs/dbraw/zinc/17/00/62/1088170062.db2.gz LKMAZBWHVQGAJA-UHFFFAOYSA-N 0 1 321.727 3.212 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001153188187 1088181926 /nfs/dbraw/zinc/18/19/26/1088181926.db2.gz QWIYLMVGAUVYLK-RZPKCTKSSA-N 0 1 312.457 3.221 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](F)c1ccccc1 ZINC001099246011 1088314452 /nfs/dbraw/zinc/31/44/52/1088314452.db2.gz PNCVCLWAVJQYAQ-SJORKVTESA-N 0 1 318.436 3.490 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](F)c1ccccc1 ZINC001099246010 1088315610 /nfs/dbraw/zinc/31/56/10/1088315610.db2.gz PNCVCLWAVJQYAQ-IRXDYDNUSA-N 0 1 318.436 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2cc[nH]c2C)CC(C)(C)C1 ZINC001089354641 1088422379 /nfs/dbraw/zinc/42/23/79/1088422379.db2.gz KHPCNOQYBLVUBM-AWEZNQCLSA-N 0 1 323.868 3.296 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc2ccccc2c1F ZINC001154300876 1088557960 /nfs/dbraw/zinc/55/79/60/1088557960.db2.gz JSKHHVFPZLOEGV-HNNXBMFYSA-N 0 1 312.388 3.359 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCC[C@@H](C)CC)CC1 ZINC001160227988 1088709729 /nfs/dbraw/zinc/70/97/29/1088709729.db2.gz HVLXCSRYNBPKLA-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2c(C)oc3ccccc32)CC1 ZINC001160229524 1088711606 /nfs/dbraw/zinc/71/16/06/1088711606.db2.gz ZIYWXLWQUNYEFI-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN Cc1cccc2nc(Cl)c(C#N)c(Nc3nccnc3CN)c12 ZINC001160250686 1088721583 /nfs/dbraw/zinc/72/15/83/1088721583.db2.gz CPMIIGVROSJAQE-UHFFFAOYSA-N 0 1 324.775 3.061 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001154743187 1088738156 /nfs/dbraw/zinc/73/81/56/1088738156.db2.gz VPDYBPRVURZJSR-DLBZAZTESA-N 0 1 317.477 3.319 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001154742238 1088738969 /nfs/dbraw/zinc/73/89/69/1088738969.db2.gz AMNRXWGCFLTICU-LSDHHAIUSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3cc(F)ccc3o2)CC1 ZINC001483772216 1088761019 /nfs/dbraw/zinc/76/10/19/1088761019.db2.gz AVHKEOYJKSDRLY-UHFFFAOYSA-N 0 1 322.767 3.176 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C2(C)CCCCCC2)CC1 ZINC001160374517 1088767283 /nfs/dbraw/zinc/76/72/83/1088767283.db2.gz WVOBKWLGFYHUNO-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(F)cc1 ZINC001089593462 1088781070 /nfs/dbraw/zinc/78/10/70/1088781070.db2.gz KWXFXEIKZWAECV-KRWDZBQOSA-N 0 1 316.420 3.069 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccoc1CC ZINC001089633108 1088851299 /nfs/dbraw/zinc/85/12/99/1088851299.db2.gz DZSKZKZBXUJMEC-CQSZACIVSA-N 0 1 304.434 3.248 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccoc1CC ZINC001089633108 1088851318 /nfs/dbraw/zinc/85/13/18/1088851318.db2.gz DZSKZKZBXUJMEC-CQSZACIVSA-N 0 1 304.434 3.248 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001089642666 1088874344 /nfs/dbraw/zinc/87/43/44/1088874344.db2.gz OPITYRMKDXYGCL-YESZJQIVSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)Cc2cncc(C)c2)C1 ZINC001155132734 1088966953 /nfs/dbraw/zinc/96/69/53/1088966953.db2.gz WTQYETGEDOCZJJ-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CCCC(C)(C)C)C2)cc1 ZINC001483874279 1088974220 /nfs/dbraw/zinc/97/42/20/1088974220.db2.gz LYVLHJDPYCQBCW-UHFFFAOYSA-N 0 1 312.457 3.250 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCCc2ccc(C)cc2)C1 ZINC001483893943 1088994689 /nfs/dbraw/zinc/99/46/89/1088994689.db2.gz FVWWRSSNFXIPBO-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@](C)(F)CCCC ZINC001483934794 1089018275 /nfs/dbraw/zinc/01/82/75/1089018275.db2.gz LUACIBBCQKOGMV-HIFRSBDPSA-N 0 1 304.837 3.238 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001483984463 1089069603 /nfs/dbraw/zinc/06/96/03/1089069603.db2.gz NLOGNVULLPDGDR-XOYPXTSZSA-N 0 1 308.853 3.021 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)cncc1C ZINC001089669387 1089076602 /nfs/dbraw/zinc/07/66/02/1089076602.db2.gz LFJYEVOBHYWGJT-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)cncc1C ZINC001089669387 1089076620 /nfs/dbraw/zinc/07/66/20/1089076620.db2.gz LFJYEVOBHYWGJT-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C#CCCCCC(=O)N[C@@]1(C)CC[N@H+](Cc2csc(C)c2)C1 ZINC001484041016 1089129745 /nfs/dbraw/zinc/12/97/45/1089129745.db2.gz PQXRXSDAMRCGLX-SFHVURJKSA-N 0 1 318.486 3.331 20 30 DGEDMN C=C1CC(C)(C(=O)NCCC2=CCN(C/C=C/Cl)CC2)C1 ZINC001161172641 1089137093 /nfs/dbraw/zinc/13/70/93/1089137093.db2.gz FHWNEMRKVRISDC-XVNBXDOJSA-N 0 1 308.853 3.234 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC1(C)C ZINC001089684047 1089159049 /nfs/dbraw/zinc/15/90/49/1089159049.db2.gz NBJUJBKTHDMMQW-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC1(C)C ZINC001089684045 1089159729 /nfs/dbraw/zinc/15/97/29/1089159729.db2.gz NBJUJBKTHDMMQW-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@]12C[C@@H]1CCCC2 ZINC001089693998 1089200657 /nfs/dbraw/zinc/20/06/57/1089200657.db2.gz QYGXVCUPYIRXOM-QKLQHJQFSA-N 0 1 316.489 3.197 20 30 DGEDMN Cc1ccc2ccc(C(=O)NC3=CC(=O)CC(C)(C)C3)c(O)c2n1 ZINC001155660409 1089202488 /nfs/dbraw/zinc/20/24/88/1089202488.db2.gz RWUZACKRYSHFRN-UHFFFAOYSA-N 0 1 324.380 3.252 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1cnoc1CC ZINC001089703641 1089255263 /nfs/dbraw/zinc/25/52/63/1089255263.db2.gz NBDHZBDUPVNTFY-CQSZACIVSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC001161478220 1089257809 /nfs/dbraw/zinc/25/78/09/1089257809.db2.gz MBPGXNRUEFTUJA-QGZVFWFLSA-N 0 1 324.468 3.124 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001089707975 1089275665 /nfs/dbraw/zinc/27/56/65/1089275665.db2.gz ZHAIZKCGLDXOPF-OTWHNJEPSA-N 0 1 324.468 3.030 20 30 DGEDMN CC(=O)CC(C)=Nc1ncc(C(=O)NC2CCCC2)cc1Cl ZINC001155891144 1089290973 /nfs/dbraw/zinc/29/09/73/1089290973.db2.gz PGULFAGTJURYCP-YFHOEESVSA-N 0 1 321.808 3.312 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CC12CCCC2 ZINC001089719253 1089293685 /nfs/dbraw/zinc/29/36/85/1089293685.db2.gz ZZTYZXNQJZCZLD-SJORKVTESA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1nc(C)c(C)s1 ZINC001089731519 1089310602 /nfs/dbraw/zinc/31/06/02/1089310602.db2.gz FNBNAIWCJPVVPP-AWEZNQCLSA-N 0 1 321.490 3.166 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(CF)cc1 ZINC001089735271 1089317000 /nfs/dbraw/zinc/31/70/00/1089317000.db2.gz AUYFYAYTVMSRNJ-QGZVFWFLSA-N 0 1 316.420 3.010 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1cccnc1 ZINC001484460207 1089433152 /nfs/dbraw/zinc/43/31/52/1089433152.db2.gz NHJXCTZQNADYCB-ZWKOTPCHSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CC)CCCCC)CC1 ZINC001161918963 1089469631 /nfs/dbraw/zinc/46/96/31/1089469631.db2.gz IGMUBBFFFWFJNZ-GOSISDBHSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](CC)CC(C)C ZINC001484556938 1089491458 /nfs/dbraw/zinc/49/14/58/1089491458.db2.gz BJGRQBHAJXCGJX-KRWDZBQOSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CCCC)C(C)C)CC1 ZINC001161963386 1089495770 /nfs/dbraw/zinc/49/57/70/1089495770.db2.gz DEMWIRNCPGCTNU-SFHVURJKSA-N 0 1 304.478 3.220 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C(C)C)s2)CC1 ZINC001161963571 1089496174 /nfs/dbraw/zinc/49/61/74/1089496174.db2.gz LIRXYYNCEVSDQS-UHFFFAOYSA-N 0 1 316.470 3.257 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001484614931 1089539329 /nfs/dbraw/zinc/53/93/29/1089539329.db2.gz BKYXZIWFDORDRY-JWAFFJSPSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1C(C)(C)C1(C)C ZINC001484614863 1089537314 /nfs/dbraw/zinc/53/73/14/1089537314.db2.gz GJWVUSYOURLGMC-CYBMUJFWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)c(C)s2)CCC1 ZINC001484661287 1089580191 /nfs/dbraw/zinc/58/01/91/1089580191.db2.gz KTJPLZXKHDQODT-UHFFFAOYSA-N 0 1 312.866 3.360 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001484721691 1089633063 /nfs/dbraw/zinc/63/30/63/1089633063.db2.gz LQTSDYWWIUXZQO-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN CN1CCC(C#N)(Nc2nccc3cccc(Cl)c32)CC1 ZINC001162227084 1089619833 /nfs/dbraw/zinc/61/98/33/1089619833.db2.gz JTXXRUFVXJGZOR-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN CN1CCC(C#N)(Nc2nc(Cl)cc3cccc(F)c32)CC1 ZINC001162231401 1089622444 /nfs/dbraw/zinc/62/24/44/1089622444.db2.gz RCSCCDRVNXKBTJ-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN Cc1c2ccc(F)cc2sc1C(=O)Nc1nc[nH]c1C#N ZINC001156693321 1089649986 /nfs/dbraw/zinc/64/99/86/1089649986.db2.gz KDNKKIKGIRPOBW-UHFFFAOYSA-N 0 1 300.318 3.196 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)[C@](C)(C=C)CC ZINC001484822872 1089703336 /nfs/dbraw/zinc/70/33/36/1089703336.db2.gz IWUJQPILWQOCDV-TZIWHRDSSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@]1(C)C=CCC1 ZINC001484822044 1089705511 /nfs/dbraw/zinc/70/55/11/1089705511.db2.gz BOLGKWWYLLWTEN-FPOVZHCZSA-N 0 1 324.468 3.462 20 30 DGEDMN [NH3+][C@H]1CCC[C@H](Nc2cc(/C=N/[O-])cc(C(F)(F)F)c2)C1 ZINC001162401248 1089717950 /nfs/dbraw/zinc/71/79/50/1089717950.db2.gz KQNQCHSJVVPXHQ-DYPKDEMNSA-N 0 1 301.312 3.195 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@H]1C=CCC1 ZINC001485003765 1089841175 /nfs/dbraw/zinc/84/11/75/1089841175.db2.gz AXOYISCVCUPMKG-PXNSSMCTSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCCC(=O)N1CCC2(CC1)C[N@@H+](CC/C=C\CC)CCO2 ZINC001485008197 1089846211 /nfs/dbraw/zinc/84/62/11/1089846211.db2.gz ILLHUYQLBQRLTJ-ALCCZGGFSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(CCC)CCC ZINC001485043971 1089861727 /nfs/dbraw/zinc/86/17/27/1089861727.db2.gz JIYHAUCHBPDFFG-RTBURBONSA-N 0 1 312.457 3.208 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2ccc(F)c(C#N)c2)n[nH]1 ZINC001157198884 1089869710 /nfs/dbraw/zinc/86/97/10/1089869710.db2.gz DSCZOVXFYWGZPD-INIZCTEOSA-N 0 1 312.348 3.096 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1cccc(Cl)n1 ZINC001485051503 1089890974 /nfs/dbraw/zinc/89/09/74/1089890974.db2.gz WXUODMXDIBZQCC-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)[C@H](C)CC ZINC001162701853 1089893565 /nfs/dbraw/zinc/89/35/65/1089893565.db2.gz AVYNSIHBUMWOKJ-OAHLLOKOSA-N 0 1 322.880 3.446 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001485070679 1089917390 /nfs/dbraw/zinc/91/73/90/1089917390.db2.gz CDRHKLXAZKHUMM-YSIASYRMSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001485072391 1089922712 /nfs/dbraw/zinc/92/27/12/1089922712.db2.gz FYDHZVVCPXPQBA-WBVHZDCISA-N 0 1 324.424 3.092 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CCCC1CCCC1 ZINC001485078476 1089930274 /nfs/dbraw/zinc/93/02/74/1089930274.db2.gz GIDXWMGENFMEFY-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCCNCc1cc(F)ccc1C#N ZINC001157371362 1089931163 /nfs/dbraw/zinc/93/11/63/1089931163.db2.gz RISRKQUKJWWIFF-AWEZNQCLSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]([C@@H]2CCN(CC(=C)Cl)C2)C1 ZINC001485083022 1089941922 /nfs/dbraw/zinc/94/19/22/1089941922.db2.gz RLUREOMFAVTUIQ-JKSUJKDBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001485105052 1089959067 /nfs/dbraw/zinc/95/90/67/1089959067.db2.gz FIBYCBYMQXUNSJ-XYOKQWHBSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001485120598 1089972364 /nfs/dbraw/zinc/97/23/64/1089972364.db2.gz LJLSSKCHDYTPLI-KBPBESRZSA-N 0 1 319.424 3.116 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1sccc1C ZINC001157458374 1089974993 /nfs/dbraw/zinc/97/49/93/1089974993.db2.gz BEICMDFUXJPJSZ-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)C1(C)CC1 ZINC001162822252 1089979304 /nfs/dbraw/zinc/97/93/04/1089979304.db2.gz KYTDPUVVKGNYKP-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN CC(C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N)=C1CCC1 ZINC001485144507 1089983218 /nfs/dbraw/zinc/98/32/18/1089983218.db2.gz PBXZNRXNTMPANS-HUUCEWRRSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1nccc2ccsc21 ZINC001485156920 1089997763 /nfs/dbraw/zinc/99/77/63/1089997763.db2.gz IQCPEEOOFSXSQZ-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(Cl)cs1 ZINC001485197401 1090013308 /nfs/dbraw/zinc/01/33/08/1090013308.db2.gz DEZIKKQWSMYKQT-RKDXNWHRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@@H](C)NCc2nc(C)cs2)CC1 ZINC001485186945 1090017296 /nfs/dbraw/zinc/01/72/96/1090017296.db2.gz AEDYLTWONFWOOV-KGLIPLIRSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2ncccc2c1 ZINC001485187898 1090017756 /nfs/dbraw/zinc/01/77/56/1090017756.db2.gz LLQKIKPFGCPNBN-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(c2ccccc2F)CC1 ZINC001485208063 1090030728 /nfs/dbraw/zinc/03/07/28/1090030728.db2.gz OHCWAZWBQANGFR-QWHCGFSZSA-N 0 1 324.827 3.093 20 30 DGEDMN N#CC1(Nc2ncccc2CN2CCCC2)Cc2ccccc2C1 ZINC001163009347 1090055771 /nfs/dbraw/zinc/05/57/71/1090055771.db2.gz MZENBZYDYXQURW-UHFFFAOYSA-N 0 1 318.424 3.150 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C1C(C)(C)C1(C)C ZINC001157755258 1090100216 /nfs/dbraw/zinc/10/02/16/1090100216.db2.gz OSUORBBCQNYAOK-OAHLLOKOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001485354941 1090131514 /nfs/dbraw/zinc/13/15/14/1090131514.db2.gz CEASYOIBNDTEFV-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCN(c1cccc(N2CCN(C)CC2)n1)C1CCCCC1 ZINC001157850931 1090131830 /nfs/dbraw/zinc/13/18/30/1090131830.db2.gz WISKUUUMZMQJAQ-UHFFFAOYSA-N 0 1 314.477 3.159 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1occ2c1CCC2 ZINC001485465384 1090166898 /nfs/dbraw/zinc/16/68/98/1090166898.db2.gz DAKCXEGJZSPMCY-AWEZNQCLSA-N 0 1 324.852 3.399 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1csc(C)c1C ZINC001157999932 1090166987 /nfs/dbraw/zinc/16/69/87/1090166987.db2.gz SWNGLDWMBIVZBG-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1csc(C)c1C ZINC001157999932 1090166991 /nfs/dbraw/zinc/16/69/91/1090166991.db2.gz SWNGLDWMBIVZBG-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccc(Cl)[nH]1 ZINC001485468523 1090168301 /nfs/dbraw/zinc/16/83/01/1090168301.db2.gz MSVPLMVYZGLGSM-LLVKDONJSA-N 0 1 318.248 3.299 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CCCC)CNCc2cnc(C)o2)C1 ZINC001485467727 1090168321 /nfs/dbraw/zinc/16/83/21/1090168321.db2.gz CAPNQTSTYYLSQQ-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CCCC)CNCc2cnc(C)o2)C1 ZINC001485467728 1090168839 /nfs/dbraw/zinc/16/88/39/1090168839.db2.gz CAPNQTSTYYLSQQ-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CCc1ccsc1 ZINC001158071683 1090181902 /nfs/dbraw/zinc/18/19/02/1090181902.db2.gz DJNRHYCGDANIFW-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCCCN2C/C=C/Cl)C1 ZINC001485481721 1090186623 /nfs/dbraw/zinc/18/66/23/1090186623.db2.gz FMULBTBIHSMJDD-VFADXPBXSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCc2ccccc21 ZINC001158128864 1090188669 /nfs/dbraw/zinc/18/86/69/1090188669.db2.gz QCRJCTRENJFSOT-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)Cc2ccc3ccccc3c2)C1 ZINC001485535264 1090205605 /nfs/dbraw/zinc/20/56/05/1090205605.db2.gz IQZMJNYKWKJKJE-HNNXBMFYSA-N 0 1 308.425 3.005 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001485535115 1090205717 /nfs/dbraw/zinc/20/57/17/1090205717.db2.gz KVDKQFJOSQATAI-LPHOPBHVSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)Cc2ccc(F)cc2)C(C)(C)C1 ZINC001485584078 1090219498 /nfs/dbraw/zinc/21/94/98/1090219498.db2.gz GKVXNXXFEXBPPV-RHSMWYFYSA-N 0 1 318.436 3.017 20 30 DGEDMN COc1ccc(NC(=N)c2ccc(C(F)(F)F)cc2)c(C)n1 ZINC001163680577 1090231969 /nfs/dbraw/zinc/23/19/69/1090231969.db2.gz RIBUJJTVPYPSBI-UHFFFAOYSA-N 0 1 309.291 3.454 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001485695269 1090244738 /nfs/dbraw/zinc/24/47/38/1090244738.db2.gz JCMVRIINCLNTFA-DHXKVRQVSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(=O)CC(C)=Nc1ncc(C(=O)OCC2CC2)cc1Cl ZINC001163738096 1090246133 /nfs/dbraw/zinc/24/61/33/1090246133.db2.gz PQNMBTQFZOVVDN-UITAMQMPSA-N 0 1 308.765 3.207 20 30 DGEDMN CC(=O)CC(C)=Nc1cnnc2ccc(Br)cc12 ZINC001163741565 1090246519 /nfs/dbraw/zinc/24/65/19/1090246519.db2.gz AJCJOAPTHTWUBW-YVMONPNESA-N 0 1 306.163 3.297 20 30 DGEDMN CCCCC(=O)N(C)[C@@H](CNCc1ccccc1C#N)C1CC1 ZINC001485800736 1090282357 /nfs/dbraw/zinc/28/23/57/1090282357.db2.gz VVTUHMBTENRHSW-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2cccc3ccccc32)c1 ZINC001302371915 1090378967 /nfs/dbraw/zinc/37/89/67/1090378967.db2.gz SAQKJQZDETVNNW-UHFFFAOYSA-N 0 1 302.333 3.364 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164346495 1090407517 /nfs/dbraw/zinc/40/75/17/1090407517.db2.gz RLSWGOFUQGKUGT-CQSZACIVSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164360490 1090412582 /nfs/dbraw/zinc/41/25/82/1090412582.db2.gz LKXPVQKVKWNONP-CQSZACIVSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CCC3CC3)CCC[C@@H]12 ZINC001107341250 1090423879 /nfs/dbraw/zinc/42/38/79/1090423879.db2.gz KNWGQCQXAIHCSA-NVXWUHKLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]12CCC[C@H]1N(CC(=C)Cl)CC2 ZINC001107422414 1090436022 /nfs/dbraw/zinc/43/60/22/1090436022.db2.gz FPBXSODKSLASAX-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1nccc(C#N)c1F ZINC001165201069 1090479001 /nfs/dbraw/zinc/47/90/01/1090479001.db2.gz FTKOABJBKSKMKF-LSDHHAIUSA-N 0 1 324.403 3.192 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1nccc(F)c1C#N ZINC001165201684 1090479231 /nfs/dbraw/zinc/47/92/31/1090479231.db2.gz JFDBGFYEGBSFCB-LSDHHAIUSA-N 0 1 324.403 3.192 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CCC2CCCC2)C1 ZINC001107706158 1090488256 /nfs/dbraw/zinc/48/82/56/1090488256.db2.gz HIXWJQGIRGXJDZ-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)CC(C)C)C1 ZINC001107702282 1090489425 /nfs/dbraw/zinc/48/94/25/1090489425.db2.gz LXINHUNCMNMWJR-SFHVURJKSA-N 0 1 312.498 3.210 20 30 DGEDMN C=C(C)CCC(=O)NCCC1(CNCc2nc(C)oc2C)CC1 ZINC001165258587 1090490520 /nfs/dbraw/zinc/49/05/20/1090490520.db2.gz GQAGJGLTVUKHSJ-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN C=C(C)CCC(=O)NCCC1(CNCc2ncc(C)s2)CC1 ZINC001165258637 1090490648 /nfs/dbraw/zinc/49/06/48/1090490648.db2.gz JGRVFEMUXVRWKI-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C(C)=C2CCCC2)C1 ZINC001107860146 1090518413 /nfs/dbraw/zinc/51/84/13/1090518413.db2.gz LDLYOVKROTWVAX-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)CC)C1 ZINC001107901460 1090532086 /nfs/dbraw/zinc/53/20/86/1090532086.db2.gz QGVVJSPHZIVWLO-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](C)CC)C1 ZINC001107901460 1090532083 /nfs/dbraw/zinc/53/20/83/1090532083.db2.gz QGVVJSPHZIVWLO-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CC2(C)CCCC2)C1 ZINC001107918632 1090536695 /nfs/dbraw/zinc/53/66/95/1090536695.db2.gz UGZWDTIDNOEQEP-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CC(C)(Nc1cc(O[C@H]2CCOC2)ccn1)c1ccc(C#N)cc1 ZINC001165792165 1090548958 /nfs/dbraw/zinc/54/89/58/1090548958.db2.gz LCNBZGKQFYHZKD-KRWDZBQOSA-N 0 1 323.396 3.468 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001165921961 1090561704 /nfs/dbraw/zinc/56/17/04/1090561704.db2.gz WSOCLFFSLQEIEY-SFHVURJKSA-N 0 1 321.465 3.170 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001107970598 1090592856 /nfs/dbraw/zinc/59/28/56/1090592856.db2.gz DEJUDPYAJDMKNK-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@]1(C)CN(CC/C=C\CC)CCO1 ZINC001107971762 1090595877 /nfs/dbraw/zinc/59/58/77/1090595877.db2.gz YAALTSMXANISID-QWUACUGRSA-N 0 1 322.493 3.152 20 30 DGEDMN Cc1c2cc(C#N)ccc2sc1C(=O)N(C)Cc1cnc[nH]1 ZINC001166401686 1090602879 /nfs/dbraw/zinc/60/28/79/1090602879.db2.gz GCSMVMURCYZFQJ-UHFFFAOYSA-N 0 1 310.382 3.077 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1c[nH]nn1)Cc1cccc(C#N)c1 ZINC001203212933 1090705326 /nfs/dbraw/zinc/70/53/26/1090705326.db2.gz YWNNAOVLRIAGHV-HNNXBMFYSA-N 0 1 317.396 3.440 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)C(C)(C)CCC ZINC001485917436 1090726176 /nfs/dbraw/zinc/72/61/76/1090726176.db2.gz LGUBJGNRILSYQV-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)C(C)(C)CCC ZINC001485917435 1090726318 /nfs/dbraw/zinc/72/63/18/1090726318.db2.gz LGUBJGNRILSYQV-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001485915203 1090726325 /nfs/dbraw/zinc/72/63/25/1090726325.db2.gz TUMIIVVDGVVCTC-CABCVRRESA-N 0 1 323.868 3.154 20 30 DGEDMN CC(C)CCCC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001485925458 1090729189 /nfs/dbraw/zinc/72/91/89/1090729189.db2.gz MQZWQIGYRLNKLG-MRXNPFEDSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccsc1C(F)F ZINC001485950644 1090732942 /nfs/dbraw/zinc/73/29/42/1090732942.db2.gz POQPRCMHINQAKO-VIFPVBQESA-N 0 1 322.808 3.488 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)[C@@H]1C ZINC001486173640 1090773981 /nfs/dbraw/zinc/77/39/81/1090773981.db2.gz KRGHKJKVWREIOZ-YONSBBCESA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CCC2CCCCCC2)[C@@H]1C ZINC001486177963 1090776719 /nfs/dbraw/zinc/77/67/19/1090776719.db2.gz UZWXMUHIOBPDJF-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN CC(C)C#CC(=O)N(CCN(C)Cc1ccc(F)cc1)C(C)C ZINC001486368729 1090810621 /nfs/dbraw/zinc/81/06/21/1090810621.db2.gz ZFPOFOFFFZZFLC-UHFFFAOYSA-N 0 1 318.436 3.154 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccccc1CC)C(C)C ZINC001486375161 1090813737 /nfs/dbraw/zinc/81/37/37/1090813737.db2.gz WEFANMQCWGRWGW-UHFFFAOYSA-N 0 1 300.446 3.055 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccsc1C(F)F)C(C)C ZINC001486378609 1090815229 /nfs/dbraw/zinc/81/52/29/1090815229.db2.gz BMDLYRFCYSVCJZ-UHFFFAOYSA-N 0 1 314.401 3.101 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001276423401 1090817081 /nfs/dbraw/zinc/81/70/81/1090817081.db2.gz VFFDTBKQDBHTER-CQSZACIVSA-N 0 1 304.409 3.119 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Oc3cc(F)ccc3F)C2)cc1 ZINC001203904103 1090834099 /nfs/dbraw/zinc/83/40/99/1090834099.db2.gz VTJOWPRDVFDNNJ-MRXNPFEDSA-N 0 1 314.335 3.490 20 30 DGEDMN N#CC1(CNCc2cc3ccnc(Cl)c3s2)CCOCC1 ZINC001204029037 1090874755 /nfs/dbraw/zinc/87/47/55/1090874755.db2.gz TWOWXKOCDOSLKH-UHFFFAOYSA-N 0 1 321.833 3.360 20 30 DGEDMN Cc1cccc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)n1 ZINC001204070050 1090889984 /nfs/dbraw/zinc/88/99/84/1090889984.db2.gz DTSWQLQXLMBCQH-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(Cl)C[N@@H+](C)CC1CCN(C(=O)CCc2ccoc2)CC1 ZINC001494190807 1090902962 /nfs/dbraw/zinc/90/29/62/1090902962.db2.gz LCWGIHBUKBXCIR-UHFFFAOYSA-N 0 1 324.852 3.135 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCc2ccoc2)CC1 ZINC001494190807 1090902966 /nfs/dbraw/zinc/90/29/66/1090902966.db2.gz LCWGIHBUKBXCIR-UHFFFAOYSA-N 0 1 324.852 3.135 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)cc(F)c3O)sc2C1 ZINC001212478128 1090937000 /nfs/dbraw/zinc/93/70/00/1090937000.db2.gz WSDROQWGGQBJHQ-UHFFFAOYSA-N 0 1 321.352 3.335 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2cccc(F)c2C)C1=O ZINC001273005747 1090983963 /nfs/dbraw/zinc/98/39/63/1090983963.db2.gz MPPXAYURHRJSRR-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108114214 1091094696 /nfs/dbraw/zinc/09/46/96/1091094696.db2.gz BWMTUKDXFMOALJ-QWUACUGRSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C2(CCC)CCC2)C1 ZINC001108139997 1091133716 /nfs/dbraw/zinc/13/37/16/1091133716.db2.gz JSIAZPSCTFAFAA-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CCN(CC)c1ccc(CN2CCC(C#N)CC2)c(OC)c1 ZINC001138388012 1091162681 /nfs/dbraw/zinc/16/26/81/1091162681.db2.gz OTUKDKUWZGRXQA-UHFFFAOYSA-N 0 1 301.434 3.277 20 30 DGEDMN COc1c(F)cc(CN(C)Cc2ccc(C#N)cc2)cc1F ZINC001138424238 1091178894 /nfs/dbraw/zinc/17/88/94/1091178894.db2.gz QBQMSGYWIPZXRU-UHFFFAOYSA-N 0 1 302.324 3.477 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3cccc(F)c3)CC2)cc1 ZINC001138560077 1091227669 /nfs/dbraw/zinc/22/76/69/1091227669.db2.gz GLAMLMUWSIJNKA-UHFFFAOYSA-N 0 1 324.399 3.160 20 30 DGEDMN COCOc1cc(C)ccc1Nc1cc(C#N)c(F)cc1O ZINC001205531856 1091245371 /nfs/dbraw/zinc/24/53/71/1091245371.db2.gz IVDJVPZHJHOASL-UHFFFAOYSA-N 0 1 302.305 3.438 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CN(CCC2CCCC2)CCO1 ZINC001108171531 1091270260 /nfs/dbraw/zinc/27/02/60/1091270260.db2.gz KVOMGSKKCGOMIW-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN O=C1C=C(Nc2cc(Br)cc3cncn32)CCC1 ZINC001163468271 1091352388 /nfs/dbraw/zinc/35/23/88/1091352388.db2.gz LVTCIMSCTYRQQU-UHFFFAOYSA-N 0 1 306.163 3.146 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCC1CCCC1 ZINC001098873871 1091409716 /nfs/dbraw/zinc/40/97/16/1091409716.db2.gz RNIQGAJSJCISSQ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(C)(C(=O)OC)CC1 ZINC001139029866 1091424319 /nfs/dbraw/zinc/42/43/19/1091424319.db2.gz SSRCPZJWOVTEKD-UHFFFAOYSA-N 0 1 303.402 3.027 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccccc2Oc2ccccc2)CCC1=O ZINC001139055649 1091432009 /nfs/dbraw/zinc/43/20/09/1091432009.db2.gz MHFPACXWNVGNHO-MRXNPFEDSA-N 0 1 306.365 3.393 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2ccc(C)c(C#N)c2)C1=O ZINC001273213585 1091439061 /nfs/dbraw/zinc/43/90/61/1091439061.db2.gz FUGNDUBXWISKHE-HXUWFJFHSA-N 0 1 323.440 3.010 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc(C(F)F)c3F)[C@@H]2C1 ZINC001084349733 1091480228 /nfs/dbraw/zinc/48/02/28/1091480228.db2.gz YNPHCLSZXYIRFC-BXUZGUMPSA-N 0 1 324.346 3.096 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cccc(C(C)C)c3)[C@@H]2C1 ZINC001084485381 1091493298 /nfs/dbraw/zinc/49/32/98/1091493298.db2.gz DZYDGRCJEMCSBJ-RTBURBONSA-N 0 1 312.457 3.071 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cccc(C(C)C)c3)[C@@H]2C1 ZINC001084485381 1091493302 /nfs/dbraw/zinc/49/33/02/1091493302.db2.gz DZYDGRCJEMCSBJ-RTBURBONSA-N 0 1 312.457 3.071 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)c3ccc(Cl)cc3C)[C@@H]2C1 ZINC001084628004 1091519839 /nfs/dbraw/zinc/51/98/39/1091519839.db2.gz RAUUWZXZVPQYKD-RHSMWYFYSA-N 0 1 318.848 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(Cl)cc3C)[C@@H]2C1 ZINC001084628004 1091519846 /nfs/dbraw/zinc/51/98/46/1091519846.db2.gz RAUUWZXZVPQYKD-RHSMWYFYSA-N 0 1 318.848 3.371 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001084635305 1091522827 /nfs/dbraw/zinc/52/28/27/1091522827.db2.gz VPYLKENXVLKKMJ-NFAWXSAZSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H]3CCN(Cc4ccc(C)cc4)C[C@H]32)C1 ZINC001084645301 1091524607 /nfs/dbraw/zinc/52/46/07/1091524607.db2.gz NAYOJRQBVLVTRJ-RTBURBONSA-N 0 1 324.468 3.384 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C)c3cccc(F)c3)[C@@H]2C1 ZINC001084649249 1091527076 /nfs/dbraw/zinc/52/70/76/1091527076.db2.gz GFTXKGSTQMQBMT-YXJHDRRASA-N 0 1 316.420 3.038 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139366998 1091536030 /nfs/dbraw/zinc/53/60/30/1091536030.db2.gz FWLXGYIRIRRYMB-ZDUSSCGKSA-N 0 1 319.861 3.492 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)C3CCCCC3)[C@@H]2C1 ZINC001084717152 1091545739 /nfs/dbraw/zinc/54/57/39/1091545739.db2.gz LLBSZNJSPJNZBU-BHIYHBOVSA-N 0 1 316.489 3.149 20 30 DGEDMN C[C@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCCC1=O ZINC001139400553 1091547777 /nfs/dbraw/zinc/54/77/77/1091547777.db2.gz WUOPGKFLLRSZFT-HNNXBMFYSA-N 0 1 319.408 3.421 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3CCOC[C@@H]3C3CC3)cc2)c1 ZINC001139406686 1091550022 /nfs/dbraw/zinc/55/00/22/1091550022.db2.gz ZZVGZNPZWCZGOU-HXUWFJFHSA-N 0 1 319.408 3.231 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc(C(C)(C)C)c3)[C@@H]2C1 ZINC001084732136 1091551727 /nfs/dbraw/zinc/55/17/27/1091551727.db2.gz GJDSFGMKFDXVDA-SJLPKXTDSA-N 0 1 312.457 3.316 20 30 DGEDMN C[C@@H]1CC[C@@H](O)CN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139426029 1091555852 /nfs/dbraw/zinc/55/58/52/1091555852.db2.gz DGNJMXPHOIPVJF-RHSMWYFYSA-N 0 1 323.396 3.091 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001084774988 1091559924 /nfs/dbraw/zinc/55/99/24/1091559924.db2.gz NVSSVUNSAWWVLH-BRWVUGGUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(CC)c(CC)o3)[C@@H]2C1 ZINC001084777661 1091560906 /nfs/dbraw/zinc/56/09/06/1091560906.db2.gz JUPDZVCXHKSANN-HZPDHXFCSA-N 0 1 316.445 3.127 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)c3cccc(Cl)c3)[C@@H]2C1 ZINC001084842510 1091586147 /nfs/dbraw/zinc/58/61/47/1091586147.db2.gz RSLRFRGDHISTKT-FRFSOERESA-N 0 1 318.848 3.162 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@]3(C)CC=C(C)CC3)[C@@H]2C1 ZINC001084846083 1091589763 /nfs/dbraw/zinc/58/97/63/1091589763.db2.gz RYBLCGSCJDHDOL-LMMKCTJWSA-N 0 1 302.462 3.232 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(C4CC4)c3)[C@@H]2C1 ZINC001084857898 1091594123 /nfs/dbraw/zinc/59/41/23/1091594123.db2.gz ZWOOCTBXMZFINM-RTBURBONSA-N 0 1 310.441 3.286 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C34CC(C(C)(C)C)(C3)C4)[C@@H]2C1 ZINC001084860684 1091599816 /nfs/dbraw/zinc/59/98/16/1091599816.db2.gz BKZJJNACSKPHQS-JZFKGDSASA-N 0 1 316.489 3.312 20 30 DGEDMN CCOc1ccc(C)cc1CN1CCC2SC(=O)C=C2C1 ZINC001139600650 1091617005 /nfs/dbraw/zinc/61/70/05/1091617005.db2.gz RWLNVMGIKHWWGW-INIZCTEOSA-N 0 1 303.427 3.168 20 30 DGEDMN CCOc1ccc(CN2CCC3SC(=O)C=C3C2)cc1CC ZINC001139619921 1091622334 /nfs/dbraw/zinc/62/23/34/1091622334.db2.gz MDYGRTQPAGROFV-KRWDZBQOSA-N 0 1 317.454 3.422 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001085024449 1091642339 /nfs/dbraw/zinc/64/23/39/1091642339.db2.gz XKPCRADUDQRHSA-VKTLZFPLSA-N 0 1 310.869 3.264 20 30 DGEDMN CN(Cc1c[nH]c2c1cccc2C#N)Cc1cnc2ccccn12 ZINC001139702192 1091646438 /nfs/dbraw/zinc/64/64/38/1091646438.db2.gz WSVBVRPHDFAODG-UHFFFAOYSA-N 0 1 315.380 3.319 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CC)CC3CCCC3)C[C@@H]21 ZINC001085048268 1091651007 /nfs/dbraw/zinc/65/10/07/1091651007.db2.gz JRFGZLCBTFTSIM-QRVBRYPASA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1ccc(CN2CC[C@](O)(C(F)(F)F)[C@@H](C)C2)cc1C#N ZINC001139723276 1091654816 /nfs/dbraw/zinc/65/48/16/1091654816.db2.gz YLWKVGDXBJQBPK-SWLSCSKDSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccc(CN2CCN(C)C[C@H]2c2ccccc2)cc1C#N ZINC001139722803 1091655254 /nfs/dbraw/zinc/65/52/54/1091655254.db2.gz GYPKTIHWLGBHSJ-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cc(C)ccc2F)C[C@H]1C ZINC001206537274 1091662284 /nfs/dbraw/zinc/66/22/84/1091662284.db2.gz PCHPJSBSOYDDRL-RHSMWYFYSA-N 0 1 304.409 3.037 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1ccccn1 ZINC001098948662 1091663462 /nfs/dbraw/zinc/66/34/62/1091663462.db2.gz CDVVILSXWSCYNP-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCn1cc(CN2CCC[C@H](Sc3ccncc3)C2)cn1 ZINC001139770238 1091667858 /nfs/dbraw/zinc/66/78/58/1091667858.db2.gz ILWWLWUROHZDKA-KRWDZBQOSA-N 0 1 314.458 3.221 20 30 DGEDMN C=CCn1cc(CN2CCC(n3ncc4ccccc43)CC2)cn1 ZINC001139772902 1091669422 /nfs/dbraw/zinc/66/94/22/1091669422.db2.gz SQZBYYQSTMYZNG-UHFFFAOYSA-N 0 1 321.428 3.256 20 30 DGEDMN N#Cc1cc(F)cc(CN2CCC(O)(Cc3ccccc3)CC2)c1 ZINC001139872203 1091697393 /nfs/dbraw/zinc/69/73/93/1091697393.db2.gz ACIDMMYXWGFRGA-UHFFFAOYSA-N 0 1 324.399 3.267 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001139933504 1091717698 /nfs/dbraw/zinc/71/76/98/1091717698.db2.gz DVVWXCZCAOFQKY-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2cscn2)C12CCC2 ZINC001202989882 1091729020 /nfs/dbraw/zinc/72/90/20/1091729020.db2.gz ZACWGEWLIOUIFF-CABCVRRESA-N 0 1 319.474 3.016 20 30 DGEDMN N#Cc1ccnc(NCC2CN(Cc3ccccc3)C2)c1Cl ZINC000716223500 1091764205 /nfs/dbraw/zinc/76/42/05/1091764205.db2.gz VOOATXFGZBSXKH-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(Cc2ccc(Cl)cc2)C[C@H]1C ZINC001206912468 1091793529 /nfs/dbraw/zinc/79/35/29/1091793529.db2.gz NIGQFOZBPRHCAX-RHSMWYFYSA-N 0 1 318.848 3.080 20 30 DGEDMN COc1cncc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)c1 ZINC001206999421 1091815789 /nfs/dbraw/zinc/81/57/89/1091815789.db2.gz XQXNNAOQVKRMFI-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1sc(C2CC2)nc1C ZINC001085589378 1091848423 /nfs/dbraw/zinc/84/84/23/1091848423.db2.gz KOJIFVRPWIYVBP-AWEZNQCLSA-N 0 1 319.474 3.051 20 30 DGEDMN CC[C@H](C)CC(=O)N(CC)CCNCc1ccc(C#N)cc1F ZINC001273348315 1091848905 /nfs/dbraw/zinc/84/89/05/1091848905.db2.gz PLWMJMCCXLIQPJ-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cnc(-c3ccccc3F)nc2)CC1 ZINC001140399653 1091852376 /nfs/dbraw/zinc/85/23/76/1091852376.db2.gz FXENKBMKKNHIIY-CQSZACIVSA-N 0 1 310.376 3.408 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001085611177 1091852918 /nfs/dbraw/zinc/85/29/18/1091852918.db2.gz KZEUVOQENWDVMG-QGZVFWFLSA-N 0 1 316.445 3.034 20 30 DGEDMN CC(C)n1nccc1CN1CCC[C@@H](Oc2cccc(C#N)c2)C1 ZINC001207175042 1091875286 /nfs/dbraw/zinc/87/52/86/1091875286.db2.gz VEJXQXDXKQWXMQ-LJQANCHMSA-N 0 1 324.428 3.379 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001273354823 1091879049 /nfs/dbraw/zinc/87/90/49/1091879049.db2.gz HQMWNNGNWUUILW-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1cc(COC)cs1 ZINC001085701285 1091886043 /nfs/dbraw/zinc/88/60/43/1091886043.db2.gz LOKUWXROWZNPMN-HNNXBMFYSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCN(CCN1CC[C@H](C(F)(F)F)C1)C(=O)OC(C)(C)C ZINC001207206859 1091887575 /nfs/dbraw/zinc/88/75/75/1091887575.db2.gz ZOLYJIMLTYKVRZ-LBPRGKRZSA-N 0 1 322.371 3.294 20 30 DGEDMN CN(Cc1ccc(C#N)nc1)Cc1cccc(Br)c1 ZINC001140540459 1091902215 /nfs/dbraw/zinc/90/22/15/1091902215.db2.gz XGXBZPXBKLGASM-UHFFFAOYSA-N 0 1 316.202 3.348 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1nc2ccccc2cc1C ZINC001085731372 1091903211 /nfs/dbraw/zinc/90/32/11/1091903211.db2.gz ZBAPMTPTSDZJEU-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN CC(C)NC(=O)c1ccc(CN(C)Cc2ccc(C#N)cc2)cc1 ZINC001140615914 1091933640 /nfs/dbraw/zinc/93/36/40/1091933640.db2.gz LUOLOULIHWYSSW-UHFFFAOYSA-N 0 1 321.424 3.328 20 30 DGEDMN COc1ccc(CN2CCN(c3cccc(C#N)c3)CC2)c(C)c1 ZINC001140677115 1091954062 /nfs/dbraw/zinc/95/40/62/1091954062.db2.gz AAHPICWMIVKUQC-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1F ZINC001085865656 1091991620 /nfs/dbraw/zinc/99/16/20/1091991620.db2.gz KDGLJVQDARQIEI-BHYGNILZSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C(F)F)cc1 ZINC001085876409 1091994111 /nfs/dbraw/zinc/99/41/11/1091994111.db2.gz ZYBVTPWVJLMSQR-OAHLLOKOSA-N 0 1 308.372 3.347 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc2sccc2c1 ZINC001085902513 1092002898 /nfs/dbraw/zinc/00/28/98/1092002898.db2.gz GBPHHHBXHWNRDU-INIZCTEOSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2cccnc2s1 ZINC001085908296 1092004511 /nfs/dbraw/zinc/00/45/11/1092004511.db2.gz FUEJUHLJFXYFLM-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2cccc(C#N)c2Cl)C1 ZINC001140812748 1092013330 /nfs/dbraw/zinc/01/33/30/1092013330.db2.gz IPRUEESKPLDYJO-OAHLLOKOSA-N 0 1 320.820 3.377 20 30 DGEDMN COC(=O)C1(C#N)CCN(CCCc2cccc(Cl)c2)CC1 ZINC001207593256 1092032989 /nfs/dbraw/zinc/03/29/89/1092032989.db2.gz GWJIJJPIEAFPCY-UHFFFAOYSA-N 0 1 320.820 3.051 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1sccc1C(F)F ZINC001085950565 1092037335 /nfs/dbraw/zinc/03/73/35/1092037335.db2.gz JDAVRMBCUTWECP-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN C=C(C)CN(CC)Cc1cnccc1NC(=O)OC(C)(C)C ZINC001140912704 1092058558 /nfs/dbraw/zinc/05/85/58/1092058558.db2.gz IRUVENHCGHUODW-UHFFFAOYSA-N 0 1 305.422 3.249 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)Cc1sc(C)nc1C ZINC001493014351 1092098661 /nfs/dbraw/zinc/09/86/61/1092098661.db2.gz DNHYBNGCIJECBM-KRWDZBQOSA-N 0 1 323.506 3.300 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001273391946 1092112217 /nfs/dbraw/zinc/11/22/17/1092112217.db2.gz JBIKUJVGFTYEFS-KYSILRCISA-N 0 1 324.468 3.365 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1ccncc1Cl ZINC001273404869 1092149177 /nfs/dbraw/zinc/14/91/77/1092149177.db2.gz OPFPCJPRVBPYKL-UHFFFAOYSA-N 0 1 309.841 3.029 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1ccc(C)cc1F)C2 ZINC001141195005 1092170663 /nfs/dbraw/zinc/17/06/63/1092170663.db2.gz XBHGZJKRPMQKIQ-IEBWSBKVSA-N 0 1 319.420 3.460 20 30 DGEDMN CCC[C@H](CC)C(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001491625918 1092192026 /nfs/dbraw/zinc/19/20/26/1092192026.db2.gz XVPKKEVZKDISBL-WBVHZDCISA-N 0 1 318.436 3.098 20 30 DGEDMN C=CCOC[C@@H]1c2c(ncn2C)CCN1CCC/C=C\CC ZINC001208133350 1092208294 /nfs/dbraw/zinc/20/82/94/1092208294.db2.gz RJJACTFVVMJDAR-JTGQJZMRSA-N 0 1 303.450 3.268 20 30 DGEDMN C=CCOC[C@H]1c2c(ncn2C)CCN1CCC/C=C\CC ZINC001208133353 1092208835 /nfs/dbraw/zinc/20/88/35/1092208835.db2.gz RJJACTFVVMJDAR-TUYSUELWSA-N 0 1 303.450 3.268 20 30 DGEDMN COc1ccc(Br)c(CN2CCC(S)CC2)c1 ZINC001137909271 1092210751 /nfs/dbraw/zinc/21/07/51/1092210751.db2.gz CVGACAMKLLYTBI-UHFFFAOYSA-N 0 1 316.264 3.352 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2oc(C(C)C)nc2C)C1 ZINC001491748328 1092218175 /nfs/dbraw/zinc/21/81/75/1092218175.db2.gz JSRWKSHJXOTBLW-HNNXBMFYSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCCC[C@@]23CC(=O)N(C)C3)CC1 ZINC001208175690 1092240944 /nfs/dbraw/zinc/24/09/44/1092240944.db2.gz ZDHLTEBBYCDLLN-MJGOQNOKSA-N 0 1 302.462 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@@H](NCc2nocc2C)C1 ZINC001491873095 1092266411 /nfs/dbraw/zinc/26/64/11/1092266411.db2.gz CIRKRFXPYAIBHW-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CCCCCC)C1 ZINC001208294690 1092301261 /nfs/dbraw/zinc/30/12/61/1092301261.db2.gz NEERQBHSDMESNX-FGTMMUONSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001108341428 1092339554 /nfs/dbraw/zinc/33/95/54/1092339554.db2.gz ZEGROJUJZXWEMM-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCCCCCCC(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001108361111 1092348071 /nfs/dbraw/zinc/34/80/71/1092348071.db2.gz ZDKJLVLHTGEDEH-IBGZPJMESA-N 0 1 324.509 3.354 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)C(C)(C)C)C1 ZINC001108366502 1092356993 /nfs/dbraw/zinc/35/69/93/1092356993.db2.gz VBJPJOKXPVXBJQ-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C[C@H](C)C(C)(C)C)C1 ZINC001108366635 1092357998 /nfs/dbraw/zinc/35/79/98/1092357998.db2.gz YCARRCBVGWSTJD-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C[C@H]1C[C@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168799230 1092368509 /nfs/dbraw/zinc/36/85/09/1092368509.db2.gz BWWAEVLTTGYAQD-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN C=CCC1(C(=O)NC[C@@]2(C)CN(CCC)CCO2)CCCCC1 ZINC001108416304 1092428498 /nfs/dbraw/zinc/42/84/98/1092428498.db2.gz QSCDHAKMYXOFCI-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN N#CCCN(CCOCCOCc1ccccc1)C1CCCC1 ZINC001208749414 1092489012 /nfs/dbraw/zinc/48/90/12/1092489012.db2.gz YYLMSXOJZFURFW-UHFFFAOYSA-N 0 1 316.445 3.378 20 30 DGEDMN N#C[C@@H]1CN(CCCc2ccc(Cl)c(Cl)c2)CCC1=O ZINC001208836516 1092537081 /nfs/dbraw/zinc/53/70/81/1092537081.db2.gz GGZLPAWBOCBQOL-GFCCVEGCSA-N 0 1 311.212 3.341 20 30 DGEDMN N#Cc1ccc(CCCN2CCN(c3ccccc3F)CC2)cc1 ZINC001208856207 1092547226 /nfs/dbraw/zinc/54/72/26/1092547226.db2.gz CQVFGMRUNVPCGZ-UHFFFAOYSA-N 0 1 323.415 3.452 20 30 DGEDMN CCCN(CCC#N)CCCc1ccc(C(=O)OCC)cc1 ZINC001208869245 1092553680 /nfs/dbraw/zinc/55/36/80/1092553680.db2.gz JGWQIDLYKXNHOE-UHFFFAOYSA-N 0 1 302.418 3.422 20 30 DGEDMN CC(C)(Nc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001169189080 1092579827 /nfs/dbraw/zinc/57/98/27/1092579827.db2.gz AEBGFPQQHYUXST-UHFFFAOYSA-N 0 1 319.368 3.264 20 30 DGEDMN CN(Cc1c[nH]c2cc(C#N)ccc12)Cc1cccc2c1OCO2 ZINC001142929245 1092589968 /nfs/dbraw/zinc/58/99/68/1092589968.db2.gz AVNPIZFUTUJBJO-UHFFFAOYSA-N 0 1 319.364 3.400 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001276601834 1092599732 /nfs/dbraw/zinc/59/97/32/1092599732.db2.gz UCETVTZTKZDBOE-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN Cc1ccccc1[C@@H](CO)NCc1cc(Cl)cc(C#N)c1 ZINC001169205851 1092605510 /nfs/dbraw/zinc/60/55/10/1092605510.db2.gz DJVZMEFIMGGKMF-QGZVFWFLSA-N 0 1 300.789 3.343 20 30 DGEDMN C=CCN(CCN1CC=C(c2ccccc2)CC1)C(=O)OCC ZINC001209020971 1092626730 /nfs/dbraw/zinc/62/67/30/1092626730.db2.gz QLAAPYYVIIXNQJ-UHFFFAOYSA-N 0 1 314.429 3.420 20 30 DGEDMN C[C@@](C#N)(Nc1cc2[nH]cnc2c(C#N)n1)c1ccccc1Cl ZINC001169372994 1092659000 /nfs/dbraw/zinc/65/90/00/1092659000.db2.gz GOSOUIPFFZYVGB-INIZCTEOSA-N 0 1 322.759 3.334 20 30 DGEDMN Cc1coc(NC(=O)c2c(O)c(C)nc3ccccc32)c1C#N ZINC001149191957 1092668187 /nfs/dbraw/zinc/66/81/87/1092668187.db2.gz ZUGYAPBQEXQNLL-UHFFFAOYSA-N 0 1 307.309 3.274 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001209182790 1092683896 /nfs/dbraw/zinc/68/38/96/1092683896.db2.gz IVFKSXLUKVGQJC-DZGCQCFKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2cccc(F)c2F)C1 ZINC001209336389 1092737952 /nfs/dbraw/zinc/73/79/52/1092737952.db2.gz XEPWRYOSZXKGGC-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN Cc1cccc(C)c1NCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001149885100 1092739041 /nfs/dbraw/zinc/73/90/41/1092739041.db2.gz ZXPQUKVMRCFJOB-UHFFFAOYSA-N 0 1 319.368 3.102 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@@]2(CCCN(C(=O)C(C)C)C2)C1 ZINC001209423606 1092769185 /nfs/dbraw/zinc/76/91/85/1092769185.db2.gz ALYRIWIEKXEPMX-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCCN(C(=O)C(C)C)C2)C1 ZINC001209423606 1092769191 /nfs/dbraw/zinc/76/91/91/1092769191.db2.gz ALYRIWIEKXEPMX-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001150350406 1092772134 /nfs/dbraw/zinc/77/21/34/1092772134.db2.gz HORCAJILTQEWQF-QZTJIDSGSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCCCCCN1CCO[C@]2(CCCN(C(C)=O)C2)C1 ZINC001209439166 1092774524 /nfs/dbraw/zinc/77/45/24/1092774524.db2.gz JAPBFPDJWWUARO-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)cc1 ZINC001209512966 1092801428 /nfs/dbraw/zinc/80/14/28/1092801428.db2.gz VKEXBBIPAPOCHS-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C1CCCC1 ZINC001151482460 1092907709 /nfs/dbraw/zinc/90/77/09/1092907709.db2.gz ZSHVJDMBJXSCCW-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)c2cc3cccnc3[nH]2)cn1 ZINC001151539476 1092919706 /nfs/dbraw/zinc/91/97/06/1092919706.db2.gz QEDHUXCOTTVPFY-UHFFFAOYSA-N 0 1 305.341 3.011 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(F)c(Br)cc1C#N ZINC001209972165 1093001538 /nfs/dbraw/zinc/00/15/38/1093001538.db2.gz GWLBYFPAMKAFPT-UHFFFAOYSA-N 0 1 321.153 3.489 20 30 DGEDMN Cc1cc2[nH]ncc2cc1Nc1nc2ncccc2cc1C#N ZINC001210100233 1093043086 /nfs/dbraw/zinc/04/30/86/1093043086.db2.gz IYMHKJIFZWJBFK-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN CC(=O)Nc1ccc(Nc2cc3cn[nH]c3cc2C)cc1C#N ZINC001210105388 1093044051 /nfs/dbraw/zinc/04/40/51/1093044051.db2.gz ZLAOBDVTAXPQQM-UHFFFAOYSA-N 0 1 305.341 3.445 20 30 DGEDMN N#Cc1ncc(Nc2ccc(O)c([N+](=O)[O-])c2)cc1C(F)(F)F ZINC001210393667 1093151689 /nfs/dbraw/zinc/15/16/89/1093151689.db2.gz GONQUVMISRFROX-UHFFFAOYSA-N 0 1 324.218 3.329 20 30 DGEDMN COc1c(F)cccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001210399515 1093154880 /nfs/dbraw/zinc/15/48/80/1093154880.db2.gz QZASGNUFOBFNNE-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4ncncc4c3)sc2C1 ZINC001210453691 1093172972 /nfs/dbraw/zinc/17/29/72/1093172972.db2.gz AAZGDKMWHTUNEA-UHFFFAOYSA-N 0 1 321.409 3.294 20 30 DGEDMN COC(=O)c1ccc(Nc2cc(C#N)c(F)cc2O)cc1C ZINC001211174441 1093404479 /nfs/dbraw/zinc/40/44/79/1093404479.db2.gz WLEUJZGDLIOVTB-UHFFFAOYSA-N 0 1 300.289 3.242 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)CCC=C(C)C)[C@H](OC)C1 ZINC001213477718 1093592882 /nfs/dbraw/zinc/59/28/82/1093592882.db2.gz XQUNJEMWQZJINH-RCCFBDPRSA-N 0 1 322.493 3.151 20 30 DGEDMN Cc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)c(CO)c1 ZINC001214448781 1093777203 /nfs/dbraw/zinc/77/72/03/1093777203.db2.gz KCXHMZKDDGMCMS-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN COc1ccc(-c2nc(-c3cc(C#N)ccc3F)no2)c(O)c1 ZINC001215147648 1093927970 /nfs/dbraw/zinc/92/79/70/1093927970.db2.gz JOCIFMMDHVDGSR-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)CC(C)(C)C)C[C@H]1C ZINC001215788770 1094059110 /nfs/dbraw/zinc/05/91/10/1094059110.db2.gz DCCPESMWTGHKTP-YRGRVCCFSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCCC(C)C)C[C@H]1C ZINC001215989998 1094100615 /nfs/dbraw/zinc/10/06/15/1094100615.db2.gz QLCSKXRMPHGGNM-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1cc(-c2noc(-c3ccc(O)cc3[N+](=O)[O-])n2)ccc1C#N ZINC001216894832 1094275967 /nfs/dbraw/zinc/27/59/67/1094275967.db2.gz XJOPQTXCWYADBJ-UHFFFAOYSA-N 0 1 322.280 3.198 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3ccc4[nH]cnc4c3)n2)c1 ZINC001217131221 1094312532 /nfs/dbraw/zinc/31/25/32/1094312532.db2.gz KLJDYAGSLCQWOA-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3cnc4ccc[nH]c3-4)n2)c1 ZINC001217399164 1094398805 /nfs/dbraw/zinc/39/88/05/1094398805.db2.gz PPXQEVGEKWLZEX-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN C[C@@H](C(=O)NCCNCc1ccccc1C#N)[C@H](C)C(C)(C)C ZINC001135178127 1094430049 /nfs/dbraw/zinc/43/00/49/1094430049.db2.gz QCHIULBGESUGMT-CABCVRRESA-N 0 1 315.461 3.082 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1coc(C2CC2)n1 ZINC001135236771 1094454771 /nfs/dbraw/zinc/45/47/71/1094454771.db2.gz WNHJDSMWMXJYFL-STQMWFEESA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001135353574 1094500051 /nfs/dbraw/zinc/50/00/51/1094500051.db2.gz JMALJMSENDUWGG-CHWSQXEVSA-N 0 1 307.438 3.021 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H](C)C1CC[NH2+]CC1 ZINC001218002821 1094552455 /nfs/dbraw/zinc/55/24/55/1094552455.db2.gz LFQYJNNMYAQASA-CYBMUJFWSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CC[NH2+]CC[C@@H]1C ZINC001218027098 1094567165 /nfs/dbraw/zinc/56/71/65/1094567165.db2.gz MNVFYGDBCQPJSD-YVEFUNNKSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1ncc(CC)o1 ZINC001135560847 1094576928 /nfs/dbraw/zinc/57/69/28/1094576928.db2.gz MEVUFDQAYLJKDW-UONOGXRCSA-N 0 1 321.465 3.212 20 30 DGEDMN Cc1ccc(O)c(Cc2cc(C)ccc2O[C@H]2CNC[C@@H]2C#N)c1 ZINC001218200277 1094654936 /nfs/dbraw/zinc/65/49/36/1094654936.db2.gz FWKZZGRIWJCPQO-PXNSSMCTSA-N 0 1 322.408 3.090 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1c(Br)ccc2ccccc21 ZINC001218200240 1094655580 /nfs/dbraw/zinc/65/55/80/1094655580.db2.gz KMYZUGKDRSVSDY-SMDDNHRTSA-N 0 1 317.186 3.093 20 30 DGEDMN COc1cc(/C=C/c2ccccc2)cc(O[C@H]2CNC[C@@H]2C#N)c1 ZINC001218202940 1094656599 /nfs/dbraw/zinc/65/65/99/1094656599.db2.gz OFFOPKXAEQXJPF-DZCMZPKPSA-N 0 1 320.392 3.356 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1cc2ccccc2cc1Br ZINC001218203835 1094658683 /nfs/dbraw/zinc/65/86/83/1094658683.db2.gz SLYBDZCQEQAKHA-SWLSCSKDSA-N 0 1 317.186 3.093 20 30 DGEDMN C=C1CC[NH2+]C[C@@H]1Oc1ccc(CC(=O)c2ccc([O-])cc2)cc1 ZINC001218211062 1094663194 /nfs/dbraw/zinc/66/31/94/1094663194.db2.gz PQLANPCJBGMDQA-FQEVSTJZSA-N 0 1 323.392 3.115 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@H](C)C[C@H]1C ZINC001121614357 1094727237 /nfs/dbraw/zinc/72/72/37/1094727237.db2.gz YSMGTBMLPXBEFV-CHWSQXEVSA-N 0 1 322.416 3.242 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135878776 1094730230 /nfs/dbraw/zinc/73/02/30/1094730230.db2.gz ZVICNDNFRXNXGV-MRXNPFEDSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1C[N@H+](C[C@H](F)CC)C2 ZINC001111762906 1094958960 /nfs/dbraw/zinc/95/89/60/1094958960.db2.gz VAGXQMMCNCJNRN-DAYGRLMNSA-N 0 1 310.457 3.308 20 30 DGEDMN N#CC(C(=O)C1(CCF)CC1)c1nccn1Cc1ccccc1 ZINC001122518096 1094996639 /nfs/dbraw/zinc/99/66/39/1094996639.db2.gz NJKAYPVNOSDAFN-OAHLLOKOSA-N 0 1 311.360 3.247 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)CC(C)(C)C ZINC001122531583 1094999938 /nfs/dbraw/zinc/99/99/38/1094999938.db2.gz JJTQFUZXKQDTQT-UHFFFAOYSA-N 0 1 302.426 3.035 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001111892272 1095005258 /nfs/dbraw/zinc/00/52/58/1095005258.db2.gz PXWPFLBORIGRJV-HBFSDRIKSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001111892534 1095005310 /nfs/dbraw/zinc/00/53/10/1095005310.db2.gz UMRREBOYRIIAFH-QRFRQXIXSA-N 0 1 316.489 3.197 20 30 DGEDMN CN(C(=O)c1c(O)cnc2c(F)cccc21)c1cccc(C#N)c1 ZINC001136386445 1095010344 /nfs/dbraw/zinc/01/03/44/1095010344.db2.gz FNAZYAIZIKHQOV-UHFFFAOYSA-N 0 1 321.311 3.228 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@@H]1c1c(F)cccc1F)c1cccc(F)n1 ZINC001122713612 1095042248 /nfs/dbraw/zinc/04/22/48/1095042248.db2.gz BWZDLRZJOLNARA-DCAQKATOSA-N 0 1 316.282 3.479 20 30 DGEDMN N#CC(C(=O)c1cnc([C@@H]2CCCO2)s1)c1cccc(F)n1 ZINC001122715221 1095044666 /nfs/dbraw/zinc/04/46/66/1095044666.db2.gz ZZUSRYIBLFRLOI-ONGXEEELSA-N 0 1 317.345 3.019 20 30 DGEDMN Cn1cc(C(=O)C(C#N)c2cccc(F)n2)c(-c2ccccc2)n1 ZINC001122718499 1095046806 /nfs/dbraw/zinc/04/68/06/1095046806.db2.gz ZNJWHRUYAJMUPT-ZDUSSCGKSA-N 0 1 320.327 3.111 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@@H]1NCc2ccccc21 ZINC001220663927 1095134957 /nfs/dbraw/zinc/13/49/57/1095134957.db2.gz RMEUFZLKCNZEDK-AUUYWEPGSA-N 0 1 322.408 3.273 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@H](C)c1nnc(C)o1 ZINC001171043306 1095180827 /nfs/dbraw/zinc/18/08/27/1095180827.db2.gz KHKBHUWJILZMSK-ZIAGYGMSSA-N 0 1 322.453 3.060 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@@H](C)c1ncccn1 ZINC001171044011 1095182480 /nfs/dbraw/zinc/18/24/80/1095182480.db2.gz UBLTWXUSTFMJFG-CVEARBPZSA-N 0 1 318.465 3.159 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@](C)(CC)CCCCCC)[C@@H](O)C1 ZINC001221022717 1095186710 /nfs/dbraw/zinc/18/67/10/1095186710.db2.gz KNPDRDIJKBTRHF-ZIFCJYIRSA-N 0 1 324.509 3.111 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@@H+](Cc3ccc4c(c3)CCC4)C[C@H]21 ZINC001221424970 1095262172 /nfs/dbraw/zinc/26/21/72/1095262172.db2.gz SSPJXSOFUUXIGU-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(CC)C(=O)Nc1cc(CN2CCSCC2)ccc1C ZINC001123543881 1095339734 /nfs/dbraw/zinc/33/97/34/1095339734.db2.gz GXAYGROHOGYZPS-UHFFFAOYSA-N 0 1 304.459 3.449 20 30 DGEDMN Clc1ccc([N-][NH+]=Cc2ccccc2N2CCCC2)nn1 ZINC001115813027 1095399918 /nfs/dbraw/zinc/39/99/18/1095399918.db2.gz JJKCYZWZXIVWSM-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN N#C[C@H]1CN(Cc2c(F)ccc(Cl)c2Cl)CCC1=O ZINC001143860903 1095409404 /nfs/dbraw/zinc/40/94/04/1095409404.db2.gz VHZCFZSCVQJSLM-QMMMGPOBSA-N 0 1 301.148 3.047 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cnc(C(C)C)[nH]1 ZINC001116116676 1095458680 /nfs/dbraw/zinc/45/86/80/1095458680.db2.gz VGBXEMFDGWEESQ-UHFFFAOYSA-N 0 1 310.401 3.457 20 30 DGEDMN CCCC[C@@H](CC)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001123935414 1095486951 /nfs/dbraw/zinc/48/69/51/1095486951.db2.gz BRSLUFUFIBCJKE-OAHLLOKOSA-N 0 1 319.424 3.120 20 30 DGEDMN CCCC[C@H](CC)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001123937140 1095488606 /nfs/dbraw/zinc/48/86/06/1095488606.db2.gz RMVFRKDJSSXHOV-KRWDZBQOSA-N 0 1 318.436 3.099 20 30 DGEDMN COC1(c2ccccc2)CCN(Cc2ccc(C#N)cn2)CC1 ZINC001144163611 1095533996 /nfs/dbraw/zinc/53/39/96/1095533996.db2.gz MTIVBVPSJJVGQW-UHFFFAOYSA-N 0 1 307.397 3.091 20 30 DGEDMN Cc1c(C(=O)N[C@@H](CCC#N)c2ccccc2)ccc2cncn21 ZINC001116530701 1095588776 /nfs/dbraw/zinc/58/87/76/1095588776.db2.gz PLCDPPJLSXDSRN-SFHVURJKSA-N 0 1 318.380 3.418 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001116650551 1095625320 /nfs/dbraw/zinc/62/53/20/1095625320.db2.gz AIUMECZDEXROED-UHFFFAOYSA-N 0 1 307.825 3.456 20 30 DGEDMN CC(=Cc1ccc(N2CCCC2)cc1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC001339481045 1095635646 /nfs/dbraw/zinc/63/56/46/1095635646.db2.gz ATQVWGQTLURUNR-ACCUITESSA-N 0 1 320.396 3.111 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](CC(C)C)C1 ZINC001339528104 1095649093 /nfs/dbraw/zinc/64/90/93/1095649093.db2.gz CFMPHUBQNLTZLI-CQSZACIVSA-N 0 1 314.437 3.035 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)NCc1nnc(-c2ccccc2)o1 ZINC001171179314 1095652604 /nfs/dbraw/zinc/65/26/04/1095652604.db2.gz RGSXWLQPDAURSB-AWEZNQCLSA-N 0 1 318.380 3.329 20 30 DGEDMN CN(C)Cc1cccc(NC(=N)c2ccc3cc(O)ccc3c2)n1 ZINC001171184878 1095658790 /nfs/dbraw/zinc/65/87/90/1095658790.db2.gz BZELBOKURNCFOU-UHFFFAOYSA-N 0 1 320.396 3.039 20 30 DGEDMN C=CCCC(=O)NCC1CCN(Cc2nc(C)c(C)s2)CC1 ZINC001222579316 1095674287 /nfs/dbraw/zinc/67/42/87/1095674287.db2.gz RVCSTQWCTBNHGA-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=CCN(Cc1cccc(S(C)(=O)=O)c1)C1CCCCC1 ZINC001137575257 1095681951 /nfs/dbraw/zinc/68/19/51/1095681951.db2.gz IJXLQMRHLPAXJF-UHFFFAOYSA-N 0 1 307.459 3.411 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)NC1(c2ccc(F)cc2)COC1 ZINC001171194277 1095689327 /nfs/dbraw/zinc/68/93/27/1095689327.db2.gz IUYJVHFKQAGPLW-AWEZNQCLSA-N 0 1 310.372 3.144 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@@H](Oc3ncccc3OC)C2)cc1 ZINC001144581533 1095717119 /nfs/dbraw/zinc/71/71/19/1095717119.db2.gz VNGKCFRNTRYUGN-GOSISDBHSA-N 0 1 322.408 3.115 20 30 DGEDMN C#Cc1ccc(CN2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccc2)cc1 ZINC001144576176 1095725801 /nfs/dbraw/zinc/72/58/01/1095725801.db2.gz DPNBKEBZANMLBW-SFTDATJTSA-N 0 1 302.421 3.127 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCN1Cc1ccccc1C(C)C ZINC001276841569 1095764837 /nfs/dbraw/zinc/76/48/37/1095764837.db2.gz FCPRCUNFIFUECO-GOSISDBHSA-N 0 1 312.457 3.160 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NC[C@H]1CCN1CC1=CCCCC1)OCC ZINC001276860654 1095848883 /nfs/dbraw/zinc/84/88/83/1095848883.db2.gz KNWUZMCJHLDEHU-QZTJIDSGSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1Cc2ccccc2[C@@H]1C ZINC001340375739 1095942246 /nfs/dbraw/zinc/94/22/46/1095942246.db2.gz OWSIDLHJIYYWRW-ZDUSSCGKSA-N 0 1 320.400 3.244 20 30 DGEDMN C#CC[C@H](C)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223401489 1095960441 /nfs/dbraw/zinc/96/04/41/1095960441.db2.gz AWWYXBYKEDWAFM-BJOHPYRUSA-N 0 1 324.739 3.097 20 30 DGEDMN C#CC[C@@H](C)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223401495 1095960575 /nfs/dbraw/zinc/96/05/75/1095960575.db2.gz AWWYXBYKEDWAFM-RFAUZJTJSA-N 0 1 324.739 3.097 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H]3CC[N@H+](C/C=C/Cl)[C@H]3C2)CC1 ZINC001223459226 1095975829 /nfs/dbraw/zinc/97/58/29/1095975829.db2.gz CNHTWLURYLSSQB-SNNKGOEXSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H]3CCN(C/C=C/Cl)[C@H]3C2)CC1 ZINC001223459226 1095975836 /nfs/dbraw/zinc/97/58/36/1095975836.db2.gz CNHTWLURYLSSQB-SNNKGOEXSA-N 0 1 322.880 3.408 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001276898007 1095995425 /nfs/dbraw/zinc/99/54/25/1095995425.db2.gz BRZIXXFYSCRXLM-IBGZPJMESA-N 0 1 312.457 3.269 20 30 DGEDMN Cc1cc(F)ncc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001171275681 1096011810 /nfs/dbraw/zinc/01/18/10/1096011810.db2.gz ZIYWFVYYCNQZPC-UHFFFAOYSA-N 0 1 324.403 3.499 20 30 DGEDMN N#CC1(Nc2cc(N)ccc2F)CCN(Cc2ccccc2)CC1 ZINC001171277299 1096032271 /nfs/dbraw/zinc/03/22/71/1096032271.db2.gz KPOUWVQEHNVAQD-UHFFFAOYSA-N 0 1 324.403 3.378 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1O[C@@H](C)c1cccc(C(=O)OC)c1 ZINC001223789922 1096064864 /nfs/dbraw/zinc/06/48/64/1096064864.db2.gz BOFKEEDVEZJHOZ-IINYFYTJSA-N 0 1 304.342 3.160 20 30 DGEDMN C[C@@]1(C#N)CCN(C2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccccc2)C1 ZINC001171281483 1096079177 /nfs/dbraw/zinc/07/91/77/1096079177.db2.gz NZGOOLNTUQTRKY-WMWLNJEOSA-N 0 1 323.440 3.058 20 30 DGEDMN COc1ccc([C@@H](C)O/C(=N\O)c2cc(F)ccc2F)cn1 ZINC001223890351 1096087414 /nfs/dbraw/zinc/08/74/14/1096087414.db2.gz RAJMTQJWEMQLLM-BEFIFZSESA-N 0 1 308.284 3.282 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H]2CCC(=O)CC2(C)C)c1 ZINC001223892826 1096089010 /nfs/dbraw/zinc/08/90/10/1096089010.db2.gz NOWJRMMNGSMKCF-ROAZCBAYSA-N 0 1 321.373 3.004 20 30 DGEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@H](F)CCCCCC)C[C@@H]21 ZINC001223912302 1096093913 /nfs/dbraw/zinc/09/39/13/1096093913.db2.gz QNRBCEAUUFMJHK-KURKYZTESA-N 0 1 322.468 3.241 20 30 DGEDMN CC[C@@H]1OC(C)=C(O[C@H](C(=O)OC)c2cccc(Cl)c2)C1=O ZINC001223926877 1096097972 /nfs/dbraw/zinc/09/79/72/1096097972.db2.gz XDSPXZQGFFNTDU-WFASDCNBSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2ccc(N3CCN(C)CC3)c(C)c2)C1 ZINC001118979043 1096113516 /nfs/dbraw/zinc/11/35/16/1096113516.db2.gz PBNQSJNEPWYSJA-UHFFFAOYSA-N 0 1 313.445 3.042 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cccc(-c2ccoc2)c1 ZINC001146947599 1096140028 /nfs/dbraw/zinc/14/00/28/1096140028.db2.gz BYTIPKSDMSJUAR-UHFFFAOYSA-N 0 1 304.777 3.019 20 30 DGEDMN N#Cc1ccccc1N1CC2(CN(CCCC(F)(F)F)C2)C1 ZINC001276953329 1096155337 /nfs/dbraw/zinc/15/53/37/1096155337.db2.gz JKYZUIASCANDNI-UHFFFAOYSA-N 0 1 309.335 3.023 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CCCC)C(C)C)C[C@@H]21 ZINC001224250702 1096172468 /nfs/dbraw/zinc/17/24/68/1096172468.db2.gz LMKRNCZQVAZGHG-SQNIBIBYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)CCCc1ccccc1 ZINC001276976283 1096226705 /nfs/dbraw/zinc/22/67/05/1096226705.db2.gz AHNXAAXOVNKCOB-PKOBYXMFSA-N 0 1 314.473 3.412 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN[C@@H](C)c2nc(C)cs2)cc1 ZINC001119732986 1096251976 /nfs/dbraw/zinc/25/19/76/1096251976.db2.gz TYHLUMMVOYUTIX-ZDUSSCGKSA-N 0 1 313.426 3.112 20 30 DGEDMN CC1(C)CN(Cc2ccccc2)C[C@H](COCC#CC2CC2)O1 ZINC001224849622 1096299747 /nfs/dbraw/zinc/29/97/47/1096299747.db2.gz FXLGNDRPASNYAK-LJQANCHMSA-N 0 1 313.441 3.096 20 30 DGEDMN C[C@H](CS)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152257 1096353682 /nfs/dbraw/zinc/35/36/82/1096353682.db2.gz NQEYGYJAWQULDK-CRAIPNDOSA-N 0 1 323.502 3.391 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)c(F)c2)C1 ZINC001225355191 1096405763 /nfs/dbraw/zinc/40/57/63/1096405763.db2.gz UZBLEXRXRNOWOT-CZUORRHYSA-N 0 1 311.360 3.307 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1conc1CC ZINC001148728083 1096431567 /nfs/dbraw/zinc/43/15/67/1096431567.db2.gz UVGAALGKZCURRB-UHFFFAOYSA-N 0 1 309.454 3.193 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C=CC(=O)OCC)c(F)c1 ZINC001225528730 1096459302 /nfs/dbraw/zinc/45/93/02/1096459302.db2.gz QRKSRKUYDIFKDD-QEHWCHDUSA-N 0 1 320.316 3.000 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H](F)CC)C2)CC1 ZINC001086936864 1096513482 /nfs/dbraw/zinc/51/34/82/1096513482.db2.gz AIUVRGFZUSKQFM-KGLIPLIRSA-N 0 1 316.848 3.190 20 30 DGEDMN C=CCCCCCC[C@@H](C)Oc1nc(C(=O)OC)cc(=O)[nH]1 ZINC001225730290 1096515781 /nfs/dbraw/zinc/51/57/81/1096515781.db2.gz IPOFGQUWFLBLOW-GFCCVEGCSA-N 0 1 308.378 3.263 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001086943799 1096516538 /nfs/dbraw/zinc/51/65/38/1096516538.db2.gz FKORRPJELAWJPC-BZUAXINKSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)ccc1F ZINC001225798174 1096532579 /nfs/dbraw/zinc/53/25/79/1096532579.db2.gz LFSDEJNNSYJADE-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)c(F)cc1Br ZINC001225845828 1096543908 /nfs/dbraw/zinc/54/39/08/1096543908.db2.gz IVUKKNVFZMCGDK-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C[Si](C)(C)C#C[C@H](Oc1[nH]c(=O)ncc1F)c1ccccc1 ZINC001225882662 1096551840 /nfs/dbraw/zinc/55/18/40/1096551840.db2.gz VYHGPIKOPCKXCB-AWEZNQCLSA-N 0 1 316.408 3.322 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCC[C@H]1NC/C(Cl)=C\Cl ZINC001225921446 1096564989 /nfs/dbraw/zinc/56/49/89/1096564989.db2.gz RXFFKQWEHGQUNP-XMNZZIDVSA-N 0 1 317.260 3.126 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2sccc2C)[C@H](C)C1 ZINC001092426825 1096605984 /nfs/dbraw/zinc/60/59/84/1096605984.db2.gz YQPFLMXAOZPAAA-DGCLKSJQSA-N 0 1 312.866 3.107 20 30 DGEDMN C[C@H](c1ccccn1)[N@@H+]1CC[C@@H](Oc2cccc(/C=N/[O-])c2)C1 ZINC001226115712 1096616476 /nfs/dbraw/zinc/61/64/76/1096616476.db2.gz IAVVGWXWCRORME-KQHYAQLKSA-N 0 1 311.385 3.104 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2cccc(/C=N/[O-])c2)C1 ZINC001226115712 1096616479 /nfs/dbraw/zinc/61/64/79/1096616479.db2.gz IAVVGWXWCRORME-KQHYAQLKSA-N 0 1 311.385 3.104 20 30 DGEDMN COc1cccc(C#N)c1O[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001226247128 1096645286 /nfs/dbraw/zinc/64/52/86/1096645286.db2.gz IYNPCCBMWCESQG-QGZVFWFLSA-N 0 1 308.381 3.220 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(CF)cc2)[C@H](C)C1 ZINC001093238678 1096669722 /nfs/dbraw/zinc/66/97/22/1096669722.db2.gz BZZYHDFXNDRUAN-MLGOLLRUSA-N 0 1 324.827 3.206 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C23CCC(CC2)CC3)[C@H](C)C1 ZINC001093216430 1096671558 /nfs/dbraw/zinc/67/15/58/1096671558.db2.gz FGOROBICSPXUHZ-ZFRYHDOHSA-N 0 1 324.896 3.393 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2c(O)cccc2C#N)C1 ZINC001226521569 1096712208 /nfs/dbraw/zinc/71/22/08/1096712208.db2.gz ICKNUDWOMQEIOJ-GDBMZVCRSA-N 0 1 323.396 3.263 20 30 DGEDMN C=CCC[C@@H](CC)Oc1ncnc2[nH]nc(Br)c21 ZINC001226669348 1096748551 /nfs/dbraw/zinc/74/85/51/1096748551.db2.gz ODMKJBAKZBJZCL-MRVPVSSYSA-N 0 1 311.183 3.239 20 30 DGEDMN C=CCC[C@@H](CC)Oc1nc(=O)[nH]c(C)c1Br ZINC001226787942 1096778040 /nfs/dbraw/zinc/77/80/40/1096778040.db2.gz QEMZLDWHJBCHKO-SECBINFHSA-N 0 1 301.184 3.377 20 30 DGEDMN C[C@@H]1CCC[C@@]1(C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001277164948 1096810341 /nfs/dbraw/zinc/81/03/41/1096810341.db2.gz QRAFVIQQURTOGT-YLJYHZDGSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCOCC(COCC=C)Oc1nc2cccc(C)c2[nH]1 ZINC001227273667 1096909775 /nfs/dbraw/zinc/90/97/75/1096909775.db2.gz YVXZMWKBUFSBBE-UHFFFAOYSA-N 0 1 302.374 3.024 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)c1 ZINC001171527480 1096918643 /nfs/dbraw/zinc/91/86/43/1096918643.db2.gz AWTOUZXHTLAXJM-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(=O)O[C@@H](C)CC)cc1 ZINC001227412982 1096926694 /nfs/dbraw/zinc/92/66/94/1096926694.db2.gz INKJBTGABKUNGA-WDEREUQCSA-N 0 1 304.342 3.240 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C(C)C)oc2C)CC1 ZINC001277208715 1096931365 /nfs/dbraw/zinc/93/13/65/1096931365.db2.gz GMQDNIJDRMXAJT-UHFFFAOYSA-N 0 1 310.825 3.316 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC1CCN(C/C=C\Cl)CC1 ZINC001227527165 1096945093 /nfs/dbraw/zinc/94/50/93/1096945093.db2.gz HSZRIUZAGZLJHW-DAXSKMNVSA-N 0 1 305.249 3.098 20 30 DGEDMN C[Si](C)(C)C#C[C@H](Oc1ncnc2nc[nH]c21)c1ccccc1 ZINC001227532424 1096945996 /nfs/dbraw/zinc/94/59/96/1096945996.db2.gz VTRSZCQTRKSMMI-AWEZNQCLSA-N 0 1 322.444 3.354 20 30 DGEDMN C=C(C)CCC(=O)NC1CCN(Cc2c(C)nsc2C)CC1 ZINC001227588198 1096956130 /nfs/dbraw/zinc/95/61/30/1096956130.db2.gz JHKSMGZPHOHDDU-UHFFFAOYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@]1(C)CC[C@H](Oc2nc(=O)[nH]c3c2CO[C@@H]3C)C(C)(C)O1 ZINC001227715794 1096974800 /nfs/dbraw/zinc/97/48/00/1096974800.db2.gz GUCIZDCUNJEVOX-YOIRWDDOSA-N 0 1 320.389 3.055 20 30 DGEDMN C=C[C@]1(C)CC[C@H](C(C)(C)Oc2nc(=O)[nH]c3c2CO[C@@H]3C)O1 ZINC001227714515 1096975599 /nfs/dbraw/zinc/97/55/99/1096975599.db2.gz SYBONRHHVFFBJD-ILMHXZGMSA-N 0 1 320.389 3.055 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C(=O)OC)c(Cl)c1 ZINC001227936682 1097016063 /nfs/dbraw/zinc/01/60/63/1097016063.db2.gz MCTODFBNCOROPI-LBPRGKRZSA-N 0 1 310.733 3.115 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1Oc1cc(O)ccc1C#N ZINC001228011794 1097032524 /nfs/dbraw/zinc/03/25/24/1097032524.db2.gz WYSMSFBAKCLRQJ-LIRRHRJNSA-N 0 1 308.381 3.163 20 30 DGEDMN C[C@H]1C[N@H+](Cc2ccccc2)C[C@@H]1Oc1cc([O-])ccc1C#N ZINC001228011794 1097032531 /nfs/dbraw/zinc/03/25/31/1097032531.db2.gz WYSMSFBAKCLRQJ-LIRRHRJNSA-N 0 1 308.381 3.163 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1Oc1cc([O-])ccc1C#N ZINC001228011794 1097032537 /nfs/dbraw/zinc/03/25/37/1097032537.db2.gz WYSMSFBAKCLRQJ-LIRRHRJNSA-N 0 1 308.381 3.163 20 30 DGEDMN C[C@H](CC(C)(C)S)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228245306 1097080898 /nfs/dbraw/zinc/08/08/98/1097080898.db2.gz RLANDRRVGLWNSG-MRVPVSSYSA-N 0 1 323.374 3.109 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)NCC(=O)c1cc(F)cc(F)c1 ZINC001172308195 1097096214 /nfs/dbraw/zinc/09/62/14/1097096214.db2.gz JBDVMUWXEYPFNT-LBPRGKRZSA-N 0 1 314.335 3.240 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001172311661 1097097386 /nfs/dbraw/zinc/09/73/86/1097097386.db2.gz VOXXPUPSVVRQQR-UTKZUKDTSA-N 0 1 320.436 3.433 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CC[C@@H](C)[C@H](C)C1)CC2 ZINC001172372408 1097111091 /nfs/dbraw/zinc/11/10/91/1097111091.db2.gz HXORTFSHWVMNQH-BZUAXINKSA-N 0 1 317.477 3.306 20 30 DGEDMN C[C@H](CCCC#N)NC1(c2ccc(Br)cc2)COC1 ZINC001172482868 1097151599 /nfs/dbraw/zinc/15/15/99/1097151599.db2.gz KQRUERBDTBUROS-GFCCVEGCSA-N 0 1 323.234 3.347 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001228810049 1097195618 /nfs/dbraw/zinc/19/56/18/1097195618.db2.gz PLSSNOHDRFSZPD-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@H](CC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228813854 1097196741 /nfs/dbraw/zinc/19/67/41/1097196741.db2.gz HKSJZYLIWCUFLE-JTQLQIEISA-N 0 1 309.318 3.211 20 30 DGEDMN N#Cc1ccc([N+](=O)[O-])cc1O[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001228926748 1097219077 /nfs/dbraw/zinc/21/90/77/1097219077.db2.gz PZXUOPQNGFEJQS-QGZVFWFLSA-N 0 1 323.352 3.120 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3[nH]ccc3n2)C1 ZINC001228979868 1097230736 /nfs/dbraw/zinc/23/07/36/1097230736.db2.gz DCUPOWLMVGCFCJ-INIZCTEOSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001229015849 1097238058 /nfs/dbraw/zinc/23/80/58/1097238058.db2.gz BOLSYRNIXWINOF-QGZVFWFLSA-N 0 1 321.490 3.134 20 30 DGEDMN N#Cc1cccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)c1Cl ZINC001229067163 1097249296 /nfs/dbraw/zinc/24/92/96/1097249296.db2.gz KAVOOZHFTZGNFH-SHTZXODSSA-N 0 1 320.820 3.234 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC/C=C/c2ccccc2)CC1 ZINC001229178452 1097271988 /nfs/dbraw/zinc/27/19/88/1097271988.db2.gz QFSAEKTXWHRKFC-YRNVUSSQSA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC/C=C\c2ccccc2)CC1 ZINC001229178451 1097272158 /nfs/dbraw/zinc/27/21/58/1097272158.db2.gz QFSAEKTXWHRKFC-XFFZJAGNSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN1CCN([C@H](C)CSc2ccc(Cl)cc2)CC1 ZINC001172881955 1097275366 /nfs/dbraw/zinc/27/53/66/1097275366.db2.gz MHLYFJFZDIVHMN-CQSZACIVSA-N 0 1 308.878 3.071 20 30 DGEDMN C=CCc1ccc(O)c(O[C@H]2CC[C@H](N3CCOCC3)CC2)c1 ZINC001229339250 1097300628 /nfs/dbraw/zinc/30/06/28/1097300628.db2.gz XOUDAGPYDYXZIF-QAQDUYKDSA-N 0 1 317.429 3.143 20 30 DGEDMN C=C(C)[C@@H]1CC[C@@H](C)C[C@@H]1Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-] ZINC001229382487 1097309469 /nfs/dbraw/zinc/30/94/69/1097309469.db2.gz TZWCWNVTVKIYLO-USWWRNFRSA-N 0 1 307.350 3.159 20 30 DGEDMN C=C(C)[C@@H]1CC[C@@H](C)C[C@H]1Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-] ZINC001229382484 1097309640 /nfs/dbraw/zinc/30/96/40/1097309640.db2.gz TZWCWNVTVKIYLO-ADEWGFFLSA-N 0 1 307.350 3.159 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(NC(=O)CCC)cc1 ZINC001229484678 1097329711 /nfs/dbraw/zinc/32/97/11/1097329711.db2.gz PYSMJDVRIWMPAO-AWEZNQCLSA-N 0 1 303.358 3.413 20 30 DGEDMN Cc1oc(Nc2cccc(N3CCN(C)CC3)c2)c(C#N)c1C ZINC001212710736 1097346962 /nfs/dbraw/zinc/34/69/62/1097346962.db2.gz NWZSVJGLOUDFFM-UHFFFAOYSA-N 0 1 310.401 3.264 20 30 DGEDMN CN1CCN(c2cccc(Nc3cccc(F)c3C#N)c2)CC1 ZINC001212714005 1097373935 /nfs/dbraw/zinc/37/39/35/1097373935.db2.gz ZNHLTLMNWDLIOB-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN COc1ccc(C#N)c(Nc2cccc(N3CCN(C)CC3)c2)c1 ZINC001212714660 1097377054 /nfs/dbraw/zinc/37/70/54/1097377054.db2.gz JLJGITNFEBBQCH-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN N#CC1CC(Oc2ccc3c(c2)oc(=O)c2cc(O)ccc32)C1 ZINC001229798168 1097389056 /nfs/dbraw/zinc/38/90/56/1097389056.db2.gz SWHXZIJLMXASJV-UHFFFAOYSA-N 0 1 307.305 3.333 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H]1CC[C@H](CC)C1)CCC2 ZINC001173280836 1097411891 /nfs/dbraw/zinc/41/18/91/1097411891.db2.gz NKHFHCQQFVBZMP-JKSUJKDBSA-N 0 1 303.450 3.370 20 30 DGEDMN CCOCc1noc2c1CN(C1CCC(CC#N)CC1)CC2 ZINC001173335786 1097420991 /nfs/dbraw/zinc/42/09/91/1097420991.db2.gz YIVZVILPCTXPQW-UHFFFAOYSA-N 0 1 303.406 3.042 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(C)(C#N)CC2)[C@H]2C[C@H]21 ZINC001173594151 1097467157 /nfs/dbraw/zinc/46/71/57/1097467157.db2.gz SZGLFFZQWRBEMH-QRHJNKNDSA-N 0 1 319.449 3.152 20 30 DGEDMN CCOC(=O)c1sc(SC)c(C#N)c1Nc1ccncc1 ZINC001173879120 1097544977 /nfs/dbraw/zinc/54/49/77/1097544977.db2.gz HBRKDVUTVFPIEH-UHFFFAOYSA-N 0 1 319.411 3.079 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)CCCC)Cc1cccnc1 ZINC001230747807 1097573030 /nfs/dbraw/zinc/57/30/30/1097573030.db2.gz ITNNVMGTHUVCSC-KRWDZBQOSA-N 0 1 317.477 3.402 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@]2(CCN(C(=O)[C@@](C)(F)CCCC)C2)C1 ZINC001277465322 1097575375 /nfs/dbraw/zinc/57/53/75/1097575375.db2.gz OIIDAFDICPJSIO-OALUTQOASA-N 0 1 322.468 3.243 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)[C@H](C)C=C)Cc1cccnc1 ZINC001230820209 1097597093 /nfs/dbraw/zinc/59/70/93/1097597093.db2.gz XOBDZUVRSKHYHW-SJORKVTESA-N 0 1 315.461 3.034 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)CC(=C)C)Cc1cccnc1 ZINC001230819775 1097597735 /nfs/dbraw/zinc/59/77/35/1097597735.db2.gz FNNWYNLBTPRCOK-QGZVFWFLSA-N 0 1 315.461 3.178 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cccc([C@H](C)CC(=O)OC)c1 ZINC001231014083 1097646951 /nfs/dbraw/zinc/64/69/51/1097646951.db2.gz YLEKIJJTIZXZMT-IAQYHMDHSA-N 0 1 318.369 3.341 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4n[nH]cc4c3)sc2C1 ZINC001174272703 1097666150 /nfs/dbraw/zinc/66/61/50/1097666150.db2.gz NKXCHKOVGZEVRA-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1c(C)cccc1C ZINC001231115478 1097672042 /nfs/dbraw/zinc/67/20/42/1097672042.db2.gz VLWVRGWKZRCZAC-KRWDZBQOSA-N 0 1 300.446 3.302 20 30 DGEDMN N#Cc1cnc(Nc2cccc3[nH]ncc32)c(Br)c1 ZINC001174342778 1097682876 /nfs/dbraw/zinc/68/28/76/1097682876.db2.gz NRRZFHWSBBUIBQ-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cccc(O)c1NC1=CCCN(Cc2ccccc2)C1 ZINC001174393968 1097689117 /nfs/dbraw/zinc/68/91/17/1097689117.db2.gz BMRPGSJPXAXWRC-UHFFFAOYSA-N 0 1 305.381 3.466 20 30 DGEDMN N#Cc1cnn(-c2ccccc2)c1Nc1ccc2cn[nH]c2c1 ZINC001174497814 1097729697 /nfs/dbraw/zinc/72/96/97/1097729697.db2.gz KIOUJYDSXHMDJL-UHFFFAOYSA-N 0 1 300.325 3.364 20 30 DGEDMN CCNC(=O)Nc1ccc(Nc2cc(C#N)c(F)cc2O)cc1 ZINC001174522041 1097761789 /nfs/dbraw/zinc/76/17/89/1097761789.db2.gz WJEITWDTUAPJTE-UHFFFAOYSA-N 0 1 314.320 3.288 20 30 DGEDMN C(#Cc1ccccc1CN1CC[C@]12CCOC2)c1ccccc1 ZINC001231577783 1097783305 /nfs/dbraw/zinc/78/33/05/1097783305.db2.gz BTBPMAVLVWJBOY-OAQYLSRUSA-N 0 1 303.405 3.451 20 30 DGEDMN C(#Cc1ccccc1CN1CC[C@@]12CCOC2)c1ccccc1 ZINC001231577781 1097783393 /nfs/dbraw/zinc/78/33/93/1097783393.db2.gz BTBPMAVLVWJBOY-NRFANRHFSA-N 0 1 303.405 3.451 20 30 DGEDMN CCCN(CCC#N)Cc1n[nH]c2cc(Br)ccc21 ZINC001231613437 1097791965 /nfs/dbraw/zinc/79/19/65/1097791965.db2.gz OGEYNXLZDUVEBQ-UHFFFAOYSA-N 0 1 321.222 3.451 20 30 DGEDMN C=CCOc1cccc(CN2CCC(c3nnc(C)o3)CC2)c1 ZINC001231623944 1097794801 /nfs/dbraw/zinc/79/48/01/1097794801.db2.gz CFZYUFQQIGHRIR-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCNCc1nc(C)oc1C ZINC001174681858 1097799625 /nfs/dbraw/zinc/79/96/25/1097799625.db2.gz FYBSSKKMPPAPOC-CYBMUJFWSA-N 0 1 321.465 3.268 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3ccc(OCC)cc3)CC2)c1 ZINC001231660354 1097807181 /nfs/dbraw/zinc/80/71/81/1097807181.db2.gz DDHFSEQMSKJCCF-UHFFFAOYSA-N 0 1 320.436 3.389 20 30 DGEDMN C#Cc1cccc(CN2CCC(O)(c3ccccc3F)CC2)c1 ZINC001231659034 1097807407 /nfs/dbraw/zinc/80/74/07/1097807407.db2.gz DMZSNZPEDADWHE-UHFFFAOYSA-N 0 1 309.384 3.291 20 30 DGEDMN Cc1cccc(C#N)c1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174816517 1097847009 /nfs/dbraw/zinc/84/70/09/1097847009.db2.gz YGWGVHUINIYFDB-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001174833663 1097852426 /nfs/dbraw/zinc/85/24/26/1097852426.db2.gz QTRHYRWRPHQXOP-LSDHHAIUSA-N 0 1 307.438 3.042 20 30 DGEDMN COc1cccc(Nc2ccc(N3CCN(C)CC3)cc2)c1C#N ZINC001174813626 1097862106 /nfs/dbraw/zinc/86/21/06/1097862106.db2.gz JUGLGKWFXSMIIY-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN COc1cc(N(C)C)ccc1C[N@@H+](C)Cc1cccc(C#N)c1 ZINC001231854178 1097865691 /nfs/dbraw/zinc/86/56/91/1097865691.db2.gz APUARRFIRBTLOQ-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN COc1cc(N(C)C)ccc1CN(C)Cc1cccc(C#N)c1 ZINC001231854178 1097865694 /nfs/dbraw/zinc/86/56/94/1097865694.db2.gz APUARRFIRBTLOQ-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC001277597585 1097911313 /nfs/dbraw/zinc/91/13/13/1097911313.db2.gz IMBCPIPLTFZBGW-BPQIPLTHSA-N 0 1 316.489 3.334 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2c(F)cccc2F)C1 ZINC001277651593 1098010645 /nfs/dbraw/zinc/01/06/45/1098010645.db2.gz GHQTVNJTKGJUFQ-ZDUSSCGKSA-N 0 1 322.399 3.005 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001277651784 1098010723 /nfs/dbraw/zinc/01/07/23/1098010723.db2.gz JOIHPZPHOGKXGU-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)Cc2ccccc2)C1 ZINC001277681266 1098077049 /nfs/dbraw/zinc/07/70/49/1098077049.db2.gz BPWXTQXKQONSQS-UHFFFAOYSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCN(C)Cc1cc(OC)c(Br)c(OC)c1 ZINC001232566704 1098078973 /nfs/dbraw/zinc/07/89/73/1098078973.db2.gz DRZWGMPMGIQOAO-UHFFFAOYSA-N 0 1 300.196 3.084 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3cccc4ncsc43)CCC2S1 ZINC001232730801 1098121400 /nfs/dbraw/zinc/12/14/00/1098121400.db2.gz OOOFWLLKPFFMKF-CYBMUJFWSA-N 0 1 302.424 3.070 20 30 DGEDMN O=C1C=C2C[N@H+](Cc3cccc4ncsc43)CCC2S1 ZINC001232730801 1098121402 /nfs/dbraw/zinc/12/14/02/1098121402.db2.gz OOOFWLLKPFFMKF-CYBMUJFWSA-N 0 1 302.424 3.070 20 30 DGEDMN COc1ccccc1O[C@@H]1CCN(Cc2ccc(C#N)s2)C1 ZINC001232844050 1098150529 /nfs/dbraw/zinc/15/05/29/1098150529.db2.gz MKAZIOFTHQBIAA-CYBMUJFWSA-N 0 1 314.410 3.282 20 30 DGEDMN CN(Cc1ccc(C#N)s1)Cc1ccc(-n2ccnc2)cc1 ZINC001232846217 1098152119 /nfs/dbraw/zinc/15/21/19/1098152119.db2.gz CBHUKESYDRFRAH-UHFFFAOYSA-N 0 1 308.410 3.437 20 30 DGEDMN CC(C)(O)[C@H]1CCC[N@H+]1Cc1ccc(Br)c(C#N)c1 ZINC001232899973 1098168276 /nfs/dbraw/zinc/16/82/76/1098168276.db2.gz XQZSUKMQUOHKDS-CQSZACIVSA-N 0 1 323.234 3.056 20 30 DGEDMN CC(C)(O)[C@H]1CCCN1Cc1ccc(Br)c(C#N)c1 ZINC001232899973 1098168278 /nfs/dbraw/zinc/16/82/78/1098168278.db2.gz XQZSUKMQUOHKDS-CQSZACIVSA-N 0 1 323.234 3.056 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)n1 ZINC001232926550 1098175079 /nfs/dbraw/zinc/17/50/79/1098175079.db2.gz SSPSEOSNZMZPGP-KRWDZBQOSA-N 0 1 313.401 3.459 20 30 DGEDMN CC(C)=CC(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001277750818 1098206544 /nfs/dbraw/zinc/20/65/44/1098206544.db2.gz CEVCHRBVRMKXFO-OAHLLOKOSA-N 0 1 318.848 3.094 20 30 DGEDMN CC#CC[N@H+](C)CCCN(C)C(=O)c1cc(CCC)c(C)s1 ZINC001280879767 1098235536 /nfs/dbraw/zinc/23/55/36/1098235536.db2.gz AEIXCQZXOPVMCD-UHFFFAOYSA-N 0 1 320.502 3.426 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cc1ccc(O)c2[nH]c(=O)ccc12 ZINC001233295213 1098279371 /nfs/dbraw/zinc/27/93/71/1098279371.db2.gz QTCXEQKNFHPNOM-UHFFFAOYSA-N 0 1 319.364 3.150 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1ccc(Cl)o1)CC2 ZINC001233315776 1098284325 /nfs/dbraw/zinc/28/43/25/1098284325.db2.gz XWYBBVJXBFBNBF-KRWDZBQOSA-N 0 1 322.836 3.466 20 30 DGEDMN Cc1ccccc1O[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233386278 1098309981 /nfs/dbraw/zinc/30/99/81/1098309981.db2.gz NFZZAOVCTBCFLJ-KRWDZBQOSA-N 0 1 308.381 3.226 20 30 DGEDMN N#CC1CCN(Cc2c[nH]nc2-c2cc(F)cc(F)c2)CC1 ZINC001233402133 1098317958 /nfs/dbraw/zinc/31/79/58/1098317958.db2.gz DDKVJXLLTQBCNO-UHFFFAOYSA-N 0 1 302.328 3.090 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(=O)OCC)cc1Cl ZINC001233582930 1098382611 /nfs/dbraw/zinc/38/26/11/1098382611.db2.gz NDXFQWMINDEJIF-QMMMGPOBSA-N 0 1 310.733 3.115 20 30 DGEDMN Cc1cc(C)c(Nc2ccc(N3CCN(C)CC3)nc2)cc1C#N ZINC001176660339 1098411104 /nfs/dbraw/zinc/41/11/04/1098411104.db2.gz LIPRJKZXGBMZPM-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)NCc1cccc(OCCCC)c1 ZINC001176815691 1098439903 /nfs/dbraw/zinc/43/99/03/1098439903.db2.gz WPUKWHFKAKTMNC-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001277812168 1098442229 /nfs/dbraw/zinc/44/22/29/1098442229.db2.gz VXSYUJJOQMHXCG-ZIAGYGMSSA-N 0 1 322.399 3.266 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc(CC)cc2)C1 ZINC001204179627 1098444865 /nfs/dbraw/zinc/44/48/65/1098444865.db2.gz WECGRQQBNWRBDZ-QGZVFWFLSA-N 0 1 302.418 3.126 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001233946903 1098463764 /nfs/dbraw/zinc/46/37/64/1098463764.db2.gz FQXNZSNLZDOBOQ-FQEVSTJZSA-N 0 1 324.468 3.012 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccncc1C ZINC001234089993 1098493819 /nfs/dbraw/zinc/49/38/19/1098493819.db2.gz XEKYYJVRCALQRQ-QGZVFWFLSA-N 0 1 315.461 3.283 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc([C@H]2CC(=O)C=C(C)O2)cc1 ZINC001234157801 1098506605 /nfs/dbraw/zinc/50/66/05/1098506605.db2.gz BYBJDQPXQNAUOZ-MEDUHNTESA-N 0 1 314.337 3.219 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(F)(F)F)nc1Cl ZINC001234305358 1098533009 /nfs/dbraw/zinc/53/30/09/1098533009.db2.gz HKOWEOVKAUEDHE-RXMQYKEDSA-N 0 1 307.655 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2ccncc2s1 ZINC001277847169 1098536725 /nfs/dbraw/zinc/53/67/25/1098536725.db2.gz XALXQQVMIMHMHE-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C[C@H](CS)Oc1[nH]c(=O)nc2cc(C(F)(F)F)ccc21 ZINC001234412864 1098550513 /nfs/dbraw/zinc/55/05/13/1098550513.db2.gz WHJZOGAKLWTUHE-ZCFIWIBFSA-N 0 1 304.293 3.051 20 30 DGEDMN N#Cc1cc(CC(=O)Nc2c(Cl)ccc(O)c2Cl)ccn1 ZINC001177670966 1098575201 /nfs/dbraw/zinc/57/52/01/1098575201.db2.gz KOJHWRCBDJYVFN-UHFFFAOYSA-N 0 1 322.151 3.147 20 30 DGEDMN CC(C)[C@H](Oc1[nH]c(=O)nc2ccc(C#N)cc21)C(F)(F)F ZINC001234515996 1098577715 /nfs/dbraw/zinc/57/77/15/1098577715.db2.gz OKGNCQPGKLOHCV-NSHDSACASA-N 0 1 311.263 3.173 20 30 DGEDMN C=C[C@H](C#CC(C)(C)C)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234531327 1098585491 /nfs/dbraw/zinc/58/54/91/1098585491.db2.gz YMXYKHFSBQYPGE-CYBMUJFWSA-N 0 1 307.353 3.190 20 30 DGEDMN N#Cc1ccc2nc(=O)[nH]c(O[C@@H](c3cccnc3)C3CC3)c2c1 ZINC001234531273 1098585629 /nfs/dbraw/zinc/58/56/29/1098585629.db2.gz XBGKXVJXFMFREJ-MRXNPFEDSA-N 0 1 318.336 3.132 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CCCC[C@H](C)CC ZINC001234544740 1098592653 /nfs/dbraw/zinc/59/26/53/1098592653.db2.gz CDBNHLQIZRPORB-QZTJIDSGSA-N 0 1 324.509 3.328 20 30 DGEDMN C[C@H]1CCN(C(=O)C(C#N)Cc2cccs2)CCC1(F)F ZINC001177908455 1098645583 /nfs/dbraw/zinc/64/55/83/1098645583.db2.gz KXGNAPNEUFMKAZ-NWDGAFQWSA-N 0 1 312.385 3.324 20 30 DGEDMN N#Cc1cccc2c1CC[C@H]2NC(=O)C(C#N)Cc1cccs1 ZINC001177914916 1098646944 /nfs/dbraw/zinc/64/69/44/1098646944.db2.gz HKZZXWDYEHRFEQ-CXAGYDPISA-N 0 1 321.405 3.106 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC1C2CC3CC(C2)CC1C3 ZINC001177916505 1098648616 /nfs/dbraw/zinc/64/86/16/1098648616.db2.gz IDAJQUIHDJUXSK-RWUOKQAASA-N 0 1 314.454 3.371 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2ccccc2C=N[O-])C1 ZINC001234820314 1098671220 /nfs/dbraw/zinc/67/12/20/1098671220.db2.gz UZUVFUNLKSCPER-GOEBONIOSA-N 0 1 311.385 3.104 20 30 DGEDMN C=CCCC(=O)NCCCCCCNCc1coc(C2CC2)n1 ZINC001178007721 1098676783 /nfs/dbraw/zinc/67/67/83/1098676783.db2.gz IWCKHVBJENMELC-UHFFFAOYSA-N 0 1 319.449 3.284 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1CCC2(CC2)CC1 ZINC001277900813 1098684868 /nfs/dbraw/zinc/68/48/68/1098684868.db2.gz QZNGJEBBKNVVFL-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001277913913 1098734798 /nfs/dbraw/zinc/73/47/98/1098734798.db2.gz JSZSLCAQHUGIAU-WFASDCNBSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001277921848 1098782038 /nfs/dbraw/zinc/78/20/38/1098782038.db2.gz KAZNXHIAVZVYLE-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cccc(F)c2F)[C@@H]1C ZINC001178324138 1098796913 /nfs/dbraw/zinc/79/69/13/1098796913.db2.gz JDYNGXKPMAAATA-WFASDCNBSA-N 0 1 308.372 3.010 20 30 DGEDMN CN(C)c1cc(NC(=O)c2ccc(C#N)c(O)c2)ccc1Cl ZINC001178455234 1098838238 /nfs/dbraw/zinc/83/82/38/1098838238.db2.gz BKJABILTIRHPAU-UHFFFAOYSA-N 0 1 315.760 3.236 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1ncc(CC)o1 ZINC001178596510 1098868205 /nfs/dbraw/zinc/86/82/05/1098868205.db2.gz RGWHOCRLNNOOAZ-UHFFFAOYSA-N 0 1 321.465 3.360 20 30 DGEDMN COc1cc(CNCc2cnc(Cl)c(F)c2)ccc1C#N ZINC001178648089 1098895801 /nfs/dbraw/zinc/89/58/01/1098895801.db2.gz RAVPKIFLDFTGBU-UHFFFAOYSA-N 0 1 305.740 3.044 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2[C@@H](C)c2ccccc2)CCC1 ZINC001235223588 1098895817 /nfs/dbraw/zinc/89/58/17/1098895817.db2.gz COGRGMTYVJOJAH-PKOBYXMFSA-N 0 1 324.468 3.474 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(Br)cn2)cc1 ZINC001178758720 1098927373 /nfs/dbraw/zinc/92/73/73/1098927373.db2.gz GHTNXJHSURURGL-UHFFFAOYSA-N 0 1 301.187 3.115 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC2(C(F)(F)F)CC2)[C@H]1C ZINC001178871020 1098956086 /nfs/dbraw/zinc/95/60/86/1098956086.db2.gz WERYZJMBEBJFEY-QWRGUYRKSA-N 0 1 324.774 3.051 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC(C)(C)c1ccc(F)cc1 ZINC001235354647 1098974489 /nfs/dbraw/zinc/97/44/89/1098974489.db2.gz MKNFZRKXZMNZLY-KRWDZBQOSA-N 0 1 318.436 3.212 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179212645 1099037999 /nfs/dbraw/zinc/03/79/99/1099037999.db2.gz UNXTVPXCZQSZGA-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179212199 1099038567 /nfs/dbraw/zinc/03/85/67/1099038567.db2.gz HXJGGTXKFQRBQN-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCC(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001235452384 1099043376 /nfs/dbraw/zinc/04/33/76/1099043376.db2.gz YWGHTYWUBIHVHI-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCCC(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001235451659 1099043815 /nfs/dbraw/zinc/04/38/15/1099043815.db2.gz NUSVLGHBDCOXOD-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCNCc1ncc(CC)o1 ZINC001179235384 1099044230 /nfs/dbraw/zinc/04/42/30/1099044230.db2.gz PAKVURAQDUDWHG-UHFFFAOYSA-N 0 1 321.465 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCNCc1nc(C)oc1C ZINC001179235200 1099044499 /nfs/dbraw/zinc/04/44/99/1099044499.db2.gz JMDQYFFXCYHONI-UHFFFAOYSA-N 0 1 321.465 3.270 20 30 DGEDMN N#CCc1ccccc1CN1CCC(O)(c2ccccc2F)CC1 ZINC001235523158 1099071864 /nfs/dbraw/zinc/07/18/64/1099071864.db2.gz LIQYDGGXXOFBCC-UHFFFAOYSA-N 0 1 324.399 3.375 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001179384840 1099087781 /nfs/dbraw/zinc/08/77/81/1099087781.db2.gz XYAPUTPPWZXFER-WUTXIAKGSA-N 0 1 319.276 3.487 20 30 DGEDMN N#Cc1cc(F)c(CN(C2CCC2)C2CCOCC2)c(F)c1 ZINC001235661206 1099111128 /nfs/dbraw/zinc/11/11/28/1099111128.db2.gz MJAFKFOGJMYMDM-UHFFFAOYSA-N 0 1 306.356 3.370 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccc(O)c(OC(F)(F)F)c2)C1 ZINC001235671271 1099114402 /nfs/dbraw/zinc/11/44/02/1099114402.db2.gz SPLHQPIRTUNWOG-NSHDSACASA-N 0 1 314.307 3.416 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1CC[C@H](C2CC2)O1 ZINC001179690781 1099165663 /nfs/dbraw/zinc/16/56/63/1099165663.db2.gz MQDHLFIRIRNOBI-WMLDXEAASA-N 0 1 319.832 3.399 20 30 DGEDMN C=CCOCc1cccc(-c2ccc(N3CCN(C)CC3)nc2)c1 ZINC001235980160 1099308407 /nfs/dbraw/zinc/30/84/07/1099308407.db2.gz SDVFMTZXCNQTBF-UHFFFAOYSA-N 0 1 323.440 3.203 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1-c1ccc(C#N)cn1)N1CCCC1 ZINC001180581729 1099452700 /nfs/dbraw/zinc/45/27/00/1099452700.db2.gz CFNOVILSXGKRLP-CQSZACIVSA-N 0 1 320.396 3.043 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cc(C)c(F)c(C)c2)C1=O ZINC001273542035 1099529317 /nfs/dbraw/zinc/52/93/17/1099529317.db2.gz GIOKLYCENYQGHI-LJQANCHMSA-N 0 1 316.420 3.195 20 30 DGEDMN C=C[C@H](CC(=O)N1CC[C@@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001188040727 1099660445 /nfs/dbraw/zinc/66/04/45/1099660445.db2.gz VZAHSGPJHFURPJ-NVXWUHKLSA-N 0 1 318.848 3.289 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCC[C@H](C)CCC)[C@@H]2C1 ZINC001188042364 1099660698 /nfs/dbraw/zinc/66/06/98/1099660698.db2.gz BQUARBNQIGFAOJ-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCC[C@@H](C)CC)[C@@H]2C1 ZINC001188043965 1099662394 /nfs/dbraw/zinc/66/23/94/1099662394.db2.gz RXZHTAYKEBLSIJ-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1coc(NC(=O)c2cc(-c3ccccc3F)n[nH]2)c1C#N ZINC001188016962 1099667023 /nfs/dbraw/zinc/66/70/23/1099667023.db2.gz TUPFTHBIUPXTPG-UHFFFAOYSA-N 0 1 310.288 3.241 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001188033995 1099672691 /nfs/dbraw/zinc/67/26/91/1099672691.db2.gz VYEFIBARTKZDSQ-NJEVBOHQSA-N 0 1 308.853 3.040 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(C(F)F)c1 ZINC001277994911 1099732140 /nfs/dbraw/zinc/73/21/40/1099732140.db2.gz KSPLNFRVBIYOLE-LLVKDONJSA-N 0 1 316.779 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1CCC(C2CC2)CC1 ZINC001278003180 1099764392 /nfs/dbraw/zinc/76/43/92/1099764392.db2.gz QIUVXANINPENNT-JEYLPNPQSA-N 0 1 312.885 3.392 20 30 DGEDMN COC(=O)c1cc(F)cc(CN(C)Cc2ccc(C#N)cc2)c1 ZINC001236774792 1099779291 /nfs/dbraw/zinc/77/92/91/1099779291.db2.gz BQQQYKSWGLLTNH-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1ccc(C)s1 ZINC001278033912 1099894389 /nfs/dbraw/zinc/89/43/89/1099894389.db2.gz KXSDVWZTVIGXHM-LBPRGKRZSA-N 0 1 314.882 3.178 20 30 DGEDMN CCCCCC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001188906954 1099897857 /nfs/dbraw/zinc/89/78/57/1099897857.db2.gz SZCYPGJBIPKNMC-IBGZPJMESA-N 0 1 312.457 3.151 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)Cc2ccc(Cl)s2)C1 ZINC001189280293 1099999857 /nfs/dbraw/zinc/99/98/57/1099999857.db2.gz QTEGGGVHKUFEKC-GFCCVEGCSA-N 0 1 312.866 3.400 20 30 DGEDMN CCC[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189459680 1100062023 /nfs/dbraw/zinc/06/20/23/1100062023.db2.gz FMWHKNRXFLHFGW-UONOGXRCSA-N 0 1 319.474 3.089 20 30 DGEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)c1sccc1C1CC1 ZINC001273584281 1100093491 /nfs/dbraw/zinc/09/34/91/1100093491.db2.gz PXLYYZULPSALGZ-UHFFFAOYSA-N 0 1 304.459 3.043 20 30 DGEDMN CCC(=CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1)CC ZINC001189633403 1100102184 /nfs/dbraw/zinc/10/21/84/1100102184.db2.gz WJTPKQQJQSFXAW-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN COc1ccc2c(c1)n[nH]c2CN(CC#N)Cc1ccccc1 ZINC001237387615 1100105671 /nfs/dbraw/zinc/10/56/71/1100105671.db2.gz BLCXHGUSBXOVOJ-UHFFFAOYSA-N 0 1 306.369 3.097 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C[C@@H]1C=CCC1 ZINC001151806132 1100113166 /nfs/dbraw/zinc/11/31/66/1100113166.db2.gz IIFAVRJQGLAFMV-UYAOXDASSA-N 0 1 324.468 3.221 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189893941 1100156960 /nfs/dbraw/zinc/15/69/60/1100156960.db2.gz RCSZGFICYLZYGZ-ZIAGYGMSSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)N2CCN(C(C)(C)C)CC2)cc1 ZINC001190224457 1100219357 /nfs/dbraw/zinc/21/93/57/1100219357.db2.gz OOOHSUBJLNNZDD-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN N#Cc1cc(Cl)cc(CN(CCO)Cc2ccccc2)c1 ZINC001237754667 1100241417 /nfs/dbraw/zinc/24/14/17/1100241417.db2.gz YXCPTNSQYXIHQL-UHFFFAOYSA-N 0 1 300.789 3.206 20 30 DGEDMN C#CC[N@H+](C)Cc1cc(Br)cc(C(F)(F)F)c1[O-] ZINC001237791153 1100247087 /nfs/dbraw/zinc/24/70/87/1100247087.db2.gz HPIFEEJEZIZVKF-UHFFFAOYSA-N 0 1 322.124 3.239 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1cnc(CC)s1)C2 ZINC001237827210 1100259324 /nfs/dbraw/zinc/25/93/24/1100259324.db2.gz YWGGVFUWCRVPBH-WMLDXEAASA-N 0 1 322.474 3.032 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccc(F)cc2)C1 ZINC001190398628 1100260380 /nfs/dbraw/zinc/26/03/80/1100260380.db2.gz ZJAKXMZZPALZJH-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2sc(C)nc2C)C1 ZINC001190421914 1100270278 /nfs/dbraw/zinc/27/02/78/1100270278.db2.gz CPBSSXNPPOMBQA-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN C#CCN1CCN(Cc2ccc(COc3ccccc3)cc2)CC1 ZINC001237921851 1100294514 /nfs/dbraw/zinc/29/45/14/1100294514.db2.gz WNFCWKKAALCHCK-UHFFFAOYSA-N 0 1 320.436 3.016 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@](C)(O)c1ccc(F)cc1 ZINC001190708804 1100320183 /nfs/dbraw/zinc/32/01/83/1100320183.db2.gz VXXDXBLGPPAWGG-LJQANCHMSA-N 0 1 315.363 3.308 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001190742166 1100330345 /nfs/dbraw/zinc/33/03/45/1100330345.db2.gz AXOWRJNDJKKYFX-GUDVDZBRSA-N 0 1 316.489 3.149 20 30 DGEDMN N#Cc1cc(CN2CC[C@@H](COCC3CC3)C2)ccc1Cl ZINC001238198666 1100390147 /nfs/dbraw/zinc/39/01/47/1100390147.db2.gz MAILKECOJVTXTM-OAHLLOKOSA-N 0 1 304.821 3.460 20 30 DGEDMN COc1cc(CN2CC[C@@](CF)(C(F)(F)F)C2)ccc1C#N ZINC001238410865 1100435328 /nfs/dbraw/zinc/43/53/28/1100435328.db2.gz VGJNBOBLBAEPKQ-AWEZNQCLSA-N 0 1 316.298 3.291 20 30 DGEDMN COc1cc(CN2CC[C@](CF)(C(F)(F)F)C2)ccc1C#N ZINC001238410887 1100435496 /nfs/dbraw/zinc/43/54/96/1100435496.db2.gz VGJNBOBLBAEPKQ-CQSZACIVSA-N 0 1 316.298 3.291 20 30 DGEDMN COc1cc(CN2CCC(Oc3ccncc3)CC2)ccc1C#N ZINC001238409261 1100435881 /nfs/dbraw/zinc/43/58/81/1100435881.db2.gz DGFRQRZJYRNSIV-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)SC)C1CCCC1 ZINC001278233060 1100444409 /nfs/dbraw/zinc/44/44/09/1100444409.db2.gz PHBHWZHCGWKKDO-OCCSQVGLSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cocc1C)C1CCCC1 ZINC001278259008 1100489456 /nfs/dbraw/zinc/48/94/56/1100489456.db2.gz JESWERXDTKXYQM-HNNXBMFYSA-N 0 1 310.825 3.219 20 30 DGEDMN COc1ccc(-c2ccncc2)c(CN2CCC(C#N)CC2)c1 ZINC001238655393 1100491762 /nfs/dbraw/zinc/49/17/62/1100491762.db2.gz FTLVVNMWUUUXNF-UHFFFAOYSA-N 0 1 307.397 3.493 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2csc3ccccc23)C1 ZINC001191596128 1100493351 /nfs/dbraw/zinc/49/33/51/1100493351.db2.gz VUJXXPSKQFIMDH-CQSZACIVSA-N 0 1 312.438 3.071 20 30 DGEDMN CCc1cc(CN2CCN(Cc3ccccc3)CC2)ccc1C#N ZINC001238760865 1100521844 /nfs/dbraw/zinc/52/18/44/1100521844.db2.gz QOXWWUPWCFCWOU-UHFFFAOYSA-N 0 1 319.452 3.438 20 30 DGEDMN C[C@@H](C#N)c1ccc(-c2ccnc(N3CCN(C)CC3)c2)cc1 ZINC001238822796 1100540119 /nfs/dbraw/zinc/54/01/19/1100540119.db2.gz MBHLDYQQDILBFS-HNNXBMFYSA-N 0 1 306.413 3.127 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC001191927671 1100544385 /nfs/dbraw/zinc/54/43/85/1100544385.db2.gz CSINOLKFRXXHEU-MAUKXSAKSA-N 0 1 312.413 3.465 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001191913624 1100549001 /nfs/dbraw/zinc/54/90/01/1100549001.db2.gz ZSRBDRIHNLIXQE-DLBZAZTESA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC/C=C\c2ccccc2)C1 ZINC001192323635 1100604100 /nfs/dbraw/zinc/60/41/00/1100604100.db2.gz CRGDBZRPELKRAD-KEEQEYLASA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2C[C@@H](C)N[C@@H](C)C2)c1 ZINC001239288778 1100622237 /nfs/dbraw/zinc/62/22/37/1100622237.db2.gz VMQBTMUMGXJHHL-GASCZTMLSA-N 0 1 315.461 3.347 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001192561045 1100634437 /nfs/dbraw/zinc/63/44/37/1100634437.db2.gz WBGIPAVCZKEMAE-OAHLLOKOSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ccc(F)cc2)C1 ZINC001192586412 1100639152 /nfs/dbraw/zinc/63/91/52/1100639152.db2.gz OGHRKUOFRKGBMI-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCCCc2cccs2)C1 ZINC001192916831 1100675974 /nfs/dbraw/zinc/67/59/74/1100675974.db2.gz DMICPYHAPPIOJN-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CCc3ccc(Cl)cc3C2)c1 ZINC001192919629 1100676895 /nfs/dbraw/zinc/67/68/95/1100676895.db2.gz XEYPKUCQKFSFQM-GOSISDBHSA-N 0 1 312.800 3.303 20 30 DGEDMN N#CCS(=O)(=O)Nc1c(Cl)cccc1-c1ccccc1 ZINC001192986089 1100684042 /nfs/dbraw/zinc/68/40/42/1100684042.db2.gz UVTDFJAFVWTJAG-UHFFFAOYSA-N 0 1 306.774 3.272 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc(-c2ccccc2)cc1F ZINC001193158623 1100714227 /nfs/dbraw/zinc/71/42/27/1100714227.db2.gz SHJBTDHGHMSWAH-LLVKDONJSA-N 0 1 304.346 3.146 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001193365883 1100734318 /nfs/dbraw/zinc/73/43/18/1100734318.db2.gz GQLSXYNJGNCQSX-OLZOCXBDSA-N 0 1 324.877 3.061 20 30 DGEDMN CC(C)OCc1cccc(NC(=O)c2ccc(O)c(C#N)c2)c1 ZINC001193506799 1100757306 /nfs/dbraw/zinc/75/73/06/1100757306.db2.gz YKUVVVMFMKLSEI-UHFFFAOYSA-N 0 1 310.353 3.441 20 30 DGEDMN Cc1ccc2c(cccc2NC(=O)c2ccc(O)c(C#N)c2)n1 ZINC001193504319 1100757315 /nfs/dbraw/zinc/75/73/15/1100757315.db2.gz APNDKVPBNBWXAP-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN N#Cc1cc(F)c(NC(=O)c2ccc(O)c(C#N)c2)c(Cl)c1 ZINC001193506766 1100757619 /nfs/dbraw/zinc/75/76/19/1100757619.db2.gz XMMWGWXXSTYYJK-UHFFFAOYSA-N 0 1 315.691 3.180 20 30 DGEDMN Cc1cc(C)cc(OC[C@H](C)NC(=O)c2ccc(O)c(C#N)c2)c1 ZINC001193513002 1100759712 /nfs/dbraw/zinc/75/97/12/1100759712.db2.gz WQVKGSFBWHXISR-AWEZNQCLSA-N 0 1 324.380 3.078 20 30 DGEDMN CC1(CNC(=O)c2ccc(O)c(C#N)c2)CCC(F)(F)CC1 ZINC001193519121 1100760110 /nfs/dbraw/zinc/76/01/10/1100760110.db2.gz RAMRYQWALYNJKA-UHFFFAOYSA-N 0 1 308.328 3.209 20 30 DGEDMN COc1ccc([C@H](C)N(C)C(=O)c2cccc(C#N)c2O)cc1 ZINC001193646387 1100783156 /nfs/dbraw/zinc/78/31/56/1100783156.db2.gz IZYUNGIAEXEGPF-LBPRGKRZSA-N 0 1 310.353 3.106 20 30 DGEDMN Cc1cc(O)cc(O)c1C(=O)Nc1sc2c(c1C#N)CCC2 ZINC001193922646 1100843021 /nfs/dbraw/zinc/84/30/21/1100843021.db2.gz MOFNNVNHINDWTC-UHFFFAOYSA-N 0 1 314.366 3.080 20 30 DGEDMN C=C[C@H](C(=O)N1CC[C@H](N(C)C/C=C/Cl)C1)c1ccccc1 ZINC001194184065 1100886827 /nfs/dbraw/zinc/88/68/27/1100886827.db2.gz YETQDRVLNOIZOV-LWBCESNNSA-N 0 1 318.848 3.241 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001194324631 1100912539 /nfs/dbraw/zinc/91/25/39/1100912539.db2.gz FPBWGORBRQKJDH-LPHOPBHVSA-N 0 1 312.457 3.370 20 30 DGEDMN N#CCc1ccc(-c2cccc(CCN3CCOCC3)c2)cc1 ZINC001240265505 1100915298 /nfs/dbraw/zinc/91/52/98/1100915298.db2.gz JKYCOEBBJVNQAK-UHFFFAOYSA-N 0 1 306.409 3.294 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001194358460 1100918546 /nfs/dbraw/zinc/91/85/46/1100918546.db2.gz HMGQLHIVHHICQW-PKOBYXMFSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCc2ccccc2Cl)CC1 ZINC001195233650 1101094653 /nfs/dbraw/zinc/09/46/53/1101094653.db2.gz YGYJYRUFHITTPV-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN COc1ccc2nc(NC(=O)c3cc(C)cc(C#N)c3)[nH]c2c1 ZINC001195402060 1101127940 /nfs/dbraw/zinc/12/79/40/1101127940.db2.gz RITUSCMZMZCTQW-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C#CCCCCC(=O)N1CCCN(C/C=C/c2ccccc2)CC1 ZINC001195540950 1101155793 /nfs/dbraw/zinc/15/57/93/1101155793.db2.gz JSRJQUFNQVHXCT-UKTHLTGXSA-N 0 1 324.468 3.428 20 30 DGEDMN Cc1cc(-c2ccc(N3CCN(C)CC3)cc2)ccc1CC#N ZINC001240855903 1101160047 /nfs/dbraw/zinc/16/00/47/1101160047.db2.gz XRQIJJAJPWPRFF-UHFFFAOYSA-N 0 1 305.425 3.480 20 30 DGEDMN Cc1cc(CC#N)ccc1-c1ccc(N2CCN(C)CC2)cc1 ZINC001240855361 1101160528 /nfs/dbraw/zinc/16/05/28/1101160528.db2.gz MIALJPCHFQZUIK-UHFFFAOYSA-N 0 1 305.425 3.480 20 30 DGEDMN Cc1c(C)c(NC(=O)c2ccc(C#N)cc2O)c(C)c(C)c1N ZINC001195759222 1101190425 /nfs/dbraw/zinc/19/04/25/1101190425.db2.gz ZVMGCFOMLAZYKQ-UHFFFAOYSA-N 0 1 309.369 3.332 20 30 DGEDMN COc1ccc2ccc(NC(=O)c3ccc(C#N)cc3O)cc2n1 ZINC001195758786 1101190909 /nfs/dbraw/zinc/19/09/09/1101190909.db2.gz SWZFHXAIKWGFAQ-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN CN(C(=O)c1ccc(C#N)cc1O)[C@@H](C1CCC1)C(F)(F)F ZINC001195750041 1101196988 /nfs/dbraw/zinc/19/69/88/1101196988.db2.gz COJYVJWZZXJMPR-ZDUSSCGKSA-N 0 1 312.291 3.067 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3[nH]nc(Cl)c3c2)c(O)c1 ZINC001195751357 1101198585 /nfs/dbraw/zinc/19/85/85/1101198585.db2.gz SVUQRIJPNZAXAJ-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(-c2ccc(F)cc2F)cc1 ZINC001195814602 1101198625 /nfs/dbraw/zinc/19/86/25/1101198625.db2.gz JZMODYDIVLASMU-UHFFFAOYSA-N 0 1 324.290 3.479 20 30 DGEDMN C#CCC1(C(=O)N2CCCN(Cc3cccc(C)c3)CC2)CCC1 ZINC001196139080 1101254698 /nfs/dbraw/zinc/25/46/98/1101254698.db2.gz RAALWVJNFWXQPI-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN N#Cc1ccc(CN[C@H](CO)c2cccc(C(F)(F)F)c2)cc1 ZINC001196927930 1101426516 /nfs/dbraw/zinc/42/65/16/1101426516.db2.gz XKLCFECLLSFUJV-MRXNPFEDSA-N 0 1 320.314 3.400 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCN(Cc2cccnc2C)CC1 ZINC001196901635 1101431996 /nfs/dbraw/zinc/43/19/96/1101431996.db2.gz QHVYOBOCJBPPDH-QGZVFWFLSA-N 0 1 315.461 3.027 20 30 DGEDMN C=CCCC1(C(=O)N2CCC[N@H+](CCOCCCC)CC2)CC1 ZINC001197062366 1101466909 /nfs/dbraw/zinc/46/69/09/1101466909.db2.gz OYHNIVXIIGCTRL-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCC1(C(=O)N2CCCN(CCOCCCC)CC2)CC1 ZINC001197062366 1101466918 /nfs/dbraw/zinc/46/69/18/1101466918.db2.gz OYHNIVXIIGCTRL-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN COc1ccnc(C2=CCN(Cc3ccccc3)CC2)c1C#N ZINC001241501412 1101512661 /nfs/dbraw/zinc/51/26/61/1101512661.db2.gz DXAYNGNSUABHEQ-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[N@H+](CCOCCC(C)C)CC1 ZINC001197278707 1101527836 /nfs/dbraw/zinc/52/78/36/1101527836.db2.gz ZIXJQSQKYPYROJ-UHFFFAOYSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001197278707 1101527848 /nfs/dbraw/zinc/52/78/48/1101527848.db2.gz ZIXJQSQKYPYROJ-UHFFFAOYSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC001197278645 1101528557 /nfs/dbraw/zinc/52/85/57/1101528557.db2.gz XTRNOLNPKCDAMP-QGZVFWFLSA-N 0 1 304.409 3.198 20 30 DGEDMN Cc1cc(C#N)c(NC(=O)c2cc(C)n(-c3ccncc3)n2)s1 ZINC001197527230 1101579509 /nfs/dbraw/zinc/57/95/09/1101579509.db2.gz IOELCXSYZNBKNQ-UHFFFAOYSA-N 0 1 323.381 3.070 20 30 DGEDMN C=CCCCCCN1CCCN(C(=O)c2nccs2)CC1 ZINC001197528458 1101580891 /nfs/dbraw/zinc/58/08/91/1101580891.db2.gz YUCFIPDJYURXDC-UHFFFAOYSA-N 0 1 307.463 3.037 20 30 DGEDMN CCOC(=O)c1cc(C#N)c(C)nc1-c1ccc2c(C)[nH]nc2c1 ZINC001241636389 1101596463 /nfs/dbraw/zinc/59/64/63/1101596463.db2.gz FXUMNXJJDXMBGR-UHFFFAOYSA-N 0 1 320.352 3.290 20 30 DGEDMN CN1CCc2ccc(NC(=S)Nc3cccc(C#N)c3)cc2C1 ZINC001197724273 1101652269 /nfs/dbraw/zinc/65/22/69/1101652269.db2.gz ZTSAQEPMBNKEBR-UHFFFAOYSA-N 0 1 322.437 3.355 20 30 DGEDMN C=CCCC[N@H+]1CCCN(C(=O)c2occ3c2CCCC3)CC1 ZINC001197943387 1101709685 /nfs/dbraw/zinc/70/96/85/1101709685.db2.gz SRFMOYQPUOUVDW-UHFFFAOYSA-N 0 1 316.445 3.273 20 30 DGEDMN CCCCCCCCN1CCOC[C@@H]1[C@@H]1CC[C@H](COC)O1 ZINC001198050680 1101735950 /nfs/dbraw/zinc/73/59/50/1101735950.db2.gz JINAHXURGSCAHL-KURKYZTESA-N 0 1 313.482 3.242 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C/c1ccccc1 ZINC001110500627 1101739573 /nfs/dbraw/zinc/73/95/73/1101739573.db2.gz HNTXLEUJBZLSKF-GQUDPMCRSA-N 0 1 322.452 3.225 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2cc(C)ccc2C)CC1 ZINC001198204931 1101777837 /nfs/dbraw/zinc/77/78/37/1101777837.db2.gz OMOAOLGTIICGHP-UHFFFAOYSA-N 0 1 314.473 3.346 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C1CCCCC1)c1ccccc1OC ZINC001198500285 1101880231 /nfs/dbraw/zinc/88/02/31/1101880231.db2.gz XYKNOQSQOSFKEU-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CCC(C)C)c1ccccc1OC ZINC001198514986 1101904097 /nfs/dbraw/zinc/90/40/97/1101904097.db2.gz ISJQVLLURAPLMS-QGZVFWFLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)/C(C)=C/CC)c1ccccc1OC ZINC001198584905 1101930817 /nfs/dbraw/zinc/93/08/17/1101930817.db2.gz NAACSUFWMICMPQ-NQUDRAQSSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@H](CNC(=O)C1CC(C)(C)C1)c1ccccc1OC ZINC001198705914 1101967488 /nfs/dbraw/zinc/96/74/88/1101967488.db2.gz SSYCLTWZQKVYBH-MRXNPFEDSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](C)C(C)(C)C)c1ccccc1OC ZINC001198777323 1101986282 /nfs/dbraw/zinc/98/62/82/1101986282.db2.gz YEVLEPQILBINLH-HOCLYGCPSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC(C)=C(C)C)c1ccccc1OC ZINC001198834180 1101990801 /nfs/dbraw/zinc/99/08/01/1101990801.db2.gz JQSFITBJSCVOPP-KRWDZBQOSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(C)C1CC1)c1ccccc1OC ZINC001198856799 1102004722 /nfs/dbraw/zinc/00/47/22/1102004722.db2.gz JPAFJAJTRJRVQJ-INIZCTEOSA-N 0 1 316.445 3.064 20 30 DGEDMN O=c1[nH]cc(-c2cc(C=NO)cc(C(F)(F)F)c2)cc1F ZINC001242616251 1102243149 /nfs/dbraw/zinc/24/31/49/1102243149.db2.gz MEJRQFVUVPCRCY-PTXOJBNSSA-N 0 1 300.211 3.420 20 30 DGEDMN O=C(CCCC1CCCC1)NCCNCC#Cc1ccccc1 ZINC001152684548 1102255791 /nfs/dbraw/zinc/25/57/91/1102255791.db2.gz PWVWGAXDZNTWNC-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN CCc1nc(C)c(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)[nH]1 ZINC001203246456 1102284898 /nfs/dbraw/zinc/28/48/98/1102284898.db2.gz HMRMKGIHZMTGHM-QGZVFWFLSA-N 0 1 324.428 3.196 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)OCCCCCC)C2 ZINC001110558698 1102443980 /nfs/dbraw/zinc/44/39/80/1102443980.db2.gz RKOPGWMUYVZCAE-TVFCKZIOSA-N 0 1 322.493 3.269 20 30 DGEDMN CCCc1ccnc(NS(=O)(=O)c2ccc(C)c(C#N)c2)c1 ZINC001201296153 1102547255 /nfs/dbraw/zinc/54/72/55/1102547255.db2.gz CYFVHGSJIWSIBL-UHFFFAOYSA-N 0 1 315.398 3.015 20 30 DGEDMN CCCCCCCN1C[C@@H](C(=O)OCC)Cn2nccc2[C@@H]1C ZINC001201798001 1102729639 /nfs/dbraw/zinc/72/96/39/1102729639.db2.gz KOCOAIHTVJZWGM-JKSUJKDBSA-N 0 1 321.465 3.409 20 30 DGEDMN CCCCCCCCCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001201840120 1102745182 /nfs/dbraw/zinc/74/51/82/1102745182.db2.gz VDLOHFFGBYRICP-KRWDZBQOSA-N 0 1 310.482 3.060 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(OC)cc3C#N)cc2[nH]1 ZINC001243730471 1102946380 /nfs/dbraw/zinc/94/63/80/1102946380.db2.gz GUTQZXMOMGCJRS-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@@H](C)c1ccccc1)C2 ZINC001111107545 1103047031 /nfs/dbraw/zinc/04/70/31/1103047031.db2.gz YTDYRPWLXHTGSR-AFYVEPGGSA-N 0 1 324.468 3.315 20 30 DGEDMN N#CC1(c2ccc(-c3cccc4nc[nH]c43)nc2)CCOCC1 ZINC001243931319 1103061153 /nfs/dbraw/zinc/06/11/53/1103061153.db2.gz RYJOZWMKCSJJFE-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN COc1ccnc(Br)c1N=C1CCC(C#N)CC1 ZINC001212857401 1103073064 /nfs/dbraw/zinc/07/30/64/1103073064.db2.gz IJLGIVWVBVXGKQ-SECBINFHSA-N 0 1 308.179 3.472 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1(C2CCC2)CCC1 ZINC001488645967 1103078214 /nfs/dbraw/zinc/07/82/14/1103078214.db2.gz WYUBGJWQOKCMQW-KRWDZBQOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)/C=C(\C)CC)C2 ZINC001325618211 1103082412 /nfs/dbraw/zinc/08/24/12/1103082412.db2.gz SNSZJTYNIMOOQT-NOFFMGQBSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)/C=C(/C)CC)C2 ZINC001325618212 1103083817 /nfs/dbraw/zinc/08/38/17/1103083817.db2.gz SNSZJTYNIMOOQT-SZWNBQCUSA-N 0 1 310.869 3.454 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1C[C@H]1Cc1ccccc1)C2 ZINC001095800969 1103155657 /nfs/dbraw/zinc/15/56/57/1103155657.db2.gz GRUWIVYOWQBCRK-RMMWZPCPSA-N 0 1 324.468 3.163 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](F)CCCCCC)C2 ZINC001111278269 1103168758 /nfs/dbraw/zinc/16/87/58/1103168758.db2.gz DKHPGUVUAKFZHN-VQHPVUNQSA-N 0 1 308.441 3.040 20 30 DGEDMN CCOC(=O)C[C@@H]1CCCN1Cc1ccc(C#N)cc1Cl ZINC001326071362 1103199649 /nfs/dbraw/zinc/19/96/49/1103199649.db2.gz NGZDQACGDIPROU-AWEZNQCLSA-N 0 1 306.793 3.129 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1cnc(C2CC2)[nH]1 ZINC001326070446 1103199738 /nfs/dbraw/zinc/19/97/38/1103199738.db2.gz GBJNFJDXDJEVBI-UHFFFAOYSA-N 0 1 311.385 3.437 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCCCCCC)C2 ZINC001111315764 1103205506 /nfs/dbraw/zinc/20/55/06/1103205506.db2.gz LKYGVHPNZTYFHM-KURKYZTESA-N 0 1 304.478 3.482 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1-c1ccc(CC#N)cc1 ZINC001244158736 1103241944 /nfs/dbraw/zinc/24/19/44/1103241944.db2.gz LJZPOWDUUMPTKB-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1[nH]c(C)cc1C ZINC001489038516 1103244369 /nfs/dbraw/zinc/24/43/69/1103244369.db2.gz OOXFFLKRADQYRY-ABAIWWIYSA-N 0 1 323.868 3.214 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[N@@H+](Cc2cccnc2)[C@@H]1CC ZINC001489117475 1103279924 /nfs/dbraw/zinc/27/99/24/1103279924.db2.gz WARNLVHTZXRRMU-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001489117475 1103279928 /nfs/dbraw/zinc/27/99/28/1103279928.db2.gz WARNLVHTZXRRMU-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CCCc2sccc2C)[C@H]1C ZINC001489307824 1103345916 /nfs/dbraw/zinc/34/59/16/1103345916.db2.gz UUDOSWPSTUGHPB-CABCVRRESA-N 0 1 306.475 3.144 20 30 DGEDMN Cc1n[nH]c(C2CC2)c1-c1nc(-c2ccc(C#N)c(C)c2)no1 ZINC001212959684 1103393141 /nfs/dbraw/zinc/39/31/41/1103393141.db2.gz XCOZOYSVBTXXLU-UHFFFAOYSA-N 0 1 305.341 3.493 20 30 DGEDMN C#Cc1ccc(CNCc2cccc3c2OC(F)(F)O3)cc1 ZINC001202474750 1103396369 /nfs/dbraw/zinc/39/63/69/1103396369.db2.gz JHLWWRDUVQSKCF-UHFFFAOYSA-N 0 1 301.292 3.279 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)c3ccccc3F)C[C@H]21 ZINC001114748043 1103424020 /nfs/dbraw/zinc/42/40/20/1103424020.db2.gz LWUAGNCJHRPIST-RGPZOAQRSA-N 0 1 316.420 3.289 20 30 DGEDMN C=C[C@H](COC)NCc1cn(-c2ccccc2Cl)nc1C ZINC001326845617 1103460726 /nfs/dbraw/zinc/46/07/26/1103460726.db2.gz JERQBPGRHYHQAB-CQSZACIVSA-N 0 1 305.809 3.125 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(C(F)(F)F)CCC2)CCC1 ZINC001326845953 1103461057 /nfs/dbraw/zinc/46/10/57/1103461057.db2.gz XTYMYRAZKCZTHT-UHFFFAOYSA-N 0 1 324.774 3.100 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001327125267 1103537468 /nfs/dbraw/zinc/53/74/68/1103537468.db2.gz HUDKMNIYLMGJES-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN N#Cc1nc(-c2ccc(CO)c(C(F)(F)F)c2)cc2[nH]cnc21 ZINC001244584734 1103542120 /nfs/dbraw/zinc/54/21/20/1103542120.db2.gz FGZHPOQWDYJJGH-UHFFFAOYSA-N 0 1 318.258 3.008 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001327200218 1103581142 /nfs/dbraw/zinc/58/11/42/1103581142.db2.gz JQGNOEUSHMEOOM-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C1(C)CCC1 ZINC001327245539 1103599313 /nfs/dbraw/zinc/59/93/13/1103599313.db2.gz LRINSWKBBAFSHG-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001490440636 1103604641 /nfs/dbraw/zinc/60/46/41/1103604641.db2.gz ORTZGCBTROHWLO-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C/C=C/Cc2ccccc2)CC1 ZINC001490408816 1103612491 /nfs/dbraw/zinc/61/24/91/1103612491.db2.gz BOPNIOMDECEBQD-CMDGGOBGSA-N 0 1 324.468 3.122 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2nccs2)CCCCC1 ZINC001115556955 1103621229 /nfs/dbraw/zinc/62/12/29/1103621229.db2.gz LPFBUQSQPDTXAX-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001490548081 1103645657 /nfs/dbraw/zinc/64/56/57/1103645657.db2.gz OAFYRDCINARXAX-MRXNPFEDSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001490558176 1103654921 /nfs/dbraw/zinc/65/49/21/1103654921.db2.gz BPHWYXFKGMOXCK-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)cs2)CC1 ZINC001490617757 1103682030 /nfs/dbraw/zinc/68/20/30/1103682030.db2.gz ZMZOPBCRDYBJBK-UHFFFAOYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1nc(C)sc1C)C1CC1 ZINC001490670431 1103690943 /nfs/dbraw/zinc/69/09/43/1103690943.db2.gz CVKWPGZUOMLZBB-MRXNPFEDSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)CCc1ccc(C)cc1)C1CC1 ZINC001490685913 1103704374 /nfs/dbraw/zinc/70/43/74/1103704374.db2.gz JOUSAYJJKYNICD-KRWDZBQOSA-N 0 1 320.864 3.165 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(F)ccc(F)c1F)C2 ZINC001095942098 1103706490 /nfs/dbraw/zinc/70/64/90/1103706490.db2.gz NMZSYUWRGMJHRM-SWHYSGLUSA-N 0 1 324.346 3.015 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCc2ccoc2)C1 ZINC001490756566 1103728395 /nfs/dbraw/zinc/72/83/95/1103728395.db2.gz TXUFYVPKPGNFIA-INIZCTEOSA-N 0 1 324.852 3.135 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1-c1cccc(CN(C)C)c1F ZINC001244862288 1103729120 /nfs/dbraw/zinc/72/91/20/1103729120.db2.gz BKTGZFDSFDKOBP-UHFFFAOYSA-N 0 1 312.344 3.213 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)ccc1Cl)C2 ZINC001096058972 1103743167 /nfs/dbraw/zinc/74/31/67/1103743167.db2.gz NMDBHQQYWHEABJ-KCXAZCMYSA-N 0 1 322.811 3.390 20 30 DGEDMN CCC/C=C/CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001490792629 1103746161 /nfs/dbraw/zinc/74/61/61/1103746161.db2.gz KLOXJIVBWAOQOD-BNPWSIICSA-N 0 1 316.489 3.458 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(F)c1Cl)C2 ZINC001096064855 1103748016 /nfs/dbraw/zinc/74/80/16/1103748016.db2.gz CMXAPTGKRKSXCW-UGFHNGPFSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc(C)s1)C2 ZINC001096133182 1103763210 /nfs/dbraw/zinc/76/32/10/1103763210.db2.gz CILSQWXBTQROQT-SUJAAXHWSA-N 0 1 316.470 3.068 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc3ccccc31)C2 ZINC001096405966 1103824682 /nfs/dbraw/zinc/82/46/82/1103824682.db2.gz JYYORDDWTDKUOD-HOJAQTOUSA-N 0 1 320.436 3.290 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccsc1C(F)F)C2 ZINC001096446417 1103835129 /nfs/dbraw/zinc/83/51/29/1103835129.db2.gz ZROWQZRLDGAEPZ-WXHSDQCUSA-N 0 1 324.396 3.044 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cccc(F)c2F)C[C@@H]1C ZINC001278396504 1103837419 /nfs/dbraw/zinc/83/74/19/1103837419.db2.gz BEBDJUFZBGGGAM-DZGCQCFKSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C[C@@H](F)CC)CC1(C)C ZINC001328101007 1103843301 /nfs/dbraw/zinc/84/33/01/1103843301.db2.gz DDPGQVRQJQQPOX-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001111436670 1103922367 /nfs/dbraw/zinc/92/23/67/1103922367.db2.gz SEUHNYMQXICBCG-MKXGPGLRSA-N 0 1 310.441 3.254 20 30 DGEDMN C[C@H]1C(=O)CCN(Cc2ccc(OCC#N)cc2)C12CCCC2 ZINC001137123969 1103996600 /nfs/dbraw/zinc/99/66/00/1103996600.db2.gz JMCZYQFAQYFJFL-HNNXBMFYSA-N 0 1 312.413 3.313 20 30 DGEDMN N#Cc1c2ccccc2cnc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245418188 1104039452 /nfs/dbraw/zinc/03/94/52/1104039452.db2.gz IWAOOUSSUSUNAM-QGZVFWFLSA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1cc3ccccc3[nH]c1=O)CC2 ZINC001137181556 1104040708 /nfs/dbraw/zinc/04/07/08/1104040708.db2.gz RJVHOKOBFYCEJF-UHFFFAOYSA-N 0 1 315.376 3.370 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccn(C(C)C)c1C ZINC001328753174 1104054136 /nfs/dbraw/zinc/05/41/36/1104054136.db2.gz PNWONLNRRDQMCB-INIZCTEOSA-N 0 1 303.450 3.100 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CN[C@@H](C)c1ccccc1Cl ZINC001273981344 1104082700 /nfs/dbraw/zinc/08/27/00/1104082700.db2.gz CZBVHMUYBOOKTG-CDNLZTBQSA-N 0 1 318.848 3.467 20 30 DGEDMN Cc1cccc(C(=O)N[C@H](C)[C@@H](C)NCc2ccccc2C#N)c1 ZINC001328979001 1104113598 /nfs/dbraw/zinc/11/35/98/1104113598.db2.gz XPIUUOXVWBNXNC-HZPDHXFCSA-N 0 1 321.424 3.163 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001328986190 1104115063 /nfs/dbraw/zinc/11/50/63/1104115063.db2.gz FBADONAUPIFXBV-UONOGXRCSA-N 0 1 319.424 3.260 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)cs1 ZINC001329048022 1104135726 /nfs/dbraw/zinc/13/57/26/1104135726.db2.gz LLEPJRCANSBBGC-STQMWFEESA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(C(C)C)n1 ZINC001329072739 1104144482 /nfs/dbraw/zinc/14/44/82/1104144482.db2.gz FXHCXRUODKQTDD-OLZOCXBDSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCCc1ccccc1 ZINC001329105560 1104154934 /nfs/dbraw/zinc/15/49/34/1104154934.db2.gz ACEMPWYTMRMKGN-LSDHHAIUSA-N 0 1 308.853 3.245 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(F)F)cc1 ZINC001329148834 1104170151 /nfs/dbraw/zinc/17/01/51/1104170151.db2.gz SHBJUECTSJBRON-MNOVXSKESA-N 0 1 316.779 3.473 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C(C)(C)Cc1ccccc1 ZINC001329168049 1104176700 /nfs/dbraw/zinc/17/67/00/1104176700.db2.gz MFNRRIIVMIVCIW-HUUCEWRRSA-N 0 1 322.880 3.491 20 30 DGEDMN Cc1cc(-c2noc(-c3cc(C(F)(F)F)n[nH]3)n2)ccc1C#N ZINC001212815386 1104220279 /nfs/dbraw/zinc/22/02/79/1104220279.db2.gz FKVGSDPGPDBJIY-UHFFFAOYSA-N 0 1 319.246 3.326 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001274069699 1104239777 /nfs/dbraw/zinc/23/97/77/1104239777.db2.gz XEWLTSNLJHGBPV-VOTSOKGWSA-N 0 1 318.436 3.498 20 30 DGEDMN N#Cc1ccc(NC(=S)Nc2ncc(Cl)cc2O)cc1 ZINC001245875590 1104279840 /nfs/dbraw/zinc/27/98/40/1104279840.db2.gz DPARJSCMJQHNSS-UHFFFAOYSA-N 0 1 304.762 3.121 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001329540017 1104307538 /nfs/dbraw/zinc/30/75/38/1104307538.db2.gz KHUUCBPOWDJXHM-IRXDYDNUSA-N 0 1 314.473 3.202 20 30 DGEDMN COc1cccc(Cc2cc(=O)n(-c3cccc(C#N)c3)[nH]2)c1 ZINC001245940670 1104326138 /nfs/dbraw/zinc/32/61/38/1104326138.db2.gz XUQJPWFKMFBFRR-UHFFFAOYSA-N 0 1 305.337 3.049 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cc(F)ccc1Cl ZINC001274263488 1104338849 /nfs/dbraw/zinc/33/88/49/1104338849.db2.gz QVORXAHWZGZNTI-WAYWQWQTSA-N 0 1 324.827 3.453 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1oc2ccccc2c1CC ZINC001329628021 1104351994 /nfs/dbraw/zinc/35/19/94/1104351994.db2.gz MGFGLWVDXOYFJF-AWEZNQCLSA-N 0 1 312.413 3.069 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCC[C@@H](C)CC)C(C)(C)C1 ZINC001274434249 1104385494 /nfs/dbraw/zinc/38/54/94/1104385494.db2.gz CDWGYBPBGBPTKS-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN CC[C@H](C)CCC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001274457694 1104391315 /nfs/dbraw/zinc/39/13/15/1104391315.db2.gz QFVPBFGGPCIAHE-DVBCCOPCSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC001274624459 1104425652 /nfs/dbraw/zinc/42/56/52/1104425652.db2.gz RCVXUKMWQNCKQE-KGLIPLIRSA-N 0 1 321.490 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001330032657 1104457976 /nfs/dbraw/zinc/45/79/76/1104457976.db2.gz VUGSBNNKKLTNID-CQSZACIVSA-N 0 1 318.436 3.283 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1cccc(OC)c1Cl ZINC001274773257 1104485146 /nfs/dbraw/zinc/48/51/46/1104485146.db2.gz JRYVQBJNWRHRAG-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN CCc1nc([C@H](C)OC(=O)C[C@@H](CC#N)c2ccccc2)n[nH]1 ZINC001330217645 1104511197 /nfs/dbraw/zinc/51/11/97/1104511197.db2.gz YTGZDSFDFPFAFD-GXTWGEPZSA-N 0 1 312.373 3.059 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CCC(F)F ZINC001274848901 1104518711 /nfs/dbraw/zinc/51/87/11/1104518711.db2.gz WWJYNOIJRNWMPC-MRXNPFEDSA-N 0 1 322.399 3.323 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1cc(F)ccc1OC ZINC001274878489 1104532237 /nfs/dbraw/zinc/53/22/37/1104532237.db2.gz ZFJQPXAAGZOYCL-CYBMUJFWSA-N 0 1 322.424 3.031 20 30 DGEDMN CCCCCCCCN1CC2(CCN2Cc2cccc(=O)[nH]2)C1 ZINC001275131072 1104642251 /nfs/dbraw/zinc/64/22/51/1104642251.db2.gz NFULYNBSLDWIRW-UHFFFAOYSA-N 0 1 317.477 3.408 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](CCC)c1ccccn1 ZINC001275135595 1104643909 /nfs/dbraw/zinc/64/39/09/1104643909.db2.gz LFUNBHFIQLJKQK-DLBZAZTESA-N 0 1 315.461 3.074 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](C)C1CCCCC1 ZINC001275150667 1104652366 /nfs/dbraw/zinc/65/23/66/1104652366.db2.gz LDCZCAVZBLKNNN-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C[C@@H](CC)C(C)(C)C ZINC001275161074 1104657736 /nfs/dbraw/zinc/65/77/36/1104657736.db2.gz XWGLAUWOXSJAET-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](CC)C(C)(C)C ZINC001275161074 1104657739 /nfs/dbraw/zinc/65/77/39/1104657739.db2.gz XWGLAUWOXSJAET-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1cccc(C#N)c1)[C@@H]1CNC(=O)C1 ZINC001246887374 1104724338 /nfs/dbraw/zinc/72/43/38/1104724338.db2.gz MNRYLIICJSGXDX-KXBFYZLASA-N 0 1 319.408 3.010 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1Cl ZINC001275394288 1104745327 /nfs/dbraw/zinc/74/53/27/1104745327.db2.gz KDGIOXCOCOBWKQ-CHWSQXEVSA-N 0 1 324.827 3.121 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001275399078 1104745952 /nfs/dbraw/zinc/74/59/52/1104745952.db2.gz ACAALJLIOPAOHW-HOTGVXAUSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@@H](CC)CC(C)C ZINC001275399664 1104747368 /nfs/dbraw/zinc/74/73/68/1104747368.db2.gz NORXNRSUMQHFDI-STQMWFEESA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2c(s1)CCCC2 ZINC001275434836 1104758408 /nfs/dbraw/zinc/75/84/08/1104758408.db2.gz MHZRHJXSMANMCZ-NSHDSACASA-N 0 1 312.866 3.087 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1oc(CC)nc1C ZINC001275460943 1104765659 /nfs/dbraw/zinc/76/56/59/1104765659.db2.gz MXJYBGMRGTVKKB-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001275461814 1104766974 /nfs/dbraw/zinc/76/69/74/1104766974.db2.gz RLXRXZBZXWDETE-NWDGAFQWSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001275481890 1104773406 /nfs/dbraw/zinc/77/34/06/1104773406.db2.gz NOKSYSKOHDCIGU-ZIAGYGMSSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2[nH]ccc21 ZINC001275489980 1104777034 /nfs/dbraw/zinc/77/70/34/1104777034.db2.gz AXNPNODNUJJZAT-RYUDHWBXSA-N 0 1 305.809 3.017 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CCC)CC1CCCC1 ZINC001275666055 1104855130 /nfs/dbraw/zinc/85/51/30/1104855130.db2.gz RHQFMPIMJXCPDO-SJLPKXTDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001275730393 1104885049 /nfs/dbraw/zinc/88/50/49/1104885049.db2.gz SOZUVAJLYLUDFH-QAPCUYQASA-N 0 1 318.436 3.025 20 30 DGEDMN CC(C)NCc1nc(-c2cc(Cl)c(C#N)cc2Cl)no1 ZINC001247455815 1104906236 /nfs/dbraw/zinc/90/62/36/1104906236.db2.gz DNFKLIGLXHONLZ-UHFFFAOYSA-N 0 1 311.172 3.413 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001275849126 1104931351 /nfs/dbraw/zinc/93/13/51/1104931351.db2.gz BQHUWEBDDCQNLL-KBAYOESNSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCNC(=S)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001247594982 1104935385 /nfs/dbraw/zinc/93/53/85/1104935385.db2.gz KNBXOTOJNUUROE-CVEARBPZSA-N 0 1 317.502 3.032 20 30 DGEDMN C=CCCNC(=S)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001247636022 1104946364 /nfs/dbraw/zinc/94/63/64/1104946364.db2.gz KNBXOTOJNUUROE-HZPDHXFCSA-N 0 1 317.502 3.032 20 30 DGEDMN C=CCCCNC(=S)Nc1cccc2c1OCC[C@H]2N(C)C ZINC001247768636 1104977897 /nfs/dbraw/zinc/97/78/97/1104977897.db2.gz RIQIXPGPLBTOIJ-OAHLLOKOSA-N 0 1 319.474 3.324 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(C(F)F)cc1C)C2 ZINC001095567398 1105083658 /nfs/dbraw/zinc/08/36/58/1105083658.db2.gz HPXJMNDHDAHRCG-WOPDTQHZSA-N 0 1 310.344 3.047 20 30 DGEDMN N#Cc1ccc(-c2ccc(-c3noc([C@H]4CCN4)n3)cc2)cc1 ZINC001248286315 1105089280 /nfs/dbraw/zinc/08/92/80/1105089280.db2.gz XAPZWYFYANMPFI-MRXNPFEDSA-N 0 1 302.337 3.310 20 30 DGEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001095687504 1105096859 /nfs/dbraw/zinc/09/68/59/1105096859.db2.gz GRFQBRFYIDPVAW-AABGKKOBSA-N 0 1 324.468 3.097 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CC)s1)C2 ZINC001095707881 1105098378 /nfs/dbraw/zinc/09/83/78/1105098378.db2.gz DZQIIKGTSCCGSG-SNPRPXQTSA-N 0 1 304.459 3.222 20 30 DGEDMN Cc1cc(-c2noc(Cc3ccc4nc[nH]c4c3)n2)ccc1C#N ZINC001249109164 1105227138 /nfs/dbraw/zinc/22/71/38/1105227138.db2.gz OIVYQXLBWXNAQC-UHFFFAOYSA-N 0 1 315.336 3.384 20 30 DGEDMN Cc1ccc(CN(C)Cc2cnn3ccc(C#N)cc23)cc1C ZINC001249118766 1105228754 /nfs/dbraw/zinc/22/87/54/1105228754.db2.gz KTAXDMDNBCFUHJ-UHFFFAOYSA-N 0 1 304.397 3.455 20 30 DGEDMN COc1ccc(Cn2cc(CN3CCC[C@@H](CC#N)C3)cn2)cc1 ZINC001249346130 1105271296 /nfs/dbraw/zinc/27/12/96/1105271296.db2.gz YYWXSYZQFMOMSV-INIZCTEOSA-N 0 1 324.428 3.066 20 30 DGEDMN Cc1nnc(C2CCN(Cc3cc4ccc(C#N)cc4[nH]3)CC2)o1 ZINC001249430219 1105286386 /nfs/dbraw/zinc/28/63/86/1105286386.db2.gz IEOBSXGVVXTWSC-UHFFFAOYSA-N 0 1 321.384 3.111 20 30 DGEDMN N#Cc1cc(F)c(N=C2CCC(N3CCOCC3)CC2)c(F)c1 ZINC001249558921 1105313839 /nfs/dbraw/zinc/31/38/39/1105313839.db2.gz NOVGUHLZIYTMHL-AWEZNQCLSA-N 0 1 319.355 3.017 20 30 DGEDMN CCc1noc([C@H]2CCCN(Cc3cccc(C#N)c3F)C2)n1 ZINC001249687488 1105353942 /nfs/dbraw/zinc/35/39/42/1105353942.db2.gz FOIYYWDBDYTMDN-AWEZNQCLSA-N 0 1 314.364 3.022 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)nc1C(C)C)C2 ZINC001097589653 1105410789 /nfs/dbraw/zinc/41/07/89/1105410789.db2.gz KYCGGLSQHJDMHE-OIISXLGYSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)c(Cl)c1)C2 ZINC001097758805 1105438595 /nfs/dbraw/zinc/43/85/95/1105438595.db2.gz YSOJTQHQQAIHKX-DFBGVHRSSA-N 0 1 308.784 3.000 20 30 DGEDMN N#Cc1cnn(-c2ccc(F)cc2)c1Nc1ccc2cncn2c1 ZINC001250327358 1105499698 /nfs/dbraw/zinc/49/96/98/1105499698.db2.gz RWGPQTBDSPRVQJ-UHFFFAOYSA-N 0 1 318.315 3.274 20 30 DGEDMN N#Cc1cccc(-c2nc3cc(N4CCOCC4)c(F)cc3[nH]2)c1 ZINC001250459097 1105517318 /nfs/dbraw/zinc/51/73/18/1105517318.db2.gz OFEVENODPZRKKL-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccnc(N2CCOCC2)c1 ZINC001137439185 1105523546 /nfs/dbraw/zinc/52/35/46/1105523546.db2.gz JMXYLNMNWMOHGY-UHFFFAOYSA-N 0 1 323.440 3.106 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccc(Cl)s1)C2 ZINC001098180817 1105560248 /nfs/dbraw/zinc/56/02/48/1105560248.db2.gz OKEOAPJGUZCLRV-LOWDOPEQSA-N 0 1 324.877 3.413 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1ccc(C)cc1)C2 ZINC001098241580 1105562910 /nfs/dbraw/zinc/56/29/10/1105562910.db2.gz HGLRPIBGSRIHJV-KURKYZTESA-N 0 1 312.457 3.180 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)(C)C)cc1)C2 ZINC001098265215 1105566131 /nfs/dbraw/zinc/56/61/31/1105566131.db2.gz MQHGJDLPFCEKBS-QRVBRYPASA-N 0 1 324.468 3.343 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)/C=C\c2ccco2)CC1 ZINC001278593704 1105569528 /nfs/dbraw/zinc/56/95/28/1105569528.db2.gz LTPGGISVLVAHRW-WAYWQWQTSA-N 0 1 322.836 3.264 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCC(C)=C(C)C1)C2 ZINC001098479711 1105579142 /nfs/dbraw/zinc/57/91/42/1105579142.db2.gz VYRHXKSVVLGTRT-VXIBKDFQSA-N 0 1 302.462 3.421 20 30 DGEDMN N#Cc1cc(F)cc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)c1 ZINC001250818951 1105589625 /nfs/dbraw/zinc/58/96/25/1105589625.db2.gz HPMLDVVNFUMIHY-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN N#Cc1cc(F)ccc1-c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001250935537 1105607772 /nfs/dbraw/zinc/60/77/72/1105607772.db2.gz JTHMMJGYNYWFOR-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN CC(=O)Nc1ccc2nc(-c3c(F)ccc(C#N)c3F)[nH]c2c1 ZINC001250980635 1105615280 /nfs/dbraw/zinc/61/52/80/1105615280.db2.gz RFEUJQBVLWSJDK-UHFFFAOYSA-N 0 1 312.279 3.338 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC1(C)CCCC1 ZINC001099054224 1105635189 /nfs/dbraw/zinc/63/51/89/1105635189.db2.gz ASQQSBJIRNUIMC-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(C)(C)C1CC1 ZINC001099055909 1105636342 /nfs/dbraw/zinc/63/63/42/1105636342.db2.gz XCJASJMKJJXDTQ-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C(C)C ZINC001099098527 1105642689 /nfs/dbraw/zinc/64/26/89/1105642689.db2.gz QWVCSUCXWOXVSE-MRXNPFEDSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C1CCCC1 ZINC001099111031 1105643535 /nfs/dbraw/zinc/64/35/35/1105643535.db2.gz OIIASXHNOCAJJT-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)C(C)(C)C ZINC001099122367 1105644508 /nfs/dbraw/zinc/64/45/08/1105644508.db2.gz ZBWFBDSFPDWYSF-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(F)CCCCC1 ZINC001099297409 1105665367 /nfs/dbraw/zinc/66/53/67/1105665367.db2.gz FZMBAXGBTFNACP-MRXNPFEDSA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](CC)CC(C)C ZINC001099305657 1105666649 /nfs/dbraw/zinc/66/66/49/1105666649.db2.gz ZFAKSEPNJZGCMR-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)C1CC1 ZINC001099359505 1105673117 /nfs/dbraw/zinc/67/31/17/1105673117.db2.gz PZTHKTKYOROLKK-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)C1CC1 ZINC001099359505 1105673120 /nfs/dbraw/zinc/67/31/20/1105673120.db2.gz PZTHKTKYOROLKK-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN N#Cc1cccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)c1F ZINC001251842721 1105701473 /nfs/dbraw/zinc/70/14/73/1105701473.db2.gz PBXVTZGAPBEYFR-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN COc1ccc(S(=O)(=O)Nc2c(Cl)cccc2C#N)cc1 ZINC001251929384 1105711117 /nfs/dbraw/zinc/71/11/17/1105711117.db2.gz YADJIIWPTBYFCO-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](NCc2csc(C3CC3)n2)C1 ZINC001490922171 1105723664 /nfs/dbraw/zinc/72/36/64/1105723664.db2.gz MXVIIQPYPQVHKW-HDJSIYSDSA-N 0 1 319.474 3.114 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001491046834 1105740810 /nfs/dbraw/zinc/74/08/10/1105740810.db2.gz DCWWMWYYKMVQFX-TUUDOBRQSA-N 0 1 305.249 3.145 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1ccnc(OCC)n1 ZINC001252139897 1105749853 /nfs/dbraw/zinc/74/98/53/1105749853.db2.gz UMRXWWQKWGSQHK-INIZCTEOSA-N 0 1 309.454 3.076 20 30 DGEDMN C=CCOC[C@@H](O)CN1CCC[C@@H]1c1ccc(Cl)s1 ZINC001252465308 1105781699 /nfs/dbraw/zinc/78/16/99/1105781699.db2.gz FJTVZBMFRVTVTH-NWDGAFQWSA-N 0 1 301.839 3.102 20 30 DGEDMN C=CCOC[C@@H](O)CN(CC)Cc1ccc(Cl)cc1Cl ZINC001252473024 1105782122 /nfs/dbraw/zinc/78/21/22/1105782122.db2.gz FKTUQKILGNACHV-AWEZNQCLSA-N 0 1 318.244 3.379 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001491235054 1105783640 /nfs/dbraw/zinc/78/36/40/1105783640.db2.gz AIBRYNOBMYDHNG-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2C)CCC1 ZINC001491231167 1105785058 /nfs/dbraw/zinc/78/50/58/1105785058.db2.gz RKHZEEYHFPIYDJ-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN CCCCOC[C@@H](O)CN(CCC#N)C1CCCCCCC1 ZINC001252511866 1105786566 /nfs/dbraw/zinc/78/65/66/1105786566.db2.gz DBJQPBQBJKZRLE-SFHVURJKSA-N 0 1 310.482 3.493 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C(C)(C)C(F)F ZINC001491263050 1105790168 /nfs/dbraw/zinc/79/01/68/1105790168.db2.gz AXQOHDOVEOPSKL-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@@](C)(O)CN1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001252541747 1105791583 /nfs/dbraw/zinc/79/15/83/1105791583.db2.gz SBNFQSYNJBKWJH-QFBILLFUSA-N 0 1 316.445 3.033 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1c(C)cccc1F ZINC001491275621 1105792556 /nfs/dbraw/zinc/79/25/56/1105792556.db2.gz HSKQOCYULGKHQW-AWEZNQCLSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1ccc(F)cc1 ZINC001491273008 1105794578 /nfs/dbraw/zinc/79/45/78/1105794578.db2.gz FLXIVTHCGDTZSQ-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CC(C)(C)C)C1 ZINC001491285635 1105795241 /nfs/dbraw/zinc/79/52/41/1105795241.db2.gz WIEZZAHEGFJXRF-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)=CC(=O)N[C@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491279648 1105795816 /nfs/dbraw/zinc/79/58/16/1105795816.db2.gz JNHNSADOMHOYLV-UYAOXDASSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C[C@@](C)(O)CN[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001252585499 1105798468 /nfs/dbraw/zinc/79/84/68/1105798468.db2.gz PSZQZMPIARKQHW-AZUAARDMSA-N 0 1 321.424 3.189 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001278681149 1105825794 /nfs/dbraw/zinc/82/57/94/1105825794.db2.gz BMLOMCQWOMFHMO-MBOZVWFJSA-N 0 1 316.489 3.054 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001278681334 1105826204 /nfs/dbraw/zinc/82/62/04/1105826204.db2.gz DNFYKTBUCXYMHR-PXNSSMCTSA-N 0 1 318.505 3.444 20 30 DGEDMN C[C@H](CNC(=O)[C@@H]1CCC=CCCC1)NCC#Cc1ccccc1 ZINC001491497760 1105835852 /nfs/dbraw/zinc/83/58/52/1105835852.db2.gz VIPBGTOQRYTQMA-UYAOXDASSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)NCc1cc(N(C)C)ccn1 ZINC001252971840 1105863559 /nfs/dbraw/zinc/86/35/59/1105863559.db2.gz VMXNIZDKTQKDPA-PBHICJAKSA-N 0 1 316.449 3.082 20 30 DGEDMN C=CCCCCCC[C@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001253228157 1105904608 /nfs/dbraw/zinc/90/46/08/1105904608.db2.gz PUPLQARCCQLTGV-HOTGVXAUSA-N 0 1 316.449 3.267 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN1CCN(c2cccnc2)CC1 ZINC001253234028 1105906030 /nfs/dbraw/zinc/90/60/30/1105906030.db2.gz SIMUDCGKQXUQTR-LJQANCHMSA-N 0 1 317.477 3.091 20 30 DGEDMN C=CCCC1(C(=O)N2C[C@H]3CN(C/C=C/Cl)C[C@@]3(C)C2)CC1 ZINC001101354888 1105913832 /nfs/dbraw/zinc/91/38/32/1105913832.db2.gz JTLKLJUSYLPLGP-IZVQQQLMSA-N 0 1 322.880 3.266 20 30 DGEDMN COc1ccc2[nH]c(C)c(C(=O)Nc3cc(C#N)ccc3O)c2c1 ZINC001253399150 1105934386 /nfs/dbraw/zinc/93/43/86/1105934386.db2.gz SQPYYZDXQBMDFB-UHFFFAOYSA-N 0 1 321.336 3.315 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(Cn3cccc3)cc2)c1 ZINC001253712601 1105973107 /nfs/dbraw/zinc/97/31/07/1105973107.db2.gz MSQYOIPJNAJEFC-UHFFFAOYSA-N 0 1 317.348 3.366 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)C2CCC2)[C@H](C)C1 ZINC001102195966 1106036521 /nfs/dbraw/zinc/03/65/21/1106036521.db2.gz IHDVAESEFGKBRV-TZMCWYRMSA-N 0 1 312.885 3.249 20 30 DGEDMN C#C[C@H]1CCCN([C@@H]2Cc3ccc(Br)cc3C2)C1 ZINC001254284939 1106050456 /nfs/dbraw/zinc/05/04/56/1106050456.db2.gz QVRZJUYTKHEBDK-BLLLJJGKSA-N 0 1 304.231 3.262 20 30 DGEDMN Cc1ccnc(CNC2CCC(C#N)(c3ccc(F)cc3)CC2)n1 ZINC001254340792 1106056136 /nfs/dbraw/zinc/05/61/36/1106056136.db2.gz APOFKILETDXQPS-UHFFFAOYSA-N 0 1 324.403 3.418 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)c2ccccc2)[C@H](C)C1 ZINC001102516744 1106067447 /nfs/dbraw/zinc/06/74/47/1106067447.db2.gz RYJKSONTJRPYEB-UKPHBRMFSA-N 0 1 320.864 3.227 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)C(C)(F)F)[C@H](C)C1 ZINC001102533089 1106069991 /nfs/dbraw/zinc/06/99/91/1106069991.db2.gz WAWPAGBQWQFWPI-ZYHUDNBSSA-N 0 1 322.827 3.104 20 30 DGEDMN Cc1c(C(=O)Nc2sc3c(c2C#N)CCC3)ccc2cncn21 ZINC001254525252 1106092887 /nfs/dbraw/zinc/09/28/87/1106092887.db2.gz XIVAAYRMSBLGTE-UHFFFAOYSA-N 0 1 322.393 3.317 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCC3(CC(=O)c4ccc(F)cc43)CC2)C1 ZINC001254658269 1106125761 /nfs/dbraw/zinc/12/57/61/1106125761.db2.gz HOIRYTNHAURQIB-ZFWWWQNUSA-N 0 1 312.388 3.438 20 30 DGEDMN COc1ccc([C@@H]2CSCCN2[C@@H]2CC[C@H](C#N)C2)cc1 ZINC001254668985 1106128724 /nfs/dbraw/zinc/12/87/24/1106128724.db2.gz MCTGIGSQDIPEIO-LXZKKBNFSA-N 0 1 302.443 3.477 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)C1 ZINC001254671039 1106129773 /nfs/dbraw/zinc/12/97/73/1106129773.db2.gz NXZUKCYPQKIUOY-BYNSBNAKSA-N 0 1 304.397 3.209 20 30 DGEDMN COc1cc(N2CCN([C@H]3CC[C@H](C#N)C3)CC2)ccc1Cl ZINC001254674473 1106132106 /nfs/dbraw/zinc/13/21/06/1106132106.db2.gz ZXHKPSXNABNIPG-KBPBESRZSA-N 0 1 319.836 3.163 20 30 DGEDMN CC[C@@H](CC#N)NC1CC2(C1)CCN(C(=O)OC(C)(C)C)C2 ZINC001255152029 1106192072 /nfs/dbraw/zinc/19/20/72/1106192072.db2.gz LTHQLCWMAGQKCR-CBCUQQMYSA-N 0 1 307.438 3.058 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2csc(C#N)c2)cc1 ZINC001255162995 1106194850 /nfs/dbraw/zinc/19/48/50/1106194850.db2.gz IOTQHIWYLNGXEP-UHFFFAOYSA-N 0 1 306.412 3.373 20 30 DGEDMN CC[C@H](CC#N)NC1CC2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001255165205 1106196558 /nfs/dbraw/zinc/19/65/58/1106196558.db2.gz VERSMZDYCNTGSZ-KSTDHSDQSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](c1ccc(Cl)cc1)N(C)CCOC ZINC001255165078 1106196608 /nfs/dbraw/zinc/19/66/08/1106196608.db2.gz SMKUUCBRWBDWFD-DLBZAZTESA-N 0 1 323.868 3.241 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(C1)CCN(C(=O)OC(C)(C)C)CC2 ZINC001255166347 1106197669 /nfs/dbraw/zinc/19/76/69/1106197669.db2.gz JKDOYMBVGLZHNI-OAHLLOKOSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(COc2cccc(OC)c2)CC1 ZINC001255174699 1106199700 /nfs/dbraw/zinc/19/97/00/1106199700.db2.gz IIUCITRWTSCPPH-INIZCTEOSA-N 0 1 302.418 3.478 20 30 DGEDMN CCCN([C@@H](CC)CC#N)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255178254 1106200999 /nfs/dbraw/zinc/20/09/99/1106200999.db2.gz DHDYCFAOOIZNLL-LSDHHAIUSA-N 0 1 309.454 3.400 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN([C@@H](C)CC(F)(F)F)CCO2 ZINC001255655911 1106287633 /nfs/dbraw/zinc/28/76/33/1106287633.db2.gz ZETXPOUUWAAOBO-RRFJBIMHSA-N 0 1 321.383 3.401 20 30 DGEDMN C=CCOCc1nn([C@@H](C)Cc2ccc(C)cc2)c2c1CNC2 ZINC001255790726 1106317113 /nfs/dbraw/zinc/31/71/13/1106317113.db2.gz ZMEXKOFKQPNPOR-HNNXBMFYSA-N 0 1 311.429 3.301 20 30 DGEDMN C#CCN(C)CCN(C(=O)Cc1cccc2ccccc21)C(C)C ZINC001278926460 1106401056 /nfs/dbraw/zinc/40/10/56/1106401056.db2.gz NFEHAWDOJZWOSF-UHFFFAOYSA-N 0 1 322.452 3.184 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccccc2Cl)cc1C#N ZINC001256306927 1106402507 /nfs/dbraw/zinc/40/25/07/1106402507.db2.gz RZKLMXDLPGVSFH-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001278948809 1106450891 /nfs/dbraw/zinc/45/08/91/1106450891.db2.gz ZLLFMWFPTJQSHH-KKUMJFAQSA-N 0 1 310.869 3.310 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CCC(N2CC[C@@H](C#N)C2)CC1 ZINC001256674769 1106467058 /nfs/dbraw/zinc/46/70/58/1106467058.db2.gz QPDCHOQRIRDPAW-NFOMZHRRSA-N 0 1 307.438 3.010 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CCC(N2CC[C@H](C#N)C2)CC1 ZINC001256674770 1106467106 /nfs/dbraw/zinc/46/71/06/1106467106.db2.gz QPDCHOQRIRDPAW-WLYUNCDWSA-N 0 1 307.438 3.010 20 30 DGEDMN CCOC(=O)c1cc2c(s1)CCN(C1CCC(C#N)CC1)C2 ZINC001256969534 1106517261 /nfs/dbraw/zinc/51/72/61/1106517261.db2.gz LBQUZFRLUOZEHL-UHFFFAOYSA-N 0 1 318.442 3.365 20 30 DGEDMN N#CC1CCC(N2CCc3ncc(Br)cc3C2)CC1 ZINC001256969427 1106517588 /nfs/dbraw/zinc/51/75/88/1106517588.db2.gz IENVFNVJVGJXOU-UHFFFAOYSA-N 0 1 320.234 3.285 20 30 DGEDMN N#CC1CCC(N2CCN(c3ccc(F)cc3F)CC2)CC1 ZINC001256969469 1106517703 /nfs/dbraw/zinc/51/77/03/1106517703.db2.gz JMLSCAPDOCMHHI-UHFFFAOYSA-N 0 1 305.372 3.169 20 30 DGEDMN CC(C)[C@H]1C[C@H](N(C)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257186303 1106550336 /nfs/dbraw/zinc/55/03/36/1106550336.db2.gz RLUUZPRXRAYKEH-HUUCEWRRSA-N 0 1 309.454 3.256 20 30 DGEDMN CCCCOC(=O)CC[C@@H](C)N1CCc2cc(C#N)ccc2C1 ZINC001257392910 1106580399 /nfs/dbraw/zinc/58/03/99/1106580399.db2.gz GTKUCVJXQLLRHT-OAHLLOKOSA-N 0 1 314.429 3.428 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CCN1[C@H](CC)CCCC ZINC001257420168 1106585525 /nfs/dbraw/zinc/58/55/25/1106585525.db2.gz JRKAPNMIGAXOCL-NVXWUHKLSA-N 0 1 320.481 3.369 20 30 DGEDMN CCC[C@@H](C)CC[C@H](C)N1CCN(c2nccnc2C#N)CC1 ZINC001257481674 1106596656 /nfs/dbraw/zinc/59/66/56/1106596656.db2.gz LZPFRXCFQZUSGB-CVEARBPZSA-N 0 1 315.465 3.075 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1C[C@H](C)C[C@H](C)C1)CC2 ZINC001258165964 1106684583 /nfs/dbraw/zinc/68/45/83/1106684583.db2.gz RGOWSFLLUCGIHR-ZSHCYNCHSA-N 0 1 317.477 3.306 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CCCC(C)(C)C1)CC2 ZINC001258285318 1106709156 /nfs/dbraw/zinc/70/91/56/1106709156.db2.gz BHQVCUNQMJHFLW-OAHLLOKOSA-N 0 1 317.477 3.450 20 30 DGEDMN COc1ccc(CC[C@H](C)NCc2ncc(C#N)s2)cc1 ZINC001258395640 1106730529 /nfs/dbraw/zinc/73/05/29/1106730529.db2.gz WMWSOIMCUKRDOL-LBPRGKRZSA-N 0 1 301.415 3.134 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001316931159 1106776878 /nfs/dbraw/zinc/77/68/78/1106776878.db2.gz PXUVBANQCXFSIE-UHFFFAOYSA-N 0 1 315.461 3.107 20 30 DGEDMN Cc1c(C(=O)N[C@@H](C#N)c2ccc(Cl)cc2)ccc2cncn21 ZINC001320029824 1106795951 /nfs/dbraw/zinc/79/59/51/1106795951.db2.gz MDRXUBFFLHBRGE-INIZCTEOSA-N 0 1 324.771 3.291 20 30 DGEDMN C=CCO[C@H]1CCN([C@@H](C)C(=O)Nc2cccc3ccccc32)C1 ZINC001320039172 1106808114 /nfs/dbraw/zinc/80/81/14/1106808114.db2.gz YCEJDNOUXDNNNG-RDJZCZTQSA-N 0 1 324.424 3.444 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CCC[C@@H](C#N)C2)CC1(C)C ZINC001258561706 1106823528 /nfs/dbraw/zinc/82/35/28/1106823528.db2.gz BXZVETCTKMJMDO-GJZGRUSLSA-N 0 1 321.465 3.400 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCc2ccccc2Cl)C1 ZINC001316983959 1106846156 /nfs/dbraw/zinc/84/61/56/1106846156.db2.gz YYTQMAZYLRWYFU-OAHLLOKOSA-N 0 1 306.837 3.039 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001316984667 1106849455 /nfs/dbraw/zinc/84/94/55/1106849455.db2.gz DDVVDSUMFFMZRK-WBVHZDCISA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2cc(F)ccc2s1 ZINC001320081060 1106851853 /nfs/dbraw/zinc/85/18/53/1106851853.db2.gz TXOMJZUJPWPVLP-UHFFFAOYSA-N 0 1 318.417 3.068 20 30 DGEDMN C=C(Cl)COc1ccc([C@H](C)NCC(=O)NCC(C)C)cc1 ZINC001320095648 1106867345 /nfs/dbraw/zinc/86/73/45/1106867345.db2.gz VPHIMMFABBJWIT-AWEZNQCLSA-N 0 1 324.852 3.241 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1ncc(C)s1)C1CC1 ZINC001317026042 1106916324 /nfs/dbraw/zinc/91/63/24/1106916324.db2.gz YBLDMLJGGANPSO-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001320202118 1106925400 /nfs/dbraw/zinc/92/54/00/1106925400.db2.gz HGXCDDWXCSUMLE-PKOBYXMFSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1CC(C(C)(C)C)C1)C1CC1 ZINC001317033429 1106926709 /nfs/dbraw/zinc/92/67/09/1106926709.db2.gz YBOPODCOFXCNTB-NRXISQOPSA-N 0 1 312.885 3.296 20 30 DGEDMN C=CCC[C@H](C)N1Cc2nn(CC3CC3)cc2[C@@H](COC)C1 ZINC001258728269 1106934023 /nfs/dbraw/zinc/93/40/23/1106934023.db2.gz NRYJPEAKARMJMP-GOEBONIOSA-N 0 1 303.450 3.193 20 30 DGEDMN CC(C)(C)c1ccc(NN=Cc2ccc([N+](=O)[O-])s2)nn1 ZINC001320265253 1106943253 /nfs/dbraw/zinc/94/32/53/1106943253.db2.gz MHSCQGYVAAMLNB-UHFFFAOYSA-N 0 1 305.363 3.190 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@@H](O)c2ccccc2C(F)(F)F)C1 ZINC001320320343 1106958241 /nfs/dbraw/zinc/95/82/41/1106958241.db2.gz HACNMUIHRXFVEY-IUODEOHRSA-N 0 1 315.335 3.016 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccc(Cl)cn1 ZINC001320396899 1106993454 /nfs/dbraw/zinc/99/34/54/1106993454.db2.gz FNDJAQKBGSWHKB-GFCCVEGCSA-N 0 1 301.777 3.488 20 30 DGEDMN N#CCc1ccc(S(=O)(=O)Nc2cccc3cc[nH]c32)cc1 ZINC001320396675 1106993734 /nfs/dbraw/zinc/99/37/34/1106993734.db2.gz CLMKOBMQYAPLAQ-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN C#CCN(Cc1c(C)nn(-c2ccc(F)cc2)c1C)CC1CC1 ZINC001320523264 1107039678 /nfs/dbraw/zinc/03/96/78/1107039678.db2.gz ZANUKFMNWSJEJY-UHFFFAOYSA-N 0 1 311.404 3.473 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2nc(C)c(C)o2)CCC1 ZINC001320544444 1107047789 /nfs/dbraw/zinc/04/77/89/1107047789.db2.gz SSTLMPVPDAPKIZ-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001317177243 1107095169 /nfs/dbraw/zinc/09/51/69/1107095169.db2.gz QNUYKCJIIHNDOB-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN CCN(CCNCc1ccc(C#N)cc1F)C(=O)CCC(C)C ZINC001320671541 1107097037 /nfs/dbraw/zinc/09/70/37/1107097037.db2.gz HYVXSMSSJPIURB-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001320693548 1107106917 /nfs/dbraw/zinc/10/69/17/1107106917.db2.gz KAZUDKQORXKTNY-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN CCN(CCNCc1ccc(Cl)cc1F)C(=O)C#CC(C)C ZINC001320724317 1107118149 /nfs/dbraw/zinc/11/81/49/1107118149.db2.gz JINSTFZIACINRU-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1cnc(Cl)s1 ZINC001320746706 1107123026 /nfs/dbraw/zinc/12/30/26/1107123026.db2.gz RYEBOIDXPJFARO-UHFFFAOYSA-N 0 1 315.870 3.091 20 30 DGEDMN CCc1cc(C#N)ccc1NS(=O)(=O)c1cc(F)cc(F)c1 ZINC001259311413 1107123182 /nfs/dbraw/zinc/12/31/82/1107123182.db2.gz VBQYDYLOMYVDRH-UHFFFAOYSA-N 0 1 322.336 3.200 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CCc2ccco2)C1 ZINC001317208316 1107127298 /nfs/dbraw/zinc/12/72/98/1107127298.db2.gz LDHJGQWXDTVDSR-GJZGRUSLSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C(C)(C)CC)C1 ZINC001317211130 1107131348 /nfs/dbraw/zinc/13/13/48/1107131348.db2.gz WTIQZVPKKTUBOB-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@@H]1CCCC1(F)F ZINC001320819633 1107149562 /nfs/dbraw/zinc/14/95/62/1107149562.db2.gz KAHQCMGCCGAMEU-LBPRGKRZSA-N 0 1 308.800 3.003 20 30 DGEDMN Cc1cc(C)cc(S(=O)(=O)Nc2c(F)cc(F)cc2C#N)c1 ZINC001259524167 1107159948 /nfs/dbraw/zinc/15/99/48/1107159948.db2.gz DAEBPHDHEQOJPA-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN CCC(C)(C)CC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001317240941 1107165361 /nfs/dbraw/zinc/16/53/61/1107165361.db2.gz JDRPHOLDPNYLEZ-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H]1CCc2ccccc2C1 ZINC001317285596 1107209557 /nfs/dbraw/zinc/20/95/57/1107209557.db2.gz VSWDWKABFOSFIM-LSDHHAIUSA-N 0 1 320.864 3.028 20 30 DGEDMN Cc1ccsc1S(=O)(=O)Nc1cc(F)c(C#N)cc1F ZINC001260068092 1107246378 /nfs/dbraw/zinc/24/63/78/1107246378.db2.gz HBZLDKPGZNAVNU-UHFFFAOYSA-N 0 1 314.338 3.007 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)CCC2CC2)C1 ZINC001317369731 1107272807 /nfs/dbraw/zinc/27/28/07/1107272807.db2.gz HMAVZYNRNOGBLU-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN Cc1nc(N2CCCCC2)sc1CN=Nc1ncccc1F ZINC001321817765 1107439912 /nfs/dbraw/zinc/43/99/12/1107439912.db2.gz BAXVPTCMVJGHQI-UHFFFAOYSA-N 0 1 319.409 3.422 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001317502618 1107463905 /nfs/dbraw/zinc/46/39/05/1107463905.db2.gz RYADXHFNKDPLQI-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1ccc(OC)cc1 ZINC001322083064 1107504436 /nfs/dbraw/zinc/50/44/36/1107504436.db2.gz MWBGFXDWWMGTGR-JSGCOSHPSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ccccc2F)CCC1 ZINC001317628027 1107569832 /nfs/dbraw/zinc/56/98/32/1107569832.db2.gz RRDPTNBHWINUPW-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001322235711 1107583776 /nfs/dbraw/zinc/58/37/76/1107583776.db2.gz HQWLUSFCRNBFPH-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C(C)(C)C)cc1 ZINC001322287351 1107613150 /nfs/dbraw/zinc/61/31/50/1107613150.db2.gz YUTKEOPEXKBHTA-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC(F)F)c1ccc(C(C)C)cc1 ZINC001317711701 1107614687 /nfs/dbraw/zinc/61/46/87/1107614687.db2.gz RYPXEAQXURGLFY-MRXNPFEDSA-N 0 1 322.399 3.235 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cccc(CC)c1 ZINC001317733802 1107629141 /nfs/dbraw/zinc/62/91/41/1107629141.db2.gz AYGCSWYDHYGBGP-BGYRXZFFSA-N 0 1 324.468 3.228 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001317806056 1107683626 /nfs/dbraw/zinc/68/36/26/1107683626.db2.gz QKNCNHFFERWIOS-CYBMUJFWSA-N 0 1 321.852 3.086 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001317944578 1107756327 /nfs/dbraw/zinc/75/63/27/1107756327.db2.gz MPPMAWFDWVIKIO-NVXWUHKLSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)C1CCCC1)C1CC1 ZINC001323014479 1107805768 /nfs/dbraw/zinc/80/57/68/1107805768.db2.gz JYUCGGNFHFPFLU-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)C1CCCC1)C1CC1 ZINC001323014478 1107806593 /nfs/dbraw/zinc/80/65/93/1107806593.db2.gz JYUCGGNFHFPFLU-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(C(C)C)n1)C1CC1 ZINC001323032843 1107812339 /nfs/dbraw/zinc/81/23/39/1107812339.db2.gz KJWVCTMUJNWBJY-MRXNPFEDSA-N 0 1 321.852 3.056 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CSCc2cccs2)c1 ZINC001323052009 1107817673 /nfs/dbraw/zinc/81/76/73/1107817673.db2.gz MJIDVCGLOHZKQS-UHFFFAOYSA-N 0 1 304.396 3.197 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(CC(CC)CC)C1)c1ccccc1 ZINC001318089233 1107835117 /nfs/dbraw/zinc/83/51/17/1107835117.db2.gz MKIPNCLRNNGFLN-SFHVURJKSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)Cc2ccc3ccccc3c2)C1 ZINC001318172443 1107874933 /nfs/dbraw/zinc/87/49/33/1107874933.db2.gz BAXBGQAPOBEDJP-UHFFFAOYSA-N 0 1 308.425 3.006 20 30 DGEDMN C=CCC[C@@H](C(=O)NCC1CN(CC(=C)C)C1)c1ccccc1 ZINC001318180283 1107881800 /nfs/dbraw/zinc/88/18/00/1107881800.db2.gz UJUXHNPFKBOZCA-LJQANCHMSA-N 0 1 312.457 3.361 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001323213571 1107882368 /nfs/dbraw/zinc/88/23/68/1107882368.db2.gz DNQUYGCAUNKFHT-HXUWFJFHSA-N 0 1 324.468 3.059 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001323303278 1107925325 /nfs/dbraw/zinc/92/53/25/1107925325.db2.gz RWBZRJHRBAPBSR-HKUYNNGSSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001318276434 1107926363 /nfs/dbraw/zinc/92/63/63/1107926363.db2.gz NBSZCBDIDIOBOJ-HKUYNNGSSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)Cc1ccccc1Cl ZINC001323310255 1107931637 /nfs/dbraw/zinc/93/16/37/1107931637.db2.gz RAXKWEFHDZIVNG-HOCLYGCPSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(C(C)C)n2)C1 ZINC001318385433 1107972484 /nfs/dbraw/zinc/97/24/84/1107972484.db2.gz GZKASZBWIVBXGS-QGZVFWFLSA-N 0 1 315.461 3.204 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001318426140 1107995629 /nfs/dbraw/zinc/99/56/29/1107995629.db2.gz YDWRDULEFSGMBR-OAHLLOKOSA-N 0 1 306.475 3.301 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001318444043 1108010564 /nfs/dbraw/zinc/01/05/64/1108010564.db2.gz CAAXSAJFKOSEGL-MOPGFXCFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001318490581 1108049434 /nfs/dbraw/zinc/04/94/34/1108049434.db2.gz CJUBLQHHUSMSHU-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC2CCC(C)(C)CC2)C1 ZINC001318493256 1108056560 /nfs/dbraw/zinc/05/65/60/1108056560.db2.gz YQAUFNCJJSITBE-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCN1CCN(C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001323718174 1108063415 /nfs/dbraw/zinc/06/34/15/1108063415.db2.gz HNUOARCCWDSUBS-UHFFFAOYSA-N 0 1 307.825 3.456 20 30 DGEDMN C=CCO[C@H]1CCN(CC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001323727911 1108067702 /nfs/dbraw/zinc/06/77/02/1108067702.db2.gz JRHQGVKUZYHJPE-WCWCZOKXSA-N 0 1 303.446 3.049 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCOC ZINC001323789576 1108084732 /nfs/dbraw/zinc/08/47/32/1108084732.db2.gz YVOWYWQMTJTTRZ-ZIFCJYIRSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(COC(=O)c2ccc3cncn3c2C)CCOCC1 ZINC001263527568 1108085763 /nfs/dbraw/zinc/08/57/63/1108085763.db2.gz CGLQBACCCQFZJL-UHFFFAOYSA-N 0 1 314.385 3.172 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001318540844 1108086910 /nfs/dbraw/zinc/08/69/10/1108086910.db2.gz HPRXIFCOQBVLBX-CYBMUJFWSA-N 0 1 319.836 3.327 20 30 DGEDMN C#CCN1CCC(OC(=O)/C=C/c2ccc(Cl)s2)CC1 ZINC001263621201 1108117057 /nfs/dbraw/zinc/11/70/57/1108117057.db2.gz TXVFLTWXVGJYOG-GQCTYLIASA-N 0 1 309.818 3.056 20 30 DGEDMN C=C[C@H](Cc1nc([C@@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC001323914174 1108118258 /nfs/dbraw/zinc/11/82/58/1108118258.db2.gz DRQJSTFBMDENIC-RHSMWYFYSA-N 0 1 309.413 3.391 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2csc(CC)n2)CCCC1 ZINC001318625890 1108131322 /nfs/dbraw/zinc/13/13/22/1108131322.db2.gz GSUJFKPOJJHNAS-UHFFFAOYSA-N 0 1 321.490 3.190 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001324004842 1108144664 /nfs/dbraw/zinc/14/46/64/1108144664.db2.gz QVZFDJYOYZWJRI-UHFFFAOYSA-N 0 1 310.441 3.368 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001324029023 1108151092 /nfs/dbraw/zinc/15/10/92/1108151092.db2.gz GQXQIKQIIUWFGX-BKPSIGNASA-N 0 1 318.505 3.392 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccc(C)s2)C1 ZINC001324030099 1108152932 /nfs/dbraw/zinc/15/29/32/1108152932.db2.gz VKDYEDANZKHFLV-AWEZNQCLSA-N 0 1 320.502 3.486 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2c(F)cccc2Cl)n1C ZINC001324206719 1108207099 /nfs/dbraw/zinc/20/70/99/1108207099.db2.gz CWQLLMQFINZKIG-UHFFFAOYSA-N 0 1 317.151 3.321 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1C ZINC001263814998 1108223929 /nfs/dbraw/zinc/22/39/29/1108223929.db2.gz PFEBGBVHRULVSG-IAGOWNOFSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc2c(c1)CCC2 ZINC001324277024 1108234531 /nfs/dbraw/zinc/23/45/31/1108234531.db2.gz NCAVOKVGWGLVTE-KRWDZBQOSA-N 0 1 318.848 3.122 20 30 DGEDMN CCCCCC(=O)NC[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001263854260 1108261520 /nfs/dbraw/zinc/26/15/20/1108261520.db2.gz XVGJPHVBGONIIJ-RZDIXWSQSA-N 0 1 313.445 3.123 20 30 DGEDMN Cc1cc(C=NNc2ccc(Br)nc2)c(C)n1C ZINC001324563169 1108341981 /nfs/dbraw/zinc/34/19/81/1108341981.db2.gz FMZNZOQULJOECB-UHFFFAOYSA-N 0 1 307.195 3.245 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001264060685 1108358108 /nfs/dbraw/zinc/35/81/08/1108358108.db2.gz KGYRFUOKOGWUEI-HYVNUMGLSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001264094979 1108373582 /nfs/dbraw/zinc/37/35/82/1108373582.db2.gz YKSNPJFGJRJUCL-BPAGNSJPSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CC#CC)[C@@H]2C)CCCCC1 ZINC001264175713 1108413294 /nfs/dbraw/zinc/41/32/94/1108413294.db2.gz VLGCJYLROPCICU-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)C[C@@H](C)c2ccccc2)C1 ZINC001324668764 1108414199 /nfs/dbraw/zinc/41/41/99/1108414199.db2.gz IMLXGMCNEHNIIZ-APWZRJJASA-N 0 1 300.446 3.337 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)Nc2ccc(C#N)cc2Cl)CC1 ZINC001319343478 1108423556 /nfs/dbraw/zinc/42/35/56/1108423556.db2.gz BKUPFOWYXSDVIV-UHFFFAOYSA-N 0 1 320.824 3.160 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@H]1CCc2ccccc21 ZINC001319550015 1108521151 /nfs/dbraw/zinc/52/11/51/1108521151.db2.gz VCAFJIOJCLVRIG-GDBMZVCRSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001319593072 1108542089 /nfs/dbraw/zinc/54/20/89/1108542089.db2.gz MRMFKHHPWAWQOF-VBNZEHGJSA-N 0 1 324.827 3.118 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001324972518 1108544040 /nfs/dbraw/zinc/54/40/40/1108544040.db2.gz QCBFDYMRJILLEU-PKOBYXMFSA-N 0 1 322.468 3.146 20 30 DGEDMN CCCC(=O)N[C@H](CNCc1cc(F)ccc1C#N)CC(C)C ZINC001319602624 1108549860 /nfs/dbraw/zinc/54/98/60/1108549860.db2.gz LJSOGPDOLDSQNP-KRWDZBQOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC2CCCCC2)C1 ZINC001325042806 1108565993 /nfs/dbraw/zinc/56/59/93/1108565993.db2.gz NZDRFZPMJYCACY-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CCC(C)C ZINC001264540600 1108568574 /nfs/dbraw/zinc/56/85/74/1108568574.db2.gz HJNKFDXPJYXGCT-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CC[N@H+](Cc1cc(C)cc(Br)c1[O-])CC1CC1 ZINC001325133241 1108581497 /nfs/dbraw/zinc/58/14/97/1108581497.db2.gz ATNNXYWUODABHS-UHFFFAOYSA-N 0 1 308.219 3.308 20 30 DGEDMN C=CCN1CC[C@@H]([N@@H+]2CCc3cc(C(C)(C)C)ccc3C2)C1=O ZINC001325139834 1108582474 /nfs/dbraw/zinc/58/24/74/1108582474.db2.gz PTLAJDMITNRBSJ-GOSISDBHSA-N 0 1 312.457 3.129 20 30 DGEDMN C=CCN1CC[C@@H](N2CCc3cc(C(C)(C)C)ccc3C2)C1=O ZINC001325139834 1108582485 /nfs/dbraw/zinc/58/24/85/1108582485.db2.gz PTLAJDMITNRBSJ-GOSISDBHSA-N 0 1 312.457 3.129 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CCC2CC2)C1 ZINC001264591352 1108584120 /nfs/dbraw/zinc/58/41/20/1108584120.db2.gz WJKOIWBPLRYREP-RTBURBONSA-N 0 1 312.457 3.337 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccccc1C)c1ccccc1CC ZINC001325361606 1108632062 /nfs/dbraw/zinc/63/20/62/1108632062.db2.gz IWNQMNKTBVTTFO-HXUWFJFHSA-N 0 1 320.436 3.251 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC1(C)C)c1ccccc1CC ZINC001325361591 1108632088 /nfs/dbraw/zinc/63/20/88/1108632088.db2.gz IQTPSGIGLXIAQY-MSOLQXFVSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001264744305 1108643394 /nfs/dbraw/zinc/64/33/94/1108643394.db2.gz RIUJIKRNVIDDBL-RCCFBDPRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@H](C)NCc2nocc2C)C1 ZINC001319816709 1108649555 /nfs/dbraw/zinc/64/95/55/1108649555.db2.gz KHOLQNPVZDBJAI-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN CCC(=CC(=O)NCCCN(C)CC#Cc1ccccc1)CC ZINC001264975930 1108686901 /nfs/dbraw/zinc/68/69/01/1108686901.db2.gz KOGQYGAQFREZGK-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1cc(C)no1 ZINC001265085282 1108726477 /nfs/dbraw/zinc/72/64/77/1108726477.db2.gz CWYGXEUKVGQKQT-NVXWUHKLSA-N 0 1 319.449 3.199 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@H](C)c2ccc(F)cc2)C1 ZINC001265209746 1108777238 /nfs/dbraw/zinc/77/72/38/1108777238.db2.gz CQOFJTCBRGUARW-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001265220625 1108782962 /nfs/dbraw/zinc/78/29/62/1108782962.db2.gz NPRDVIHIWYAMMX-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN O=C(C#CC1CC1)N[C@H]1CCN(Cc2ccc(C3CCC3)cc2)C1 ZINC001265285021 1108806515 /nfs/dbraw/zinc/80/65/15/1108806515.db2.gz YJKHDMHJDWRJFM-FQEVSTJZSA-N 0 1 322.452 3.058 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001265301248 1108813763 /nfs/dbraw/zinc/81/37/63/1108813763.db2.gz GZBUTUWPFSXQRP-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001265301465 1108814041 /nfs/dbraw/zinc/81/40/41/1108814041.db2.gz LEJRRAYYOUXGGR-DOTOQJQBSA-N 0 1 306.475 3.349 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001265310813 1108822051 /nfs/dbraw/zinc/82/20/51/1108822051.db2.gz VRZYQKWBHBQBBW-ROUUACIJSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)C1CC1 ZINC001265364742 1108845077 /nfs/dbraw/zinc/84/50/77/1108845077.db2.gz STZGFXVFBKQVAC-JONQDZQNSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CC(C)(C)C)C1 ZINC001265384976 1108854452 /nfs/dbraw/zinc/85/44/52/1108854452.db2.gz SUTHRCCVQWVIQV-AWEZNQCLSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001265437181 1108871491 /nfs/dbraw/zinc/87/14/91/1108871491.db2.gz WNFMWVBDCGSYLA-YPQKKHBXSA-N 0 1 322.811 3.172 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)CC(C)(C)CC)C1 ZINC001265523019 1108899455 /nfs/dbraw/zinc/89/94/55/1108899455.db2.gz GKGOYZMOKOJLIC-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001265611551 1108933061 /nfs/dbraw/zinc/93/30/61/1108933061.db2.gz RSHYYGWQHYPCLA-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001265611550 1108933222 /nfs/dbraw/zinc/93/32/22/1108933222.db2.gz RSHYYGWQHYPCLA-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CNCc1csc(C)n1 ZINC001265679564 1108962830 /nfs/dbraw/zinc/96/28/30/1108962830.db2.gz FIHSAEGHPRHVQA-MRXNPFEDSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](C)c1ccc(C)o1 ZINC001265684354 1108964677 /nfs/dbraw/zinc/96/46/77/1108964677.db2.gz UBKLEIXAKGYVNS-HUUCEWRRSA-N 0 1 324.852 3.415 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1[C@@H]1CCCN1CCF ZINC001265696417 1108971466 /nfs/dbraw/zinc/97/14/66/1108971466.db2.gz HTMKJERVWTWXFP-ROUUACIJSA-N 0 1 322.468 3.385 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(C)CC(=C)C2)C1 ZINC001265705317 1108976273 /nfs/dbraw/zinc/97/62/73/1108976273.db2.gz HGBVARQWVNIRLY-HUUCEWRRSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cscc1C(F)F ZINC001265774245 1109001056 /nfs/dbraw/zinc/00/10/56/1109001056.db2.gz BVXYCVMBGSLXGN-QMMMGPOBSA-N 0 1 308.781 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2ccc(F)cc2)CCC1 ZINC001265807403 1109009580 /nfs/dbraw/zinc/00/95/80/1109009580.db2.gz ZSDQCQJVIWCBAG-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001265835702 1109021704 /nfs/dbraw/zinc/02/17/04/1109021704.db2.gz SYAXKKKWOUMGFT-BHYGNILZSA-N 0 1 320.864 3.254 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2c(C)noc2C)CCCC1 ZINC001265875281 1109033496 /nfs/dbraw/zinc/03/34/96/1109033496.db2.gz AZBFTBNUTBIKQI-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@@H]2CCc3c2c(F)ccc3F)C1 ZINC001265894879 1109036454 /nfs/dbraw/zinc/03/64/54/1109036454.db2.gz AEUAUSHIRIRFDC-BLLLJJGKSA-N 0 1 320.383 3.109 20 30 DGEDMN CC[C@@H](C)CCC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001265936235 1109045891 /nfs/dbraw/zinc/04/58/91/1109045891.db2.gz JKPRLDPERQXOQN-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC001266054808 1109075020 /nfs/dbraw/zinc/07/50/20/1109075020.db2.gz AZNXSYODVUQITL-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001266212118 1109128825 /nfs/dbraw/zinc/12/88/25/1109128825.db2.gz JMEKYCKLUQPSHK-SQSZOCELSA-N 0 1 324.468 3.474 20 30 DGEDMN O=C(C#CC1CC1)N[C@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001266218188 1109130233 /nfs/dbraw/zinc/13/02/33/1109130233.db2.gz MQIWQXTXZRJZQN-FQEVSTJZSA-N 0 1 322.452 3.058 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H]1C[C@@H]1C ZINC001279312448 1109146815 /nfs/dbraw/zinc/14/68/15/1109146815.db2.gz XLNSKESGKZNROY-BBRMVZONSA-N 0 1 306.837 3.013 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001279621569 1109158452 /nfs/dbraw/zinc/15/84/52/1109158452.db2.gz HSHHPAZOSAVGQX-UHFFFAOYSA-N 0 1 323.440 3.163 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2cc(C)ccc2C)c(F)c1 ZINC001279722894 1109161568 /nfs/dbraw/zinc/16/15/68/1109161568.db2.gz OPASIMQLBUMFMB-UHFFFAOYSA-N 0 1 324.399 3.095 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@@H](C)[C@@H](C)CC)c(F)c1 ZINC001279722326 1109161862 /nfs/dbraw/zinc/16/18/62/1109161862.db2.gz GPWRMVVALFYDRY-GJZGRUSLSA-N 0 1 318.436 3.237 20 30 DGEDMN CC[C@H](F)CN(C)Cc1ccccc1CNC(=O)C#CC(C)C ZINC001280324645 1109185631 /nfs/dbraw/zinc/18/56/31/1109185631.db2.gz JXSCYKNQVAAMIO-SFHVURJKSA-N 0 1 318.436 3.142 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)CC1CCCCC1 ZINC001316603681 1109207658 /nfs/dbraw/zinc/20/76/58/1109207658.db2.gz DUBDMCPPZVPVNE-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@@H](CNC(=O)CC(C)=C(C)C)O2 ZINC001280930286 1109275192 /nfs/dbraw/zinc/27/51/92/1109275192.db2.gz SUQBZQDUILJQAL-KRWDZBQOSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2c(F)cccc2F)[C@@H](C)C1 ZINC001281406383 1109346551 /nfs/dbraw/zinc/34/65/51/1109346551.db2.gz KAQCFLFTCOIKMU-GUYCJALGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ocnc2C)CC1(C)C ZINC001281559962 1109380333 /nfs/dbraw/zinc/38/03/33/1109380333.db2.gz ZTROGKDAVIGPOI-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H](C)C1 ZINC001281677794 1109394484 /nfs/dbraw/zinc/39/44/84/1109394484.db2.gz XJHQBJHZLWDAKI-ZFWWWQNUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)CC ZINC001281768225 1109405428 /nfs/dbraw/zinc/40/54/28/1109405428.db2.gz KGGRLPRDOSXBTH-CRAIPNDOSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)CCC ZINC001281776230 1109406953 /nfs/dbraw/zinc/40/69/53/1109406953.db2.gz KDWRLAIXHNBOOZ-QFBILLFUSA-N 0 1 312.457 3.162 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](C)c1ccccc1C ZINC001281954995 1109421192 /nfs/dbraw/zinc/42/11/92/1109421192.db2.gz HZPRTGAJULTVMZ-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CC(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001282010476 1109429878 /nfs/dbraw/zinc/42/98/78/1109429878.db2.gz BXALJMGKDZACME-NFOMZHRRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccnc2ccccc21 ZINC001282156674 1109458785 /nfs/dbraw/zinc/45/87/85/1109458785.db2.gz PJOHLUVIUAJHGY-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2cc(F)ccc2F)C1 ZINC001282183086 1109464148 /nfs/dbraw/zinc/46/41/48/1109464148.db2.gz FRGLQORNHYNCJI-QWHCGFSZSA-N 0 1 322.399 3.304 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001282660702 1109545382 /nfs/dbraw/zinc/54/53/82/1109545382.db2.gz CIYAXXOFVNEILD-FQXARDAWSA-N 0 1 324.468 3.365 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](CCCC)C(C)C ZINC001282680397 1109550911 /nfs/dbraw/zinc/55/09/11/1109550911.db2.gz ARFGGOBJWRDKCT-ROUUACIJSA-N 0 1 306.494 3.443 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001282687774 1109551769 /nfs/dbraw/zinc/55/17/69/1109551769.db2.gz GCXBZKKCLNTGMT-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@H]2CCc3c2cccc3F)C1 ZINC001282693997 1109553350 /nfs/dbraw/zinc/55/33/50/1109553350.db2.gz ULPRVLLJPMPEAE-ACJLOTCBSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@H]2CCc3c2cccc3F)C1 ZINC001282694003 1109553569 /nfs/dbraw/zinc/55/35/69/1109553569.db2.gz ULPRVLLJPMPEAE-UGSOOPFHSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001282741993 1109560753 /nfs/dbraw/zinc/56/07/53/1109560753.db2.gz WIEVLGLPCRRBCW-KGLIPLIRSA-N 0 1 320.864 3.141 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2cc(F)ccc2C)CC1(C)C ZINC001282790961 1109565571 /nfs/dbraw/zinc/56/55/71/1109565571.db2.gz KSZVTNGPVDEMMK-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cc(F)ccc2C)CC1(C)C ZINC001282790963 1109565890 /nfs/dbraw/zinc/56/58/90/1109565890.db2.gz KSZVTNGPVDEMMK-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](CC)Cc2ccccc2)C(C)(C)C1 ZINC001282820200 1109570031 /nfs/dbraw/zinc/57/00/31/1109570031.db2.gz KIZZFXPVZDLFQI-QZTJIDSGSA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCCC(=O)NC[C@H]1C[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001316628604 1109658722 /nfs/dbraw/zinc/65/87/22/1109658722.db2.gz RMDOGFLROOJKBE-MGPQQGTHSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)[C@@H]1C ZINC001283901201 1109696803 /nfs/dbraw/zinc/69/68/03/1109696803.db2.gz ZUQHGCDOWMHZHS-XJKSGUPXSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1N(C/C=C\Cl)CC2 ZINC001284058418 1109726006 /nfs/dbraw/zinc/72/60/06/1109726006.db2.gz QNIDBHXHYFGUBZ-IUXLYFCRSA-N 0 1 310.869 3.454 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1cccc(F)c1)C(C)C ZINC001284529710 1109804077 /nfs/dbraw/zinc/80/40/77/1109804077.db2.gz LGMNUDGFARZZEK-UHFFFAOYSA-N 0 1 306.425 3.461 20 30 DGEDMN C#CCC[N@H+](C)CCN(C(=O)c1c(C)oc(C)c1C)C(C)C ZINC001284544570 1109808683 /nfs/dbraw/zinc/80/86/83/1109808683.db2.gz RRRVTYPDJBBKJF-UHFFFAOYSA-N 0 1 304.434 3.011 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001316637972 1109929020 /nfs/dbraw/zinc/92/90/20/1109929020.db2.gz VRIIHHKCROIPNA-CKEIUWERSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C/C=C(/C)C=C)C1 ZINC001316645019 1110158522 /nfs/dbraw/zinc/15/85/22/1110158522.db2.gz MFLPUVVCJUWRPL-WMCWJWHRSA-N 0 1 310.441 3.279 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(C(F)F)c1F ZINC001317241956 1111102408 /nfs/dbraw/zinc/10/24/08/1111102408.db2.gz PCDRDIUKMCTJLP-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(Cl)nc1 ZINC001307810259 1111342150 /nfs/dbraw/zinc/34/21/50/1111342150.db2.gz ANFROSUYLOMXEP-UHFFFAOYSA-N 0 1 315.804 3.255 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC001307961393 1111359831 /nfs/dbraw/zinc/35/98/31/1111359831.db2.gz JTZMHZXXNPJFTA-CYBMUJFWSA-N 0 1 302.809 3.319 20 30 DGEDMN Cc1cc(Br)ccc1CNCC1(C#N)CCOCC1 ZINC001308549539 1111441671 /nfs/dbraw/zinc/44/16/71/1111441671.db2.gz YYMCIKKJHZPKFD-UHFFFAOYSA-N 0 1 323.234 3.168 20 30 DGEDMN N#CC1(CNCc2cc3nc(Cl)ccc3s2)CCOCC1 ZINC001308548518 1111441780 /nfs/dbraw/zinc/44/17/80/1111441780.db2.gz AYLWAJIYTCMNFO-UHFFFAOYSA-N 0 1 321.833 3.360 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1ccc(C(F)(F)F)nc1Cl ZINC001308769529 1111472304 /nfs/dbraw/zinc/47/23/04/1111472304.db2.gz GDBBTYNHODJISD-ZJUUUORDSA-N 0 1 306.715 3.187 20 30 DGEDMN C[N@@H+](CCOCc1ccc(C#N)cc1)Cc1cccc(F)c1[O-] ZINC001308795179 1111476640 /nfs/dbraw/zinc/47/66/40/1111476640.db2.gz PTOHGXQFUUCWIN-UHFFFAOYSA-N 0 1 314.360 3.052 20 30 DGEDMN CCCCCCCNS(=O)(=O)c1occc1Br ZINC001309707091 1111537329 /nfs/dbraw/zinc/53/73/29/1111537329.db2.gz QWJQAOYWUPCTEO-UHFFFAOYSA-N 0 1 324.240 3.291 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)Cc1ccnc(Cl)c1 ZINC001317485939 1111555616 /nfs/dbraw/zinc/55/56/16/1111555616.db2.gz GZEFMAGRASMVOV-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C)c2ccccc12 ZINC001317487366 1111557205 /nfs/dbraw/zinc/55/72/05/1111557205.db2.gz UVWWAHPOYIZQBH-UHFFFAOYSA-N 0 1 308.425 3.223 20 30 DGEDMN CCCCN(C(=O)NCC#CCN(C)C)[C@@H](C)c1ccccc1 ZINC001313438354 1111716418 /nfs/dbraw/zinc/71/64/18/1111716418.db2.gz LFPBKWMJUSRJLS-KRWDZBQOSA-N 0 1 315.461 3.124 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@H](NCc2cc(C)no2)C1 ZINC001316775006 1111736945 /nfs/dbraw/zinc/73/69/45/1111736945.db2.gz OVTFJWZHXVITIF-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@H](CN(C)CC(=C)Cl)C1 ZINC001317038199 1111742235 /nfs/dbraw/zinc/74/22/35/1111742235.db2.gz GOICDSSEVLIHCD-WPMUKRGUSA-N 0 1 310.869 3.432 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H]1C[C@@H](C(C)C)c2ccccc21 ZINC000278626778 214286630 /nfs/dbraw/zinc/28/66/30/214286630.db2.gz GPXJJSUUYXYABN-IRXDYDNUSA-N 0 1 307.459 3.061 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)Nc2ccccc2SCC#N)CC1 ZINC000063308452 432004828 /nfs/dbraw/zinc/00/48/28/432004828.db2.gz FQOOYCMENVXJPZ-CQSZACIVSA-N 0 1 317.458 3.363 20 30 DGEDMN CCc1c(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)ccn1C ZINC000355165998 529491267 /nfs/dbraw/zinc/49/12/67/529491267.db2.gz FSDWAMVMMDEFLH-ZDUSSCGKSA-N 0 1 306.369 3.262 20 30 DGEDMN Cc1nccn1C[C@H]1CCCN1C([O-])=[NH+][C@@H]1C[C@H]1c1ccccc1 ZINC000330277600 282215867 /nfs/dbraw/zinc/21/58/67/282215867.db2.gz OLVAYILANDYODE-FGTMMUONSA-N 0 1 324.428 3.126 20 30 DGEDMN Cc1nn(C)c(C)c1CNCC#Cc1ccc(C(F)(F)F)cc1 ZINC000177715482 282261152 /nfs/dbraw/zinc/26/11/52/282261152.db2.gz QQZRWPXXHIPARJ-UHFFFAOYSA-N 0 1 321.346 3.197 20 30 DGEDMN C[C@H](CC#N)N(C)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000103442544 432010135 /nfs/dbraw/zinc/01/01/35/432010135.db2.gz BKNSRTFDZLRBGI-SNVBAGLBSA-N 0 1 302.765 3.104 20 30 DGEDMN C[C@H](c1ccc(F)cc1F)N1CCN(CCCCC#N)CC1 ZINC000103494267 432010649 /nfs/dbraw/zinc/01/06/49/432010649.db2.gz MOWBJMCLUKKESO-CQSZACIVSA-N 0 1 307.388 3.337 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1cc(C#N)ccc1[N+](=O)[O-] ZINC000444866705 529977209 /nfs/dbraw/zinc/97/72/09/529977209.db2.gz BHGBKSAOYIEATL-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN COc1ccccc1C(C)(C)NCC(=O)Nc1cccc(C#N)c1 ZINC000103484751 432011364 /nfs/dbraw/zinc/01/13/64/432011364.db2.gz BKYJDQMAQRGUOJ-UHFFFAOYSA-N 0 1 323.396 3.030 20 30 DGEDMN C[N@@H+]1CCCC(C)(C)[C@H]1CNC(=O)c1c(F)ccc([O-])c1F ZINC000330906249 530051507 /nfs/dbraw/zinc/05/15/07/530051507.db2.gz ZUVMMDWBTDLDAX-GFCCVEGCSA-N 0 1 312.360 3.095 20 30 DGEDMN C[N@H+]1CCCC(C)(C)[C@H]1CNC(=O)c1c(F)ccc([O-])c1F ZINC000330906249 530051508 /nfs/dbraw/zinc/05/15/08/530051508.db2.gz ZUVMMDWBTDLDAX-GFCCVEGCSA-N 0 1 312.360 3.095 20 30 DGEDMN Cn1cc(CC(=O)Nc2nc(-c3ccco3)n[nH]2)c2ccccc21 ZINC000066044103 432013865 /nfs/dbraw/zinc/01/38/65/432013865.db2.gz IJKFBAIFRROGLI-UHFFFAOYSA-N 0 1 321.340 3.387 20 30 DGEDMN COc1ccc(-c2n[nH]c(SC[C@@H](C#N)CCC#N)n2)cc1 ZINC000066399585 432016061 /nfs/dbraw/zinc/01/60/61/432016061.db2.gz VYTFFMCEHSMFAG-LLVKDONJSA-N 0 1 313.386 3.016 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000066913322 432024145 /nfs/dbraw/zinc/02/41/45/432024145.db2.gz DEYVWGXHBCTQEG-GFCCVEGCSA-N 0 1 300.362 3.349 20 30 DGEDMN CC(C)[C@H]1CN(C(=O)Nc2ccc(C#N)cc2Cl)CCN1C ZINC000486971563 535221288 /nfs/dbraw/zinc/22/12/88/535221288.db2.gz OPOPGDFYZFKKRP-OAHLLOKOSA-N 0 1 320.824 3.016 20 30 DGEDMN COCCOc1ccccc1CN(C)Cc1ccc(C#N)cc1 ZINC000131194795 432033837 /nfs/dbraw/zinc/03/38/37/432033837.db2.gz MQNPQTNYDWTUDZ-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN CCOc1ccccc1NS(=O)(=O)c1cc(C#N)ccc1C ZINC000073353776 191309031 /nfs/dbraw/zinc/30/90/31/191309031.db2.gz NZVMBZGBDZALPN-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000451425919 283094142 /nfs/dbraw/zinc/09/41/42/283094142.db2.gz VJHOZEFJALWYKE-BRWVUGGUSA-N 0 1 304.821 3.337 20 30 DGEDMN CN(Cc1cc(C#N)cs1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000179473350 432059442 /nfs/dbraw/zinc/05/94/42/432059442.db2.gz QWGXBSGUEXNOEB-ZDUSSCGKSA-N 0 1 321.446 3.061 20 30 DGEDMN CCN(Cc1ccc(C(=O)N(C)C)[nH]1)[C@@H](C)c1ccc(C#N)cc1 ZINC000179463324 199040000 /nfs/dbraw/zinc/04/00/00/199040000.db2.gz ZZYVLURUOOUZBT-AWEZNQCLSA-N 0 1 324.428 3.171 20 30 DGEDMN Cc1ccc([C@@H](C)N[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)o1 ZINC000180234903 432082071 /nfs/dbraw/zinc/08/20/71/432082071.db2.gz CAFHBPKUOJJUAI-RHSMWYFYSA-N 0 1 323.396 3.306 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000187665440 200141738 /nfs/dbraw/zinc/14/17/38/200141738.db2.gz ZGMSCJDGXRZRGE-CJNGLKHVSA-N 0 1 315.417 3.218 20 30 DGEDMN CN(C)[C@@H](CNc1cccnc1C#N)c1ccccc1Cl ZINC000427987170 238006541 /nfs/dbraw/zinc/00/65/41/238006541.db2.gz APTIIBCLCUTVFS-INIZCTEOSA-N 0 1 300.793 3.321 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cccc(F)c1C#N ZINC000428228625 238012538 /nfs/dbraw/zinc/01/25/38/238012538.db2.gz MKRZNRWJBSVBGI-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CC[N@@H+](CCCCc3ccccc3)C2)nc1 ZINC000428806787 238031698 /nfs/dbraw/zinc/03/16/98/238031698.db2.gz KMEVSALXJMJCNV-LJQANCHMSA-N 0 1 321.424 3.429 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCN(Cc3cccc(Cl)c3)CC2)C1 ZINC000429707088 238058363 /nfs/dbraw/zinc/05/83/63/238058363.db2.gz FVVPCKLIDMVFHE-YOEHRIQHSA-N 0 1 303.837 3.150 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(Cl)cc2)c1C#N ZINC000441381596 239044128 /nfs/dbraw/zinc/04/41/28/239044128.db2.gz JBEUOCZIPJFMIB-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc3c(c2)CCC3)c1C#N ZINC000441373005 239044642 /nfs/dbraw/zinc/04/46/42/239044642.db2.gz RARSXVMMTAIHQO-UHFFFAOYSA-N 0 1 312.394 3.156 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC000442709351 239113556 /nfs/dbraw/zinc/11/35/56/239113556.db2.gz ABWYOOABQNXURY-HUUCEWRRSA-N 0 1 306.413 3.143 20 30 DGEDMN Cc1cc(CNCc2ccc(OCC3CC3)nc2)ccc1C#N ZINC000443994897 239247655 /nfs/dbraw/zinc/24/76/55/239247655.db2.gz UOZDZUCUIOOBOE-UHFFFAOYSA-N 0 1 307.397 3.340 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000189975573 432107628 /nfs/dbraw/zinc/10/76/28/432107628.db2.gz CLHMSSYITOUUKE-LJQANCHMSA-N 0 1 300.446 3.323 20 30 DGEDMN Cc1ccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000271778718 209206173 /nfs/dbraw/zinc/20/61/73/209206173.db2.gz LHKSJWGZCXXKQC-UHFFFAOYSA-N 0 1 305.381 3.084 20 30 DGEDMN N#Cc1ccc(CN(Cc2cc(=O)[nH]c(C3CC3)n2)C2CC2)cc1 ZINC000459331513 243339481 /nfs/dbraw/zinc/33/94/81/243339481.db2.gz UIZPESMYUYAPNY-UHFFFAOYSA-N 0 1 320.396 3.096 20 30 DGEDMN CC(C)(CC#N)CNCc1c(Cl)cccc1N1CCOCC1 ZINC000459684419 243459466 /nfs/dbraw/zinc/45/94/66/243459466.db2.gz CKAVMIAFMPTYQD-UHFFFAOYSA-N 0 1 321.852 3.206 20 30 DGEDMN C=CCCCCCN1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000488129277 245149914 /nfs/dbraw/zinc/14/99/14/245149914.db2.gz QVZTVUCGYFDUHW-UHFFFAOYSA-N 0 1 322.474 3.015 20 30 DGEDMN Cc1cc(CNC2(c3ccc4c(c3)OCO4)CC2)ccc1C#N ZINC000488156572 245154725 /nfs/dbraw/zinc/15/47/25/245154725.db2.gz BDIYQCSESJOOEF-UHFFFAOYSA-N 0 1 306.365 3.374 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2cccc3nc(C)[nH]c32)cc1 ZINC000489968990 245366018 /nfs/dbraw/zinc/36/60/18/245366018.db2.gz VCYBTMQJEZCZFB-QGZVFWFLSA-N 0 1 318.380 3.428 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000271694942 209133591 /nfs/dbraw/zinc/13/35/91/209133591.db2.gz SGXQJJCLSMNKQX-RLFYNMQTSA-N 0 1 313.445 3.246 20 30 DGEDMN CCCCC[C@H](C)NC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271692160 209129786 /nfs/dbraw/zinc/12/97/86/209129786.db2.gz RTAJBSCYJCKDAZ-LSDHHAIUSA-N 0 1 301.434 3.294 20 30 DGEDMN COC[C@H](NCc1cc(C#N)n(C)c1)c1cccc(Cl)c1 ZINC000288514207 220192425 /nfs/dbraw/zinc/19/24/25/220192425.db2.gz JADSHWXGHZVUDT-INIZCTEOSA-N 0 1 303.793 3.027 20 30 DGEDMN N#CC(C(=O)c1cscn1)c1nc2ccccc2n1C(F)F ZINC000171402628 432131239 /nfs/dbraw/zinc/13/12/39/432131239.db2.gz VUDJPKMNHWJXNK-QMMMGPOBSA-N 0 1 318.308 3.378 20 30 DGEDMN N#C[C@@H](C(=O)c1cscn1)c1nc2ccccc2n1C(F)F ZINC000171402628 432131244 /nfs/dbraw/zinc/13/12/44/432131244.db2.gz VUDJPKMNHWJXNK-QMMMGPOBSA-N 0 1 318.308 3.378 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C=Cc1cccc(C#N)c1 ZINC000493747243 246056344 /nfs/dbraw/zinc/05/63/44/246056344.db2.gz DCNBMQPBXAVGTM-AATRIKPKSA-N 0 1 302.337 3.395 20 30 DGEDMN CN(CC[C@@H]1CCCO1)Cc1cc(C#N)ccc1Br ZINC000493741011 246054603 /nfs/dbraw/zinc/05/46/03/246054603.db2.gz WBSSWVCLLNDRSY-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000495142847 246240163 /nfs/dbraw/zinc/24/01/63/246240163.db2.gz TYHMPZKZKFQHCS-HOTGVXAUSA-N 0 1 319.449 3.413 20 30 DGEDMN CCN(CCC#N)CC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000495529965 246277707 /nfs/dbraw/zinc/27/77/07/246277707.db2.gz TWTXKHLLTKWZNW-HNNXBMFYSA-N 0 1 309.454 3.259 20 30 DGEDMN CN(CC1(C#N)CCC1)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC000496274729 246374273 /nfs/dbraw/zinc/37/42/73/246374273.db2.gz LJCRRSCSJOYNPU-UHFFFAOYSA-N 0 1 307.438 3.012 20 30 DGEDMN C[C@@H](C#N)CN(C)CC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000496411721 246392793 /nfs/dbraw/zinc/39/27/93/246392793.db2.gz RAHHHHHJBBYZJI-LSDHHAIUSA-N 0 1 309.454 3.115 20 30 DGEDMN C=C(Cl)COc1ccc([C@H](C)NC[C@@H](O)C(F)(F)F)cc1 ZINC000268806380 432138965 /nfs/dbraw/zinc/13/89/65/432138965.db2.gz COSNJRLQFHZMNZ-GXFFZTMASA-N 0 1 323.742 3.392 20 30 DGEDMN Cc1cc([C@H](C)NCCSCc2ccccc2C#N)no1 ZINC000284856048 218208791 /nfs/dbraw/zinc/20/87/91/218208791.db2.gz AHPWETMFHXWOJH-ZDUSSCGKSA-N 0 1 301.415 3.439 20 30 DGEDMN C=CCOc1cccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)c1 ZINC000268899126 207067494 /nfs/dbraw/zinc/06/74/94/207067494.db2.gz PYKHLZIMSJQQNP-HNNXBMFYSA-N 0 1 312.373 3.174 20 30 DGEDMN N#Cc1ccc(CNCc2ccccc2-n2cccn2)c(F)c1 ZINC000336865521 253013652 /nfs/dbraw/zinc/01/36/52/253013652.db2.gz WYXVEXBDRPVHMS-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN Cc1nc([C@@H]2CCCCN2Cc2ccc(C#N)cc2Cl)n[nH]1 ZINC000338323615 253265508 /nfs/dbraw/zinc/26/55/08/253265508.db2.gz FLKJJCUNUHBUEL-HNNXBMFYSA-N 0 1 315.808 3.365 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccc(C#N)cc1 ZINC000338357721 253271893 /nfs/dbraw/zinc/27/18/93/253271893.db2.gz KZPZOBMWXHGAIA-HOTGVXAUSA-N 0 1 321.424 3.428 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1CN[C@H](C)c1ccc(C#N)cc1 ZINC000338360268 253272861 /nfs/dbraw/zinc/27/28/61/253272861.db2.gz QCPZGDBLELPRFI-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN CC(C)CN(C(=O)CN[C@@H](C)c1ccc(C#N)cc1)C(C)C ZINC000338371820 253274893 /nfs/dbraw/zinc/27/48/93/253274893.db2.gz WJKPQOGLANMDHN-HNNXBMFYSA-N 0 1 301.434 3.102 20 30 DGEDMN N#Cc1ccccc1-c1ccc(CN2CC[C@](F)(CO)C2)cc1 ZINC000338624189 253323089 /nfs/dbraw/zinc/32/30/89/253323089.db2.gz FIKPGBOHHNANHX-LJQANCHMSA-N 0 1 310.372 3.132 20 30 DGEDMN Cc1ccnc(OC2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC000272978984 210361213 /nfs/dbraw/zinc/36/12/13/210361213.db2.gz QCIQRSIBEMYWFW-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C[C@@H](NCC(=O)N1c2ccccc2C[C@H]1C)c1ccc(C#N)cc1 ZINC000271656134 209101304 /nfs/dbraw/zinc/10/13/04/209101304.db2.gz KDEJNNPWHCBDFX-HUUCEWRRSA-N 0 1 319.408 3.187 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc2nc(-c3ccc(F)cc3)[nH]c2c1 ZINC000357835415 533120918 /nfs/dbraw/zinc/12/09/18/533120918.db2.gz RDKBECVNWYEQEW-JTQLQIEISA-N 0 1 308.316 3.467 20 30 DGEDMN Cc1cc(N2CCN([C@H](C)c3ccsc3)CC2)cc(C#N)n1 ZINC000342836683 254062401 /nfs/dbraw/zinc/06/24/01/254062401.db2.gz AUKHDGCPULSVFV-CQSZACIVSA-N 0 1 312.442 3.206 20 30 DGEDMN Cc1ccnc(NC[C@@H](c2ccco2)N2CCCCC2)c1C#N ZINC000343502423 254152737 /nfs/dbraw/zinc/15/27/37/254152737.db2.gz DQPLWZLLRBFPEH-INIZCTEOSA-N 0 1 310.401 3.494 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(F)c(C#N)c3)CC2)c1 ZINC000344342847 254259337 /nfs/dbraw/zinc/25/93/37/254259337.db2.gz CDWJYUHIRKCSGS-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCOc1ccccc1F ZINC000120537761 195186625 /nfs/dbraw/zinc/18/66/25/195186625.db2.gz BKDUNMZSYOAHFN-UHFFFAOYSA-N 0 1 314.360 3.217 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc2c(c1)CCC2)c1ccc(C#N)cc1 ZINC000271626436 209072720 /nfs/dbraw/zinc/07/27/20/209072720.db2.gz DTLQJJYCVFTUAR-AWEZNQCLSA-N 0 1 319.408 3.336 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)Cc1ccc2ccccc2c1 ZINC000345873364 533236517 /nfs/dbraw/zinc/23/65/17/533236517.db2.gz ZRSAUNRAAMESGE-HNNXBMFYSA-N 0 1 321.424 3.223 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(OC(C)(C)C)CC1 ZINC000156921417 533267184 /nfs/dbraw/zinc/26/71/84/533267184.db2.gz KSRVQUIMVRQHSB-UHFFFAOYSA-N 0 1 302.418 3.346 20 30 DGEDMN C[C@@H](NCCC(=O)N1CCCCCC1)c1ccc(C#N)cc1F ZINC000331414041 533369235 /nfs/dbraw/zinc/36/92/35/533369235.db2.gz GPTDFBWWKVAAHU-CQSZACIVSA-N 0 1 317.408 3.141 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H](c3ccccc3)CC2)CC1 ZINC000066762124 400115328 /nfs/dbraw/zinc/11/53/28/400115328.db2.gz FILKYUBPMYXYIA-IBGZPJMESA-N 0 1 324.468 3.128 20 30 DGEDMN N#Cc1cnc(N2CCN(CC3CCCCC3)CC2)c(Cl)c1 ZINC000608885249 400130722 /nfs/dbraw/zinc/13/07/22/400130722.db2.gz GZRIQBDYVHXPQX-UHFFFAOYSA-N 0 1 318.852 3.309 20 30 DGEDMN C[C@]1(c2ccccc2)CN(CCc2ccc(C#N)cc2)CCO1 ZINC000595345152 400132640 /nfs/dbraw/zinc/13/26/40/400132640.db2.gz PKBUMFSDZCYNGP-HXUWFJFHSA-N 0 1 306.409 3.348 20 30 DGEDMN Cc1ccc(C[N@@H+]2Cc3ccccc3[C@H](C(=O)[O-])C2)cc1C#N ZINC000600708070 400134898 /nfs/dbraw/zinc/13/48/98/400134898.db2.gz LJBODFFUJFJSKJ-GOSISDBHSA-N 0 1 306.365 3.051 20 30 DGEDMN Cc1nc2ccc(NC(=O)[C@@H](C)Oc3ccc(C#N)cc3)cc2[nH]1 ZINC000010895882 400138255 /nfs/dbraw/zinc/13/82/55/400138255.db2.gz YLHHOKOUXWDEMY-LLVKDONJSA-N 0 1 320.352 3.149 20 30 DGEDMN N#C[C@@H](Cc1ccccc1)Sc1nc(-c2cccnc2)n[nH]1 ZINC000609092302 400138782 /nfs/dbraw/zinc/13/87/82/400138782.db2.gz BJYWUFWMNAXFKA-CQSZACIVSA-N 0 1 307.382 3.094 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000595658711 400221883 /nfs/dbraw/zinc/22/18/83/400221883.db2.gz HUKDICBROWLUEZ-ZIAGYGMSSA-N 0 1 317.389 3.210 20 30 DGEDMN C[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)[C@H]1CCO ZINC000595695328 400231791 /nfs/dbraw/zinc/23/17/91/400231791.db2.gz GRMZBYKREGRXJZ-WFASDCNBSA-N 0 1 324.371 3.143 20 30 DGEDMN C[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)[C@@H]1CCO ZINC000595695326 400231844 /nfs/dbraw/zinc/23/18/44/400231844.db2.gz GRMZBYKREGRXJZ-IUODEOHRSA-N 0 1 324.371 3.143 20 30 DGEDMN COCCc1ncc(CN[C@H](C)c2ccc(C#N)cc2)s1 ZINC000601100910 400232717 /nfs/dbraw/zinc/23/27/17/400232717.db2.gz PIQZPRZXBJETOZ-GFCCVEGCSA-N 0 1 301.415 3.054 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CC[C@H]2c2ccc(F)cc2)cc1 ZINC000595705808 400237311 /nfs/dbraw/zinc/23/73/11/400237311.db2.gz WESUEGNYYNJZNK-SFHVURJKSA-N 0 1 323.371 3.473 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NC1CCC(C)CC1)c1cccc(C#N)c1 ZINC000610343985 400244609 /nfs/dbraw/zinc/24/46/09/400244609.db2.gz XSXDXLKSWMCRPM-DGSCNEQVSA-N 0 1 313.445 3.292 20 30 DGEDMN COc1ccccc1NC(=O)[C@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000610344014 400245014 /nfs/dbraw/zinc/24/50/14/400245014.db2.gz YJXHDRQDPDIGLM-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)Nc1cccc(F)c1 ZINC000610346209 400245924 /nfs/dbraw/zinc/24/59/24/400245924.db2.gz OKGSTZNTLQPOAA-CHWSQXEVSA-N 0 1 311.360 3.375 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC[C@@H](CCF)C3)o2)cc1 ZINC000595757131 400251736 /nfs/dbraw/zinc/25/17/36/400251736.db2.gz ZTGDYCBSWKYQBF-AWEZNQCLSA-N 0 1 314.364 3.180 20 30 DGEDMN C=CCN(CC(=O)Nc1c(C)cccc1[N+](=O)[O-])C(C)(C)C ZINC000595765848 400252776 /nfs/dbraw/zinc/25/27/76/400252776.db2.gz PPKNTVNZPCUSNK-UHFFFAOYSA-N 0 1 305.378 3.128 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCN(CCc3ccccc3)CC2)c1 ZINC000022516593 400202376 /nfs/dbraw/zinc/20/23/76/400202376.db2.gz OYYRHXSISDIDPW-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN COc1cc(CN2CCC[C@@H](CC#N)C2)ccc1OC(F)F ZINC000595611106 400204775 /nfs/dbraw/zinc/20/47/75/400204775.db2.gz HAFQBAVHDZCXAY-LBPRGKRZSA-N 0 1 310.344 3.422 20 30 DGEDMN COc1cccc([C@]2(C)CCN(Cc3ccc(C#N)cn3)C2)c1 ZINC000595767920 400254363 /nfs/dbraw/zinc/25/43/63/400254363.db2.gz RDWOHJFHTCHEPK-LJQANCHMSA-N 0 1 307.397 3.125 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2ccc(C)cc2)n1 ZINC000067898180 400214431 /nfs/dbraw/zinc/21/44/31/400214431.db2.gz LAKAWPGFQQGKIC-GFCCVEGCSA-N 0 1 314.366 3.118 20 30 DGEDMN C[C@@H]1CCC[C@@H](C)N1C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595643323 400216144 /nfs/dbraw/zinc/21/61/44/400216144.db2.gz XMIUCGWAMRZUSV-HUUCEWRRSA-N 0 1 313.445 3.172 20 30 DGEDMN Cc1cc(C2CCN(C(=O)Nc3cccc(C#N)c3)CC2)n[nH]1 ZINC000601225400 400267393 /nfs/dbraw/zinc/26/73/93/400267393.db2.gz NANQIHBGXBRIHR-UHFFFAOYSA-N 0 1 309.373 3.001 20 30 DGEDMN N#Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)ccn1 ZINC000595827738 400272594 /nfs/dbraw/zinc/27/25/94/400272594.db2.gz GWAHPMQXAZEFQV-UHFFFAOYSA-N 0 1 319.408 3.313 20 30 DGEDMN C[C@H](NC(=O)c1sccc1CN(C)C)c1cccc(C#N)c1 ZINC000610677271 400289101 /nfs/dbraw/zinc/28/91/01/400289101.db2.gz XDZMDEWUGAXVRX-LBPRGKRZSA-N 0 1 313.426 3.172 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3cccc(C#N)n3)c2C1 ZINC000589457404 400297532 /nfs/dbraw/zinc/29/75/32/400297532.db2.gz DSJIFFWOBPLRAZ-UHFFFAOYSA-N 0 1 320.396 3.177 20 30 DGEDMN CN(C)[C@@H](CNc1ccc([N+](=O)[O-])cc1C#N)c1ccsc1 ZINC000026720054 400260501 /nfs/dbraw/zinc/26/05/01/400260501.db2.gz ARWODBHQXRAHDH-HNNXBMFYSA-N 0 1 316.386 3.243 20 30 DGEDMN Cc1ncc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C#N)c2)s1 ZINC000601930849 400379153 /nfs/dbraw/zinc/37/91/53/400379153.db2.gz AGOYHKLSFAAUTH-QWRGUYRKSA-N 0 1 314.414 3.001 20 30 DGEDMN C[C@@H]1CCc2[nH]n(-c3ccc(C#N)c(C(F)(F)F)c3)c(=O)c21 ZINC000601939537 400381635 /nfs/dbraw/zinc/38/16/35/400381635.db2.gz LUUMWUFCQFQYRE-OQPBUACISA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1[nH]n(-c2ccccc2OC(F)(F)F)c(=O)c1CCC#N ZINC000601950081 400384735 /nfs/dbraw/zinc/38/47/35/400384735.db2.gz UDZSVNAQWOZZRV-JTQLQIEISA-N 0 1 311.263 3.228 20 30 DGEDMN N#Cc1ccc(Br)c(N2N=C3CCCC[C@@H]3C2=O)c1 ZINC000601948417 400385130 /nfs/dbraw/zinc/38/51/30/400385130.db2.gz NWDGQRMPCJOSPP-JTQLQIEISA-N 0 1 318.174 3.214 20 30 DGEDMN N#Cc1ccc(Br)c(-n2[nH]c3c(c2=O)CCCC3)c1 ZINC000601948417 400385135 /nfs/dbraw/zinc/38/51/35/400385135.db2.gz NWDGQRMPCJOSPP-JTQLQIEISA-N 0 1 318.174 3.214 20 30 DGEDMN COc1ccc2ccccc2c1Cn1[nH]c(C)c(CCC#N)c1=O ZINC000601951193 400385907 /nfs/dbraw/zinc/38/59/07/400385907.db2.gz UGSFAUAPVUONQB-HNNXBMFYSA-N 0 1 321.380 3.486 20 30 DGEDMN C[C@@H](NCc1ccnc(C#N)c1)c1ccccc1OC(F)F ZINC000611378455 400387709 /nfs/dbraw/zinc/38/77/09/400387709.db2.gz LXSUAMYEWXMHPB-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc(F)cc1F)c1cccc(C#N)c1 ZINC000611386968 400388919 /nfs/dbraw/zinc/38/89/19/400388919.db2.gz XTLPCWNMVIJIQI-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c(F)c1 ZINC000611386080 400389137 /nfs/dbraw/zinc/38/91/37/400389137.db2.gz CABGZCLDQRKGQM-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN COc1ccc(C)cc1NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611388922 400390306 /nfs/dbraw/zinc/39/03/06/400390306.db2.gz UOUHZFSQFCZLOR-CQSZACIVSA-N 0 1 323.396 3.165 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2cc(F)cc(C#N)c2)o1 ZINC000601716007 400339139 /nfs/dbraw/zinc/33/91/39/400339139.db2.gz RTTXNEFRWMSUEX-UHFFFAOYSA-N 0 1 315.348 3.384 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)c(F)c1 ZINC000589945590 400340514 /nfs/dbraw/zinc/34/05/14/400340514.db2.gz KZFILWXOTGYNRR-INIZCTEOSA-N 0 1 313.380 3.227 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@H](C)[C@H]1C)c1cccc(C#N)c1 ZINC000611389229 400391660 /nfs/dbraw/zinc/39/16/60/400391660.db2.gz QTSOMJMZUPYREU-JTOWHCCKSA-N 0 1 313.445 3.150 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccccc3C3CC3)CC2)nc1 ZINC000611253825 400365634 /nfs/dbraw/zinc/36/56/34/400365634.db2.gz BABBTXMWSQWBNZ-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN N#Cc1ccc([N+](=O)[O-])c(NC[C@@H]2CCN2Cc2ccccc2)c1 ZINC000596559135 400444090 /nfs/dbraw/zinc/44/40/90/400444090.db2.gz XBJWZLGFBVYYST-INIZCTEOSA-N 0 1 322.368 3.153 20 30 DGEDMN COc1ccc(-c2cc(CN3CCC(CC#N)CC3)on2)cc1 ZINC000611688970 400437042 /nfs/dbraw/zinc/43/70/42/400437042.db2.gz QMUGXFBQUIRCED-UHFFFAOYSA-N 0 1 311.385 3.476 20 30 DGEDMN CC(C)N(C(=O)[C@H](C)N[C@H](C)c1ccc(C#N)cc1)C(C)C ZINC000127550821 400503340 /nfs/dbraw/zinc/50/33/40/400503340.db2.gz NZCMPDATNMXVJB-CABCVRRESA-N 0 1 301.434 3.243 20 30 DGEDMN Cc1nc2cc(N[C@@H](C)CC3(C#N)CCOCC3)ccc2n1C ZINC000602586993 400506549 /nfs/dbraw/zinc/50/65/49/400506549.db2.gz FRBYCISVRATPHV-ZDUSSCGKSA-N 0 1 312.417 3.393 20 30 DGEDMN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1cccc(C#N)n1 ZINC000602607552 400510458 /nfs/dbraw/zinc/51/04/58/400510458.db2.gz YLIWCGOBZLAVJG-SJCJKPOMSA-N 0 1 315.804 3.472 20 30 DGEDMN CSc1ccccc1NC(=O)CN(C)C[C@H](C)CCC#N ZINC000602434764 400461366 /nfs/dbraw/zinc/46/13/66/400461366.db2.gz RCGHSMLJPUPEJG-CYBMUJFWSA-N 0 1 305.447 3.219 20 30 DGEDMN N#CCCCCCC(=O)Nc1ccc(-c2nnc[nH]2)cc1F ZINC000590991351 400474231 /nfs/dbraw/zinc/47/42/31/400474231.db2.gz ZFMFZPNMCSNARW-UHFFFAOYSA-N 0 1 301.325 3.023 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N(C)c1ccccc1 ZINC000591022927 400477255 /nfs/dbraw/zinc/47/72/55/400477255.db2.gz AUCVLEQKXDCVMG-LSDHHAIUSA-N 0 1 307.397 3.260 20 30 DGEDMN Cc1sc(NC(=O)CN2CC[C@H](C3CCC3)C2)c(C#N)c1C ZINC000591063280 400480687 /nfs/dbraw/zinc/48/06/87/400480687.db2.gz NLEGGHGTXKGNQL-AWEZNQCLSA-N 0 1 317.458 3.297 20 30 DGEDMN CC(=O)Nc1cccc2c1CCN(Cc1ccc(CC#N)cc1)C2 ZINC000612063851 400482896 /nfs/dbraw/zinc/48/28/96/400482896.db2.gz HPTLSLQBUYSWTC-UHFFFAOYSA-N 0 1 319.408 3.269 20 30 DGEDMN COc1cc(C)c([C@@H](C)NCc2cccc(C#N)n2)cc1OC ZINC000602515702 400484850 /nfs/dbraw/zinc/48/48/50/400484850.db2.gz SBKHCVAIHLJNHD-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)n1)c1ccc(NC(=O)C2CC2)cc1 ZINC000602513405 400484944 /nfs/dbraw/zinc/48/49/44/400484944.db2.gz XLYOBJQVQLZJME-CYBMUJFWSA-N 0 1 320.396 3.153 20 30 DGEDMN CN(C)[C@@H](CNC(=O)CC1(C#N)CCCCC1)c1ccsc1 ZINC000596754248 400492542 /nfs/dbraw/zinc/49/25/42/400492542.db2.gz ZBKXVRADYCQNNX-HNNXBMFYSA-N 0 1 319.474 3.331 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC1(c2ccc(F)cc2)CCC1 ZINC000591375785 400514913 /nfs/dbraw/zinc/51/49/13/400514913.db2.gz HEBJQHAAFDYSBF-UHFFFAOYSA-N 0 1 304.409 3.219 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC000602671009 400532190 /nfs/dbraw/zinc/53/21/90/400532190.db2.gz GYADNEYGNPHWPQ-MRXNPFEDSA-N 0 1 313.445 3.049 20 30 DGEDMN COc1ccc2c(c1)OCC[C@@H]2NCc1ccc(C#N)c(F)c1 ZINC000591501540 400533354 /nfs/dbraw/zinc/53/33/54/400533354.db2.gz MSGZCHZGIRRGEP-KRWDZBQOSA-N 0 1 312.344 3.319 20 30 DGEDMN N#CC1(c2ccc(CN3CCC[C@H]3[C@H](O)C(F)(F)F)cc2)CC1 ZINC000597011792 400538797 /nfs/dbraw/zinc/53/87/97/400538797.db2.gz MAODTZANSZDSTM-GJZGRUSLSA-N 0 1 324.346 3.129 20 30 DGEDMN Cn1ncc(C2CC2)c1CN1CC=C(c2ccc(C#N)cc2)CC1 ZINC000597026929 400541791 /nfs/dbraw/zinc/54/17/91/400541791.db2.gz SQMLJNWRRZLXOE-UHFFFAOYSA-N 0 1 318.424 3.458 20 30 DGEDMN N#CCCNCC1(c2ccc(Br)cc2)CCOCC1 ZINC000591732525 400552706 /nfs/dbraw/zinc/55/27/06/400552706.db2.gz KARYYLVGFHYSKN-UHFFFAOYSA-N 0 1 323.234 3.001 20 30 DGEDMN CC(C)(C#N)CNC[C@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000591759391 400553490 /nfs/dbraw/zinc/55/34/90/400553490.db2.gz LOJFNMVNFSNRBQ-SFHVURJKSA-N 0 1 324.424 3.233 20 30 DGEDMN N#Cc1cc(F)ccc1NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000075049923 400555601 /nfs/dbraw/zinc/55/56/01/400555601.db2.gz QKWYCFHKPDCIKW-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN N#Cc1cc(F)ccc1NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000075049923 400555608 /nfs/dbraw/zinc/55/56/08/400555608.db2.gz QKWYCFHKPDCIKW-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H](C#N)C(=O)c1cnc(C2CC2)s1 ZINC000591862267 400567276 /nfs/dbraw/zinc/56/72/76/400567276.db2.gz MPNMNMTVQSPVCH-NSHDSACASA-N 0 1 322.393 3.397 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1cnc(C2CC2)s1 ZINC000591862267 400567281 /nfs/dbraw/zinc/56/72/81/400567281.db2.gz MPNMNMTVQSPVCH-NSHDSACASA-N 0 1 322.393 3.397 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000047959724 400635973 /nfs/dbraw/zinc/63/59/73/400635973.db2.gz LTGZDHNIZODTQG-YJBOKZPZSA-N 0 1 301.434 3.042 20 30 DGEDMN C[C@H](NC(=O)c1ccc(O)c(Cl)c1)c1cccc(C#N)c1 ZINC000075504778 400589921 /nfs/dbraw/zinc/58/99/21/400589921.db2.gz DDFALRPDVABOOL-JTQLQIEISA-N 0 1 300.745 3.408 20 30 DGEDMN Cc1ccc(-c2noc(CCCN[C@@H](C)CC#N)n2)cc1F ZINC000602862038 400592705 /nfs/dbraw/zinc/59/27/05/400592705.db2.gz KNPVZDGHEWXIIC-LBPRGKRZSA-N 0 1 302.353 3.009 20 30 DGEDMN C[C@H](CC#N)NCCC(=O)Nc1c(Cl)cccc1Cl ZINC000602868895 400595471 /nfs/dbraw/zinc/59/54/71/400595471.db2.gz KWLVUEKYRRMEPY-SECBINFHSA-N 0 1 300.189 3.214 20 30 DGEDMN C[C@@H](NC(=O)[C@H](C)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000592135816 400611268 /nfs/dbraw/zinc/61/12/68/400611268.db2.gz VUKVNDKCTFOTQH-CVEARBPZSA-N 0 1 313.445 3.268 20 30 DGEDMN CC(C)N(C(=O)CN1CCC(CCC#N)CC1)c1ccccc1 ZINC000592135873 400611801 /nfs/dbraw/zinc/61/18/01/400611801.db2.gz JFYOHSXBTZOVSG-UHFFFAOYSA-N 0 1 313.445 3.444 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccccc2OCc2ccccc2)C1 ZINC000592523697 400664168 /nfs/dbraw/zinc/66/41/68/400664168.db2.gz RCCQCAWRQFSKET-HXUWFJFHSA-N 0 1 322.408 3.116 20 30 DGEDMN O=C(CNc1ccccc1Cl)Nc1nc(-c2ccco2)n[nH]1 ZINC000076653371 400665964 /nfs/dbraw/zinc/66/59/64/400665964.db2.gz XSCSJRXTTYQJGO-UHFFFAOYSA-N 0 1 317.736 3.418 20 30 DGEDMN CC(C)(C#N)CCCn1cc([C@@H](N)c2ccc(Cl)cc2)nn1 ZINC000603259164 400661543 /nfs/dbraw/zinc/66/15/43/400661543.db2.gz FDSMNLAHNFQFHX-HNNXBMFYSA-N 0 1 317.824 3.310 20 30 DGEDMN CC(C)(C#N)CCCn1cc([C@H](N)c2ccc(Cl)cc2)nn1 ZINC000603259171 400661794 /nfs/dbraw/zinc/66/17/94/400661794.db2.gz FDSMNLAHNFQFHX-OAHLLOKOSA-N 0 1 317.824 3.310 20 30 DGEDMN C[C@H](CC#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC000597807290 400675435 /nfs/dbraw/zinc/67/54/35/400675435.db2.gz AFGZIYQBCVAKKA-TWMKSMIVSA-N 0 1 313.445 3.046 20 30 DGEDMN COCCN(CCOc1ccccc1C#N)Cc1cccs1 ZINC000050068702 400675993 /nfs/dbraw/zinc/67/59/93/400675993.db2.gz RTIKGJQEVUXYAL-UHFFFAOYSA-N 0 1 316.426 3.147 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc(-c3ccccc3)n[nH]2)ccc1F ZINC000076880679 400678638 /nfs/dbraw/zinc/67/86/38/400678638.db2.gz HNOFUCWBTKAIPP-UHFFFAOYSA-N 0 1 306.300 3.340 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)Cc1ccc(Cl)s1 ZINC000052890413 400753845 /nfs/dbraw/zinc/75/38/45/400753845.db2.gz CTZUCEBQIGDQOY-UHFFFAOYSA-N 0 1 300.855 3.258 20 30 DGEDMN C[C@@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1ccc(C#N)cc1 ZINC000160134136 400732598 /nfs/dbraw/zinc/73/25/98/400732598.db2.gz MPMYSQUVOVGCAY-KDOFPFPSSA-N 0 1 311.429 3.142 20 30 DGEDMN CCN(CC)[C@H](C(=O)N1CCC[C@@H](CC#N)C1)c1ccccc1 ZINC000597913646 400695570 /nfs/dbraw/zinc/69/55/70/400695570.db2.gz QKIPSTWBOAVASZ-WMZOPIPTSA-N 0 1 313.445 3.222 20 30 DGEDMN CN(CCc1ccccc1)CC(=O)N(CCC#N)c1ccccc1 ZINC000051999300 400712225 /nfs/dbraw/zinc/71/22/25/400712225.db2.gz DZYGYJUKICXMDJ-UHFFFAOYSA-N 0 1 321.424 3.108 20 30 DGEDMN C[C@]1(C#N)CCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000598408505 400806266 /nfs/dbraw/zinc/80/62/66/400806266.db2.gz CDFTZSHOBSIEBY-MRXNPFEDSA-N 0 1 314.776 3.106 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@@H](C)c1ccc(F)cc1 ZINC000053087975 400764026 /nfs/dbraw/zinc/76/40/26/400764026.db2.gz QXLHEWKMOTYUSC-HNNXBMFYSA-N 0 1 304.409 3.409 20 30 DGEDMN CCN(C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)[C@@H](C)C#N ZINC000598349310 400791900 /nfs/dbraw/zinc/79/19/00/400791900.db2.gz FUIMEJUBBGIXKJ-JTQLQIEISA-N 0 1 302.765 3.104 20 30 DGEDMN C[C@@H]1CN(C(=O)CCCC#N)[C@H](C)[C@H](C)N1Cc1ccccc1 ZINC000593224132 400793841 /nfs/dbraw/zinc/79/38/41/400793841.db2.gz CAAYQSPSEWOKBW-IXDOHACOSA-N 0 1 313.445 3.190 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1F)C(C)C ZINC000180255717 400883134 /nfs/dbraw/zinc/88/31/34/400883134.db2.gz IPYZNARQJGJZKI-ABAIWWIYSA-N 0 1 312.816 3.457 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CNCc1csc(-c2ccccc2)n1 ZINC000598721572 400864594 /nfs/dbraw/zinc/86/45/94/400864594.db2.gz XIYBMEQRAVNTOU-HNNXBMFYSA-N 0 1 315.442 3.200 20 30 DGEDMN Cn1ncc(C(F)(F)F)c1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000599061825 400944325 /nfs/dbraw/zinc/94/43/25/400944325.db2.gz SBKBLUBBOVLGER-AWEZNQCLSA-N 0 1 320.318 3.088 20 30 DGEDMN Cc1cnc(OC2CCN([C@H](C)c3ccc(C#N)cc3)CC2)nc1 ZINC000594021335 400950524 /nfs/dbraw/zinc/95/05/24/400950524.db2.gz RTLXKXQGHSAFAS-OAHLLOKOSA-N 0 1 322.412 3.261 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2sccc2C#N)C1=O)c1ccsc1 ZINC000619746190 400896909 /nfs/dbraw/zinc/89/69/09/400896909.db2.gz CHFBKYGWZFNCPT-GWCFXTLKSA-N 0 1 317.439 3.137 20 30 DGEDMN N#CC(C(=O)c1ccc2[nH]c(=O)oc2c1)c1nc2ccccc2o1 ZINC000619753801 400898719 /nfs/dbraw/zinc/89/87/19/400898719.db2.gz MOZURDIXOIWVHW-SNVBAGLBSA-N 0 1 319.276 3.165 20 30 DGEDMN CC(C)SCCN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000619781320 400905925 /nfs/dbraw/zinc/90/59/25/400905925.db2.gz VJDZZIQPMDVEBU-QGZVFWFLSA-N 0 1 321.465 3.150 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H]3CCc4cc(C#N)ccc43)c2[nH]1 ZINC000619811224 400908221 /nfs/dbraw/zinc/90/82/21/400908221.db2.gz ZROVEPMYCVQREF-MRXNPFEDSA-N 0 1 316.364 3.160 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593885376 400918687 /nfs/dbraw/zinc/91/86/87/400918687.db2.gz DUIKEFDKEGXEIW-APSNUPSMSA-N 0 1 323.440 3.161 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CC[C@H]3CCC[C@@H]32)c1C#N ZINC000614600302 400921437 /nfs/dbraw/zinc/92/14/37/400921437.db2.gz CLRNOYWWFAIZLP-QAPCUYQASA-N 0 1 306.413 3.427 20 30 DGEDMN C=CCOc1ccccc1CNCc1cnc2c(c1)c(C)nn2C ZINC000237852872 400994927 /nfs/dbraw/zinc/99/49/27/400994927.db2.gz NEJGDPMGJPOLAI-UHFFFAOYSA-N 0 1 322.412 3.131 20 30 DGEDMN CC[C@@H]1CN(C(C)=O)[C@H](CC)CN1Cc1ccc(C)c(C#N)c1 ZINC000599303692 401016254 /nfs/dbraw/zinc/01/62/54/401016254.db2.gz DZFSQHDJILNPED-RTBURBONSA-N 0 1 313.445 3.088 20 30 DGEDMN CO[C@@]1(C(F)(F)F)CCCN(Cc2ccc(C#N)cc2C)C1 ZINC000614925079 400967496 /nfs/dbraw/zinc/96/74/96/400967496.db2.gz ULXQDUYWILZYEP-HNNXBMFYSA-N 0 1 312.335 3.410 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC3(CCCC3)[C@@H]2c2ccco2)CC1 ZINC000599465249 401054689 /nfs/dbraw/zinc/05/46/89/401054689.db2.gz DJRABINPMWKMFC-ZBFHGGJFSA-N 0 1 300.402 3.251 20 30 DGEDMN C[C@@H]1CN(Cc2cccc(C#N)c2)CC[C@H]1C(=O)OC(C)(C)C ZINC000599585166 401085325 /nfs/dbraw/zinc/08/53/25/401085325.db2.gz YKXLYSQTPJFTJX-RHSMWYFYSA-N 0 1 314.429 3.358 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2)CC[C@H]1C(=O)OC(C)(C)C ZINC000599588589 401085543 /nfs/dbraw/zinc/08/55/43/401085543.db2.gz IZPPTJGPTGQUMB-WMLDXEAASA-N 0 1 314.429 3.358 20 30 DGEDMN CC(C)C[C@H](C#N)NC(=O)c1cccc(C(F)(F)F)c1O ZINC000594285603 401040472 /nfs/dbraw/zinc/04/04/72/401040472.db2.gz LDFMXVRDNDRAFG-SECBINFHSA-N 0 1 300.280 3.079 20 30 DGEDMN Cc1ccc(CN[C@@H](c2cccnc2)C(C)(C)CO)cc1C#N ZINC000599427392 401047700 /nfs/dbraw/zinc/04/77/00/401047700.db2.gz PBUJLZDDSPOSHK-SFHVURJKSA-N 0 1 309.413 3.111 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOc1ccccc1C#N)C2 ZINC000599678595 401111733 /nfs/dbraw/zinc/11/17/33/401111733.db2.gz JHUHUWBRYYHKPV-UHFFFAOYSA-N 0 1 317.392 3.061 20 30 DGEDMN Cc1nc(N[C@H]2CCCN3CCCC[C@@H]23)c(Cl)cc1C#N ZINC000594604375 401128771 /nfs/dbraw/zinc/12/87/71/401128771.db2.gz YTUZUJOFPOBJTC-GJZGRUSLSA-N 0 1 304.825 3.344 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1ccccc1)Cc1ccccc1 ZINC000061758151 401161035 /nfs/dbraw/zinc/16/10/35/401161035.db2.gz WJEIOIZRQQCSMX-UHFFFAOYSA-N 0 1 321.424 3.455 20 30 DGEDMN Cn1ncc(C2CC2)c1CNCc1ccc(C#N)c(Cl)c1 ZINC000594939804 401200020 /nfs/dbraw/zinc/20/00/20/401200020.db2.gz FDSUKVOSAIWYRF-UHFFFAOYSA-N 0 1 300.793 3.112 20 30 DGEDMN Cn1ncc(C2CC2)c1CNCc1cccc(OCCCC#N)c1 ZINC000594985908 401219577 /nfs/dbraw/zinc/21/95/77/401219577.db2.gz PNLYRGVQFRUZJD-UHFFFAOYSA-N 0 1 324.428 3.270 20 30 DGEDMN C[C@@H](NCc1cc(C(F)(F)F)nn1C)c1ccc(C#N)cc1 ZINC000594914863 401186609 /nfs/dbraw/zinc/18/66/09/401186609.db2.gz MSIKWLCHXLJZHU-SNVBAGLBSA-N 0 1 308.307 3.161 20 30 DGEDMN C=CCCOCC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000622313051 401377828 /nfs/dbraw/zinc/37/78/28/401377828.db2.gz QCHURRAUQDHVPY-INIZCTEOSA-N 0 1 313.401 3.128 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCNC[C@@H]1C(C)C)c1ccccc1 ZINC000627003383 401431987 /nfs/dbraw/zinc/43/19/87/401431987.db2.gz NVVAUVWGKULVKP-ZWKOTPCHSA-N 0 1 315.461 3.333 20 30 DGEDMN C[C@@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@@H](O)C(F)(F)F ZINC000631238609 401434350 /nfs/dbraw/zinc/43/43/50/401434350.db2.gz ZTTAVJGBLOIPSX-LHLIQPBNSA-N 0 1 319.220 3.246 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@@H](c2ccc(Br)cc2)C[C@@H]1C ZINC000629377923 401682788 /nfs/dbraw/zinc/68/27/88/401682788.db2.gz PFRBHWZEQGFAPZ-VHRBIJSZSA-N 0 1 324.218 3.266 20 30 DGEDMN C[C@@H]1CC[C@@](C)(/C=C\C(=O)NC2(C#N)CCN(C)CC2)C1(C)C ZINC000493270719 296666131 /nfs/dbraw/zinc/66/61/31/296666131.db2.gz LMJXQJHIBMENJO-FIUALVBPSA-N 0 1 317.477 3.109 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCCN(c2ncccc2F)CC1 ZINC000364801308 287062626 /nfs/dbraw/zinc/06/26/26/287062626.db2.gz CQSHYRZBJGCTQC-HNNXBMFYSA-N 0 1 324.403 3.366 20 30 DGEDMN COC[C@@H](Cc1ccccc1)N(C)Cc1ccc(F)cc1C#N ZINC000191482417 165253059 /nfs/dbraw/zinc/25/30/59/165253059.db2.gz VKHBZKHRARJANE-LJQANCHMSA-N 0 1 312.388 3.387 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H](NCc2ccc(C#N)o2)C1 ZINC000230660528 165398486 /nfs/dbraw/zinc/39/84/86/165398486.db2.gz XIRKSSNNDZHUIL-ZDUSSCGKSA-N 0 1 319.405 3.030 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000190456068 432159655 /nfs/dbraw/zinc/15/96/55/432159655.db2.gz IVISJNXQEVZJIR-PKOBYXMFSA-N 0 1 300.446 3.370 20 30 DGEDMN CC(=O)Nc1cccc(C(=O)C(C#N)c2nc(C)cs2)c1C ZINC000190749090 432186487 /nfs/dbraw/zinc/18/64/87/432186487.db2.gz FOJRJAMYOAWBQN-CYBMUJFWSA-N 0 1 313.382 3.208 20 30 DGEDMN CC(=O)Nc1cccc(C(=O)[C@@H](C#N)c2nc(C)cs2)c1C ZINC000190749090 432186490 /nfs/dbraw/zinc/18/64/90/432186490.db2.gz FOJRJAMYOAWBQN-CYBMUJFWSA-N 0 1 313.382 3.208 20 30 DGEDMN Cn1nccc1C(=O)C(C#N)c1nc(-c2ccccc2)cs1 ZINC000159817130 228243934 /nfs/dbraw/zinc/24/39/34/228243934.db2.gz DGNKTRCRCQDEKW-LBPRGKRZSA-N 0 1 308.366 3.034 20 30 DGEDMN Cc1cccc(O)c1NS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000168819231 228266953 /nfs/dbraw/zinc/26/69/53/228266953.db2.gz WBUIJIWIHOEFLR-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#C[C@@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccccc1Cl ZINC000172023582 228273993 /nfs/dbraw/zinc/27/39/93/228273993.db2.gz MWOISVKUUNLDEX-MRXNPFEDSA-N 0 1 324.771 3.140 20 30 DGEDMN N#C[C@@H](NC(=O)c1n[nH]c2ccccc21)c1ccccc1Cl ZINC000172034166 228274122 /nfs/dbraw/zinc/27/41/22/228274122.db2.gz PWDJHPIKXIXFLL-CQSZACIVSA-N 0 1 310.744 3.211 20 30 DGEDMN C[C@@H]1[C@H](c2ccccc2)CC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000177543656 228332273 /nfs/dbraw/zinc/33/22/73/228332273.db2.gz URXFMBIOLVENFO-DNVCBOLYSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@@H]1[C@H](c2ccccc2)CCN1CC(=O)Nc1cccc(C#N)c1 ZINC000177543656 228332276 /nfs/dbraw/zinc/33/22/76/228332276.db2.gz URXFMBIOLVENFO-DNVCBOLYSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@H](c1ccsc1)N1CCN(CCCSCC#N)CC1 ZINC000185443589 228392961 /nfs/dbraw/zinc/39/29/61/228392961.db2.gz CQPYHLNKTHJQIH-CQSZACIVSA-N 0 1 309.504 3.074 20 30 DGEDMN COc1cccc([C@H](C)N2CCN([C@@H](C)CCC#N)CC2)c1 ZINC000276303335 228704208 /nfs/dbraw/zinc/70/42/08/228704208.db2.gz KKBDHRSJULUXDY-HOTGVXAUSA-N 0 1 301.434 3.066 20 30 DGEDMN N#CCCN(Cc1ccco1)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000339585033 229081381 /nfs/dbraw/zinc/08/13/81/229081381.db2.gz RUHGOOSVBXGJCC-UHFFFAOYSA-N 0 1 320.352 3.226 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccc(CC#N)cc3)n2)cc1 ZINC000340147240 229086692 /nfs/dbraw/zinc/08/66/92/229086692.db2.gz DGOXIFUPKZEYCG-UHFFFAOYSA-N 0 1 317.352 3.099 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cnn(C(C)C)c3)[nH]c21 ZINC000345529883 229153042 /nfs/dbraw/zinc/15/30/42/229153042.db2.gz VOZXJXDANFGICA-ZDUSSCGKSA-N 0 1 307.357 3.139 20 30 DGEDMN N#CCCC[C@H](NC(=O)[C@H]1CCCc2[nH]cnc21)c1ccccc1 ZINC000352225173 229248966 /nfs/dbraw/zinc/24/89/66/229248966.db2.gz JCHBOHOEPLDXME-HOTGVXAUSA-N 0 1 322.412 3.381 20 30 DGEDMN N#CC1(NC(=O)c2cccc3nc(-c4cccs4)[nH]c32)CCC1 ZINC000352434048 229251949 /nfs/dbraw/zinc/25/19/49/229251949.db2.gz ZIDVFDKYLBNNLH-UHFFFAOYSA-N 0 1 322.393 3.467 20 30 DGEDMN N#CC1CCN(Cc2ccccc2OCc2ccncc2)CC1 ZINC000352960125 229268470 /nfs/dbraw/zinc/26/84/70/229268470.db2.gz XQIWMMZHXXBZIZ-UHFFFAOYSA-N 0 1 307.397 3.396 20 30 DGEDMN CC(C)=CCN1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000130848157 292642554 /nfs/dbraw/zinc/64/25/54/292642554.db2.gz LSEQSQUPBRHTPQ-UHFFFAOYSA-N 0 1 306.413 3.195 20 30 DGEDMN N#Cc1cccc(C#N)c1NC[C@H](c1ccco1)N1CCCC1 ZINC000302406813 230237424 /nfs/dbraw/zinc/23/74/24/230237424.db2.gz GAZZVFOYAMWCRZ-MRXNPFEDSA-N 0 1 306.369 3.272 20 30 DGEDMN CN(CCC(=O)Nc1cccc(C#N)c1)Cc1ccccc1F ZINC000026224719 263770108 /nfs/dbraw/zinc/77/01/08/263770108.db2.gz JWBQXEAAHKSOON-UHFFFAOYSA-N 0 1 311.360 3.158 20 30 DGEDMN C[C@H]1CN(c2ncccc2C#N)[C@@H](C)CN1Cc1ccccc1 ZINC000346661998 264075890 /nfs/dbraw/zinc/07/58/90/264075890.db2.gz VNEMSDGVDRMGKF-HOTGVXAUSA-N 0 1 306.413 3.052 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cccc2cn[nH]c21 ZINC000346884769 264115676 /nfs/dbraw/zinc/11/56/76/264115676.db2.gz AOLPKUDMFSACHL-UHFFFAOYSA-N 0 1 305.341 3.119 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cc(C#N)ccc1F ZINC000346960634 264128109 /nfs/dbraw/zinc/12/81/09/264128109.db2.gz KPKOHAIGLJBGBY-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1C ZINC000347601534 264249466 /nfs/dbraw/zinc/24/94/66/264249466.db2.gz NAMZPWNWQLHLLU-NEPJUHHUSA-N 0 1 305.809 3.128 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000171804987 533686516 /nfs/dbraw/zinc/68/65/16/533686516.db2.gz JAMSRODMPUHHQC-SNVBAGLBSA-N 0 1 300.387 3.222 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000171805046 533686512 /nfs/dbraw/zinc/68/65/12/533686512.db2.gz LPSHPYCEOGLEHG-JTQLQIEISA-N 0 1 300.387 3.365 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](COC(F)F)C2)c(Cl)c1 ZINC000353031486 265273099 /nfs/dbraw/zinc/27/30/99/265273099.db2.gz VDOMGTQMTSDZIP-NSHDSACASA-N 0 1 300.736 3.273 20 30 DGEDMN N#Cc1ccc(CNc2cccc(N3CCC[NH+]=C3[O-])c2)c(F)c1 ZINC000355406549 266065451 /nfs/dbraw/zinc/06/54/51/266065451.db2.gz ILCNRTPKRJJDTI-UHFFFAOYSA-N 0 1 324.359 3.229 20 30 DGEDMN CCCc1c(NCc2cn[nH]c2C)cnn1-c1cccc(C#N)c1 ZINC000372640292 266082138 /nfs/dbraw/zinc/08/21/38/266082138.db2.gz PDFSFSNYJVDJJK-UHFFFAOYSA-N 0 1 320.400 3.340 20 30 DGEDMN CCCc1ccc(CN2CCN(c3cc(C#N)ccn3)CC2)cc1 ZINC000350006029 266085159 /nfs/dbraw/zinc/08/51/59/266085159.db2.gz OOWGZDPWMPPEJF-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(C#N)cc2F)[C@@]12CCCO2 ZINC000354971142 266297475 /nfs/dbraw/zinc/29/74/75/266297475.db2.gz SMGNZXSGCKFGSO-BIMQEMHKSA-N 0 1 318.392 3.075 20 30 DGEDMN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(C#N)cc2F)[C@@]12CCCO2 ZINC000354971148 266297602 /nfs/dbraw/zinc/29/76/02/266297602.db2.gz SMGNZXSGCKFGSO-IUHNQTRMSA-N 0 1 318.392 3.075 20 30 DGEDMN CC[C@H](CO)N(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000348171820 266399972 /nfs/dbraw/zinc/39/99/72/266399972.db2.gz HZEAEDSYYIIREY-OAHLLOKOSA-N 0 1 300.427 3.393 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)c1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000352469654 266967902 /nfs/dbraw/zinc/96/79/02/266967902.db2.gz FNJPIJWZWFFNMQ-LSDHHAIUSA-N 0 1 319.408 3.014 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)N1Cc2ccccc2C1 ZINC000359052770 266976406 /nfs/dbraw/zinc/97/64/06/266976406.db2.gz XOQVBBPHKUNLTC-HUUCEWRRSA-N 0 1 319.408 3.140 20 30 DGEDMN CC(C)N(C)c1ccc(CN(C)Cc2ccc(C#N)cc2)cn1 ZINC000191227260 432237320 /nfs/dbraw/zinc/23/73/20/432237320.db2.gz UZSOIFSQGBKAGJ-UHFFFAOYSA-N 0 1 308.429 3.430 20 30 DGEDMN C[C@@H]1COc2ccccc2CN1CCOc1ccc(C#N)cc1 ZINC000359870634 267072254 /nfs/dbraw/zinc/07/22/54/267072254.db2.gz IHSVQXCXJCPMMU-OAHLLOKOSA-N 0 1 308.381 3.220 20 30 DGEDMN C[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000357733105 267104142 /nfs/dbraw/zinc/10/41/42/267104142.db2.gz PLDJRPPBXCFQST-NWDGAFQWSA-N 0 1 305.809 3.271 20 30 DGEDMN CCOC(=O)C(C)(C)C1CCN(Cc2ccccc2C#N)CC1 ZINC000510098169 267113610 /nfs/dbraw/zinc/11/36/10/267113610.db2.gz JMVYHFNOLBGXLN-UHFFFAOYSA-N 0 1 314.429 3.360 20 30 DGEDMN C[C@H]1CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1(C)C ZINC000354024792 267216814 /nfs/dbraw/zinc/21/68/14/267216814.db2.gz UMMNAXKNSLOAPT-YOEHRIQHSA-N 0 1 311.429 3.032 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3cc4ccccc4[nH]3)C2)nc1 ZINC000367778562 268184961 /nfs/dbraw/zinc/18/49/61/268184961.db2.gz KXKJPQHPHFRNLW-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@]3(CCc4ccccc4O3)C2)nc1 ZINC000528731727 268219109 /nfs/dbraw/zinc/21/91/09/268219109.db2.gz UIQXHIGJICAONH-HXUWFJFHSA-N 0 1 319.408 3.313 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](c2cccc(OC)c2)N(C)C)CCC1 ZINC000191300361 432246948 /nfs/dbraw/zinc/24/69/48/432246948.db2.gz PEEPDOZMTJQQDB-QGZVFWFLSA-N 0 1 316.445 3.161 20 30 DGEDMN Cn1cc(CN[C@H]2CCCc3cc(C#N)ccc32)c(C(F)F)n1 ZINC000418140167 274892394 /nfs/dbraw/zinc/89/23/94/274892394.db2.gz FKSSSKNJBNNQRB-HNNXBMFYSA-N 0 1 316.355 3.397 20 30 DGEDMN N#Cc1cc(C(F)(F)F)ccc1N1CCN(C2CCC2)CC1 ZINC000336891771 274919103 /nfs/dbraw/zinc/91/91/03/274919103.db2.gz IXAAWWHPDIVYFH-UHFFFAOYSA-N 0 1 309.335 3.252 20 30 DGEDMN O[C@H]1C[C@H](c2ccccc2)N(CC#Cc2cccc(Cl)c2)C1 ZINC000411952899 275059249 /nfs/dbraw/zinc/05/92/49/275059249.db2.gz TVVLVKQBFCRIRQ-RBUKOAKNSA-N 0 1 311.812 3.499 20 30 DGEDMN CC1CCN(CC(=O)N[C@@H]2CCC(=O)N[C@H]2C(C)(C)C)CC1 ZINC000329223196 275935605 /nfs/dbraw/zinc/93/56/05/275935605.db2.gz XPUCZRRDXISKJO-CZUORRHYSA-N 0 1 309.454 3.208 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000345765694 276018764 /nfs/dbraw/zinc/01/87/64/276018764.db2.gz KIIUASCBODBQFR-KBPBESRZSA-N 0 1 314.433 3.191 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](C)c3ccccc32)CC1 ZINC000183931234 276783169 /nfs/dbraw/zinc/78/31/69/276783169.db2.gz KDPVDXKHPFRBBR-MRXNPFEDSA-N 0 1 310.441 3.262 20 30 DGEDMN CN(C)[C@@H](CNc1nc2c(cc1C#N)CCCC2)c1ccco1 ZINC000292490253 276828318 /nfs/dbraw/zinc/82/83/18/276828318.db2.gz YRSZHBGQZGJJBZ-INIZCTEOSA-N 0 1 310.401 3.140 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCC[C@@H](C)CC1)CC2 ZINC000330050427 278144217 /nfs/dbraw/zinc/14/42/17/278144217.db2.gz LROHJHAHWBDBPA-GDBMZVCRSA-N 0 1 318.465 3.357 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1C[C@H](C)C[C@H](C)C1)c1ccc(C#N)cc1 ZINC000298404580 278361445 /nfs/dbraw/zinc/36/14/45/278361445.db2.gz KPQCAJKQRHIJKU-QXSJWSMHSA-N 0 1 313.445 3.102 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cc(CCC)[nH]n1 ZINC000068793027 431142355 /nfs/dbraw/zinc/14/23/55/431142355.db2.gz CLJFUECEXVWIPH-UHFFFAOYSA-N 0 1 308.385 3.062 20 30 DGEDMN CN1CCC[C@H]1C(=O)Nc1ccc(Oc2cccc(C#N)c2)cc1 ZINC000109020817 431165025 /nfs/dbraw/zinc/16/50/25/431165025.db2.gz AFZNGCIBFFCPTQ-SFHVURJKSA-N 0 1 321.380 3.383 20 30 DGEDMN C[C@H](NCC#Cc1ccc(C(F)(F)F)cc1)c1cnn(C)c1 ZINC000090180593 279458393 /nfs/dbraw/zinc/45/83/93/279458393.db2.gz XIXWCTOONZSYQX-LBPRGKRZSA-N 0 1 307.319 3.141 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)Nc2cccc3ncccc32)CC1 ZINC000111256473 431204203 /nfs/dbraw/zinc/20/42/03/431204203.db2.gz VODJXEKMVCQJHL-AWEZNQCLSA-N 0 1 309.413 3.460 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)Nc2ccccc2OCC)CC1 ZINC000111259693 431205621 /nfs/dbraw/zinc/20/56/21/431205621.db2.gz IWWNQFVQYLQRLW-AWEZNQCLSA-N 0 1 302.418 3.310 20 30 DGEDMN CCCN(CCCSCC#N)CC(=O)Nc1ccccc1C ZINC000072513330 431338643 /nfs/dbraw/zinc/33/86/43/431338643.db2.gz GAJZEMBEJIRDDJ-UHFFFAOYSA-N 0 1 319.474 3.292 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1ccccc1F ZINC000072691185 431348809 /nfs/dbraw/zinc/34/88/09/431348809.db2.gz STTHOZHRCXEPFM-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H](C)c1cc(C)ccc1OC ZINC000073736551 431381230 /nfs/dbraw/zinc/38/12/30/431381230.db2.gz GUDZZXODIXOTJZ-CVEARBPZSA-N 0 1 316.445 3.243 20 30 DGEDMN N#CC(C(=O)c1cccnc1)c1nc(-c2ccncc2)cs1 ZINC000114620391 431399841 /nfs/dbraw/zinc/39/98/41/431399841.db2.gz HELCYVXEZBBOTO-CYBMUJFWSA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@H](C(=O)c1cccnc1)c1nc(-c2ccncc2)cs1 ZINC000114620391 431399847 /nfs/dbraw/zinc/39/98/47/431399847.db2.gz HELCYVXEZBBOTO-CYBMUJFWSA-N 0 1 306.350 3.090 20 30 DGEDMN COc1cc2c(cc1CNCc1cccc(C#N)c1)O[C@@H](C)C2 ZINC000075762610 431495647 /nfs/dbraw/zinc/49/56/47/431495647.db2.gz GXTUVNVVTROLPZ-ZDUSSCGKSA-N 0 1 308.381 3.180 20 30 DGEDMN Cc1ccc(C[C@@H](C#N)Sc2nc(-c3cccnc3)n[nH]2)cc1 ZINC000076697442 431563128 /nfs/dbraw/zinc/56/31/28/431563128.db2.gz ZZUYBTFVMKSAFC-HNNXBMFYSA-N 0 1 321.409 3.402 20 30 DGEDMN COc1ccccc1NS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000077687713 431616963 /nfs/dbraw/zinc/61/69/63/431616963.db2.gz CWZVXWOOQRGUCP-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN CCCN(Cc1ccc(C(=O)N(C)C)[nH]1)Cc1ccc(C#N)cc1 ZINC000119253670 431665053 /nfs/dbraw/zinc/66/50/53/431665053.db2.gz SNDFWCGPIOOPNG-UHFFFAOYSA-N 0 1 324.428 3.000 20 30 DGEDMN CCn1nccc1CNCC#Cc1ccc(C(F)(F)F)cc1 ZINC000119457404 431696527 /nfs/dbraw/zinc/69/65/27/431696527.db2.gz OJWCIZHRDXXFEF-UHFFFAOYSA-N 0 1 307.319 3.063 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](CC)c1ccccc1OC(F)F ZINC000119531085 431705551 /nfs/dbraw/zinc/70/55/51/431705551.db2.gz HCLOKOBONAEMNF-YPMHNXCESA-N 0 1 312.360 3.019 20 30 DGEDMN CCCN1CCN([C@@H](C#N)c2ccc(Br)cc2)CC1 ZINC000120983221 431738071 /nfs/dbraw/zinc/73/80/71/431738071.db2.gz RHJZJHNPCYTPON-HNNXBMFYSA-N 0 1 322.250 3.041 20 30 DGEDMN Cc1cc2c(cc1C)O[C@@H](C(=O)Nc1nc(-c3ccco3)n[nH]1)C2 ZINC000079988466 431757944 /nfs/dbraw/zinc/75/79/44/431757944.db2.gz XUHPJWOLNPLLOE-CQSZACIVSA-N 0 1 324.340 3.273 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC3(CCCC3)c3ccccc32)CC1 ZINC000081578528 431796013 /nfs/dbraw/zinc/79/60/13/431796013.db2.gz BSZRCEKUHZXIAC-UHFFFAOYSA-N 0 1 322.452 3.190 20 30 DGEDMN C=CCC(CC=C)C(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000121942942 431808685 /nfs/dbraw/zinc/80/86/85/431808685.db2.gz ZFSGUWWGOUJDJR-UHFFFAOYSA-N 0 1 304.409 3.272 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC2(CCC2)C1 ZINC000122958367 431849669 /nfs/dbraw/zinc/84/96/69/431849669.db2.gz DZPAEEBOPJOHCS-LBPRGKRZSA-N 0 1 317.820 3.415 20 30 DGEDMN C[C@@H]1CCCCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000123037423 431853715 /nfs/dbraw/zinc/85/37/15/431853715.db2.gz VTPAHPKIAYKWSS-CQSZACIVSA-N 0 1 319.836 3.461 20 30 DGEDMN C=C[C@@H](C)NCc1cc(OC)c(OC)cc1Br ZINC000123196185 431858029 /nfs/dbraw/zinc/85/80/29/431858029.db2.gz LBHCNDDFRXAIKX-SECBINFHSA-N 0 1 300.196 3.130 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000041520013 431859717 /nfs/dbraw/zinc/85/97/17/431859717.db2.gz BMQCLHUKQRTUSX-LLVKDONJSA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000041520013 431859718 /nfs/dbraw/zinc/85/97/18/431859718.db2.gz BMQCLHUKQRTUSX-LLVKDONJSA-N 0 1 301.327 3.158 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc2c(c1)CCO2)c1nc2ccccc2o1 ZINC000041540032 431860386 /nfs/dbraw/zinc/86/03/86/431860386.db2.gz ZMXCKDHCBLPAKH-ZDUSSCGKSA-N 0 1 304.305 3.253 20 30 DGEDMN N#CC(C(=O)c1ccc2c(c1)CCO2)c1nc2ccccc2o1 ZINC000041540032 431860388 /nfs/dbraw/zinc/86/03/88/431860388.db2.gz ZMXCKDHCBLPAKH-ZDUSSCGKSA-N 0 1 304.305 3.253 20 30 DGEDMN CN(C)c1cc(C(=O)C(C#N)c2ccccn2)c2ccccc2n1 ZINC000123579063 431868854 /nfs/dbraw/zinc/86/88/54/431868854.db2.gz BTNOBNMXDFGGSL-OAHLLOKOSA-N 0 1 316.364 3.186 20 30 DGEDMN C=CCC[C@@H](O)C[N@H+](Cc1cccc(OC)c1)C[C@@H]1CCCO1 ZINC000036031135 431833233 /nfs/dbraw/zinc/83/32/33/431833233.db2.gz VSDFZUUNUZZSNC-MJGOQNOKSA-N 0 1 319.445 3.003 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cc(C(N)=O)cs1 ZINC000092505710 431909816 /nfs/dbraw/zinc/90/98/16/431909816.db2.gz FSJRYSFROJKTHC-UHFFFAOYSA-N 0 1 300.427 3.078 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000124972823 431910076 /nfs/dbraw/zinc/91/00/76/431910076.db2.gz IKKHYGOJPWHCQC-GFCCVEGCSA-N 0 1 318.446 3.108 20 30 DGEDMN C=CCOc1ccc(CN2CCC(c3nc(C)no3)CC2)cc1 ZINC000091833656 431905302 /nfs/dbraw/zinc/90/53/02/431905302.db2.gz HPHDMFNIZFRFBW-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CCc3c(Cl)cccc3C2)cc1 ZINC000127532790 431975268 /nfs/dbraw/zinc/97/52/68/431975268.db2.gz JMEWKMHMAJWHHE-GOSISDBHSA-N 0 1 312.800 3.303 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)NCCc1c[nH]c2ccccc12 ZINC000125950601 431938370 /nfs/dbraw/zinc/93/83/70/431938370.db2.gz MUWIEMJCADKUQO-HNNXBMFYSA-N 0 1 313.445 3.113 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000102039127 431991146 /nfs/dbraw/zinc/99/11/46/431991146.db2.gz PAYHJACEDLZVCP-MRXNPFEDSA-N 0 1 319.449 3.333 20 30 DGEDMN C=CCCC(=O)NC[C@H](c1ccccc1OC)N1CCCCC1 ZINC000102335503 431993009 /nfs/dbraw/zinc/99/30/09/431993009.db2.gz RIHCPMFQQUHPNT-QGZVFWFLSA-N 0 1 316.445 3.305 20 30 DGEDMN CC[C@H](C)CC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000191576913 432279291 /nfs/dbraw/zinc/27/92/91/432279291.db2.gz PMFDIUZZXWKKAA-INIZCTEOSA-N 0 1 313.445 3.029 20 30 DGEDMN N#Cc1cc(CN2CC[C@@H](Oc3cccc(F)c3)C2)ccc1F ZINC000183372256 432286044 /nfs/dbraw/zinc/28/60/44/432286044.db2.gz APPOMJAEDFXXLZ-QGZVFWFLSA-N 0 1 314.335 3.490 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000270760111 432288038 /nfs/dbraw/zinc/28/80/38/432288038.db2.gz ONEKNGVBDYQUBI-KGLIPLIRSA-N 0 1 312.417 3.465 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2sccc2C#N)[C@@H]2CCCC[C@@H]21 ZINC000248422635 432289164 /nfs/dbraw/zinc/28/91/64/432289164.db2.gz MRHGFLWUFJKVMU-MRVWCRGKSA-N 0 1 303.431 3.069 20 30 DGEDMN CCN(C)[C@@H](C(=O)N(C)Cc1cccc(C#N)c1)c1ccccc1 ZINC000057828658 431993773 /nfs/dbraw/zinc/99/37/73/431993773.db2.gz UDOOKADEXBTEEG-LJQANCHMSA-N 0 1 321.424 3.210 20 30 DGEDMN N#Cc1ccc(F)c(CSc2nc(-c3cccnc3)n[nH]2)c1 ZINC000102829199 431998775 /nfs/dbraw/zinc/99/87/75/431998775.db2.gz UHSLOWLGSYFZBR-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc(-c3ccc(F)cc3)n[nH]2)ccc1F ZINC000102879640 431999026 /nfs/dbraw/zinc/99/90/26/431999026.db2.gz ZUPBYOFRDJZZHA-UHFFFAOYSA-N 0 1 324.290 3.479 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C[C@@H]1CC2(CCC2)C(=O)O1 ZINC000191968247 432319959 /nfs/dbraw/zinc/31/99/59/432319959.db2.gz SCKGOMOVZWQDHB-KRWDZBQOSA-N 0 1 310.397 3.032 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)o1)c1ccc(NC(=O)NC2CC2)cc1 ZINC000174274058 432333477 /nfs/dbraw/zinc/33/34/77/432333477.db2.gz AJZYOJXSQKRHQG-GFCCVEGCSA-N 0 1 324.384 3.286 20 30 DGEDMN N#Cc1ccc(CNCCOc2ccc(Br)cc2)o1 ZINC000174352443 432340444 /nfs/dbraw/zinc/34/04/44/432340444.db2.gz ZRQNARQYCGYXJR-UHFFFAOYSA-N 0 1 321.174 3.082 20 30 DGEDMN COCCCOC1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000153298131 432399497 /nfs/dbraw/zinc/39/94/97/432399497.db2.gz GCPIZZQDILMYDE-UHFFFAOYSA-N 0 1 321.848 3.209 20 30 DGEDMN N#C[C@@H]1CCC[C@H](NCc2nccn2CCc2ccccc2)C1 ZINC000192938962 432431240 /nfs/dbraw/zinc/43/12/40/432431240.db2.gz LHHALVCDQAAWKG-MSOLQXFVSA-N 0 1 308.429 3.298 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccco1)N1CCCCC1)C1CC1 ZINC000271523754 432414457 /nfs/dbraw/zinc/41/44/57/432414457.db2.gz WDKIPEYHAVVYOP-INIZCTEOSA-N 0 1 317.433 3.167 20 30 DGEDMN Cc1ccc2nc(CN[C@@H](C)c3ccc(OCC#N)cc3)cn2c1 ZINC000271802559 432424469 /nfs/dbraw/zinc/42/44/69/432424469.db2.gz KJGNEVODQWPUDK-HNNXBMFYSA-N 0 1 320.396 3.396 20 30 DGEDMN CC(C)c1nc([C@@H](C)N[C@@H](C)c2ccc(OCC#N)cc2)n[nH]1 ZINC000271879036 432427312 /nfs/dbraw/zinc/42/73/12/432427312.db2.gz PAFBEPLCNQUPBN-QWHCGFSZSA-N 0 1 313.405 3.242 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc(F)cc3nccnc32)n1 ZINC000193580666 432482447 /nfs/dbraw/zinc/48/24/47/432482447.db2.gz JJGZNVIFNUDARW-NSHDSACASA-N 0 1 312.329 3.024 20 30 DGEDMN CN(C)[C@@H](CNc1ccc(C#N)nc1)c1ccccc1Cl ZINC000176748590 432548841 /nfs/dbraw/zinc/54/88/41/432548841.db2.gz UFCZRDSSEHQJHP-INIZCTEOSA-N 0 1 300.793 3.321 20 30 DGEDMN C#C[C@@H](C)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000186038194 432554301 /nfs/dbraw/zinc/55/43/01/432554301.db2.gz DDCXMTICLFKUTQ-MRXNPFEDSA-N 0 1 306.409 3.070 20 30 DGEDMN C[C@@H](CC#N)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000194621307 432563809 /nfs/dbraw/zinc/56/38/09/432563809.db2.gz MWGWQJGAMLWTLD-INIZCTEOSA-N 0 1 321.424 3.351 20 30 DGEDMN CC(C)c1cc(C(=O)N(Cc2ccc(C#N)cc2)C2CC2)n[nH]1 ZINC000194106754 432524681 /nfs/dbraw/zinc/52/46/81/432524681.db2.gz GJOYDBFGPAMJLZ-UHFFFAOYSA-N 0 1 308.385 3.210 20 30 DGEDMN CC[C@H](NCC(=O)N(CC)CCC#N)c1ccc(Cl)s1 ZINC000273544763 432610250 /nfs/dbraw/zinc/61/02/50/432610250.db2.gz WIWDEKCNDVHTJZ-NSHDSACASA-N 0 1 313.854 3.204 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C#N)s1)O[C@@H](C)C2 ZINC000176945974 432568664 /nfs/dbraw/zinc/56/86/64/432568664.db2.gz STTUSUNILJDTNP-NSHDSACASA-N 0 1 314.410 3.242 20 30 DGEDMN CC(C)(C)OC(=O)C1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000263896953 432590325 /nfs/dbraw/zinc/59/03/25/432590325.db2.gz XOAVBYGQXAHACY-UHFFFAOYSA-N 0 1 300.402 3.112 20 30 DGEDMN COc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1C#N ZINC000186445467 432596111 /nfs/dbraw/zinc/59/61/11/432596111.db2.gz WMSINYSKTCRYFW-FQEVSTJZSA-N 0 1 320.392 3.198 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000263991437 432600935 /nfs/dbraw/zinc/60/09/35/432600935.db2.gz NYESTOFZSCOQLT-UHFFFAOYSA-N 0 1 306.837 3.387 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCCC2(CC2)C1 ZINC000186983854 432639549 /nfs/dbraw/zinc/63/95/49/432639549.db2.gz DIZVSSQZTIPPJT-MRXNPFEDSA-N 0 1 311.429 3.198 20 30 DGEDMN C#CCOc1ccc(CN[C@H]2CCOc3ccc(OC)cc32)cc1 ZINC000274217369 432640171 /nfs/dbraw/zinc/64/01/71/432640171.db2.gz AQYOSZUTAXMOCO-IBGZPJMESA-N 0 1 323.392 3.321 20 30 DGEDMN N#Cc1csc(CNCc2cccc(N3CCCC3=O)c2)c1 ZINC000177685132 432649615 /nfs/dbraw/zinc/64/96/15/432649615.db2.gz TWDPTTQPRJTYKK-UHFFFAOYSA-N 0 1 311.410 3.036 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2cccc3nc[nH]c32)c1 ZINC000159054723 432667644 /nfs/dbraw/zinc/66/76/44/432667644.db2.gz FALXBMAYNMPLAH-UHFFFAOYSA-N 0 1 320.352 3.498 20 30 DGEDMN C#CCCOc1ccc(CN[C@@H](CO)c2ccc(F)cc2)cc1 ZINC000265277590 432684716 /nfs/dbraw/zinc/68/47/16/432684716.db2.gz WEMXUYFBXZXJHC-IBGZPJMESA-N 0 1 313.372 3.051 20 30 DGEDMN CC[N@H+](Cc1cc(C#N)cs1)C[C@H]1COc2ccccc2O1 ZINC000160955846 432750795 /nfs/dbraw/zinc/75/07/95/432750795.db2.gz QFFAJCBPESRDRV-AWEZNQCLSA-N 0 1 314.410 3.282 20 30 DGEDMN CCN(Cc1cc(C#N)cs1)C[C@H]1COc2ccccc2O1 ZINC000160955846 432750801 /nfs/dbraw/zinc/75/08/01/432750801.db2.gz QFFAJCBPESRDRV-AWEZNQCLSA-N 0 1 314.410 3.282 20 30 DGEDMN CCC[C@H](C)N(C)CC(=O)Nc1ccccc1SCC#N ZINC000266701394 432779619 /nfs/dbraw/zinc/77/96/19/432779619.db2.gz XZXIAXXXGZFXES-ZDUSSCGKSA-N 0 1 305.447 3.361 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1cccc(C#N)c1C ZINC000188479904 432727285 /nfs/dbraw/zinc/72/72/85/432727285.db2.gz BXQCEXAXTCNSKA-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN N#Cc1ccc(Cl)c(S(=O)(=O)Nc2ccc(F)cc2)c1 ZINC000160758932 432738929 /nfs/dbraw/zinc/73/89/29/432738929.db2.gz SZDDMMWGGANLFH-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN C[C@@H](CCC#N)N(C)Cc1cc2c(cc1OC(F)F)OCO2 ZINC000276295187 432793068 /nfs/dbraw/zinc/79/30/68/432793068.db2.gz ISDWHSWLXDYTAZ-JTQLQIEISA-N 0 1 312.316 3.141 20 30 DGEDMN Cc1cnc(N2CCN(CCCCC(C)(C)C#N)CC2)s1 ZINC000277670876 432868432 /nfs/dbraw/zinc/86/84/32/432868432.db2.gz JWNSBQWIPLCILI-UHFFFAOYSA-N 0 1 306.479 3.294 20 30 DGEDMN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330157383 432895714 /nfs/dbraw/zinc/89/57/14/432895714.db2.gz ZHRRQJWVNWIARX-DHMWGJHJSA-N 0 1 302.422 3.354 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)c2n[nH]c([C@@H](C)CC)n2)CCCCC1 ZINC000277852566 432878584 /nfs/dbraw/zinc/87/85/84/432878584.db2.gz NPYCTQMNSYTHGP-KBPBESRZSA-N 0 1 316.449 3.469 20 30 DGEDMN CC1CCN(CC(=O)NCCC(=O)NC2CCCCC2)CC1 ZINC000329933024 432883469 /nfs/dbraw/zinc/88/34/69/432883469.db2.gz PUJVZHPZUSYGTL-UHFFFAOYSA-N 0 1 309.454 3.354 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1C[C@H](C)C[C@H](C)C1)CC2 ZINC000330058851 432891043 /nfs/dbraw/zinc/89/10/43/432891043.db2.gz ZRIPGOLJTKTYHK-LZWOXQAQSA-N 0 1 318.465 3.213 20 30 DGEDMN Cc1noc(C)c1CC(=O)NCCN1CC=C(C(C)(C)C)CC1 ZINC000330089861 432891886 /nfs/dbraw/zinc/89/18/86/432891886.db2.gz PBGHFKZHAHASOT-UHFFFAOYSA-N 0 1 319.449 3.469 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CCCC[C@@H](C)C1)CC2 ZINC000330117536 432893339 /nfs/dbraw/zinc/89/33/39/432893339.db2.gz XDJTYNSPMFUZCC-ZBFHGGJFSA-N 0 1 318.465 3.357 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2ccc([N+](=O)[O-])cc2C#N)n[nH]1 ZINC000277467165 432852858 /nfs/dbraw/zinc/85/28/58/432852858.db2.gz REGDQESCTFHTHK-NXEZZACHSA-N 0 1 314.349 3.271 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)[C@@H](c2ccccc2)C1 ZINC000245662162 432854324 /nfs/dbraw/zinc/85/43/24/432854324.db2.gz GEAKHSNKEAKGQJ-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN CC[C@H]1CC[C@@H](C)N1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000330647234 432922925 /nfs/dbraw/zinc/92/29/25/432922925.db2.gz HHYSMMXSNOEFRM-MFKMUULPSA-N 0 1 305.447 3.438 20 30 DGEDMN CCn1nccc1C1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000279029627 432928087 /nfs/dbraw/zinc/92/80/87/432928087.db2.gz HRDLBZZRJVASLD-UHFFFAOYSA-N 0 1 311.404 3.273 20 30 DGEDMN C#Cc1ccc(CNCc2cccc(C(=O)N[C@H](C)CC)c2)cc1 ZINC000289293034 432941530 /nfs/dbraw/zinc/94/15/30/432941530.db2.gz PWDFUQJDXRDXAO-MRXNPFEDSA-N 0 1 320.436 3.486 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2c(C)n[nH]c2C(F)F)cc1 ZINC000296977588 432944448 /nfs/dbraw/zinc/94/44/48/432944448.db2.gz DOQPKLHFTXKPNK-UHFFFAOYSA-N 0 1 321.327 3.402 20 30 DGEDMN C=CCC[C@H](NC(=O)N[C@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000289338489 432946617 /nfs/dbraw/zinc/94/66/17/432946617.db2.gz ZFXVNEQWCXLYPM-DOMZBBRYSA-N 0 1 313.405 3.181 20 30 DGEDMN CC(C)CCC1CCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)CC1 ZINC000330182429 432896585 /nfs/dbraw/zinc/89/65/85/432896585.db2.gz HFNCUPRJFWYEJE-MRXNPFEDSA-N 0 1 318.465 3.260 20 30 DGEDMN C#CCn1ccc(CN(CC)Cc2c(O)ccc3ccccc32)n1 ZINC000278608555 432897657 /nfs/dbraw/zinc/89/76/57/432897657.db2.gz DHUVEKVEFFXCSP-UHFFFAOYSA-N 0 1 319.408 3.397 20 30 DGEDMN O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)[C@H]1CCCN1C1CC1 ZINC000330214611 432898718 /nfs/dbraw/zinc/89/87/18/432898718.db2.gz GHZPKYMZMVNMDC-CZUORRHYSA-N 0 1 313.401 3.345 20 30 DGEDMN Cc1ccc(-n2cccn2)cc1NC(=O)[C@H]1CCCN1C1CC1 ZINC000330240573 432900030 /nfs/dbraw/zinc/90/00/30/432900030.db2.gz VYFUANDXAPBUJY-QGZVFWFLSA-N 0 1 310.401 3.396 20 30 DGEDMN C[C@H](CC1CCCCC1)NC([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000330271654 432900537 /nfs/dbraw/zinc/90/05/37/432900537.db2.gz WFXRHDPUUOEOSU-IUODEOHRSA-N 0 1 304.438 3.130 20 30 DGEDMN C[C@H](CC1CCCCC1)[NH+]=C([O-])N[C@@H]1CCc2c[nH]nc2C1 ZINC000330271654 432900539 /nfs/dbraw/zinc/90/05/39/432900539.db2.gz WFXRHDPUUOEOSU-IUODEOHRSA-N 0 1 304.438 3.130 20 30 DGEDMN CC(C)C1CCC(CNC([O-])=[NH+][C@H]2CCc3c[nH]nc3C2)CC1 ZINC000330287856 432901997 /nfs/dbraw/zinc/90/19/97/432901997.db2.gz CSLRUXBRMUGAFJ-XUJLQICISA-N 0 1 318.465 3.233 20 30 DGEDMN Cc1ccc(NC(=O)NC(=O)[C@@H](C)N2CC=C(C)CC2)c(C)c1 ZINC000330459944 432911429 /nfs/dbraw/zinc/91/14/29/432911429.db2.gz JMUYIHRBBHOMCL-OAHLLOKOSA-N 0 1 315.417 3.006 20 30 DGEDMN C#CCOc1ccccc1CN(CCCOC)Cc1ccncc1 ZINC000289389642 432952835 /nfs/dbraw/zinc/95/28/35/432952835.db2.gz DSKQAXPKLXOFIW-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN CC[C@@H](C)N1CCN(C(=O)Nc2ccc(C#N)cc2Cl)CC1 ZINC000297092060 432957329 /nfs/dbraw/zinc/95/73/29/432957329.db2.gz NGBFKVSUCUHXGE-GFCCVEGCSA-N 0 1 320.824 3.160 20 30 DGEDMN N#Cc1ccc(CN2CCN(CCc3ccccc3)CC2)c(F)c1 ZINC000349769448 433006385 /nfs/dbraw/zinc/00/63/85/433006385.db2.gz XVGAKPRJCMADMX-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)N[C@@H](C)CC ZINC000297961023 433037898 /nfs/dbraw/zinc/03/78/98/433037898.db2.gz UMIFBDUPYRIHDL-LSDHHAIUSA-N 0 1 301.434 3.073 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN2CCC[C@@](C)(OC)CC2)c1C ZINC000280539598 433076964 /nfs/dbraw/zinc/07/69/64/433076964.db2.gz POFNOAUDGOXEGX-LJQANCHMSA-N 0 1 318.461 3.365 20 30 DGEDMN C=CCOc1ccc(CN2CC([C@@H]3CCOC3)C2)cc1Cl ZINC000373465521 433154833 /nfs/dbraw/zinc/15/48/33/433154833.db2.gz AIGNVPZXZRQGOK-CQSZACIVSA-N 0 1 307.821 3.373 20 30 DGEDMN COC(=O)c1csc([C@H](C)NCc2ccc(C#N)c(F)c2)c1 ZINC000453369021 433126874 /nfs/dbraw/zinc/12/68/74/433126874.db2.gz FDHRDKMJPKGVLU-JTQLQIEISA-N 0 1 318.373 3.396 20 30 DGEDMN N#CCCN(C(=O)CN1CC[C@@H](c2ccco2)C1)c1ccccc1 ZINC000374004419 433172420 /nfs/dbraw/zinc/17/24/20/433172420.db2.gz WSNFHQXKPDCDIE-MRXNPFEDSA-N 0 1 323.396 3.016 20 30 DGEDMN C=C(Br)C[N@@H+]1CCC[C@@H]1[C@@H]1C[C@H](C)CC[C@H]1O ZINC000374179456 433180203 /nfs/dbraw/zinc/18/02/03/433180203.db2.gz TUCXJJOQLLLCAR-YXCITZCRSA-N 0 1 302.256 3.157 20 30 DGEDMN Cc1cc(CSc2nc(-c3ccccn3)n[nH]2)ccc1C#N ZINC000447308594 433182100 /nfs/dbraw/zinc/18/21/00/433182100.db2.gz FXINVRYWSGJVID-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1cccc2c1OCO2 ZINC000341760896 433260068 /nfs/dbraw/zinc/26/00/68/433260068.db2.gz OBUCZDZFOGGWFE-KRWDZBQOSA-N 0 1 306.365 3.454 20 30 DGEDMN Cc1ccc2c(c1)CN(CCC(=O)Nc1cccc(C#N)c1)C2 ZINC000361584347 433261805 /nfs/dbraw/zinc/26/18/05/433261805.db2.gz FGTMGDLHKCLSFY-UHFFFAOYSA-N 0 1 305.381 3.211 20 30 DGEDMN CC[C@H](C(=O)Nc1ccccc1SC[C@H](C)C#N)N(C)C ZINC000298866965 433267496 /nfs/dbraw/zinc/26/74/96/433267496.db2.gz JWKDWYKXSMCEMA-TZMCWYRMSA-N 0 1 305.447 3.217 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)s1 ZINC000374766049 433270773 /nfs/dbraw/zinc/27/07/73/433270773.db2.gz WJXBPTCTURYDNH-CQSZACIVSA-N 0 1 308.410 3.416 20 30 DGEDMN CC[C@@H]1CCCC[N@H+]1[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447849890 433297638 /nfs/dbraw/zinc/29/76/38/433297638.db2.gz KCIRHDIFXKNITJ-SJLPKXTDSA-N 0 1 311.429 3.318 20 30 DGEDMN C[N@@H+](C1CCCCC1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447850249 433298374 /nfs/dbraw/zinc/29/83/74/433298374.db2.gz LSEZCADETYGWID-GOSISDBHSA-N 0 1 311.429 3.318 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@H](CC(F)(F)F)C1 ZINC000420941277 433339744 /nfs/dbraw/zinc/33/97/44/433339744.db2.gz FIEFTXYQFDVVFR-ZIAGYGMSSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000454440044 433428709 /nfs/dbraw/zinc/42/87/09/433428709.db2.gz SEEJWDOIHHVVFB-OAHLLOKOSA-N 0 1 319.449 3.236 20 30 DGEDMN C[C@H](NCc1ccc(C#N)c(F)c1)c1ccc([S@](C)=O)cc1 ZINC000361862995 433430377 /nfs/dbraw/zinc/43/03/77/433430377.db2.gz SHMYPWXXHKNUDW-YTEVENLXSA-N 0 1 316.401 3.286 20 30 DGEDMN COCC[C@H](NCc1ccc(C#N)c(F)c1)c1ccc(C)o1 ZINC000361769892 433382672 /nfs/dbraw/zinc/38/26/72/433382672.db2.gz UUCUZSHBUYYJQR-INIZCTEOSA-N 0 1 302.349 3.466 20 30 DGEDMN CSc1ccccc1[C@H](C)NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000281801025 433384371 /nfs/dbraw/zinc/38/43/71/433384371.db2.gz VJEGIZWKPQEBGS-GUYCJALGSA-N 0 1 319.474 3.114 20 30 DGEDMN CC1(C)CN(c2ncccc2C#N)CCN1Cc1ccccc1 ZINC000301172282 433442603 /nfs/dbraw/zinc/44/26/03/433442603.db2.gz KHXNOLFYSFYFEH-UHFFFAOYSA-N 0 1 306.413 3.054 20 30 DGEDMN COc1cccc([C@H](C)N2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC000301217307 433454968 /nfs/dbraw/zinc/45/49/68/433454968.db2.gz ZYPNCMYGQCZXSR-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN C=CCC1(C(=O)NCCCN2C[C@@H](C)O[C@H](C)C2)CCCCC1 ZINC000448626316 433461151 /nfs/dbraw/zinc/46/11/51/433461151.db2.gz AAVDCWHHAUZPMZ-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN N#Cc1cc(F)ccc1NCCN1CCc2sccc2C1 ZINC000301343178 433515864 /nfs/dbraw/zinc/51/58/64/433515864.db2.gz GJWVWBZEQPOMBO-UHFFFAOYSA-N 0 1 301.390 3.229 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1nc2c(s1)CN(C(C)C)CC2 ZINC000426564050 433530508 /nfs/dbraw/zinc/53/05/08/433530508.db2.gz AMZKHOOBJFFKCA-AWEZNQCLSA-N 0 1 305.491 3.450 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN([C@H](C)CC)CC2)c1 ZINC000454575232 433495178 /nfs/dbraw/zinc/49/51/78/433495178.db2.gz IZGOGQYMZKDPEI-OAHLLOKOSA-N 0 1 317.433 3.199 20 30 DGEDMN N#CC(C(=O)c1cncc(F)c1)c1cc(C(F)(F)F)ccn1 ZINC000362172630 433561867 /nfs/dbraw/zinc/56/18/67/433561867.db2.gz TWUDPLONMXZRRQ-NSHDSACASA-N 0 1 309.222 3.125 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@@H](C[C@H]3CCOC3)C2)c1 ZINC000375826858 433584054 /nfs/dbraw/zinc/58/40/54/433584054.db2.gz XVGWWJNWLNFCHD-JKSUJKDBSA-N 0 1 302.393 3.336 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(C#N)c(F)c2)n[nH]1 ZINC000421404144 433546548 /nfs/dbraw/zinc/54/65/48/433546548.db2.gz XRVOIRYTQUVHAI-GHMZBOCLSA-N 0 1 301.369 3.180 20 30 DGEDMN CC[C@]1(C)CCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000362384811 433677922 /nfs/dbraw/zinc/67/79/22/433677922.db2.gz MANGGBORAGAWKS-LJQANCHMSA-N 0 1 313.445 3.445 20 30 DGEDMN Cc1ccc2nc(CNc3c(C#N)cnc4ccccc43)[nH]c2c1 ZINC000342437340 433694959 /nfs/dbraw/zinc/69/49/59/433694959.db2.gz LCNHIYCESSKPMM-UHFFFAOYSA-N 0 1 313.364 3.325 20 30 DGEDMN C[C@@H](NCC(=O)NC1CC1)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000376281887 433704791 /nfs/dbraw/zinc/70/47/91/433704791.db2.gz OIJZYXYMYYXFLL-CQSZACIVSA-N 0 1 319.408 3.154 20 30 DGEDMN CC(C)SCC[N@H+]1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000283520265 433740285 /nfs/dbraw/zinc/74/02/85/433740285.db2.gz LNZGXKOIARMINW-UHFFFAOYSA-N 0 1 317.502 3.208 20 30 DGEDMN CN(C)[C@@H](CNc1ccc2cc(C#N)ccc2n1)c1ccco1 ZINC000301831847 433743830 /nfs/dbraw/zinc/74/38/30/433743830.db2.gz SVEAYSGIDGOOJX-INIZCTEOSA-N 0 1 306.369 3.414 20 30 DGEDMN C=CCCN(C)[C@@H]1CCN(c2ccccc2C(F)(F)F)C1=O ZINC000342741934 433752463 /nfs/dbraw/zinc/75/24/63/433752463.db2.gz ZNTLBCQXXIUZLH-CQSZACIVSA-N 0 1 312.335 3.319 20 30 DGEDMN N#CCCCN1CCCC[C@H]1COC(=O)NC1CCCCC1 ZINC000376550209 433770691 /nfs/dbraw/zinc/77/06/91/433770691.db2.gz CWAZMMMQBWJHLN-INIZCTEOSA-N 0 1 307.438 3.204 20 30 DGEDMN C=C[C@@H](C)NC(=O)CSc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000362613282 433789613 /nfs/dbraw/zinc/78/96/13/433789613.db2.gz JXYJLZUDRRNWRF-GFCCVEGCSA-N 0 1 315.442 3.092 20 30 DGEDMN C=CCOc1ccc(CNCc2cnc(N(C)C)s2)cc1 ZINC000285374024 433929513 /nfs/dbraw/zinc/92/95/13/433929513.db2.gz BCUBDQNZXYWYHK-UHFFFAOYSA-N 0 1 303.431 3.064 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1cccc(C#Cc2ccccc2)c1 ZINC000352511920 434041774 /nfs/dbraw/zinc/04/17/74/434041774.db2.gz UMDUPKUNFOFGQA-UHFFFAOYSA-N 0 1 301.349 3.370 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1ccc(Cl)cc1O ZINC000436678493 434063598 /nfs/dbraw/zinc/06/35/98/434063598.db2.gz BUJCBBSHYMPJDS-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN CN(C)Cc1cccc(C(=O)[C@@H](C#N)c2nc3ccccc3[nH]2)c1 ZINC000436699922 434071114 /nfs/dbraw/zinc/07/11/14/434071114.db2.gz XHLMFAFBNCDQJY-OAHLLOKOSA-N 0 1 318.380 3.115 20 30 DGEDMN C[C@@H](N[C@H]1CC(=O)N(c2ccccc2)C1)c1ccc(C#N)c(F)c1 ZINC000346149735 434149780 /nfs/dbraw/zinc/14/97/80/434149780.db2.gz DKPWUXVHNLTNDL-CJNGLKHVSA-N 0 1 323.371 3.153 20 30 DGEDMN C=C(C)CCNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000457457674 434180536 /nfs/dbraw/zinc/18/05/36/434180536.db2.gz VYGGMGKVHPFJQN-HNNXBMFYSA-N 0 1 305.422 3.072 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2ccc([N+](=O)[O-])c(C#N)c2)C1 ZINC000364044278 434216254 /nfs/dbraw/zinc/21/62/54/434216254.db2.gz UULWGYGRLNEVGC-MRXNPFEDSA-N 0 1 308.341 3.065 20 30 DGEDMN COC1(CNC(=O)[C@H]2CCc3[nH]c(C(C)C)nc3C2)CCC1 ZINC000328645974 434291570 /nfs/dbraw/zinc/29/15/70/434291570.db2.gz ZWTUKYNFGLBYDJ-LBPRGKRZSA-N 0 1 305.422 3.164 20 30 DGEDMN N#Cc1cc(C(F)(F)F)ccc1NCc1n[nH]c(C2CC2)n1 ZINC000450629813 434306128 /nfs/dbraw/zinc/30/61/28/434306128.db2.gz RMYMVUIZGISRAG-UHFFFAOYSA-N 0 1 307.279 3.185 20 30 DGEDMN C=C(C)[C@H](CC(=O)Nc1cc(Cl)c(O)cc1F)OCC ZINC000287066900 434306083 /nfs/dbraw/zinc/30/60/83/434306083.db2.gz VCXULBOXUIEGAL-ZDUSSCGKSA-N 0 1 301.745 3.495 20 30 DGEDMN CC(C)[C@@H]1CN([C@H](C)C(=O)NC2(C#N)CCCCC2)CCCO1 ZINC000328843327 434317347 /nfs/dbraw/zinc/31/73/47/434317347.db2.gz WGOVDDNEBLZSGE-CVEARBPZSA-N 0 1 321.465 3.305 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)n[nH]1 ZINC000328914139 434328090 /nfs/dbraw/zinc/32/80/90/434328090.db2.gz IRLTYEFMVCPSOV-ZDEQEGDKSA-N 0 1 307.442 3.317 20 30 DGEDMN O=C(Nc1scnc1C1CC1)N1CCC(c2cnc[nH]2)CC1 ZINC000328912629 434330383 /nfs/dbraw/zinc/33/03/83/434330383.db2.gz HKJMJJUKWPWWGZ-UHFFFAOYSA-N 0 1 317.418 3.169 20 30 DGEDMN CC(=O)N[C@@H](C(=O)NCC[N@@H+]1CC=C(C)CC1)C1CCCC1 ZINC000329121968 434361610 /nfs/dbraw/zinc/36/16/10/434361610.db2.gz WLOJZCOFZXBILT-MRXNPFEDSA-N 0 1 307.438 3.130 20 30 DGEDMN CC(=O)N[C@@H](C(=O)NCCN1CC=C(C)CC1)C1CCCC1 ZINC000329121968 434361618 /nfs/dbraw/zinc/36/16/18/434361618.db2.gz WLOJZCOFZXBILT-MRXNPFEDSA-N 0 1 307.438 3.130 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)N(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000329225329 434374171 /nfs/dbraw/zinc/37/41/71/434374171.db2.gz GETZFYRSUVUVCE-HYVNUMGLSA-N 0 1 324.428 3.195 20 30 DGEDMN CC[C@H]1CCCC[N@H+]1CCNC(=O)c1cc2[nH]cnc2cc1F ZINC000329213286 434375866 /nfs/dbraw/zinc/37/58/66/434375866.db2.gz JDZZORIQXMAOMW-LBPRGKRZSA-N 0 1 318.396 3.271 20 30 DGEDMN CC(C)CC1(C)CCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)CC1 ZINC000329318378 434390164 /nfs/dbraw/zinc/39/01/64/434390164.db2.gz FRTFWVWXGGQPTO-OAHLLOKOSA-N 0 1 318.465 3.260 20 30 DGEDMN O=C(NCc1csc2ccccc12)[C@H]1CCc2[nH]nnc2C1 ZINC000328979100 434336381 /nfs/dbraw/zinc/33/63/81/434336381.db2.gz XHOCAEZEUSBCDJ-JTQLQIEISA-N 0 1 312.398 3.281 20 30 DGEDMN O=C(NCc1csc2ccccc12)[C@H]1CCc2nn[nH]c2C1 ZINC000328979100 434336389 /nfs/dbraw/zinc/33/63/89/434336389.db2.gz XHOCAEZEUSBCDJ-JTQLQIEISA-N 0 1 312.398 3.281 20 30 DGEDMN COc1ccc(-c2n[nH]c(S[C@@H]3CC[C@@H](C#N)C3)n2)cc1 ZINC000450692826 434343327 /nfs/dbraw/zinc/34/33/27/434343327.db2.gz AQDBPRNYSDQUGO-ZWNOBZJWSA-N 0 1 300.387 3.265 20 30 DGEDMN N#Cc1cccc(CN(C[C@H]2CCCO2)[C@H]2CCSC2)c1F ZINC000340945121 434449050 /nfs/dbraw/zinc/44/90/50/434449050.db2.gz CIDNRZUUXFVBEG-JKSUJKDBSA-N 0 1 320.433 3.184 20 30 DGEDMN C[C@@H]1CC(C)(C)CC[C@@H]1[NH+]=C([O-])N1CC[C@H](n2cccn2)C1 ZINC000329622816 434443534 /nfs/dbraw/zinc/44/35/34/434443534.db2.gz NNMJVESYSXNPSJ-ILXRZTDVSA-N 0 1 304.438 3.259 20 30 DGEDMN CC(C)(CNC(=O)c1cccn2c(O)nnc12)C1CCCCC1 ZINC000329346282 434399062 /nfs/dbraw/zinc/39/90/62/434399062.db2.gz RJMMYOXUPRDASB-UHFFFAOYSA-N 0 1 316.405 3.346 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCCC(=O)OC(C)(C)C ZINC000438103276 434409560 /nfs/dbraw/zinc/40/95/60/434409560.db2.gz YAPSYKHWLCZTGN-UHFFFAOYSA-N 0 1 305.393 3.231 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@H]1c1cccs1 ZINC000329542487 434430477 /nfs/dbraw/zinc/43/04/77/434430477.db2.gz DWRSZTRYQJXYHP-RISCZKNCSA-N 0 1 316.430 3.080 20 30 DGEDMN N#C[C@H]1CC[C@@H](N[C@H](C(=O)N2CCCCC2)c2ccccc2)C1 ZINC000424120453 294844125 /nfs/dbraw/zinc/84/41/25/294844125.db2.gz HBNPEDFUQBHVFR-JQHSSLGASA-N 0 1 311.429 3.022 20 30 DGEDMN CC(C)(C)c1csc(NC(=O)N2CC[C@H](n3cccn3)C2)n1 ZINC000329602626 434441478 /nfs/dbraw/zinc/44/14/78/434441478.db2.gz KYUGPRMYLLYOSV-NSHDSACASA-N 0 1 319.434 3.130 20 30 DGEDMN C=C[C@H](CC(=O)Nc1nc(-c2ccncc2)n[nH]1)c1ccccc1 ZINC000366596015 434458560 /nfs/dbraw/zinc/45/85/60/434458560.db2.gz IWZBALBXLGCXMC-CYBMUJFWSA-N 0 1 319.368 3.165 20 30 DGEDMN CSCc1cnc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)s1 ZINC000296009403 434473686 /nfs/dbraw/zinc/47/36/86/434473686.db2.gz SYWLOFVPJFOLIA-XBXARRHUSA-N 0 1 318.427 3.242 20 30 DGEDMN N#Cc1cccc(C[N@H+](Cc2ccccc2)[C@@H](CO)C2CC2)c1F ZINC000340989102 434474657 /nfs/dbraw/zinc/47/46/57/434474657.db2.gz ZACRJTXLPUQTPB-IBGZPJMESA-N 0 1 324.399 3.470 20 30 DGEDMN N#Cc1cccc(CN(Cc2ccccc2)[C@@H](CO)C2CC2)c1F ZINC000340989102 434474664 /nfs/dbraw/zinc/47/46/64/434474664.db2.gz ZACRJTXLPUQTPB-IBGZPJMESA-N 0 1 324.399 3.470 20 30 DGEDMN CC(C)c1nc([C@@H]2CCCCN2CC(=O)NC2CCCC2)n[nH]1 ZINC000329779190 434480200 /nfs/dbraw/zinc/48/02/00/434480200.db2.gz LRYLWKPIERHYMB-AWEZNQCLSA-N 0 1 319.453 3.354 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2nc(Cl)c(C#N)s2)n[nH]1 ZINC000287927190 434480363 /nfs/dbraw/zinc/48/03/63/434480363.db2.gz WXRNERZCVOSQHM-BQBZGAKWSA-N 0 1 310.814 3.473 20 30 DGEDMN CC(C)C[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329833057 434488905 /nfs/dbraw/zinc/48/89/05/434488905.db2.gz GGGOSZUOMLNJJL-CABCVRRESA-N 0 1 304.438 3.082 20 30 DGEDMN CCSc1nnc(NC(=O)[C@H]2CCCc3[nH]ncc32)s1 ZINC000329855391 434491009 /nfs/dbraw/zinc/49/10/09/434491009.db2.gz XILSWHJZCKRIPJ-ZETCQYMHSA-N 0 1 309.420 3.081 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1C([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329859850 434496520 /nfs/dbraw/zinc/49/65/20/434496520.db2.gz PBOVTFCELNXEFK-XNRPHZJLSA-N 0 1 304.438 3.082 20 30 DGEDMN CC(C)(C)c1cnc(NC(=O)N2CC[C@H](n3cccn3)C2)s1 ZINC000329637080 434449790 /nfs/dbraw/zinc/44/97/90/434449790.db2.gz VLPNBXTUPRILBI-NSHDSACASA-N 0 1 319.434 3.130 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)[C@H]1CCCc2c(O)cccc21 ZINC000329662810 434452363 /nfs/dbraw/zinc/45/23/63/434452363.db2.gz OZSDMAVHOFJAEA-RISCZKNCSA-N 0 1 311.385 3.049 20 30 DGEDMN COc1cc(CN2CCC(C#N)(c3ccccn3)CC2)ccc1C ZINC000367244618 434542816 /nfs/dbraw/zinc/54/28/16/434542816.db2.gz QVAIEEQWMDJSJV-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN COc1cc(NC(C)=O)ccc1[C@@H](C)NCc1cccc(C#N)c1 ZINC000439076536 434562973 /nfs/dbraw/zinc/56/29/73/434562973.db2.gz IWWYQXKMJTVDSF-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN O=C(N[C@@H]1C[C@@H]1C1CCCCC1)N[C@@H]1CCCc2cn[nH]c21 ZINC000330148815 295380560 /nfs/dbraw/zinc/38/05/60/295380560.db2.gz JRDSRIXRIFKFRN-RBSFLKMASA-N 0 1 302.422 3.260 20 30 DGEDMN COc1ccc(C[C@H]2CCCN(C[C@H](C#N)CCC#N)C2)cc1 ZINC000369612540 434599586 /nfs/dbraw/zinc/59/95/86/434599586.db2.gz AHWLCBLEFIXSEV-MSOLQXFVSA-N 0 1 311.429 3.393 20 30 DGEDMN C=CCC[C@@H](C(=O)NCc1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000452426326 434607876 /nfs/dbraw/zinc/60/78/76/434607876.db2.gz WPRYNXFNWSDGJK-OAHLLOKOSA-N 0 1 312.417 3.294 20 30 DGEDMN CSCC[C@H](C)N(C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000440604363 434722613 /nfs/dbraw/zinc/72/26/13/434722613.db2.gz VMHYFXWQTIYQOE-LBPRGKRZSA-N 0 1 316.430 3.182 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)NC(C)(C)C ZINC000411166714 434734422 /nfs/dbraw/zinc/73/44/22/434734422.db2.gz MNACHLINQHMRON-AWEZNQCLSA-N 0 1 301.434 3.073 20 30 DGEDMN C=CC[C@@H]1N(C(=O)CN(C)[C@@H]2CCSC2)CCCC1(C)C ZINC000443854527 434783564 /nfs/dbraw/zinc/78/35/64/434783564.db2.gz CWGUECDMALADGX-CABCVRRESA-N 0 1 310.507 3.017 20 30 DGEDMN C=CCN(CCc1ccco1)[C@H]1CCc2ccccc2NC1=O ZINC000411486659 434789433 /nfs/dbraw/zinc/78/94/33/434789433.db2.gz DYFITMVDOOFDGA-SFHVURJKSA-N 0 1 310.397 3.264 20 30 DGEDMN C=CC[C@H]1N(C(=O)CN(C)[C@@H]2CCSC2)CCCC1(C)C ZINC000443854534 434783009 /nfs/dbraw/zinc/78/30/09/434783009.db2.gz CWGUECDMALADGX-HUUCEWRRSA-N 0 1 310.507 3.017 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2ccc(Cl)cc2Cl)CC1 ZINC000520849635 434865947 /nfs/dbraw/zinc/86/59/47/434865947.db2.gz SWIGRWIUPYCFIB-CYBMUJFWSA-N 0 1 316.228 3.385 20 30 DGEDMN COc1cccc([C@H](CNCc2ccc(C#N)cc2F)OC)c1 ZINC000527735375 435013974 /nfs/dbraw/zinc/01/39/74/435013974.db2.gz JDZURWAPQONRNB-SFHVURJKSA-N 0 1 314.360 3.183 20 30 DGEDMN C=C(Cl)COc1ccc([C@@H](C)NCc2cnnn2CC)cc1 ZINC000527919691 435017236 /nfs/dbraw/zinc/01/72/36/435017236.db2.gz JKDBZYHRLSRGHB-CYBMUJFWSA-N 0 1 320.824 3.280 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3[C@H](C)O[C@@H](C)[C@H]3C)[nH]c21 ZINC000528952441 435031024 /nfs/dbraw/zinc/03/10/24/435031024.db2.gz AHEAWYOKJGRJRQ-KDBYPZKRSA-N 0 1 311.385 3.107 20 30 DGEDMN C=C(C)C[N@@H+](CCC(=O)[O-])Cc1cccc(Br)c1 ZINC000577761025 435062054 /nfs/dbraw/zinc/06/20/54/435062054.db2.gz ZZPZJURZBFOOLU-UHFFFAOYSA-N 0 1 312.207 3.302 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000530841525 435054868 /nfs/dbraw/zinc/05/48/68/435054868.db2.gz UHDCZLBDEWSQEK-DOMZBBRYSA-N 0 1 309.841 3.207 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)C1 ZINC000531675800 435109768 /nfs/dbraw/zinc/10/97/68/435109768.db2.gz DEUPTCVTTJUWET-YSTGTXGZSA-N 0 1 324.384 3.293 20 30 DGEDMN CN1CCC(C#N)(NC(=O)CC2CCC(C(C)(C)C)CC2)CC1 ZINC000531710836 435112430 /nfs/dbraw/zinc/11/24/30/435112430.db2.gz HYSCSIRDYCVRSI-UHFFFAOYSA-N 0 1 319.493 3.333 20 30 DGEDMN C[C@H](C#N)CN(C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495377870 435203573 /nfs/dbraw/zinc/20/35/73/435203573.db2.gz UVIWWGNKFCGXTP-KDOFPFPSSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@@H](C#N)CN(CC[C@H]1CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495986350 435207956 /nfs/dbraw/zinc/20/79/56/435207956.db2.gz ZHVLMSUNBXSLIX-GJZGRUSLSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@@H]1CN([C@@H]2CC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC000495796509 435205174 /nfs/dbraw/zinc/20/51/74/435205174.db2.gz VZGRSHWHWFHXCF-LJISPDSOSA-N 0 1 307.438 3.008 20 30 DGEDMN COC(=O)CC1(NCc2ccc(F)cc2C#N)CCCCC1 ZINC000533068180 435208828 /nfs/dbraw/zinc/20/88/28/435208828.db2.gz WPICFOIGJWOJHP-UHFFFAOYSA-N 0 1 304.365 3.053 20 30 DGEDMN COC[C@@H](Cc1ccccc1)N(C)CC#Cc1ccc(F)cc1 ZINC000475741162 435210504 /nfs/dbraw/zinc/21/05/04/435210504.db2.gz FXEBFUFLRXHYQB-HXUWFJFHSA-N 0 1 311.400 3.367 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000564712696 435273556 /nfs/dbraw/zinc/27/35/56/435273556.db2.gz GNIYNYSKAAGJTE-IRXDYDNUSA-N 0 1 324.428 3.274 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@H](C)N(CC)C[C@H]1C)c1ccccc1 ZINC000498569815 435302842 /nfs/dbraw/zinc/30/28/42/435302842.db2.gz KPILNVRBQIPZOH-GVDBMIGSSA-N 0 1 300.446 3.287 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCOc1ccccc1C ZINC000501255453 435304186 /nfs/dbraw/zinc/30/41/86/435304186.db2.gz AZLMASKKYWQQIW-UHFFFAOYSA-N 0 1 310.397 3.386 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC000513760533 435402075 /nfs/dbraw/zinc/40/20/75/435402075.db2.gz PACSDAIDNBZKNA-GOSISDBHSA-N 0 1 302.462 3.440 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(Cc1cccc(F)c1)C(C)(C)C ZINC000481209581 435414716 /nfs/dbraw/zinc/41/47/16/435414716.db2.gz KKQKOHHIWLCGFA-UHFFFAOYSA-N 0 1 306.425 3.461 20 30 DGEDMN C=C(C)CN(C)[C@H]1CCCN(c2ccc(OC(F)F)cc2)C1=O ZINC000481299157 435418389 /nfs/dbraw/zinc/41/83/89/435418389.db2.gz DTOINQWOSVCNHG-HNNXBMFYSA-N 0 1 324.371 3.291 20 30 DGEDMN N#CCc1ccc(CN2CCC(C#N)(c3ccccn3)CC2)cc1 ZINC000619723063 435374596 /nfs/dbraw/zinc/37/45/96/435374596.db2.gz UAWZFIKCEJSYTP-UHFFFAOYSA-N 0 1 316.408 3.205 20 30 DGEDMN C[C@@H]1CCCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000481600344 435434065 /nfs/dbraw/zinc/43/40/65/435434065.db2.gz ZAFRIHKENYDXGS-GFCCVEGCSA-N 0 1 305.809 3.272 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1CCC(c2cccc(Cl)c2)CC1 ZINC000483119615 435498788 /nfs/dbraw/zinc/49/87/88/435498788.db2.gz KSYSYSGSNQEVIX-ZDUSSCGKSA-N 0 1 306.837 3.210 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@@](C)(C(=O)OC)C2)cc1Cl ZINC000539824481 435573419 /nfs/dbraw/zinc/57/34/19/435573419.db2.gz GLUJULXJNYWWDJ-QGZVFWFLSA-N 0 1 323.820 3.290 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccccc2)CC(C)C)CC1 ZINC000490594976 435665739 /nfs/dbraw/zinc/66/57/39/435665739.db2.gz XJGWMIJCRQIAFP-UHFFFAOYSA-N 0 1 312.457 3.016 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CCC2CCCC2)CC1 ZINC000490831547 435681907 /nfs/dbraw/zinc/68/19/07/435681907.db2.gz VMZYOJGZBLNQKK-LJQANCHMSA-N 0 1 316.489 3.293 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1ccc(OCC(F)(F)F)c(OC)c1 ZINC000491285928 435725679 /nfs/dbraw/zinc/72/56/79/435725679.db2.gz GJTFVPKBCVLKCV-LLVKDONJSA-N 0 1 301.308 3.090 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1ccc(Cl)cc1Cl ZINC000571697279 435729612 /nfs/dbraw/zinc/72/96/12/435729612.db2.gz GCDWROFIVSXMLY-NWDGAFQWSA-N 0 1 317.216 3.015 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cn(-c2ccccc2)nc1-c1cccnc1 ZINC000491405761 435747175 /nfs/dbraw/zinc/74/71/75/435747175.db2.gz KJKGIKMEWDYJPV-MRXNPFEDSA-N 0 1 316.408 3.388 20 30 DGEDMN C#CCN(Cc1c(C)nn(-c2ccccn2)c1C)C1CCCC1 ZINC000491123132 435707060 /nfs/dbraw/zinc/70/70/60/435707060.db2.gz ZQXNAWJDUNBVCQ-UHFFFAOYSA-N 0 1 308.429 3.262 20 30 DGEDMN CC[C@@](C)(O)CN(Cc1ccccc1)Cc1ccc(C#N)cn1 ZINC000571533125 435714527 /nfs/dbraw/zinc/71/45/27/435714527.db2.gz FGPJDJRIATYBRS-LJQANCHMSA-N 0 1 309.413 3.116 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)[C@H](C)c1n[nH]c(CC)n1 ZINC000660538881 435794139 /nfs/dbraw/zinc/79/41/39/435794139.db2.gz IZFQHPSKPYOTSM-CQSZACIVSA-N 0 1 316.453 3.284 20 30 DGEDMN CCN(CCNc1ccc(F)cc1C#N)CCC(F)(F)F ZINC000491546846 435768323 /nfs/dbraw/zinc/76/83/23/435768323.db2.gz NSBSMNFDVQDXNQ-UHFFFAOYSA-N 0 1 303.303 3.384 20 30 DGEDMN C=CCN1CC[C@H]([N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)C1=O ZINC000646119130 435785512 /nfs/dbraw/zinc/78/55/12/435785512.db2.gz QJUWSEUJTWPIHN-ROUUACIJSA-N 0 1 308.425 3.167 20 30 DGEDMN C=CCN1CC[C@H](N2CC3(CC=CC3)[C@@H]2c2ccccc2)C1=O ZINC000646119130 435785518 /nfs/dbraw/zinc/78/55/18/435785518.db2.gz QJUWSEUJTWPIHN-ROUUACIJSA-N 0 1 308.425 3.167 20 30 DGEDMN Cc1cccc2ncc(CNC3(c4cccc(C#N)c4)CC3)n21 ZINC000551230271 435824871 /nfs/dbraw/zinc/82/48/71/435824871.db2.gz TXYACGWCZVHIIU-UHFFFAOYSA-N 0 1 302.381 3.293 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)c1 ZINC000646747904 435914895 /nfs/dbraw/zinc/91/48/95/435914895.db2.gz ABWKPQXYOFHCQI-MLGOLLRUSA-N 0 1 311.385 3.279 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000660932329 435927799 /nfs/dbraw/zinc/92/77/99/435927799.db2.gz YYRWZFFEJCLGFS-JGGQBBKZSA-N 0 1 304.438 3.083 20 30 DGEDMN CN(CC#Cc1ccccc1Cl)[C@H](CO)Cc1ccccc1 ZINC000661091783 435961652 /nfs/dbraw/zinc/96/16/52/435961652.db2.gz ONBDXURZFVHBEJ-SFHVURJKSA-N 0 1 313.828 3.227 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NCc1nc(CC(F)(F)F)no1 ZINC000661115754 435969936 /nfs/dbraw/zinc/96/99/36/435969936.db2.gz DXWDGJSOQWHJNM-WDEREUQCSA-N 0 1 303.328 3.399 20 30 DGEDMN C=C(C)[C@H](NC(=O)N(C)Cc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000661038042 435949551 /nfs/dbraw/zinc/94/95/51/435949551.db2.gz YLKKGBSTWNZJMC-HNNXBMFYSA-N 0 1 320.343 3.147 20 30 DGEDMN C=CCCn1cc(CNCCc2ccc(C(F)(F)F)cc2)nn1 ZINC000657290426 436118371 /nfs/dbraw/zinc/11/83/71/436118371.db2.gz PSRXREZGSLHCTP-UHFFFAOYSA-N 0 1 324.350 3.205 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@H](c3cccc(F)c3)C2)nn1 ZINC000657454038 436210717 /nfs/dbraw/zinc/21/07/17/436210717.db2.gz DDSBZUWZXVVNEB-KOMQPUFPSA-N 0 1 300.381 3.029 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000662083579 436269675 /nfs/dbraw/zinc/26/96/75/436269675.db2.gz GJPOVKUQMZOVIF-DVOMOZLQSA-N 0 1 318.367 3.253 20 30 DGEDMN C=CCCC[N@H+](C)[C@H](C(=O)[O-])c1ccccc1Br ZINC000659787267 436524953 /nfs/dbraw/zinc/52/49/53/436524953.db2.gz CQANDHJVMAZYMT-ZDUSSCGKSA-N 0 1 312.207 3.473 20 30 DGEDMN CN(C)[C@@H](CNc1cccc(C#N)n1)c1ccccc1Cl ZINC000172408258 312748363 /nfs/dbraw/zinc/74/83/63/312748363.db2.gz PBZWAUBBRNIKNL-HNNXBMFYSA-N 0 1 300.793 3.321 20 30 DGEDMN N#Cc1cccc(CN[C@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000535368286 313711433 /nfs/dbraw/zinc/71/14/33/313711433.db2.gz BDKMYIAEKVWVJF-MRXNPFEDSA-N 0 1 320.314 3.400 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)nc1 ZINC000565172197 315238160 /nfs/dbraw/zinc/23/81/60/315238160.db2.gz POROILPYCSHJRB-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN CCCCn1c2c(cc(C#N)c1=O)CN(Cc1ccccc1)CC2 ZINC000565243073 315246243 /nfs/dbraw/zinc/24/62/43/315246243.db2.gz VPQKWNKWWYKVDB-UHFFFAOYSA-N 0 1 321.424 3.078 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1ccc(C#N)c(F)c1 ZINC000577361683 316227920 /nfs/dbraw/zinc/22/79/20/316227920.db2.gz AAHLGSRPUQTYBH-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN Cn1cc([C@@H]2CN(Cc3ccc(F)cc3C#N)CC2(C)C)cn1 ZINC000577409169 316233162 /nfs/dbraw/zinc/23/31/62/316233162.db2.gz RKZKILIFUPAMEB-KRWDZBQOSA-N 0 1 312.392 3.056 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](C)c1cccc(NC(C)=O)c1 ZINC000182538919 323303677 /nfs/dbraw/zinc/30/36/77/323303677.db2.gz FOFDXTUYYFIQRF-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN CC(C)N(Cc1ccccc1)Cc1cnc2c(C#N)cnn2c1 ZINC000582242199 324206773 /nfs/dbraw/zinc/20/67/73/324206773.db2.gz KTPUWABEISCULA-UHFFFAOYSA-N 0 1 305.385 3.012 20 30 DGEDMN N#Cc1cccc([C@@H]2C[C@H]2c2nc(C3(N)CCCCC3)no2)c1 ZINC000582295893 324212863 /nfs/dbraw/zinc/21/28/63/324212863.db2.gz RDQHXDKAIBBIHB-LSDHHAIUSA-N 0 1 308.385 3.330 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)N[C@](C)(C#N)C(C)C)c(C)s1 ZINC000193133260 332912567 /nfs/dbraw/zinc/91/25/67/332912567.db2.gz PFQUVXIRRSHAHX-MLGOLLRUSA-N 0 1 307.463 3.070 20 30 DGEDMN C[C@@H](CN1CCN(c2cnccc2C#N)CC1)c1ccc(F)cc1 ZINC000584149746 331843578 /nfs/dbraw/zinc/84/35/78/331843578.db2.gz ONNKWGAUXYAENE-HNNXBMFYSA-N 0 1 324.403 3.018 20 30 DGEDMN C[C@H](NC(c1ccccc1)c1ccccc1)C(=O)N(C)CCC#N ZINC000072879246 331933107 /nfs/dbraw/zinc/93/31/07/331933107.db2.gz BHYCEYPOBPIXNP-INIZCTEOSA-N 0 1 321.424 3.126 20 30 DGEDMN COc1cc(OC)c(OC)cc1CN1CCC(CCC#N)CC1 ZINC000569607274 332199369 /nfs/dbraw/zinc/19/93/69/332199369.db2.gz ZMHOMQMHUDGYFF-UHFFFAOYSA-N 0 1 318.417 3.228 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc4c(c3)CCO4)[nH]c21 ZINC000522828040 333391224 /nfs/dbraw/zinc/39/12/24/333391224.db2.gz OCGOJFQOSFIDOT-AWEZNQCLSA-N 0 1 317.348 3.296 20 30 DGEDMN N#CCCOCCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000293580194 334199413 /nfs/dbraw/zinc/19/94/13/334199413.db2.gz TVCVSZXNZSCTAP-AWEZNQCLSA-N 0 1 301.415 3.363 20 30 DGEDMN C#CCCCCC(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000119783145 334291913 /nfs/dbraw/zinc/29/19/13/334291913.db2.gz XZUILKRMIWPQCF-UHFFFAOYSA-N 0 1 316.470 3.368 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cccc(CC#N)c3)[nH]c21 ZINC000345367154 335320720 /nfs/dbraw/zinc/32/07/20/335320720.db2.gz CVOLPNXNYSIXHD-OAHLLOKOSA-N 0 1 314.348 3.427 20 30 DGEDMN N#CC1(NC(=O)c2cccc(Br)c2O)CCCCC1 ZINC000536686100 335865509 /nfs/dbraw/zinc/86/55/09/335865509.db2.gz XRPDXABTMOIZAX-UHFFFAOYSA-N 0 1 323.190 3.111 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)Sc1nnc(C(C)(C)C)[nH]1 ZINC000042614086 336241961 /nfs/dbraw/zinc/24/19/61/336241961.db2.gz KUUNMEVWTPHHIS-LLVKDONJSA-N 0 1 310.467 3.007 20 30 DGEDMN C=CCSCCN[C@H](C(=O)N(CC)CC)c1ccccc1 ZINC000357732487 336596306 /nfs/dbraw/zinc/59/63/06/336596306.db2.gz CFYQLVAXSNNCFY-INIZCTEOSA-N 0 1 306.475 3.105 20 30 DGEDMN C=CCC[C@@H](CO)NCc1cc(F)ccc1Br ZINC000321047817 337187679 /nfs/dbraw/zinc/18/76/79/337187679.db2.gz UWDRMIPMFDVUCJ-LBPRGKRZSA-N 0 1 302.187 3.005 20 30 DGEDMN CC1(C)[C@H](NC[C@H](C#N)CCC#N)C[C@H]1OCc1ccccc1 ZINC000582819943 337200783 /nfs/dbraw/zinc/20/07/83/337200783.db2.gz INAYRIZZMNTMER-RCCFBDPRSA-N 0 1 311.429 3.403 20 30 DGEDMN CC1(C)[C@H](NC[C@@H](C#N)CCC#N)C[C@H]1OCc1ccccc1 ZINC000582819942 337200839 /nfs/dbraw/zinc/20/08/39/337200839.db2.gz INAYRIZZMNTMER-KZNAEPCWSA-N 0 1 311.429 3.403 20 30 DGEDMN COc1ccc(CN2CCC(CCC#N)CC2)cc1[N+](=O)[O-] ZINC000399417753 337316660 /nfs/dbraw/zinc/31/66/60/337316660.db2.gz IQIMNEVSYSKGTB-UHFFFAOYSA-N 0 1 303.362 3.119 20 30 DGEDMN C[C@H]1CCCC[C@@H]1N(C)C(=O)CN1CCC(CCC#N)CC1 ZINC000572239265 337599842 /nfs/dbraw/zinc/59/98/42/337599842.db2.gz RFACNPLMKOROKZ-RDJZCZTQSA-N 0 1 305.466 3.039 20 30 DGEDMN C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CCC(CCC#N)CC1 ZINC000572239264 337599887 /nfs/dbraw/zinc/59/98/87/337599887.db2.gz RFACNPLMKOROKZ-NVXWUHKLSA-N 0 1 305.466 3.039 20 30 DGEDMN N#CCc1cc(Cl)ccc1NC(=O)[C@H](C1CC1)N1CCCC1 ZINC000515355086 337986746 /nfs/dbraw/zinc/98/67/46/337986746.db2.gz BSZCTBMDJDBRNL-INIZCTEOSA-N 0 1 317.820 3.219 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CCOc1cccc(C)c1 ZINC000501474812 340081871 /nfs/dbraw/zinc/08/18/71/340081871.db2.gz FSKOKBJQYINGLI-QGZVFWFLSA-N 0 1 318.461 3.119 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCCCSc1ccccc1 ZINC000508725643 340251672 /nfs/dbraw/zinc/25/16/72/340251672.db2.gz MDPCPOSENIMXJE-UHFFFAOYSA-N 0 1 306.475 3.183 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CN2CCC(C)CC2)c1 ZINC000066213575 340841878 /nfs/dbraw/zinc/84/18/78/340841878.db2.gz ZUVXUSSVLYBNHR-UHFFFAOYSA-N 0 1 302.418 3.312 20 30 DGEDMN COc1ccccc1[C@H](CO)NCc1ccccc1C(C)(C)C#N ZINC000541984337 340992406 /nfs/dbraw/zinc/99/24/06/340992406.db2.gz WAYBZARXEFQOBF-SFHVURJKSA-N 0 1 324.424 3.320 20 30 DGEDMN COc1ccc(OC(F)F)c(CN2CCC(CC#N)CC2)c1 ZINC000543781404 341058516 /nfs/dbraw/zinc/05/85/16/341058516.db2.gz RJNVRKYUQSDPKJ-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc(-c3nnc(C)o3)cc2)n1 ZINC000544552160 341085562 /nfs/dbraw/zinc/08/55/62/341085562.db2.gz MANUZDNOIZBVIL-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-c3nnc(C)o3)cc2)n1 ZINC000544552160 341085563 /nfs/dbraw/zinc/08/55/63/341085563.db2.gz MANUZDNOIZBVIL-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc(-c3nnc(C)o3)cc2)n1 ZINC000544552159 341085571 /nfs/dbraw/zinc/08/55/71/341085571.db2.gz MANUZDNOIZBVIL-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-c3nnc(C)o3)cc2)n1 ZINC000544552159 341085572 /nfs/dbraw/zinc/08/55/72/341085572.db2.gz MANUZDNOIZBVIL-CYBMUJFWSA-N 0 1 324.365 3.300 20 30 DGEDMN Fc1ccc(C#CCSc2nc(-c3cccnc3)n[nH]2)cc1 ZINC000135294077 341235898 /nfs/dbraw/zinc/23/58/98/341235898.db2.gz MKGVGJFYECPKJK-UHFFFAOYSA-N 0 1 310.357 3.150 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](CC)c1c(C)noc1C ZINC000155910889 341614864 /nfs/dbraw/zinc/61/48/64/341614864.db2.gz WHKHBYPBSWWOJB-ZFWWWQNUSA-N 0 1 307.438 3.145 20 30 DGEDMN C[C@H](NCc1ccncc1OCC(F)F)c1ccc(C#N)cc1 ZINC000442135084 533937731 /nfs/dbraw/zinc/93/77/31/533937731.db2.gz TUKDQSGSZMIFQP-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN CCOCOc1ccc(CNCc2ccc(C#N)s2)cc1 ZINC001658150214 1196218574 /nfs/dbraw/zinc/21/85/74/1196218574.db2.gz PHPUYQJNGXWLNO-UHFFFAOYSA-N 0 1 302.399 3.282 20 30 DGEDMN C=CC[C@@H](C)N1CCN(c2ncc(Cl)cc2Cl)CC1 ZINC001473108326 1183716339 /nfs/dbraw/zinc/71/63/39/1183716339.db2.gz HWUXBZVFVZKWMB-LLVKDONJSA-N 0 1 300.233 3.475 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccc(C#N)s3)c2C)o1 ZINC000434436120 534161641 /nfs/dbraw/zinc/16/16/41/534161641.db2.gz CCLSSEFEKXVIHW-UHFFFAOYSA-N 0 1 312.354 3.472 20 30 DGEDMN Cc1noc(C)c1CN1CCN(CCCCC(C)(C)C#N)CC1 ZINC000444651498 534355156 /nfs/dbraw/zinc/35/51/56/534355156.db2.gz VOBWQUFRSIVQTF-UHFFFAOYSA-N 0 1 318.465 3.129 20 30 DGEDMN Cc1nc(NC(=O)c2c(O)cccc2F)sc1SCC#N ZINC000184659561 534480482 /nfs/dbraw/zinc/48/04/82/534480482.db2.gz KAXMGCJYAZBJRS-UHFFFAOYSA-N 0 1 323.374 3.164 20 30 DGEDMN CCOc1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000266521437 521063496 /nfs/dbraw/zinc/06/34/96/521063496.db2.gz ZNTQLHGGZFEUHL-AWEZNQCLSA-N 0 1 323.396 3.246 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(F)c(C(F)(F)F)cc1F ZINC000451613343 534609043 /nfs/dbraw/zinc/60/90/43/534609043.db2.gz MUWQASKZCUSWJY-OLZOCXBDSA-N 0 1 307.262 3.417 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000470771901 534875711 /nfs/dbraw/zinc/87/57/11/534875711.db2.gz HQFQWNMJJOSTKA-ZDUSSCGKSA-N 0 1 319.380 3.427 20 30 DGEDMN C=CCN(CCC(=O)Nc1cccnc1)Cc1ccccc1F ZINC000358277513 526272777 /nfs/dbraw/zinc/27/27/77/526272777.db2.gz TUGYTPBTVRPDPR-UHFFFAOYSA-N 0 1 313.376 3.238 20 30 DGEDMN C=C(Br)CN[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1 ZINC000192132167 526429242 /nfs/dbraw/zinc/42/92/42/526429242.db2.gz AEACZCQMPBATGY-QWHCGFSZSA-N 0 1 318.161 3.293 20 30 DGEDMN C=CCN1CC[C@@H](N(Cc2ccccc2F)CC(C)C)C1=O ZINC000337141466 526475120 /nfs/dbraw/zinc/47/51/20/526475120.db2.gz SEMDKILDJFZLSJ-QGZVFWFLSA-N 0 1 304.409 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC(c3ccc(F)cc3C)CC2)C1=O ZINC000428721589 526476229 /nfs/dbraw/zinc/47/62/29/526476229.db2.gz NRMAHPRRPATFEG-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCN1CC[C@H](N2CCCC[C@H]2c2cc3ccccc3[nH]2)C1=O ZINC000337147670 526507497 /nfs/dbraw/zinc/50/74/97/526507497.db2.gz GQDVLQNKJOJEET-OALUTQOASA-N 0 1 323.440 3.482 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)Cc1ccc(OC)c(C)c1 ZINC000343643199 526541034 /nfs/dbraw/zinc/54/10/34/526541034.db2.gz NLSITOCONJWGGA-INIZCTEOSA-N 0 1 318.461 3.249 20 30 DGEDMN C=C(C)CN(CCOCC(F)(F)F)Cc1cnn(C(C)C)c1 ZINC000352901433 526552744 /nfs/dbraw/zinc/55/27/44/526552744.db2.gz KPFMWOIXPAZEQR-UHFFFAOYSA-N 0 1 319.371 3.421 20 30 DGEDMN C=C(C)COc1cccc(NS(=O)(=O)c2ccc(C)nc2)c1 ZINC000349949650 526671140 /nfs/dbraw/zinc/67/11/40/526671140.db2.gz SQUCMXWHLOUHRZ-UHFFFAOYSA-N 0 1 318.398 3.146 20 30 DGEDMN C=C(C)CS(=O)(=O)Nc1nn([C@H](C)c2ccccc2)cc1C ZINC000357068039 526690945 /nfs/dbraw/zinc/69/09/45/526690945.db2.gz FTVVMUVZHWPWJY-CQSZACIVSA-N 0 1 319.430 3.119 20 30 DGEDMN C=CCOCCCN1CCN([C@@H](C)c2ccc(F)cc2F)CC1 ZINC000348039147 526755182 /nfs/dbraw/zinc/75/51/82/526755182.db2.gz SNOBLRHZCDMACF-HNNXBMFYSA-N 0 1 324.415 3.236 20 30 DGEDMN C=CCOCCC[N@H+]1CCC[C@H]1c1nnc(-c2ccccc2)[n-]1 ZINC000348053409 526756406 /nfs/dbraw/zinc/75/64/06/526756406.db2.gz VEHAHWCERBKUAC-INIZCTEOSA-N 0 1 312.417 3.201 20 30 DGEDMN CC(C)(C)c1nc(CN2CCC[C@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000331366414 526841571 /nfs/dbraw/zinc/84/15/71/526841571.db2.gz ADKVDUQLFHUTAF-HOTGVXAUSA-N 0 1 323.444 3.374 20 30 DGEDMN C=C(CN[C@@H](C(=O)NC1CC1)c1ccccc1)c1ccccc1 ZINC000352263958 526882885 /nfs/dbraw/zinc/88/28/85/526882885.db2.gz QSOQWVQIXNBMPQ-LJQANCHMSA-N 0 1 306.409 3.309 20 30 DGEDMN C=CCOc1cccnc1-c1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000356997812 526952349 /nfs/dbraw/zinc/95/23/49/526952349.db2.gz XAKPMOFFPJMKNH-UHFFFAOYSA-N 0 1 319.324 3.240 20 30 DGEDMN C=CC1CCN(CCCS(=O)(=O)c2cccc(C)c2)CC1 ZINC000155220022 526979268 /nfs/dbraw/zinc/97/92/68/526979268.db2.gz GEBGDYOXTWEIDK-UHFFFAOYSA-N 0 1 307.459 3.057 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000179590551 526986110 /nfs/dbraw/zinc/98/61/10/526986110.db2.gz WTSRXEGKAKUWMK-UHFFFAOYSA-N 0 1 316.405 3.047 20 30 DGEDMN C=CCSCCN[C@@H](C)c1nnn(-c2ccc(F)cc2)c1C ZINC000180456530 527005542 /nfs/dbraw/zinc/00/55/42/527005542.db2.gz KFSLTWRCEXLZQT-LBPRGKRZSA-N 0 1 320.437 3.285 20 30 DGEDMN C=CCC(C)(C)CNS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000432272067 527018886 /nfs/dbraw/zinc/01/88/86/527018886.db2.gz RLJDDPCAAMVWJU-UHFFFAOYSA-N 0 1 323.792 3.499 20 30 DGEDMN C=CCCC1(C(=O)Nc2ccc(-c3nnc[nH]3)cc2F)CC1 ZINC000410787133 527095256 /nfs/dbraw/zinc/09/52/56/527095256.db2.gz RBUAWIWKGOTHGY-UHFFFAOYSA-N 0 1 300.337 3.296 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000346040596 527153103 /nfs/dbraw/zinc/15/31/03/527153103.db2.gz ALNYGSNHEGQUTC-HOTGVXAUSA-N 0 1 307.413 3.082 20 30 DGEDMN C=CCCN(C)CC(=O)N(CCC#N)c1ccc(Cl)c(C)c1 ZINC000342720325 527318815 /nfs/dbraw/zinc/31/88/15/527318815.db2.gz KYDNOCYYKNRIQQ-UHFFFAOYSA-N 0 1 319.836 3.403 20 30 DGEDMN CC(=O)c1cccc(C(=O)C(C#N)c2nc3ccccc3n2C)c1 ZINC000340983001 527352622 /nfs/dbraw/zinc/35/26/22/527352622.db2.gz VPYBALSGAZFYNN-HNNXBMFYSA-N 0 1 317.348 3.266 20 30 DGEDMN CC(=O)c1cccc(C(O)=C(C#N)c2nc3ccccc3n2C)c1 ZINC000340983001 527352633 /nfs/dbraw/zinc/35/26/33/527352633.db2.gz VPYBALSGAZFYNN-HNNXBMFYSA-N 0 1 317.348 3.266 20 30 DGEDMN C=CCC[C@H](C(=O)N1C[C@H](C)N(C)C[C@@H]1C)c1ccccc1 ZINC000428023281 527462043 /nfs/dbraw/zinc/46/20/43/527462043.db2.gz KUSPBOBWLXBVGQ-BQFCYCMXSA-N 0 1 300.446 3.287 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(C(=O)CCC2CCCC2)CC1 ZINC000174817050 527464272 /nfs/dbraw/zinc/46/42/72/527464272.db2.gz KAPWRTOMQIWTOG-UHFFFAOYSA-N 0 1 319.493 3.431 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(Cc2ccc(Cl)nc2)CC1 ZINC000174866100 527464333 /nfs/dbraw/zinc/46/43/33/527464333.db2.gz VEQAIBRXCRIXKD-UHFFFAOYSA-N 0 1 320.868 3.183 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC000353039952 527551897 /nfs/dbraw/zinc/55/18/97/527551897.db2.gz MNUOYISAUMNGFM-QGZVFWFLSA-N 0 1 311.341 3.089 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000352483064 527592573 /nfs/dbraw/zinc/59/25/73/527592573.db2.gz XDYXHMOQYKMNMK-LLVKDONJSA-N 0 1 300.383 3.193 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000441333326 527614934 /nfs/dbraw/zinc/61/49/34/527614934.db2.gz UCOHJVZHOKSRDX-QAPCUYQASA-N 0 1 316.420 3.409 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1cccc(C)c1C ZINC000428741240 527625484 /nfs/dbraw/zinc/62/54/84/527625484.db2.gz YLYQIXSRPWTCLP-QGZVFWFLSA-N 0 1 300.446 3.324 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)CC1(c2ccccc2)CC1 ZINC000444687795 527631724 /nfs/dbraw/zinc/63/17/24/527631724.db2.gz WONPWPYNHHULTB-KRWDZBQOSA-N 0 1 312.457 3.239 20 30 DGEDMN CCC[C@@H]1CNCCN1C(=O)Nc1ccc(CC#N)cc1Cl ZINC000415791970 527804565 /nfs/dbraw/zinc/80/45/65/527804565.db2.gz VIOLCHGJQKULMN-CYBMUJFWSA-N 0 1 320.824 3.012 20 30 DGEDMN CC(C)[C@H]1CN(C)CCN1C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000180608619 527847969 /nfs/dbraw/zinc/84/79/69/527847969.db2.gz DLWCXHYRIDHZOY-OAHLLOKOSA-N 0 1 320.824 3.016 20 30 DGEDMN CC(C)c1ccc(C(=O)C(C#N)c2nnc3n2CCCCC3)nc1 ZINC000355096294 528081607 /nfs/dbraw/zinc/08/16/07/528081607.db2.gz JHHJQKLSVPOGJY-CQSZACIVSA-N 0 1 323.400 3.013 20 30 DGEDMN CCOc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1F ZINC000435339460 528360638 /nfs/dbraw/zinc/36/06/38/528360638.db2.gz UEJBWOFLKDFLGM-YFHOEESVSA-N 0 1 313.332 3.048 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2c(C)coc2C)n1 ZINC000342337607 528365872 /nfs/dbraw/zinc/36/58/72/528365872.db2.gz ZRYFVZCKPQORFT-SNVBAGLBSA-N 0 1 318.354 3.020 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000413232487 528380714 /nfs/dbraw/zinc/38/07/14/528380714.db2.gz CFTQKRYDBRJQQU-MCIONIFRSA-N 0 1 315.417 3.157 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1cc(F)ccc1O ZINC000436586667 528561247 /nfs/dbraw/zinc/56/12/47/528561247.db2.gz CDWGBQAYZSAUAF-UHFFFAOYSA-N 0 1 313.332 3.477 20 30 DGEDMN CCOC(=O)CC1(NCc2ccc(F)cc2C#N)CCCC1 ZINC000434625045 528667808 /nfs/dbraw/zinc/66/78/08/528667808.db2.gz SSYDWBUYXYPXMF-UHFFFAOYSA-N 0 1 304.365 3.053 20 30 DGEDMN CCCOc1ccc(CNCc2ccc(C#N)c(OC)c2)cn1 ZINC000440805410 528686732 /nfs/dbraw/zinc/68/67/32/528686732.db2.gz GFZOYWRTZQMDJR-UHFFFAOYSA-N 0 1 311.385 3.040 20 30 DGEDMN CCN(Cc1cccc(Cl)c1)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000345873245 528805897 /nfs/dbraw/zinc/80/58/97/528805897.db2.gz YQBBFCDWZGKLEK-ZDUSSCGKSA-N 0 1 319.836 3.113 20 30 DGEDMN CCCn1cc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000359496689 528839241 /nfs/dbraw/zinc/83/92/41/528839241.db2.gz BFPQGCVNUZMGNW-GFCCVEGCSA-N 0 1 322.290 3.197 20 30 DGEDMN CCCn1cc(C(=O)[C@H](C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000359496689 528839244 /nfs/dbraw/zinc/83/92/44/528839244.db2.gz BFPQGCVNUZMGNW-GFCCVEGCSA-N 0 1 322.290 3.197 20 30 DGEDMN CC(C)N(CC(=O)NC1(C#N)CCC1)Cc1cccc(Cl)c1 ZINC000346833945 528859182 /nfs/dbraw/zinc/85/91/82/528859182.db2.gz XPQSTBZBQUVPAW-UHFFFAOYSA-N 0 1 319.836 3.113 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccc2nc(C3CC3)sc2c1 ZINC000416933084 529271226 /nfs/dbraw/zinc/27/12/26/529271226.db2.gz AFWKOXJMXVVAKO-NSHDSACASA-N 0 1 321.427 3.218 20 30 DGEDMN CC[C@@H](C(=O)NC1(C#N)CCCCC1)N(C)Cc1ccccc1 ZINC000339257165 529281091 /nfs/dbraw/zinc/28/10/91/529281091.db2.gz ZTFMMUQPPSPANM-KRWDZBQOSA-N 0 1 313.445 3.240 20 30 DGEDMN CC[C@H](CNCc1ccc(C#N)cc1F)NC(=O)OC(C)(C)C ZINC000294970565 529361480 /nfs/dbraw/zinc/36/14/80/529361480.db2.gz FSVPLAREWABJCP-CQSZACIVSA-N 0 1 321.396 3.090 20 30 DGEDMN CC[C@@H](C)N1CCN(C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000454221752 535560465 /nfs/dbraw/zinc/56/04/65/535560465.db2.gz CCFFCGPJDVHEPN-GFCCVEGCSA-N 0 1 320.824 3.160 20 30 DGEDMN CCc1nn(C)cc1C(=O)C(C#N)c1ccc2ccccc2n1 ZINC000092693979 545849206 /nfs/dbraw/zinc/84/92/06/545849206.db2.gz JRYDZKVQRDBYCH-CYBMUJFWSA-N 0 1 304.353 3.021 20 30 DGEDMN CCCN1CCC[C@H]1C(=O)Nc1ncn(C2CCCCC2)n1 ZINC000329561484 546487927 /nfs/dbraw/zinc/48/79/27/546487927.db2.gz MUEZMVPVJMRFMP-AWEZNQCLSA-N 0 1 305.426 3.246 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC[C@H](C4CC4)C3)o2)c1 ZINC000329655289 546489553 /nfs/dbraw/zinc/48/95/53/546489553.db2.gz MDNCTLNVFNUBFK-INIZCTEOSA-N 0 1 308.385 3.230 20 30 DGEDMN OCCN(CC#Cc1cccc(Cl)c1)CCc1ccccc1 ZINC000676576122 548383289 /nfs/dbraw/zinc/38/32/89/548383289.db2.gz RMJOXWQDXOCYEY-UHFFFAOYSA-N 0 1 313.828 3.229 20 30 DGEDMN CCC#C[C@@H](C)N1CCC(c2nc(-c3ccccn3)no2)CC1 ZINC000677048457 548443270 /nfs/dbraw/zinc/44/32/70/548443270.db2.gz YAYWOOHQKLWITM-CQSZACIVSA-N 0 1 310.401 3.113 20 30 DGEDMN CC(C)(C#N)CC[NH+]1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000678973975 548804167 /nfs/dbraw/zinc/80/41/67/548804167.db2.gz RBHUGFLZJFMUMJ-UHFFFAOYSA-N 0 1 300.402 3.227 20 30 DGEDMN C=CCOCCNCc1csc(-c2ccc(OC)cc2)n1 ZINC000679487117 548904077 /nfs/dbraw/zinc/90/40/77/548904077.db2.gz XPOIMUNDMLPUFB-UHFFFAOYSA-N 0 1 304.415 3.111 20 30 DGEDMN CCC#C[C@H](C)N[C@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000683144416 549438809 /nfs/dbraw/zinc/43/88/09/549438809.db2.gz JGKUKVXEOISLQH-KCTSRDHCSA-N 0 1 322.811 3.142 20 30 DGEDMN C=CCNC(=O)c1ccc(NCc2[nH]nc3ccccc32)cc1 ZINC000683724126 549526314 /nfs/dbraw/zinc/52/63/14/549526314.db2.gz YLCJOJIGXPZLLD-UHFFFAOYSA-N 0 1 306.369 3.091 20 30 DGEDMN Cn1cc(C(=O)[C@H](C#N)c2ccc3ccccc3n2)c(C2CC2)n1 ZINC000685783435 549792015 /nfs/dbraw/zinc/79/20/15/549792015.db2.gz VFSCHSKQFHLLID-CQSZACIVSA-N 0 1 316.364 3.336 20 30 DGEDMN Cn1cc(C(=O)C(C#N)c2ccc3ccccc3n2)c(C2CC2)n1 ZINC000685783435 549792016 /nfs/dbraw/zinc/79/20/16/549792016.db2.gz VFSCHSKQFHLLID-CQSZACIVSA-N 0 1 316.364 3.336 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(F)cc1C#N)N1CCCCCC1 ZINC000741028914 574525318 /nfs/dbraw/zinc/52/53/18/574525318.db2.gz KZELBWHUWVFQSU-ZDUSSCGKSA-N 0 1 304.365 3.005 20 30 DGEDMN COC[C@@H](C)NC(=S)Nc1ccccc1SC[C@@H](C)C#N ZINC000730954514 574539678 /nfs/dbraw/zinc/53/96/78/574539678.db2.gz AXTJLLDOOQMZPW-NWDGAFQWSA-N 0 1 323.487 3.260 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2c(O)cccc2Cl)cc1 ZINC000731052775 574542578 /nfs/dbraw/zinc/54/25/78/574542578.db2.gz OQRQIMCLVMUYSY-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN N#CCOc1ccc(CSc2nc(-c3cccnc3)n[nH]2)cc1 ZINC000731157931 574544626 /nfs/dbraw/zinc/54/46/26/574544626.db2.gz MUBULBCOORQITI-UHFFFAOYSA-N 0 1 323.381 3.061 20 30 DGEDMN CCN(CC)c1ccc(/C=[NH+]/[C@@H]2CC[N@@H+](C)[C@H](C)C2)c(O)c1 ZINC000741465099 574549728 /nfs/dbraw/zinc/54/97/28/574549728.db2.gz SXVISEFNMOXEKG-GNRRYOLQSA-N 0 1 303.450 3.140 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CCc1ccccc1F ZINC000731671840 574555259 /nfs/dbraw/zinc/55/52/59/574555259.db2.gz WRDBNPJPARIAOP-OAHLLOKOSA-N 0 1 306.425 3.113 20 30 DGEDMN Cc1ccccc1C(N=Nc1cn[nH]c(=O)c1Cl)C1CC1 ZINC000731926120 574562431 /nfs/dbraw/zinc/56/24/31/574562431.db2.gz MSHPAQSXVGACHG-UHFFFAOYSA-N 0 1 302.765 3.370 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CN=Nc2ccccc2S(C)(=O)=O)o1 ZINC000731932640 574562574 /nfs/dbraw/zinc/56/25/74/574562574.db2.gz DMENZWJGFAVPPG-YPMHNXCESA-N 0 1 318.398 3.253 20 30 DGEDMN CCOc1cc(C=NNc2cc(C)ncn2)cc(Cl)c1OC ZINC000731935454 574562847 /nfs/dbraw/zinc/56/28/47/574562847.db2.gz ZPVFJNSRXREDPC-UHFFFAOYSA-N 0 1 320.780 3.292 20 30 DGEDMN COCc1ccc(CN=Nc2nc3cc(F)c(F)cc3[nH]2)cc1 ZINC000741762292 574630864 /nfs/dbraw/zinc/63/08/64/574630864.db2.gz JJPBXIKWWIBRDX-UHFFFAOYSA-N 0 1 316.311 3.434 20 30 DGEDMN COc1ccc(CN=Nc2cnnc3ccccc23)c(OC)c1 ZINC000733749979 574636513 /nfs/dbraw/zinc/63/65/13/574636513.db2.gz HOFNNBUWAUHKAC-UHFFFAOYSA-N 0 1 308.341 3.093 20 30 DGEDMN Clc1cccc2c1OCCCC2=NNCCN1CCCCC1 ZINC000733746782 574636574 /nfs/dbraw/zinc/63/65/74/574636574.db2.gz BOWJPJAHTUSZNC-UHFFFAOYSA-N 0 1 321.852 3.292 20 30 DGEDMN N#CCOc1ccc(C=NNc2cc(F)c(F)cc2F)cc1 ZINC000734301757 574655507 /nfs/dbraw/zinc/65/55/07/574655507.db2.gz NXWUUDMYWNUWFE-UHFFFAOYSA-N 0 1 305.259 3.452 20 30 DGEDMN C#CCCOc1ccc(CN[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000734852904 574679204 /nfs/dbraw/zinc/67/92/04/574679204.db2.gz ZTTHESFFARPBGZ-IBGZPJMESA-N 0 1 321.424 3.354 20 30 DGEDMN CC(N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1)C1CCCC1 ZINC000735450960 574702210 /nfs/dbraw/zinc/70/22/10/574702210.db2.gz LKTAVLGBMXRQGX-UHFFFAOYSA-N 0 1 317.397 3.130 20 30 DGEDMN Cc1nc(CCC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cs1 ZINC000735789151 574714988 /nfs/dbraw/zinc/71/49/88/574714988.db2.gz KEBVEGGGARZATH-ZDUSSCGKSA-N 0 1 324.409 3.445 20 30 DGEDMN COc1ccccc1CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735793716 574715648 /nfs/dbraw/zinc/71/56/48/574715648.db2.gz XOMBVJYPVPIGED-AWEZNQCLSA-N 0 1 319.364 3.299 20 30 DGEDMN CN(CCCNC(=O)OC(C)(C)C)Cc1cc(C#N)ccc1F ZINC000736317805 574742394 /nfs/dbraw/zinc/74/23/94/574742394.db2.gz NEXGTSZPNMSBHD-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN Clc1ccc2ncc(C=NNc3nc4ccccc4[nH]3)n2c1 ZINC000737418969 574842365 /nfs/dbraw/zinc/84/23/65/574842365.db2.gz ZKBSSKLYMHIEPG-UHFFFAOYSA-N 0 1 310.748 3.310 20 30 DGEDMN Cc1ccc(CN=Nc2cc(Cl)nc(C)n2)cc1[N+](=O)[O-] ZINC000741764156 574969513 /nfs/dbraw/zinc/96/95/13/574969513.db2.gz VYPBCTSEKHINKS-UHFFFAOYSA-N 0 1 305.725 3.101 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2scnc2C)c1 ZINC000742371060 574992822 /nfs/dbraw/zinc/99/28/22/574992822.db2.gz GUJLTRNAVYJNBM-CQSZACIVSA-N 0 1 301.415 3.422 20 30 DGEDMN C=CCOCCCC(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000742662621 575011352 /nfs/dbraw/zinc/01/13/52/575011352.db2.gz IEZWCJRLMFWFPE-NSHDSACASA-N 0 1 321.808 3.376 20 30 DGEDMN CCc1nc2ccccc2n1N=Cc1cc(-c2cccnc2)[nH]n1 ZINC000743433823 575050822 /nfs/dbraw/zinc/05/08/22/575050822.db2.gz DUKBXCMEOCIRAK-UHFFFAOYSA-N 0 1 316.368 3.266 20 30 DGEDMN C(=NNCCCc1ccccc1)c1cc(-c2cccnc2)[nH]n1 ZINC000743439823 575051402 /nfs/dbraw/zinc/05/14/02/575051402.db2.gz NHOSZTHLVAERFI-UHFFFAOYSA-N 0 1 305.385 3.028 20 30 DGEDMN C[C@H](c1ccc(-c2ccccc2)cc1)N(C)CC(=O)NCCC#N ZINC000743859514 575066581 /nfs/dbraw/zinc/06/65/81/575066581.db2.gz WYBOQBIBAXNKNW-MRXNPFEDSA-N 0 1 321.424 3.376 20 30 DGEDMN Cc1ccc(NN=Cc2csc(-c3ccc(F)cc3)n2)nn1 ZINC000729935915 575267373 /nfs/dbraw/zinc/26/73/73/575267373.db2.gz WSWFMZUSXCHBMS-UHFFFAOYSA-N 0 1 313.361 3.494 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccccc1N(C)CC ZINC000730108147 575274837 /nfs/dbraw/zinc/27/48/37/575274837.db2.gz BTVSMXLDCLDHCD-UHFFFAOYSA-N 0 1 308.425 3.445 20 30 DGEDMN COCCn1c(C)cc(CN=Nc2ccnc3ccccc23)c1C ZINC000730148564 575276916 /nfs/dbraw/zinc/27/69/16/575276916.db2.gz XJCQPVKGSXVIJL-UHFFFAOYSA-N 0 1 322.412 3.167 20 30 DGEDMN COc1ccc(CNCc2nc3ccc(C)cc3[nH]2)cc1C#N ZINC000744447174 575343758 /nfs/dbraw/zinc/34/37/58/575343758.db2.gz KCBZXSSYEMCUSJ-UHFFFAOYSA-N 0 1 306.369 3.041 20 30 DGEDMN Cc1ccc2nc(CNCc3ccc(O[C@@H](C)C#N)cc3)[nH]c2c1 ZINC000744447499 575343782 /nfs/dbraw/zinc/34/37/82/575343782.db2.gz QJORMXRCPCKKEI-AWEZNQCLSA-N 0 1 320.396 3.452 20 30 DGEDMN Clc1cc(CN=Nc2ccccn2)cc2c1OCCCO2 ZINC000744683568 575352329 /nfs/dbraw/zinc/35/23/29/575352329.db2.gz LLMHDGKHXXXYFW-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000745947998 575423236 /nfs/dbraw/zinc/42/32/36/575423236.db2.gz RRSXUSQJKXFDOL-AWEZNQCLSA-N 0 1 302.374 3.169 20 30 DGEDMN N#Cc1cccc(NC(=O)CN(CCc2ccccc2)C2CC2)c1 ZINC000746123174 575434483 /nfs/dbraw/zinc/43/44/83/575434483.db2.gz ICFWIAVMUKLLNV-UHFFFAOYSA-N 0 1 319.408 3.204 20 30 DGEDMN COCCCOc1cccc(CN=Nc2nc3ccccc3[nH]2)c1 ZINC000746486595 575455421 /nfs/dbraw/zinc/45/54/21/575455421.db2.gz FBNQIBSALUOPTP-UHFFFAOYSA-N 0 1 324.384 3.424 20 30 DGEDMN COc1ccc(CNCc2cnc(C(C)C)s2)cc1C#N ZINC000746740051 575472989 /nfs/dbraw/zinc/47/29/89/575472989.db2.gz CJEQPNSENCRBQA-UHFFFAOYSA-N 0 1 301.415 3.437 20 30 DGEDMN CCCCCCCCC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000747471453 575519918 /nfs/dbraw/zinc/51/99/18/575519918.db2.gz SJFYODNEAOUCQV-INIZCTEOSA-N 0 1 315.421 3.156 20 30 DGEDMN Fc1ccc(C=CC=Nn2c(=S)[nH]nc2C(F)(F)F)cc1 ZINC000747740720 575537694 /nfs/dbraw/zinc/53/76/94/575537694.db2.gz MVFJYPFOWHNCFV-AQPVDJSSSA-N 0 1 316.283 3.272 20 30 DGEDMN CCCCc1oc2ccccc2c1CN=Nc1nccn(C)c1=O ZINC000748079197 575559653 /nfs/dbraw/zinc/55/96/53/575559653.db2.gz CDMGDOSLBIBZBN-UHFFFAOYSA-N 0 1 324.384 3.315 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@]23CCc2ccccc23)c1 ZINC000748411689 575585184 /nfs/dbraw/zinc/58/51/84/575585184.db2.gz WUTNNHVBMOGPKR-BEFAXECRSA-N 0 1 304.349 3.106 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000749160515 575632125 /nfs/dbraw/zinc/63/21/25/575632125.db2.gz ZEHBGFWIGORRIR-UHFFFAOYSA-N 0 1 304.434 3.120 20 30 DGEDMN Cc1cc(C)n(-c2nncn2N=C[C@H](C)CCc2ccccc2)n1 ZINC000749159001 575632198 /nfs/dbraw/zinc/63/21/98/575632198.db2.gz WNIYOTFWVWFFFF-CQSZACIVSA-N 0 1 322.416 3.183 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2cccc(F)c2F)nc(C)n1 ZINC000750390621 575721827 /nfs/dbraw/zinc/72/18/27/575721827.db2.gz AMLYLAHDAWPHCH-CYBMUJFWSA-N 0 1 315.323 3.181 20 30 DGEDMN C(=NOCc1ccccc1)C1(CN2CCOCC2)CCCCC1 ZINC000751131356 575771673 /nfs/dbraw/zinc/77/16/73/575771673.db2.gz UEYORHTWJBIXQH-UHFFFAOYSA-N 0 1 316.445 3.472 20 30 DGEDMN Cc1cc(C)nc(N=NC2CN(Cc3ccccc3)C[C@@H]2C)n1 ZINC000751516278 575787958 /nfs/dbraw/zinc/78/79/58/575787958.db2.gz HEYXMEWOLHODSX-ZDUSSCGKSA-N 0 1 309.417 3.013 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)CC1N=Nc1cccc(C(=O)[O-])c1 ZINC000751516845 575788014 /nfs/dbraw/zinc/78/80/14/575788014.db2.gz NEHRYGGRVUVAPJ-AWEZNQCLSA-N 0 1 323.396 3.305 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1cncc(Cl)n1 ZINC000751527141 575788658 /nfs/dbraw/zinc/78/86/58/575788658.db2.gz QTRXXSPZBJFOTK-GFCCVEGCSA-N 0 1 315.808 3.050 20 30 DGEDMN Cc1cc(N=NCc2cnc(-c3cccs3)s2)ncn1 ZINC000751528526 575788703 /nfs/dbraw/zinc/78/87/03/575788703.db2.gz IECYTWQZJSDLFX-UHFFFAOYSA-N 0 1 301.400 3.416 20 30 DGEDMN CC(N=Nc1ccnc(N(C)C)n1)c1cccc(C(F)(F)F)c1 ZINC000739412904 575913830 /nfs/dbraw/zinc/91/38/30/575913830.db2.gz FNILCPIDAHEXDA-UHFFFAOYSA-N 0 1 323.322 3.398 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)o1)[C@@H](O)c1c(F)cccc1Cl ZINC000744448361 575936017 /nfs/dbraw/zinc/93/60/17/575936017.db2.gz RUOJPYGQJLATIM-RFAUZJTJSA-N 0 1 308.740 3.155 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccccc2O)CC1 ZINC000748819727 576085161 /nfs/dbraw/zinc/08/51/61/576085161.db2.gz KQHWHTLXROLRKY-UHFFFAOYSA-N 0 1 304.434 3.120 20 30 DGEDMN CCn1nc(C)c(CNCc2ccc(O[C@@H](C)C#N)cc2)c1C ZINC000728714841 576196671 /nfs/dbraw/zinc/19/66/71/576196671.db2.gz APUZLZXLRVUVLS-ZDUSSCGKSA-N 0 1 312.417 3.101 20 30 DGEDMN CC(C)CN(CCC#N)C[C@H](O)COCc1ccc(Cl)cc1 ZINC000916633096 620639826 /nfs/dbraw/zinc/63/98/26/620639826.db2.gz IBHCTULMZYFIKZ-KRWDZBQOSA-N 0 1 324.852 3.089 20 30 DGEDMN C#CCOc1cccc(-c2noc([C@@H]3CCCN3C(C)C)n2)c1 ZINC000923862179 620649230 /nfs/dbraw/zinc/64/92/30/620649230.db2.gz QISVEPVHFOOVBY-INIZCTEOSA-N 0 1 311.385 3.294 20 30 DGEDMN C=CCN(CC(=O)NCCSc1ccc(C)cc1)C(C)C ZINC000917069061 620654844 /nfs/dbraw/zinc/65/48/44/620654844.db2.gz FTWOOJFLQYCWIB-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN C=CCN(CC(=O)N(C)[C@@H]1CCCc2ccccc21)C(C)C ZINC000917068761 620654944 /nfs/dbraw/zinc/65/49/44/620654944.db2.gz AVJYONYKQLLCLO-GOSISDBHSA-N 0 1 300.446 3.419 20 30 DGEDMN C=CCN(CC(=O)N(C)[C@@H](C)c1ccccc1OC)C(C)C ZINC000917167790 620659242 /nfs/dbraw/zinc/65/92/42/620659242.db2.gz AFXWGVLXNRFZCP-HNNXBMFYSA-N 0 1 304.434 3.111 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CC3(CCC3)[C@@H]2c2ccncc2)cc1 ZINC000853067136 620665986 /nfs/dbraw/zinc/66/59/86/620665986.db2.gz PLPHDMIWUAZKMF-MOPGFXCFSA-N 0 1 319.408 3.214 20 30 DGEDMN C(=NNc1ncnc2[nH]cnc21)c1cccc(OC2CCCC2)c1 ZINC000917555181 620675546 /nfs/dbraw/zinc/67/55/46/620675546.db2.gz HFZHARDMVZUCKU-UHFFFAOYSA-N 0 1 322.372 3.120 20 30 DGEDMN C=CC1CCN(C[C@H](O)c2cccc(Br)c2)CC1 ZINC000111258522 620689546 /nfs/dbraw/zinc/68/95/46/620689546.db2.gz ARTJJMXYSNHNRG-HNNXBMFYSA-N 0 1 310.235 3.381 20 30 DGEDMN Clc1ccc(N=NC2CCN(Cc3ccccn3)CC2)nc1 ZINC000853437362 620694168 /nfs/dbraw/zinc/69/41/68/620694168.db2.gz LZIBWFOOKYFRMH-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN CC(=NNc1nnc(C)n1C)c1sc(C(C)(C)C)nc1C ZINC000853436381 620694181 /nfs/dbraw/zinc/69/41/81/620694181.db2.gz VNUAGIKIVISDSI-UHFFFAOYSA-N 0 1 306.439 3.022 20 30 DGEDMN C#Cc1cccnc1NC[C@H]1CSCCN1Cc1ccccc1 ZINC000853533347 620707501 /nfs/dbraw/zinc/70/75/01/620707501.db2.gz CMIZGUWDBGIRLI-SFHVURJKSA-N 0 1 323.465 3.092 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)cs1 ZINC000892224436 617989495 /nfs/dbraw/zinc/98/94/95/617989495.db2.gz VMTKGTDNWCUEFT-HIFRSBDPSA-N 0 1 302.399 3.301 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC000890658175 617635256 /nfs/dbraw/zinc/63/52/56/617635256.db2.gz GYUAJLLPDMWCAM-SNVBAGLBSA-N 0 1 303.312 3.128 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H](c1ccccc1)N(CC)CC)C(C)(C)C ZINC000807879791 617716789 /nfs/dbraw/zinc/71/67/89/617716789.db2.gz KKEQDFOAQKTNDI-SJORKVTESA-N 0 1 300.446 3.234 20 30 DGEDMN Cc1cc(F)ncc1CN1CCCN(c2ccccc2C#N)CC1 ZINC000891695062 617870887 /nfs/dbraw/zinc/87/08/87/617870887.db2.gz UIPMCKGXBWJHTD-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CCCCCCCCNC(=O)N1CCc2sc(CN)nc2C1 ZINC000891711585 617876435 /nfs/dbraw/zinc/87/64/35/617876435.db2.gz NAAJDTBQODHZKS-UHFFFAOYSA-N 0 1 324.494 3.030 20 30 DGEDMN CN(C)[C@H](CNc1cc(C#N)sc1[N+](=O)[O-])c1ccsc1 ZINC000891728567 617884317 /nfs/dbraw/zinc/88/43/17/617884317.db2.gz MARHKLSHNCTMJZ-GFCCVEGCSA-N 0 1 322.415 3.304 20 30 DGEDMN CCCCNC(=O)[C@@H]1CC[C@H](C)N(Cc2csc(C#N)c2)C1 ZINC000891782561 617896419 /nfs/dbraw/zinc/89/64/19/617896419.db2.gz UVDFBOWAGDBFQT-DZGCQCFKSA-N 0 1 319.474 3.137 20 30 DGEDMN Cc1ccnc(-c2n[nH]c([C@@H](C)N[C@@H](C)CC(C)(C)C#N)n2)c1 ZINC000926311772 617935115 /nfs/dbraw/zinc/93/51/15/617935115.db2.gz ZCDGLQJIVJVUND-QWHCGFSZSA-N 0 1 312.421 3.154 20 30 DGEDMN CCn1cc(CN2CC=C(c3ccc(C#N)cc3)CC2)c(C)n1 ZINC000892245959 617994639 /nfs/dbraw/zinc/99/46/39/617994639.db2.gz ILKUDLIJXQVRMO-UHFFFAOYSA-N 0 1 306.413 3.372 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cccn3nccc23)n1 ZINC000892802721 618313128 /nfs/dbraw/zinc/31/31/28/618313128.db2.gz PDCNZTJOSINYKN-LBPRGKRZSA-N 0 1 310.382 3.404 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)c2cccn3nccc23)n1 ZINC000892802721 618313135 /nfs/dbraw/zinc/31/31/35/618313135.db2.gz PDCNZTJOSINYKN-LBPRGKRZSA-N 0 1 310.382 3.404 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)OC[C@H]3CC[C@@H](C#N)CC3)c2C1 ZINC000893027405 618397284 /nfs/dbraw/zinc/39/72/84/618397284.db2.gz ZTFDUDQONXCWEF-UPJWGTAASA-N 0 1 301.390 3.021 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3C[C@H]4CC[C@@H](C3)S4)[nH]c2c1 ZINC000893150887 618446725 /nfs/dbraw/zinc/44/67/25/618446725.db2.gz KKTDUIBBOZMTNZ-YOGCLGLASA-N 0 1 312.398 3.047 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2csc(C#N)c2)C1 ZINC000894809776 618463094 /nfs/dbraw/zinc/46/30/94/618463094.db2.gz ADAWBNBZDJGWJO-INIZCTEOSA-N 0 1 306.431 3.173 20 30 DGEDMN O=C1[C@@H](NCc2ccc(F)c(-c3cccs3)c2)CCCN1O ZINC000895166853 618562361 /nfs/dbraw/zinc/56/23/61/618562361.db2.gz SKZTVWWXUSUJIY-AWEZNQCLSA-N 0 1 320.389 3.024 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](c3ccccc3)[C@H](CO)C2)cs1 ZINC000895369628 618603917 /nfs/dbraw/zinc/60/39/17/618603917.db2.gz CKIUIPVVJGHCAJ-FUHWJXTLSA-N 0 1 312.438 3.218 20 30 DGEDMN C[C@@H](CC#N)N(C)CC1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000894001749 618692313 /nfs/dbraw/zinc/69/23/13/618692313.db2.gz JTTFLRUMFOYXBA-HNSVSWJLSA-N 0 1 321.465 3.398 20 30 DGEDMN C[C@H](CC#N)N(C)Cc1cc(Br)cc(F)c1O ZINC000894001753 618692419 /nfs/dbraw/zinc/69/24/19/618692419.db2.gz JUNANQJWVVHXJE-MRVPVSSYSA-N 0 1 301.159 3.028 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@H]1CNCc1csc(C(F)(F)F)n1 ZINC000894218757 618732351 /nfs/dbraw/zinc/73/23/51/618732351.db2.gz IDJIGOVWTVLULX-ONGXEEELSA-N 0 1 306.353 3.233 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)c(Cl)c2)c(C2CC2)n1 ZINC000894270533 618747582 /nfs/dbraw/zinc/74/75/82/618747582.db2.gz DQIFEXVPNUSAJR-UHFFFAOYSA-N 0 1 300.793 3.112 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1cc(O)ccc1Cl ZINC000895632574 618809672 /nfs/dbraw/zinc/80/96/72/618809672.db2.gz GHHOEXLAEYJDMC-LBPRGKRZSA-N 0 1 308.809 3.234 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(OCC=C)c(Cl)c2)CC1 ZINC000895675093 618814621 /nfs/dbraw/zinc/81/46/21/618814621.db2.gz MCEHFBPCFUISEC-UHFFFAOYSA-N 0 1 319.832 3.255 20 30 DGEDMN CC1(c2ccc(CNCC#Cc3ccccc3)cc2)OCCO1 ZINC000895699084 618820568 /nfs/dbraw/zinc/82/05/68/618820568.db2.gz NVCVFCDRFFCTJD-UHFFFAOYSA-N 0 1 307.393 3.047 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3csc(C#N)c3)C2)cc1 ZINC000895977084 618855350 /nfs/dbraw/zinc/85/53/50/618855350.db2.gz MOQKUNGMTZIMOT-HNNXBMFYSA-N 0 1 311.410 3.211 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cc(Br)cc(F)c2O)C1 ZINC000896500604 618921336 /nfs/dbraw/zinc/92/13/36/618921336.db2.gz IDDNLJUKWZRHOB-VIFPVBQESA-N 0 1 313.170 3.029 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000896502766 618921978 /nfs/dbraw/zinc/92/19/78/618921978.db2.gz GXQXBGTYHITKDT-BZUAXINKSA-N 0 1 321.465 3.258 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H]1CCC[C@H](C(F)(F)F)O1 ZINC000896820679 618965150 /nfs/dbraw/zinc/96/51/50/618965150.db2.gz AMLAUINJBNIWEA-SMDDNHRTSA-N 0 1 316.298 3.287 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(C3CCCC3)cc2)CC1 ZINC000928650929 619007761 /nfs/dbraw/zinc/00/77/61/619007761.db2.gz IPULAWJEBIAQDM-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN Cc1cccc(O)c1CN1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000897597082 619164597 /nfs/dbraw/zinc/16/45/97/619164597.db2.gz ZTKRSQJZOJGNHL-LJQANCHMSA-N 0 1 308.381 3.146 20 30 DGEDMN CCOc1cccc(CN(C)Cc2cc(C#N)cs2)c1O ZINC000897625994 619168235 /nfs/dbraw/zinc/16/82/35/619168235.db2.gz SCIJCXKCIXVSAE-UHFFFAOYSA-N 0 1 302.399 3.356 20 30 DGEDMN N#Cc1csc(CNC2CC(Sc3ccccc3)C2)n1 ZINC000897626416 619168622 /nfs/dbraw/zinc/16/86/22/619168622.db2.gz PKDNRFMTDHFVDE-UHFFFAOYSA-N 0 1 301.440 3.428 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CC[C@H](c2nc[nH]n2)C1)c1ccccc1 ZINC000898042936 619208766 /nfs/dbraw/zinc/20/87/66/619208766.db2.gz YZFGGLORYIEIES-IRXDYDNUSA-N 0 1 324.428 3.261 20 30 DGEDMN C=CCCCN(CC)Cc1cn(Cc2ccc(OC)cc2)nn1 ZINC000898244836 619227825 /nfs/dbraw/zinc/22/78/25/619227825.db2.gz RVLFLISRUPZAFU-UHFFFAOYSA-N 0 1 314.433 3.123 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)NCc1cc(N(C)C)ccn1 ZINC000924650246 619253198 /nfs/dbraw/zinc/25/31/98/619253198.db2.gz KTHBVMOYIJGXHO-UHFFFAOYSA-N 0 1 324.428 3.045 20 30 DGEDMN COC(=O)[C@@H]1CC[C@@H]1/N=C\c1cc(Cl)cc(Cl)c1O ZINC000899227765 619319957 /nfs/dbraw/zinc/31/99/57/619319957.db2.gz RGPKTRANMRTZTR-UZRIIRFYSA-N 0 1 302.157 3.070 20 30 DGEDMN C#CC[C@H]1C(=O)N(c2ccc(Br)c(C)c2)N=C1C ZINC000899350421 619328796 /nfs/dbraw/zinc/32/87/96/619328796.db2.gz YPMYZBXILJMQBN-GFCCVEGCSA-N 0 1 305.175 3.120 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2ccc(Br)c(C)c2)c1=O ZINC000899350421 619328797 /nfs/dbraw/zinc/32/87/97/619328797.db2.gz YPMYZBXILJMQBN-GFCCVEGCSA-N 0 1 305.175 3.120 20 30 DGEDMN Cc1sc([C@H](C)[NH2+]Cc2ccc(C#N)c(F)c2)nc1C(=O)[O-] ZINC000900079294 619400865 /nfs/dbraw/zinc/40/08/65/619400865.db2.gz RBZKUIYDYACOQJ-QMMMGPOBSA-N 0 1 319.361 3.011 20 30 DGEDMN C=CCCCCCN(C)C(=O)C1CCC(N2CCOCC2)CC1 ZINC000900795966 619451876 /nfs/dbraw/zinc/45/18/76/619451876.db2.gz UPPZHDGTROGPSR-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN CC(=NNc1nc2ccccc2[nH]1)c1cnn(CC2CCC2)c1 ZINC000901128824 619473326 /nfs/dbraw/zinc/47/33/26/619473326.db2.gz OBUXDXHIRGPHFV-UHFFFAOYSA-N 0 1 308.389 3.396 20 30 DGEDMN CCCCCCCCNC(=O)C1CCC(N2CCOCC2)CC1 ZINC000901212673 619478377 /nfs/dbraw/zinc/47/83/77/619478377.db2.gz XSADYCVPBCXTAA-UHFFFAOYSA-N 0 1 324.509 3.354 20 30 DGEDMN Clc1n[nH]c(C2CC2)c1C=NNc1nccnc1C1CCC1 ZINC000901240069 619479567 /nfs/dbraw/zinc/47/95/67/619479567.db2.gz ZVOJMFNWJIWYGG-UHFFFAOYSA-N 0 1 316.796 3.444 20 30 DGEDMN CC(=NNC1C[C@@H](C)O[C@H](C)C1)c1ccc(F)cc1N(C)C ZINC000901310735 619484073 /nfs/dbraw/zinc/48/40/73/619484073.db2.gz OEWZSGIKUKHMDS-VXGBXAGGSA-N 0 1 307.413 3.161 20 30 DGEDMN COCCCn1nccc1/C=C/C(=O)c1ccc(O)cc1Cl ZINC000901580376 619521719 /nfs/dbraw/zinc/52/17/19/619521719.db2.gz YVISBJFEYBGDRQ-ZZXKWVIFSA-N 0 1 320.776 3.175 20 30 DGEDMN COCCCn1nccc1/C=C\C(=O)c1ccc(O)cc1Cl ZINC000901580373 619521776 /nfs/dbraw/zinc/52/17/76/619521776.db2.gz YVISBJFEYBGDRQ-UTCJRWHESA-N 0 1 320.776 3.175 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)cc2C2CC2)c(C)o1 ZINC000901973342 619570004 /nfs/dbraw/zinc/57/00/04/619570004.db2.gz QDENBDWLIQMUCP-UHFFFAOYSA-N 0 1 316.382 3.446 20 30 DGEDMN C=C(C)COc1cc(C[N@H+](CC(=O)[O-])[C@@H](C)CC)ccc1OC ZINC000901989012 619571175 /nfs/dbraw/zinc/57/11/75/619571175.db2.gz OSGPKQLMANNUAM-AWEZNQCLSA-N 0 1 321.417 3.335 20 30 DGEDMN C=C(C)C[N@H+](CC)Cc1cn(CC(=O)[O-])nc1-c1ccccc1 ZINC000902060692 619585507 /nfs/dbraw/zinc/58/55/07/619585507.db2.gz SDHBJXVERZLDQI-UHFFFAOYSA-N 0 1 313.401 3.033 20 30 DGEDMN CCN(CC)c1ccc(C=NNCCc2ccccn2)cc1F ZINC000789103353 625363946 /nfs/dbraw/zinc/36/39/46/625363946.db2.gz SUROFNPIRGQNBY-UHFFFAOYSA-N 0 1 314.408 3.233 20 30 DGEDMN C=CCC[C@H](NCc1ncnn1C(C)(C)C)[C@]1(C)CCCCO1 ZINC000902982128 619699678 /nfs/dbraw/zinc/69/96/78/619699678.db2.gz VQBXZDQIAYMLRM-YJBOKZPZSA-N 0 1 320.481 3.417 20 30 DGEDMN C[C@H](NC[C@@H](O)c1cc(F)cc(Cl)c1)c1cc(C#N)ccn1 ZINC000902975020 619701537 /nfs/dbraw/zinc/70/15/37/619701537.db2.gz DEYBULRFNWVKAN-MGPLVRAMSA-N 0 1 319.767 3.130 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(CC)s1)[C@]1(C)CCCCO1 ZINC000902995748 619702677 /nfs/dbraw/zinc/70/26/77/619702677.db2.gz GRYJLYJGFIVCCY-CJNGLKHVSA-N 0 1 309.479 3.484 20 30 DGEDMN Cc1ccc([C@@H](CCn2cncn2)NCC2(C#N)CCC2)cc1 ZINC000903033106 619704945 /nfs/dbraw/zinc/70/49/45/619704945.db2.gz PPVGLABIFPZNRY-QGZVFWFLSA-N 0 1 309.417 3.001 20 30 DGEDMN CC(C)[C@H](N[C@H]1CCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066244 619709407 /nfs/dbraw/zinc/70/94/07/619709407.db2.gz UJPXGPCZAJRKPP-IRXDYDNUSA-N 0 1 309.417 3.051 20 30 DGEDMN C[C@H](COc1c(F)cccc1F)N[C@@H](C)c1cc(C#N)ccn1 ZINC000903050232 619710639 /nfs/dbraw/zinc/71/06/39/619710639.db2.gz VTHFTXYLMMDQAZ-NEPJUHHUSA-N 0 1 317.339 3.350 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCCCC[C@@H]1NCC1(C#N)CC1 ZINC000903105850 619717316 /nfs/dbraw/zinc/71/73/16/619717316.db2.gz ICGZMCYUAZGQIG-KBPBESRZSA-N 0 1 307.438 3.106 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1ccc(C(C)C)nc1 ZINC000903248142 619748107 /nfs/dbraw/zinc/74/81/07/619748107.db2.gz GSOUCWWUIPMPGS-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+]2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])c1 ZINC000903402774 619773918 /nfs/dbraw/zinc/77/39/18/619773918.db2.gz XJPUEWZLUGBGAA-BMFZPTHFSA-N 0 1 318.804 3.429 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CCCC[C@H]2CCC(=O)[O-])cc1 ZINC000903695251 619799644 /nfs/dbraw/zinc/79/96/44/619799644.db2.gz SSWONRSQMSXBJQ-HOCLYGCPSA-N 0 1 316.401 3.197 20 30 DGEDMN CCN1CCC[C@@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1=O ZINC000905003825 619964418 /nfs/dbraw/zinc/96/44/18/619964418.db2.gz WPRLPGNNWWOBDE-DTOZUZIGSA-N 0 1 315.200 3.129 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cnn(C)c2Cl)c1 ZINC000905077677 619967627 /nfs/dbraw/zinc/96/76/27/619967627.db2.gz BCGDIKCDNDJXEP-BQYQJAHWSA-N 0 1 303.793 3.031 20 30 DGEDMN CCOCc1nc(C)cc([N-][NH+]=C(CC)c2ccc(N)cc2)n1 ZINC000905498254 620004544 /nfs/dbraw/zinc/00/45/44/620004544.db2.gz HEVQDJTXPTUYOY-UHFFFAOYSA-N 0 1 313.405 3.130 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000907266066 620147827 /nfs/dbraw/zinc/14/78/27/620147827.db2.gz VRVLQEVVFSLGJB-QFSBIZTOSA-N 0 1 324.384 3.005 20 30 DGEDMN N#CC1(CCCCOC(=O)[C@H]2CCCc3[nH]ncc32)CCC1 ZINC000909063297 620237900 /nfs/dbraw/zinc/23/79/00/620237900.db2.gz BMNAGOLGJBAOEK-ZDUSSCGKSA-N 0 1 301.390 3.237 20 30 DGEDMN C[C@@H](C#N)N(C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1)C1CC1 ZINC000912411215 620385497 /nfs/dbraw/zinc/38/54/97/620385497.db2.gz MBVTVBJHZAOFHQ-NSHDSACASA-N 0 1 319.368 3.075 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@H]2CC[C@@H](C)C2)c1 ZINC000151590761 620465531 /nfs/dbraw/zinc/46/55/31/620465531.db2.gz VTILRGZIGGIJQU-DVOMOZLQSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@H]2CC[C@H](C)C2)c1 ZINC000151590814 620465759 /nfs/dbraw/zinc/46/57/59/620465759.db2.gz VTILRGZIGGIJQU-HEHGZKQESA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@H]1CC[C@H](C)C1 ZINC000151615957 620466344 /nfs/dbraw/zinc/46/63/44/620466344.db2.gz XXRGDWWMNWRZDI-MELADBBJSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000151615320 620466355 /nfs/dbraw/zinc/46/63/55/620466355.db2.gz QHEXEFQKDAPKHL-CYBMUJFWSA-N 0 1 302.374 3.169 20 30 DGEDMN Cc1nc(CN=Nc2ncnc3sccc32)c2ccccn12 ZINC000914599221 620544379 /nfs/dbraw/zinc/54/43/79/620544379.db2.gz BKDBAICSCMBCFT-UHFFFAOYSA-N 0 1 308.370 3.093 20 30 DGEDMN CCC(=NNc1ccc(S(C)(=O)=O)cc1)c1ccccc1 ZINC000914834702 620556601 /nfs/dbraw/zinc/55/66/01/620556601.db2.gz OXEQRHOACPRQAL-UHFFFAOYSA-N 0 1 302.399 3.316 20 30 DGEDMN COC(=O)c1ccc(C=NNc2ccc(C(F)(F)F)cn2)cc1 ZINC000915917566 620606667 /nfs/dbraw/zinc/60/66/67/620606667.db2.gz GKTKCUHFAWTAMR-UHFFFAOYSA-N 0 1 323.274 3.333 20 30 DGEDMN Oc1c(Br)cccc1C=Nn1cnc2ccccc21 ZINC000915956795 620608417 /nfs/dbraw/zinc/60/84/17/620608417.db2.gz BLWODRUWQJRDQF-UHFFFAOYSA-N 0 1 316.158 3.387 20 30 DGEDMN CC(C)(C)n1cc(C=NNc2ccc(C(F)(F)F)cn2)cn1 ZINC000915937791 620608775 /nfs/dbraw/zinc/60/87/75/620608775.db2.gz UYIAAORQJWHRNN-UHFFFAOYSA-N 0 1 311.311 3.498 20 30 DGEDMN Cc1cc(N=NCc2cc(Br)ccc2F)nc(C)n1 ZINC000915967686 620609231 /nfs/dbraw/zinc/60/92/31/620609231.db2.gz JYAQPWLKGBHYTL-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN C[C@@H]1CCCN(CCC#N)[C@@H]1CCCNC(=O)OC(C)(C)C ZINC000852598686 620633210 /nfs/dbraw/zinc/63/32/10/620633210.db2.gz VSPZGBAODWPCRY-HUUCEWRRSA-N 0 1 309.454 3.305 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000852596865 620633454 /nfs/dbraw/zinc/63/34/54/620633454.db2.gz OYVBDQFHUFLNEN-QAPCUYQASA-N 0 1 302.393 3.478 20 30 DGEDMN C#CCOc1ccc(CN=Nc2nc3ccccc3n2C)cc1 ZINC000920987731 620831974 /nfs/dbraw/zinc/83/19/74/620831974.db2.gz RLFVESHGRXNUFE-UHFFFAOYSA-N 0 1 304.353 3.031 20 30 DGEDMN C=CCC[C@H](NC(=O)N[C@H]1CC[N@@H+](C)[C@@H]1C)c1ccccc1 ZINC000921561801 620909669 /nfs/dbraw/zinc/90/96/69/620909669.db2.gz LMAUARJRPDWUJY-PVAVHDDUSA-N 0 1 301.434 3.086 20 30 DGEDMN CCNC(=O)c1cccc(CNCc2c(C)cc(C#N)cc2C)c1 ZINC000921571706 620910986 /nfs/dbraw/zinc/91/09/86/620910986.db2.gz LKQGLCJVLQCBKV-UHFFFAOYSA-N 0 1 321.424 3.215 20 30 DGEDMN CCN(CC)C(=O)C1(NCc2csc(C#N)c2)CCCCC1 ZINC000921668598 620930395 /nfs/dbraw/zinc/93/03/95/620930395.db2.gz UGINKJLNADSPDY-UHFFFAOYSA-N 0 1 319.474 3.281 20 30 DGEDMN Cc1cc(Br)cc(C=NNCCN2CCCCC2)c1 ZINC000773056528 620940267 /nfs/dbraw/zinc/94/02/67/620940267.db2.gz YDSIDWMIYJBOOU-UHFFFAOYSA-N 0 1 324.266 3.167 20 30 DGEDMN N#CC1(CN2CCC(COc3ccc(Cl)nc3)CC2)CC1 ZINC000789314267 625456482 /nfs/dbraw/zinc/45/64/82/625456482.db2.gz UFDOECXINUWGOR-UHFFFAOYSA-N 0 1 305.809 3.130 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H](C3CCCCC3)C2)CC1 ZINC000856305473 620983235 /nfs/dbraw/zinc/98/32/35/620983235.db2.gz QDHCGINENXELGE-IBGZPJMESA-N 0 1 316.489 3.151 20 30 DGEDMN Cc1csc(C(C#N)C(=O)COCc2cccc(C)c2)n1 ZINC000857009652 621043593 /nfs/dbraw/zinc/04/35/93/621043593.db2.gz MWRHUDFGGYOUCU-AWEZNQCLSA-N 0 1 300.383 3.153 20 30 DGEDMN CCCC[C@@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000857010454 621043841 /nfs/dbraw/zinc/04/38/41/621043841.db2.gz OJSKKXGEMPIWNG-IAGOWNOFSA-N 0 1 314.429 3.474 20 30 DGEDMN C#CCOc1ccccc1CN1CC[C@H](Oc2ccc(C)cn2)C1 ZINC000858010932 621144212 /nfs/dbraw/zinc/14/42/12/621144212.db2.gz IEFAUBRWRWYTQY-SFHVURJKSA-N 0 1 322.408 3.055 20 30 DGEDMN C#CC[C@@H](COC)NCc1cc(Cl)c(OC)cc1Cl ZINC000858335530 621177599 /nfs/dbraw/zinc/17/75/99/621177599.db2.gz WRDHUPRLWQAHIY-NSHDSACASA-N 0 1 302.201 3.130 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc([C@H](C)CC)cc1 ZINC000858403335 621186313 /nfs/dbraw/zinc/18/63/13/621186313.db2.gz CLGKTAQGNLBHEF-CABCVRRESA-N 0 1 309.475 3.452 20 30 DGEDMN C=CCCCCC[C@H](C)NC(c1ccnn1C)c1ccnn1C ZINC000858585560 621207339 /nfs/dbraw/zinc/20/73/39/621207339.db2.gz KLFIZXWDHJPSNR-HNNXBMFYSA-N 0 1 315.465 3.358 20 30 DGEDMN N#C[C@H](C(=O)Cc1ccccc1OC1CCCC1)c1ccncn1 ZINC000838857423 621291252 /nfs/dbraw/zinc/29/12/52/621291252.db2.gz RTOWCMUWQZOWDL-INIZCTEOSA-N 0 1 321.380 3.217 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnc(C3CC3)nc2)c1 ZINC000838958785 621303623 /nfs/dbraw/zinc/30/36/23/621303623.db2.gz SPGMCGYZULJORJ-KRWDZBQOSA-N 0 1 322.412 3.325 20 30 DGEDMN C#CCC[C@H](C)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000839038084 621312348 /nfs/dbraw/zinc/31/23/48/621312348.db2.gz BYCCEINDWLSFPP-LBPRGKRZSA-N 0 1 309.369 3.308 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCCC[C@H]1C1(O)CC1 ZINC000839139646 621340480 /nfs/dbraw/zinc/34/04/80/621340480.db2.gz JAEVELTXVYUSKG-INIZCTEOSA-N 0 1 320.820 3.122 20 30 DGEDMN Cc1nn(-c2ccccn2)c(Cl)c1CNCC(C)(C)CC#N ZINC000839163847 621349438 /nfs/dbraw/zinc/34/94/38/621349438.db2.gz SCZVGSZWAYWWNE-UHFFFAOYSA-N 0 1 317.824 3.259 20 30 DGEDMN Cc1cc(C)n(-c2nncn2N=C[C@@H]2CCCC(C)(C)C2)n1 ZINC000752721839 621405930 /nfs/dbraw/zinc/40/59/30/621405930.db2.gz YWNROMGMGXZOQE-CQSZACIVSA-N 0 1 300.410 3.131 20 30 DGEDMN CCCC[C@H](CC)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000117532762 621411385 /nfs/dbraw/zinc/41/13/85/621411385.db2.gz YCJJOFCMMCNGTK-KBPBESRZSA-N 0 1 301.390 3.335 20 30 DGEDMN CCCC(CCC)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000753438714 621440265 /nfs/dbraw/zinc/44/02/65/621440265.db2.gz KOPZSOVPLYQTAM-CYBMUJFWSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC(CCC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000753438714 621440267 /nfs/dbraw/zinc/44/02/67/621440267.db2.gz KOPZSOVPLYQTAM-CYBMUJFWSA-N 0 1 322.430 3.387 20 30 DGEDMN COC(=O)C[C@@H](/N=C\c1cc(Cl)cc(Cl)c1O)C1CC1 ZINC000753562884 621448830 /nfs/dbraw/zinc/44/88/30/621448830.db2.gz FRDLNFKMNUAUGK-JGSGYBEMSA-N 0 1 316.184 3.460 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1c1ccco1)=C(O)C1(c2ccccc2)CC1 ZINC000860852962 621450705 /nfs/dbraw/zinc/45/07/05/621450705.db2.gz BOEPPOBELLXAFS-BZUAXINKSA-N 0 1 319.360 3.393 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(F)c(Cl)c1 ZINC000753938749 621472699 /nfs/dbraw/zinc/47/26/99/621472699.db2.gz MGYOOMZJYNXVMQ-ZIAGYGMSSA-N 0 1 308.788 3.063 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(C(F)(F)F)cc1 ZINC000753936284 621472832 /nfs/dbraw/zinc/47/28/32/621472832.db2.gz RJAPPHRBQLGGAS-ZIAGYGMSSA-N 0 1 324.350 3.289 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc2ccccc2c1 ZINC000753938934 621472874 /nfs/dbraw/zinc/47/28/74/621472874.db2.gz NAUTYIXTQMEBTR-QZTJIDSGSA-N 0 1 306.413 3.424 20 30 DGEDMN CCCn1nc(C)c(C=NNc2ccccc2[N+](=O)[O-])c1C ZINC000755465798 621574182 /nfs/dbraw/zinc/57/41/82/621574182.db2.gz PKTDTTYHMRKMFT-UHFFFAOYSA-N 0 1 301.350 3.264 20 30 DGEDMN CN(C)c1ncc(CN=Nc2ncccc2C(F)(F)F)s1 ZINC000755642899 621582667 /nfs/dbraw/zinc/58/26/67/621582667.db2.gz IHRGKBRAKJYYHP-UHFFFAOYSA-N 0 1 315.324 3.069 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2ccc(OC)c(O)c2Br)C1 ZINC000862445684 621590989 /nfs/dbraw/zinc/59/09/89/621590989.db2.gz ZPEZQOOWKIQFQJ-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN CC(C)c1nc(CN=Nc2ccncc2Cl)c2ccccn21 ZINC000755767617 621592179 /nfs/dbraw/zinc/59/21/79/621592179.db2.gz ZBAQHEMNUKHDOW-UHFFFAOYSA-N 0 1 313.792 3.374 20 30 DGEDMN Cc1ccnc(NN=C2c3cc(C(F)(F)F)ccc3NC2=O)c1 ZINC000755905400 621601485 /nfs/dbraw/zinc/60/14/85/621601485.db2.gz INJHEHNOZWLSLQ-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN C#CCOc1ccc(C=NNc2cc(C)ccn2)cc1OCC ZINC000755907192 621601997 /nfs/dbraw/zinc/60/19/97/621601997.db2.gz XDHPNKVEHLBJAT-UHFFFAOYSA-N 0 1 309.369 3.247 20 30 DGEDMN Cc1ccnc(N=NC2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC000755907442 621602017 /nfs/dbraw/zinc/60/20/17/621602017.db2.gz ZRCJUNITYLTNAK-UHFFFAOYSA-N 0 1 319.412 3.326 20 30 DGEDMN COc1ccccc1OCCN(C)Cc1ccc(C#N)s1 ZINC000119187581 621627647 /nfs/dbraw/zinc/62/76/47/621627647.db2.gz HTGYMDSEBCYKCW-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC1CCCc2scnc21 ZINC000863110980 621639732 /nfs/dbraw/zinc/63/97/32/621639732.db2.gz JKBSBWMBLILZDS-UHFFFAOYSA-N 0 1 319.361 3.221 20 30 DGEDMN COc1cc(OC)c(C(C)=NNc2ccncc2C)cc1Cl ZINC000863157741 621642941 /nfs/dbraw/zinc/64/29/41/621642941.db2.gz RVOGAWYRFBJTRA-UHFFFAOYSA-N 0 1 319.792 3.319 20 30 DGEDMN Cc1cccc(N=NCC2(CN3CCOCC3)CCCCC2)n1 ZINC000863160156 621643810 /nfs/dbraw/zinc/64/38/10/621643810.db2.gz SNOXVHKUGWWMMP-UHFFFAOYSA-N 0 1 316.449 3.070 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)CCCc1sccc1C ZINC000756543314 621646554 /nfs/dbraw/zinc/64/65/54/621646554.db2.gz PUBAIZPFFUBAEO-OAHLLOKOSA-N 0 1 305.443 3.020 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)C[C@H](C)c1ccc(F)cc1F ZINC000756541527 621646607 /nfs/dbraw/zinc/64/66/07/621646607.db2.gz CIIKXIBMNLTHHE-ZFWWWQNUSA-N 0 1 321.367 3.099 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1c[nH]c2ccc(C)cc12 ZINC000756556239 621647672 /nfs/dbraw/zinc/64/76/72/621647672.db2.gz TUEVGMHFQWEMGG-QGZVFWFLSA-N 0 1 324.424 3.050 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC000756553981 621647808 /nfs/dbraw/zinc/64/78/08/621647808.db2.gz PWNKQHCELWYVRA-RYUDHWBXSA-N 0 1 311.834 3.146 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@@H]3CCC[C@@H]32)c1O ZINC000863305086 621662043 /nfs/dbraw/zinc/66/20/43/621662043.db2.gz JQOKQNPULKWZRZ-ZWKOTPCHSA-N 0 1 317.429 3.273 20 30 DGEDMN CCOc1cccc(/C=N\[C@H]2CN(C)Cc3ccccc32)c1O ZINC000756840701 621667494 /nfs/dbraw/zinc/66/74/94/621667494.db2.gz GJISIAYCGANHQI-BCUAHEQISA-N 0 1 310.397 3.397 20 30 DGEDMN COc1ccc(OC)c(C(C)N=Nc2ccncc2Cl)c1 ZINC000756847464 621668169 /nfs/dbraw/zinc/66/81/69/621668169.db2.gz AZJRUYUSVCSEJB-UHFFFAOYSA-N 0 1 305.765 3.010 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2ccc(C#N)cc2)c(OC)c1 ZINC000756902641 621671542 /nfs/dbraw/zinc/67/15/42/621671542.db2.gz USINFFSHIMSFLM-UHFFFAOYSA-N 0 1 323.352 3.190 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2cccc(F)c2)c(OC)c1 ZINC000756902703 621671591 /nfs/dbraw/zinc/67/15/91/621671591.db2.gz WNDUTISOKSHVOK-UHFFFAOYSA-N 0 1 316.332 3.457 20 30 DGEDMN CC(C)(NCC(=O)Nc1cccc(C#N)c1)c1ccc(F)cc1 ZINC000103483569 621695217 /nfs/dbraw/zinc/69/52/17/621695217.db2.gz WFMQHRCEHDBDAD-UHFFFAOYSA-N 0 1 311.360 3.161 20 30 DGEDMN Cc1ccn(CN2CCC[C@H]2CCc2ccccc2)c(=O)c1C#N ZINC000758193613 621765335 /nfs/dbraw/zinc/76/53/35/621765335.db2.gz VCMNNOHKWZXFJW-SFHVURJKSA-N 0 1 321.424 3.083 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC[C@@H]3[C@H]3CCCO3)n2)cc1 ZINC000789514379 625516324 /nfs/dbraw/zinc/51/63/24/625516324.db2.gz PTBTXZWZAFGKIF-RTBURBONSA-N 0 1 322.412 3.023 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(F)c(C#N)c1)N1[C@H](C)CC[C@H]1C ZINC000758950574 621814066 /nfs/dbraw/zinc/81/40/66/621814066.db2.gz JUIXFMXCJSPZCC-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@H](C(=O)OCc1cc(F)ccc1C#N)N1CCCCCC1 ZINC000759362207 621849558 /nfs/dbraw/zinc/84/95/58/621849558.db2.gz LUOBGEFQZJSDST-CYBMUJFWSA-N 0 1 304.365 3.005 20 30 DGEDMN Cc1ccc(F)c(N=NCc2nccn2CC(F)(F)F)c1 ZINC000759664688 621880652 /nfs/dbraw/zinc/88/06/52/621880652.db2.gz LPDFBTRGOGGBBZ-UHFFFAOYSA-N 0 1 300.259 3.339 20 30 DGEDMN N#C[C@@H](C(=O)C1(Cc2ccc(Cl)cc2)CC1)c1ccncn1 ZINC000760334529 621932746 /nfs/dbraw/zinc/93/27/46/621932746.db2.gz PDFBHZZEYNVFSH-CQSZACIVSA-N 0 1 311.772 3.329 20 30 DGEDMN N#CC(C(=O)CCCOc1ccc(Cl)cc1)c1ccncn1 ZINC000760337834 621933427 /nfs/dbraw/zinc/93/34/27/621933427.db2.gz BXBKBQCZPVGFRC-CQSZACIVSA-N 0 1 315.760 3.165 20 30 DGEDMN N#C[C@H](C(=O)Cc1c[nH]c2cc(Cl)ccc12)c1ccncn1 ZINC000760342806 621933950 /nfs/dbraw/zinc/93/39/50/621933950.db2.gz ZUSJSLFVHQCNDP-ZDUSSCGKSA-N 0 1 310.744 3.030 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](NCc1ncc(C(F)(F)F)s1)C2 ZINC000865847561 622006866 /nfs/dbraw/zinc/00/68/66/622006866.db2.gz NEBDJPWQFWRZIM-GFCCVEGCSA-N 0 1 323.343 3.291 20 30 DGEDMN Cc1cc(CN=Nc2cnn(C)c2)ccc1Oc1cccnc1 ZINC000761868630 622035770 /nfs/dbraw/zinc/03/57/70/622035770.db2.gz IKFDYVGMHSCIHF-UHFFFAOYSA-N 0 1 307.357 3.362 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000762028268 622046838 /nfs/dbraw/zinc/04/68/38/622046838.db2.gz LKGZHMZVJRIMPI-LRDDRELGSA-N 0 1 316.401 3.415 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000762028265 622046898 /nfs/dbraw/zinc/04/68/98/622046898.db2.gz LKGZHMZVJRIMPI-BLLLJJGKSA-N 0 1 316.401 3.415 20 30 DGEDMN CN1CCN(c2ccc(CNc3ccc(F)c(C#N)c3)cc2)CC1 ZINC000762652054 622089496 /nfs/dbraw/zinc/08/94/96/622089496.db2.gz MOSOXZQNCGLOHR-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN C=C(C)COCCN[C@@H](C)c1cc(Br)ncc1F ZINC000762767019 622096901 /nfs/dbraw/zinc/09/69/01/622096901.db2.gz WHZVAZUMFRORRF-JTQLQIEISA-N 0 1 317.202 3.227 20 30 DGEDMN Cc1ccc2nc(C3CC3)cc(C(=O)N=C3C[C@H](C)ON3)c2c1 ZINC000763258058 622133808 /nfs/dbraw/zinc/13/38/08/622133808.db2.gz FDJWLIIHKMMIFZ-NSHDSACASA-N 0 1 309.369 3.273 20 30 DGEDMN Cc1cc(C)cc(N(C)C(=O)[C@H]2NCCc3cc(C#N)ccc32)c1 ZINC000867947998 622196491 /nfs/dbraw/zinc/19/64/91/622196491.db2.gz OIZRZPHRLVMSOM-IBGZPJMESA-N 0 1 319.408 3.025 20 30 DGEDMN COc1cc(C(C)=NOCc2ccccc2)cc([N+](=O)[O-])c1O ZINC000764676668 622212722 /nfs/dbraw/zinc/21/27/22/622212722.db2.gz SOYRFDKXILBXEX-UHFFFAOYSA-N 0 1 316.313 3.250 20 30 DGEDMN COCc1ccc(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)o1 ZINC000764685707 622213163 /nfs/dbraw/zinc/21/31/63/622213163.db2.gz YAASMSHCBOQBCL-UHFFFAOYSA-N 0 1 306.272 3.027 20 30 DGEDMN C[C@H]1CC(NC(=O)c2cc3c(s2)-c2ccccc2OC3)=NO1 ZINC000765379188 622262068 /nfs/dbraw/zinc/26/20/68/622262068.db2.gz QYXXBXUSVPTDCI-VIFPVBQESA-N 0 1 314.366 3.160 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2c[nH]cc2-c2ccc(Cl)s2)NO1 ZINC000765386253 622262689 /nfs/dbraw/zinc/26/26/89/622262689.db2.gz XRWHDEYTTQYZKD-ZETCQYMHSA-N 0 1 309.778 3.249 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2cncc3ccccc32)c1 ZINC000765607654 622276630 /nfs/dbraw/zinc/27/66/30/622276630.db2.gz CMNAAKNNIDNDNK-UHFFFAOYSA-N 0 1 317.348 3.383 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C(F)(F)c2ccccc2F)c1 ZINC000765886800 622292791 /nfs/dbraw/zinc/29/27/91/622292791.db2.gz YTOQIPNBGUEMSM-UHFFFAOYSA-N 0 1 306.243 3.133 20 30 DGEDMN Cc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1Cl ZINC000766429955 622329266 /nfs/dbraw/zinc/32/92/66/622329266.db2.gz PFVSTNDDLJFXQC-UHFFFAOYSA-N 0 1 320.727 3.430 20 30 DGEDMN C=C(Cl)CNC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000766532507 622337113 /nfs/dbraw/zinc/33/71/13/622337113.db2.gz AOJJBFGTNDZEAW-CABCVRRESA-N 0 1 321.852 3.043 20 30 DGEDMN CCC[C@H]1[C@H](C)CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766637829 622343896 /nfs/dbraw/zinc/34/38/96/622343896.db2.gz RPCQVCNOBBUPJV-KDOFPFPSSA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H]2CCC[C@@H](CC)C2)n1 ZINC000789761551 625563766 /nfs/dbraw/zinc/56/37/66/625563766.db2.gz GTKVXTHJWRHDER-QZTJIDSGSA-N 0 1 317.477 3.181 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C(C)(C)C)c1 ZINC000870138982 622436833 /nfs/dbraw/zinc/43/68/33/622436833.db2.gz QOVJZEMYQHZCKB-QLFBSQMISA-N 0 1 314.385 3.025 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C(C)(C)C)cc1 ZINC000870145701 622437082 /nfs/dbraw/zinc/43/70/82/622437082.db2.gz POQSFMXAXATUHF-RBSFLKMASA-N 0 1 314.385 3.025 20 30 DGEDMN C#CCOc1ccccc1CN(CCOC)Cc1ccccc1 ZINC000768159383 622442254 /nfs/dbraw/zinc/44/22/54/622442254.db2.gz CWNWYCABAVOBCV-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN CC(C)C1N=NC(=S)N1N=Cc1cc(Cl)cc(F)c1O ZINC000768348839 622451801 /nfs/dbraw/zinc/45/18/01/622451801.db2.gz AGMUTBUWFCEEML-UHFFFAOYSA-N 0 1 314.773 3.071 20 30 DGEDMN N#Cc1ccc(CNN=Cc2cc(Cl)cc(F)c2O)cc1 ZINC000768368524 622452623 /nfs/dbraw/zinc/45/26/23/622452623.db2.gz YUBSPZQQTFHGJD-UHFFFAOYSA-N 0 1 303.724 3.180 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1cc(O)ccc1[N+](=O)[O-] ZINC000769145243 622501519 /nfs/dbraw/zinc/50/15/19/622501519.db2.gz FRXKQMTYVKONHD-UHFFFAOYSA-N 0 1 314.316 3.075 20 30 DGEDMN C#CCCOc1ccc(CN2CCOC[C@@H]2CC(C)C)cc1 ZINC000769399871 622524523 /nfs/dbraw/zinc/52/45/23/622524523.db2.gz WMEGEJASTZNBBX-SFHVURJKSA-N 0 1 301.430 3.336 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3cccs3)CC2)cc1 ZINC000769503773 622535168 /nfs/dbraw/zinc/53/51/68/622535168.db2.gz LSBTUWDIZFEDAJ-UHFFFAOYSA-N 0 1 312.438 3.082 20 30 DGEDMN Cn1cc(CN=Nc2ccc(F)cn2)c(-c2cccs2)n1 ZINC000769587457 622542563 /nfs/dbraw/zinc/54/25/63/622542563.db2.gz XBYXUERPKHZJKX-UHFFFAOYSA-N 0 1 301.350 3.129 20 30 DGEDMN O=C(N[C@@H]1C[C@H]1C(F)(F)F)C(F)(F)c1c(F)cccc1F ZINC000871208445 622568727 /nfs/dbraw/zinc/56/87/27/622568727.db2.gz QZJQPGSAEFHAAV-SVGQVSJJSA-N 0 1 315.188 3.124 20 30 DGEDMN N#Cc1ccccc1Sc1ccccc1C(=O)Nc1c[nH]nn1 ZINC000789926851 625580935 /nfs/dbraw/zinc/58/09/35/625580935.db2.gz FHRXIZGCPWJUHM-UHFFFAOYSA-N 0 1 321.365 3.080 20 30 DGEDMN CC1(C)CC[C@H]2C(=O)N(c3cc(C#N)cc(Cl)n3)N=C2C1 ZINC000871955690 622744885 /nfs/dbraw/zinc/74/48/85/622744885.db2.gz XNRVGHXGMFNWPV-SNVBAGLBSA-N 0 1 302.765 3.136 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(C#N)ccc2O)c(C(F)(F)F)o1 ZINC000771435541 622759743 /nfs/dbraw/zinc/75/97/43/622759743.db2.gz BYCQZHQSUGLPMA-UHFFFAOYSA-N 0 1 310.231 3.436 20 30 DGEDMN CN1CCN(c2ccc(C=[NH+][N-]c3ccc(F)cc3)cc2)CC1 ZINC000771551518 622775422 /nfs/dbraw/zinc/77/54/22/622775422.db2.gz CHFKLHZFZLABCB-UHFFFAOYSA-N 0 1 312.392 3.024 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(Cl)cc1C#N)N1CCCC1 ZINC000772144929 622856009 /nfs/dbraw/zinc/85/60/09/622856009.db2.gz VLVFYZHEPQLVJA-MRXNPFEDSA-N 0 1 320.820 3.375 20 30 DGEDMN O=C1c2cc(F)ccc2O/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000772807228 622943380 /nfs/dbraw/zinc/94/33/80/622943380.db2.gz QJAXORBEFBNJKS-NSIKDUERSA-N 0 1 301.229 3.056 20 30 DGEDMN CC1(OCC(=O)C(C#N)c2nc3ccccc3s2)CCC1 ZINC000772966052 622958716 /nfs/dbraw/zinc/95/87/16/622958716.db2.gz WXHGODFIWXQWMK-LLVKDONJSA-N 0 1 300.383 3.432 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc2c(c1)CCO2 ZINC000772988496 622962129 /nfs/dbraw/zinc/96/21/29/622962129.db2.gz QMIFCKQPZSAKBY-HNNXBMFYSA-N 0 1 307.393 3.484 20 30 DGEDMN COc1cccc(C=NNc2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000790162051 625611116 /nfs/dbraw/zinc/61/11/16/625611116.db2.gz LBYXDJTXZVFNOD-UHFFFAOYSA-N 0 1 306.709 3.098 20 30 DGEDMN Cn1c2ccccc2[nH+]c1[N-]N=Cc1nccn1-c1ccccc1 ZINC000790158132 625611517 /nfs/dbraw/zinc/61/15/17/625611517.db2.gz MRAMNRGSYPZGLB-UHFFFAOYSA-N 0 1 316.368 3.205 20 30 DGEDMN CC(C)N(C)c1ccc(C=NNCCc2ccccc2F)cn1 ZINC000790166441 625612415 /nfs/dbraw/zinc/61/24/15/625612415.db2.gz FZVOZLSAQYTHBE-UHFFFAOYSA-N 0 1 314.408 3.232 20 30 DGEDMN C#C[C@H](CCC)NC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872983096 623010417 /nfs/dbraw/zinc/01/04/17/623010417.db2.gz VFVHVGRBRLQXNO-LSDHHAIUSA-N 0 1 316.449 3.224 20 30 DGEDMN Cc1cccnc1NN=Cc1ccc(OC[C@H]2CCCO2)cc1 ZINC000790193533 625615107 /nfs/dbraw/zinc/61/51/07/625615107.db2.gz HDUPRSDZMSSFDA-QGZVFWFLSA-N 0 1 311.385 3.394 20 30 DGEDMN C#CCCCCC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000773770900 623071359 /nfs/dbraw/zinc/07/13/59/623071359.db2.gz PQYQEDQWHRNNIQ-LBPRGKRZSA-N 0 1 302.761 3.181 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCCC1(C)C ZINC000773981397 623095865 /nfs/dbraw/zinc/09/58/65/623095865.db2.gz YBRUYPVULSPFJY-CHWSQXEVSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1csc(CCC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)n1 ZINC000774112715 623108833 /nfs/dbraw/zinc/10/88/33/623108833.db2.gz UFFZYRASSDJTME-LBPRGKRZSA-N 0 1 324.409 3.445 20 30 DGEDMN CCO[C@@H]1CC(=Nn2cnc3ccccc32)[C@@H]1Oc1ccccc1 ZINC000774363564 623136372 /nfs/dbraw/zinc/13/63/72/623136372.db2.gz KQVNBFHAXFHWQY-MOPGFXCFSA-N 0 1 321.380 3.497 20 30 DGEDMN C=CCCC[C@@H](C)N1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000774540352 623158268 /nfs/dbraw/zinc/15/82/68/623158268.db2.gz IJJHHYNRKWSPGF-HIFRSBDPSA-N 0 1 305.418 3.298 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000873497203 623159683 /nfs/dbraw/zinc/15/96/83/623159683.db2.gz DJFDPWXPXOVEFG-DOMZBBRYSA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@H](NC[C@@H](O)c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000774865053 623201810 /nfs/dbraw/zinc/20/18/10/623201810.db2.gz FQVAGNKTMIBJEH-MEDUHNTESA-N 0 1 302.324 3.221 20 30 DGEDMN C[C@@H](NCC(=O)NC1CCCCCCC1)c1ccc(C#N)cc1 ZINC000775163116 623236906 /nfs/dbraw/zinc/23/69/06/623236906.db2.gz NZZPMOSCDKTSGD-OAHLLOKOSA-N 0 1 313.445 3.438 20 30 DGEDMN C#C[C@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@@H]1CCCO1 ZINC000775342208 623256385 /nfs/dbraw/zinc/25/63/85/623256385.db2.gz PRHCGPZBHUOIAH-KBPBESRZSA-N 0 1 301.283 3.115 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(CC)c1ccccc1)c1ccccc1 ZINC000775399630 623269084 /nfs/dbraw/zinc/26/90/84/623269084.db2.gz DYRWEFLRGUTJEN-LJQANCHMSA-N 0 1 306.409 3.394 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000775400626 623269096 /nfs/dbraw/zinc/26/90/96/623269096.db2.gz QMZOCWRZUKIBOK-INIZCTEOSA-N 0 1 314.335 3.258 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(Cl)cn1)c1ccccc1 ZINC000775403368 623269472 /nfs/dbraw/zinc/26/94/72/623269472.db2.gz HBBRXDPQIJXALN-OAHLLOKOSA-N 0 1 313.788 3.028 20 30 DGEDMN CN(C)c1ccc(CN=Nc2cccc(F)c2[N+](=O)[O-])s1 ZINC000790309137 625634520 /nfs/dbraw/zinc/63/45/20/625634520.db2.gz VARMBWUAROQDBB-UHFFFAOYSA-N 0 1 308.338 3.307 20 30 DGEDMN COc1ncc(CNC2(c3cccc(C#N)c3)CC2)cc1Cl ZINC000775432030 623274581 /nfs/dbraw/zinc/27/45/81/623274581.db2.gz WSRKBWYMVBWJLM-UHFFFAOYSA-N 0 1 313.788 3.394 20 30 DGEDMN N#CCOc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000775553432 623288925 /nfs/dbraw/zinc/28/89/25/623288925.db2.gz OLPLBJPGYYWPNZ-UHFFFAOYSA-N 0 1 322.364 3.097 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cccc(OC(F)(F)F)c1)[C@@H]1CCCO1 ZINC000775571392 623291936 /nfs/dbraw/zinc/29/19/36/623291936.db2.gz GMTYRWHOPIZFDF-UGFHNGPFSA-N 0 1 313.319 3.417 20 30 DGEDMN N#CC1(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)CC2(CC2)C1 ZINC000874691126 623326325 /nfs/dbraw/zinc/32/63/25/623326325.db2.gz SNAKZRYHKUVBHW-UHFFFAOYSA-N 0 1 300.749 3.239 20 30 DGEDMN C#C[C@@H](NCCOc1c(Cl)cccc1Cl)[C@H]1CCCO1 ZINC000775791821 623333321 /nfs/dbraw/zinc/33/33/21/623333321.db2.gz XFUFRHMQTMDKTE-ZIAGYGMSSA-N 0 1 314.212 3.143 20 30 DGEDMN C#C[C@H](NCc1ccc(Cl)c2cccnc12)[C@@H]1CCCO1 ZINC000775807937 623335193 /nfs/dbraw/zinc/33/51/93/623335193.db2.gz WFXWKPSKAJVUFN-HOTGVXAUSA-N 0 1 300.789 3.159 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1[nH]cnc1C(C)(C)C ZINC000874904075 623388164 /nfs/dbraw/zinc/38/81/64/623388164.db2.gz XEBOWUCCZUENNY-UHFFFAOYSA-N 0 1 300.337 3.279 20 30 DGEDMN CC(C)(C)[C@H]1CN(CCCOc2ccc(C#N)cc2)CCO1 ZINC000790429938 625652076 /nfs/dbraw/zinc/65/20/76/625652076.db2.gz HEMNJXLNEYTPQV-QGZVFWFLSA-N 0 1 302.418 3.074 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@@H](C3CC3)C2)c1O ZINC000776771213 623461526 /nfs/dbraw/zinc/46/15/26/623461526.db2.gz GRTOFHAHNYMCOT-GOSISDBHSA-N 0 1 317.429 3.130 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2ccco2)c(Br)c1 ZINC000777449690 623527080 /nfs/dbraw/zinc/52/70/80/623527080.db2.gz CENKNHQHYZINIZ-UHFFFAOYSA-N 0 1 310.107 3.396 20 30 DGEDMN CCCC1CCC(N(C)Cn2ccc(C)c(C#N)c2=O)CC1 ZINC000790480930 625659831 /nfs/dbraw/zinc/65/98/31/625659831.db2.gz NQRSDWHCJWMEHJ-UHFFFAOYSA-N 0 1 301.434 3.277 20 30 DGEDMN Clc1ccnc(N=NCc2cnc([C@H]3CCCO3)s2)c1 ZINC000777906184 623583599 /nfs/dbraw/zinc/58/35/99/623583599.db2.gz UKBPFQYPQARNBT-LLVKDONJSA-N 0 1 308.794 3.489 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCOCC(F)(F)F ZINC000778156325 623616703 /nfs/dbraw/zinc/61/67/03/623616703.db2.gz SQPRJNNYEYBZPL-UHFFFAOYSA-N 0 1 321.726 3.021 20 30 DGEDMN CCN(CCC#N)C[C@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876563408 623645465 /nfs/dbraw/zinc/64/54/65/623645465.db2.gz GGTPRYWWGIONKT-OAHLLOKOSA-N 0 1 309.454 3.259 20 30 DGEDMN C[C@@H](CCC#N)CN1CCC[C@@H]1C(=O)OCc1ccccc1 ZINC000876562213 623645855 /nfs/dbraw/zinc/64/58/55/623645855.db2.gz WQUITPDFWAVEJW-DOTOQJQBSA-N 0 1 300.402 3.134 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC(C(F)(F)F)CC1 ZINC000876564220 623646107 /nfs/dbraw/zinc/64/61/07/623646107.db2.gz KAXXJTWLWRMQAE-UHFFFAOYSA-N 0 1 311.351 3.399 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN(C)Cc1cccc(C#N)c1 ZINC000876572075 623648932 /nfs/dbraw/zinc/64/89/32/623648932.db2.gz IOFMZVMZWSCWHP-UHFFFAOYSA-N 0 1 304.397 3.128 20 30 DGEDMN C[C@@H](C#N)CN(C)C[C@@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876617771 623660900 /nfs/dbraw/zinc/66/09/00/623660900.db2.gz UWUMWYIWOJLXAH-GJZGRUSLSA-N 0 1 309.454 3.115 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2cccc(F)c2C#N)cc1F ZINC000778956422 623715315 /nfs/dbraw/zinc/71/53/15/623715315.db2.gz KXRIIXWZMOFVBC-UHFFFAOYSA-N 0 1 315.323 3.150 20 30 DGEDMN C=CC[C@H](C)[C@H](C)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC000779064334 623726821 /nfs/dbraw/zinc/72/68/21/623726821.db2.gz JGGKOSKREJCGGT-RYUDHWBXSA-N 0 1 306.397 3.337 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C[C@H]1CCOC1)C1CC1 ZINC000779153535 623735070 /nfs/dbraw/zinc/73/50/70/623735070.db2.gz GDIUWHOYPDYJCG-CQSZACIVSA-N 0 1 319.832 3.353 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(COC)CC1 ZINC000779158534 623736579 /nfs/dbraw/zinc/73/65/79/623736579.db2.gz USMHHEDJNBGOEH-UHFFFAOYSA-N 0 1 307.821 3.210 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@H](N)c1cccc(C(F)(F)F)c1 ZINC000876991451 623762159 /nfs/dbraw/zinc/76/21/59/623762159.db2.gz YEYOHULKIPWQID-CYBMUJFWSA-N 0 1 314.351 3.424 20 30 DGEDMN CCO[C@H]1C[C@H](NCc2cc(C#N)cnc2Cl)C12CCC2 ZINC000877043372 623774320 /nfs/dbraw/zinc/77/43/20/623774320.db2.gz HLMRYRPYEOKBKN-KBPBESRZSA-N 0 1 305.809 3.044 20 30 DGEDMN C[C@@H]1CN=C(NN=Cc2ccc(SCc3ccccc3)cc2)N1 ZINC000779791909 623807509 /nfs/dbraw/zinc/80/75/09/623807509.db2.gz NASKIEQYPUIORZ-CQSZACIVSA-N 0 1 324.453 3.250 20 30 DGEDMN COc1ccc(Cl)cc1C(CC(C)C)=NNC1=NC[C@H](C)N1 ZINC000779805207 623810070 /nfs/dbraw/zinc/81/00/70/623810070.db2.gz KSSOZMQQRXICAB-NSHDSACASA-N 0 1 322.840 3.036 20 30 DGEDMN COc1cccc(N=NCc2cc(F)ccc2Br)n1 ZINC000779819993 623813504 /nfs/dbraw/zinc/81/35/04/623813504.db2.gz ZXWLBEDDTAGOTG-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN COc1cccc(N=NCc2cnc(-c3ccncc3)s2)n1 ZINC000779821235 623815782 /nfs/dbraw/zinc/81/57/82/623815782.db2.gz WNYKSGVKVGYKPU-UHFFFAOYSA-N 0 1 311.370 3.055 20 30 DGEDMN CC(C)C[C@H]1OCCC[C@@H]1NCc1cc(C#N)cnc1Cl ZINC000877421229 623875639 /nfs/dbraw/zinc/87/56/39/623875639.db2.gz KIOFSIGQJJEOHW-LSDHHAIUSA-N 0 1 307.825 3.290 20 30 DGEDMN Cc1sc2[nH]cnc(=NN=Cc3c(Cl)cnn3C)c2c1C ZINC000780196028 623876092 /nfs/dbraw/zinc/87/60/92/623876092.db2.gz HZFLQPOWZBBIGO-UHFFFAOYSA-N 0 1 320.809 3.141 20 30 DGEDMN CCCOc1ccc(CN=Nc2ccc(OC)cn2)cc1OC ZINC000780275406 623902391 /nfs/dbraw/zinc/90/23/91/623902391.db2.gz WBSCMVLGTCSWHF-UHFFFAOYSA-N 0 1 315.373 3.334 20 30 DGEDMN COc1ccc(N=NC(C)c2c(Cl)nc3ccccn32)nc1 ZINC000780275430 623902576 /nfs/dbraw/zinc/90/25/76/623902576.db2.gz WSLMHFVUVAKRSB-UHFFFAOYSA-N 0 1 315.764 3.227 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@@H]2CCc3ccccc3OC2)c1 ZINC000877502846 623914542 /nfs/dbraw/zinc/91/45/42/623914542.db2.gz UMHGWZWKMJMGGG-OAHLLOKOSA-N 0 1 313.788 3.090 20 30 DGEDMN C#C[C@H](NCCCc1cnn(C)c1)c1ccc(Cl)cc1F ZINC000877519620 623920851 /nfs/dbraw/zinc/92/08/51/623920851.db2.gz YTOXMCZYFLJYIM-INIZCTEOSA-N 0 1 305.784 3.109 20 30 DGEDMN COc1ccc(O)c([C@@H](C)NCc2cc(C#N)cnc2Cl)c1 ZINC000877532448 623927876 /nfs/dbraw/zinc/92/78/76/623927876.db2.gz GLUJRGWOQFDJPV-SNVBAGLBSA-N 0 1 317.776 3.172 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000877538595 623931294 /nfs/dbraw/zinc/93/12/94/623931294.db2.gz FKDQSSJCSGEXCG-MSOLQXFVSA-N 0 1 313.445 3.014 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nccc(C)c2Br)C1 ZINC000877605650 623960012 /nfs/dbraw/zinc/96/00/12/623960012.db2.gz UZQICMCQQHJEGB-CYBMUJFWSA-N 0 1 307.235 3.388 20 30 DGEDMN Cc1ccc2nc(CN(C)CCc3cccc(C#N)c3)cn2c1 ZINC000877704391 623998935 /nfs/dbraw/zinc/99/89/35/623998935.db2.gz HLYBMKZFPLCHEW-UHFFFAOYSA-N 0 1 304.397 3.189 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cccc2[nH]ncc21 ZINC000781034741 624012433 /nfs/dbraw/zinc/01/24/33/624012433.db2.gz NTMTUCHQIJUQEJ-NSHDSACASA-N 0 1 306.325 3.106 20 30 DGEDMN C#Cc1ccc(CN2Cc3cccnc3N3CCC[C@H]3C2)cc1 ZINC000877762600 624025561 /nfs/dbraw/zinc/02/55/61/624025561.db2.gz NJCSGBBDOZKZIB-IBGZPJMESA-N 0 1 303.409 3.048 20 30 DGEDMN CCC(N=Nc1ccnc(F)c1)c1ccc(OC)c(OC)c1 ZINC000781126504 624025711 /nfs/dbraw/zinc/02/57/11/624025711.db2.gz BXSXJQVRPTYZJJ-UHFFFAOYSA-N 0 1 303.337 3.464 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCC[C@H](OC(F)F)C2)cc1 ZINC000781533086 624088857 /nfs/dbraw/zinc/08/88/57/624088857.db2.gz YFBSKYAHGJADEB-WFASDCNBSA-N 0 1 310.344 3.181 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000877973409 624118113 /nfs/dbraw/zinc/11/81/13/624118113.db2.gz YZVGNZLIUGTXGX-MLGOLLRUSA-N 0 1 315.442 3.352 20 30 DGEDMN COC1(C(=O)[C@H](C#N)c2nc3cc(F)ccc3s2)CCC1 ZINC000781802552 624150647 /nfs/dbraw/zinc/15/06/47/624150647.db2.gz GHVXLWCOFLQSOO-JTQLQIEISA-N 0 1 304.346 3.181 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1C[C@H](C)S[C@@H](C)C1)c1ccccc1 ZINC000878137023 624184090 /nfs/dbraw/zinc/18/40/90/624184090.db2.gz MTHLESBTRBPSKE-RLFYNMQTSA-N 0 1 316.470 3.253 20 30 DGEDMN N#CCC1CCN(C[C@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000782088887 624202002 /nfs/dbraw/zinc/20/20/02/624202002.db2.gz OPGDKTHMLFFPRI-HNNXBMFYSA-N 0 1 312.335 3.364 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1sccc1Cl ZINC000782132444 624207726 /nfs/dbraw/zinc/20/77/26/624207726.db2.gz CLMNPIJTRFFKPH-UHFFFAOYSA-N 0 1 312.729 3.183 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCC[C@@H](N2CCCCC2=O)C1 ZINC000782182568 624216240 /nfs/dbraw/zinc/21/62/40/624216240.db2.gz RALCJVMLIMTVAG-MAUKXSAKSA-N 0 1 311.429 3.096 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2cc(Br)nn2C)c(O)c1 ZINC000782349353 624242547 /nfs/dbraw/zinc/24/25/47/624242547.db2.gz XOZMMJAXAHPVOL-XQRVVYSFSA-N 0 1 321.174 3.093 20 30 DGEDMN Cc1ccc2cc(C(=O)Nc3cc(C#N)ccc3O)ccc2n1 ZINC000127136229 624286121 /nfs/dbraw/zinc/28/61/21/624286121.db2.gz MJQSTSUURHYRQI-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CSCCCN(C)CC[C@](C#N)(C(C)=O)c1ccccc1 ZINC000878552503 624306410 /nfs/dbraw/zinc/30/64/10/624306410.db2.gz GYNKWBQLHULBNZ-KRWDZBQOSA-N 0 1 304.459 3.112 20 30 DGEDMN C[C@@H](OC(=O)Cc1[nH]nc2ccccc21)c1ccc(C#N)cc1 ZINC000783155441 624317145 /nfs/dbraw/zinc/31/71/45/624317145.db2.gz GVRLBROJYIWKJG-GFCCVEGCSA-N 0 1 305.337 3.281 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000878606729 624321307 /nfs/dbraw/zinc/32/13/07/624321307.db2.gz PIWYOXPRSJMALW-JLSDUUJJSA-N 0 1 305.418 3.108 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1oc2ccccc2c1CC ZINC000783531094 624361915 /nfs/dbraw/zinc/36/19/15/624361915.db2.gz GGRFVKWCYJOTMQ-CYBMUJFWSA-N 0 1 321.442 3.247 20 30 DGEDMN N#Cc1cc(Cl)ccc1COC(=O)c1ccc2cncn2c1 ZINC000790925903 625715812 /nfs/dbraw/zinc/71/58/12/625715812.db2.gz HLNPZMLHKZSPTQ-UHFFFAOYSA-N 0 1 311.728 3.216 20 30 DGEDMN Cc1cc(COC(=O)[C@@H](C)N2CCCCCC2)ccc1C#N ZINC000783783648 624396047 /nfs/dbraw/zinc/39/60/47/624396047.db2.gz OFZIDGLORVWYKL-OAHLLOKOSA-N 0 1 300.402 3.174 20 30 DGEDMN COc1cc(C)c(C(C)=NN=c2cc[nH]c(N(C)C)n2)cc1C ZINC000783846276 624400109 /nfs/dbraw/zinc/40/01/09/624400109.db2.gz PDHIHZFTOAIRMI-UHFFFAOYSA-N 0 1 313.405 3.004 20 30 DGEDMN COc1cccc(N=NC2CCOc3ccc(Cl)cc32)n1 ZINC000783866801 624402288 /nfs/dbraw/zinc/40/22/88/624402288.db2.gz KCAPVTYIKOORQX-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN N#Cc1ccc(CON=C(N)CN2CCCCCC2)c(Cl)c1 ZINC000783985349 624416978 /nfs/dbraw/zinc/41/69/78/624416978.db2.gz CTJPYGVSKHSKOU-UHFFFAOYSA-N 0 1 320.824 3.086 20 30 DGEDMN COc1ccc2c(c1)CC[C@@H](C(=O)Nc1cc(C#N)ccc1O)C2 ZINC000879065507 624418988 /nfs/dbraw/zinc/41/89/88/624418988.db2.gz HHNHFDQZLYTPBZ-OAHLLOKOSA-N 0 1 322.364 3.016 20 30 DGEDMN CSC1CCN(CC[C@](C#N)(C(C)=O)c2ccccc2)CC1 ZINC000879100743 624426092 /nfs/dbraw/zinc/42/60/92/624426092.db2.gz ULLHJFBHGFJKJP-SFHVURJKSA-N 0 1 316.470 3.254 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000879110348 624428920 /nfs/dbraw/zinc/42/89/20/624428920.db2.gz VDRXHPGYHXZUJB-CXAGYDPISA-N 0 1 316.449 3.254 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCC(CCF)CC1)c1ccccc1 ZINC000879211036 624452504 /nfs/dbraw/zinc/45/25/04/624452504.db2.gz MWJOLGMZDLGAFO-LJQANCHMSA-N 0 1 316.420 3.499 20 30 DGEDMN CC(C)[C@@H](F)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000784491124 624533591 /nfs/dbraw/zinc/53/35/91/624533591.db2.gz ORKBZRZPGBYMIO-BXKDBHETSA-N 0 1 314.719 3.121 20 30 DGEDMN CCN(C)C(=O)OC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC000805763565 624552906 /nfs/dbraw/zinc/55/29/06/624552906.db2.gz UWBSTYURTKSUCZ-QGZVFWFLSA-N 0 1 315.417 3.001 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879499910 624568370 /nfs/dbraw/zinc/56/83/70/624568370.db2.gz HEPMGKUVUJEPOL-DNVCBOLYSA-N 0 1 318.424 3.499 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879499910 624568375 /nfs/dbraw/zinc/56/83/75/624568375.db2.gz HEPMGKUVUJEPOL-DNVCBOLYSA-N 0 1 318.424 3.499 20 30 DGEDMN Cc1cc(COC(=O)c2ccc(-c3nnc[nH]3)cc2)ccc1C#N ZINC000784784977 624582021 /nfs/dbraw/zinc/58/20/21/624582021.db2.gz NDRINFRBWXCOBM-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN C[C@H](OC(=O)Cc1n[nH]c2c1CCCC2)c1cccc(C#N)c1 ZINC000784811145 624582700 /nfs/dbraw/zinc/58/27/00/624582700.db2.gz GKHPJWZVSVUSPL-LBPRGKRZSA-N 0 1 309.369 3.007 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2ccc(F)cc2F)CC1 ZINC000879595454 624602392 /nfs/dbraw/zinc/60/23/92/624602392.db2.gz KUNIHZFQDSQCAR-UHFFFAOYSA-N 0 1 307.388 3.417 20 30 DGEDMN Cc1cc(C#N)ccc1CN1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879692205 624641492 /nfs/dbraw/zinc/64/14/92/624641492.db2.gz QFLUTKMSCNTZGS-IBGZPJMESA-N 0 1 318.424 3.246 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@H](CCCN2CC2(C#N)CC2)C1 ZINC000879701956 624643752 /nfs/dbraw/zinc/64/37/52/624643752.db2.gz VCNKVLDIOVLMAD-CABCVRRESA-N 0 1 319.449 3.012 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@@H]1CCC[N@H+]2CC1(CC#N)CC1 ZINC000879755910 624667419 /nfs/dbraw/zinc/66/74/19/624667419.db2.gz QCJVMNNNBUOKFE-GJZGRUSLSA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@@H]1CCCN2CC1(CC#N)CC1 ZINC000879755910 624667421 /nfs/dbraw/zinc/66/74/21/624667421.db2.gz QCJVMNNNBUOKFE-GJZGRUSLSA-N 0 1 319.449 3.154 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)OCC#Cc1ccccc1Cl ZINC000785732782 624719934 /nfs/dbraw/zinc/71/99/34/624719934.db2.gz DJSTUGPHBHLJME-ZIAGYGMSSA-N 0 1 305.805 3.108 20 30 DGEDMN C=CCCC[C@@H](C(=O)N[C@@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000879965821 624738787 /nfs/dbraw/zinc/73/87/87/624738787.db2.gz AWQNUFJHPYWWGY-XJKSGUPXSA-N 0 1 312.417 3.430 20 30 DGEDMN C=C(C)CN1C[C@H](C(F)(F)F)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000880024451 624758955 /nfs/dbraw/zinc/75/89/55/624758955.db2.gz SENHWGXUDRUBNM-RYUDHWBXSA-N 0 1 322.371 3.198 20 30 DGEDMN C[C@H]1CN(Cc2ccc(CC#N)cc2)CC[C@@H](C(F)(F)F)O1 ZINC000880061790 624771174 /nfs/dbraw/zinc/77/11/74/624771174.db2.gz AWFSMAQMXALSNA-WFASDCNBSA-N 0 1 312.335 3.294 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880062946 624772320 /nfs/dbraw/zinc/77/23/20/624772320.db2.gz SPWGZQQEPRRHTH-DOMZBBRYSA-N 0 1 312.335 3.408 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1cccc(C#N)c1F ZINC000786345727 624858847 /nfs/dbraw/zinc/85/88/47/624858847.db2.gz WIXTWJIXMBULCX-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCC[C@@H](CF)C1)c1ccccc1 ZINC000880238429 624861713 /nfs/dbraw/zinc/86/17/13/624861713.db2.gz GHBOIUNWCHFKKW-FUHWJXTLSA-N 0 1 302.393 3.109 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000786401999 624871973 /nfs/dbraw/zinc/87/19/73/624871973.db2.gz VJVTYZWWYKWSQV-YNEHKIRRSA-N 0 1 323.845 3.146 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(N(CC)C(C)C)cc1 ZINC000786445190 624879979 /nfs/dbraw/zinc/87/99/79/624879979.db2.gz OAJVVOQBLGLIMS-UHFFFAOYSA-N 0 1 303.450 3.368 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NCc2cccc(Cl)c2)C1 ZINC000786534810 624913194 /nfs/dbraw/zinc/91/31/94/624913194.db2.gz MVWKJPRMVZBYRJ-UXIGCNINSA-N 0 1 318.804 3.101 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786542793 624916653 /nfs/dbraw/zinc/91/66/53/624916653.db2.gz VOQLGOFCHKCPNJ-WMLDXEAASA-N 0 1 314.429 3.392 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@]2(C)C(C)C)c1 ZINC000786547988 624918546 /nfs/dbraw/zinc/91/85/46/624918546.db2.gz ZZABKLOFFXJJOQ-JTDSTZFVSA-N 0 1 312.413 3.002 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2C[C@@]2(C)C(C)C)c1 ZINC000786547989 624918586 /nfs/dbraw/zinc/91/85/86/624918586.db2.gz ZZABKLOFFXJJOQ-MDZRGWNJSA-N 0 1 312.413 3.002 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCC(C)(C)C2)c1 ZINC000786551254 624920446 /nfs/dbraw/zinc/92/04/46/624920446.db2.gz CIBBCUWCCMKZOE-HOTGVXAUSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786557824 624920451 /nfs/dbraw/zinc/92/04/51/624920451.db2.gz NCDSWJFZJNGBMP-CQSZACIVSA-N 0 1 322.355 3.116 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786556587 624920502 /nfs/dbraw/zinc/92/05/02/624920502.db2.gz ARQOQOKZDJSQRV-CYBMUJFWSA-N 0 1 322.355 3.116 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880349287 624925981 /nfs/dbraw/zinc/92/59/81/624925981.db2.gz CBLUOVRTRDHSJF-SNUQEOBHSA-N 0 1 322.412 3.167 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@]1(C)c1ccccc1 ZINC000880370919 624939313 /nfs/dbraw/zinc/93/93/13/624939313.db2.gz WSHBQICFEKUNFB-OALUTQOASA-N 0 1 313.445 3.052 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@H]2CCCN2C2CCCC2)c(F)c1 ZINC000786884328 624961597 /nfs/dbraw/zinc/96/15/97/624961597.db2.gz SYJRZPYHMQQGDU-QGZVFWFLSA-N 0 1 316.376 3.148 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc(Br)cs1)[C@@H]1CCCO1 ZINC000786916696 624964385 /nfs/dbraw/zinc/96/43/85/624964385.db2.gz HIEAOENPJOOXOP-DLOVCJGASA-N 0 1 314.248 3.342 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C1(F)CCCC1)c1ccccc1 ZINC000787034717 624972737 /nfs/dbraw/zinc/97/27/37/624972737.db2.gz BCBGPGGFBIOJKV-CABCVRRESA-N 0 1 316.376 3.245 20 30 DGEDMN CCCC(C)(C)CC(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000787082696 624977010 /nfs/dbraw/zinc/97/70/10/624977010.db2.gz GBNXFAUDPBLPTP-LBPRGKRZSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC(C)(C)CC(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000787082696 624977014 /nfs/dbraw/zinc/97/70/14/624977014.db2.gz GBNXFAUDPBLPTP-LBPRGKRZSA-N 0 1 322.430 3.387 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880659013 624996018 /nfs/dbraw/zinc/99/60/18/624996018.db2.gz VNUZWDBYUZJNHT-YXPYIKCWSA-N 0 1 301.434 3.327 20 30 DGEDMN CC(C)C(N)=NOCc1cc(Br)cc2cccnc21 ZINC000128570831 625015473 /nfs/dbraw/zinc/01/54/73/625015473.db2.gz TWSMLULRTVMDMB-UHFFFAOYSA-N 0 1 322.206 3.442 20 30 DGEDMN CC(=NNC1=NC[C@@H](C)N1)c1ccccc1SC(C)(C)C ZINC000788439468 625171795 /nfs/dbraw/zinc/17/17/95/625171795.db2.gz UJUVZGUEXPDQLS-LLVKDONJSA-N 0 1 304.463 3.239 20 30 DGEDMN C#C[C@H](N[C@@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)[C@@H]1CCCO1 ZINC000788601454 625225759 /nfs/dbraw/zinc/22/57/59/625225759.db2.gz QWNUHJLSXSYPPU-BBWFWOEESA-N 0 1 314.385 3.088 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cccc(OC)n2)c1 ZINC000790965318 625724629 /nfs/dbraw/zinc/72/46/29/625724629.db2.gz DHMOXJMVGLJUGM-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN C#CCCCCC(=O)Nc1nc(-c2cc3ccccc3o2)n[nH]1 ZINC000792486309 625948710 /nfs/dbraw/zinc/94/87/10/625948710.db2.gz LWSXWAOFVRCQTG-UHFFFAOYSA-N 0 1 308.341 3.350 20 30 DGEDMN O=C(OCC#Cc1ccccc1Cl)c1ccc2cncn2c1 ZINC000792553665 625956427 /nfs/dbraw/zinc/95/64/27/625956427.db2.gz RCBZFULPPZYQRW-UHFFFAOYSA-N 0 1 310.740 3.196 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccccn2)n1)c1ccccn1 ZINC000131184890 625961372 /nfs/dbraw/zinc/96/13/72/625961372.db2.gz JNFABAFPOQPJGQ-LLVKDONJSA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(-c2ccccn2)n1)c1ccccn1 ZINC000131184890 625961375 /nfs/dbraw/zinc/96/13/75/625961375.db2.gz JNFABAFPOQPJGQ-LLVKDONJSA-N 0 1 306.350 3.090 20 30 DGEDMN Cc1nn(-c2ccccn2)c(Cl)c1CNCC1(CC#N)CC1 ZINC000796361938 626042393 /nfs/dbraw/zinc/04/23/93/626042393.db2.gz HLNFRAINKXRYFD-UHFFFAOYSA-N 0 1 315.808 3.013 20 30 DGEDMN CC1CCN(C(=O)OC[C@@H]2CCCCN2CCCC#N)CC1 ZINC000796548791 626061186 /nfs/dbraw/zinc/06/11/86/626061186.db2.gz ZBWUTRYVHSEIHG-INIZCTEOSA-N 0 1 307.438 3.013 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@H]1Cc2ccc(F)cc21 ZINC000796618531 626066561 /nfs/dbraw/zinc/06/65/61/626066561.db2.gz JIZATFYERFWYSR-GJZGRUSLSA-N 0 1 319.339 3.229 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H](CC#N)c2ccccc2)nc(C)n1 ZINC000796632813 626067721 /nfs/dbraw/zinc/06/77/21/626067721.db2.gz NUZNDUXVRPATNW-SJORKVTESA-N 0 1 318.380 3.357 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)N1CCCC1)C1CCCCC1 ZINC000796632788 626067904 /nfs/dbraw/zinc/06/79/04/626067904.db2.gz SZDVALXSOFSBBP-HNNXBMFYSA-N 0 1 304.434 3.314 20 30 DGEDMN C#CCN(CCOCC(F)(F)C(F)F)Cc1ccccc1 ZINC000796631882 626068020 /nfs/dbraw/zinc/06/80/20/626068020.db2.gz JSFZBZYWYSRNSO-UHFFFAOYSA-N 0 1 303.299 3.039 20 30 DGEDMN CC[C@@](C)(OC)C(=O)[C@@H](C#N)c1nc2cc(F)ccc2s1 ZINC000796689884 626073615 /nfs/dbraw/zinc/07/36/15/626073615.db2.gz DAYYXFYNFNIGER-MEBBXXQBSA-N 0 1 306.362 3.427 20 30 DGEDMN Cc1oc(C2CC2)nc1C(=O)C(C#N)C(=O)Nc1cccc(C)c1 ZINC000796790553 626083239 /nfs/dbraw/zinc/08/32/39/626083239.db2.gz RSRJOGCLTPPTOD-AWEZNQCLSA-N 0 1 323.352 3.130 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000796791306 626083781 /nfs/dbraw/zinc/08/37/81/626083781.db2.gz APRHYDGWZUCQLU-QWHCGFSZSA-N 0 1 302.374 3.169 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1cc(Cl)ccc1OCC1CC1 ZINC000797403225 626140310 /nfs/dbraw/zinc/14/03/10/626140310.db2.gz DSERJWHMORKMLG-UHFFFAOYSA-N 0 1 304.781 3.308 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CCc2cnccn2)s1 ZINC000797572860 626154603 /nfs/dbraw/zinc/15/46/03/626154603.db2.gz JTWRHSPLNXFBMS-LBPRGKRZSA-N 0 1 314.414 3.040 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCSCc1ccccc1 ZINC000798234978 626203821 /nfs/dbraw/zinc/20/38/21/626203821.db2.gz XCTCUBPTCPTWQP-UHFFFAOYSA-N 0 1 307.444 3.195 20 30 DGEDMN COc1cc2c(cc1F)CCC2=NN=c1[nH]c2ccccc2[nH]1 ZINC000799104973 626271980 /nfs/dbraw/zinc/27/19/80/626271980.db2.gz YKXCKSHNWSNSTC-UHFFFAOYSA-N 0 1 310.332 3.473 20 30 DGEDMN Cc1ccc(C(=O)Nc2ccc(CN(C)C)c(F)c2)cc1C#N ZINC000799670910 626319366 /nfs/dbraw/zinc/31/93/66/626319366.db2.gz BJCFCYVRDPZSAN-UHFFFAOYSA-N 0 1 311.360 3.320 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC000800385038 626355197 /nfs/dbraw/zinc/35/51/97/626355197.db2.gz QEFBYTDQPJGZAM-OLZOCXBDSA-N 0 1 314.376 3.217 20 30 DGEDMN Cc1ccc([N-]N=C2C(=O)Nc3cccc(C(F)(F)F)c32)[nH+]c1 ZINC000800811714 626376186 /nfs/dbraw/zinc/37/61/86/626376186.db2.gz GHRYGXLTESUGIW-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1ccc(Cl)cn1 ZINC000800817912 626376847 /nfs/dbraw/zinc/37/68/47/626376847.db2.gz ZGONGPJDTGXERY-UHFFFAOYSA-N 0 1 321.739 3.497 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(C)cc(C)c2OC)c1 ZINC000800833155 626378354 /nfs/dbraw/zinc/37/83/54/626378354.db2.gz VPZARJJOBYBFPG-UHFFFAOYSA-N 0 1 315.394 3.094 20 30 DGEDMN C[C@H](C#N)OCCSc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000801653436 626413274 /nfs/dbraw/zinc/41/32/74/626413274.db2.gz DGBBYRFWKBAIGO-SECBINFHSA-N 0 1 308.794 3.146 20 30 DGEDMN C=C(Br)CNCc1ccc(C)cc1-c1ccnn1C ZINC000801720482 626416155 /nfs/dbraw/zinc/41/61/55/626416155.db2.gz YHGRLKQQOBTRAY-UHFFFAOYSA-N 0 1 320.234 3.394 20 30 DGEDMN C[C@H](C#N)OCCN(CCC(F)(F)F)Cc1ccccc1 ZINC000801949626 626427673 /nfs/dbraw/zinc/42/76/73/626427673.db2.gz PHKCCXLGXHQRCI-CYBMUJFWSA-N 0 1 300.324 3.370 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C1CC(C)(C)C1)c1ccccc1 ZINC000802800408 626573977 /nfs/dbraw/zinc/57/39/77/626573977.db2.gz QWNCQBHOECRRGZ-HZPDHXFCSA-N 0 1 312.413 3.399 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C2(CCOCC2)[C@H]1C1CC1 ZINC000840008271 626623567 /nfs/dbraw/zinc/62/35/67/626623567.db2.gz SZGRSHTXMAOXKS-QGZVFWFLSA-N 0 1 320.477 3.040 20 30 DGEDMN C=C(C)C[C@H](CO)[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000883392004 626671432 /nfs/dbraw/zinc/67/14/32/626671432.db2.gz YAKADKKQINPCDN-ZYHUDNBSSA-N 0 1 314.223 3.132 20 30 DGEDMN C=CCNC(=O)C(C#N)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC000132518681 626723102 /nfs/dbraw/zinc/72/31/02/626723102.db2.gz JOKDHQDUKGFDPW-YMAMQOFZSA-N 0 1 304.434 3.240 20 30 DGEDMN C=CCCCCCCNC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000807571904 626761109 /nfs/dbraw/zinc/76/11/09/626761109.db2.gz UGTUPYKXRGSCGP-CYBMUJFWSA-N 0 1 307.442 3.425 20 30 DGEDMN COc1ccc(CN=Nc2cnnc3ccccc23)c(C)c1OC ZINC000807973350 626781273 /nfs/dbraw/zinc/78/12/73/626781273.db2.gz PSHWVTSJXLGZQY-UHFFFAOYSA-N 0 1 322.368 3.401 20 30 DGEDMN CC(=NN[C@@H]1CCSC1)c1[nH]c(-c2ccccc2)nc1C ZINC000808000363 626785057 /nfs/dbraw/zinc/78/50/57/626785057.db2.gz LCXWYPNWKLMRKF-CQSZACIVSA-N 0 1 300.431 3.204 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NNCC1CCCC1 ZINC000808005413 626786095 /nfs/dbraw/zinc/78/60/95/626786095.db2.gz CTVVBXAAYNGBMW-HNNXBMFYSA-N 0 1 300.450 3.059 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC000884279830 626859010 /nfs/dbraw/zinc/85/90/10/626859010.db2.gz VZARULJCAAAQBM-HNNXBMFYSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCc1cc(CNCc2ccc(C)cn2)cc(OC)c1OC ZINC000134288352 626869928 /nfs/dbraw/zinc/86/99/28/626869928.db2.gz MEKWIUHSMRNKQA-UHFFFAOYSA-N 0 1 312.413 3.426 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC1(C)CCCC1 ZINC000808980197 626873348 /nfs/dbraw/zinc/87/33/48/626873348.db2.gz WEEASXMMTIYZRR-CYBMUJFWSA-N 0 1 314.385 3.313 20 30 DGEDMN C#C[C@H](C)NCc1cccc(Br)c1OC(F)F ZINC000809683675 626935360 /nfs/dbraw/zinc/93/53/60/626935360.db2.gz JNDWEBJHEMFBMA-QMMMGPOBSA-N 0 1 304.134 3.162 20 30 DGEDMN CSCc1n[nH]c(CNc2nc3ccccc3c(C)c2C#N)n1 ZINC000884694253 626929536 /nfs/dbraw/zinc/92/95/36/626929536.db2.gz HBCDIZZUTXTRGP-UHFFFAOYSA-N 0 1 324.413 3.008 20 30 DGEDMN CSCc1nnc(CNc2nc3ccccc3c(C)c2C#N)[nH]1 ZINC000884694253 626929539 /nfs/dbraw/zinc/92/95/39/626929539.db2.gz HBCDIZZUTXTRGP-UHFFFAOYSA-N 0 1 324.413 3.008 20 30 DGEDMN C#C[C@H](C)NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000809683977 626936083 /nfs/dbraw/zinc/93/60/83/626936083.db2.gz ULGDULSKSIZLIK-ZDUSSCGKSA-N 0 1 300.789 3.425 20 30 DGEDMN C#C[C@H](NCc1nc2cc(C(F)(F)F)ccc2n1C)C(C)C ZINC000809685462 626936146 /nfs/dbraw/zinc/93/61/46/626936146.db2.gz VFHFEJQNEHWONV-LBPRGKRZSA-N 0 1 309.335 3.340 20 30 DGEDMN C#C[C@H](N[C@@H](C)CCn1cccn1)c1ccc(Cl)cc1F ZINC000809699483 626937971 /nfs/dbraw/zinc/93/79/71/626937971.db2.gz PEAIRRUCVUIYRN-LRDDRELGSA-N 0 1 305.784 3.418 20 30 DGEDMN C#CCN(Cc1cn(-c2ccncc2)nc1C)Cc1ccccc1 ZINC000809717956 626940449 /nfs/dbraw/zinc/94/04/49/626940449.db2.gz OZMYMOBPBQKHMK-UHFFFAOYSA-N 0 1 316.408 3.211 20 30 DGEDMN C#C[C@H](NC/C(C)=C\c1ccccc1OC(F)F)[C@@H]1CCCO1 ZINC000810153264 626981666 /nfs/dbraw/zinc/98/16/66/626981666.db2.gz SUZOEFUPVMBXQU-JLNOUXKISA-N 0 1 321.367 3.462 20 30 DGEDMN C#CCOc1ccccc1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000810225542 626989927 /nfs/dbraw/zinc/98/99/27/626989927.db2.gz GSJJOCPMTKJULY-IBGZPJMESA-N 0 1 302.377 3.347 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](c3ccccc3)CC2(C)C)CC1 ZINC000885236903 626990498 /nfs/dbraw/zinc/99/04/98/626990498.db2.gz ILHFGBJGKNJAEC-LJQANCHMSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC[C@H](CO)[NH2+][C@H](CC)c1ccc(C(F)(F)F)cc1[O-] ZINC000810237768 626991055 /nfs/dbraw/zinc/99/10/55/626991055.db2.gz VXQYSFOJBVILKR-DGCLKSJQSA-N 0 1 303.324 3.389 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)O[C@@H](C#N)C2CCCCC2)C1 ZINC000810711373 627039634 /nfs/dbraw/zinc/03/96/34/627039634.db2.gz DNAKXULNNRUYKJ-WMLDXEAASA-N 0 1 310.413 3.215 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)c(Cl)c1 ZINC000885669426 627041416 /nfs/dbraw/zinc/04/14/16/627041416.db2.gz FFLAWGYUKNVUNH-HWPZZCPQSA-N 0 1 313.788 3.349 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)OC[C@H]2CC[C@@H](C#N)CC2)C1 ZINC000810879639 627060449 /nfs/dbraw/zinc/06/04/49/627060449.db2.gz BZBVZERJYCYHSP-JKIFEVAISA-N 0 1 310.413 3.072 20 30 DGEDMN CN(Cc1nc2ccccc2s1)C[C@H](O)CC1(C#N)CCC1 ZINC000885946432 627066607 /nfs/dbraw/zinc/06/66/07/627066607.db2.gz JASPFLPEHWTLNS-CYBMUJFWSA-N 0 1 315.442 3.173 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC[C@](F)(c3ccccc3)C2)CCC1 ZINC000886192409 627119362 /nfs/dbraw/zinc/11/93/62/627119362.db2.gz VFHJUNTYGGHWMM-FUHWJXTLSA-N 0 1 302.393 3.002 20 30 DGEDMN CSc1ccc(CNCc2c(C3CC3)cnn2C)cc1C#N ZINC000886277352 627129608 /nfs/dbraw/zinc/12/96/08/627129608.db2.gz OHJBUTCONQWXRK-UHFFFAOYSA-N 0 1 312.442 3.181 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C1 ZINC000886362628 627142850 /nfs/dbraw/zinc/14/28/50/627142850.db2.gz GZYJBXJRWLOHCK-CYBMUJFWSA-N 0 1 311.341 3.095 20 30 DGEDMN C=CC[C@@H](N[C@@H](C)c1cncc(C(=O)OC)c1)c1ccncc1 ZINC000886528817 627157603 /nfs/dbraw/zinc/15/76/03/627157603.db2.gz RULZHPAFPGOQDZ-SUMWQHHRSA-N 0 1 311.385 3.231 20 30 DGEDMN C=CC[C@H](C)[C@H](C)NCc1nc(Cc2ccccc2F)no1 ZINC000886714574 627196619 /nfs/dbraw/zinc/19/66/19/627196619.db2.gz OEJAPGPEQNJLED-STQMWFEESA-N 0 1 303.381 3.490 20 30 DGEDMN CC(C)OC(=O)C1CCN([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000886723813 627197903 /nfs/dbraw/zinc/19/79/03/627197903.db2.gz MVQHTPIDKUSDPF-CQSZACIVSA-N 0 1 300.402 3.283 20 30 DGEDMN Cc1cc(COC(=O)[C@]2(F)CCN(C(C)(C)C)C2)ccc1C#N ZINC000811446816 627274558 /nfs/dbraw/zinc/27/45/58/627274558.db2.gz XEDIWJAGMNUESP-SFHVURJKSA-N 0 1 318.392 3.122 20 30 DGEDMN N#Cc1cccc([C@@H]2CN(CC3Cc4ccccc4C3)CCO2)c1 ZINC000811468244 627277816 /nfs/dbraw/zinc/27/78/16/627277816.db2.gz IWGIZEXVZMJZLE-NRFANRHFSA-N 0 1 318.420 3.347 20 30 DGEDMN CN(C)c1cc(Cl)cc(Cl)c1C=[NH+][N-]c1cccnn1 ZINC000811644423 627298464 /nfs/dbraw/zinc/29/84/64/627298464.db2.gz YXHSHNJYBJXNDP-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN C#C[C@@H](C)NC(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000811993327 627345551 /nfs/dbraw/zinc/34/55/51/627345551.db2.gz TVBZMIFGVUXOGQ-CZUORRHYSA-N 0 1 321.852 3.044 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cc2ccccc2o1 ZINC000812392027 627377319 /nfs/dbraw/zinc/37/73/19/627377319.db2.gz UOPDRBUMLWFLPI-UHFFFAOYSA-N 0 1 312.276 3.214 20 30 DGEDMN CC(=NN=c1nc[nH]c2sc(C)c(C)c21)c1csc(N)n1 ZINC000812394057 627377365 /nfs/dbraw/zinc/37/73/65/627377365.db2.gz WDGBSRDRNLVKRD-UHFFFAOYSA-N 0 1 318.431 3.183 20 30 DGEDMN CC(=[NH+][N-]c1ncnc2sc(C)c(C)c21)c1csc(N)n1 ZINC000812394057 627377371 /nfs/dbraw/zinc/37/73/71/627377371.db2.gz WDGBSRDRNLVKRD-UHFFFAOYSA-N 0 1 318.431 3.183 20 30 DGEDMN COc1cccc(O)c1CN=Nc1ccc(C(F)(F)F)cn1 ZINC000812487252 627391407 /nfs/dbraw/zinc/39/14/07/627391407.db2.gz YZQSAZGKMHYWDN-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN CCCC(C#N)(CCC)NC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000813048565 627443561 /nfs/dbraw/zinc/44/35/61/627443561.db2.gz YQIYPFRCVKAGHG-KRWDZBQOSA-N 0 1 311.445 3.178 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000813060133 627444074 /nfs/dbraw/zinc/44/40/74/627444074.db2.gz QMVDTBGGJFFJAM-MCIONIFRSA-N 0 1 314.385 3.169 20 30 DGEDMN N#C[C@@H](C(=O)C1(CCF)CC1)c1cc(C(F)(F)F)ccn1 ZINC000813212528 627467629 /nfs/dbraw/zinc/46/76/29/627467629.db2.gz DLAJXUZDQRBRNC-SNVBAGLBSA-N 0 1 300.255 3.416 20 30 DGEDMN N#CC(C(=O)CCc1ccncc1)c1cc(C(F)(F)F)ccn1 ZINC000813213489 627467638 /nfs/dbraw/zinc/46/76/38/627467638.db2.gz MZEQOEIFKJNNKY-ZDUSSCGKSA-N 0 1 319.286 3.304 20 30 DGEDMN N#CC(C(=O)CC[C@@H]1CCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813216976 627468790 /nfs/dbraw/zinc/46/87/90/627468790.db2.gz ACLJYCJUJDEWJN-RYUDHWBXSA-N 0 1 312.291 3.236 20 30 DGEDMN C=C1CCC(C(=O)Nc2ccc(-c3nnc[nH]3)cc2F)CC1 ZINC000890287946 627521715 /nfs/dbraw/zinc/52/17/15/627521715.db2.gz NSZHCWUBUMESDV-UHFFFAOYSA-N 0 1 300.337 3.296 20 30 DGEDMN Cn1nccc1-c1ccccc1/C=C/C(=O)c1ccc(O)cc1O ZINC000814189289 627548467 /nfs/dbraw/zinc/54/84/67/627548467.db2.gz ABNRJLYXLDFYGS-RMKNXTFCSA-N 0 1 320.348 3.394 20 30 DGEDMN Cc1cc2c(c(Br)c1)OCC2N=Nc1ccccn1 ZINC000814731987 627587504 /nfs/dbraw/zinc/58/75/04/627587504.db2.gz SBBZQCOVPWKWNB-UHFFFAOYSA-N 0 1 318.174 3.361 20 30 DGEDMN Cc1cc2c(c(Br)c1)OCC2=N[N-]c1cccc[nH+]1 ZINC000814731987 627587506 /nfs/dbraw/zinc/58/75/06/627587506.db2.gz SBBZQCOVPWKWNB-UHFFFAOYSA-N 0 1 318.174 3.361 20 30 DGEDMN CC(N=Nc1cc(F)cc(F)c1)c1cccc(-n2cnnc2)c1 ZINC000814813697 627594646 /nfs/dbraw/zinc/59/46/46/627594646.db2.gz VRPKOBLBXLXHFK-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN Cc1n[nH]cc1C(C)N=Nc1ccc(Br)cc1F ZINC000814836129 627596755 /nfs/dbraw/zinc/59/67/55/627596755.db2.gz JGBZZEWQIYZCJI-UHFFFAOYSA-N 0 1 311.158 3.456 20 30 DGEDMN COCC(N=Nc1cc(C)ncn1)c1cccc(Cl)c1F ZINC000814845952 627597998 /nfs/dbraw/zinc/59/79/98/627597998.db2.gz AHTRRRAGWXBSQC-UHFFFAOYSA-N 0 1 308.744 3.040 20 30 DGEDMN CCc1cnc(C=NNc2ccc(OC)cc2[N+](=O)[O-])s1 ZINC000814880382 627601590 /nfs/dbraw/zinc/60/15/90/627601590.db2.gz BZPBZAUDQRTJDR-UHFFFAOYSA-N 0 1 306.347 3.068 20 30 DGEDMN CC(C)(C)OC(=O)CCNN=C1CC[C@H]2CNc3cccc1c32 ZINC000814901761 627605271 /nfs/dbraw/zinc/60/52/71/627605271.db2.gz WRCNZFWDOBNHBW-LBPRGKRZSA-N 0 1 315.417 3.015 20 30 DGEDMN Cc1ccc[nH+]c1[N-]N=C1COc2ccc(Br)cc21 ZINC000814912004 627606970 /nfs/dbraw/zinc/60/69/70/627606970.db2.gz ZGYNSAIFRLIRQJ-UHFFFAOYSA-N 0 1 318.174 3.361 20 30 DGEDMN CCc1cnc(CN=Nc2cccc(F)c2C(=O)OC)s1 ZINC000814923563 627608186 /nfs/dbraw/zinc/60/81/86/627608186.db2.gz ULCFPHZDBSHDIB-UHFFFAOYSA-N 0 1 307.350 3.077 20 30 DGEDMN Fc1ccc(NN=Cc2cc(-c3cccnc3)[nH]n2)c(F)c1F ZINC000814946790 627612769 /nfs/dbraw/zinc/61/27/69/627612769.db2.gz YKAXPNSYTZTEMO-UHFFFAOYSA-N 0 1 317.274 3.335 20 30 DGEDMN CC[C@H]([NH2+]C1CCN(CC2CC2)CC1)c1cccc(C#N)c1[O-] ZINC000924710710 627671638 /nfs/dbraw/zinc/67/16/38/627671638.db2.gz BIZJXXVSTPEYNZ-SFHVURJKSA-N 0 1 313.445 3.179 20 30 DGEDMN N#Cc1ccccc1COC(=O)[C@@H]1CCCCN1C1CCCC1 ZINC000815443657 627674489 /nfs/dbraw/zinc/67/44/89/627674489.db2.gz FZEKUOPGUGVKBB-SFHVURJKSA-N 0 1 312.413 3.399 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2ccccc2-c2nnc[nH]2)cc1 ZINC000815867445 627708193 /nfs/dbraw/zinc/70/81/93/627708193.db2.gz LXVMHYBBPRUOFR-UHFFFAOYSA-N 0 1 316.364 3.024 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COc2ccc(Cl)c(F)c2)c1 ZINC000815877938 627708903 /nfs/dbraw/zinc/70/89/03/627708903.db2.gz MNDIYKXZLBJQLV-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OC(F)F)c(F)c2)c1 ZINC000815878210 627709011 /nfs/dbraw/zinc/70/90/11/627709011.db2.gz XOSAIDIEIVZXDC-UHFFFAOYSA-N 0 1 322.242 3.257 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C(C)(F)F ZINC000815907071 627711121 /nfs/dbraw/zinc/71/11/21/627711121.db2.gz QVFPEXBTKYWWQH-LDYMZIIASA-N 0 1 314.719 3.279 20 30 DGEDMN CO[C@@H]1CCC[C@@H](C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000815954502 627717336 /nfs/dbraw/zinc/71/73/36/627717336.db2.gz DPTCWKDOGBEXSN-MGPQQGTHSA-N 0 1 311.385 3.253 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1ccnn1C1CCC1 ZINC000925369826 627731532 /nfs/dbraw/zinc/73/15/32/627731532.db2.gz VZIMJGFVXFSSDR-AWEZNQCLSA-N 0 1 310.401 3.361 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1cccc2c1OCO2 ZINC000925366086 627731643 /nfs/dbraw/zinc/73/16/43/627731643.db2.gz CVFAHUQFOHSCJA-CYBMUJFWSA-N 0 1 310.353 3.168 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccnn2C2CCC2)cc1C#N ZINC000925596738 627760466 /nfs/dbraw/zinc/76/04/66/627760466.db2.gz WEBQMMDRCMVIPW-ZDUSSCGKSA-N 0 1 310.401 3.339 20 30 DGEDMN N#CC(C(=O)[C@H]1CC12CC2)c1nc2ccccc2n1C(F)F ZINC000817712410 627937373 /nfs/dbraw/zinc/93/73/73/627937373.db2.gz LHTKZDOJCUWYPP-VHSXEESVSA-N 0 1 301.296 3.408 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N1CCN(c2ccc(F)cc2C#N)CC1 ZINC000926809178 627959700 /nfs/dbraw/zinc/95/97/00/627959700.db2.gz WEUFIXHWKMPFEO-CQSZACIVSA-N 0 1 314.408 3.148 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC[C@@H](C#N)C3)n[nH]2)cc1C ZINC000928219384 628126850 /nfs/dbraw/zinc/12/68/50/628126850.db2.gz VQQNVPVFYIYUFO-AWEZNQCLSA-N 0 1 308.385 3.069 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](c2ccccc2)[C@@H](C)CC)CC1 ZINC000928655035 628172917 /nfs/dbraw/zinc/17/29/17/628172917.db2.gz IUNGIXDFUGMOHT-QFBILLFUSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CC)C2CCC(C)CC2)CC1 ZINC000928654219 628173061 /nfs/dbraw/zinc/17/30/61/628173061.db2.gz RNZNRYPQPSPGAU-LEOMRAHMSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC(NC(=O)C2CCC(c3ccccc3)CC2)CC1 ZINC000928655929 628173219 /nfs/dbraw/zinc/17/32/19/628173219.db2.gz DKHLVQKNWOVLSN-UHFFFAOYSA-N 0 1 324.468 3.174 20 30 DGEDMN C[C@H](NN=C1CCN(Cc2ccccn2)C[C@@H]1C)c1ccncc1 ZINC000819814849 628192943 /nfs/dbraw/zinc/19/29/43/628192943.db2.gz GDQPYFPORFSHPM-HOTGVXAUSA-N 0 1 323.444 3.025 20 30 DGEDMN CCn1cc(C(C)=NNc2ncc(Br)cc2C)cn1 ZINC000819827893 628194162 /nfs/dbraw/zinc/19/41/62/628194162.db2.gz PIKBVAFSSKNGRA-UHFFFAOYSA-N 0 1 322.210 3.205 20 30 DGEDMN COC(=O)c1coc(/C=C\C(=O)c2cccc(CN(C)C)c2)c1 ZINC000821017970 628327376 /nfs/dbraw/zinc/32/73/76/628327376.db2.gz UPTUSKCVFRPHQP-FPLPWBNLSA-N 0 1 313.353 3.024 20 30 DGEDMN C=CC1(CC(=O)NCc2n[nH]c(C(C)(C)C)n2)CCCCC1 ZINC000822410475 628448221 /nfs/dbraw/zinc/44/82/21/628448221.db2.gz XBYMANIHEVGTKR-UHFFFAOYSA-N 0 1 304.438 3.245 20 30 DGEDMN CC(C(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1)=C1CCC1 ZINC000824175618 628634446 /nfs/dbraw/zinc/63/44/46/628634446.db2.gz BDNMCDAVVKDVMN-UHFFFAOYSA-N 0 1 323.440 3.093 20 30 DGEDMN N#CC[C@@H]1CCCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000824747797 628689490 /nfs/dbraw/zinc/68/94/90/628689490.db2.gz UXSNESQPYGETQQ-HNNXBMFYSA-N 0 1 315.417 3.031 20 30 DGEDMN C=CCN(C(=O)c1cccc2[nH]c(C(F)F)nc21)[C@@H](C)COC ZINC000824848832 628700217 /nfs/dbraw/zinc/70/02/17/628700217.db2.gz FHZUALWCYCWVEK-JTQLQIEISA-N 0 1 323.343 3.164 20 30 DGEDMN C[C@@H](C#N)N(C(=O)c1cccc2[nH]c(C(F)F)nc21)C1CC1 ZINC000825105616 628728791 /nfs/dbraw/zinc/72/87/91/628728791.db2.gz RUHCTSCCWWLERC-QMMMGPOBSA-N 0 1 304.300 3.017 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](Cc2cccc(Br)c2[O-])C1 ZINC000826861854 628896403 /nfs/dbraw/zinc/89/64/03/628896403.db2.gz LQKGZZBPYKGKFU-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN C#CCCN(CCOC)Cc1cnc(-c2ccccc2F)s1 ZINC000827827242 628994966 /nfs/dbraw/zinc/99/49/66/628994966.db2.gz RABNQDALJFFKFT-UHFFFAOYSA-N 0 1 318.417 3.421 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2Cc3c[nH]nc3CC(C)(C)C2)cc1 ZINC000828014240 629014799 /nfs/dbraw/zinc/01/47/99/629014799.db2.gz YFVRUIRHUJCHTQ-CQSZACIVSA-N 0 1 324.428 3.285 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)[C@H]1c1ccccc1 ZINC000828411118 629051781 /nfs/dbraw/zinc/05/17/81/629051781.db2.gz DPYRCAWFWRWXTM-XWIAVFTESA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)[C@H]1c1ccccc1 ZINC000828411118 629051785 /nfs/dbraw/zinc/05/17/85/629051785.db2.gz DPYRCAWFWRWXTM-XWIAVFTESA-N 0 1 306.365 3.377 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2ccc(CN3CCCCC3)o2)CC1 ZINC000828975708 629115953 /nfs/dbraw/zinc/11/59/53/629115953.db2.gz WAMOJWXFMIUQNQ-WKILWMFISA-N 0 1 314.429 3.187 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000829774678 629190738 /nfs/dbraw/zinc/19/07/38/629190738.db2.gz RYFIKEHVBRPWHU-CABCVRRESA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000829774621 629190878 /nfs/dbraw/zinc/19/08/78/629190878.db2.gz RAALMPUAJJNHFT-HUUCEWRRSA-N 0 1 316.470 3.471 20 30 DGEDMN C[N@@H+](CCCOc1ccccc1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830777041 629304503 /nfs/dbraw/zinc/30/45/03/629304503.db2.gz KBCMNSZONRJEMH-GOSISDBHSA-N 0 1 324.380 3.085 20 30 DGEDMN C[C@H]1CN(Cc2ccc(OCC#N)cc2)CC2(CCCC2)O1 ZINC000830843929 629311045 /nfs/dbraw/zinc/31/10/45/629311045.db2.gz SMPGLJJHMNISOR-HNNXBMFYSA-N 0 1 300.402 3.122 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831898035 629425175 /nfs/dbraw/zinc/42/51/75/629425175.db2.gz GNCMMTATQVFOIR-AWEZNQCLSA-N 0 1 301.434 3.289 20 30 DGEDMN Cc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC000832932051 629535316 /nfs/dbraw/zinc/53/53/16/629535316.db2.gz FZEGMDAIJJZWFY-OAHLLOKOSA-N 0 1 300.383 3.186 20 30 DGEDMN Cc1ccc(C[N@H+](C)[C@@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC000832932051 629535322 /nfs/dbraw/zinc/53/53/22/629535322.db2.gz FZEGMDAIJJZWFY-OAHLLOKOSA-N 0 1 300.383 3.186 20 30 DGEDMN Cc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC000832932050 629535471 /nfs/dbraw/zinc/53/54/71/629535471.db2.gz FZEGMDAIJJZWFY-HNNXBMFYSA-N 0 1 300.383 3.186 20 30 DGEDMN Cc1ccc(C[N@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC000832932050 629535472 /nfs/dbraw/zinc/53/54/72/629535472.db2.gz FZEGMDAIJJZWFY-HNNXBMFYSA-N 0 1 300.383 3.186 20 30 DGEDMN Cc1ccccc1OCC[N@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000832982006 629544302 /nfs/dbraw/zinc/54/43/02/629544302.db2.gz UICPLGCABPEAJU-GOSISDBHSA-N 0 1 324.380 3.003 20 30 DGEDMN C#CC[N@H+](Cc1ccc(O[C@H](C)C(=O)[O-])cc1)C1CCCCC1 ZINC000833364487 629592518 /nfs/dbraw/zinc/59/25/18/629592518.db2.gz OCAAPKWBKZKTCX-OAHLLOKOSA-N 0 1 315.413 3.306 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000833548061 629619293 /nfs/dbraw/zinc/61/92/93/629619293.db2.gz ZZLIXLLUFBNZLV-AWEZNQCLSA-N 0 1 324.380 3.215 20 30 DGEDMN CC1(C#N)CC[NH+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)CC1 ZINC000833550714 629619349 /nfs/dbraw/zinc/61/93/49/629619349.db2.gz CSQSZQVXYPQLOL-CYBMUJFWSA-N 0 1 310.756 3.230 20 30 DGEDMN C=CCC[C@@H](CO)[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000834903866 629803785 /nfs/dbraw/zinc/80/37/85/629803785.db2.gz KPJFUXQWLLJCAH-PWSUYJOCSA-N 0 1 314.223 3.132 20 30 DGEDMN C#Cc1cccc(CN[C@@H](C)c2cnc3cc(C)nn3c2C)c1 ZINC000834904164 629803873 /nfs/dbraw/zinc/80/38/73/629803873.db2.gz VWYFGXITTUDLRB-AWEZNQCLSA-N 0 1 304.397 3.178 20 30 DGEDMN Clc1cc(C=NNCCN2CCCCC2)c(Cl)s1 ZINC000834943113 629811279 /nfs/dbraw/zinc/81/12/79/629811279.db2.gz QKHYGYCMNGFMOZ-UHFFFAOYSA-N 0 1 306.262 3.464 20 30 DGEDMN CCOC(=O)c1ccc(N=NC2C[C@@H](C)n3ccnc32)cc1C ZINC000834978839 629816012 /nfs/dbraw/zinc/81/60/12/629816012.db2.gz JDZGAOLCUBTTGE-GFCCVEGCSA-N 0 1 312.373 3.149 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2cc(C)n(C)c2C)cc1F ZINC000835018505 629825168 /nfs/dbraw/zinc/82/51/68/629825168.db2.gz PHZKNFPWNSBEIE-UHFFFAOYSA-N 0 1 303.337 3.014 20 30 DGEDMN COC(=O)c1ccc(N=NCc2cnn(C(C)(C)C)c2)c(F)c1 ZINC000835023845 629826630 /nfs/dbraw/zinc/82/66/30/629826630.db2.gz RCUMVJLZFZMQIL-UHFFFAOYSA-N 0 1 318.352 3.010 20 30 DGEDMN N#CC(C(=O)C1(CF)CCOCC1)c1ccc2ccccc2n1 ZINC000835040196 629831949 /nfs/dbraw/zinc/83/19/49/629831949.db2.gz BKYJOFITYXSWIU-CQSZACIVSA-N 0 1 312.344 3.177 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@]2(C)CC2(Cl)Cl)nc(C)n1 ZINC000835061108 629840403 /nfs/dbraw/zinc/84/04/03/629840403.db2.gz WZAZNYMVMUPPKE-GXFFZTMASA-N 0 1 312.200 3.244 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC2(F)F)cc1 ZINC000835060765 629840734 /nfs/dbraw/zinc/84/07/34/629840734.db2.gz CXGFQVFJBHCVOB-UONOGXRCSA-N 0 1 320.339 3.332 20 30 DGEDMN CCC[C@@H]1CCC[C@H]1C(=O)[C@H](C#N)C(=O)NC1CCCCC1 ZINC000835064702 629842258 /nfs/dbraw/zinc/84/22/58/629842258.db2.gz YHFSXQKINVCQES-BMFZPTHFSA-N 0 1 304.434 3.361 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1CC(C)C ZINC000835065021 629842299 /nfs/dbraw/zinc/84/22/99/629842299.db2.gz JWAOAAAILSHTGH-MCIONIFRSA-N 0 1 314.385 3.025 20 30 DGEDMN N#CC(C(=O)C=C[C@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145739 629863816 /nfs/dbraw/zinc/86/38/16/629863816.db2.gz VQMHTRLOEVLOQP-GIXJEDGCSA-N 0 1 316.357 3.204 20 30 DGEDMN N#C[C@H](C(=O)C=C[C@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145739 629863819 /nfs/dbraw/zinc/86/38/19/629863819.db2.gz VQMHTRLOEVLOQP-GIXJEDGCSA-N 0 1 316.357 3.204 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3C[C@H]3C(F)(F)F)[nH]c21 ZINC000835174652 629869912 /nfs/dbraw/zinc/86/99/12/629869912.db2.gz VSSHYSGJCPBXMJ-OPRDCNLKSA-N 0 1 307.275 3.246 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NCc1cnc(C2CC2)nc1 ZINC000841636442 630450496 /nfs/dbraw/zinc/45/04/96/630450496.db2.gz NBDXSCQNDPKUIW-UHFFFAOYSA-N 0 1 316.796 3.367 20 30 DGEDMN CC(=NNC12CC(C1)C2)c1cc(Br)c(F)cc1O ZINC000842546399 630546775 /nfs/dbraw/zinc/54/67/75/630546775.db2.gz RSYIFGZEIWILKM-UHFFFAOYSA-N 0 1 313.170 3.160 20 30 DGEDMN N#C[C@@H](C(=O)CCC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842941436 630596522 /nfs/dbraw/zinc/59/65/22/630596522.db2.gz PQVJMVNVAIRFLS-ZDUSSCGKSA-N 0 1 304.415 3.404 20 30 DGEDMN CN(Cc1ccccc1OC1CC1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844371661 630722231 /nfs/dbraw/zinc/72/22/31/630722231.db2.gz PWXVBNDJLOBFRQ-LJQANCHMSA-N 0 1 322.408 3.265 20 30 DGEDMN C[C@H]1CCCN(CC2(C#N)CC2)[C@H]1CCNC(=O)OC(C)(C)C ZINC000844403076 630724918 /nfs/dbraw/zinc/72/49/18/630724918.db2.gz URRFRMJPNXKWPS-GJZGRUSLSA-N 0 1 321.465 3.305 20 30 DGEDMN C=CCc1cc(CN2C[C@H](CC)OC[C@@H]2C)c(O)c(OC)c1 ZINC000844730825 630819907 /nfs/dbraw/zinc/81/99/07/630819907.db2.gz LBWVHMRSPMALDZ-BBRMVZONSA-N 0 1 305.418 3.129 20 30 DGEDMN CC[C@H](C)c1ccc(NC(=O)[C@H](C#N)C(=O)c2cocn2)cc1 ZINC000105758561 631057307 /nfs/dbraw/zinc/05/73/07/631057307.db2.gz GAHQPPNYUWMQAM-SMDDNHRTSA-N 0 1 311.341 3.149 20 30 DGEDMN CC[C@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2cocn2)cc1 ZINC000105758561 631057312 /nfs/dbraw/zinc/05/73/12/631057312.db2.gz GAHQPPNYUWMQAM-SMDDNHRTSA-N 0 1 311.341 3.149 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC000845443731 631175454 /nfs/dbraw/zinc/17/54/54/631175454.db2.gz HIJGCBGYSDUGIJ-ARFHVFGLSA-N 0 1 304.434 3.218 20 30 DGEDMN C=C(C)CONC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000845595220 631182875 /nfs/dbraw/zinc/18/28/75/631182875.db2.gz WNIAQHXFEPIUOU-OAHLLOKOSA-N 0 1 314.389 3.226 20 30 DGEDMN C=C(C)CONC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000845608862 631183479 /nfs/dbraw/zinc/18/34/79/631183479.db2.gz OVQVDCZULBDWJJ-UHFFFAOYSA-N 0 1 305.161 3.006 20 30 DGEDMN C=C[C@H](C)ONC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000845616422 631183847 /nfs/dbraw/zinc/18/38/47/631183847.db2.gz JJYDFIDYUMSVFR-ZETCQYMHSA-N 0 1 305.161 3.004 20 30 DGEDMN CC[C@@H](SC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845841757 631198567 /nfs/dbraw/zinc/19/85/67/631198567.db2.gz PZCLNNKKXLVSRI-UONOGXRCSA-N 0 1 301.411 3.138 20 30 DGEDMN N#CC(C(=O)[C@H]1Cc2ccccc21)=C(O)C1(c2ccccc2)CC1 ZINC000845840801 631198600 /nfs/dbraw/zinc/19/86/00/631198600.db2.gz FUIBJTHUAHLPRH-ZWKOTPCHSA-N 0 1 315.372 3.336 20 30 DGEDMN CCCCCCCCCS(=O)(=O)N[C@@H]1CCN(C)[C@H](C)C1 ZINC000845876377 631200478 /nfs/dbraw/zinc/20/04/78/631200478.db2.gz ACJIBQFTHNRODI-HZPDHXFCSA-N 0 1 318.527 3.139 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCC[C@@H]1NCC1(C#N)CCCC1 ZINC000846011433 631205749 /nfs/dbraw/zinc/20/57/49/631205749.db2.gz UUTQAQJAIMJGDX-UONOGXRCSA-N 0 1 307.438 3.106 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@H](C)C#N)cc2)[C@H](CC)CO1 ZINC000846027361 631206894 /nfs/dbraw/zinc/20/68/94/631206894.db2.gz LXBKPPFGFINXPC-DJIMGWMZSA-N 0 1 302.418 3.367 20 30 DGEDMN CC[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@H](C)CO1 ZINC000846045363 631208159 /nfs/dbraw/zinc/20/81/59/631208159.db2.gz WSPJFTGMQVAELE-QAPCUYQASA-N 0 1 301.434 3.036 20 30 DGEDMN COc1ccc(N(C)CCN(C)Cc2cc(C#N)cs2)cc1 ZINC000846051699 631208226 /nfs/dbraw/zinc/20/82/26/631208226.db2.gz LBTYDYPAVOBPMO-UHFFFAOYSA-N 0 1 315.442 3.197 20 30 DGEDMN COc1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1OCCCC#N ZINC000846110214 631210126 /nfs/dbraw/zinc/21/01/26/631210126.db2.gz FZDCBTCPPHUBIQ-OKILXGFUSA-N 0 1 322.355 3.075 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1sc(C)nc1-c1cccs1 ZINC000846545216 631257832 /nfs/dbraw/zinc/25/78/32/631257832.db2.gz UNZVBZZVRANLLM-SECBINFHSA-N 0 1 308.428 3.345 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)nc1 ZINC000846815164 631315443 /nfs/dbraw/zinc/31/54/43/631315443.db2.gz XMYLMPNYHJZFOG-JKSUJKDBSA-N 0 1 313.426 3.103 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)nc1 ZINC000846815161 631316266 /nfs/dbraw/zinc/31/62/66/631316266.db2.gz XMYLMPNYHJZFOG-HZPDHXFCSA-N 0 1 313.426 3.103 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccc(C#N)cc2)nc(C)n1 ZINC000847622068 631498216 /nfs/dbraw/zinc/49/82/16/631498216.db2.gz AGNFXBCMSKGKLV-YVEFUNNKSA-N 0 1 318.380 3.020 20 30 DGEDMN CC(=NNc1ncnc(Cl)c1C(C)C)c1cncnc1C ZINC000848409865 631656813 /nfs/dbraw/zinc/65/68/13/631656813.db2.gz DVCYVBRZYRNXOT-UHFFFAOYSA-N 0 1 304.785 3.188 20 30 DGEDMN Cn1ncc(C2CCC2)c1/C=C\C(=O)c1ccc(O)c(F)c1 ZINC000849245051 631862725 /nfs/dbraw/zinc/86/27/25/631862725.db2.gz OIHOOKVDWZDLSN-VURMDHGXSA-N 0 1 300.333 3.428 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2nc3ccccc3c(C)c2C(=O)[O-])C1 ZINC000849344616 631897427 /nfs/dbraw/zinc/89/74/27/631897427.db2.gz QBJOJQJHVFLCIJ-OAHLLOKOSA-N 0 1 322.408 3.477 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccnn2CC2CC2)c1 ZINC000850137776 632064152 /nfs/dbraw/zinc/06/41/52/632064152.db2.gz WGIVXWFHOJCNLR-SFHVURJKSA-N 0 1 324.428 3.264 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2c(C3CC3)cnn2C)cc1 ZINC000850535399 632185252 /nfs/dbraw/zinc/18/52/52/632185252.db2.gz VVEXCWMSTCWYFY-CQSZACIVSA-N 0 1 309.413 3.160 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000850757312 632216841 /nfs/dbraw/zinc/21/68/41/632216841.db2.gz YGLZUNGFUWUVIE-CHWSQXEVSA-N 0 1 304.777 3.424 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000850760528 632218210 /nfs/dbraw/zinc/21/82/10/632218210.db2.gz UFDQVTMGHUTJGP-QWRGUYRKSA-N 0 1 304.777 3.424 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC000850767605 632218833 /nfs/dbraw/zinc/21/88/33/632218833.db2.gz COZFFISHZGLDCP-BFYDXBDKSA-N 0 1 316.445 3.361 20 30 DGEDMN C=C(Br)C[N@@H+]1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000851707996 632470892 /nfs/dbraw/zinc/47/08/92/632470892.db2.gz OTGPRPFXVCWLGP-QWHCGFSZSA-N 0 1 316.239 3.250 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CCOc1ccc(/C=C\C(=O)[O-])cc1 ZINC000851709833 632471935 /nfs/dbraw/zinc/47/19/35/632471935.db2.gz ZLAQQCNSWVEPCO-KWPHWMLCSA-N 0 1 313.397 3.346 20 30 DGEDMN C#CCOCCN1CCC[C@@H]1c1nc2ccccc2n1C(C)C ZINC000851850543 632507925 /nfs/dbraw/zinc/50/79/25/632507925.db2.gz PJIWFPSIPRDLFV-GOSISDBHSA-N 0 1 311.429 3.404 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccccc1SCC=C ZINC000852098472 632553517 /nfs/dbraw/zinc/55/35/17/632553517.db2.gz OFWXECQMUWLSGN-KRWDZBQOSA-N 0 1 302.443 3.295 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1nc2ccc(F)cc2s1 ZINC000852099726 632554047 /nfs/dbraw/zinc/55/40/47/632554047.db2.gz DDBBKRDDMARLIR-MGPLVRAMSA-N 0 1 319.405 3.154 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000852102628 632554991 /nfs/dbraw/zinc/55/49/91/632554991.db2.gz ZXAQSSGKADFCIU-PXAZEXFGSA-N 0 1 322.836 3.376 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccc(Br)cc1C ZINC000852101615 632555051 /nfs/dbraw/zinc/55/50/51/632555051.db2.gz SPSOTSIRBLQXLH-OAHLLOKOSA-N 0 1 323.234 3.088 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CCO[C@@H](C)C#N ZINC000852127197 632560102 /nfs/dbraw/zinc/56/01/02/632560102.db2.gz OFCWYVYWDBCDBP-WDEREUQCSA-N 0 1 306.797 3.138 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(CCC)c(C)s2)[C@@H](C)C1 ZINC000944791627 660232803 /nfs/dbraw/zinc/23/28/03/660232803.db2.gz VODDPCQIEXGSKX-XJKSGUPXSA-N 0 1 318.486 3.082 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CCCC1)c1ccc(Cl)s1 ZINC000175118764 659915913 /nfs/dbraw/zinc/91/59/13/659915913.db2.gz PABMBAIKPVHTDR-ONGXEEELSA-N 0 1 324.833 3.273 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCC23CC3)CC1(C)C ZINC000995959281 660273199 /nfs/dbraw/zinc/27/31/99/660273199.db2.gz PSZREJGJJBRDKQ-UONOGXRCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(F)c(C)c2)CC1(C)C ZINC000996223476 660278094 /nfs/dbraw/zinc/27/80/94/660278094.db2.gz XMIVPQOBOSXFHU-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)c(F)c2)CC1(C)C ZINC000996015679 660274572 /nfs/dbraw/zinc/27/45/72/660274572.db2.gz CMXOJOPIAYWMEM-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)cs2)CC1(C)C ZINC000995782094 660271080 /nfs/dbraw/zinc/27/10/80/660271080.db2.gz NGPJHTJIEYXQTB-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CC23CCCC3)CC1(C)C ZINC000996483362 660283574 /nfs/dbraw/zinc/28/35/74/660283574.db2.gz FPTYUJYGAHIYBY-KBPBESRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC[C@H](C)CC2)CC1(C)C ZINC000996544628 660285429 /nfs/dbraw/zinc/28/54/29/660285429.db2.gz CSCWJAHKXBLYAY-BPLDGKMQSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CC)cc2)CC1(C)C ZINC000996574129 660286825 /nfs/dbraw/zinc/28/68/25/660286825.db2.gz YFYPOSJVDQFYNV-MRXNPFEDSA-N 0 1 320.864 3.442 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C(F)F)c1F ZINC001032406981 660312537 /nfs/dbraw/zinc/31/25/37/660312537.db2.gz IIVUBRJFMDNCJP-RYUDHWBXSA-N 0 1 324.346 3.238 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)c(C)cc1F ZINC001032459848 660322250 /nfs/dbraw/zinc/32/22/50/660322250.db2.gz QDZCCOMDAXXFCK-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(o1)CCCC2 ZINC001032439858 660320931 /nfs/dbraw/zinc/32/09/31/660320931.db2.gz WFGRAMMNAIWKQX-HOTGVXAUSA-N 0 1 314.429 3.023 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001032559627 660347548 /nfs/dbraw/zinc/34/75/48/660347548.db2.gz BRNITJFRVBVDCT-HOTGVXAUSA-N 0 1 323.440 3.260 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@@H]2CC2(F)F)CC1 ZINC000998010640 660363511 /nfs/dbraw/zinc/36/35/11/660363511.db2.gz GAUVUZHEFUDCTL-OLZOCXBDSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2CC)CC1 ZINC000998123365 660371437 /nfs/dbraw/zinc/37/14/37/660371437.db2.gz BGENEYFEOGTNSQ-OWCLPIDISA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001032602683 660372686 /nfs/dbraw/zinc/37/26/86/660372686.db2.gz WOPNESASAZBYFO-JQFCIGGWSA-N 0 1 318.848 3.305 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)cccc1Cl ZINC001032604849 660374156 /nfs/dbraw/zinc/37/41/56/660374156.db2.gz WITCZODGKHLRFN-KBPBESRZSA-N 0 1 304.821 3.123 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)c1cnc2ccccc2c1C ZINC001038529301 660397789 /nfs/dbraw/zinc/39/77/89/660397789.db2.gz ZSLBSFNODPBJNW-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN CC(C(=O)N(C)C1CC(NCc2ccccc2C#N)C1)=C1CCC1 ZINC000998751753 660397826 /nfs/dbraw/zinc/39/78/26/660397826.db2.gz RVHRYVUAHBXTMG-UHFFFAOYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(C)s1 ZINC001032672365 660411199 /nfs/dbraw/zinc/41/11/99/660411199.db2.gz ULAAJJGRIFIVBL-CAOSSQGBSA-N 0 1 316.470 3.021 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1C(C)C ZINC001032672287 660411343 /nfs/dbraw/zinc/41/13/43/660411343.db2.gz NVYCCTZWAGMLNA-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)C(=C)Cl)C1 ZINC000999250191 660413327 /nfs/dbraw/zinc/41/33/27/660413327.db2.gz MLBPDAMMSYFTCI-GFCCVEGCSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC000999494457 660421099 /nfs/dbraw/zinc/42/10/99/660421099.db2.gz PTQBJXWHMLQEBF-SOUVJXGZSA-N 0 1 324.877 3.185 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2c[nH]c3ccccc23)C1 ZINC000999627836 660423500 /nfs/dbraw/zinc/42/35/00/660423500.db2.gz WUEZQVTYXSTJLF-ZDUSSCGKSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC000999775166 660426870 /nfs/dbraw/zinc/42/68/70/660426870.db2.gz KBJIDMXXRLTUCA-YHUYYLMFSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001032722340 660434083 /nfs/dbraw/zinc/43/40/83/660434083.db2.gz SNTHEPHFTYTUTA-AGIUHOORSA-N 0 1 324.877 3.366 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1cccc(Cl)c1 ZINC001032792365 660447384 /nfs/dbraw/zinc/44/73/84/660447384.db2.gz XEOVXFAHRLAPIB-COXVUDFISA-N 0 1 318.848 3.305 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(c2ccc(C)cc2)CCC1 ZINC001032811170 660453300 /nfs/dbraw/zinc/45/33/00/660453300.db2.gz SAARWHNSMKMVKS-OALUTQOASA-N 0 1 324.468 3.278 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccsc2Cl)C1 ZINC001000387638 660478386 /nfs/dbraw/zinc/47/83/86/660478386.db2.gz HPIFWFCOJQLCKW-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2c(C)c(F)ccc2Cl)CC1 ZINC001003209959 660489235 /nfs/dbraw/zinc/48/92/35/660489235.db2.gz SSLNAGOGDXYPTN-UHFFFAOYSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc3c2CCCCC3)CC1 ZINC001003086487 660485621 /nfs/dbraw/zinc/48/56/21/660485621.db2.gz VLVKSYDVWZIDHC-UHFFFAOYSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCC(NC(=O)C(C)(C)C2CCCCC2)CC1 ZINC001003754847 660503796 /nfs/dbraw/zinc/50/37/96/660503796.db2.gz HJXXCWHJXMQQMY-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2nsc3ccccc32)C1 ZINC001033102606 660541754 /nfs/dbraw/zinc/54/17/54/660541754.db2.gz IGTTWVXGKYYSNA-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2scc(C)c2Cl)C1 ZINC001033105043 660543906 /nfs/dbraw/zinc/54/39/06/660543906.db2.gz CPXLCQVIGQAWGP-GFCCVEGCSA-N 0 1 312.866 3.432 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001033127560 660553757 /nfs/dbraw/zinc/55/37/57/660553757.db2.gz VUOXPHDAOOTVCD-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN CCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1ccccc1C#N ZINC001004684801 660567125 /nfs/dbraw/zinc/56/71/25/660567125.db2.gz LTVADIXMBLWJPI-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN CCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1ccccc1C#N ZINC001004684798 660567158 /nfs/dbraw/zinc/56/71/58/660567158.db2.gz LTVADIXMBLWJPI-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001033165602 660571165 /nfs/dbraw/zinc/57/11/65/660571165.db2.gz OJZQOVBIXUFAGU-FHWLQOOXSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2csc3ccccc32)C1 ZINC001033300020 660605132 /nfs/dbraw/zinc/60/51/32/660605132.db2.gz JWLDQBCNDSTJEV-ZDUSSCGKSA-N 0 1 300.427 3.234 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001033318470 660608076 /nfs/dbraw/zinc/60/80/76/660608076.db2.gz QLZRFZAZIRJHMU-CQSZACIVSA-N 0 1 317.820 3.154 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001033325738 660608960 /nfs/dbraw/zinc/60/89/60/660608960.db2.gz ZBDIQBXAYSYOHP-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001033437977 660621587 /nfs/dbraw/zinc/62/15/87/660621587.db2.gz HALLHUIGUGXNRK-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2scnc2C(C)(C)C)C1 ZINC001033485962 660626832 /nfs/dbraw/zinc/62/68/32/660626832.db2.gz GXHJGBNFELYNKN-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001033512124 660629147 /nfs/dbraw/zinc/62/91/47/660629147.db2.gz FPTCMXOTJWFVCA-QAPCUYQASA-N 0 1 300.446 3.071 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001033585285 660636135 /nfs/dbraw/zinc/63/61/35/660636135.db2.gz JTEFWVOQZNHYMC-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001033603390 660637356 /nfs/dbraw/zinc/63/73/56/660637356.db2.gz KLUBFRPMEIWCPH-CQSZACIVSA-N 0 1 320.864 3.336 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2coc3cc(C)ccc23)C1 ZINC001033639045 660644452 /nfs/dbraw/zinc/64/44/52/660644452.db2.gz ZKLUJONSTXCNSN-MRXNPFEDSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(OCC(C)C)c2)C1 ZINC001033649377 660646667 /nfs/dbraw/zinc/64/66/67/660646667.db2.gz ZAMWTINWRUJORO-QGZVFWFLSA-N 0 1 316.445 3.054 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001033688584 660648473 /nfs/dbraw/zinc/64/84/73/660648473.db2.gz OJCHWFFVAXPYSM-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc(Cl)c2C)C1 ZINC001033840998 660668256 /nfs/dbraw/zinc/66/82/56/660668256.db2.gz OOXWYRQXQQXTGG-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001033864638 660671724 /nfs/dbraw/zinc/67/17/24/660671724.db2.gz LSIDOIWTDHMATC-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001033875359 660672872 /nfs/dbraw/zinc/67/28/72/660672872.db2.gz RJHNHCCPUYCYET-PKOBYXMFSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)c3ccccc3n2)C1 ZINC001033876847 660672953 /nfs/dbraw/zinc/67/29/53/660672953.db2.gz YNYMVHXXWRXMRE-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001033894505 660676811 /nfs/dbraw/zinc/67/68/11/660676811.db2.gz INZKCPMSERSSBL-AWEZNQCLSA-N 0 1 314.385 3.262 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C)sc2C)C1 ZINC001033889472 660674390 /nfs/dbraw/zinc/67/43/90/660674390.db2.gz ZSSVXUUZWVYPTH-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)cc2C)C1 ZINC001033944424 660685356 /nfs/dbraw/zinc/68/53/56/660685356.db2.gz SLPIORNQMWWXFO-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001033966302 660685839 /nfs/dbraw/zinc/68/58/39/660685839.db2.gz CFTXTYOLMOISQK-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001033981195 660689862 /nfs/dbraw/zinc/68/98/62/660689862.db2.gz PSYLKEATMCCQCN-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ccoc2CCC)C1 ZINC001034013851 660693490 /nfs/dbraw/zinc/69/34/90/660693490.db2.gz FLRQZWYNCZUJNO-HNNXBMFYSA-N 0 1 304.434 3.345 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc(C)c(CC)o2)C1 ZINC001034012654 660693731 /nfs/dbraw/zinc/69/37/31/660693731.db2.gz UZBBTGUNAILOGR-HNNXBMFYSA-N 0 1 304.434 3.263 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001034051338 660698971 /nfs/dbraw/zinc/69/89/71/660698971.db2.gz VDPVODJNMSNQOT-VNCLNFNDSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3cccc(C)c3o2)C1 ZINC001034049668 660701877 /nfs/dbraw/zinc/70/18/77/660701877.db2.gz TVPXFDPVRWUBMN-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCC(C)(C)C2)C1 ZINC001034050314 660702499 /nfs/dbraw/zinc/70/24/99/660702499.db2.gz JHFRONQOLLUVFL-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001034050738 660702539 /nfs/dbraw/zinc/70/25/39/660702539.db2.gz DUZMVIMYMOSORF-XJKSGUPXSA-N 0 1 318.848 3.089 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001034224783 660727336 /nfs/dbraw/zinc/72/73/36/660727336.db2.gz BUBLRAQIXRSHGO-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCCN(C/C=C/Cl)C1 ZINC001034248226 660727951 /nfs/dbraw/zinc/72/79/51/660727951.db2.gz XGAIMBNWJCHKQK-RZIFZGNASA-N 0 1 321.852 3.011 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCCc3ccccc32)C1 ZINC001034269508 660730585 /nfs/dbraw/zinc/73/05/85/660730585.db2.gz FWOCNNIDBXVYSZ-ICSRJNTNSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001034305667 660734618 /nfs/dbraw/zinc/73/46/18/660734618.db2.gz CUGWOFHWIPBUCN-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001034332523 660737978 /nfs/dbraw/zinc/73/79/78/660737978.db2.gz ZPNDIOZJDDRPDZ-MRXNPFEDSA-N 0 1 324.424 3.267 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCCN(C[C@H](F)CC)C2)cc1 ZINC001034344499 660740975 /nfs/dbraw/zinc/74/09/75/660740975.db2.gz JGGHMGRFWJNWKG-MSOLQXFVSA-N 0 1 316.420 3.000 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC001034395337 660747346 /nfs/dbraw/zinc/74/73/46/660747346.db2.gz WLZRNFLTSLSQBD-KRWDZBQOSA-N 0 1 324.468 3.346 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001034414504 660750171 /nfs/dbraw/zinc/75/01/71/660750171.db2.gz BQSFCISNPFCYRO-RCCFBDPRSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001034420359 660751749 /nfs/dbraw/zinc/75/17/49/660751749.db2.gz HIFSDFPFOIAUFF-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001034431068 660752874 /nfs/dbraw/zinc/75/28/74/660752874.db2.gz FLVXMVSFDYCCTB-OHTSDLOESA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccsc2Cl)C1 ZINC001034432202 660753252 /nfs/dbraw/zinc/75/32/52/660753252.db2.gz SPXIXNBXFAPRNP-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC001034444171 660754778 /nfs/dbraw/zinc/75/47/78/660754778.db2.gz DQFITDPVIWENQF-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001034457705 660756534 /nfs/dbraw/zinc/75/65/34/660756534.db2.gz HHPVAZXXLIWTFL-BZSNNMDCSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001034469162 660758366 /nfs/dbraw/zinc/75/83/66/660758366.db2.gz SVWPZZLVHZQUSG-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001034497923 660760464 /nfs/dbraw/zinc/76/04/64/660760464.db2.gz HUBPSJYNVXQNNY-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccccc2C(C)(C)C)CC1 ZINC001005555319 660762505 /nfs/dbraw/zinc/76/25/05/660762505.db2.gz SCBXXMLKUNZITH-UHFFFAOYSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001034544128 660771377 /nfs/dbraw/zinc/77/13/77/660771377.db2.gz FKDSUSMMOGCPHI-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2CC(C(C)(C)C)C2)C1 ZINC001034545325 660771753 /nfs/dbraw/zinc/77/17/53/660771753.db2.gz RBCNDDKPVIIJQY-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC001034509872 660764038 /nfs/dbraw/zinc/76/40/38/660764038.db2.gz AFZNQCSUQHPSCQ-KRWDZBQOSA-N 0 1 306.409 3.057 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)CCC[C@@H]2CC)CC1 ZINC001005583285 660781276 /nfs/dbraw/zinc/78/12/76/660781276.db2.gz HQUNTTIHDLHGRR-LPHOPBHVSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC[C@H]2CCC)CC1 ZINC001005627903 660783573 /nfs/dbraw/zinc/78/35/73/660783573.db2.gz FBMSFHVPPKHIDS-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1occc1C)CC2 ZINC001035160855 660820249 /nfs/dbraw/zinc/82/02/49/660820249.db2.gz KEKWQALXGOEANN-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@H]1C=CCC1)CC2 ZINC001035157986 660820376 /nfs/dbraw/zinc/82/03/76/660820376.db2.gz ZOZXDCCQPJXJRB-INIZCTEOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CC(F)(F)F)CC2 ZINC001035161343 660820687 /nfs/dbraw/zinc/82/06/87/660820687.db2.gz MZDJOKOKQOGMEU-UHFFFAOYSA-N 0 1 324.774 3.006 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1CC3(CC3)C1)CC2 ZINC001035222859 660827817 /nfs/dbraw/zinc/82/78/17/660827817.db2.gz FTSMYVKUTVNLKU-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1(C(C)C)CC1)CC2 ZINC001035226098 660828779 /nfs/dbraw/zinc/82/87/79/660828779.db2.gz HBBRLDAQXZIOQV-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C(C)(C)C1CCCC1)CC2 ZINC001035724645 660847175 /nfs/dbraw/zinc/84/71/75/660847175.db2.gz MVVGSUVXMQLHED-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC[C@@H]1CCC)CC2 ZINC001035737795 660848430 /nfs/dbraw/zinc/84/84/30/660848430.db2.gz OBQGWWFHHQQWHM-IRXDYDNUSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(CCCC)cc1)CC2 ZINC001035746461 660850644 /nfs/dbraw/zinc/85/06/44/660850644.db2.gz ZDCNUVPWXQAUNY-UHFFFAOYSA-N 0 1 324.468 3.200 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccccc1C1(C)CC1)CC2 ZINC001035796096 660853141 /nfs/dbraw/zinc/85/31/41/660853141.db2.gz YOSAEHUQCPDHAI-UHFFFAOYSA-N 0 1 324.468 3.462 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CC[C@H](C)C1(C)C)CC2 ZINC001035810146 660855055 /nfs/dbraw/zinc/85/50/55/660855055.db2.gz HRZBMDTWCVMDLB-LPHOPBHVSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@]1(CC)C(C)C)CC2 ZINC001035828382 660856576 /nfs/dbraw/zinc/85/65/76/660856576.db2.gz QVDXJTLBRKWUKO-QFBILLFUSA-N 0 1 304.478 3.169 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@H](CC)CC1)CC2 ZINC001035840414 660857676 /nfs/dbraw/zinc/85/76/76/660857676.db2.gz XWJGUGQFYOJFKB-QAQDUYKDSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C13CCC(CC1)CC3)CC2 ZINC001035856852 660860280 /nfs/dbraw/zinc/86/02/80/660860280.db2.gz WFAVUTNHOBBQFL-UHFFFAOYSA-N 0 1 302.462 3.067 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCCC[C@H]1C)CC2 ZINC001035860146 660860823 /nfs/dbraw/zinc/86/08/23/660860823.db2.gz TYWWPONSTUVYFJ-QZTJIDSGSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(c3ccccc3C)CC1)CC2 ZINC001035860915 660861067 /nfs/dbraw/zinc/86/10/67/660861067.db2.gz IWVNFJPCDFONBK-UHFFFAOYSA-N 0 1 324.468 3.137 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCCC1(C)C)CC2 ZINC001035861006 660861127 /nfs/dbraw/zinc/86/11/27/660861127.db2.gz ZAMKOAOVHRJERN-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cccc(C)c3)CC[C@H]21 ZINC001036631507 660933230 /nfs/dbraw/zinc/93/32/30/660933230.db2.gz YHDRETQRXIWGQC-DLBZAZTESA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccsc3)CC[C@H]21 ZINC001036628015 660937411 /nfs/dbraw/zinc/93/74/11/660937411.db2.gz UKIHFBWRPBSDGM-GXTWGEPZSA-N 0 1 310.850 3.037 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(C)cc(F)cc2C)CC1 ZINC001005812468 660940054 /nfs/dbraw/zinc/94/00/54/660940054.db2.gz WCEIPOZKERMDDG-UHFFFAOYSA-N 0 1 316.420 3.002 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001006183227 660967388 /nfs/dbraw/zinc/96/73/88/660967388.db2.gz HVTOIKOQNOSPIG-IYARVYRRSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)c2cccc(C)c2)CC1 ZINC001006069177 660960982 /nfs/dbraw/zinc/96/09/82/660960982.db2.gz ZGKLSNPWJUNNTN-KRWDZBQOSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(C)(C)C3CC3)CC[C@@H]21 ZINC001036710501 660961116 /nfs/dbraw/zinc/96/11/16/660961116.db2.gz LTYQVQYDRCXXDO-HOCLYGCPSA-N 0 1 324.896 3.488 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000792928018 660994885 /nfs/dbraw/zinc/99/48/85/660994885.db2.gz RKSYPWFIHJGQDD-WBVHZDCISA-N 0 1 320.820 3.386 20 30 DGEDMN COc1cc(C(C)=NN[C@@H](C)C(C)(C)C)cc([N+](=O)[O-])c1O ZINC000793114767 661005531 /nfs/dbraw/zinc/00/55/31/661005531.db2.gz NJEWIMPRQMKHGP-JTQLQIEISA-N 0 1 309.366 3.057 20 30 DGEDMN N#C[C@H](COC(=O)c1ccc2cncn2c1)Cc1ccc(F)cc1 ZINC000793125805 661006517 /nfs/dbraw/zinc/00/65/17/661006517.db2.gz NBKJLOTYBHTBFI-CQSZACIVSA-N 0 1 323.327 3.013 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(C3CC3)cnc2Cl)c1 ZINC000793735391 661054664 /nfs/dbraw/zinc/05/46/64/661054664.db2.gz MBWWHTJPDCBTNK-UHFFFAOYSA-N 0 1 313.744 3.442 20 30 DGEDMN O=C(C=Cc1cc(F)c(O)c(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000794716062 661101136 /nfs/dbraw/zinc/10/11/36/661101136.db2.gz PBSADLSVXGQNCH-RJRFIUFISA-N 0 1 317.247 3.413 20 30 DGEDMN CC(C)n1nccc1C=NNc1ccc(Br)cn1 ZINC000794505487 661088112 /nfs/dbraw/zinc/08/81/12/661088112.db2.gz CYJSNLJXXFNKMI-UHFFFAOYSA-N 0 1 308.183 3.068 20 30 DGEDMN O=C1c2ccc(F)cc2OC/C1=C\c1cc(F)c(O)c(F)c1 ZINC000794726712 661102261 /nfs/dbraw/zinc/10/22/61/661102261.db2.gz DRCOWDBSHABUBM-YCRREMRBSA-N 0 1 306.239 3.468 20 30 DGEDMN Fc1ccc(Cn2cc(C=NNc3cccc(F)n3)cn2)cc1 ZINC000795008924 661121452 /nfs/dbraw/zinc/12/14/52/661121452.db2.gz SYUWNKBQMJSZMQ-UHFFFAOYSA-N 0 1 313.311 3.051 20 30 DGEDMN CCCCCCCCCN1CCC(CS(C)(=O)=O)CC1 ZINC000795253992 661136545 /nfs/dbraw/zinc/13/65/45/661136545.db2.gz JYCXVNCSUZNYHQ-UHFFFAOYSA-N 0 1 303.512 3.494 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1oc(CCC)nc1C ZINC000969110914 655523189 /nfs/dbraw/zinc/52/31/89/655523189.db2.gz GMFVGIGZNDPMCI-OAHLLOKOSA-N 0 1 319.449 3.096 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccoc1CCC ZINC000969111047 655523293 /nfs/dbraw/zinc/52/32/93/655523293.db2.gz KNMVUFPQHMBBIW-INIZCTEOSA-N 0 1 316.445 3.230 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2ccccc2c1 ZINC000969124058 655525696 /nfs/dbraw/zinc/52/56/96/655525696.db2.gz GVMUVHDFIXKXKD-HXUWFJFHSA-N 0 1 320.436 3.447 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)csc1Cl ZINC000969127517 655526407 /nfs/dbraw/zinc/52/64/07/655526407.db2.gz YUNQXIKETWWBAR-CYBMUJFWSA-N 0 1 324.877 3.318 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC000969156049 655528961 /nfs/dbraw/zinc/52/89/61/655528961.db2.gz IKBFUSWQRUZQEH-QGZVFWFLSA-N 0 1 324.468 3.091 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1CC(C(C)(C)C)C1 ZINC000969158356 655528977 /nfs/dbraw/zinc/52/89/77/655528977.db2.gz HVFGLSKYNWUABX-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1c(C)cccc1F ZINC000969164151 655529935 /nfs/dbraw/zinc/52/99/35/655529935.db2.gz LNOLEYIUFHAPCC-INIZCTEOSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1c(C)cccc1F ZINC000969164151 655529936 /nfs/dbraw/zinc/52/99/36/655529936.db2.gz LNOLEYIUFHAPCC-INIZCTEOSA-N 0 1 316.420 3.132 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC000969173430 655530588 /nfs/dbraw/zinc/53/05/88/655530588.db2.gz JNPCWBBUTGLVPT-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(Cl)ccc2F)C1 ZINC000969252342 655535911 /nfs/dbraw/zinc/53/59/11/655535911.db2.gz CATZUUIIVOACCL-LLVKDONJSA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(c3ccccc3)CCC2)C1 ZINC000969255738 655536134 /nfs/dbraw/zinc/53/61/34/655536134.db2.gz OAVQXMRQYZXHTG-MRXNPFEDSA-N 0 1 318.848 3.051 20 30 DGEDMN O=C(NCCc1ccc(C(F)(F)F)cc1)C(F)(F)C(F)F ZINC000175732503 655539307 /nfs/dbraw/zinc/53/93/07/655539307.db2.gz ZSJHBVXRZHVBDI-UHFFFAOYSA-N 0 1 317.204 3.265 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1ccc([N+](=O)[O-])cc1 ZINC000175314860 655540495 /nfs/dbraw/zinc/54/04/95/655540495.db2.gz RSKRMUPONAIJJR-LZCJLJQNSA-N 0 1 305.236 3.475 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2coc3ccc(CC)cc23)C1 ZINC000969680450 655554943 /nfs/dbraw/zinc/55/49/43/655554943.db2.gz MEJJRKJAFOMPDU-CYBMUJFWSA-N 0 1 312.413 3.231 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)c(C)c2)C1 ZINC000969804726 655558243 /nfs/dbraw/zinc/55/82/43/655558243.db2.gz KMNNBKWXMNIXFM-CYBMUJFWSA-N 0 1 313.228 3.205 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC000970084321 655573174 /nfs/dbraw/zinc/57/31/74/655573174.db2.gz VNXTWADPHWSIRA-NSHDSACASA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3ccccc3cc2F)C1 ZINC000970132519 655579170 /nfs/dbraw/zinc/57/91/70/655579170.db2.gz XLYKRJGWRPFDNG-ZDUSSCGKSA-N 0 1 312.388 3.215 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC000970269500 655591200 /nfs/dbraw/zinc/59/12/00/655591200.db2.gz XSADEOKPYFUQBC-ZDUSSCGKSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C2CN(Cc3ccsc3)C2)CC1 ZINC000970376795 655596829 /nfs/dbraw/zinc/59/68/29/655596829.db2.gz NHVLBIFSZRWGAF-AWEZNQCLSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC000970555261 655605907 /nfs/dbraw/zinc/60/59/07/655605907.db2.gz BADRKLOPSZRPPS-QFQXCOSMSA-N 0 1 322.880 3.146 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCN(C/C=C/Cl)[C@@H](C)C1 ZINC000947390926 655608337 /nfs/dbraw/zinc/60/83/37/655608337.db2.gz CQLSVPCBENOLKS-YKHJJTLOSA-N 0 1 321.852 3.009 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2oc3ccccc3c2C)C1 ZINC000970642223 655610742 /nfs/dbraw/zinc/61/07/42/655610742.db2.gz SFIXHMXJQSREAF-CYBMUJFWSA-N 0 1 318.804 3.298 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC000970709838 655613915 /nfs/dbraw/zinc/61/39/15/655613915.db2.gz CYAKJPJKRLLXLW-OAHLLOKOSA-N 0 1 322.408 3.020 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC000970738669 655615397 /nfs/dbraw/zinc/61/53/97/655615397.db2.gz XXFVHAIJKLKIAO-AWEZNQCLSA-N 0 1 318.848 3.030 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C2CC=CC2)C1 ZINC001039209087 655616243 /nfs/dbraw/zinc/61/62/43/655616243.db2.gz INYNULPRWVSHFB-SJORKVTESA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC000970785719 655620432 /nfs/dbraw/zinc/62/04/32/655620432.db2.gz IRTXVCQHIFHTND-JTQLQIEISA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC000970929271 655638240 /nfs/dbraw/zinc/63/82/40/655638240.db2.gz PPNSZDXATJTQMQ-GFCCVEGCSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC000970931824 655638496 /nfs/dbraw/zinc/63/84/96/655638496.db2.gz PUBYIJVCDNWDAY-CQSZACIVSA-N 0 1 312.413 3.286 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(F)(F)F)s2)C1 ZINC000970956565 655642864 /nfs/dbraw/zinc/64/28/64/655642864.db2.gz AZUZFMCDDZTYIY-VIFPVBQESA-N 0 1 318.364 3.003 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2cc(C)cc(C)c2)C1 ZINC001021718651 655663009 /nfs/dbraw/zinc/66/30/09/655663009.db2.gz HJLOBTZIENDOST-ICSRJNTNSA-N 0 1 324.468 3.253 20 30 DGEDMN Cn1cc(/C=C\C(=O)c2ccc(O)cc2O)c(-c2ccccc2)n1 ZINC000255283792 655681379 /nfs/dbraw/zinc/68/13/79/655681379.db2.gz TWGQYFNLKPESTN-YFHOEESVSA-N 0 1 320.348 3.394 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)C(C)(CC)CC)CC2)C1 ZINC000949529382 655699010 /nfs/dbraw/zinc/69/90/10/655699010.db2.gz RBEBKQXJLNKOKE-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccsc2)cc([N+](=O)[O-])c1O ZINC000255328410 655701324 /nfs/dbraw/zinc/70/13/24/655701324.db2.gz UUBQRQNVVJNEMV-IHWYPQMZSA-N 0 1 305.311 3.267 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(C3CCC3)CC2)c(Cl)c1 ZINC000933367653 655706014 /nfs/dbraw/zinc/70/60/14/655706014.db2.gz JOIOAGOWHDLIFD-UHFFFAOYSA-N 0 1 317.820 3.023 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)c1ccc(Cl)cc1 ZINC000933773059 655732791 /nfs/dbraw/zinc/73/27/91/655732791.db2.gz AVSJSEIDBKAMCY-HNNXBMFYSA-N 0 1 306.818 3.439 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2C[C@@H](NCc3ccccc3C#N)C2)CC1 ZINC001022656166 655758931 /nfs/dbraw/zinc/75/89/31/655758931.db2.gz WIKLSNINZRXORP-KDURUIRLSA-N 0 1 323.440 3.041 20 30 DGEDMN Cc1cc(/C=C\C(=O)c2ccccc2O)ccc1-n1cncn1 ZINC000255477109 655760452 /nfs/dbraw/zinc/76/04/52/655760452.db2.gz JMXCWZIPYVYMSD-CLFYSBASSA-N 0 1 305.337 3.177 20 30 DGEDMN COc1ccc([C@@H]2CCCN2Cc2ccc(OCC#N)cc2)nc1 ZINC000934349607 655770021 /nfs/dbraw/zinc/77/00/21/655770021.db2.gz OAHXUBKVYCBHKL-IBGZPJMESA-N 0 1 323.396 3.330 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCCO1)c1nc2ccccc2n1C(F)F ZINC000051656176 655776247 /nfs/dbraw/zinc/77/62/47/655776247.db2.gz BSWWKDOPUHDBMD-GHMZBOCLSA-N 0 1 319.311 3.177 20 30 DGEDMN C=CC[C@H]1CCN1[C@@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000934469351 655777404 /nfs/dbraw/zinc/77/74/04/655777404.db2.gz SMFCWIIGDYJXDA-IRXDYDNUSA-N 0 1 311.429 3.281 20 30 DGEDMN Cc1cc(C#N)ccc1CN1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934493679 655780400 /nfs/dbraw/zinc/78/04/00/655780400.db2.gz LPKOFCMHXIYBAN-SFHVURJKSA-N 0 1 318.424 3.246 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC000972248210 655782751 /nfs/dbraw/zinc/78/27/51/655782751.db2.gz XJVIPZAOSWNGBA-PKOBYXMFSA-N 0 1 315.461 3.025 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccc2c(c1)CCO2 ZINC000178723905 655799961 /nfs/dbraw/zinc/79/99/61/655799961.db2.gz YYCDDSJPEDVKLH-ORCRQEGFSA-N 0 1 311.293 3.131 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)CC2CCCCC2)C1 ZINC000972472465 655803932 /nfs/dbraw/zinc/80/39/32/655803932.db2.gz OJAPQUKJCHRLLA-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2c(F)cccc2Cl)c1 ZINC000176819775 655847877 /nfs/dbraw/zinc/84/78/77/655847877.db2.gz DSSHZVWYVKCJHB-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001024090814 655884182 /nfs/dbraw/zinc/88/41/82/655884182.db2.gz RAULMCBTCUBQSC-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1coc(C(C)(C)C)n1 ZINC001024437905 655906063 /nfs/dbraw/zinc/90/60/63/655906063.db2.gz YXJIUTIIOSKZRA-CQSZACIVSA-N 0 1 319.449 3.133 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc(F)c1C ZINC001024449316 655906326 /nfs/dbraw/zinc/90/63/26/655906326.db2.gz CLNJUHQQMWOAQB-OAHLLOKOSA-N 0 1 304.409 3.295 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1nsc2ccccc21 ZINC001024455698 655906993 /nfs/dbraw/zinc/90/69/93/655906993.db2.gz NKBDXBPSGJRWAY-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccccc1C(C)C ZINC001024470356 655908450 /nfs/dbraw/zinc/90/84/50/655908450.db2.gz ZDBMBOSPBNROPK-QGZVFWFLSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1coc2c1cccc2C ZINC001024482874 655909393 /nfs/dbraw/zinc/90/93/93/655909393.db2.gz KWJUTPPYBFZDDV-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC(=Cc1ccc(Cl)cc1)C(=O)[C@@H](C#N)C(=O)N1CCCC1 ZINC000179602828 655909474 /nfs/dbraw/zinc/90/94/74/655909474.db2.gz WVNLPYCYGWPHFD-HBIYDYFMSA-N 0 1 316.788 3.075 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2CCN(C(=O)C(C)(C)C(C)(C)C)C[C@H]21 ZINC001042181654 655910859 /nfs/dbraw/zinc/91/08/59/655910859.db2.gz IBVDFAXSKNCSEZ-JKSUJKDBSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(CC)CCC3)CC[C@H]21 ZINC001036795258 661202491 /nfs/dbraw/zinc/20/24/91/661202491.db2.gz JUSVXWJCNHMCCB-LSDHHAIUSA-N 0 1 310.869 3.242 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccccc1CCC ZINC001024542821 655915206 /nfs/dbraw/zinc/91/52/06/655915206.db2.gz BZEZUPJPRRHJSH-GOSISDBHSA-N 0 1 312.457 3.247 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545969 655915561 /nfs/dbraw/zinc/91/55/61/655915561.db2.gz RPQDNMDMYXKYOJ-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545969 655915562 /nfs/dbraw/zinc/91/55/62/655915562.db2.gz RPQDNMDMYXKYOJ-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(C)noc1C1CC1 ZINC001024557762 655916270 /nfs/dbraw/zinc/91/62/70/655916270.db2.gz BKJXLZUFSDNNCN-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C2(C)CCC2)CCN1CC#Cc1ccccc1 ZINC000947620682 655918278 /nfs/dbraw/zinc/91/82/78/655918278.db2.gz OXWFAKVAKRUGSM-HKUYNNGSSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC001024573559 655919321 /nfs/dbraw/zinc/91/93/21/655919321.db2.gz QAGGMGINPPRWDN-QGZVFWFLSA-N 0 1 310.441 3.090 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC3CC(C)(C)C3)CC[C@H]21 ZINC001036800466 661203763 /nfs/dbraw/zinc/20/37/63/661203763.db2.gz UAJLIXGPUNHKJK-JKSUJKDBSA-N 0 1 324.896 3.488 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001024675185 655929063 /nfs/dbraw/zinc/92/90/63/655929063.db2.gz IQHPVBXEPVLTQY-HNNXBMFYSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC001024700056 655932105 /nfs/dbraw/zinc/93/21/05/655932105.db2.gz WHNGORGTCQUSSR-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001024705491 655932281 /nfs/dbraw/zinc/93/22/81/655932281.db2.gz CYHQOKQNEASHKD-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ncsc1C(C)C ZINC001024753956 655935584 /nfs/dbraw/zinc/93/55/84/655935584.db2.gz KFYMYULOIIAZAJ-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1coc(C2CCC2)n1 ZINC001024752722 655935632 /nfs/dbraw/zinc/93/56/32/655935632.db2.gz UQYABKWZEHDNAW-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc(C(F)F)c1 ZINC001024768819 655937080 /nfs/dbraw/zinc/93/70/80/655937080.db2.gz WQEDCIHQWICUKG-INIZCTEOSA-N 0 1 320.383 3.232 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1CCC(C2CC2)CC1 ZINC001024783660 655938312 /nfs/dbraw/zinc/93/83/12/655938312.db2.gz SNWUQZOYYJENGT-ACBHZAAOSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1ncc(C)s1 ZINC001025041176 655958495 /nfs/dbraw/zinc/95/84/95/655958495.db2.gz VFICZODFFJJEGJ-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001025070074 655963486 /nfs/dbraw/zinc/96/34/86/655963486.db2.gz TWLCOMLNBNXVLO-WMZOPIPTSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1(C)CC1 ZINC001025235952 655982820 /nfs/dbraw/zinc/98/28/20/655982820.db2.gz QWIFBPRYSVVGAM-CABCVRRESA-N 0 1 310.869 3.385 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2ccoc2C)C1 ZINC001025335767 655995389 /nfs/dbraw/zinc/99/53/89/655995389.db2.gz DJJYVKFUOFGYIH-TZMCWYRMSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001039897097 655995894 /nfs/dbraw/zinc/99/58/94/655995894.db2.gz UECKYHISUXKADG-XLMAVXFVSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2c(C)coc2C)C1 ZINC001025409397 656006522 /nfs/dbraw/zinc/00/65/22/656006522.db2.gz SQXORPBGXUHUNY-HIFRSBDPSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001025515478 656027011 /nfs/dbraw/zinc/02/70/11/656027011.db2.gz LLILBECNLMFHHO-ZVDSWSACSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2cccn2CC)C1 ZINC001025618562 656049549 /nfs/dbraw/zinc/04/95/49/656049549.db2.gz CDNPWTUMQCCKHO-CABCVRRESA-N 0 1 323.868 3.091 20 30 DGEDMN C[C@]1(C(=O)N[C@H]2C[C@H](NCc3ccccc3C#N)C2)CC=CCC1 ZINC001020193197 656071776 /nfs/dbraw/zinc/07/17/76/656071776.db2.gz LTSYEXAKXLPDHD-BJLQDIEVSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(C)cc3)CC[C@H]21 ZINC001036878564 661220304 /nfs/dbraw/zinc/22/03/04/661220304.db2.gz YSIIXXMJHUFIAQ-IAGOWNOFSA-N 0 1 318.848 3.284 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@H](C)C2)CC1 ZINC000947685118 656144138 /nfs/dbraw/zinc/14/41/38/656144138.db2.gz LMZJZEUMYKLCJO-TXWXXWJFSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001008373373 656149808 /nfs/dbraw/zinc/14/98/08/656149808.db2.gz RDWJXXRXPOCMSX-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001008373373 656149810 /nfs/dbraw/zinc/14/98/10/656149810.db2.gz RDWJXXRXPOCMSX-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN COCC[N@@H+](Cc1ccc(C#N)cc1)Cc1ccc(N(C)C)cc1 ZINC000092567621 656160273 /nfs/dbraw/zinc/16/02/73/656160273.db2.gz GZYODSLFXUBYPJ-UHFFFAOYSA-N 0 1 323.440 3.273 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CCC(NCc3cscn3)CC2)C1 ZINC001026555565 656169238 /nfs/dbraw/zinc/16/92/38/656169238.db2.gz CYCSPYJNXVHAMR-UHFFFAOYSA-N 0 1 319.474 3.016 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(C)cc2C)C(C)(C)C1 ZINC000974623065 656218339 /nfs/dbraw/zinc/21/83/39/656218339.db2.gz HQTVQSJTQQSQAW-AWEZNQCLSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2cc3c(o2)CCCC3)C(C)(C)C1 ZINC000974664141 656221125 /nfs/dbraw/zinc/22/11/25/656221125.db2.gz ONSXNXSKGOBNQI-KRWDZBQOSA-N 0 1 316.445 3.175 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3c(o2)CCCC3)C(C)(C)C1 ZINC000974664141 656221127 /nfs/dbraw/zinc/22/11/27/656221127.db2.gz ONSXNXSKGOBNQI-KRWDZBQOSA-N 0 1 316.445 3.175 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)C(C)(C)C1 ZINC000974711505 656224167 /nfs/dbraw/zinc/22/41/67/656224167.db2.gz SYUJIDXVLTUSHL-MRXNPFEDSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808717 656231042 /nfs/dbraw/zinc/23/10/42/656231042.db2.gz HOAHLOIYYZARSJ-QGZVFWFLSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808717 656231043 /nfs/dbraw/zinc/23/10/43/656231043.db2.gz HOAHLOIYYZARSJ-QGZVFWFLSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2C2CC2)C(C)(C)C1 ZINC000974956522 656245837 /nfs/dbraw/zinc/24/58/37/656245837.db2.gz QBUCYSHNSOMHTN-OAHLLOKOSA-N 0 1 302.418 3.173 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(Cl)c2F)C(C)(C)C1 ZINC000975007106 656249814 /nfs/dbraw/zinc/24/98/14/656249814.db2.gz MNVODXLNHLSKPL-CYBMUJFWSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](Cc3ccsc3)CC2(C)C)C1 ZINC000975031839 656251846 /nfs/dbraw/zinc/25/18/46/656251846.db2.gz XGAIXXVOCVGYDG-OAHLLOKOSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3ccsc3)CC2(C)C)C1 ZINC000975031839 656251848 /nfs/dbraw/zinc/25/18/48/656251848.db2.gz XGAIXXVOCVGYDG-OAHLLOKOSA-N 0 1 318.486 3.431 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2cccc(C)c2)C(C)(C)C1 ZINC000975082078 656254429 /nfs/dbraw/zinc/25/44/29/656254429.db2.gz IKSKGJHTDPGLMH-WBVHZDCISA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(F)c2Cl)C(C)(C)C1 ZINC000975082461 656254705 /nfs/dbraw/zinc/25/47/05/656254705.db2.gz BXGKDERSLXGHMH-CYBMUJFWSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)cc2Cl)C(C)(C)C1 ZINC000975086512 656255091 /nfs/dbraw/zinc/25/50/91/656255091.db2.gz LSRZZLSXDIUOCC-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001008811574 656257563 /nfs/dbraw/zinc/25/75/63/656257563.db2.gz SPOPIXYJRSEXMR-BZUAXINKSA-N 0 1 318.486 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001008811574 656257564 /nfs/dbraw/zinc/25/75/64/656257564.db2.gz SPOPIXYJRSEXMR-BZUAXINKSA-N 0 1 318.486 3.317 20 30 DGEDMN C=C(C)CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC001009290267 656279902 /nfs/dbraw/zinc/27/99/02/656279902.db2.gz VQTFPHWFDKCVHH-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001009584249 656295502 /nfs/dbraw/zinc/29/55/02/656295502.db2.gz CMADVNUZZCJHRH-WMLDXEAASA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001009700461 656313496 /nfs/dbraw/zinc/31/34/96/656313496.db2.gz BAITVBCXVPYYTF-FGTMMUONSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001009698893 656313825 /nfs/dbraw/zinc/31/38/25/656313825.db2.gz UHMNZGXYUULPLV-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)C(C)(C)C1 ZINC000977353587 656323935 /nfs/dbraw/zinc/32/39/35/656323935.db2.gz XIVQWQQQADFHSV-CYBMUJFWSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000977358886 656324720 /nfs/dbraw/zinc/32/47/20/656324720.db2.gz WZPUXZFJZQIJAH-AWEZNQCLSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2cnc(C)s2)C1 ZINC001027521572 656328466 /nfs/dbraw/zinc/32/84/66/656328466.db2.gz GUCDSSAQFIYRQC-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2cnc(C)s2)C1 ZINC001027521571 656328543 /nfs/dbraw/zinc/32/85/43/656328543.db2.gz GUCDSSAQFIYRQC-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)C(C)(C)C1 ZINC000977489515 656334311 /nfs/dbraw/zinc/33/43/11/656334311.db2.gz XFMJHIAHWBUWNT-INIZCTEOSA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2occ3c2CCCC3)C(C)(C)C1 ZINC000977516799 656338438 /nfs/dbraw/zinc/33/84/38/656338438.db2.gz VPXNYZFPHHHCSO-MRXNPFEDSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccsc2C(F)F)C(C)(C)C1 ZINC000977531204 656340062 /nfs/dbraw/zinc/34/00/62/656340062.db2.gz XYWJOIAXRUHOII-NSHDSACASA-N 0 1 314.401 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cc(C)cc2C)C(C)(C)C1 ZINC000977552986 656341375 /nfs/dbraw/zinc/34/13/75/656341375.db2.gz PUZSGYDAEBGVEY-INIZCTEOSA-N 0 1 300.446 3.238 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(CC)c(C)s2)C(C)(C)C1 ZINC000977570952 656346026 /nfs/dbraw/zinc/34/60/26/656346026.db2.gz ASBBTCJJPPTLHS-HNNXBMFYSA-N 0 1 306.475 3.245 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000977627688 656350670 /nfs/dbraw/zinc/35/06/70/656350670.db2.gz LJPAZHWXKSXDCW-GOSISDBHSA-N 0 1 310.441 3.028 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000977627688 656350673 /nfs/dbraw/zinc/35/06/73/656350673.db2.gz LJPAZHWXKSXDCW-GOSISDBHSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2sccc2C(C)C)C(C)(C)C1 ZINC000977641231 656350800 /nfs/dbraw/zinc/35/08/00/656350800.db2.gz MYQMUUNQAQFPPN-CQSZACIVSA-N 0 1 306.475 3.498 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3c(cccc3C)o2)C(C)(C)C1 ZINC000977648366 656351321 /nfs/dbraw/zinc/35/13/21/656351321.db2.gz IMTWYPFHHWAWPD-SFHVURJKSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C(C)(C)C1 ZINC000977650190 656352065 /nfs/dbraw/zinc/35/20/65/656352065.db2.gz CTGORNBHDZMLII-APMMTJHUSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001027560335 656359242 /nfs/dbraw/zinc/35/92/42/656359242.db2.gz WUFGKMVYTNUMAL-IEBWSBKVSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001027560334 656359261 /nfs/dbraw/zinc/35/92/61/656359261.db2.gz WUFGKMVYTNUMAL-HKUYNNGSSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C34CCC(CC3)CC4)[C@@H]2C1 ZINC000979096023 656410835 /nfs/dbraw/zinc/41/08/35/656410835.db2.gz WEASMZTVSPBCEX-RGCOKZMXSA-N 0 1 322.880 3.003 20 30 DGEDMN CCC(=O)N[C@H]1CCCN(CC#Cc2cccc(Cl)c2)CC1 ZINC001052130479 656411852 /nfs/dbraw/zinc/41/18/52/656411852.db2.gz VWBFRIUSQAYNAC-KRWDZBQOSA-N 0 1 318.848 3.072 20 30 DGEDMN O=C(CC1CCC1)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052276541 656424515 /nfs/dbraw/zinc/42/45/15/656424515.db2.gz FLRUKQVNFSLBQY-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001006389199 661262625 /nfs/dbraw/zinc/26/26/25/661262625.db2.gz SLKBVCDFUQGVSH-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2ccc(C)cc2)CC1 ZINC001052536665 656442119 /nfs/dbraw/zinc/44/21/19/656442119.db2.gz ICSGYDKETCHDJK-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CC(=C)Cl)CC2)cc1 ZINC001052614294 656449263 /nfs/dbraw/zinc/44/92/63/656449263.db2.gz POSCSMGPDAMGQB-QGZVFWFLSA-N 0 1 316.832 3.005 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1cccc(Cl)c1C ZINC001027898971 656467711 /nfs/dbraw/zinc/46/77/11/656467711.db2.gz SJEJGSKQUVZAIX-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2ccc(-c3cccs3)o2)C1 ZINC000980294103 656480400 /nfs/dbraw/zinc/48/04/00/656480400.db2.gz VDWTZGXBNABVKR-CYBMUJFWSA-N 0 1 316.426 3.340 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC000980313260 656485428 /nfs/dbraw/zinc/48/54/28/656485428.db2.gz QRFQVPPKEWAONF-JCYILVPMSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001039379452 656490235 /nfs/dbraw/zinc/49/02/35/656490235.db2.gz QQIWXLNJJJBKNU-OTWHNJEPSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001039379340 656490476 /nfs/dbraw/zinc/49/04/76/656490476.db2.gz LQEZNNNBXLHNRN-KSZLIROESA-N 0 1 302.462 3.374 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C1CCCC1 ZINC001039387271 656492028 /nfs/dbraw/zinc/49/20/28/656492028.db2.gz BBGCESLBFNMEMH-SJORKVTESA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1CC ZINC001039399270 656492880 /nfs/dbraw/zinc/49/28/80/656492880.db2.gz QNMISEGLJXCHSI-SJORKVTESA-N 0 1 316.420 3.253 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)cc1F ZINC001039401934 656493714 /nfs/dbraw/zinc/49/37/14/656493714.db2.gz BUYXVNUVONGREN-KGLIPLIRSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)sc1C ZINC001039401128 656494161 /nfs/dbraw/zinc/49/41/61/656494161.db2.gz KOQRIIIATFIDON-CABCVRRESA-N 0 1 304.459 3.230 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C1CC1 ZINC001039424323 656499327 /nfs/dbraw/zinc/49/93/27/656499327.db2.gz LSZSMWLNVZJSFO-CVEARBPZSA-N 0 1 314.429 3.412 20 30 DGEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)cs1 ZINC001039447202 656504101 /nfs/dbraw/zinc/50/41/01/656504101.db2.gz CVZYQXZFWCIGGK-KGLIPLIRSA-N 0 1 322.861 3.104 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1C(C)C ZINC001039459301 656506463 /nfs/dbraw/zinc/50/64/63/656506463.db2.gz PVRGSQNSXKYAMD-CABCVRRESA-N 0 1 317.433 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001039461021 656506596 /nfs/dbraw/zinc/50/65/96/656506596.db2.gz RTLHKYIXIXZISZ-MKXGPGLRSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ccccc21 ZINC001039466484 656508671 /nfs/dbraw/zinc/50/86/71/656508671.db2.gz NFSXGCIUPQFDDA-MSOLQXFVSA-N 0 1 318.420 3.152 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1ccccc1 ZINC001039473664 656510691 /nfs/dbraw/zinc/51/06/91/656510691.db2.gz XKJSKMCDEHSJEU-UHOSZYNNSA-N 0 1 312.457 3.117 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCC[C@@H]3CCC)C[C@@H]2C1 ZINC001048905180 656545612 /nfs/dbraw/zinc/54/56/12/656545612.db2.gz WSGGSNWDJKRKGE-NXOAAHMSSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCCC[C@H]3CC)C[C@@H]2C1 ZINC001049141281 656564190 /nfs/dbraw/zinc/56/41/90/656564190.db2.gz MFOUOTKRYNXOPQ-WCXIOVBPSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC000950051155 656567810 /nfs/dbraw/zinc/56/78/10/656567810.db2.gz GATBSTGTTLAUCV-GOEBONIOSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C34CCC(CC3)CC4)C[C@@H]2C1 ZINC001049225587 656573643 /nfs/dbraw/zinc/57/36/43/656573643.db2.gz SVMZIHDIKVVBPN-BHBVFMNGSA-N 0 1 322.880 3.100 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049292447 656592815 /nfs/dbraw/zinc/59/28/15/656592815.db2.gz NZBXCXOQRTWPNK-UXHICEINSA-N 0 1 322.452 3.070 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3cc[nH]c3C)C2)CC1 ZINC001040660208 656601302 /nfs/dbraw/zinc/60/13/02/656601302.db2.gz DOZHYVKIFDTAGH-UHFFFAOYSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1ccc(-c2ccco2)o1 ZINC001028019305 656606114 /nfs/dbraw/zinc/60/61/14/656606114.db2.gz SNGNORRIIJMJJB-CQSZACIVSA-N 0 1 314.385 3.310 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccc1C#N ZINC001049411824 656616680 /nfs/dbraw/zinc/61/66/80/656616680.db2.gz RBKOFLIRELADIR-HUEDMPJVSA-N 0 1 323.440 3.090 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490364 656628848 /nfs/dbraw/zinc/62/88/48/656628848.db2.gz LKOMWOSIEXJUMW-CHWSQXEVSA-N 0 1 310.850 3.266 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522144 656635528 /nfs/dbraw/zinc/63/55/28/656635528.db2.gz DLIFPKZDLXRRJU-QZTJIDSGSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1oc(C(F)F)cc1C ZINC001049528866 656636213 /nfs/dbraw/zinc/63/62/13/656636213.db2.gz BQMROASFUMDAAS-CHWSQXEVSA-N 0 1 324.371 3.391 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1C(C)(C)C ZINC001049544934 656637640 /nfs/dbraw/zinc/63/76/40/656637640.db2.gz QJKIEEVQFIDGSL-RTBURBONSA-N 0 1 324.468 3.296 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581396 656644647 /nfs/dbraw/zinc/64/46/47/656644647.db2.gz ZSKKIEPWMBOIIM-HOTGVXAUSA-N 0 1 316.470 3.013 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1CCC ZINC001049586606 656645684 /nfs/dbraw/zinc/64/56/84/656645684.db2.gz UFXNBBJHBPMWQN-PMACEKPBSA-N 0 1 324.468 3.341 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001028049044 656647479 /nfs/dbraw/zinc/64/74/79/656647479.db2.gz NHCWFTNEQNANBB-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1coc2cc(C)c(C)cc12 ZINC001028049699 656647995 /nfs/dbraw/zinc/64/79/95/656647995.db2.gz VFNKEHNOZKWGIO-MRXNPFEDSA-N 0 1 324.424 3.267 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2nsc3ccccc32)CC1 ZINC000981232787 656654002 /nfs/dbraw/zinc/65/40/02/656654002.db2.gz IEWTWWGBCRJHGO-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)c2ccc(C3CCC3)cc2)CC1 ZINC000981286583 656670985 /nfs/dbraw/zinc/67/09/85/656670985.db2.gz LUNOKRAZBXIKTQ-UHFFFAOYSA-N 0 1 310.441 3.125 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(C3CCC3)cc2)CC1 ZINC000981286583 656670991 /nfs/dbraw/zinc/67/09/91/656670991.db2.gz LUNOKRAZBXIKTQ-UHFFFAOYSA-N 0 1 310.441 3.125 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)ccc1F ZINC001049751246 656679124 /nfs/dbraw/zinc/67/91/24/656679124.db2.gz RTYXACBNFOXQJA-ROUUACIJSA-N 0 1 316.420 3.389 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc(C(C)C)c1 ZINC001049827570 656693227 /nfs/dbraw/zinc/69/32/27/656693227.db2.gz WUFWKOZDVXQYCX-RTBURBONSA-N 0 1 310.441 3.122 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(C2CC2)s1 ZINC001049850212 656698374 /nfs/dbraw/zinc/69/83/74/656698374.db2.gz SHHLSBOKXQKKRA-HUUCEWRRSA-N 0 1 316.470 3.490 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2ccccc2c1 ZINC001049860758 656703076 /nfs/dbraw/zinc/70/30/76/656703076.db2.gz CSLUNCAOBHNZON-WOJBJXKFSA-N 0 1 318.420 3.152 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(C(C)(C)C)cc1 ZINC001049863321 656703368 /nfs/dbraw/zinc/70/33/68/656703368.db2.gz LUBHHKXNKDEHMO-MOPGFXCFSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1C1CCC1 ZINC001049871163 656706971 /nfs/dbraw/zinc/70/69/71/656706971.db2.gz POACIJDVOKIASF-VQTJNVASSA-N 0 1 322.452 3.266 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1C1CCC1 ZINC001049871157 656707206 /nfs/dbraw/zinc/70/72/06/656707206.db2.gz POACIJDVOKIASF-PMACEKPBSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049873663 656707903 /nfs/dbraw/zinc/70/79/03/656707903.db2.gz XZWMJAMQOGMVMM-NWDGAFQWSA-N 0 1 310.850 3.266 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2cccc(C)c2o1 ZINC001049916455 656721792 /nfs/dbraw/zinc/72/17/92/656721792.db2.gz CEDMVZIZMNAVBQ-IRXDYDNUSA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccccc3C(C)(C)C)C[C@@H]21 ZINC001042106317 656721993 /nfs/dbraw/zinc/72/19/93/656721993.db2.gz AQUHZUODGMSKMB-APWZRJJASA-N 0 1 324.468 3.154 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2c(cccc2C)o1 ZINC001049922334 656724174 /nfs/dbraw/zinc/72/41/74/656724174.db2.gz GSVIJCIJIQHXHP-IRXDYDNUSA-N 0 1 322.408 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1coc2ccccc12 ZINC001049929622 656726839 /nfs/dbraw/zinc/72/68/39/656726839.db2.gz BBZJDGBVDKPRAP-MSOLQXFVSA-N 0 1 322.408 3.135 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC000981584274 656729576 /nfs/dbraw/zinc/72/95/76/656729576.db2.gz ZBBVSJHYMRJWBB-IAGOWNOFSA-N 0 1 304.478 3.335 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC[C@H]2c2ccccc2)CC1 ZINC000981595820 656732105 /nfs/dbraw/zinc/73/21/05/656732105.db2.gz UXGCZZIZMBBFEX-RBUKOAKNSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCc3c2cccc3Cl)CC1 ZINC000981628365 656739404 /nfs/dbraw/zinc/73/94/04/656739404.db2.gz IXFKEDOSAVQMEL-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@]2(C)c2ccccc2)CC1 ZINC000981653005 656742718 /nfs/dbraw/zinc/74/27/18/656742718.db2.gz HOFDMFRRGGFPMC-AZUAARDMSA-N 0 1 312.457 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(F)c(C)c2)CC1 ZINC001052672115 656745600 /nfs/dbraw/zinc/74/56/00/656745600.db2.gz STCVHRJYZVPKFK-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(Cl)cs3)C[C@@H]21 ZINC001042256171 656746455 /nfs/dbraw/zinc/74/64/55/656746455.db2.gz URXPUWJTKHANHW-AAEUAGOBSA-N 0 1 310.850 3.124 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCCC[C@@H]2C2CC2)CC1 ZINC000981685782 656748074 /nfs/dbraw/zinc/74/80/74/656748074.db2.gz XXBLZMMCNRJYOU-MSOLQXFVSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccc4ccsc43)C[C@@H]21 ZINC001042302080 656749819 /nfs/dbraw/zinc/74/98/19/656749819.db2.gz QGBDFQWMBQRQLY-PBHICJAKSA-N 0 1 324.449 3.071 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2csc3ccccc23)CC1 ZINC000981708835 656752354 /nfs/dbraw/zinc/75/23/54/656752354.db2.gz MCPPAPCNKJJEGC-UHFFFAOYSA-N 0 1 312.438 3.073 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2cc3cc(C)ccc3[nH]2)CC1 ZINC000981727864 656755406 /nfs/dbraw/zinc/75/54/06/656755406.db2.gz DXYPOIJVELDLIE-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3cc(C)ccc3[nH]2)CC1 ZINC000981727864 656755407 /nfs/dbraw/zinc/75/54/07/656755407.db2.gz DXYPOIJVELDLIE-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(C4CCC4)cc3)C2)C1 ZINC000981803279 656773561 /nfs/dbraw/zinc/77/35/61/656773561.db2.gz ZFQWCUXFLDCNLC-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001038887748 656781153 /nfs/dbraw/zinc/78/11/53/656781153.db2.gz AAFLJQJCFKRQBU-NVXWUHKLSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2coc3c2cccc3C)C1 ZINC001042934781 656803483 /nfs/dbraw/zinc/80/34/83/656803483.db2.gz NABBXMBUCWIJCR-UHFFFAOYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001053004465 656814115 /nfs/dbraw/zinc/81/41/15/656814115.db2.gz VXUKHPOBYWDWDW-CVEARBPZSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)C1 ZINC001043280965 656821913 /nfs/dbraw/zinc/82/19/13/656821913.db2.gz SISKSDXNISZHAZ-IAGOWNOFSA-N 0 1 304.478 3.334 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC001053027077 656822804 /nfs/dbraw/zinc/82/28/04/656822804.db2.gz LTOOIBMKBBMYDD-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001028169913 656832329 /nfs/dbraw/zinc/83/23/29/656832329.db2.gz GREFIFMSDVFLFZ-OAHLLOKOSA-N 0 1 316.445 3.103 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(CCCC3CCC3)C2)CC1 ZINC001043760347 656854598 /nfs/dbraw/zinc/85/45/98/656854598.db2.gz VWMCHPFCFHXHAR-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(OCC)c(C)c2)C1 ZINC001043998505 656869525 /nfs/dbraw/zinc/86/95/25/656869525.db2.gz SGQNUISJPTWXKF-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ccco1 ZINC001054028560 656883098 /nfs/dbraw/zinc/88/30/98/656883098.db2.gz SNHKQJXNYJMMES-GOSISDBHSA-N 0 1 324.424 3.403 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C(CC)(CC)CC)C2)C1 ZINC000982087841 656886098 /nfs/dbraw/zinc/88/60/98/656886098.db2.gz DWSOVEZDYVMDDI-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C1CC(C)C1 ZINC001054037324 656887631 /nfs/dbraw/zinc/88/76/31/656887631.db2.gz ZXIZVGQPJBKPRF-XUJQIHCRSA-N 0 1 312.457 3.152 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)c2ccccc2C)CC1 ZINC000982075150 656889935 /nfs/dbraw/zinc/88/99/35/656889935.db2.gz UGYBHTUGAQRLCX-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN CCCC(=O)N1CCC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001054072160 656902733 /nfs/dbraw/zinc/90/27/33/656902733.db2.gz PTRHXXZYMKRLEO-NRFANRHFSA-N 0 1 324.468 3.153 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)c3ccccc3C)C2)C1 ZINC000982159359 656907318 /nfs/dbraw/zinc/90/73/18/656907318.db2.gz FPQCIPAMAKXJEE-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)c3ccccc3C)C2)C1 ZINC000982159360 656907689 /nfs/dbraw/zinc/90/76/89/656907689.db2.gz FPQCIPAMAKXJEE-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H](C)C1CC1)C2 ZINC001054111841 656912802 /nfs/dbraw/zinc/91/28/02/656912802.db2.gz BYZCRAHYTLCQBW-RHSMWYFYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C(C)(C)C1CC1)C2 ZINC001054182694 656928530 /nfs/dbraw/zinc/92/85/30/656928530.db2.gz PVAYSEBDPCSILW-GOSISDBHSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1CC13CCC3)C2 ZINC001054205523 656937306 /nfs/dbraw/zinc/93/73/06/656937306.db2.gz SSVDRDGHONUFHZ-DOTOQJQBSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3CC(F)(F)C3)cc2C1 ZINC001054259731 656953053 /nfs/dbraw/zinc/95/30/53/656953053.db2.gz OZSVIHZAMBDEAM-UHFFFAOYSA-N 0 1 320.383 3.240 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001028267446 656954391 /nfs/dbraw/zinc/95/43/91/656954391.db2.gz JVBURTBTTICYRZ-INIZCTEOSA-N 0 1 312.413 3.430 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC000982369988 656959369 /nfs/dbraw/zinc/95/93/69/656959369.db2.gz MYGBXEUKVLXGQE-UHFFFAOYSA-N 0 1 312.457 3.155 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3C[C@]3(C)CC)cc2C1 ZINC001054287716 656962574 /nfs/dbraw/zinc/96/25/74/656962574.db2.gz YWPNONQIPCUNIX-QUCCMNQESA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1sccc1C(F)F ZINC001028290461 656974470 /nfs/dbraw/zinc/97/44/70/656974470.db2.gz SEOCIMIWLRWVDK-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001028324154 656992653 /nfs/dbraw/zinc/99/26/53/656992653.db2.gz MDDVTGWETNJIRK-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001028338064 656997196 /nfs/dbraw/zinc/99/71/96/656997196.db2.gz XFLUJQKCQJUPCB-APWZRJJASA-N 0 1 312.457 3.418 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001045185763 656999317 /nfs/dbraw/zinc/99/93/17/656999317.db2.gz LDPADKRDWUDLEO-DOTOQJQBSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(-c2ccccc2)co1 ZINC001028354247 657004821 /nfs/dbraw/zinc/00/48/21/657004821.db2.gz OCZYSKTVZGLGCC-KRWDZBQOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(F)ccc2CC)C[C@@H]1C ZINC001054856773 657015047 /nfs/dbraw/zinc/01/50/47/657015047.db2.gz KYKOORCRDPNSHD-ZBEGNZNMSA-N 0 1 324.827 3.191 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@H]1C ZINC001054971985 657026720 /nfs/dbraw/zinc/02/67/20/657026720.db2.gz WKANLASEFCGUJJ-YPMHNXCESA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C(F)F)CCCC2)C[C@@H]1C ZINC001055002918 657030889 /nfs/dbraw/zinc/03/08/89/657030889.db2.gz DGJKFBGXRGQTLU-JQWIXIFHSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2occc2C(C)C)C[C@@H]1C ZINC001055002244 657031003 /nfs/dbraw/zinc/03/10/03/657031003.db2.gz UKMHNNDFBXHEAX-SMDDNHRTSA-N 0 1 310.825 3.206 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2CC)C[C@@H]1C ZINC001055020968 657032927 /nfs/dbraw/zinc/03/29/27/657032927.db2.gz VYBOBTZWBAWBQT-LRDDRELGSA-N 0 1 306.837 3.052 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc3sccc3c2)CC1 ZINC001045609270 657042512 /nfs/dbraw/zinc/04/25/12/657042512.db2.gz XLYMRACQHWDHIG-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc3[nH]c(C)c(C)c3c2)CC1 ZINC001045638302 657047854 /nfs/dbraw/zinc/04/78/54/657047854.db2.gz VEEZCYYLBACWCO-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCC(C)C ZINC000128945827 657055575 /nfs/dbraw/zinc/05/55/75/657055575.db2.gz SVIKCRKQNIACFP-ZDUSSCGKSA-N 0 1 302.374 3.169 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001045840842 657072841 /nfs/dbraw/zinc/07/28/41/657072841.db2.gz RJGMNMQFJHFWHK-GYDSJSAJSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2coc(C(F)F)c2)C1 ZINC001000012560 657085220 /nfs/dbraw/zinc/08/52/20/657085220.db2.gz AXPHFRRVDIVBTF-NSHDSACASA-N 0 1 318.751 3.164 20 30 DGEDMN CCC(C)(C)C(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001000059932 657089176 /nfs/dbraw/zinc/08/91/76/657089176.db2.gz ZMMBZSZQMXYZBO-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)/C=C\C1CC1)C2 ZINC001045924382 657093095 /nfs/dbraw/zinc/09/30/95/657093095.db2.gz ISMOTNHWAIKYIK-HPFMTEOYSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)/C=C/C1CC1)C2 ZINC001045924381 657093148 /nfs/dbraw/zinc/09/31/48/657093148.db2.gz ISMOTNHWAIKYIK-DKFQHHCZSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)/C=C\C1CC1)C2 ZINC001045924384 657093250 /nfs/dbraw/zinc/09/32/50/657093250.db2.gz ISMOTNHWAIKYIK-MJRGOJFPSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C1CC=CC1)C2 ZINC001045923870 657093297 /nfs/dbraw/zinc/09/32/97/657093297.db2.gz FBMQMDQWVDFBOV-SFHVURJKSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2ccsc2)C1 ZINC001000170553 657100578 /nfs/dbraw/zinc/10/05/78/657100578.db2.gz NJVMQYFIKVZBFJ-JSGCOSHPSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C(C)C)cn2)C1 ZINC001000189533 657102436 /nfs/dbraw/zinc/10/24/36/657102436.db2.gz DFVOAFXKWFGSLR-HNNXBMFYSA-N 0 1 321.852 3.152 20 30 DGEDMN C#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@@H]3CCCCC3(C)C)C[C@@]2(C)C1 ZINC000982842576 657103483 /nfs/dbraw/zinc/10/34/83/657103483.db2.gz QCULKPGQRCCVNU-FFZOFVMBSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@H]1C[C@@H]1C)C2 ZINC001045959027 657104879 /nfs/dbraw/zinc/10/48/79/657104879.db2.gz FSEYWWZHQZFXDE-JLJPHGGASA-N 0 1 310.869 3.100 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001045964460 657107559 /nfs/dbraw/zinc/10/75/59/657107559.db2.gz IKWFNOXQYSHCJK-ZWKOTPCHSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)cc(F)c2)C1 ZINC001000283040 657108487 /nfs/dbraw/zinc/10/84/87/657108487.db2.gz LHRHIIXZHLORLU-HNNXBMFYSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2ccccc2C)C1 ZINC001000294894 657109285 /nfs/dbraw/zinc/10/92/85/657109285.db2.gz JQJBRNGMJIFLMQ-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001000401648 657123953 /nfs/dbraw/zinc/12/39/53/657123953.db2.gz FWTFXTSJBQOIPW-ZIAGYGMSSA-N 0 1 310.825 3.025 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001000732663 657147233 /nfs/dbraw/zinc/14/72/33/657147233.db2.gz LNHXEWDOBXISCW-YESZJQIVSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(Cl)cc2)C1 ZINC001000753568 657149176 /nfs/dbraw/zinc/14/91/76/657149176.db2.gz LUURUISYGJMJKU-CQSZACIVSA-N 0 1 313.228 3.287 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3ccccc3[nH]2)C1 ZINC001000866886 657159736 /nfs/dbraw/zinc/15/97/36/657159736.db2.gz RPMBNNUONJWZLX-AWEZNQCLSA-N 0 1 317.820 3.115 20 30 DGEDMN C#CCCN1CC[C@](C)(NC(=O)c2cc3sccc3s2)C1 ZINC001046419867 657159836 /nfs/dbraw/zinc/15/98/36/657159836.db2.gz ACPGSJJRRATUHP-INIZCTEOSA-N 0 1 318.467 3.180 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC001046462565 657165916 /nfs/dbraw/zinc/16/59/16/657165916.db2.gz GXCHLEWWGXHUEP-KRWDZBQOSA-N 0 1 318.417 3.421 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(CCCC)cc2)C1 ZINC001046468558 657166741 /nfs/dbraw/zinc/16/67/41/657166741.db2.gz PLZYVHPUBFKLEF-HXUWFJFHSA-N 0 1 312.457 3.247 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C23CCC(CC2)CC3)C1 ZINC001000971518 657170314 /nfs/dbraw/zinc/17/03/14/657170314.db2.gz JTWSIEVAYPORKF-CKDBGZEDSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001000969466 657170369 /nfs/dbraw/zinc/17/03/69/657170369.db2.gz LOMHDCAMWFNEOW-CJNGLKHVSA-N 0 1 324.827 3.262 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001046658260 657199147 /nfs/dbraw/zinc/19/91/47/657199147.db2.gz YKDIYKRIJSXUDC-NRFANRHFSA-N 0 1 320.436 3.366 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001046803387 657224046 /nfs/dbraw/zinc/22/40/46/657224046.db2.gz WDXFXAUXEVZGLX-QGZVFWFLSA-N 0 1 318.804 3.466 20 30 DGEDMN N#CC(C(=O)Nc1ccc(SC(F)F)cc1)C(=O)C1CCC1 ZINC000126559236 657237263 /nfs/dbraw/zinc/23/72/63/657237263.db2.gz CDROGEYHLRTCBG-LBPRGKRZSA-N 0 1 324.352 3.449 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001046856266 657237972 /nfs/dbraw/zinc/23/79/72/657237972.db2.gz VVKIPISJAZRZAI-UZLBHIALSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001046858704 657238017 /nfs/dbraw/zinc/23/80/17/657238017.db2.gz VYUAEUOMFMVQEV-CTNGQTDRSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001046869886 657241625 /nfs/dbraw/zinc/24/16/25/657241625.db2.gz GBGDVOCZIPQUPY-KRWDZBQOSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCN1CCCN(C(=O)c2[nH]c3ccc(C)cc3c2C)CC1 ZINC000983466648 657269299 /nfs/dbraw/zinc/26/92/99/657269299.db2.gz MLTDUWUNQLLANA-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc4c(cccc4C)o3)[C@@H]2C1 ZINC001050212750 657272064 /nfs/dbraw/zinc/27/20/64/657272064.db2.gz IYNKHZRJFZLNLV-DOTOQJQBSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cccc(C)c3)C2)CC1 ZINC001050422612 657304866 /nfs/dbraw/zinc/30/48/66/657304866.db2.gz UGCRTSLQEYTMNR-UHFFFAOYSA-N 0 1 318.848 3.286 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CC[C@H]4C[C@H]43)C2)CC1 ZINC001050571593 657325807 /nfs/dbraw/zinc/32/58/07/657325807.db2.gz OLYWIQBBXRXGNW-HRCADAONSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC[C@H]4C[C@H]43)C2)CC1 ZINC001050577841 657326690 /nfs/dbraw/zinc/32/66/90/657326690.db2.gz YAOVDLGHSGZVBK-ARFHVFGLSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC[C@@H](C)C3)C2)CC1 ZINC001050638563 657335782 /nfs/dbraw/zinc/33/57/82/657335782.db2.gz DTSUAGLJKGXEKQ-GDBMZVCRSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001050645385 657337295 /nfs/dbraw/zinc/33/72/95/657337295.db2.gz KTZLBRCERGZSJK-MRXNPFEDSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@]3(C)CC)C2)CC1 ZINC001050714403 657346251 /nfs/dbraw/zinc/34/62/51/657346251.db2.gz HSHICPYGYUWYBA-HOCLYGCPSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cc(C)co3)cccc2C1 ZINC001051298617 657384141 /nfs/dbraw/zinc/38/41/41/657384141.db2.gz ZJHNLZWFZFTAIQ-UHFFFAOYSA-N 0 1 310.397 3.062 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968355164 657392290 /nfs/dbraw/zinc/39/22/90/657392290.db2.gz YRNLXPKUHUMTMP-WBMJQRKESA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccsc2Cl)C1 ZINC000968386401 657402697 /nfs/dbraw/zinc/40/26/97/657402697.db2.gz IIEOPJGKHCUCQF-WCQYABFASA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(Cl)cs2)C1 ZINC000968386827 657402759 /nfs/dbraw/zinc/40/27/59/657402759.db2.gz QADUKWCHWLRXNC-AAEUAGOBSA-N 0 1 312.866 3.418 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968405309 657407081 /nfs/dbraw/zinc/40/70/81/657407081.db2.gz KBQGSVORKYCBCK-UZLBHIALSA-N 0 1 322.452 3.110 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC000968413957 657409025 /nfs/dbraw/zinc/40/90/25/657409025.db2.gz NSGCJSVKQWEBQE-ZIAGYGMSSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccn2C(C)C)C1 ZINC000957394965 657411399 /nfs/dbraw/zinc/41/13/99/657411399.db2.gz PGULRGWRMGCUQH-UHFFFAOYSA-N 0 1 303.450 3.182 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)o1 ZINC001017357502 657414069 /nfs/dbraw/zinc/41/40/69/657414069.db2.gz KDVJHOTYGHAMGB-BETUJISGSA-N 0 1 308.809 3.188 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2c(F)cccc2Cl)CC1 ZINC000957446006 657417519 /nfs/dbraw/zinc/41/75/19/657417519.db2.gz QNPDMYGOAOVODJ-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529485 657422013 /nfs/dbraw/zinc/42/20/13/657422013.db2.gz RIUIXFXYUDHYRJ-UONOGXRCSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529485 657422017 /nfs/dbraw/zinc/42/20/17/657422017.db2.gz RIUIXFXYUDHYRJ-UONOGXRCSA-N 0 1 321.490 3.283 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc(C#N)c2C)cnc1Cl ZINC000179028011 657423297 /nfs/dbraw/zinc/42/32/97/657423297.db2.gz AKUWUDPTIPJSPT-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC000968540506 657423387 /nfs/dbraw/zinc/42/33/87/657423387.db2.gz VSJBUJLIPOYXEJ-HIFRSBDPSA-N 0 1 316.470 3.089 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548024 657424370 /nfs/dbraw/zinc/42/43/70/657424370.db2.gz CHRWFRALWAGGNZ-DZGCQCFKSA-N 0 1 304.434 3.031 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC000968557765 657426640 /nfs/dbraw/zinc/42/66/40/657426640.db2.gz NWGIGVPHHDFVJA-WMLDXEAASA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC000968565820 657428220 /nfs/dbraw/zinc/42/82/20/657428220.db2.gz JKDGRZXKPGSXFA-TZMCWYRMSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC000968575322 657430937 /nfs/dbraw/zinc/43/09/37/657430937.db2.gz UZFDCDHSRUKQSG-HYLHYLHVSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968583317 657432979 /nfs/dbraw/zinc/43/29/79/657432979.db2.gz LPLLNZXNZDDJHB-YJBOKZPZSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592903 657435056 /nfs/dbraw/zinc/43/50/56/657435056.db2.gz QGTUOQUXWHIJNZ-BDJLRTHQSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592903 657435074 /nfs/dbraw/zinc/43/50/74/657435074.db2.gz QGTUOQUXWHIJNZ-BDJLRTHQSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc(OCC(C)C)c2)CC1 ZINC000957591001 657437428 /nfs/dbraw/zinc/43/74/28/657437428.db2.gz ZETXNWTZESKYSK-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)n(C(C)C)c2C)CC1 ZINC000957602047 657439314 /nfs/dbraw/zinc/43/93/14/657439314.db2.gz JYYXVPYFQPQCDT-UHFFFAOYSA-N 0 1 317.477 3.410 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968605524 657439600 /nfs/dbraw/zinc/43/96/00/657439600.db2.gz AELRIJDGCBELBU-OXJNMPFZSA-N 0 1 320.436 3.303 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2csc3c2CCCC3)C1 ZINC000968618037 657443448 /nfs/dbraw/zinc/44/34/48/657443448.db2.gz CSDWAUFFVMGMBC-CJNGLKHVSA-N 0 1 318.486 3.253 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968619830 657445247 /nfs/dbraw/zinc/44/52/47/657445247.db2.gz PPHQWSIASIAWOQ-MAUKXSAKSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622706 657446494 /nfs/dbraw/zinc/44/64/94/657446494.db2.gz BGFGOCRXKJFBMZ-JSGCOSHPSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622706 657446498 /nfs/dbraw/zinc/44/64/98/657446498.db2.gz BGFGOCRXKJFBMZ-JSGCOSHPSA-N 0 1 306.475 3.245 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2cccc(F)c2)CC1 ZINC000957714902 657454035 /nfs/dbraw/zinc/45/40/35/657454035.db2.gz BJUVUJNQGYLOSJ-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(F)c3occc32)C1 ZINC000968678094 657454958 /nfs/dbraw/zinc/45/49/58/657454958.db2.gz OISANQFPZUVFFP-WBMJQRKESA-N 0 1 316.376 3.198 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001029453883 657455233 /nfs/dbraw/zinc/45/52/33/657455233.db2.gz OHHGZGRSVLHPHE-NSHGMRRFSA-N 0 1 312.457 3.464 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccccc2C)CC1 ZINC000957746580 657456530 /nfs/dbraw/zinc/45/65/30/657456530.db2.gz CVNCTMIOLIMMRF-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC000968682958 657456593 /nfs/dbraw/zinc/45/65/93/657456593.db2.gz WQLZIBYLNSYEQI-WMZOPIPTSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684986 657457972 /nfs/dbraw/zinc/45/79/72/657457972.db2.gz LLERXAHVBRFRTC-HOCLYGCPSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC000957754530 657458867 /nfs/dbraw/zinc/45/88/67/657458867.db2.gz NGURXWIRGHYSSA-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC000968706376 657465439 /nfs/dbraw/zinc/46/54/39/657465439.db2.gz OJMBVDZOKYSOBF-WMZOPIPTSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC000968711379 657467454 /nfs/dbraw/zinc/46/74/54/657467454.db2.gz YFMNRRYEMYVSOE-BEFAXECRSA-N 0 1 314.473 3.438 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCCCC2(F)F)C1 ZINC000950979314 657482319 /nfs/dbraw/zinc/48/23/19/657482319.db2.gz JMOHMDLZOQBQQE-OAHLLOKOSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(C)C[N@H+]1CCCCC[C@@H]1CNC(=O)c1sccc1F ZINC000968847466 657501723 /nfs/dbraw/zinc/50/17/23/657501723.db2.gz WOAPGJJOMPRJBH-CYBMUJFWSA-N 0 1 310.438 3.438 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccnn1[C@H](C)CC ZINC000968879492 657507902 /nfs/dbraw/zinc/50/79/02/657507902.db2.gz HRANSMNISQNCIM-HZPDHXFCSA-N 0 1 318.465 3.015 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC000968877352 657508409 /nfs/dbraw/zinc/50/84/09/657508409.db2.gz VIJXOTAHZSBZNZ-HNNXBMFYSA-N 0 1 318.486 3.308 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC000968877352 657508414 /nfs/dbraw/zinc/50/84/14/657508414.db2.gz VIJXOTAHZSBZNZ-HNNXBMFYSA-N 0 1 318.486 3.308 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCC=CCCC1 ZINC000968913475 657513943 /nfs/dbraw/zinc/51/39/43/657513943.db2.gz HBGBACOQVZRQJU-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C(C)C[N@H+]1CCCCC[C@@H]1CNC(=O)c1occ2c1CCC2 ZINC000968969143 657525097 /nfs/dbraw/zinc/52/50/97/657525097.db2.gz ZGFPIWAXDVKJCJ-MRXNPFEDSA-N 0 1 316.445 3.319 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1cc[nH]c1C(C)C ZINC000968998398 657528996 /nfs/dbraw/zinc/52/89/96/657528996.db2.gz VCJKSQSBDIYVPQ-INIZCTEOSA-N 0 1 315.461 3.136 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(Cl)cc1C ZINC000969010816 657532141 /nfs/dbraw/zinc/53/21/41/657532141.db2.gz DXJWMJUYSDSYOX-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc(Cl)cs1 ZINC000969059796 657546786 /nfs/dbraw/zinc/54/67/86/657546786.db2.gz REWRFINQKGNUMJ-AWEZNQCLSA-N 0 1 324.877 3.399 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc([C@@H](C)CC)no1 ZINC000969060023 657547323 /nfs/dbraw/zinc/54/73/23/657547323.db2.gz VGVAMFTWLCRLBF-GJZGRUSLSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cc([C@@H](C)CC)no1 ZINC000969060023 657547327 /nfs/dbraw/zinc/54/73/27/657547327.db2.gz VGVAMFTWLCRLBF-GJZGRUSLSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(Cl)o2)CC1 ZINC000985273150 657591234 /nfs/dbraw/zinc/59/12/34/657591234.db2.gz RROAFACUFZFBJZ-UHFFFAOYSA-N 0 1 317.216 3.222 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001007357178 657599032 /nfs/dbraw/zinc/59/90/32/657599032.db2.gz OKNXZEDFHVQAMC-MRXNPFEDSA-N 0 1 315.461 3.149 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001007499805 657614121 /nfs/dbraw/zinc/61/41/21/657614121.db2.gz QHNJHJMBIUZTJE-YXOSVLIBSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001007520254 657614959 /nfs/dbraw/zinc/61/49/59/657614959.db2.gz CMTAQAPLQHEWHJ-BFHYXJOUSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001007533486 657617891 /nfs/dbraw/zinc/61/78/91/657617891.db2.gz BPBCMDIRRFSYIR-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001007698379 657628519 /nfs/dbraw/zinc/62/85/19/657628519.db2.gz HPFDRPXYFPHDSJ-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001007843135 657639180 /nfs/dbraw/zinc/63/91/80/657639180.db2.gz DYIXDGHDHGQJSR-QGZVFWFLSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccoc2Cl)CC1 ZINC000985401724 657640560 /nfs/dbraw/zinc/64/05/60/657640560.db2.gz WWLIMCCZFQBUDO-UHFFFAOYSA-N 0 1 317.216 3.222 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3cscc3s2)C1 ZINC001007974083 657650286 /nfs/dbraw/zinc/65/02/86/657650286.db2.gz TZAWHCYWENBEIF-CYBMUJFWSA-N 0 1 318.467 3.180 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001008113638 657661551 /nfs/dbraw/zinc/66/15/51/657661551.db2.gz NTORAZLXBIDXLS-SNVBAGLBSA-N 0 1 316.232 3.092 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001008109577 657661596 /nfs/dbraw/zinc/66/15/96/657661596.db2.gz PBONUNATAWWBJG-INIZCTEOSA-N 0 1 311.429 3.247 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001008517103 657702045 /nfs/dbraw/zinc/70/20/45/657702045.db2.gz KEEBNOMGILBIMQ-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001008549779 657703394 /nfs/dbraw/zinc/70/33/94/657703394.db2.gz GMJLLUSWHXXIIQ-HNNXBMFYSA-N 0 1 315.461 3.148 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001008591471 657707838 /nfs/dbraw/zinc/70/78/38/657707838.db2.gz PLLQKJXKKMBUCH-QGZVFWFLSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001008624503 657711235 /nfs/dbraw/zinc/71/12/35/657711235.db2.gz WPUDUHDXYGODOG-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN CC[C@H](C)C(=O)N1CCCC[C@H]1CNCc1ccccc1C#N ZINC000959888854 657722040 /nfs/dbraw/zinc/72/20/40/657722040.db2.gz OXSNXJAPIFWKKO-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001008710109 657723297 /nfs/dbraw/zinc/72/32/97/657723297.db2.gz KMPBMBUWPVIVGS-INIZCTEOSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001008797033 657730061 /nfs/dbraw/zinc/73/00/61/657730061.db2.gz BLWWHQUYRVUIQB-HNNXBMFYSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001008804544 657732473 /nfs/dbraw/zinc/73/24/73/657732473.db2.gz HJBTYEPGXRBRDW-CEXWTWQISA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC001008893967 657738445 /nfs/dbraw/zinc/73/84/45/657738445.db2.gz VKFYEXHJBGOGGA-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001008953288 657740001 /nfs/dbraw/zinc/74/00/01/657740001.db2.gz BOPZJXRWGAQMMM-HNNXBMFYSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001008990333 657741760 /nfs/dbraw/zinc/74/17/60/657741760.db2.gz WTQQCABOLKLWAN-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3ccsc32)C1 ZINC001009095622 657745925 /nfs/dbraw/zinc/74/59/25/657745925.db2.gz KCAJULLNSRBPID-AWEZNQCLSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001009089024 657746506 /nfs/dbraw/zinc/74/65/06/657746506.db2.gz VWUCYZSVBKJJNE-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001029802183 657748736 /nfs/dbraw/zinc/74/87/36/657748736.db2.gz WVWBENVDOIEYHH-HUUCEWRRSA-N 0 1 319.449 3.037 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001009119494 657748873 /nfs/dbraw/zinc/74/88/73/657748873.db2.gz AWUSJRYURMOJKW-GOSISDBHSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001009193505 657755207 /nfs/dbraw/zinc/75/52/07/657755207.db2.gz CVYIPWREPSKPGO-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC000985568481 657762209 /nfs/dbraw/zinc/76/22/09/657762209.db2.gz KCFZITJJRGUWTH-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(N(C)Cc2nc(C)cs2)CC1 ZINC000985574274 657765539 /nfs/dbraw/zinc/76/55/39/657765539.db2.gz LUSOSPXJMPXQAL-UHFFFAOYSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001009358471 657773072 /nfs/dbraw/zinc/77/30/72/657773072.db2.gz OMMNRFUKAXNRRP-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CC[C@H](CC)CC1 ZINC000960326165 657777658 /nfs/dbraw/zinc/77/76/58/657777658.db2.gz UPDMWDWMYNYQHA-XNFNUYLZSA-N 0 1 324.468 3.208 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCCCC1(C)C ZINC000960334436 657779279 /nfs/dbraw/zinc/77/92/79/657779279.db2.gz OZWIXKOIRCECIV-GUDVDZBRSA-N 0 1 324.468 3.208 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@H](C)C2)CC1 ZINC000985610210 657782023 /nfs/dbraw/zinc/78/20/23/657782023.db2.gz GLNVTEDKCDRZBR-DZGCQCFKSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001009434622 657786885 /nfs/dbraw/zinc/78/68/85/657786885.db2.gz NCHNYJNRNLYMKT-INIZCTEOSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@]2(C)CCC[C@H]2C)CC1 ZINC000985635270 657791578 /nfs/dbraw/zinc/79/15/78/657791578.db2.gz YRNODAQYGDZQGP-CXAGYDPISA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CC3CCC2CC3)CC1 ZINC000985800912 657860023 /nfs/dbraw/zinc/86/00/23/657860023.db2.gz UVQJMJMAWDADMP-VMBOVVBDSA-N 0 1 324.896 3.488 20 30 DGEDMN CN(Cc1ccc(C#N)s1)C[C@H]1CCCN1C(=O)C(C)(C)C ZINC000960990655 657921488 /nfs/dbraw/zinc/92/14/88/657921488.db2.gz FPQLXPOBGHYUDT-CYBMUJFWSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C=C3CCC3)C2)C1 ZINC000961157060 657968553 /nfs/dbraw/zinc/96/85/53/657968553.db2.gz XJPLVPKDNAWFIS-IRXDYDNUSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3(C)CCC3)C2)C1 ZINC000961229325 657983790 /nfs/dbraw/zinc/98/37/90/657983790.db2.gz MAKCWOYNBMKHID-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C(C)(C)C)C2)C1 ZINC000961273087 657993069 /nfs/dbraw/zinc/99/30/69/657993069.db2.gz MZEHMYLCIKUTQR-LSDHHAIUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@H]2C[C@@H]2C)C1 ZINC001029922149 658008165 /nfs/dbraw/zinc/00/81/65/658008165.db2.gz WSZNSPVDFIYDIJ-WDSOQIARSA-N 0 1 312.457 3.322 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CC1CCCC1 ZINC000113140829 658008376 /nfs/dbraw/zinc/00/83/76/658008376.db2.gz BXXNJPLIIDYTHV-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001014629026 658036022 /nfs/dbraw/zinc/03/60/22/658036022.db2.gz KKGSLJOYNWVOJX-MRXNPFEDSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3occc3c2)[C@H]1C ZINC000986311528 658036805 /nfs/dbraw/zinc/03/68/05/658036805.db2.gz IBNGELWAIRQZTA-SWLSCSKDSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccsc2)[C@H]1C ZINC000986358386 658040416 /nfs/dbraw/zinc/04/04/16/658040416.db2.gz IZMPISLNFYBOMS-GXTWGEPZSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001014721677 658043958 /nfs/dbraw/zinc/04/39/58/658043958.db2.gz JNERZWXAMUXLCB-CQSZACIVSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C(C)C)n2)[C@@H]1C ZINC000986481679 658054283 /nfs/dbraw/zinc/05/42/83/658054283.db2.gz MRQABVCIMIXZMD-HIFRSBDPSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc(C)cc(C)c2)[C@H]1C ZINC000986813648 658103397 /nfs/dbraw/zinc/10/33/97/658103397.db2.gz PJJDIPFZAAYAKZ-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)cc2F)[C@@H]1C ZINC000987061927 658149406 /nfs/dbraw/zinc/14/94/06/658149406.db2.gz KWMQEFGNALYBGB-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)ccc2C)[C@H]1C ZINC000987410928 658204744 /nfs/dbraw/zinc/20/47/44/658204744.db2.gz UADRNXIEKZEDQT-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=CCCN1CC[C@@H](NC(=O)c2cnc(CC(C)(C)C)s2)C1 ZINC001015957248 658210884 /nfs/dbraw/zinc/21/08/84/658210884.db2.gz RCYDUEAXSSSOJY-CYBMUJFWSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)s2)[C@@H]1C ZINC000987467914 658215744 /nfs/dbraw/zinc/21/57/44/658215744.db2.gz UMDCKUSSHSRLJR-ZJUUUORDSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001015995425 658215800 /nfs/dbraw/zinc/21/58/00/658215800.db2.gz UWMRSKYIMITQQN-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cccs2)[C@@H]1C ZINC000987490455 658219625 /nfs/dbraw/zinc/21/96/25/658219625.db2.gz AZTQVPNXPAAFGQ-OCCSQVGLSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CF)cc2)[C@@H]1C ZINC000987591121 658238254 /nfs/dbraw/zinc/23/82/54/658238254.db2.gz QLHXLNXPILDZJE-DOMZBBRYSA-N 0 1 310.800 3.101 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3ccccc3n2CC)C1 ZINC001016330048 658267675 /nfs/dbraw/zinc/26/76/75/658267675.db2.gz DSWHLJILLJTZQZ-INIZCTEOSA-N 0 1 311.429 3.041 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001016344320 658269309 /nfs/dbraw/zinc/26/93/09/658269309.db2.gz QRKFVAUZZHRPIU-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN CCC(CC)C(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@H]1C ZINC000988108265 658302175 /nfs/dbraw/zinc/30/21/75/658302175.db2.gz FYYPDKWMYRMRID-KDOFPFPSSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@]2(C)C=CCC2)CC1 ZINC001016776516 658327268 /nfs/dbraw/zinc/32/72/68/658327268.db2.gz WRRBPIJTFCOHTH-ZBFHGGJFSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc(C)c2F)C1 ZINC000988864404 658410543 /nfs/dbraw/zinc/41/05/43/658410543.db2.gz GSUDUKIYFHHHKJ-OLZOCXBDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C(C)C)nc2)C1 ZINC000988963253 658421047 /nfs/dbraw/zinc/42/10/47/658421047.db2.gz UVJKZVPVGNPFNT-UKRRQHHQSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cscc2Cl)C1 ZINC000989007094 658423923 /nfs/dbraw/zinc/42/39/23/658423923.db2.gz OFXKFPYCWOQSTF-NXEZZACHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)oc1C ZINC001017343267 658427066 /nfs/dbraw/zinc/42/70/66/658427066.db2.gz HUOCJGFPFGJEDU-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(F)ccc2CC)C1 ZINC000989092863 658435047 /nfs/dbraw/zinc/43/50/47/658435047.db2.gz GWTTZKCHXWARAD-IUODEOHRSA-N 0 1 324.827 3.333 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc(C(C)C)n2)C1 ZINC000989123047 658439132 /nfs/dbraw/zinc/43/91/32/658439132.db2.gz ZZNGKIQZOINQCV-ZIAGYGMSSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc(C(C)C)n2)C1 ZINC000989123042 658439142 /nfs/dbraw/zinc/43/91/42/658439142.db2.gz ZZNGKIQZOINQCV-KBPBESRZSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)n(CC)c2C)C1 ZINC000989142886 658442729 /nfs/dbraw/zinc/44/27/29/658442729.db2.gz VFIGXIKAJASEDL-SWLSCSKDSA-N 0 1 323.868 3.070 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c2ccccc12 ZINC001017713496 658452399 /nfs/dbraw/zinc/45/23/99/658452399.db2.gz KTSPQLXLDLHPSX-GASCZTMLSA-N 0 1 309.413 3.033 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2ccccc2)CCC1 ZINC001017719082 658453672 /nfs/dbraw/zinc/45/36/72/658453672.db2.gz MNOWMSTZIJEQGC-KDURUIRLSA-N 0 1 324.468 3.360 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC000966325150 658466833 /nfs/dbraw/zinc/46/68/33/658466833.db2.gz LVZBFVOCCLGLES-WCQYABFASA-N 0 1 324.877 3.173 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2scc(C)c2Cl)C1 ZINC000966325147 658467066 /nfs/dbraw/zinc/46/70/66/658467066.db2.gz LVZBFVOCCLGLES-AAEUAGOBSA-N 0 1 324.877 3.173 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2coc(C(F)F)c2)C1 ZINC000989365577 658468858 /nfs/dbraw/zinc/46/88/58/658468858.db2.gz RJZJIWNUPYOZLY-KOLCDFICSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc[nH]c2C2CCC2)C1 ZINC000989446999 658487226 /nfs/dbraw/zinc/48/72/26/658487226.db2.gz GCKDXUHNVMWRFS-OCCSQVGLSA-N 0 1 321.852 3.227 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc3cc[nH]c32)C1 ZINC000989467794 658495055 /nfs/dbraw/zinc/49/50/55/658495055.db2.gz AWXQOTRQDXAKSV-JSGCOSHPSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C)c(C)c2Cl)CC1 ZINC000989480224 658497703 /nfs/dbraw/zinc/49/77/03/658497703.db2.gz DWRAZTWYCXANGW-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cc(C)oc1C ZINC001018070046 658499666 /nfs/dbraw/zinc/49/96/66/658499666.db2.gz ZVXIOTAJOIHQIL-OIISXLGYSA-N 0 1 316.445 3.251 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC000966878663 658506089 /nfs/dbraw/zinc/50/60/89/658506089.db2.gz HCYMBWNCTZCBKG-SJKOYZFVSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCCc3ccccc32)CC1 ZINC000989523613 658509042 /nfs/dbraw/zinc/50/90/42/658509042.db2.gz OVBQZCVRNUMYDH-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC000966914384 658513958 /nfs/dbraw/zinc/51/39/58/658513958.db2.gz MISLKDWZLNRABO-KUHUBIRLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccccc1F ZINC001018259573 658527535 /nfs/dbraw/zinc/52/75/35/658527535.db2.gz ZPFQATLWPINZQK-CALCHBBNSA-N 0 1 316.420 3.010 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(OC(C)C)cc2)CC1 ZINC000989607249 658527874 /nfs/dbraw/zinc/52/78/74/658527874.db2.gz ICFFHRZBVWUENH-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)cc3ccoc32)C1 ZINC000967058946 658535611 /nfs/dbraw/zinc/53/56/11/658535611.db2.gz IWFPPFMBALOVTB-LRDDRELGSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2sc(CCC)nc2C)CC1 ZINC000989660102 658537004 /nfs/dbraw/zinc/53/70/04/658537004.db2.gz WFNSRKVSDCKYJL-UHFFFAOYSA-N 0 1 321.490 3.128 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCc2ccccc21 ZINC001018327710 658537808 /nfs/dbraw/zinc/53/78/08/658537808.db2.gz WQDPHVWBJFUSSR-UEXGIBASSA-N 0 1 324.468 3.142 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccc(F)c(F)c2)CC1 ZINC000989702334 658544571 /nfs/dbraw/zinc/54/45/71/658544571.db2.gz PKLJPNLOYUIPNW-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc(Cl)c2)C1 ZINC000989709013 658548397 /nfs/dbraw/zinc/54/83/97/658548397.db2.gz ZVUOKUNIPHEXRH-BXUZGUMPSA-N 0 1 313.228 3.285 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc([C@H](C)CC)cc2)CC1 ZINC000989747335 658558221 /nfs/dbraw/zinc/55/82/21/658558221.db2.gz MFJDMAXBZMNNMB-QGZVFWFLSA-N 0 1 312.457 3.371 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(OCC)cc2)C1 ZINC000989740050 658561602 /nfs/dbraw/zinc/56/16/02/658561602.db2.gz QPHFDDXQKKIWAP-DZGCQCFKSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967280398 658561655 /nfs/dbraw/zinc/56/16/55/658561655.db2.gz XXNBSZAPCZSCAW-BLLLJJGKSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2c(C)oc(C)c2C)C1 ZINC000967284448 658562191 /nfs/dbraw/zinc/56/21/91/658562191.db2.gz NEMURXVMTCCWBJ-LRDDRELGSA-N 0 1 304.434 3.221 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2c(C)oc(C)c2C)C1 ZINC000967284447 658562694 /nfs/dbraw/zinc/56/26/94/658562694.db2.gz NEMURXVMTCCWBJ-BLLLJJGKSA-N 0 1 304.434 3.221 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C23CC(c4ccccc4)(C2)C3)CC1 ZINC000989756931 658563080 /nfs/dbraw/zinc/56/30/80/658563080.db2.gz AXOXRTSAQMTIIP-UHFFFAOYSA-N 0 1 324.468 3.219 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc(C)c2C)C1 ZINC000989741460 658563587 /nfs/dbraw/zinc/56/35/87/658563587.db2.gz DEOVVFXHOKXUFR-HIFRSBDPSA-N 0 1 306.837 3.249 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2CCC)C1 ZINC000967294220 658564216 /nfs/dbraw/zinc/56/42/16/658564216.db2.gz YNDMIGSMGMKNGD-VQIMIIECSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3c(cccc3C)o2)CC1 ZINC000989760930 658565125 /nfs/dbraw/zinc/56/51/25/658565125.db2.gz JNLFYGFSGJUQCW-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C2CCCCCCC2)C1 ZINC000967314752 658567520 /nfs/dbraw/zinc/56/75/20/658567520.db2.gz PPVBREFTSFMVRE-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3ccccc3[nH]2)C1 ZINC000989768573 658569546 /nfs/dbraw/zinc/56/95/46/658569546.db2.gz HHMJORBVYNQYGJ-OCCSQVGLSA-N 0 1 317.820 3.113 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)CC2=CCCCC2)C1 ZINC001018621166 658572652 /nfs/dbraw/zinc/57/26/52/658572652.db2.gz ASBSSCJSQFNDJA-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397618 658575886 /nfs/dbraw/zinc/57/58/86/658575886.db2.gz QISJTFRPJKKOAF-CJNGLKHVSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2ccccc2F)C1 ZINC000989783214 658577527 /nfs/dbraw/zinc/57/75/27/658577527.db2.gz RFEQUKFPYWZBJN-HIFRSBDPSA-N 0 1 324.827 3.090 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC000967406305 658577666 /nfs/dbraw/zinc/57/76/66/658577666.db2.gz AFLYOZJCNSUPOQ-LIRRHRJNSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424400 658579728 /nfs/dbraw/zinc/57/97/28/658579728.db2.gz RJGHJPYXCVHJPU-BEFAXECRSA-N 0 1 312.457 3.499 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001019231993 658617793 /nfs/dbraw/zinc/61/77/93/658617793.db2.gz DBPRPPFPRGIXPD-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC000967699619 658620146 /nfs/dbraw/zinc/62/01/46/658620146.db2.gz DSXCIPVFWUSPDK-MLGOLLRUSA-N 0 1 304.409 3.069 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)CC2=CCCCC2)C1 ZINC000967766122 658629234 /nfs/dbraw/zinc/62/92/34/658629234.db2.gz ASBSSCJSQFNDJA-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)cc2C)C1 ZINC001019321762 658631130 /nfs/dbraw/zinc/63/11/30/658631130.db2.gz OTHDIHGJJNWAMU-ZDUSSCGKSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)nc2C)C1 ZINC001019397163 658641511 /nfs/dbraw/zinc/64/15/11/658641511.db2.gz LZNXWMWKZLLSRR-AWEZNQCLSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001019426554 658644608 /nfs/dbraw/zinc/64/46/08/658644608.db2.gz FELPHCTUDFRYHW-KKUMJFAQSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001014480511 658652267 /nfs/dbraw/zinc/65/22/67/658652267.db2.gz XYWQMDBXUNLLQE-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2occc2C(C)C)C1 ZINC000967997038 658652452 /nfs/dbraw/zinc/65/24/52/658652452.db2.gz UEAXJFFFYUETMJ-GOEBONIOSA-N 0 1 304.434 3.419 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968034009 658655415 /nfs/dbraw/zinc/65/54/15/658655415.db2.gz GFMNOOOBGTUOHY-YJBOKZPZSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968038149 658657204 /nfs/dbraw/zinc/65/72/04/658657204.db2.gz YPCKGYVEYNUESB-YOEHRIQHSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001019657936 658659972 /nfs/dbraw/zinc/65/99/72/658659972.db2.gz BFKKAQHJTTYIBA-GJZGRUSLSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001019840565 658677854 /nfs/dbraw/zinc/67/78/54/658677854.db2.gz XYWFPYFQZXJLNF-HOTGVXAUSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC000968345723 658703614 /nfs/dbraw/zinc/70/36/14/658703614.db2.gz OSGWRNXXTLNWJW-CJNGLKHVSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)cc2F)C1 ZINC000968349629 658707221 /nfs/dbraw/zinc/70/72/21/658707221.db2.gz MPBMCWMVMCKKPO-YOEHRIQHSA-N 0 1 304.409 3.150 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)NC1CCCC1)c1ccccc1 ZINC000067798998 658721089 /nfs/dbraw/zinc/72/10/89/658721089.db2.gz KKKQOZOOSLJHMK-MRXNPFEDSA-N 0 1 312.413 3.122 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001038246444 658736636 /nfs/dbraw/zinc/73/66/36/658736636.db2.gz CXNSFAWGJSJKTG-KURKYZTESA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001038358943 658746393 /nfs/dbraw/zinc/74/63/93/658746393.db2.gz GIDPWTALCCTNMG-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001038503448 658758563 /nfs/dbraw/zinc/75/85/63/658758563.db2.gz PCXVRTNHQRTQJM-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2ncccc2s1 ZINC001038593138 658768213 /nfs/dbraw/zinc/76/82/13/658768213.db2.gz PZPQQJVBQQMXHF-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2ncccc2s1 ZINC001038593133 658768658 /nfs/dbraw/zinc/76/86/58/658768658.db2.gz PZPQQJVBQQMXHF-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2occc2s1 ZINC001038691519 658784849 /nfs/dbraw/zinc/78/48/49/658784849.db2.gz HXPVLALQRCCDQY-GFCCVEGCSA-N 0 1 304.415 3.265 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3coc4c3cccc4C)CCC[C@H]12 ZINC000991045610 658802461 /nfs/dbraw/zinc/80/24/61/658802461.db2.gz TZNLPRHTMFRGRQ-PXNSSMCTSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccccc1C(C)(C)CC ZINC001038385473 658880889 /nfs/dbraw/zinc/88/08/89/658880889.db2.gz GACREBXCGBDPFV-MRXNPFEDSA-N 0 1 312.457 3.202 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(C)cc2O)cc(OC)c1O ZINC000156711116 658939854 /nfs/dbraw/zinc/93/98/54/658939854.db2.gz SYCKEXYUCHPBIZ-FNORWQNLSA-N 0 1 314.337 3.320 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1c(F)cccc1Cl ZINC001038944864 658959988 /nfs/dbraw/zinc/95/99/88/658959988.db2.gz JUFYNBDBDPILIA-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(Cl)ccc1Cl ZINC001038949461 658962696 /nfs/dbraw/zinc/96/26/96/658962696.db2.gz GEFXHXZWKNNTAJ-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(Cl)cc1Cl ZINC001038951840 658962751 /nfs/dbraw/zinc/96/27/51/658962751.db2.gz JBWDHCZNVJLLMQ-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001038985922 658971695 /nfs/dbraw/zinc/97/16/95/658971695.db2.gz KTFRFPFKQLMRSV-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001038990730 658972788 /nfs/dbraw/zinc/97/27/88/658972788.db2.gz COWFGYQMVXNVDE-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)c2ccoc2)C1 ZINC001039187851 659029554 /nfs/dbraw/zinc/02/95/54/659029554.db2.gz VBEGYHADDOGYSA-ZBFHGGJFSA-N 0 1 322.836 3.349 20 30 DGEDMN CCOc1ccc(O)c(/C=N/CCN2CCc3ccccc3C2)c1 ZINC000045692006 659030251 /nfs/dbraw/zinc/03/02/51/659030251.db2.gz OPGBVBSCIYMYLG-KGENOOAVSA-N 0 1 324.424 3.268 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)c2cc[nH]c2)C1 ZINC001039201427 659036141 /nfs/dbraw/zinc/03/61/41/659036141.db2.gz CHBPPGNZTLNLFL-CVEARBPZSA-N 0 1 321.852 3.084 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(CC)s2)C[C@H]1C ZINC000948007373 659269738 /nfs/dbraw/zinc/26/97/38/659269738.db2.gz QRHNFZXLSVRQJA-ZIAGYGMSSA-N 0 1 306.475 3.388 20 30 DGEDMN Cc1ccc(N2CC[C@H](N[C@@H](C)c3cccc(C#N)c3)C2=O)cc1 ZINC000174358046 659431877 /nfs/dbraw/zinc/43/18/77/659431877.db2.gz QESNQXSAJUHFBS-KXBFYZLASA-N 0 1 319.408 3.323 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)C[C@@H]1C ZINC000948055659 659504489 /nfs/dbraw/zinc/50/44/89/659504489.db2.gz DHWMIWBKNSVEHI-UONOGXRCSA-N 0 1 318.486 3.262 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C(C)C)c3)CCC[C@@H]12 ZINC000992383713 659538552 /nfs/dbraw/zinc/53/85/52/659538552.db2.gz SLYHRVVDVWNIDM-UYAOXDASSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C(C)C)s3)CCC[C@@H]12 ZINC000992517751 659569310 /nfs/dbraw/zinc/56/93/10/659569310.db2.gz QUFORRRVQCGMCI-AEFFLSMTSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CC)c(CC)c3)CCC[C@@H]12 ZINC000992528646 659571213 /nfs/dbraw/zinc/57/12/13/659571213.db2.gz NPILAKFCYKKNPW-CTNGQTDRSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001046533549 659631147 /nfs/dbraw/zinc/63/11/47/659631147.db2.gz PSWQLYHDRMQGFT-QGZVFWFLSA-N 0 1 306.837 3.337 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C=C2CCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993185082 659693161 /nfs/dbraw/zinc/69/31/61/659693161.db2.gz LBDBXQZHLBHEGC-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C2=CCCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993225244 659702750 /nfs/dbraw/zinc/70/27/50/659702750.db2.gz DUDGQKDCSPHWAC-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)ccc2F)[C@H]1C ZINC000993437571 659740104 /nfs/dbraw/zinc/74/01/04/659740104.db2.gz APOKABLJORIFDE-MLGOLLRUSA-N 0 1 308.372 3.124 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)ccc2F)[C@@H]1C ZINC000993437555 659740414 /nfs/dbraw/zinc/74/04/14/659740414.db2.gz APOKABLJORIFDE-BLLLJJGKSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)[C@H]1C ZINC000993445452 659744426 /nfs/dbraw/zinc/74/44/26/659744426.db2.gz KJIBUXWKKULBHI-YUPKVTIOSA-N 0 1 316.489 3.214 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)c(C)cc2F)[C@@H]1C ZINC000993461888 659749213 /nfs/dbraw/zinc/74/92/13/659749213.db2.gz DKFDLKPWEXQAAE-LRDDRELGSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)cc(OC)c2C)[C@@H]1C ZINC000993544133 659758525 /nfs/dbraw/zinc/75/85/25/659758525.db2.gz FIOMDMIHVSVZOJ-RDJZCZTQSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@@H]1C ZINC000993560695 659762970 /nfs/dbraw/zinc/76/29/70/659762970.db2.gz ICQYWORCNTUCEE-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@@H]1C ZINC000993666198 659772680 /nfs/dbraw/zinc/77/26/80/659772680.db2.gz WYACBEGLWBUVGC-GOEBONIOSA-N 0 1 311.429 3.245 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@@H]1C ZINC000993664324 659772951 /nfs/dbraw/zinc/77/29/51/659772951.db2.gz LMGFBQSPSRBJTC-DOTOQJQBSA-N 0 1 323.440 3.001 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)cc(F)cc2C)[C@H]1C ZINC000993684751 659776383 /nfs/dbraw/zinc/77/63/83/659776383.db2.gz PAEKOBXXKKMPEI-WBVHZDCISA-N 0 1 316.420 3.049 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@H]1C ZINC000993660610 659777413 /nfs/dbraw/zinc/77/74/13/659777413.db2.gz LWLIGRZXMABLBC-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)nc2C(C)C)[C@H]1C ZINC000993658466 659777493 /nfs/dbraw/zinc/77/74/93/659777493.db2.gz SJJRWKKODNWRME-WBVHZDCISA-N 0 1 315.461 3.282 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(CCC)cc2)[C@@H]1C ZINC000993727932 659786090 /nfs/dbraw/zinc/78/60/90/659786090.db2.gz MFXMYYXJJNFRQR-QFBILLFUSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2C)[C@H]1C ZINC000993728229 659786267 /nfs/dbraw/zinc/78/62/67/659786267.db2.gz HKQUHBDEOQPIRJ-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H]1C ZINC000993764954 659788352 /nfs/dbraw/zinc/78/83/52/659788352.db2.gz VCZXBQFTQKJCIG-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@@H]1C ZINC000993778244 659789477 /nfs/dbraw/zinc/78/94/77/659789477.db2.gz RUEGSMMMRWZLBI-DZGCQCFKSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)cccc2Cl)[C@@H]1C ZINC000993770723 659789540 /nfs/dbraw/zinc/78/95/40/659789540.db2.gz FLKNFQAHKWEECV-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(CCC(F)(F)F)[C@H]2C)C1 ZINC000993788797 659790302 /nfs/dbraw/zinc/79/03/02/659790302.db2.gz LJIZYLHJHIGFBO-QWHCGFSZSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@@H]1C ZINC000993858505 659793487 /nfs/dbraw/zinc/79/34/87/659793487.db2.gz IFOQJJCUXINBGC-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)[C@@H]1C ZINC000993853988 659796171 /nfs/dbraw/zinc/79/61/71/659796171.db2.gz BCXNZWJWPNUSOD-LRDDRELGSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OCC)c(C)c2)[C@@H]1C ZINC000993929297 659799868 /nfs/dbraw/zinc/79/98/68/659799868.db2.gz OSRWMKRCCOGZSE-RDJZCZTQSA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(OCC)c2)[C@H]1C ZINC000993907841 659801422 /nfs/dbraw/zinc/80/14/22/659801422.db2.gz URHYSMZOPOMZDM-WBVHZDCISA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sc(CC)nc2C)[C@H]1C ZINC000993972337 659808391 /nfs/dbraw/zinc/80/83/91/659808391.db2.gz MCACCYOJCXHYPL-ZIAGYGMSSA-N 0 1 321.490 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(C(C)C)n2)[C@@H]1C ZINC000994028866 659809247 /nfs/dbraw/zinc/80/92/47/659809247.db2.gz YGOXDQVCMVVHKB-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2C)[C@@H]1C ZINC000994015033 659809924 /nfs/dbraw/zinc/80/99/24/659809924.db2.gz ZWDDBCUZULYONT-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C3CCC3)n2)[C@@H]1C ZINC000994189038 659818373 /nfs/dbraw/zinc/81/83/73/659818373.db2.gz MFTGMDJDUCEFAI-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC000994217852 659820859 /nfs/dbraw/zinc/82/08/59/659820859.db2.gz IUDKEYNTJAYHCA-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC000994217852 659820861 /nfs/dbraw/zinc/82/08/61/659820861.db2.gz IUDKEYNTJAYHCA-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC000994222749 659821240 /nfs/dbraw/zinc/82/12/40/659821240.db2.gz UGSOVFCXTBARCT-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(CCC)nc2C)[C@H]1C ZINC000994230343 659822176 /nfs/dbraw/zinc/82/21/76/659822176.db2.gz MEWJWBSDWZXPKM-HUUCEWRRSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1C ZINC000994234920 659822451 /nfs/dbraw/zinc/82/24/51/659822451.db2.gz UQBBPEIMRCDSHW-OCCSQVGLSA-N 0 1 304.459 3.394 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C(C)(C)C)CCCN1Cc1cccc(C#N)c1 ZINC000994264602 659828216 /nfs/dbraw/zinc/82/82/16/659828216.db2.gz RAYBWXCYCXXYIT-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C(C)C)cc2)[C@H]1C ZINC000994297142 659834269 /nfs/dbraw/zinc/83/42/69/659834269.db2.gz JWUHOOHLHUZNBS-APWZRJJASA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3ccc(F)cc23)[C@@H]1C ZINC000994297202 659834355 /nfs/dbraw/zinc/83/43/55/659834355.db2.gz DNQCMQTXQVOMNI-LRDDRELGSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)cccc2F)[C@@H]1C ZINC000994302703 659835732 /nfs/dbraw/zinc/83/57/32/659835732.db2.gz WDBGKFWCJCKTPL-WFASDCNBSA-N 0 1 308.372 3.124 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3ccccc3c2)[C@@H]1C ZINC000994301882 659835880 /nfs/dbraw/zinc/83/58/80/659835880.db2.gz SZXKRODUDDITBR-JXFKEZNVSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H]1C ZINC000994324405 659837609 /nfs/dbraw/zinc/83/76/09/659837609.db2.gz ANZBKCZXEHMQQN-DZGCQCFKSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2OC)[C@@H]1C ZINC000994341414 659841469 /nfs/dbraw/zinc/84/14/69/659841469.db2.gz WXFPDOIZRYQMAL-SWLSCSKDSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@@H]1C ZINC000994353706 659843042 /nfs/dbraw/zinc/84/30/42/659843042.db2.gz QLWPKCUWPBRGHG-BLLLJJGKSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@@H]1C ZINC000994353706 659843045 /nfs/dbraw/zinc/84/30/45/659843045.db2.gz QLWPKCUWPBRGHG-BLLLJJGKSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccsc2C(F)F)[C@H]1C ZINC000994355242 659843999 /nfs/dbraw/zinc/84/39/99/659843999.db2.gz WSQKEVGLDYGYDO-PWSUYJOCSA-N 0 1 314.401 3.454 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H]1C ZINC000994453998 659856801 /nfs/dbraw/zinc/85/68/01/659856801.db2.gz SEPCUBJAGXRXAE-WBVHZDCISA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@@H]1C ZINC000994484678 659859931 /nfs/dbraw/zinc/85/99/31/659859931.db2.gz AHMVFCNVPUYWHK-MVYVIFSASA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)[C@H]1C ZINC000994494037 659861976 /nfs/dbraw/zinc/86/19/76/659861976.db2.gz LSLWQHAABYHZSA-IWCLVNKQSA-N 0 1 324.468 3.263 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C3CC3)c2)[C@H]1C ZINC000994497804 659862598 /nfs/dbraw/zinc/86/25/98/659862598.db2.gz RFRMYMRUJTYXHS-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(Br)CNC(=O)c1c(O)cc(Cl)cc1Cl ZINC000175207628 659917391 /nfs/dbraw/zinc/91/73/91/659917391.db2.gz CQTNAZBNMYVSPO-UHFFFAOYSA-N 0 1 324.989 3.337 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(O)c(F)c2)cc(OC)c1O ZINC000170851965 659928155 /nfs/dbraw/zinc/92/81/55/659928155.db2.gz YOWKULLLLNCUOU-HWKANZROSA-N 0 1 318.300 3.150 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(Cl)sc2Cl)C1 ZINC001031730896 659930552 /nfs/dbraw/zinc/93/05/52/659930552.db2.gz AUJSMCXEHVBXEO-UHFFFAOYSA-N 0 1 319.257 3.293 20 30 DGEDMN COc1cc(Cl)ccc1C(=O)Nc1cc(C#N)ccc1O ZINC000179739335 659940342 /nfs/dbraw/zinc/94/03/42/659940342.db2.gz ZFKUSHAWTYWJQG-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2coc3ccccc23)C[C@H]1C ZINC000939866495 660017414 /nfs/dbraw/zinc/01/74/14/660017414.db2.gz VHECUWJANKEQDL-IAQYHMDHSA-N 0 1 318.804 3.235 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000070032820 660034098 /nfs/dbraw/zinc/03/40/98/660034098.db2.gz HLHHRVLWEABFGR-HOCLYGCPSA-N 0 1 300.402 3.084 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001031877629 660034550 /nfs/dbraw/zinc/03/45/50/660034550.db2.gz VBTADLPBKBYDSO-UHFFFAOYSA-N 0 1 312.413 3.287 20 30 DGEDMN COc1cccc(OC)c1/C=C/C(=O)c1ccc(O)cc1O ZINC000182253373 660039406 /nfs/dbraw/zinc/03/94/06/660039406.db2.gz ZDOIICAEBFFCKD-CMDGGOBGSA-N 0 1 300.310 3.011 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Br)cn2)cc1 ZINC000070396376 660054438 /nfs/dbraw/zinc/05/44/38/660054438.db2.gz AYSGQFIECMPQQZ-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(CCC)s2)C(C)(C)C1 ZINC000940781959 660064753 /nfs/dbraw/zinc/06/47/53/660064753.db2.gz FKXJDVDUFBXWOA-MRXNPFEDSA-N 0 1 318.486 3.164 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000941003157 660077696 /nfs/dbraw/zinc/07/76/96/660077696.db2.gz UDJNBYUGEZKLSR-OAHLLOKOSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000941173762 660091141 /nfs/dbraw/zinc/09/11/41/660091141.db2.gz BNBNFXPYELVJBQ-ULQDDVLXSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000941173762 660091142 /nfs/dbraw/zinc/09/11/42/660091142.db2.gz BNBNFXPYELVJBQ-ULQDDVLXSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3ccccc3c2C)C(C)(C)C1 ZINC000941199563 660093621 /nfs/dbraw/zinc/09/36/21/660093621.db2.gz OJUIZCOECFMCNC-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3c(c2)CCCC3)C(C)(C)C1 ZINC000941200003 660094097 /nfs/dbraw/zinc/09/40/97/660094097.db2.gz ULCCVBPFZHBOCJ-IBGZPJMESA-N 0 1 324.468 3.029 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)cc(C)cc2C)C(C)(C)C1 ZINC000941204143 660094813 /nfs/dbraw/zinc/09/48/13/660094813.db2.gz DUPAEJNPQSWHPP-KRWDZBQOSA-N 0 1 312.457 3.075 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)C)s2)C(C)(C)C1 ZINC000941243500 660097294 /nfs/dbraw/zinc/09/72/94/660097294.db2.gz YOURGSOUKZSNNS-MRXNPFEDSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CCC(C)(C)CC2)C(C)(C)C1 ZINC000941242986 660097423 /nfs/dbraw/zinc/09/74/23/660097423.db2.gz OMTFBHXEUYGJQW-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(C)CCC(C)CC2)C(C)(C)C1 ZINC000941244485 660097785 /nfs/dbraw/zinc/09/77/85/660097785.db2.gz LIKAXUVHCTVDHF-KOHRHEQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000941247167 660097979 /nfs/dbraw/zinc/09/79/79/660097979.db2.gz FDYXVLAOXUBVJL-ACBHZAAOSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@@H](C)C1 ZINC000942079803 660135989 /nfs/dbraw/zinc/13/59/89/660135989.db2.gz QKWZLWBAFALOFG-AAEUAGOBSA-N 0 1 324.877 3.173 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@@H](C)C3CC3)C2)CC1 ZINC001040953903 660148622 /nfs/dbraw/zinc/14/86/22/660148622.db2.gz SWIDPIGCXHJZRK-CQSZACIVSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C/Cl)C[C@@H]2C)CC1 ZINC000942600787 660164327 /nfs/dbraw/zinc/16/43/27/660164327.db2.gz VCZUQPBATPBRCN-ASZCMSIISA-N 0 1 310.869 3.312 20 30 DGEDMN Cn1nccc1[C@@H]1CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC000929292673 661294585 /nfs/dbraw/zinc/29/45/85/661294585.db2.gz GXUODOHEQFCRFD-OAHLLOKOSA-N 0 1 314.820 3.325 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000929345072 661299338 /nfs/dbraw/zinc/29/93/38/661299338.db2.gz XXWDYSCKGYFEKC-KRWDZBQOSA-N 0 1 323.396 3.027 20 30 DGEDMN Cc1cc(CN2CC=C(c3ccc(C#N)cc3)CC2)c(C)nn1 ZINC000930158581 661371891 /nfs/dbraw/zinc/37/18/91/661371891.db2.gz NXJQYXWHUXCYLQ-UHFFFAOYSA-N 0 1 304.397 3.254 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(C#N)cc2)cc([N+](=O)[O-])c1O ZINC000035587943 661391794 /nfs/dbraw/zinc/39/17/94/661391794.db2.gz JFBNEYUOUGJQJT-QPJJXVBHSA-N 0 1 324.292 3.077 20 30 DGEDMN COC(=O)C1(N(CCCCC2(C#N)CCC2)C2CC2)CCC1 ZINC000930741598 661426310 /nfs/dbraw/zinc/42/63/10/661426310.db2.gz SFDHYUSBIWHRAZ-UHFFFAOYSA-N 0 1 304.434 3.411 20 30 DGEDMN C=CCc1cccc(C(C)C)c1NC(=O)NC[C@H]1CCN1C ZINC000931061490 661448970 /nfs/dbraw/zinc/44/89/70/661448970.db2.gz HFZZNOHHQNCCBY-OAHLLOKOSA-N 0 1 301.434 3.364 20 30 DGEDMN Cc1nnsc1[C@@H](C)N1CCC(c2ccc(C#N)cn2)CC1 ZINC000931113365 661453067 /nfs/dbraw/zinc/45/30/67/661453067.db2.gz PMPULUBKVJZVFB-GFCCVEGCSA-N 0 1 313.430 3.054 20 30 DGEDMN N#CCC[C@@H](C#N)CN1CCC[C@@H](c2c[nH]c3ncccc23)C1 ZINC000931366457 661470840 /nfs/dbraw/zinc/47/08/40/661470840.db2.gz UCJNZTSPIJRGLL-LSDHHAIUSA-N 0 1 307.401 3.186 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cc(C)cc3ccccc32)CC1 ZINC000931597202 661491641 /nfs/dbraw/zinc/49/16/41/661491641.db2.gz GYTZJUTXBLAMDR-UHFFFAOYSA-N 0 1 321.424 3.367 20 30 DGEDMN COC(=O)CC[C@H]1CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC000931620929 661493643 /nfs/dbraw/zinc/49/36/43/661493643.db2.gz SKXAGFOBQWQHPA-CYBMUJFWSA-N 0 1 320.820 3.377 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3nc4c(s3)CCC4)C2)o1 ZINC000932188128 661546141 /nfs/dbraw/zinc/54/61/41/661546141.db2.gz IYPITINPILBBNE-LBPRGKRZSA-N 0 1 313.426 3.476 20 30 DGEDMN CC[C@H](C)N1CCN(C(=O)Nc2ccc(CCC#N)cc2)CC1 ZINC000932564698 661580242 /nfs/dbraw/zinc/58/02/42/661580242.db2.gz GHDRCJZXSDQLOX-HNNXBMFYSA-N 0 1 314.433 3.091 20 30 DGEDMN C#CCC1(NCc2nnc(-c3ccccc3O)o2)CCCCC1 ZINC000933266031 661626906 /nfs/dbraw/zinc/62/69/06/661626906.db2.gz ONOZQPPKNQMYQT-UHFFFAOYSA-N 0 1 311.385 3.258 20 30 DGEDMN CN(CCC(=O)NCC1CCCCC1)Cc1cc(C#N)cs1 ZINC000933777336 661657579 /nfs/dbraw/zinc/65/75/79/661657579.db2.gz JGLBLXHMBCPFLU-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C(#Cc1ccccc1)CNC1(c2ccc3c(c2)OCCO3)CC1 ZINC000933999806 661672375 /nfs/dbraw/zinc/67/23/75/661672375.db2.gz XWOUBVBOHLVBEZ-UHFFFAOYSA-N 0 1 305.377 3.088 20 30 DGEDMN COc1cnc([C@H]2CCC[N@H+]2CCCCC(C)(C)C#N)[n-]c1=O ZINC000934274074 661692546 /nfs/dbraw/zinc/69/25/46/661692546.db2.gz QVOSTOUWDQXFAD-CYBMUJFWSA-N 0 1 318.421 3.048 20 30 DGEDMN C[C@@H](C#N)OCCN1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934419724 661705727 /nfs/dbraw/zinc/70/57/27/661705727.db2.gz YJGPXZQOYULIFP-ZDUSSCGKSA-N 0 1 320.820 3.163 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1cnn(CC2CCC2)c1 ZINC000934553505 661714291 /nfs/dbraw/zinc/71/42/91/661714291.db2.gz FDOPYMMGJDWRRI-OAHLLOKOSA-N 0 1 324.428 3.436 20 30 DGEDMN CC(C)Cc1ncc(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000935107559 661760874 /nfs/dbraw/zinc/76/08/74/661760874.db2.gz IJRPYOMCDGSDOH-UHFFFAOYSA-N 0 1 301.371 3.171 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)c1ccc(CN2CCCCC2)o1 ZINC000935696352 661802932 /nfs/dbraw/zinc/80/29/32/661802932.db2.gz GNKLRZWRGOKYER-OAHLLOKOSA-N 0 1 302.418 3.446 20 30 DGEDMN CCOc1ccc(OCC(=O)C(C#N)c2nc(C)cs2)cc1 ZINC000041521663 661835103 /nfs/dbraw/zinc/83/51/03/661835103.db2.gz VQMDIABAZNOYND-AWEZNQCLSA-N 0 1 316.382 3.106 20 30 DGEDMN COc1cc(/C=C2/CCCC(C)(C)C2=O)cc([N+](=O)[O-])c1O ZINC000158970499 661881160 /nfs/dbraw/zinc/88/11/60/661881160.db2.gz DWQGQQDPFYUQHX-XFFZJAGNSA-N 0 1 305.330 3.472 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C=C2CCC2)CCN1CC#Cc1ccccc1 ZINC000947185743 661895412 /nfs/dbraw/zinc/89/54/12/661895412.db2.gz NUIKMNRKHHNUIW-FXAWDEMLSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ccccc3cc2F)C[C@H]1C ZINC000947538121 661914994 /nfs/dbraw/zinc/91/49/94/661914994.db2.gz VKAAPPZOKPILRK-RHSMWYFYSA-N 0 1 324.399 3.195 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C(C)(C)C)CCN1Cc1ccccc1C#N ZINC000947962196 661940210 /nfs/dbraw/zinc/94/02/10/661940210.db2.gz KYUWUJHLTUGZJE-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccoc2C)CC1 ZINC000948168512 661956965 /nfs/dbraw/zinc/95/69/65/661956965.db2.gz BRSWKYKMIWCFSM-CQSZACIVSA-N 0 1 310.825 3.267 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2CC=CCC2)CC1 ZINC000948181557 661957905 /nfs/dbraw/zinc/95/79/05/661957905.db2.gz OFELVVAXADJTRJ-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2cnccc2C)CC1 ZINC000948266417 661964073 /nfs/dbraw/zinc/96/40/73/661964073.db2.gz YCMDNBXKDMXULE-HNNXBMFYSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccncc2C)CC1 ZINC000948365334 661970867 /nfs/dbraw/zinc/97/08/67/661970867.db2.gz ONOAUWALYZXCRG-HNNXBMFYSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)c1[nH]ccc1C ZINC000948913613 662007245 /nfs/dbraw/zinc/00/72/45/662007245.db2.gz WHFITDBNRVCCTJ-GOSISDBHSA-N 0 1 323.440 3.228 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(C)CCC(F)(F)CC2)CC1 ZINC000948927047 662007743 /nfs/dbraw/zinc/00/77/43/662007743.db2.gz UBHOBSQAVPZRIQ-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cncc3sccc32)CC1 ZINC000949827982 662032160 /nfs/dbraw/zinc/03/21/60/662032160.db2.gz RHLGWHHYBGIPJR-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CCC3=CCCCC3)CC2)C1 ZINC000950382366 662062545 /nfs/dbraw/zinc/06/25/45/662062545.db2.gz CUSGVHLPZFVRGF-UHFFFAOYSA-N 0 1 302.462 3.377 20 30 DGEDMN N#CCOc1ccc(CNCc2ccccc2OC(F)F)cc1 ZINC000078456530 662068555 /nfs/dbraw/zinc/06/85/55/662068555.db2.gz AOXMPDZEGKABTQ-UHFFFAOYSA-N 0 1 318.323 3.480 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2[nH]ccc2C2CC2)C1 ZINC000950490255 662068696 /nfs/dbraw/zinc/06/86/96/662068696.db2.gz WPRJJVCXCWISNE-UHFFFAOYSA-N 0 1 301.434 3.005 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCc1c(F)cccc1Cl ZINC000078542808 662074932 /nfs/dbraw/zinc/07/49/32/662074932.db2.gz HUVNVJQERBTWLV-LLVKDONJSA-N 0 1 313.804 3.142 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@H]2CCCC[C@@H]2C)CC1 ZINC001006464727 662093938 /nfs/dbraw/zinc/09/39/38/662093938.db2.gz VJXQUAIXVBSCCA-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2ccccc2F)CC1 ZINC000951754563 662150669 /nfs/dbraw/zinc/15/06/69/662150669.db2.gz JPVSUCVGKZNDAX-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2ccc(O)cc2O)c(-c2ccccc2)n1 ZINC000092850417 662336982 /nfs/dbraw/zinc/33/69/82/662336982.db2.gz TWGQYFNLKPESTN-JXMROGBWSA-N 0 1 320.348 3.394 20 30 DGEDMN CC(C)C[C@@H]1COCCN1Cc1ccc(N(C)CCC#N)cc1 ZINC000093340233 662353603 /nfs/dbraw/zinc/35/36/03/662353603.db2.gz PHHRWYFHAXWCGH-LJQANCHMSA-N 0 1 315.461 3.283 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)c(C)c2)[C@@H]1C ZINC000986752378 685350377 /nfs/dbraw/zinc/35/03/77/685350377.db2.gz DYJDNUIQQBCYDR-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C#C[C@@](C)(CC)NCc1ccc(Br)cc1[N+](=O)[O-] ZINC000384230309 685170695 /nfs/dbraw/zinc/17/06/95/685170695.db2.gz WYSNUZMLTLLYBC-ZDUSSCGKSA-N 0 1 311.179 3.249 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)CC[C@@H]1C ZINC001071513302 686672938 /nfs/dbraw/zinc/67/29/38/686672938.db2.gz DFKKTZOVSQDQLZ-HOCLYGCPSA-N 0 1 315.461 3.148 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2scc(C)c2Cl)CC[C@@H]1C ZINC001071531289 686680978 /nfs/dbraw/zinc/68/09/78/686680978.db2.gz JUOACWCHRDOHKY-QWHCGFSZSA-N 0 1 324.877 3.316 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)oc3ccccc32)CC[C@H]1C ZINC001071531702 686681809 /nfs/dbraw/zinc/68/18/09/686681809.db2.gz PAZLLZJKEGIHQY-ZBFHGGJFSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3ccccc3nc2C)CC[C@@H]1C ZINC001071545627 686686078 /nfs/dbraw/zinc/68/60/78/686686078.db2.gz BVXSFWUKKCLHCJ-YOEHRIQHSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2coc3c2cccc3C)CC[C@@H]1C ZINC001071572712 686691838 /nfs/dbraw/zinc/69/18/38/686691838.db2.gz ZYOZDCZVPGGURM-HOTGVXAUSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2coc3c2cccc3C)CC[C@H]1C ZINC001071572713 686692425 /nfs/dbraw/zinc/69/24/25/686692425.db2.gz ZYOZDCZVPGGURM-HZPDHXFCSA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@H]1C ZINC001071583420 686695068 /nfs/dbraw/zinc/69/50/68/686695068.db2.gz HTJNZIBMXZYLGI-KGLIPLIRSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3ccc(C)nc32)CC[C@H]1C ZINC001071600556 686696941 /nfs/dbraw/zinc/69/69/41/686696941.db2.gz MUHIWVGEQGWHSK-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)CC[C@H]1C ZINC001071612219 686701682 /nfs/dbraw/zinc/70/16/82/686701682.db2.gz DOVRIXVVMSVNJC-CVEARBPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CC[C@H](C)N(CC=C)C1 ZINC001071618894 686703782 /nfs/dbraw/zinc/70/37/82/686703782.db2.gz GFIBUGBQQSZWID-JKSUJKDBSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)ccc2CC)CC[C@H]1C ZINC001071645864 686711780 /nfs/dbraw/zinc/71/17/80/686711780.db2.gz OLXZXTBQQYFKJX-CJNGLKHVSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2F)CC[C@@H]1C ZINC001071660147 686714705 /nfs/dbraw/zinc/71/47/05/686714705.db2.gz QQSJWXPGJNIJMC-AAEUAGOBSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@@H]1C ZINC001071663378 686716814 /nfs/dbraw/zinc/71/68/14/686716814.db2.gz MIPYGSVYHCNEPJ-WMZOPIPTSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@H]1C ZINC001071663375 686717241 /nfs/dbraw/zinc/71/72/41/686717241.db2.gz MIPYGSVYHCNEPJ-AEFFLSMTSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@@H]1C ZINC001071685459 686721755 /nfs/dbraw/zinc/72/17/55/686721755.db2.gz CAPABWMMDLYUTM-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)onc2C2CC2)CC[C@H]1C ZINC001071695251 686724996 /nfs/dbraw/zinc/72/49/96/686724996.db2.gz OAZQNOSXMUHPRR-DOMZBBRYSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccccc3c2C)CC[C@H]1C ZINC001071695422 686725950 /nfs/dbraw/zinc/72/59/50/686725950.db2.gz PNWRPBFRKHOGGI-ZBFHGGJFSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)CC[C@@H]1C ZINC001071728823 686735179 /nfs/dbraw/zinc/73/51/79/686735179.db2.gz UBPPFSIUSYUPMS-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2C2CC2)CC[C@@H]1C ZINC001071772158 686745897 /nfs/dbraw/zinc/74/58/97/686745897.db2.gz FWRQMEMHLSRYBZ-ZFWWWQNUSA-N 0 1 302.418 3.316 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(F)c2F)CC[C@@H]1C ZINC001071769790 686745930 /nfs/dbraw/zinc/74/59/30/686745930.db2.gz YUNLSXKUUKOGJM-STQMWFEESA-N 0 1 308.372 3.124 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@@H]1C ZINC001071773204 686747448 /nfs/dbraw/zinc/74/74/48/686747448.db2.gz YBSLLIVTGWCAFM-MAUKXSAKSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nccc3ccccc32)CC[C@@H]1C ZINC001071776076 686749086 /nfs/dbraw/zinc/74/90/86/686749086.db2.gz MAVCYQONUMBSOB-GOEBONIOSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@H]1C ZINC001071779902 686750713 /nfs/dbraw/zinc/75/07/13/686750713.db2.gz RACRCKKMKXXHBD-TZMCWYRMSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@@H]1C ZINC001071792303 686755084 /nfs/dbraw/zinc/75/50/84/686755084.db2.gz HKYNFQKSOIRDDB-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cccc2Cl)CC[C@@H]1C ZINC001071798790 686758263 /nfs/dbraw/zinc/75/82/63/686758263.db2.gz CAXXTSQIRZJWCA-LSDHHAIUSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C2(C)CC2)CC[C@@H]1C ZINC001071820641 686761449 /nfs/dbraw/zinc/76/14/49/686761449.db2.gz BGYXPCVKYLKOHQ-IRXDYDNUSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)ccc2Cl)CC[C@@H]1C ZINC001071845251 686770054 /nfs/dbraw/zinc/77/00/54/686770054.db2.gz ZDRQRSAGYGWALJ-AAEUAGOBSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(OC)c2)CC[C@@H]1C ZINC001071876131 686778392 /nfs/dbraw/zinc/77/83/92/686778392.db2.gz JEVUGNBUOJRDHY-GXTWGEPZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2scnc2C(C)C)CC[C@@H]1C ZINC001071883311 686780504 /nfs/dbraw/zinc/78/05/04/686780504.db2.gz JJQHBBPLTJZJHX-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@H]1C ZINC001071880455 686781012 /nfs/dbraw/zinc/78/10/12/686781012.db2.gz RPNUEYAWYNIWSI-HITAIPBASA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2F)CC[C@H]1C ZINC001071895903 686785880 /nfs/dbraw/zinc/78/58/80/686785880.db2.gz CFPVVDVNBPSTLE-CABCVRRESA-N 0 1 318.436 3.258 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(C(C)C)n2)CC[C@@H]1C ZINC001071901843 686787454 /nfs/dbraw/zinc/78/74/54/686787454.db2.gz XYBPJIAAOJFFOD-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C)c2Cl)CC[C@H]1C ZINC001071904915 686788352 /nfs/dbraw/zinc/78/83/52/686788352.db2.gz QZXDQIZHSWCRAY-CABCVRRESA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc(C(C)(C)C)c2)CC[C@@H]1C ZINC001071923608 686791554 /nfs/dbraw/zinc/79/15/54/686791554.db2.gz LBAPUXSLOQESKP-MAUKXSAKSA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncoc2C(C)(C)C)CC[C@H]1C ZINC001071973562 686802270 /nfs/dbraw/zinc/80/22/70/686802270.db2.gz HDHSAOBJQMZGMR-ZIAGYGMSSA-N 0 1 319.449 3.131 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)CC[C@H]1C ZINC001072009577 686815461 /nfs/dbraw/zinc/81/54/61/686815461.db2.gz YHBSCNJYSSVSMQ-TVFCKZIOSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C3CCCCC3)CC2)CC[C@@H]1C ZINC001072029054 686831795 /nfs/dbraw/zinc/83/17/95/686831795.db2.gz DTZZSZZYPPPIMJ-FUHWJXTLSA-N 0 1 316.489 3.339 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ncsc3c2)CC[C@@H]1C ZINC001072045787 686841931 /nfs/dbraw/zinc/84/19/31/686841931.db2.gz UAXUSVKUJFNZAY-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3c(s2)CCC3)CC[C@@H]1C ZINC001072049792 686844269 /nfs/dbraw/zinc/84/42/69/686844269.db2.gz RATPKWSWMWARQT-DZGCQCFKSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3ccccc3)CCCC2)CC[C@H]1C ZINC001072052834 686848681 /nfs/dbraw/zinc/84/86/81/686848681.db2.gz PLRMCLWVFLDDNB-IEBWSBKVSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)c(CC)s2)CC[C@@H]1C ZINC001072058292 686851158 /nfs/dbraw/zinc/85/11/58/686851158.db2.gz JSUIXKDINWKANE-GJZGRUSLSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)CC[C@@H]1C ZINC001072098386 686862832 /nfs/dbraw/zinc/86/28/32/686862832.db2.gz BBDGDCXJJXKGJT-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@H]1C ZINC001072098797 686864071 /nfs/dbraw/zinc/86/40/71/686864071.db2.gz GCLOMQIECGLBDO-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@H]1C ZINC001072104664 686867760 /nfs/dbraw/zinc/86/77/60/686867760.db2.gz CPODEHHMDCWRLC-IAGOWNOFSA-N 0 1 324.468 3.090 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCC(C)(C)CC2)CC[C@H]1C ZINC001072104816 686869261 /nfs/dbraw/zinc/86/92/61/686869261.db2.gz DGHUWEITJBGQSW-NVXWUHKLSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(C3CC3)n2)CC[C@H]1C ZINC001072112558 686872785 /nfs/dbraw/zinc/87/27/85/686872785.db2.gz BQTRDQLVRIIRHW-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(CC(C)C)n2)CC[C@@H]1C ZINC001072113617 686874184 /nfs/dbraw/zinc/87/41/84/686874184.db2.gz YYFNSZXOBXHCSP-UONOGXRCSA-N 0 1 321.490 3.110 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@H]1C ZINC001072115803 686874532 /nfs/dbraw/zinc/87/45/32/686874532.db2.gz CSOGIZVPOKCZGU-MSOLQXFVSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2coc3ccccc23)CC[C@H]1C ZINC001072118933 686874993 /nfs/dbraw/zinc/87/49/93/686874993.db2.gz BHMFRMNJAOLZIO-HUUCEWRRSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC2CCC(C)(C)CC2)CC[C@@H]1C ZINC001072125176 686876273 /nfs/dbraw/zinc/87/62/73/686876273.db2.gz AAJQPXOPCZCPQU-DOTOQJQBSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C(C)C)s2)CC[C@@H]1C ZINC001072125895 686876440 /nfs/dbraw/zinc/87/64/40/686876440.db2.gz HKVALJPRVFNFDL-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc4occc4s3)[C@@H]2C1 ZINC001076236158 687498984 /nfs/dbraw/zinc/49/89/84/687498984.db2.gz XWQVKPYFYURTKF-QWHCGFSZSA-N 0 1 316.426 3.217 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001079994967 687910649 /nfs/dbraw/zinc/91/06/49/687910649.db2.gz ZXLGUXKRPFSNPF-OYGLOCFBSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001080144619 687923509 /nfs/dbraw/zinc/92/35/09/687923509.db2.gz JONBRMMXIDHRSS-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3s2)C1 ZINC001080700223 688008159 /nfs/dbraw/zinc/00/81/59/688008159.db2.gz REINUSALODDAAN-UKRRQHHQSA-N 0 1 314.454 3.446 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001080776698 688011068 /nfs/dbraw/zinc/01/10/68/688011068.db2.gz PSEHJGDUCUPJOK-CZUORRHYSA-N 0 1 318.848 3.030 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001080888392 688023712 /nfs/dbraw/zinc/02/37/12/688023712.db2.gz AXYQUWKTSYHJMD-WOSRLPQWSA-N 0 1 318.486 3.109 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001081027453 688037404 /nfs/dbraw/zinc/03/74/04/688037404.db2.gz QYUWNXQLCBNTLF-CXAGYDPISA-N 0 1 318.848 3.147 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001081158720 688057519 /nfs/dbraw/zinc/05/75/19/688057519.db2.gz WQZOIERIKUIATJ-DLBZAZTESA-N 0 1 318.436 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCC3(CC3)C2)C[C@H]1C ZINC001082510318 688118447 /nfs/dbraw/zinc/11/84/47/688118447.db2.gz IAGQDFBHQSXWTH-BPLDGKMQSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(C)=C(C)C2)C[C@H]1C ZINC001082529210 688119427 /nfs/dbraw/zinc/11/94/27/688119427.db2.gz JBHBFQMBNPSLFL-VNQPRFMTSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC(C3CC3)CC2)C[C@H]1C ZINC001082892182 688131179 /nfs/dbraw/zinc/13/11/79/688131179.db2.gz KOMNPMCUDBPFAN-BOSFTKNMSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@]2(C)CC=C(C)CC2)C[C@H]1C ZINC001082935838 688131850 /nfs/dbraw/zinc/13/18/50/688131850.db2.gz DBIYSUKXVRQHAE-UNEWFSDZSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2CC=CCC2)C1 ZINC001661201380 1196579919 /nfs/dbraw/zinc/57/99/19/1196579919.db2.gz AJYIGXXKEYYGLJ-HZPDHXFCSA-N 0 1 310.869 3.266 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001583413603 1192146039 /nfs/dbraw/zinc/14/60/39/1192146039.db2.gz YXPLMKSRTVLJEL-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC001585553620 1192268915 /nfs/dbraw/zinc/26/89/15/1192268915.db2.gz OLZDYVVFZWTVCT-KRWDZBQOSA-N 0 1 300.446 3.370 20 30 DGEDMN Cc1ccccc1C1(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CC1 ZINC001587347770 1192394706 /nfs/dbraw/zinc/39/47/06/1192394706.db2.gz ZXMQJZQGEBHDOX-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccccc1C#Cc1ccccc1 ZINC001587737026 1192414816 /nfs/dbraw/zinc/41/48/16/1192414816.db2.gz XBFVSKDEFHXVCH-UHFFFAOYSA-N 0 1 306.409 3.367 20 30 DGEDMN C=CC[C@@H]1CCC(N=Nc2oc(C(C)C)nc2-c2nn[nH]n2)C1 ZINC001588559044 1192450228 /nfs/dbraw/zinc/45/02/28/1192450228.db2.gz GWMNPCYLRRQWHY-SNVBAGLBSA-N 0 1 315.381 3.122 20 30 DGEDMN C=CC[C@H]1CCC(N=Nc2oc(C(C)C)nc2-c2nn[nH]n2)C1 ZINC001588559043 1192450305 /nfs/dbraw/zinc/45/03/05/1192450305.db2.gz GWMNPCYLRRQWHY-JTQLQIEISA-N 0 1 315.381 3.122 20 30 DGEDMN C=CCCCn1c(N2CCN(CC)[C@@H](C)C2)nnc1C(C)(C)C ZINC001594774599 1192648741 /nfs/dbraw/zinc/64/87/41/1192648741.db2.gz LEFNLQAHBOOGCA-HNNXBMFYSA-N 0 1 319.497 3.072 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)/C=C(\CC)c2ccc(C)cc2)C1 ZINC001595790694 1192670499 /nfs/dbraw/zinc/67/04/99/1192670499.db2.gz POQPWBHAQVFADL-DQSGYLJSSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001687182470 1176124790 /nfs/dbraw/zinc/12/47/90/1176124790.db2.gz BVTYOOQAGIEBCU-CQSZACIVSA-N 0 1 310.401 3.011 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1sccc1Cl)C1CC1 ZINC001670475343 1176148264 /nfs/dbraw/zinc/14/82/64/1176148264.db2.gz PIIBMDITXUYMNJ-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1cccc(C)c1)C1CC1 ZINC001670501746 1176153187 /nfs/dbraw/zinc/15/31/87/1176153187.db2.gz WXSGDABRDNGRSS-KRWDZBQOSA-N 0 1 320.864 3.165 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001670631172 1176196863 /nfs/dbraw/zinc/19/68/63/1176196863.db2.gz YDBPUCVEHOGPAN-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC001691094241 1176308134 /nfs/dbraw/zinc/30/81/34/1176308134.db2.gz AOISDZBNVZDSDT-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001691803172 1176414125 /nfs/dbraw/zinc/41/41/25/1176414125.db2.gz ADMXWRXBWGONLM-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN CC[C@@H](F)CN(C)C[C@@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001691784600 1176440304 /nfs/dbraw/zinc/44/03/04/1176440304.db2.gz IEBRUVBZLFSFMW-CVEARBPZSA-N 0 1 310.457 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C1CC2(CC2)C1 ZINC001692085793 1176440820 /nfs/dbraw/zinc/44/08/20/1176440820.db2.gz GHTPBXUPJVAECM-DOMZBBRYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1 ZINC001691414021 1176473353 /nfs/dbraw/zinc/47/33/53/1176473353.db2.gz WYDWGBZENYVVNW-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCCC2(CC2)C1 ZINC001750934090 1176567659 /nfs/dbraw/zinc/56/76/59/1176567659.db2.gz POCCXDQPKZINSC-ROUUACIJSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001691466791 1176628408 /nfs/dbraw/zinc/62/84/08/1176628408.db2.gz SBTSATFGNPEVMI-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H]1CC ZINC001691468322 1176630424 /nfs/dbraw/zinc/63/04/24/1176630424.db2.gz BBLIMRHBJZFMPM-FCMOUGRYSA-N 0 1 317.458 3.055 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001752425147 1176769144 /nfs/dbraw/zinc/76/91/44/1176769144.db2.gz QFMCYXSQSWLHQB-ZBFHGGJFSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](CCC)CC(C)C)C(C)(C)C1 ZINC001755143023 1176773542 /nfs/dbraw/zinc/77/35/42/1176773542.db2.gz GZGZXBXENLTTIT-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@]2(c3ccccc3)C[C@H](F)C2)c1 ZINC001598128152 1192763304 /nfs/dbraw/zinc/76/33/04/1192763304.db2.gz JUOQCCOFLDBPJR-PPUGGXLSSA-N 0 1 310.328 3.272 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C(C)(F)F)C(C)(C)C ZINC001700993234 1177053733 /nfs/dbraw/zinc/05/37/33/1177053733.db2.gz RIICQKBMYXSTAQ-QWRGUYRKSA-N 0 1 310.816 3.151 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cnc2ccsc2c1 ZINC001755600670 1177090784 /nfs/dbraw/zinc/09/07/84/1177090784.db2.gz SBISWBGSEGWTCI-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC001600074413 1192787532 /nfs/dbraw/zinc/78/75/32/1192787532.db2.gz CSJDDXCCHGUBEN-IBGZPJMESA-N 0 1 320.392 3.359 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1ccc(C)nc1C ZINC001752816844 1177194602 /nfs/dbraw/zinc/19/46/02/1177194602.db2.gz FHLVAMIFWZJTNA-GOSISDBHSA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCC[C@H]2C2CC2)CC1 ZINC001670829520 1177213120 /nfs/dbraw/zinc/21/31/20/1177213120.db2.gz OXZRNLFOBDBWFT-LSDHHAIUSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCCC[C@H](NC(=O)NC[C@@H]1CCN1CC)c1ccccc1 ZINC001687421539 1177267461 /nfs/dbraw/zinc/26/74/61/1177267461.db2.gz MFBWQGKTGJJLJU-ROUUACIJSA-N 0 1 315.461 3.477 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C/C(C)(C)C)C[C@@H]2C1 ZINC001691547607 1177309462 /nfs/dbraw/zinc/30/94/62/1177309462.db2.gz IHCOKRIWODULJT-ZWXLGZMVSA-N 0 1 310.869 3.122 20 30 DGEDMN Cc1cc(F)cc2c1C[N@H+]([C@@H](C(=O)[O-])c1cccc(C#N)c1)CC2 ZINC001600231447 1192815854 /nfs/dbraw/zinc/81/58/54/1192815854.db2.gz WTJYJNLPBZYAAO-GOSISDBHSA-N 0 1 324.355 3.190 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC(C)(C)c2ccccc2)CC1 ZINC001670903251 1177524110 /nfs/dbraw/zinc/52/41/10/1177524110.db2.gz CPKFKAUYMXUGQW-UHFFFAOYSA-N 0 1 320.864 3.345 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001671033444 1177576904 /nfs/dbraw/zinc/57/69/04/1177576904.db2.gz OTMAGPZXJSWZPP-RLGIIYIFSA-N 0 1 322.880 3.247 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C[C@@H]1C)CCN(CCF)CC2 ZINC001691689643 1177688715 /nfs/dbraw/zinc/68/87/15/1177688715.db2.gz JABNENQZHNJQSK-KRWDZBQOSA-N 0 1 322.468 3.243 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(CCCCCF)CC1)c1ccccc1 ZINC001113664949 1177726798 /nfs/dbraw/zinc/72/67/98/1177726798.db2.gz HZYVAKFOKVRJHH-SFHVURJKSA-N 0 1 318.436 3.240 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(CCCCC)CC1)c1ccccc1 ZINC001113665109 1177727953 /nfs/dbraw/zinc/72/79/53/1177727953.db2.gz PXFHGMSIGJYWRQ-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN Cc1ccc([C@H](C)[NH2+][C@@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC001600374525 1192839206 /nfs/dbraw/zinc/83/92/06/1192839206.db2.gz ZJLCHGBHRYNRRT-XHDPSFHLSA-N 0 1 300.383 3.405 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(COC)CCCCC2)C1 ZINC001671138408 1178043471 /nfs/dbraw/zinc/04/34/71/1178043471.db2.gz VHDAJQHLBUVYFN-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C/C=C\Cc2ccccc2)C1 ZINC001671141870 1178046301 /nfs/dbraw/zinc/04/63/01/1178046301.db2.gz GWSBMDWEYYLKKS-FPLPWBNLSA-N 0 1 312.457 3.284 20 30 DGEDMN Cc1ccc(C(=O)C=CC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC001292190351 1178084236 /nfs/dbraw/zinc/08/42/36/1178084236.db2.gz VDRSBMBHOFWNPH-HJWRWDBZSA-N 0 1 305.337 3.249 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](CNCc1ncc(C)s1)C1CC1 ZINC001331289970 1178176039 /nfs/dbraw/zinc/17/60/39/1178176039.db2.gz OOYSRSYSHNCFAH-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001331614555 1178260321 /nfs/dbraw/zinc/26/03/21/1178260321.db2.gz HMAUXDJIKCBFPK-HNNXBMFYSA-N 0 1 322.880 3.462 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](F)CC1CCCCC1 ZINC001331614608 1178260533 /nfs/dbraw/zinc/26/05/33/1178260533.db2.gz HZLJPJJRIGFDDC-HIFRSBDPSA-N 0 1 318.864 3.484 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001332200497 1178389797 /nfs/dbraw/zinc/38/97/97/1178389797.db2.gz UDLGNFRMPPKZNE-RDJZCZTQSA-N 0 1 318.486 3.411 20 30 DGEDMN CC(C)N(CCN(C)Cc1cccc(Cl)c1)C(=O)[C@@H](C)C#N ZINC001332692530 1178474615 /nfs/dbraw/zinc/47/46/15/1178474615.db2.gz ABWBCROQUFIOHC-AWEZNQCLSA-N 0 1 321.852 3.168 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C2CC2)cc1)C(C)C ZINC001332740103 1178488297 /nfs/dbraw/zinc/48/82/97/1178488297.db2.gz AQORIGOBQDJONX-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001333109468 1178556840 /nfs/dbraw/zinc/55/68/40/1178556840.db2.gz YWGALEYVMNCBRR-ZRDIBKRKSA-N 0 1 317.260 3.078 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC2CCCCCC2)CC1 ZINC001333406168 1178601768 /nfs/dbraw/zinc/60/17/68/1178601768.db2.gz LTSAKJDFOMHUHA-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN Cc1oc(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)cc1C(=O)[O-] ZINC001600845068 1192903727 /nfs/dbraw/zinc/90/37/27/1192903727.db2.gz FHWVMOJOZLUQCZ-UHFFFAOYSA-N 0 1 322.364 3.447 20 30 DGEDMN N#C[C@@H](NC(=O)C(F)(F)c1c(F)cccc1F)C1CCCC1 ZINC001334282530 1178744305 /nfs/dbraw/zinc/74/43/05/1178744305.db2.gz VRPOHVHMHRCEEZ-GFCCVEGCSA-N 0 1 314.282 3.255 20 30 DGEDMN N#Cc1c(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)cccc1C(=O)[O-] ZINC001601081934 1192916334 /nfs/dbraw/zinc/91/63/34/1192916334.db2.gz RBQLGRSHGMCVMW-JTQLQIEISA-N 0 1 312.291 3.031 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)cccc1C(=O)[O-] ZINC001601081934 1192916337 /nfs/dbraw/zinc/91/63/37/1192916337.db2.gz RBQLGRSHGMCVMW-JTQLQIEISA-N 0 1 312.291 3.031 20 30 DGEDMN N#Cc1c(C[N@@H+]2CCC=C(c3ccco3)C2)cccc1C(=O)[O-] ZINC001601082131 1192916582 /nfs/dbraw/zinc/91/65/82/1192916582.db2.gz QHCMXBLVDAYBIE-UHFFFAOYSA-N 0 1 308.337 3.139 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC=C(c3ccco3)C2)cccc1C(=O)[O-] ZINC001601082131 1192916585 /nfs/dbraw/zinc/91/65/85/1192916585.db2.gz QHCMXBLVDAYBIE-UHFFFAOYSA-N 0 1 308.337 3.139 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+](C1CC1)C1(C(=O)[O-])CCC1 ZINC001601086889 1192916923 /nfs/dbraw/zinc/91/69/23/1192916923.db2.gz MTGUNADKYWYMSN-UHFFFAOYSA-N 0 1 304.777 3.183 20 30 DGEDMN N#CCC[C@H](C#N)CNCCCOc1cccc2cccnc21 ZINC001335407240 1178904711 /nfs/dbraw/zinc/90/47/11/1178904711.db2.gz JRRFOWVCUPMAHN-OAHLLOKOSA-N 0 1 308.385 3.037 20 30 DGEDMN CCCN(Cc1c(C)cnn1COC)Cc1ccc(C#N)cc1 ZINC001335407669 1178904825 /nfs/dbraw/zinc/90/48/25/1178904825.db2.gz XLBKNLPNJPYDQE-UHFFFAOYSA-N 0 1 312.417 3.079 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2C[C@H](C3CCCCC3)C[C@@H]2C(=O)[O-])o1 ZINC001601099824 1192918326 /nfs/dbraw/zinc/91/83/26/1192918326.db2.gz CXOISZPQNKQNRC-CZUORRHYSA-N 0 1 302.374 3.007 20 30 DGEDMN C[C@@H](CC#N)NC[C@@H](O)COC(c1ccccc1)c1ccccc1 ZINC001335899762 1178968946 /nfs/dbraw/zinc/96/89/46/1178968946.db2.gz YUINQWJLPJMWDF-QFBILLFUSA-N 0 1 324.424 3.045 20 30 DGEDMN N#CC1(CNC[C@@H](O)c2ccc(Br)cc2)CCCC1 ZINC001336036221 1178983726 /nfs/dbraw/zinc/98/37/26/1178983726.db2.gz ONTWJMMFALTGTQ-CQSZACIVSA-N 0 1 323.234 3.156 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)c1 ZINC001341940083 1179083003 /nfs/dbraw/zinc/08/30/03/1179083003.db2.gz BRAYXCHSKMOZQM-WLRQEKSISA-N 0 1 310.397 3.325 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)[C@H](C)c1ccccc1 ZINC001342070494 1179098532 /nfs/dbraw/zinc/09/85/32/1179098532.db2.gz CYNLIQAJWSLTRS-CQSZACIVSA-N 0 1 322.416 3.360 20 30 DGEDMN CCCCCCCNC(=O)[C@@H](N)Cc1ccc2cc[nH]c2c1 ZINC001342198805 1179115813 /nfs/dbraw/zinc/11/58/13/1179115813.db2.gz GQTMKYPKOKUZFC-INIZCTEOSA-N 0 1 301.434 3.124 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC=CCC1 ZINC001342530035 1179150395 /nfs/dbraw/zinc/15/03/95/1179150395.db2.gz WOXCREBYVYOHJE-UHFFFAOYSA-N 0 1 320.400 3.164 20 30 DGEDMN N#CC(C(=O)c1ccc(C(F)(F)F)cn1)c1cccc(F)n1 ZINC001342561556 1179153261 /nfs/dbraw/zinc/15/32/61/1179153261.db2.gz KADPHJDRFUBZLA-VIFPVBQESA-N 0 1 309.222 3.125 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1cccc(F)n1 ZINC001342559461 1179153948 /nfs/dbraw/zinc/15/39/48/1179153948.db2.gz AHDBHSPGAQRJOI-GFCCVEGCSA-N 0 1 324.290 3.240 20 30 DGEDMN N#CC(C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1cccc(F)n1 ZINC001342559461 1179153951 /nfs/dbraw/zinc/15/39/51/1179153951.db2.gz AHDBHSPGAQRJOI-GFCCVEGCSA-N 0 1 324.290 3.240 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cnn(C4CCC4)c3)[nH]c21 ZINC001342604058 1179159231 /nfs/dbraw/zinc/15/92/31/1179159231.db2.gz NNAHIKKHYPXHQA-AWEZNQCLSA-N 0 1 319.368 3.283 20 30 DGEDMN N#CC(C(=O)c1ncoc1-c1ccccc1)c1cccc(F)n1 ZINC001342640264 1179165029 /nfs/dbraw/zinc/16/50/29/1179165029.db2.gz IPOMJKZMODOMMJ-LBPRGKRZSA-N 0 1 307.284 3.366 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(C2CCCCC2)[nH]n1)c1cccc(F)n1 ZINC001342642042 1179165637 /nfs/dbraw/zinc/16/56/37/1179165637.db2.gz NZKYUAZSUGUYGB-GFCCVEGCSA-N 0 1 312.348 3.482 20 30 DGEDMN N#CC(C(=O)c1cc(C2CCCCC2)[nH]n1)c1cccc(F)n1 ZINC001342642042 1179165646 /nfs/dbraw/zinc/16/56/46/1179165646.db2.gz NZKYUAZSUGUYGB-GFCCVEGCSA-N 0 1 312.348 3.482 20 30 DGEDMN C#C[C@H](OC(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1)C1CC1 ZINC001342953549 1179214731 /nfs/dbraw/zinc/21/47/31/1179214731.db2.gz URTQTLAPZLABKI-JTQLQIEISA-N 0 1 322.386 3.059 20 30 DGEDMN C#C[C@@H](OC(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1)C1CC1 ZINC001342953550 1179214799 /nfs/dbraw/zinc/21/47/99/1179214799.db2.gz URTQTLAPZLABKI-SNVBAGLBSA-N 0 1 322.386 3.059 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)[C@@H]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001343404886 1179267776 /nfs/dbraw/zinc/26/77/76/1179267776.db2.gz YORHZGUTKHSPMI-VZZFWQQMSA-N 0 1 318.323 3.015 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc2cc[nH]c2c1)c1nc(C2CC2)cs1 ZINC001343504130 1179288134 /nfs/dbraw/zinc/28/81/34/1179288134.db2.gz ZCTVGKCZYULOHQ-NSHDSACASA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1cnc2cc[nH]c2c1)c1nc(C2CC2)cs1 ZINC001343504130 1179288149 /nfs/dbraw/zinc/28/81/49/1179288149.db2.gz ZCTVGKCZYULOHQ-NSHDSACASA-N 0 1 308.366 3.387 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCC1(C)CC1 ZINC001343591965 1179300753 /nfs/dbraw/zinc/30/07/53/1179300753.db2.gz YPSRPWCKZVTPHC-CYBMUJFWSA-N 0 1 314.385 3.313 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2ccccc2F)CCCC1 ZINC001343716963 1179321630 /nfs/dbraw/zinc/32/16/30/1179321630.db2.gz MRQJKJZOENMIOE-ZDUSSCGKSA-N 0 1 311.360 3.247 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@@H](NCc2conc2CC)C1 ZINC001479685417 1179331726 /nfs/dbraw/zinc/33/17/26/1179331726.db2.gz PLIKIKKBCDRFKA-MRXNPFEDSA-N 0 1 319.449 3.064 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2cccc(Cl)c2)CC1 ZINC001344321965 1179412697 /nfs/dbraw/zinc/41/26/97/1179412697.db2.gz FLWFOGUFBBVMNV-AWEZNQCLSA-N 0 1 313.788 3.464 20 30 DGEDMN C#C[C@H](CC)OC(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1 ZINC001344340010 1179416358 /nfs/dbraw/zinc/41/63/58/1179416358.db2.gz MYPROBZHEUDSDI-SECBINFHSA-N 0 1 310.375 3.059 20 30 DGEDMN N#C[C@H](C(=O)CCC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001344546105 1179449168 /nfs/dbraw/zinc/44/91/68/1179449168.db2.gz ZUBQLSRDOHJQBD-CQSZACIVSA-N 0 1 308.385 3.359 20 30 DGEDMN CCc1ccc(-c2n[nH]c(SCCO[C@@H](C)C#N)n2)cc1 ZINC001344689011 1179466756 /nfs/dbraw/zinc/46/67/56/1179466756.db2.gz MUEULEHXGYHXCP-NSHDSACASA-N 0 1 302.403 3.055 20 30 DGEDMN CCCc1nc(C)c(C(=O)C(C#N)c2ncc(Cl)n2C)s1 ZINC001344863845 1179488864 /nfs/dbraw/zinc/48/88/64/1179488864.db2.gz KLTYEYLDEHVRSN-SECBINFHSA-N 0 1 322.821 3.281 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC[C@H](C(F)(F)F)O1)c1ccccn1 ZINC001346221812 1179672371 /nfs/dbraw/zinc/67/23/71/1179672371.db2.gz UNALCWINHZTRJP-UHIISALHSA-N 0 1 312.291 3.148 20 30 DGEDMN C=C(CC)C(=O)N[C@H]1CCCN(Cc2cc(Cl)ccc2O)C1 ZINC001347043722 1179771439 /nfs/dbraw/zinc/77/14/39/1179771439.db2.gz SASNXRJURDGUSX-HNNXBMFYSA-N 0 1 322.836 3.092 20 30 DGEDMN C=C(CC)C(=O)N[C@H]1CCC[N@H+](Cc2cc(Cl)ccc2[O-])C1 ZINC001347043722 1179771443 /nfs/dbraw/zinc/77/14/43/1179771443.db2.gz SASNXRJURDGUSX-HNNXBMFYSA-N 0 1 322.836 3.092 20 30 DGEDMN C=C(CC)C(=O)N[C@H]1CCC[N@@H+](Cc2cc(Cl)ccc2[O-])C1 ZINC001347043722 1179771448 /nfs/dbraw/zinc/77/14/48/1179771448.db2.gz SASNXRJURDGUSX-HNNXBMFYSA-N 0 1 322.836 3.092 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cc(-c3ccccc3)ccn2)CC1 ZINC001347392295 1179801935 /nfs/dbraw/zinc/80/19/35/1179801935.db2.gz RSFUPGZFWQGXGI-UHFFFAOYSA-N 0 1 320.392 3.003 20 30 DGEDMN C[C@H]1C[C@@H]1c1ccc(CNCCC(=O)Nc2cccc(C#N)c2)o1 ZINC001348950437 1179854683 /nfs/dbraw/zinc/85/46/83/1179854683.db2.gz CNUBPMRRQZCRAG-GUYCJALGSA-N 0 1 323.396 3.393 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cnc(CC(C)C)s1 ZINC001349701658 1179935428 /nfs/dbraw/zinc/93/54/28/1179935428.db2.gz IHHYCYLZMIHLQX-NSHDSACASA-N 0 1 302.403 3.048 20 30 DGEDMN C#CCCCC(=O)N(CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001479992133 1179948276 /nfs/dbraw/zinc/94/82/76/1179948276.db2.gz LIVUPXQZUZDUEP-INIZCTEOSA-N 0 1 310.457 3.241 20 30 DGEDMN C#CC[N@@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)Cc1ccccc1 ZINC001602721193 1192995050 /nfs/dbraw/zinc/99/50/50/1192995050.db2.gz ZTIRWQJQVFPWPS-UHFFFAOYSA-N 0 1 308.381 3.226 20 30 DGEDMN C#CC[N@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)Cc1ccccc1 ZINC001602721193 1192995051 /nfs/dbraw/zinc/99/50/51/1192995051.db2.gz ZTIRWQJQVFPWPS-UHFFFAOYSA-N 0 1 308.381 3.226 20 30 DGEDMN CSc1ccc(CNCc2cnc(N(C)C)s2)cc1C#N ZINC001350294825 1180047333 /nfs/dbraw/zinc/04/73/33/1180047333.db2.gz UHJBKKDQJGIEBA-UHFFFAOYSA-N 0 1 318.471 3.092 20 30 DGEDMN CCCCCCCCCCNC(=S)N1CCN2CC[C@@H]2C1 ZINC001200216919 1180457514 /nfs/dbraw/zinc/45/75/14/1180457514.db2.gz LVBZPMUENGJBKS-MRXNPFEDSA-N 0 1 311.539 3.392 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NCc2c(F)cccc2F)C1 ZINC001100371195 1180623969 /nfs/dbraw/zinc/62/39/69/1180623969.db2.gz UVKOWANMFWKLBX-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1n[nH]c(C(F)(F)F)c1C ZINC001353905243 1180679854 /nfs/dbraw/zinc/67/98/54/1180679854.db2.gz OQMXUTPZVWLORV-JTQLQIEISA-N 0 1 301.312 3.308 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100595075 1180834825 /nfs/dbraw/zinc/83/48/25/1180834825.db2.gz LLKLRQNCSJJUGV-GRTSSRMGSA-N 0 1 321.490 3.038 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1csc(C#N)c1)Cc1ccccc1)C(=O)[O-] ZINC001604166228 1193057459 /nfs/dbraw/zinc/05/74/59/1193057459.db2.gz XZRJOLLESLQJCW-ZDUSSCGKSA-N 0 1 314.410 3.343 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100644795 1180885704 /nfs/dbraw/zinc/88/57/04/1180885704.db2.gz ILVCDPDBEGDZBD-QBEXNJGHSA-N 0 1 319.276 3.392 20 30 DGEDMN C[C@]1(C(=O)[O-])CCC[N@@H+](Cc2cccc(OCCCC#N)c2)C1 ZINC001604496027 1193076279 /nfs/dbraw/zinc/07/62/79/1193076279.db2.gz BSOOVUCELLHVFA-SFHVURJKSA-N 0 1 316.401 3.056 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC001604496491 1193076504 /nfs/dbraw/zinc/07/65/04/1193076504.db2.gz NHBWNVJFXWDKBP-SFHVURJKSA-N 0 1 300.402 3.174 20 30 DGEDMN C=C(CC(C)C)C(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001545813337 1181766903 /nfs/dbraw/zinc/76/69/03/1181766903.db2.gz DYTKMEIGWLNKGV-IRXDYDNUSA-N 0 1 304.409 3.289 20 30 DGEDMN Cc1cc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)cc(C)c1C#N ZINC001435138820 1181791285 /nfs/dbraw/zinc/79/12/85/1181791285.db2.gz KTHPSGUWYZDLJA-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN C=C(C)C(C)(C)C(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001439999917 1182024482 /nfs/dbraw/zinc/02/44/82/1182024482.db2.gz WGIHWLDRXDWAFD-UHFFFAOYSA-N 0 1 315.373 3.280 20 30 DGEDMN CCN(C(=O)CCc1cc(C)[nH]n1)[C@H](C)c1ccc(C#N)cc1 ZINC001442212142 1182077460 /nfs/dbraw/zinc/07/74/60/1182077460.db2.gz KCAFUDYCNALCEH-CQSZACIVSA-N 0 1 310.401 3.132 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2oc3ccccc3c2Br)NO1 ZINC001447230918 1182185402 /nfs/dbraw/zinc/18/54/02/1182185402.db2.gz JDCIDNVXEVHGCK-ZETCQYMHSA-N 0 1 323.146 3.047 20 30 DGEDMN C#CCCCCCC(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001448107138 1182201195 /nfs/dbraw/zinc/20/11/95/1182201195.db2.gz VUVDEYMCOPTORF-CYBMUJFWSA-N 0 1 313.401 3.332 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC001450899505 1182259262 /nfs/dbraw/zinc/25/92/62/1182259262.db2.gz FDPLVAKXQJAHIZ-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN C#CCN(CC#CC)CCCc1ccccc1Br ZINC001459572016 1182595161 /nfs/dbraw/zinc/59/51/61/1182595161.db2.gz GYSGXWNCQXDJCB-UHFFFAOYSA-N 0 1 304.231 3.340 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)NC2CCCCC2)CC1 ZINC001459694123 1182605852 /nfs/dbraw/zinc/60/58/52/1182605852.db2.gz OWZWGVYAKQUREC-UHFFFAOYSA-N 0 1 307.482 3.393 20 30 DGEDMN C#CCCCCCCN1CCO[C@@H](COc2ccccc2)C1 ZINC001459940535 1182630787 /nfs/dbraw/zinc/63/07/87/1182630787.db2.gz DQXYVCLMTWHOBK-LJQANCHMSA-N 0 1 301.430 3.350 20 30 DGEDMN C=C(Br)CN1C[C@H]2CCN(Cc3ccccc3)[C@H]2C1 ZINC001460280609 1182671273 /nfs/dbraw/zinc/67/12/73/1182671273.db2.gz UFHFFSLNVCPVEJ-CVEARBPZSA-N 0 1 321.262 3.101 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC001460361055 1182682089 /nfs/dbraw/zinc/68/20/89/1182682089.db2.gz LDNWCMUIDQHURO-KBPBESRZSA-N 0 1 317.389 3.210 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(-c3ccccn3)n[nH]2)cc1 ZINC001461494121 1182819336 /nfs/dbraw/zinc/81/93/36/1182819336.db2.gz MHHPZQWETCCYBQ-UHFFFAOYSA-N 0 1 320.396 3.326 20 30 DGEDMN C=CC(C)(C)CNCc1cn(-c2ccc(F)c(Cl)c2)nn1 ZINC001462248504 1182928921 /nfs/dbraw/zinc/92/89/21/1182928921.db2.gz LAQAKRVHIXWZJZ-UHFFFAOYSA-N 0 1 308.788 3.362 20 30 DGEDMN C#C[C@H](NCc1c(Cl)cccc1N1CCOCC1)C(C)(C)C ZINC001462291884 1182935400 /nfs/dbraw/zinc/93/54/00/1182935400.db2.gz GHRACXYPJYXTBE-KRWDZBQOSA-N 0 1 320.864 3.314 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(Cc2ccc(F)cc2)C1 ZINC001462321313 1182939530 /nfs/dbraw/zinc/93/95/30/1182939530.db2.gz MQMZHBLRLKUFBL-UHFFFAOYSA-N 0 1 323.415 3.438 20 30 DGEDMN C[N@@H+](Cc1c2ccccc2[nH]c1C(=O)[O-])Cc1cccc(C#N)c1 ZINC001606165723 1193192884 /nfs/dbraw/zinc/19/28/84/1193192884.db2.gz GFYOBBPZCKFIFB-UHFFFAOYSA-N 0 1 319.364 3.370 20 30 DGEDMN COc1ccc2[nH]c(NC(=O)c3ccc(C#N)c(O)c3)cc2c1 ZINC001466838678 1183227132 /nfs/dbraw/zinc/22/71/32/1183227132.db2.gz LOTYSQUBPFMFSV-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCN1C1CCCC1)c1ccc(Cl)cc1 ZINC001468721848 1183353485 /nfs/dbraw/zinc/35/34/85/1183353485.db2.gz ZKWIBLNBHXOWAH-IAGOWNOFSA-N 0 1 316.832 3.147 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)N1CCN(C(C)(C)C)CC1 ZINC001470785770 1183514117 /nfs/dbraw/zinc/51/41/17/1183514117.db2.gz DCNIUCMNPYTQIY-JKSUJKDBSA-N 0 1 307.482 3.247 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2cccc(C#N)c2C)n[nH]1 ZINC001472103982 1183616802 /nfs/dbraw/zinc/61/68/02/1183616802.db2.gz LNPGTJPASHDXTJ-QGZVFWFLSA-N 0 1 308.385 3.266 20 30 DGEDMN O=C(c1cn[nH]c1-c1ccsc1)N(O)Cc1ccc(F)cc1 ZINC001472622240 1183658510 /nfs/dbraw/zinc/65/85/10/1183658510.db2.gz HMYVZHCJNJHNBB-UHFFFAOYSA-N 0 1 317.345 3.309 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1CC#Cc1ccc(F)cc1 ZINC001473170713 1183724147 /nfs/dbraw/zinc/72/41/47/1183724147.db2.gz OSCBHRKAGKDVCR-GOSISDBHSA-N 0 1 322.427 3.384 20 30 DGEDMN Cc1ccc(NC(=O)CCN(CCC#N)CC(C)C)cc1F ZINC001473179487 1183726068 /nfs/dbraw/zinc/72/60/68/1183726068.db2.gz RLYYLHCSDBUAPQ-UHFFFAOYSA-N 0 1 305.397 3.334 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H]1CCC[C@@]2(CCOC2)O1 ZINC001473203567 1183728331 /nfs/dbraw/zinc/72/83/31/1183728331.db2.gz FRSIVQIMFOTUOB-ROUUACIJSA-N 0 1 308.466 3.366 20 30 DGEDMN CC[C@@H]1CCN([C@H](C)C(=O)Nc2oc(C)c(C)c2C#N)[C@@H]1C ZINC001473402447 1183752539 /nfs/dbraw/zinc/75/25/39/1183752539.db2.gz KOFLBPOGOXUJPZ-YRGRVCCFSA-N 0 1 303.406 3.216 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H](CO)C[C@@H](C)CC ZINC001474189019 1183878629 /nfs/dbraw/zinc/87/86/29/1183878629.db2.gz LAKXFBGMMAANJI-UONOGXRCSA-N 0 1 309.837 3.239 20 30 DGEDMN C#CCC1(NCc2sc(N3CCCC3)nc2Cl)CCC1 ZINC001474719447 1183968018 /nfs/dbraw/zinc/96/80/18/1183968018.db2.gz WPJRJWKSLUIIMV-UHFFFAOYSA-N 0 1 309.866 3.432 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1c(C)cccc1Cl ZINC001479226798 1184211127 /nfs/dbraw/zinc/21/11/27/1184211127.db2.gz WHSLFUDRDCFFNN-OAHLLOKOSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)OC1CCCCCC1 ZINC001479244793 1184216318 /nfs/dbraw/zinc/21/63/18/1184216318.db2.gz LICCJLXDFZJGPI-SJORKVTESA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001479249976 1184217697 /nfs/dbraw/zinc/21/76/97/1184217697.db2.gz MLGHJZYFDRLJIK-WMZOPIPTSA-N 0 1 300.446 3.207 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1(CC(C)C)CCCC1 ZINC001479254105 1184219137 /nfs/dbraw/zinc/21/91/37/1184219137.db2.gz AGBOYQPHRMOFHP-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C=C(CC)CC)C1 ZINC001479421871 1184250504 /nfs/dbraw/zinc/25/05/04/1184250504.db2.gz COTCPAGOYPRZDN-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCCNCc1ccon1 ZINC001479590614 1184272736 /nfs/dbraw/zinc/27/27/36/1184272736.db2.gz TUGFAMVJPMVPCD-NVXWUHKLSA-N 0 1 319.449 3.138 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1c[nH]c2ccc(C)cc21 ZINC001479740324 1184309927 /nfs/dbraw/zinc/30/99/27/1184309927.db2.gz ICYDJGFICOTGAN-INIZCTEOSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CC[N@H+]1CCC2(CN(C(=O)[C@@H]3CCC[C@H]3c3ccccc3)C2)C1 ZINC001479758402 1184314915 /nfs/dbraw/zinc/31/49/15/1184314915.db2.gz HFFZIDOBDCBDKA-RBUKOAKNSA-N 0 1 324.468 3.291 20 30 DGEDMN CC(C)CC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001479881773 1184364248 /nfs/dbraw/zinc/36/42/48/1184364248.db2.gz TYZPFUWAPWUTQY-UHFFFAOYSA-N 0 1 312.457 3.007 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](CCCCC)C(C)C)CC1 ZINC001479894387 1184366288 /nfs/dbraw/zinc/36/62/88/1184366288.db2.gz QASWYFFQJXHXDC-SFHVURJKSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](CCCCC)C(C)C)CC1 ZINC001479894386 1184366913 /nfs/dbraw/zinc/36/69/13/1184366913.db2.gz QASWYFFQJXHXDC-GOSISDBHSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C/C=C/c2ccc(C)cc2)CC1 ZINC001479897122 1184368740 /nfs/dbraw/zinc/36/87/40/1184368740.db2.gz ZUBUWTLMWWZFRU-VOTSOKGWSA-N 0 1 324.468 3.344 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C(C)(C)CC(C)(C)C)CC1 ZINC001479895273 1184368928 /nfs/dbraw/zinc/36/89/28/1184368928.db2.gz QQQNITMLTAWSGZ-UHFFFAOYSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccc(F)s2)CC1 ZINC001479996659 1184406982 /nfs/dbraw/zinc/40/69/82/1184406982.db2.gz PZCWIRGHGHODHQ-UHFFFAOYSA-N 0 1 322.449 3.227 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001480201030 1184458365 /nfs/dbraw/zinc/45/83/65/1184458365.db2.gz AJVDDDUMKVRNJU-MELADBBJSA-N 0 1 320.383 3.066 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)[C@H](F)Cc1ccccc1 ZINC001480331984 1184495715 /nfs/dbraw/zinc/49/57/15/1184495715.db2.gz OZYZUUBHEDRAHU-ZWKOTPCHSA-N 0 1 318.436 3.114 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccccc2C(C)(C)C)CC1 ZINC001480366473 1184506521 /nfs/dbraw/zinc/50/65/21/1184506521.db2.gz IARXEKQDUUXKMY-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCCc3ccccc32)CC1 ZINC001480369810 1184507689 /nfs/dbraw/zinc/50/76/89/1184507689.db2.gz PLTOKCMJQHWRKR-IBGZPJMESA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2nc(C)ccc2c1 ZINC001480558688 1184547949 /nfs/dbraw/zinc/54/79/49/1184547949.db2.gz DUAZHCKNHFWAHS-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(CCC)c(C)s1 ZINC001480585840 1184554140 /nfs/dbraw/zinc/55/41/40/1184554140.db2.gz GUEYRJOEVIYJHW-LLVKDONJSA-N 0 1 314.882 3.469 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cc(C)no2)CCCCC1 ZINC001480598785 1184556749 /nfs/dbraw/zinc/55/67/49/1184556749.db2.gz VERQSKFFVWVGAW-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001493081196 1184644546 /nfs/dbraw/zinc/64/45/46/1184644546.db2.gz QBMWKOYOZFDCAA-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)[N@@H+]1CC#Cc1ccc(F)cc1 ZINC001608803164 1193304989 /nfs/dbraw/zinc/30/49/89/1193304989.db2.gz KLWHFPVCUQOEFL-OALUTQOASA-N 0 1 323.367 3.468 20 30 DGEDMN O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)[N@H+]1CC#Cc1ccc(F)cc1 ZINC001608803164 1193304992 /nfs/dbraw/zinc/30/49/92/1193304992.db2.gz KLWHFPVCUQOEFL-OALUTQOASA-N 0 1 323.367 3.468 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1ccccc1Cl ZINC001493653128 1184723028 /nfs/dbraw/zinc/72/30/28/1184723028.db2.gz FRBUAMMCTPREBY-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1cc(F)ccc1F ZINC001493683329 1184725012 /nfs/dbraw/zinc/72/50/12/1184725012.db2.gz ACBXCADGVMVXNX-QGZVFWFLSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1sccc1C(C)C ZINC001493803271 1184739704 /nfs/dbraw/zinc/73/97/04/1184739704.db2.gz KVSHJEDDHCHRHG-UHFFFAOYSA-N 0 1 300.855 3.286 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cccc(C)c1F)c1ccccc1 ZINC001493890316 1184759965 /nfs/dbraw/zinc/75/99/65/1184759965.db2.gz YXEDNPVCDBBBAQ-SFHVURJKSA-N 0 1 324.399 3.218 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C(F)F)nc2)C1 ZINC001493956284 1184776023 /nfs/dbraw/zinc/77/60/23/1184776023.db2.gz MSPQMQMDCYPSIM-CQSZACIVSA-N 0 1 323.387 3.132 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccc3cc[nH]c32)C1 ZINC001493968549 1184780911 /nfs/dbraw/zinc/78/09/11/1184780911.db2.gz RUYLDCNSOCTACD-KRWDZBQOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001494149049 1184817222 /nfs/dbraw/zinc/81/72/22/1184817222.db2.gz YOXZCQRGOSMBSJ-WCXIOVBPSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2CCCc3ccccc32)C1 ZINC001494406842 1184866955 /nfs/dbraw/zinc/86/69/55/1184866955.db2.gz PNGFYKUUNCLUBK-BEFAXECRSA-N 0 1 312.457 3.262 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc(C(F)F)c2)C1 ZINC001494488698 1184876702 /nfs/dbraw/zinc/87/67/02/1184876702.db2.gz ZEWWTIMJKOHZQF-GFCCVEGCSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001494513276 1184879296 /nfs/dbraw/zinc/87/92/96/1184879296.db2.gz DRRJIOSMVPEJKW-GJZGRUSLSA-N 0 1 318.436 3.159 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC001494598231 1184894095 /nfs/dbraw/zinc/89/40/95/1184894095.db2.gz DZDAFDFYTFWLEI-SFHVURJKSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001494845477 1184941564 /nfs/dbraw/zinc/94/15/64/1184941564.db2.gz FKBXQDRSYRTYFC-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1ccc(C)cc1 ZINC001495018144 1184979814 /nfs/dbraw/zinc/97/98/14/1184979814.db2.gz ZPDPTOQFALIVFB-INIZCTEOSA-N 0 1 308.853 3.165 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc(-c2nn[nH]n2)c(F)c1 ZINC001609057558 1193322231 /nfs/dbraw/zinc/32/22/31/1193322231.db2.gz ZCQOMPDAKWRJTB-UHFFFAOYSA-N 0 1 323.375 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001495067992 1184992214 /nfs/dbraw/zinc/99/22/14/1184992214.db2.gz ZZHHKEXPJHNGNJ-ZBFHGGJFSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2c(s1)CCC2 ZINC001495103003 1184996258 /nfs/dbraw/zinc/99/62/58/1184996258.db2.gz GRMYPHWYAIRLDJ-GFCCVEGCSA-N 0 1 312.866 3.087 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1sccc1C1CC1 ZINC001495103969 1184997536 /nfs/dbraw/zinc/99/75/36/1184997536.db2.gz MOBZYIPNEDVNDP-LBPRGKRZSA-N 0 1 312.866 3.476 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CCNC/C(Cl)=C/Cl)C1 ZINC001495344811 1185036529 /nfs/dbraw/zinc/03/65/29/1185036529.db2.gz SVJRTLNMRQTDKL-ODUNQGDFSA-N 0 1 319.276 3.490 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C2CCCCCC2)C1 ZINC001495830402 1185106942 /nfs/dbraw/zinc/10/69/42/1185106942.db2.gz JRFSOIORXJSBST-WMZOPIPTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)CC2=CCCCC2)C1 ZINC001495837875 1185108295 /nfs/dbraw/zinc/10/82/95/1185108295.db2.gz NDFYEUIOTDYPSJ-AEFFLSMTSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@@H](CC(C)C)C(=O)[O-] ZINC001609248299 1193332124 /nfs/dbraw/zinc/33/21/24/1193332124.db2.gz LEYDOSDCECUJCP-INIZCTEOSA-N 0 1 307.438 3.341 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@@H]1CCCC1(F)F ZINC001496143170 1185139777 /nfs/dbraw/zinc/13/97/77/1185139777.db2.gz CICXWYQDDWFZTO-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1cc(C)no1)CC(C)C ZINC001496285578 1185159903 /nfs/dbraw/zinc/15/99/03/1185159903.db2.gz UOJGDVWZHCGVQB-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CCNCc1coc(C)n1 ZINC001496416776 1185182795 /nfs/dbraw/zinc/18/27/95/1185182795.db2.gz WYTDVQAWIQTZNP-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CC[N@H+](Cc1ccoc1C(=O)[O-])Cc1ccc(OC)cc1 ZINC001609371541 1193335549 /nfs/dbraw/zinc/33/55/49/1193335549.db2.gz JPOUKLBJJHAJHU-UHFFFAOYSA-N 0 1 301.342 3.175 20 30 DGEDMN C=CC[N@@H+](Cc1ccoc1C(=O)[O-])Cc1ccc(OC)cc1 ZINC001609371541 1193335555 /nfs/dbraw/zinc/33/55/55/1193335555.db2.gz JPOUKLBJJHAJHU-UHFFFAOYSA-N 0 1 301.342 3.175 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CCNCc2ccon2)CCCCC1 ZINC001497289131 1185309829 /nfs/dbraw/zinc/30/98/29/1185309829.db2.gz PCKBRPFZOIFUEZ-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1ccc(F)cc1F ZINC001497685452 1185375281 /nfs/dbraw/zinc/37/52/81/1185375281.db2.gz LZOQHALUFNSEHI-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2ccccc2nc1C ZINC001497822854 1185398702 /nfs/dbraw/zinc/39/87/02/1185398702.db2.gz PTCIKVJBPGRZCU-GFCCVEGCSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2ccsc2)CCC1 ZINC001498375657 1185481885 /nfs/dbraw/zinc/48/18/85/1185481885.db2.gz MTRXBGNCHJZQEV-CQSZACIVSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001498377762 1185483078 /nfs/dbraw/zinc/48/30/78/1185483078.db2.gz BJKFDWFHONKPDG-SJORKVTESA-N 0 1 314.473 3.202 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1ccc(C#N)cc1OC)CC1CC1 ZINC001609890755 1193362304 /nfs/dbraw/zinc/36/23/04/1193362304.db2.gz HIEZSLJIKDZTOD-SFHVURJKSA-N 0 1 316.401 3.032 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1csc(C)n1)C1CC1 ZINC001498770115 1185544055 /nfs/dbraw/zinc/54/40/55/1185544055.db2.gz XTCNZNCMAXEZKW-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c(C)cc(F)cc1C)C1CC1 ZINC001498800156 1185548803 /nfs/dbraw/zinc/54/88/03/1185548803.db2.gz VRZPLXUHRLCWCS-OAHLLOKOSA-N 0 1 324.827 3.293 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc2cc[nH]c2c1)C1CC1 ZINC001498827534 1185552919 /nfs/dbraw/zinc/55/29/19/1185552919.db2.gz JYXURZTYQWWXBK-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCN1Cc1ccccc1C1CC1 ZINC001498977854 1185585948 /nfs/dbraw/zinc/58/59/48/1185585948.db2.gz SLCAFMDCADNRPM-GOSISDBHSA-N 0 1 324.468 3.304 20 30 DGEDMN C[C@H]1CCC[C@@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001499329636 1185638051 /nfs/dbraw/zinc/63/80/51/1185638051.db2.gz HMTMUJBIOODYER-PKOBYXMFSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2cc(C)cc(C)c2)CC1 ZINC001499410781 1185647442 /nfs/dbraw/zinc/64/74/42/1185647442.db2.gz YBFCPQDBVDLVTH-UHFFFAOYSA-N 0 1 320.864 3.227 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H](C)CCC)C2)CC1 ZINC001499583039 1185672387 /nfs/dbraw/zinc/67/23/87/1185672387.db2.gz DGRJITULNHWMRP-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)C2CC(F)(F)C2)C1 ZINC001499650161 1185682378 /nfs/dbraw/zinc/68/23/78/1185682378.db2.gz QIOJGLYPGLMZNM-UHFFFAOYSA-N 0 1 302.409 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC001499712051 1185685804 /nfs/dbraw/zinc/68/58/04/1185685804.db2.gz OORSWQKPPZALFB-OWCLPIDISA-N 0 1 304.478 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001499713644 1185685831 /nfs/dbraw/zinc/68/58/31/1185685831.db2.gz CMSKWLRYLISZMN-QAPCUYQASA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(OCC)cc2)C1 ZINC001499780274 1185694536 /nfs/dbraw/zinc/69/45/36/1185694536.db2.gz OKDUYPZNELBWNT-OAHLLOKOSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccn1 ZINC001500140956 1185746430 /nfs/dbraw/zinc/74/64/30/1185746430.db2.gz LYUONYPVVLLWGV-MSOLQXFVSA-N 0 1 313.445 3.003 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(C)CCN(Cc2ccccc2F)C1 ZINC001500221489 1185759551 /nfs/dbraw/zinc/75/95/51/1185759551.db2.gz UEYYDGOLCAZUKJ-GOSISDBHSA-N 0 1 304.409 3.263 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001501007768 1185854676 /nfs/dbraw/zinc/85/46/76/1185854676.db2.gz KIMQAJQXRBPWAS-BBWFWOEESA-N 0 1 322.880 3.292 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H](C)C1CC1)c1ccccc1CC ZINC001501023320 1185857154 /nfs/dbraw/zinc/85/71/54/1185857154.db2.gz WIZNFBIGGMSGMF-BEFAXECRSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC=C)CCCCC1 ZINC001501407977 1185901359 /nfs/dbraw/zinc/90/13/59/1185901359.db2.gz MKEGDEUYTXFWPW-MSOLQXFVSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)[C@@H](C)CC)C2)CC1 ZINC001501746982 1185929036 /nfs/dbraw/zinc/92/90/36/1185929036.db2.gz YDKCGZQMGXBPML-DZGCQCFKSA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C[C@@H]3C=CCC3)cccc2C1 ZINC001501851880 1185931975 /nfs/dbraw/zinc/93/19/75/1185931975.db2.gz VLOCAYNDDXRNMF-QGZVFWFLSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3ccccc3C#N)CCC2)C1 ZINC001501998671 1185946082 /nfs/dbraw/zinc/94/60/82/1185946082.db2.gz RLTBMDAIDHEAEX-UHFFFAOYSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C23CCC(CC2)CC3)CCC1 ZINC001502029449 1185949281 /nfs/dbraw/zinc/94/92/81/1185949281.db2.gz YAKUZBBSFGFBQG-UHFFFAOYSA-N 0 1 310.869 3.338 20 30 DGEDMN C#CCCCC(=O)N(C)CCCN(C)Cc1cc(C)ccc1F ZINC001502178110 1185969664 /nfs/dbraw/zinc/96/96/64/1185969664.db2.gz RIDYEPLJKFOUIJ-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CCC(F)F ZINC001502532894 1185992603 /nfs/dbraw/zinc/99/26/03/1185992603.db2.gz ZXQZMJPEFMXMOO-INIZCTEOSA-N 0 1 322.399 3.151 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001502532795 1185992698 /nfs/dbraw/zinc/99/26/98/1185992698.db2.gz YEROWAHCFIVHPP-IEBWSBKVSA-N 0 1 300.446 3.152 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)CCC2CC2)CCN1CC#Cc1ccccc1 ZINC001502910217 1186026741 /nfs/dbraw/zinc/02/67/41/1186026741.db2.gz KJGZOOPENKXOTR-YLJYHZDGSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2oc(C)nc2C)[C@H](C)C1 ZINC001502970489 1186032316 /nfs/dbraw/zinc/03/23/16/1186032316.db2.gz RWBKZGOCPQGNJX-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H](C)C1 ZINC001502986120 1186033635 /nfs/dbraw/zinc/03/36/35/1186033635.db2.gz JHYSXZLEJHXWCG-BBRMVZONSA-N 0 1 319.449 3.054 20 30 DGEDMN CCC1(C(=O)N[C@H]2CCN(CC#Cc3ccccc3)[C@@H](C)C2)CC1 ZINC001502991389 1186034914 /nfs/dbraw/zinc/03/49/14/1186034914.db2.gz WGYQGAZKGGWUBK-HKUYNNGSSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](CCC)C(C)C ZINC001505415606 1186071612 /nfs/dbraw/zinc/07/16/12/1186071612.db2.gz PNUDSPJVUXXRAA-BHIYHBOVSA-N 0 1 312.457 3.064 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)C1CCCC1 ZINC001505417439 1186072063 /nfs/dbraw/zinc/07/20/63/1186072063.db2.gz FUEXSQSPSPVPMS-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1cnccc1C ZINC001505443330 1186081364 /nfs/dbraw/zinc/08/13/64/1186081364.db2.gz IMMIWNSBIWCDNY-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)Cc1cnccc1C ZINC001505443330 1186081366 /nfs/dbraw/zinc/08/13/66/1186081366.db2.gz IMMIWNSBIWCDNY-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC001505574295 1186106351 /nfs/dbraw/zinc/10/63/51/1186106351.db2.gz HONFGVMWUBFKBQ-NWDGAFQWSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001505614784 1186114176 /nfs/dbraw/zinc/11/41/76/1186114176.db2.gz RWPOIGZRHICREL-UONOGXRCSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001505612889 1186114639 /nfs/dbraw/zinc/11/46/39/1186114639.db2.gz ANPIMDKWMUFBQU-LSDHHAIUSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(Cl)c(C)c1 ZINC001505680952 1186123622 /nfs/dbraw/zinc/12/36/22/1186123622.db2.gz HKNAAPRVOJUTTK-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(F)ccc(C)c1F ZINC001505686981 1186125569 /nfs/dbraw/zinc/12/55/69/1186125569.db2.gz XKZJKRAWZWFIPW-QWRGUYRKSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(F)ccc(C)c1F ZINC001505686979 1186125723 /nfs/dbraw/zinc/12/57/23/1186125723.db2.gz XKZJKRAWZWFIPW-GHMZBOCLSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001505697708 1186126018 /nfs/dbraw/zinc/12/60/18/1186126018.db2.gz KRYKORTWYLEJCA-VXGBXAGGSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001505697705 1186126065 /nfs/dbraw/zinc/12/60/65/1186126065.db2.gz KRYKORTWYLEJCA-NEPJUHHUSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2ccn(C)c2c1 ZINC001505723683 1186129047 /nfs/dbraw/zinc/12/90/47/1186129047.db2.gz CIMLBTURCIBRDY-CHWSQXEVSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2ccn(C)c2c1 ZINC001505723684 1186129148 /nfs/dbraw/zinc/12/91/48/1186129148.db2.gz CIMLBTURCIBRDY-OLZOCXBDSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1csnc1C ZINC001506543071 1186244211 /nfs/dbraw/zinc/24/42/11/1186244211.db2.gz VCXOLGGCBZZDHY-GFCCVEGCSA-N 0 1 315.870 3.082 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001506557582 1186246364 /nfs/dbraw/zinc/24/63/64/1186246364.db2.gz IJGKMSCPTRFNSR-LJQANCHMSA-N 0 1 324.468 3.003 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001506614526 1186262284 /nfs/dbraw/zinc/26/22/84/1186262284.db2.gz JHNUVUACESHXKG-HNNXBMFYSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001506658047 1186270012 /nfs/dbraw/zinc/27/00/12/1186270012.db2.gz CLZNUGXIUVPWHO-SJLPKXTDSA-N 0 1 314.473 3.186 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001506677065 1186273691 /nfs/dbraw/zinc/27/36/91/1186273691.db2.gz CYXFQROQVHWEHE-WBVHZDCISA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)C=C(CC)CC)C2)C1 ZINC001506720138 1186278172 /nfs/dbraw/zinc/27/81/72/1186278172.db2.gz UKLCDGURTZIKIK-LJQANCHMSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@]2(C)CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001506863922 1186298628 /nfs/dbraw/zinc/29/86/28/1186298628.db2.gz MTFDNKRPDBXWRD-HGGBWVLCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC001506963930 1186327989 /nfs/dbraw/zinc/32/79/89/1186327989.db2.gz CFCRKQSCYRRTIO-UHFFFAOYSA-N 0 1 321.490 3.168 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CC(C)(C)CC ZINC001507025339 1186342469 /nfs/dbraw/zinc/34/24/69/1186342469.db2.gz IRIIWXDVRMTAOI-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1CCC(F)(F)CC1 ZINC001507125238 1186366625 /nfs/dbraw/zinc/36/66/25/1186366625.db2.gz LVYHINVDHXJPDE-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H]1CCc2ccccc21 ZINC001507139594 1186370371 /nfs/dbraw/zinc/37/03/71/1186370371.db2.gz XDCQLRQOSXECLA-GOEBONIOSA-N 0 1 320.864 3.296 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)cs2)C(C)(C)C1 ZINC001507442716 1186430698 /nfs/dbraw/zinc/43/06/98/1186430698.db2.gz JDUGNKKFIPVEAZ-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)C(C)C ZINC001507825780 1186447993 /nfs/dbraw/zinc/44/79/93/1186447993.db2.gz OMSHWWOGESGBEB-NNZMDNLPSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CN[C@@H](C)c1cnccn1)C(C)(C)C ZINC001508002440 1186466392 /nfs/dbraw/zinc/46/63/92/1186466392.db2.gz AUGLTSFHELQXTJ-HOCLYGCPSA-N 0 1 318.465 3.014 20 30 DGEDMN N#CCc1ccc(CNC(=O)c2n[nH]c3ccc(Cl)cc32)cc1 ZINC001611128898 1193423809 /nfs/dbraw/zinc/42/38/09/1193423809.db2.gz TYUAWACXMYGVQJ-UHFFFAOYSA-N 0 1 324.771 3.212 20 30 DGEDMN Cc1c(Br)scc1C(=O)C(C#N)c1nccn1C ZINC001515837681 1186772250 /nfs/dbraw/zinc/77/22/50/1186772250.db2.gz QKAQTQIEZYKILG-MRVPVSSYSA-N 0 1 324.203 3.043 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001520028452 1186912168 /nfs/dbraw/zinc/91/21/68/1186912168.db2.gz DQOFZWRCFFHUSQ-VXGBXAGGSA-N 0 1 320.179 3.243 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1cn(CC)c2ccccc12 ZINC001520037179 1186912982 /nfs/dbraw/zinc/91/29/82/1186912982.db2.gz VMBTZGPPXPFXAN-INIZCTEOSA-N 0 1 320.396 3.297 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H](C)c1n[nH]c([C@@H](C)CC)n1)c1ccccc1 ZINC001520074055 1186914817 /nfs/dbraw/zinc/91/48/17/1186914817.db2.gz STBDBGBNFZQKIH-YDHLFZDLSA-N 0 1 312.417 3.465 20 30 DGEDMN CN1CCCC(C)(C)[C@H]1CNc1cc(C#N)sc1[N+](=O)[O-] ZINC001612349046 1193451068 /nfs/dbraw/zinc/45/10/68/1193451068.db2.gz PBHOYMVTIPQTBL-GFCCVEGCSA-N 0 1 308.407 3.060 20 30 DGEDMN C=C(CC)C(=O)NC[C@H](c1cccc(C(F)(F)F)c1)N(C)C ZINC001521328775 1187015165 /nfs/dbraw/zinc/01/51/65/1187015165.db2.gz MAGWGYOBKDVKML-CQSZACIVSA-N 0 1 314.351 3.391 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2n[nH]c3ccc(Cl)cc32)cc1 ZINC001522547751 1187088726 /nfs/dbraw/zinc/08/87/26/1187088726.db2.gz SYOYWKABBJQDQJ-UHFFFAOYSA-N 0 1 309.756 3.128 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCOC3(CCCC3)C2)nc(C)n1 ZINC001524018727 1187209260 /nfs/dbraw/zinc/20/92/60/1187209260.db2.gz NEPOUYYJBCPPEN-GJZGRUSLSA-N 0 1 313.401 3.009 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)CC[C@@H]1C ZINC001524337222 1187236185 /nfs/dbraw/zinc/23/61/85/1187236185.db2.gz GSKBGFUZGDXCLT-STQMWFEESA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1c(C(=O)[C@H](C#N)c2cccc(F)n2)cnn1-c1ccccc1 ZINC001524706049 1187262125 /nfs/dbraw/zinc/26/21/25/1187262125.db2.gz WZHLOLSMOFFMHO-CQSZACIVSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1-c1ccccc1 ZINC001524706049 1187262129 /nfs/dbraw/zinc/26/21/29/1187262129.db2.gz WZHLOLSMOFFMHO-CQSZACIVSA-N 0 1 320.327 3.205 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CCCc2sccc21 ZINC001526588790 1187376831 /nfs/dbraw/zinc/37/68/31/1187376831.db2.gz ZIMMJOYWOROWMA-GHMZBOCLSA-N 0 1 319.817 3.431 20 30 DGEDMN C=CC[C@H](C(=O)NCc1ccc2cncn2c1)c1ccccc1 ZINC001527874964 1187454323 /nfs/dbraw/zinc/45/43/23/1187454323.db2.gz KADBQPMFJJJXBS-SFHVURJKSA-N 0 1 305.381 3.310 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC001533659617 1187839730 /nfs/dbraw/zinc/83/97/30/1187839730.db2.gz YVVVRLXMQUOJCR-SDBXPKJASA-N 0 1 323.755 3.275 20 30 DGEDMN C#Cc1ccc(CNC(=O)[C@H](c2ccccc2)N(CC)CC)cc1 ZINC001533826519 1187852458 /nfs/dbraw/zinc/85/24/58/1187852458.db2.gz UZKBHSLDQYDITI-FQEVSTJZSA-N 0 1 320.436 3.367 20 30 DGEDMN Cc1nc(NC(=O)c2cccc(F)c2O)sc1SCC#N ZINC001534070638 1187873291 /nfs/dbraw/zinc/87/32/91/1187873291.db2.gz PKPWGZMRFROZEE-UHFFFAOYSA-N 0 1 323.374 3.164 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4c(s3)CCC4)[nH]c2c1 ZINC001534067672 1187873437 /nfs/dbraw/zinc/87/34/37/1187873437.db2.gz CIXMYVUUYAURTI-UHFFFAOYSA-N 0 1 308.366 3.237 20 30 DGEDMN C=CC1CCN([C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CC1 ZINC001615519815 1193523395 /nfs/dbraw/zinc/52/33/95/1193523395.db2.gz NECRJAVIVCHVTM-INIZCTEOSA-N 0 1 322.811 3.482 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC001536371860 1188004517 /nfs/dbraw/zinc/00/45/17/1188004517.db2.gz KQBBLFZCELMAJB-HZPDHXFCSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N2CCc3ccccc3C2)CC1 ZINC001536371950 1188004655 /nfs/dbraw/zinc/00/46/55/1188004655.db2.gz MTQJZRCWFKCDDJ-MRXNPFEDSA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCSc1ccc(COC(=O)C23CCCN2CCC3)cc1 ZINC001537416448 1188072956 /nfs/dbraw/zinc/07/29/56/1188072956.db2.gz KTURSSHOWLDAEG-UHFFFAOYSA-N 0 1 315.438 3.084 20 30 DGEDMN CCCCCCCCOCC(=O)N1C[C@H](C)N(CC)C[C@H]1C ZINC001537409138 1188073037 /nfs/dbraw/zinc/07/30/37/1188073037.db2.gz XWHRSNSRLRPEJW-DLBZAZTESA-N 0 1 312.498 3.305 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC001539288420 1188184599 /nfs/dbraw/zinc/18/45/99/1188184599.db2.gz BZMCWBDILGBHPR-SFHVURJKSA-N 0 1 312.457 3.331 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccc(F)cc1 ZINC001539962659 1188211213 /nfs/dbraw/zinc/21/12/13/1188211213.db2.gz ZDXWTMLVUPJITC-ZDUSSCGKSA-N 0 1 304.708 3.179 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1 ZINC001542421625 1188285410 /nfs/dbraw/zinc/28/54/10/1188285410.db2.gz NZQHYBRLRFBTQB-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN C#Cc1cncc(C(=O)Nc2ccc3nc(C(C)(C)C)[nH]c3c2)c1 ZINC001542496245 1188286802 /nfs/dbraw/zinc/28/68/02/1188286802.db2.gz AGKDASBUDAAFBU-UHFFFAOYSA-N 0 1 318.380 3.489 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4c3CCC4)[nH]c2c1 ZINC001543404484 1188332074 /nfs/dbraw/zinc/33/20/74/1188332074.db2.gz VTVPTRBFOBAZQX-UHFFFAOYSA-N 0 1 302.337 3.176 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1ccc(F)c(O)c1 ZINC001617905230 1193585321 /nfs/dbraw/zinc/58/53/21/1193585321.db2.gz DVRWXHSMPYRIBR-UHFFFAOYSA-N 0 1 313.332 3.477 20 30 DGEDMN C=CC[C@@H]1N(C(=O)c2ccc(CN(C)C)nc2)CCCC1(C)C ZINC001556416265 1189000069 /nfs/dbraw/zinc/00/00/69/1189000069.db2.gz QDLYNCQOOUHWNC-KRWDZBQOSA-N 0 1 315.461 3.350 20 30 DGEDMN CC#CC(=O)Nc1ccc2nc(Cc3ccc(F)cc3)[nH]c2c1 ZINC001556684625 1189013402 /nfs/dbraw/zinc/01/34/02/1189013402.db2.gz WIMFOMHXCRFVNW-UHFFFAOYSA-N 0 1 307.328 3.255 20 30 DGEDMN C=C(CNC(=O)c1ccc(CN2CCCC2)o1)c1ccccc1 ZINC001556904344 1189028602 /nfs/dbraw/zinc/02/86/02/1189028602.db2.gz FOTMNXCYYOZLOH-UHFFFAOYSA-N 0 1 310.397 3.319 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1ccc(Nc2ccncc2)cc1 ZINC001557327005 1189056782 /nfs/dbraw/zinc/05/67/82/1189056782.db2.gz VVCWRUFMWVGQKX-UHFFFAOYSA-N 0 1 319.389 3.299 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H]1CN(Cc2ccccc2)CC[C@@H]1C ZINC001557791814 1189086654 /nfs/dbraw/zinc/08/66/54/1189086654.db2.gz XMMRMKWULWMNKB-HOCLYGCPSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@@H]1C ZINC001557791812 1189087098 /nfs/dbraw/zinc/08/70/98/1189087098.db2.gz XMMRMKWULWMNKB-GOEBONIOSA-N 0 1 322.399 3.225 20 30 DGEDMN C#CCN(C(=O)c1cc(CC)[nH]n1)[C@H]1CCCc2ccccc21 ZINC001558543008 1189131862 /nfs/dbraw/zinc/13/18/62/1189131862.db2.gz PPZCFGRFPSZTTI-SFHVURJKSA-N 0 1 307.397 3.125 20 30 DGEDMN C=C[C@@H](CC(=O)NCCCCc1ccnc(N)c1)c1ccccc1 ZINC001558885087 1189155570 /nfs/dbraw/zinc/15/55/70/1189155570.db2.gz PSMXEQYFGHWLEZ-KRWDZBQOSA-N 0 1 323.440 3.463 20 30 DGEDMN Cc1c(Cl)cnc(N2CCN(C)C[C@@H]2CC(C)C)c1C#N ZINC001559287385 1189192767 /nfs/dbraw/zinc/19/27/67/1189192767.db2.gz OVZKWICGHIHAFE-ZDUSSCGKSA-N 0 1 306.841 3.082 20 30 DGEDMN C#CCN(CCOc1cc(F)cc(Br)c1)C1CC1 ZINC001559844540 1189248799 /nfs/dbraw/zinc/24/87/99/1189248799.db2.gz NWGQJFPPSWSBNE-UHFFFAOYSA-N 0 1 312.182 3.065 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H](CO)CCC(C)C ZINC001560532593 1189337867 /nfs/dbraw/zinc/33/78/67/1189337867.db2.gz OMYXDUQQBDDYKT-CQSZACIVSA-N 0 1 309.837 3.239 20 30 DGEDMN C=C[C@H](CO)NCc1ccccc1OCc1ccc(F)cc1F ZINC001560541561 1189338578 /nfs/dbraw/zinc/33/85/78/1189338578.db2.gz ZYFFWPFPWOUFSI-MRXNPFEDSA-N 0 1 319.351 3.180 20 30 DGEDMN CNc1ccc(C)cc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001560972877 1189413483 /nfs/dbraw/zinc/41/34/83/1189413483.db2.gz BRGNSVAIKJJADU-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN CN(CCc1ccc2c(c1)OCO2)Cc1ccc(C#N)cc1F ZINC001561011060 1189420701 /nfs/dbraw/zinc/42/07/01/1189420701.db2.gz ZMIAQKNZBOVDQP-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN C=CCCC(C)(C)CNS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC001561814598 1189462206 /nfs/dbraw/zinc/46/22/06/1189462206.db2.gz SLQIADJVZBEJAU-UHFFFAOYSA-N 0 1 321.446 3.142 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CCCC#CC)CC2 ZINC001568155667 1189464324 /nfs/dbraw/zinc/46/43/24/1189464324.db2.gz XNORQLKGPLZASP-UHFFFAOYSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)C(C)(C)CCC ZINC001568296762 1189484498 /nfs/dbraw/zinc/48/44/98/1189484498.db2.gz HSQHRPCPGJIDLZ-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CC(C)(C)CNC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001564769671 1189594899 /nfs/dbraw/zinc/59/48/99/1189594899.db2.gz XGBDQBPPXGLCHV-UHFFFAOYSA-N 0 1 324.428 3.259 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1sc(C)cc1C ZINC001565831504 1189682514 /nfs/dbraw/zinc/68/25/14/1189682514.db2.gz IIVVZGLFYLKSMC-OAHLLOKOSA-N 0 1 306.475 3.016 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1sc(C)cc1C ZINC001565831503 1189682698 /nfs/dbraw/zinc/68/26/98/1189682698.db2.gz IIVVZGLFYLKSMC-HNNXBMFYSA-N 0 1 306.475 3.016 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001566090155 1189731589 /nfs/dbraw/zinc/73/15/89/1189731589.db2.gz CHQNLSQWJILBFT-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1ccsc1 ZINC001566123920 1189740635 /nfs/dbraw/zinc/74/06/35/1189740635.db2.gz BNMGKXVRSCIYAY-RDJZCZTQSA-N 0 1 318.486 3.188 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](CC)CC(F)F ZINC001566122478 1189740990 /nfs/dbraw/zinc/74/09/90/1189740990.db2.gz ZJZVLNWCQNZEHL-CABCVRRESA-N 0 1 314.420 3.004 20 30 DGEDMN C[C@@H](C(=O)NCCCN(C)Cc1ccc(C#N)s1)C(C)(C)C ZINC001566466282 1189775729 /nfs/dbraw/zinc/77/57/29/1189775729.db2.gz VCWPGFGGFMHLQA-ZDUSSCGKSA-N 0 1 321.490 3.240 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2ccccc2[nH]1 ZINC001566575155 1189809465 /nfs/dbraw/zinc/80/94/65/1189809465.db2.gz WNHIARVUOYNXHF-UHFFFAOYSA-N 0 1 319.836 3.362 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001566664566 1189846649 /nfs/dbraw/zinc/84/66/49/1189846649.db2.gz SRBWYTKWNUAIJD-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001566671150 1189852275 /nfs/dbraw/zinc/85/22/75/1189852275.db2.gz OKMFHUZLOXBBPL-YPNIWSFNSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(Cc3csc(C)c3)C2)CCC1 ZINC001566671839 1189852369 /nfs/dbraw/zinc/85/23/69/1189852369.db2.gz BXLANLOJHZABAP-MRXNPFEDSA-N 0 1 318.486 3.493 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2CC23CCC3)C1 ZINC001566742709 1189887053 /nfs/dbraw/zinc/88/70/53/1189887053.db2.gz BCJQTUOMKIHJCS-GJZGRUSLSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCC(C)(C)C ZINC001566752825 1189890866 /nfs/dbraw/zinc/89/08/66/1189890866.db2.gz PBWYPRORYIPHLF-CALCHBBNSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccsn1 ZINC001566752970 1189891069 /nfs/dbraw/zinc/89/10/69/1189891069.db2.gz VCBAELKEDWUNDP-GASCZTMLSA-N 0 1 319.474 3.178 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001566777059 1189898527 /nfs/dbraw/zinc/89/85/27/1189898527.db2.gz ZJYIVYCPUPTZIS-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001566792624 1189903782 /nfs/dbraw/zinc/90/37/82/1189903782.db2.gz RVJQOZYGVQWAMS-SSMPXESZSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001566875325 1189932831 /nfs/dbraw/zinc/93/28/31/1189932831.db2.gz SRNDPRYPYKRETM-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCCCc1ccccc1 ZINC001566925337 1189954583 /nfs/dbraw/zinc/95/45/83/1189954583.db2.gz FTNGNJOCBSLCML-LJQANCHMSA-N 0 1 312.457 3.003 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001566929669 1189957951 /nfs/dbraw/zinc/95/79/51/1189957951.db2.gz GOXMRBJSOODDIN-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CCCc1cccs1 ZINC001566929338 1189958565 /nfs/dbraw/zinc/95/85/65/1189958565.db2.gz YFPWANSINCXCAX-MRXNPFEDSA-N 0 1 318.486 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC1CC(c2ccccc2)C1 ZINC001567029890 1190001086 /nfs/dbraw/zinc/00/10/86/1190001086.db2.gz ULIMSFGJCNURRJ-HPUBIQBYSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1cccc(C(F)F)c1 ZINC001567034906 1190002958 /nfs/dbraw/zinc/00/29/58/1190002958.db2.gz FYPMNFMFVSNWHE-NSHDSACASA-N 0 1 316.779 3.014 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001567038927 1190004169 /nfs/dbraw/zinc/00/41/69/1190004169.db2.gz AIYMNVHUICMRGF-OIISXLGYSA-N 0 1 320.864 3.102 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](c1ccccc1)[C@H](C)CC ZINC001567353030 1190147864 /nfs/dbraw/zinc/14/78/64/1190147864.db2.gz YUKABLIBHPDSAZ-IEBWSBKVSA-N 0 1 314.473 3.278 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCN(C/C=C/Cl)C2)CCCCC1 ZINC001567421162 1190181347 /nfs/dbraw/zinc/18/13/47/1190181347.db2.gz NCBPOAUZVQMGAX-HKUPYCBJSA-N 0 1 322.880 3.151 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1ccc(F)c(F)c1)C1CC1 ZINC001567438055 1190193208 /nfs/dbraw/zinc/19/32/08/1190193208.db2.gz XFSASNVRGDHOPY-UHFFFAOYSA-N 0 1 308.372 3.012 20 30 DGEDMN CC(C)C(=O)NC[C@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC001567656357 1190238773 /nfs/dbraw/zinc/23/87/73/1190238773.db2.gz NPBUVSRZICLOGY-IBGZPJMESA-N 0 1 321.424 3.161 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(CC)(CC)CC)c1ccccc1 ZINC001567663817 1190242254 /nfs/dbraw/zinc/24/22/54/1190242254.db2.gz LHSZEWPFOYSBIK-QGZVFWFLSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cc(C)cc(C)c2)C1 ZINC001567688288 1190253189 /nfs/dbraw/zinc/25/31/89/1190253189.db2.gz JVPQRTUMIRDUHW-IBGZPJMESA-N 0 1 314.473 3.345 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2cccs2)C1 ZINC001567693430 1190257483 /nfs/dbraw/zinc/25/74/83/1190257483.db2.gz PZXSAMUYYIKZOJ-HNNXBMFYSA-N 0 1 306.475 3.180 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2(C)CC(F)(F)C2)C1 ZINC001567712676 1190268712 /nfs/dbraw/zinc/26/87/12/1190268712.db2.gz OUVQHFZNXXOIEZ-LBPRGKRZSA-N 0 1 320.811 3.003 20 30 DGEDMN C=CCCCC(=O)N1CCC(CN(C)Cc2nocc2C)CC1 ZINC001567750481 1190286536 /nfs/dbraw/zinc/28/65/36/1190286536.db2.gz OLCBVXQRFAWQKK-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001567798012 1190300096 /nfs/dbraw/zinc/30/00/96/1190300096.db2.gz FAHAAETVYPNCJI-ZKKXHLJNSA-N 0 1 316.420 3.384 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001567795932 1190300510 /nfs/dbraw/zinc/30/05/10/1190300510.db2.gz CQIGNNIFVCXFKG-ZXZQKFIBSA-N 0 1 316.489 3.214 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccn(C(C)C)c2C)C1 ZINC001567804365 1190303651 /nfs/dbraw/zinc/30/36/51/1190303651.db2.gz WRGBGTBEMSOGAE-CQSZACIVSA-N 0 1 303.450 3.146 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C(C)C ZINC001567856197 1190323899 /nfs/dbraw/zinc/32/38/99/1190323899.db2.gz KMPIUMBJLRWFIP-OALUTQOASA-N 0 1 324.468 3.255 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CCCCC)C(C)C ZINC001567865279 1190326519 /nfs/dbraw/zinc/32/65/19/1190326519.db2.gz RKAWRJURZIXACE-BZSNNMDCSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001567871867 1190328461 /nfs/dbraw/zinc/32/84/61/1190328461.db2.gz GOKBDDYLHIMZPL-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001567876795 1190330337 /nfs/dbraw/zinc/33/03/37/1190330337.db2.gz MVGXXVHBOCSNND-HNNXBMFYSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2ccccc2Cl)C1 ZINC001567907118 1190345087 /nfs/dbraw/zinc/34/50/87/1190345087.db2.gz QLWFVKYKNVRQBN-OAHLLOKOSA-N 0 1 306.837 3.339 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001567922727 1190354803 /nfs/dbraw/zinc/35/48/03/1190354803.db2.gz YFHQVPSANVKXBD-GOEBONIOSA-N 0 1 320.864 3.237 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)sc1C ZINC001567968187 1190380629 /nfs/dbraw/zinc/38/06/29/1190380629.db2.gz XFWMIAUBKWOFRP-GFCCVEGCSA-N 0 1 300.855 3.215 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NCc1nc(C)c(C)s1 ZINC001567975243 1190383525 /nfs/dbraw/zinc/38/35/25/1190383525.db2.gz MOFUGJALHNRJKR-PBHICJAKSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2[nH]ccc21 ZINC001567978143 1190385527 /nfs/dbraw/zinc/38/55/27/1190385527.db2.gz LEXRITWXYPUVLR-GFCCVEGCSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001568108551 1190423058 /nfs/dbraw/zinc/42/30/58/1190423058.db2.gz QZEYBZBKDSUNBY-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2C[C@H]2C(C)C)cc1 ZINC001568120945 1190427796 /nfs/dbraw/zinc/42/77/96/1190427796.db2.gz ICMQWXGYZAKGLA-DLBZAZTESA-N 0 1 320.864 3.437 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)CCC2CCCC2)C1 ZINC001568213197 1190453574 /nfs/dbraw/zinc/45/35/74/1190453574.db2.gz NOMHJMFCPBJHIB-FUHWJXTLSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)CCCCCC)CC2 ZINC001568253095 1190462859 /nfs/dbraw/zinc/46/28/59/1190462859.db2.gz XRSXGYPQWHNSPG-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1Cl ZINC001568270564 1190469876 /nfs/dbraw/zinc/46/98/76/1190469876.db2.gz AWPVGGKBCQTGRL-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(OCCC)c1 ZINC001568276609 1190470752 /nfs/dbraw/zinc/47/07/52/1190470752.db2.gz HZPQBODMPRZBFP-AWEZNQCLSA-N 0 1 324.852 3.278 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2ncccc2c1 ZINC001568296758 1190477920 /nfs/dbraw/zinc/47/79/20/1190477920.db2.gz HRXFINMVUUHDTD-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC(F)F)C1CCCCC1 ZINC001568345807 1190498145 /nfs/dbraw/zinc/49/81/45/1190498145.db2.gz RRCOXIIDERTXKS-CYBMUJFWSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccccc1F ZINC001568416538 1190515323 /nfs/dbraw/zinc/51/53/23/1190515323.db2.gz KLVORLZNSPCVMQ-WBMJQRKESA-N 0 1 324.827 3.409 20 30 DGEDMN CC(C)[C@H](CNC(=O)c1ccccc1)NCc1ccccc1C#N ZINC001568442522 1190523510 /nfs/dbraw/zinc/52/35/10/1190523510.db2.gz XSZQAGWCVIHQRV-IBGZPJMESA-N 0 1 321.424 3.102 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN(C)Cc1ccc(F)c(Cl)c1 ZINC001568480512 1190538808 /nfs/dbraw/zinc/53/88/08/1190538808.db2.gz JAANMXZQZRYUKC-UHFFFAOYSA-N 0 1 324.827 3.029 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)C/C=C\c1ccccc1 ZINC001568493041 1190544494 /nfs/dbraw/zinc/54/44/94/1190544494.db2.gz GKCNUSMZBSJQGV-KAMYIIQDSA-N 0 1 312.457 3.284 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2ccc(F)c(F)c2)C1 ZINC001568495717 1190547615 /nfs/dbraw/zinc/54/76/15/1190547615.db2.gz UXUMUPFNKQBZJZ-UHFFFAOYSA-N 0 1 322.399 3.211 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1oc2c(cccc2C)c1C ZINC001568506343 1190554768 /nfs/dbraw/zinc/55/47/68/1190554768.db2.gz NNPHIJOOYZWQLE-UHFFFAOYSA-N 0 1 312.413 3.077 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1cc(Cl)ccc1F ZINC001568728500 1190583073 /nfs/dbraw/zinc/58/30/73/1190583073.db2.gz OLDWFRVSRSNYSE-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1sccc1C(F)F ZINC001568958455 1190602789 /nfs/dbraw/zinc/60/27/89/1190602789.db2.gz QMYPGTOWYAEEOP-IHWYPQMZSA-N 0 1 320.792 3.314 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001569012257 1190608072 /nfs/dbraw/zinc/60/80/72/1190608072.db2.gz RELSBXPSUSVVEK-NEPJUHHUSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2nc(C)c(C)s2)CC1 ZINC001569016058 1190608579 /nfs/dbraw/zinc/60/85/79/1190608579.db2.gz KSPJCTNZHNNGKM-GFCCVEGCSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2c(F)cccc2F)CCC1 ZINC001569017596 1190609062 /nfs/dbraw/zinc/60/90/62/1190609062.db2.gz OAIZYOIJTIIOLK-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001569313439 1190663802 /nfs/dbraw/zinc/66/38/02/1190663802.db2.gz YCZWTQQQFCLLDM-QKPAOTATSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccn(C(C)C)c1C)C1CC1 ZINC001569316535 1190665298 /nfs/dbraw/zinc/66/52/98/1190665298.db2.gz IOUAWVHYEREKAU-MRXNPFEDSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c(C)cccc1C)C1CC1 ZINC001569320233 1190665825 /nfs/dbraw/zinc/66/58/25/1190665825.db2.gz OLUOMRNIYULUSP-OAHLLOKOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)c(F)cc2F)C1 ZINC001569493252 1190707985 /nfs/dbraw/zinc/70/79/85/1190707985.db2.gz ANGJVZYSRIFFIS-ZDUSSCGKSA-N 0 1 322.399 3.384 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)c2ccn(C)c2C)C1 ZINC001569500161 1190708912 /nfs/dbraw/zinc/70/89/12/1190708912.db2.gz ZIDYTLYNXQKGIX-UHFFFAOYSA-N 0 1 305.466 3.060 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2csc(C(C)C)n2)C1 ZINC001569502666 1190709841 /nfs/dbraw/zinc/70/98/41/1190709841.db2.gz LUTALWHCYPBECM-CYBMUJFWSA-N 0 1 321.490 3.377 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@H]1CCC(F)(F)C1 ZINC001569543500 1190714837 /nfs/dbraw/zinc/71/48/37/1190714837.db2.gz GUYIGNBMJJIHBX-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccn(C(C)C)c1C ZINC001569553273 1190715845 /nfs/dbraw/zinc/71/58/45/1190715845.db2.gz QDSJKJIIXJSZOX-OAHLLOKOSA-N 0 1 323.868 3.324 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@H](CC)C(C)C ZINC001569562566 1190717298 /nfs/dbraw/zinc/71/72/98/1190717298.db2.gz SARFSMIYVZHLKR-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN(C(=O)CC[C@@H](C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001569593758 1190720941 /nfs/dbraw/zinc/72/09/41/1190720941.db2.gz KHWLULGRHXTXIN-RFKZRZAASA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccccc1)C1CCCC1 ZINC001569606945 1190722515 /nfs/dbraw/zinc/72/25/15/1190722515.db2.gz UWWXGLWWADIMQU-KRWDZBQOSA-N 0 1 320.864 3.246 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1CC ZINC001569801873 1190756442 /nfs/dbraw/zinc/75/64/42/1190756442.db2.gz JTZUQEQTSKDLHF-DOADOZAASA-N 0 1 324.468 3.065 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@](C)(CC)CCC)C[C@@H]2C1 ZINC001569881002 1190769771 /nfs/dbraw/zinc/76/97/71/1190769771.db2.gz CBSNNKXCLGTKEK-UXLLHSPISA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1cccc(F)c1 ZINC001570026208 1190783544 /nfs/dbraw/zinc/78/35/44/1190783544.db2.gz XYKJQEZKQLZDNF-UHFFFAOYSA-N 0 1 324.399 3.211 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CCC[C@H]1C ZINC001570026926 1190783839 /nfs/dbraw/zinc/78/38/39/1190783839.db2.gz OHMCFCTXAOWZRZ-SJORKVTESA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@]1(C)C(C)C ZINC001570028111 1190783858 /nfs/dbraw/zinc/78/38/58/1190783858.db2.gz JMTREBHUSJTZJN-UYAOXDASSA-N 0 1 312.457 3.050 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CCC(C)(F)F)C2)CC1 ZINC001570053638 1190788630 /nfs/dbraw/zinc/78/86/30/1190788630.db2.gz LWUGXPXAWIMZCU-UHFFFAOYSA-N 0 1 320.811 3.099 20 30 DGEDMN N#C[C@@H](CCc1ccccc1)C(=O)Nc1ccc(F)c(F)c1O ZINC001570132454 1190802152 /nfs/dbraw/zinc/80/21/52/1190802152.db2.gz GEEZGSULOLUKJA-GFCCVEGCSA-N 0 1 316.307 3.381 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cn2)CC1 ZINC001570182171 1190810412 /nfs/dbraw/zinc/81/04/12/1190810412.db2.gz XOVPELCQSYHAFD-MRXNPFEDSA-N 0 1 319.424 3.048 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1cnc(C)s1 ZINC001570207210 1190820053 /nfs/dbraw/zinc/82/00/53/1190820053.db2.gz IRPVHFQQHDDDFI-QGZVFWFLSA-N 0 1 323.506 3.334 20 30 DGEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)C(CC)(CC)CC)O2 ZINC001570235946 1190827565 /nfs/dbraw/zinc/82/75/65/1190827565.db2.gz KLPQJYWXZIMULM-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN CCN1Cc2ccc(CNC(=O)CC#Cc3ccccc3)cc2C1 ZINC001570314161 1190838438 /nfs/dbraw/zinc/83/84/38/1190838438.db2.gz ZFOSWIOORWMKCN-UHFFFAOYSA-N 0 1 318.420 3.080 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001570391303 1190850577 /nfs/dbraw/zinc/85/05/77/1190850577.db2.gz JYCMIMQWDOZURK-QPUGPGLDSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccccn2)[C@@H](C)C1 ZINC001570437949 1190858184 /nfs/dbraw/zinc/85/81/84/1190858184.db2.gz TYJLPMMPUMKFCK-JKSUJKDBSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@@H](C)C1CC1 ZINC001570478339 1190867752 /nfs/dbraw/zinc/86/77/52/1190867752.db2.gz NUAMRFLSACMQTI-OXQOHEQNSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CCCC ZINC001570477742 1190867890 /nfs/dbraw/zinc/86/78/90/1190867890.db2.gz YRCUAYZLNAOWFH-GOSISDBHSA-N 0 1 300.446 3.468 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001570534978 1190878782 /nfs/dbraw/zinc/87/87/82/1190878782.db2.gz UMGCBNYWHOFIRT-IHHMQQGQSA-N 0 1 312.457 3.284 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001570648045 1190909791 /nfs/dbraw/zinc/90/97/91/1190909791.db2.gz SEYCQRRQVGQGAD-LSZNHBMWSA-N 0 1 324.896 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2c(c1)CCC2 ZINC001570650034 1190910297 /nfs/dbraw/zinc/91/02/97/1190910297.db2.gz ITEVYWDXJDKYDU-STQMWFEESA-N 0 1 306.837 3.024 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2c(c1)CCC2 ZINC001570650032 1190910500 /nfs/dbraw/zinc/91/05/00/1190910500.db2.gz ITEVYWDXJDKYDU-OLZOCXBDSA-N 0 1 306.837 3.024 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)N(C)[C@H](C)c1ccccc1F ZINC001570711099 1190925840 /nfs/dbraw/zinc/92/58/40/1190925840.db2.gz VVWHHVVGWMXJNR-HUUCEWRRSA-N 0 1 318.436 3.373 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@](C)(CC)CCCCC)C1 ZINC001570864309 1190968629 /nfs/dbraw/zinc/96/86/29/1190968629.db2.gz WNDSDGPXZBDRDP-QFBILLFUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001571364754 1191002626 /nfs/dbraw/zinc/00/26/26/1191002626.db2.gz HLSBHYDYPVHQAR-NXOAAHMSSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1ccc(C)cc1C ZINC001571398640 1191013739 /nfs/dbraw/zinc/01/37/39/1191013739.db2.gz AJLYQCNFDQSTHL-MRXNPFEDSA-N 0 1 322.880 3.425 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001571399636 1191014016 /nfs/dbraw/zinc/01/40/16/1191014016.db2.gz WJNZCZCTNOXMJP-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1F ZINC001571401101 1191014584 /nfs/dbraw/zinc/01/45/84/1191014584.db2.gz XIKDPJUGRSYUAH-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001571403524 1191015636 /nfs/dbraw/zinc/01/56/36/1191015636.db2.gz UHDXXFTYWHMABA-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001571408744 1191017377 /nfs/dbraw/zinc/01/73/77/1191017377.db2.gz XLVRKCLTRPJJRF-WBVHZDCISA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CC(C)(C)CC(F)F)C1 ZINC001571482666 1191027623 /nfs/dbraw/zinc/02/76/23/1191027623.db2.gz GYTJYMOGTJVRIN-NEPJUHHUSA-N 0 1 322.827 3.389 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1C[C@@H]1C1CCCC1)C(C)C ZINC001571615159 1191062766 /nfs/dbraw/zinc/06/27/66/1191062766.db2.gz HRKZYCPEJOZQTK-MSOLQXFVSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc2c(c1)CCC=C2)C(C)C ZINC001571616209 1191063059 /nfs/dbraw/zinc/06/30/59/1191063059.db2.gz UZUFNGANQXHESR-UHFFFAOYSA-N 0 1 310.441 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccc(C)o1)C(C)(C)C ZINC001571622259 1191066811 /nfs/dbraw/zinc/06/68/11/1191066811.db2.gz RTONBRUKBJMILZ-AWEZNQCLSA-N 0 1 312.841 3.004 20 30 DGEDMN CCC(C)(C)C(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001571662133 1191078001 /nfs/dbraw/zinc/07/80/01/1191078001.db2.gz QZRMCIQUOJMIBJ-UHFFFAOYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CC[C@@]1(C(=O)OCc2ccc(OCC)cc2C)CCCN1 ZINC001574897510 1191456544 /nfs/dbraw/zinc/45/65/44/1191456544.db2.gz POOKHDOSMCJOLN-SFHVURJKSA-N 0 1 303.402 3.135 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)[C@H]1CNc2ccccc2[C@H]1O ZINC001574933349 1191459064 /nfs/dbraw/zinc/45/90/64/1191459064.db2.gz QNFGEFAXZVZYMW-VQTJNVASSA-N 0 1 319.408 3.074 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@](C)(N)c3ccccc3)cc2n1 ZINC001574959474 1191459818 /nfs/dbraw/zinc/45/98/18/1191459818.db2.gz ADLRTOQNIDFWAQ-LJQANCHMSA-N 0 1 320.396 3.035 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC001574970132 1191461147 /nfs/dbraw/zinc/46/11/47/1191461147.db2.gz PWZAYFVMPIQYME-MRXNPFEDSA-N 0 1 302.443 3.401 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(N2CCCC[C@H]2C)cc1C ZINC001574970458 1191460739 /nfs/dbraw/zinc/46/07/39/1191460739.db2.gz WDNCVHQIKRKVAP-WBVHZDCISA-N 0 1 313.445 3.053 20 30 DGEDMN CC#CC[C@H](N)C(=O)N(CCc1ccccc1)c1ccccc1 ZINC001574963026 1191460904 /nfs/dbraw/zinc/46/09/04/1191460904.db2.gz QBNPMKJXUUHSHE-IBGZPJMESA-N 0 1 306.409 3.003 20 30 DGEDMN C=C[C@H](C(=O)Nc1cccc(-c2cn[nH]n2)c1)c1ccccc1 ZINC001575062384 1191464229 /nfs/dbraw/zinc/46/42/29/1191464229.db2.gz RNWXBLNUZPEZOV-INIZCTEOSA-N 0 1 304.353 3.380 20 30 DGEDMN C=C[C@@H](C(=O)Nc1cccc(-c2cn[nH]n2)c1)c1ccccc1 ZINC001575062388 1191464609 /nfs/dbraw/zinc/46/46/09/1191464609.db2.gz RNWXBLNUZPEZOV-MRXNPFEDSA-N 0 1 304.353 3.380 20 30 DGEDMN C[C@@H]1CCC[C@@](C#N)(NC(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001618411006 1193737929 /nfs/dbraw/zinc/73/79/29/1193737929.db2.gz MPULHDWFOPUGTI-RDTXWAMCSA-N 0 1 315.417 3.078 20 30 DGEDMN CC(C)(CC#N)CNCc1sc(N2CCCC2)nc1Cl ZINC001621383914 1193835572 /nfs/dbraw/zinc/83/55/72/1193835572.db2.gz BJGMHZOKLBDNSN-UHFFFAOYSA-N 0 1 312.870 3.426 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)ccc1OCC)C1CCOCC1 ZINC001621448461 1193844409 /nfs/dbraw/zinc/84/44/09/1193844409.db2.gz XLXVTIZAORDYBM-INIZCTEOSA-N 0 1 307.821 3.257 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCC[C@@H](O)c2ccccc2)c1 ZINC001624288018 1193990877 /nfs/dbraw/zinc/99/08/77/1193990877.db2.gz SMKVCXHGMWHSQU-MRXNPFEDSA-N 0 1 310.353 3.106 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)c1ccc(CN(C)C)nc1 ZINC001626788567 1194096374 /nfs/dbraw/zinc/09/63/74/1194096374.db2.gz BETVOQAEYJQOGD-UHFFFAOYSA-N 0 1 323.440 3.362 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1cccc2c[nH]nc21 ZINC001627129382 1194113110 /nfs/dbraw/zinc/11/31/10/1194113110.db2.gz ATMGIUPTOSCWJY-GFCCVEGCSA-N 0 1 304.353 3.268 20 30 DGEDMN Cc1ccc2ccnc(NC(=O)c3ccc(C#N)c(O)c3)c2c1 ZINC001628023575 1194155301 /nfs/dbraw/zinc/15/53/01/1194155301.db2.gz UUKAIQHSUWFOHN-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C#CCSCC(=O)Nc1ccc2nc(C(C)(C)C)[nH]c2c1 ZINC001631630155 1194346458 /nfs/dbraw/zinc/34/64/58/1194346458.db2.gz LAMSPHBXQNGVIN-UHFFFAOYSA-N 0 1 301.415 3.165 20 30 DGEDMN Cc1cccc(Oc2ccc(C(=O)N=C3C[C@H](C)ON3)nc2)c1 ZINC001632206004 1194376591 /nfs/dbraw/zinc/37/65/91/1194376591.db2.gz MYVMYORRGRGSJH-LBPRGKRZSA-N 0 1 311.341 3.034 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2-c2ncco2)cc1O ZINC001633453938 1194437874 /nfs/dbraw/zinc/43/78/74/1194437874.db2.gz XRXFFCOBPGJYHT-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN C=C(CC(C)C)C(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001634661660 1194507585 /nfs/dbraw/zinc/50/75/85/1194507585.db2.gz UKVDOQGPVYQYGK-LBPRGKRZSA-N 0 1 301.390 3.351 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1ncc2ccccc2c1O ZINC001634989794 1194527431 /nfs/dbraw/zinc/52/74/31/1194527431.db2.gz STPASZVSTOWHMO-UHFFFAOYSA-N 0 1 318.336 3.106 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2[C@@H]3c4cccc(Cl)c4C[C@H]23)c1 ZINC001635511299 1194555602 /nfs/dbraw/zinc/55/56/02/1194555602.db2.gz XOUQVDXTKHYNMR-USXIJHARSA-N 0 1 324.767 3.208 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC001635622097 1194562057 /nfs/dbraw/zinc/56/20/57/1194562057.db2.gz MWAOZVFDVIJXDN-QGZVFWFLSA-N 0 1 315.461 3.162 20 30 DGEDMN N#CCc1cccc(NC(=O)c2c[nH]nc2-c2ccsc2)c1 ZINC001635703393 1194567088 /nfs/dbraw/zinc/56/70/88/1194567088.db2.gz IHRJZOCNUUUHPP-UHFFFAOYSA-N 0 1 308.366 3.457 20 30 DGEDMN Cc1ccc(C(=O)/C=C\C(=O)Nc2ccccc2CN(C)C)cc1 ZINC001636457623 1194614439 /nfs/dbraw/zinc/61/44/39/1194614439.db2.gz UMXYAXPLBMHOLW-SEYXRHQNSA-N 0 1 322.408 3.434 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@H](CC#N)c2ccccc2)c1 ZINC001637579119 1194676890 /nfs/dbraw/zinc/67/68/90/1194676890.db2.gz ZCAACGVUPXYPLA-OAHLLOKOSA-N 0 1 308.337 3.231 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCC(=O)c2ccccc2)c1 ZINC001637620491 1194678465 /nfs/dbraw/zinc/67/84/65/1194678465.db2.gz SMAPITPHMMRKJQ-UHFFFAOYSA-N 0 1 308.337 3.256 20 30 DGEDMN Cc1cc(Cl)cc(C(=O)Nc2cc(C#N)ccc2O)c1N ZINC001637620529 1194678525 /nfs/dbraw/zinc/67/85/25/1194678525.db2.gz UKZLPSBPRZSLKG-UHFFFAOYSA-N 0 1 301.733 3.060 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC001638685297 1194732208 /nfs/dbraw/zinc/73/22/08/1194732208.db2.gz OSSNWOOMXWUOQA-UHFFFAOYSA-N 0 1 308.263 3.169 20 30 DGEDMN C=C(CC)CNC(=O)c1n[nH]c2ccc(Br)cc21 ZINC001639152033 1194753287 /nfs/dbraw/zinc/75/32/87/1194753287.db2.gz XKYIBBUIARZHJW-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN CCCCCCCCCC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC001639430254 1194766043 /nfs/dbraw/zinc/76/60/43/1194766043.db2.gz CHXNTKJYVQDRLC-DLBZAZTESA-N 0 1 312.498 3.041 20 30 DGEDMN C=CCOc1cc(OC)ccc1C(=O)Nc1ccc2cncn2c1 ZINC001639572089 1194771818 /nfs/dbraw/zinc/77/18/18/1194771818.db2.gz BNIZEADXEIWFPG-UHFFFAOYSA-N 0 1 323.352 3.160 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001671192728 1194806313 /nfs/dbraw/zinc/80/63/13/1194806313.db2.gz IEQGAAJDNSPFNT-KRWDZBQOSA-N 0 1 318.848 3.089 20 30 DGEDMN COc1cc(C(=O)Nc2cc(Cl)c(O)cc2F)ccc1C#N ZINC001640321644 1194806655 /nfs/dbraw/zinc/80/66/55/1194806655.db2.gz NNODEZYCLXXALL-UHFFFAOYSA-N 0 1 320.707 3.317 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)Nc1cccc(CC#N)c1 ZINC001640868220 1194830778 /nfs/dbraw/zinc/83/07/78/1194830778.db2.gz MBYSNDNQKWZCBF-UHFFFAOYSA-N 0 1 308.263 3.055 20 30 DGEDMN C=C(CC)C(=O)N1CCN(Cc2ccccc2)C[C@@H]1C(C)C ZINC001640883002 1194831228 /nfs/dbraw/zinc/83/12/28/1194831228.db2.gz MOUTZDIODSBEKM-GOSISDBHSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001641354044 1194849867 /nfs/dbraw/zinc/84/98/67/1194849867.db2.gz ONORTMSZSKBJDB-UMVBOHGHSA-N 0 1 301.434 3.399 20 30 DGEDMN C[C@@H](CN1CCCCC1)Nc1ncc(C#N)cc1Br ZINC001642052687 1194885947 /nfs/dbraw/zinc/88/59/47/1194885947.db2.gz JDOAXJLZLVXYNC-NSHDSACASA-N 0 1 323.238 3.002 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@H](C=C)c2ccccc2)C1 ZINC001671202383 1194891509 /nfs/dbraw/zinc/89/15/09/1194891509.db2.gz SMJVGJSHJJOIQK-KRWDZBQOSA-N 0 1 312.457 3.455 20 30 DGEDMN COc1ccccc1N1CCN(Cc2ccccc2CC#N)CC1 ZINC000057660843 1194907839 /nfs/dbraw/zinc/90/78/39/1194907839.db2.gz FDFVPQGQMBREJH-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN CC[C@H](C)N1CCN(c2nc(C(C)(C)C)ccc2C#N)CC1 ZINC001642693029 1194929856 /nfs/dbraw/zinc/92/98/56/1194929856.db2.gz HYPYBLWYCWIUPF-AWEZNQCLSA-N 0 1 300.450 3.171 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc3c2CCCC3)CC1 ZINC001642860034 1194948361 /nfs/dbraw/zinc/94/83/61/1194948361.db2.gz VZJAHDVPILTZOV-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(C)c(Cl)c2)CC1 ZINC001642867932 1194949348 /nfs/dbraw/zinc/94/93/48/1194949348.db2.gz IBTWLBDVAPXPMQ-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=CC[C@H]1N(CC(=O)N(CC)CC(F)(F)F)CCCC1(C)C ZINC001642918054 1194953802 /nfs/dbraw/zinc/95/38/02/1194953802.db2.gz VBKHBQASOFJSSM-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN Cc1nsc(N[C@H]2CCN(Cc3cccc(C)c3)C2)c1C#N ZINC001643002257 1194959792 /nfs/dbraw/zinc/95/97/92/1194959792.db2.gz FSSPGTABNNLWHR-HNNXBMFYSA-N 0 1 312.442 3.318 20 30 DGEDMN C#CCN(CCCn1c(=O)[nH]c2ccccc21)Cc1ccccc1 ZINC001643016901 1194960497 /nfs/dbraw/zinc/96/04/97/1194960497.db2.gz XERLOBVDLDDQPC-UHFFFAOYSA-N 0 1 319.408 3.267 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@H](C3CCC3)C2)cc1Cl ZINC001643421816 1195022793 /nfs/dbraw/zinc/02/27/93/1195022793.db2.gz OOAIBEKEYPWQEH-AWEZNQCLSA-N 0 1 317.820 3.272 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cn2)C1 ZINC001643758168 1195052871 /nfs/dbraw/zinc/05/28/71/1195052871.db2.gz FVMRCKKCYRVRDO-STQMWFEESA-N 0 1 307.825 3.350 20 30 DGEDMN COCC#CCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC001645048807 1195153565 /nfs/dbraw/zinc/15/35/65/1195153565.db2.gz LGVGNHDYBPUGSF-JTQLQIEISA-N 0 1 303.736 3.242 20 30 DGEDMN CC[C@@H]1CC[C@@H](C)N(Cc2cccc(C(=O)OC)c2C#N)C1 ZINC001647780286 1195253493 /nfs/dbraw/zinc/25/34/93/1195253493.db2.gz ACNUXPYVZFVHRF-ZIAGYGMSSA-N 0 1 300.402 3.355 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001647913747 1195263644 /nfs/dbraw/zinc/26/36/44/1195263644.db2.gz ZDYJLZSSNWEPMD-LLVKDONJSA-N 0 1 323.352 3.264 20 30 DGEDMN CCc1cccc2c(CC(=O)NOc3cccc(C#N)c3)c[nH]c21 ZINC001648729366 1195326029 /nfs/dbraw/zinc/32/60/29/1195326029.db2.gz JZAREQPZOPAGIX-UHFFFAOYSA-N 0 1 319.364 3.255 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC001648739885 1195327120 /nfs/dbraw/zinc/32/71/20/1195327120.db2.gz JGAVHCWMCROILK-UHFFFAOYSA-N 0 1 310.441 3.099 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C2CCCC2)cc1 ZINC001649451584 1195342685 /nfs/dbraw/zinc/34/26/85/1195342685.db2.gz DISLCVMGUVWXKJ-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(F)cc(OC(F)F)c2)cc1O ZINC001650625347 1195365468 /nfs/dbraw/zinc/36/54/68/1195365468.db2.gz FPORNASEDBVQRJ-UHFFFAOYSA-N 0 1 322.242 3.257 20 30 DGEDMN C#Cc1ccc(CN[C@@H](C)C(=O)N2c3ccccc3C[C@@H]2C)cc1 ZINC001651088655 1195411650 /nfs/dbraw/zinc/41/16/50/1195411650.db2.gz XCRBAVJDBFZZBI-HOTGVXAUSA-N 0 1 318.420 3.124 20 30 DGEDMN C[C@@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1ccccc1 ZINC001651270531 1195435527 /nfs/dbraw/zinc/43/55/27/1195435527.db2.gz FQEXNFISMHHBDS-GFCCVEGCSA-N 0 1 317.352 3.086 20 30 DGEDMN CC(C)CCCCC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001651270682 1195436026 /nfs/dbraw/zinc/43/60/26/1195436026.db2.gz IRQDALVPJUNSTB-UHFFFAOYSA-N 0 1 311.389 3.498 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)OC)c(CC)[nH]1)C1CCCCC1 ZINC001651831569 1195515449 /nfs/dbraw/zinc/51/54/49/1195515449.db2.gz VHTGCEKUNOUSFE-UHFFFAOYSA-N 0 1 302.418 3.132 20 30 DGEDMN C=CCN1C(=O)N=NC1SCC[C@H]1CCc2ccccc21 ZINC001651873424 1195518892 /nfs/dbraw/zinc/51/88/92/1195518892.db2.gz JLXYGEVNKJBDEW-CYBMUJFWSA-N 0 1 301.415 3.382 20 30 DGEDMN C=CC1(CC(=O)Nc2n[nH]c(C(=O)OCC)c2C)CCCCC1 ZINC001652749033 1195646496 /nfs/dbraw/zinc/64/64/96/1195646496.db2.gz QGYCXSGFCNDHFE-UHFFFAOYSA-N 0 1 319.405 3.360 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(Cl)cc3c[nH]nc32)cc1O ZINC001653558286 1195734436 /nfs/dbraw/zinc/73/44/36/1195734436.db2.gz KQKORXINDWUWKD-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN C#CCN(CC(=O)N(CC)[C@@H](C)c1ccc(F)cc1)C(C)(C)C ZINC001654840177 1195846922 /nfs/dbraw/zinc/84/69/22/1195846922.db2.gz CGSMBDPJMRDFDR-HNNXBMFYSA-N 0 1 318.436 3.469 20 30 DGEDMN COc1cccc([C@@]2(C)CCN(Cc3cc(C#N)ccn3)C2)c1 ZINC001655075426 1195873098 /nfs/dbraw/zinc/87/30/98/1195873098.db2.gz PRKUNBAZRXEZRS-IBGZPJMESA-N 0 1 307.397 3.125 20 30 DGEDMN N#CCOc1cccc(CNc2ccncc2C(F)(F)F)c1 ZINC001655129066 1195879545 /nfs/dbraw/zinc/87/95/45/1195879545.db2.gz MAOYYICBMGWWCQ-UHFFFAOYSA-N 0 1 307.275 3.037 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2nc3ccc(C)cc3s2)C1 ZINC001655175715 1195886838 /nfs/dbraw/zinc/88/68/38/1195886838.db2.gz MZHCCAJKBCPVJR-ZDUSSCGKSA-N 0 1 315.442 3.441 20 30 DGEDMN Clc1ccc(C#CCN2CCC(c3ncccn3)CC2)cc1 ZINC001655640210 1195943660 /nfs/dbraw/zinc/94/36/60/1195943660.db2.gz XJVKDMPQZGSWOF-UHFFFAOYSA-N 0 1 311.816 3.361 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccccc1C ZINC001656109200 1196003333 /nfs/dbraw/zinc/00/33/33/1196003333.db2.gz GOUDGAZQALBBBC-DLBZAZTESA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001656150568 1196010835 /nfs/dbraw/zinc/01/08/35/1196010835.db2.gz NSABCGYAVUUGHV-FYPZJVOZSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](C)CCC ZINC001656526392 1196047135 /nfs/dbraw/zinc/04/71/35/1196047135.db2.gz HJNGYAGSDSPMCZ-NFAWXSAZSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1cc(CNCc2cccc3c2OC(F)(F)O3)ccc1C#N ZINC001656709255 1196064620 /nfs/dbraw/zinc/06/46/20/1196064620.db2.gz LCPVICXXCNRDMB-UHFFFAOYSA-N 0 1 316.307 3.478 20 30 DGEDMN COc1cc(OC)c(CNCc2cccc(C#N)c2)cc1F ZINC001656737393 1196066658 /nfs/dbraw/zinc/06/66/58/1196066658.db2.gz SVIBHCILCMSBKI-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN N#CC1(CNCc2cccc(-c3cccnc3)c2)CCOCC1 ZINC001657124216 1196108457 /nfs/dbraw/zinc/10/84/57/1196108457.db2.gz OQHZGCGVDLJRLS-UHFFFAOYSA-N 0 1 307.397 3.159 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2ccc(F)cc2)CC1 ZINC001657135677 1196109900 /nfs/dbraw/zinc/10/99/00/1196109900.db2.gz SDQMSPSTEYMNJF-QGZVFWFLSA-N 0 1 305.393 3.198 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1ccc(C2CC2)c(C2CC2)c1 ZINC001657634071 1196163590 /nfs/dbraw/zinc/16/35/90/1196163590.db2.gz BLNJPEMZQDGEDF-UHFFFAOYSA-N 0 1 319.470 3.132 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H](C)[C@H](C)C(=O)OCC ZINC001657697384 1196170065 /nfs/dbraw/zinc/17/00/65/1196170065.db2.gz YHRZPAQTOLFUIZ-STQMWFEESA-N 0 1 323.820 3.029 20 30 DGEDMN C#CCOc1ccc(CNCc2cnccc2C(F)(F)F)cc1 ZINC001657711923 1196171644 /nfs/dbraw/zinc/17/16/44/1196171644.db2.gz SKHIJJHRWNXXPQ-UHFFFAOYSA-N 0 1 320.314 3.402 20 30 DGEDMN Cc1cc(CNCc2ccc(Br)cn2)ccc1C#N ZINC001657839433 1196182955 /nfs/dbraw/zinc/18/29/55/1196182955.db2.gz KJESXIZKIWVFPQ-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)OC(C)(C)C)CN(CC#Cc2ccccc2)C1 ZINC001658375206 1196248151 /nfs/dbraw/zinc/24/81/51/1196248151.db2.gz OYGPPGLYKJONCP-SJLPKXTDSA-N 0 1 313.441 3.338 20 30 DGEDMN C=C1CCN(C[C@@H]2COC(C)(C)N2C(=O)OC(C)(C)C)CC1 ZINC001658936321 1196322924 /nfs/dbraw/zinc/32/29/24/1196322924.db2.gz RYQOUFAVVRBDCO-CQSZACIVSA-N 0 1 310.438 3.010 20 30 DGEDMN C#CCN(Cc1c2cc(C)ccc2[nH]c1C(=O)OCC)CC1CC1 ZINC001659027117 1196333720 /nfs/dbraw/zinc/33/37/20/1196333720.db2.gz QAZQVKHHPBYBEL-UHFFFAOYSA-N 0 1 324.424 3.498 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)N[C@H](CC)c2ccc(Cl)cc2)C1 ZINC001659178250 1196356283 /nfs/dbraw/zinc/35/62/83/1196356283.db2.gz PXKCSQXCIJRBEO-WMLDXEAASA-N 0 1 318.848 3.253 20 30 DGEDMN C[C@H](NCc1cccc(C#N)n1)c1ccc(-n2cccn2)c(F)c1 ZINC001659296895 1196368989 /nfs/dbraw/zinc/36/89/89/1196368989.db2.gz VJCPPHWKPHSASM-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN C[C@](O)(CNCc1cccc(F)c1C#N)c1ccccc1Cl ZINC001659358485 1196375061 /nfs/dbraw/zinc/37/50/61/1196375061.db2.gz XUUQESRDXQAILC-KRWDZBQOSA-N 0 1 318.779 3.348 20 30 DGEDMN CC(C)(NCc1ccc(C#N)cc1[N+](=O)[O-])c1cccc(C#N)c1 ZINC001659395204 1196378801 /nfs/dbraw/zinc/37/88/01/1196378801.db2.gz RCXKCEMMNQZEQF-UHFFFAOYSA-N 0 1 320.352 3.363 20 30 DGEDMN Cc1nc([C@@H]2CCCN2CCCC2(C#N)CCOCC2)[nH]c1C ZINC001660123336 1196447797 /nfs/dbraw/zinc/44/77/97/1196447797.db2.gz TXJOODZQRCKYCV-INIZCTEOSA-N 0 1 316.449 3.264 20 30 DGEDMN CC(C)O[C@H](CNCC1(C#N)CCOCC1)c1ccccc1 ZINC001660204887 1196457696 /nfs/dbraw/zinc/45/76/96/1196457696.db2.gz DXJZRYQCVOMJNX-QGZVFWFLSA-N 0 1 302.418 3.063 20 30 DGEDMN C(#Cc1ccccc1)CN[C@@H]1C[C@]2(CCOC2)Oc2ccccc21 ZINC001660269445 1196464583 /nfs/dbraw/zinc/46/45/83/1196464583.db2.gz BRZTYCJEIINRNC-CTNGQTDRSA-N 0 1 319.404 3.311 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC[C@H](F)C1 ZINC001660529054 1196494217 /nfs/dbraw/zinc/49/42/17/1196494217.db2.gz KXLPCOJAPCINRW-KGLIPLIRSA-N 0 1 304.837 3.095 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(CC)Cc1c(C)nsc1C ZINC001660571627 1196498424 /nfs/dbraw/zinc/49/84/24/1196498424.db2.gz OSLIYWIVHJXZII-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001661162753 1196574950 /nfs/dbraw/zinc/57/49/50/1196574950.db2.gz MYIIHYFYTJNIIC-UGQYRENISA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(C2CC2)cc1)C1CC1 ZINC001661193180 1196578136 /nfs/dbraw/zinc/57/81/36/1196578136.db2.gz RYQDHHZRJQSHIR-KRWDZBQOSA-N 0 1 318.848 3.415 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001661896993 1196660546 /nfs/dbraw/zinc/66/05/46/1196660546.db2.gz YHALZAXMIGACAN-IEBWSBKVSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C(CC(C)C)CC(C)C ZINC001661895814 1196660577 /nfs/dbraw/zinc/66/05/77/1196660577.db2.gz IWYUFUGGAOJOIX-GOSISDBHSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](C)C(C)(C)C ZINC001661929698 1196663473 /nfs/dbraw/zinc/66/34/73/1196663473.db2.gz KCDBZFHTAOGBDB-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C(C1CC1)C1CC1 ZINC001661958874 1196666166 /nfs/dbraw/zinc/66/61/66/1196666166.db2.gz ADWGEIHOGXGDKH-OAHLLOKOSA-N 0 1 310.869 3.146 20 30 DGEDMN Cc1noc(-c2ccc(CNCc3cc(C#N)cs3)cc2)n1 ZINC001662161035 1196688861 /nfs/dbraw/zinc/68/88/61/1196688861.db2.gz CBZXFBOSFOVXFJ-UHFFFAOYSA-N 0 1 310.382 3.268 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001662235263 1196697094 /nfs/dbraw/zinc/69/70/94/1196697094.db2.gz PEQSCXNUBQTCHG-WBMJQRKESA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc2c1CCCCC2 ZINC001662249741 1196699825 /nfs/dbraw/zinc/69/98/25/1196699825.db2.gz PFJBQZUXYREVGX-AWEZNQCLSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001662303071 1196705112 /nfs/dbraw/zinc/70/51/12/1196705112.db2.gz HZBOFZHTGQPDHE-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001662350923 1196711115 /nfs/dbraw/zinc/71/11/15/1196711115.db2.gz PBJXJQYYICWMIO-GDBMZVCRSA-N 0 1 308.853 3.102 20 30 DGEDMN CCC(C)(CC)C(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001662420409 1196719974 /nfs/dbraw/zinc/71/99/74/1196719974.db2.gz QYWBFGRDVUAAPK-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C#Cc1ccc(CNCc2ccnn2-c2ccccc2OC)cc1 ZINC001662487954 1196725429 /nfs/dbraw/zinc/72/54/29/1196725429.db2.gz IQTMZUGHHQUTAL-UHFFFAOYSA-N 0 1 317.392 3.152 20 30 DGEDMN CCC[C@H](CC)C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001662557957 1196730258 /nfs/dbraw/zinc/73/02/58/1196730258.db2.gz VPYFRGUFUAIYGW-OALUTQOASA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CC1CC(c2ccccc2)C1 ZINC001663395884 1196857338 /nfs/dbraw/zinc/85/73/38/1196857338.db2.gz CLMQPIVMJXVCJB-UHFFFAOYSA-N 0 1 312.457 3.032 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cccc(C2CCCC2)c1 ZINC001663442892 1196870917 /nfs/dbraw/zinc/87/09/17/1196870917.db2.gz JHKTWIBWEHEGQA-UHFFFAOYSA-N 0 1 312.457 3.419 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC001663517946 1196891620 /nfs/dbraw/zinc/89/16/20/1196891620.db2.gz DBUYMMZLXKGRBC-INIZCTEOSA-N 0 1 318.436 3.427 20 30 DGEDMN C#Cc1ccc(CN2CCN(Cc3cccc(C)c3)CC2)cc1 ZINC001664078311 1196968807 /nfs/dbraw/zinc/96/88/07/1196968807.db2.gz HSWPAQRWXLMJDC-UHFFFAOYSA-N 0 1 304.437 3.294 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@H](C)NCc2ncc(C)o2)CC1 ZINC001664442037 1196999718 /nfs/dbraw/zinc/99/97/18/1196999718.db2.gz JCHCGCRHEBYBOE-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@H]1C=CCCC1)c1ccccc1 ZINC001664582838 1197017213 /nfs/dbraw/zinc/01/72/13/1197017213.db2.gz AAFPZIXCBTXCAR-HKUYNNGSSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C)C(C)(C)C1(C)C)c1ccccc1 ZINC001664603765 1197020422 /nfs/dbraw/zinc/02/04/22/1197020422.db2.gz DFIRNVNRNHLKAU-INIZCTEOSA-N 0 1 312.457 3.139 20 30 DGEDMN CC(C)(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)N2CC1=CCCCC1 ZINC001664616721 1197021872 /nfs/dbraw/zinc/02/18/72/1197021872.db2.gz RLQPIZYAWHQNJZ-HDICACEKSA-N 0 1 314.473 3.212 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCCC2(C)C)C1 ZINC001664678986 1197033659 /nfs/dbraw/zinc/03/36/59/1197033659.db2.gz SCYAQRBHVQVULJ-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001664680108 1197034504 /nfs/dbraw/zinc/03/45/04/1197034504.db2.gz ZSXDUXIAOHZAGG-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(Cl)o2)C1 ZINC001664695730 1197039468 /nfs/dbraw/zinc/03/94/68/1197039468.db2.gz RDTLFZMLSWVHHM-LLVKDONJSA-N 0 1 317.216 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(F)=C2CCCC2)C1 ZINC001664704040 1197040709 /nfs/dbraw/zinc/04/07/09/1197040709.db2.gz AKEACPQSDYLZCK-ZDUSSCGKSA-N 0 1 314.832 3.365 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](C)c2ccccc2)C1 ZINC001664754650 1197051093 /nfs/dbraw/zinc/05/10/93/1197051093.db2.gz FSNBCDYAMRIYCK-HZPDHXFCSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C23CCC(CC2)C3)C1 ZINC001664818432 1197062713 /nfs/dbraw/zinc/06/27/13/1197062713.db2.gz SSZFMZIUOPJNRO-ISXOHVOVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(C)c(F)c2)C1 ZINC001664819126 1197063504 /nfs/dbraw/zinc/06/35/04/1197063504.db2.gz ZTGSLJIICVTHEB-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCCCC)CC1 ZINC001664863823 1197071368 /nfs/dbraw/zinc/07/13/68/1197071368.db2.gz LLVHUUVOEWKAOD-UHFFFAOYSA-N 0 1 300.874 3.490 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001665088528 1197096247 /nfs/dbraw/zinc/09/62/47/1197096247.db2.gz FNBOXSSTYYFBDI-IBGZPJMESA-N 0 1 314.473 3.474 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccccc2-n2cccc2)C1 ZINC001665187814 1197106859 /nfs/dbraw/zinc/10/68/59/1197106859.db2.gz SWQDMFZRTUIZSM-INIZCTEOSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCC[C@@H](C(=O)NC1CN(CC2CCC2)C1)c1ccccc1 ZINC001665243961 1197113379 /nfs/dbraw/zinc/11/33/79/1197113379.db2.gz CBRLTXLAPUCPTO-LJQANCHMSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1C ZINC001665371628 1197129167 /nfs/dbraw/zinc/12/91/67/1197129167.db2.gz MYQXQVHGZYYZQB-GJZGRUSLSA-N 0 1 319.474 3.097 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cc(C)cc1C ZINC001665382713 1197130892 /nfs/dbraw/zinc/13/08/92/1197130892.db2.gz XSQXEEZVBKPQRS-OALUTQOASA-N 0 1 324.468 3.200 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001665659545 1197166100 /nfs/dbraw/zinc/16/61/00/1197166100.db2.gz NQBFQJQVLWARAV-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001665658637 1197166553 /nfs/dbraw/zinc/16/65/53/1197166553.db2.gz ARCKMEARAFOZOV-HOCLYGCPSA-N 0 1 306.475 3.383 20 30 DGEDMN Cc1cc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)ccc1C#N ZINC001665705987 1197177047 /nfs/dbraw/zinc/17/70/47/1197177047.db2.gz PWTLTPAQQOWNCX-PMACEKPBSA-N 0 1 303.409 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(C2CC2)c1 ZINC001665892791 1197212748 /nfs/dbraw/zinc/21/27/48/1197212748.db2.gz HAXGYQMIRMFLAG-MRXNPFEDSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2nccs2)CCCC[C@H]1C ZINC001666051163 1197232046 /nfs/dbraw/zinc/23/20/46/1197232046.db2.gz WJBSFPGDMJOZMC-PBHICJAKSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCCCC(=O)NCC1(NC/C(Cl)=C/Cl)CCCC1 ZINC001666755349 1197283324 /nfs/dbraw/zinc/28/33/24/1197283324.db2.gz NKPBSNKLUKHPGN-RAXLEYEMSA-N 0 1 317.260 3.128 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)C(CC)CC)CC2 ZINC001666825892 1197288179 /nfs/dbraw/zinc/28/81/79/1197288179.db2.gz WVMWBJVHHWOWPO-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC1(C(=O)N2CCC3(CN(CC#CC)C3)CC2)CCCC1 ZINC001666838578 1197289100 /nfs/dbraw/zinc/28/91/00/1197289100.db2.gz STNCEZSKLMPPMM-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1F ZINC001666983126 1197305089 /nfs/dbraw/zinc/30/50/89/1197305089.db2.gz TXHWHSMRQHLXAR-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CCc1ccoc1 ZINC001667367861 1197341698 /nfs/dbraw/zinc/34/16/98/1197341698.db2.gz JAPQHSQTQWUJKW-BBRMVZONSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1c(C)coc1C ZINC001667374689 1197342046 /nfs/dbraw/zinc/34/20/46/1197342046.db2.gz KCHLYXFTACSJCR-XHDPSFHLSA-N 0 1 324.852 3.479 20 30 DGEDMN CN(CCN(C)C(=O)CC1CCCC1)Cc1ccc(C#N)cc1 ZINC001667529483 1197357489 /nfs/dbraw/zinc/35/74/89/1197357489.db2.gz RLJAPRUIPOPDMD-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CCCCCC(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001667537197 1197359396 /nfs/dbraw/zinc/35/93/96/1197359396.db2.gz ZXMAZIPQXVWMEQ-UHFFFAOYSA-N 0 1 319.424 3.168 20 30 DGEDMN C=CCC[C@H](NS(=O)(=O)c1ccccc1O)c1ccccc1 ZINC001668152200 1197401841 /nfs/dbraw/zinc/40/18/41/1197401841.db2.gz QWSHUUDIYRTOCX-HNNXBMFYSA-N 0 1 317.410 3.378 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)c1ccsc1 ZINC001668555940 1197419727 /nfs/dbraw/zinc/41/97/27/1197419727.db2.gz ZYXKLWRUQVBOFI-LBPRGKRZSA-N 0 1 300.855 3.042 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc2c1CCCC2 ZINC001668837851 1197431953 /nfs/dbraw/zinc/43/19/53/1197431953.db2.gz BWCBLWPLBXZYRC-SNAWJCMRSA-N 0 1 318.848 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001669320492 1197448858 /nfs/dbraw/zinc/44/88/58/1197448858.db2.gz LRGJQEYRTSDTBS-FVQBIDKESA-N 0 1 312.885 3.440 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2ncccc2C)C1 ZINC001671648578 1197557034 /nfs/dbraw/zinc/55/70/34/1197557034.db2.gz IVOOGWJYNVCSOB-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ccns1 ZINC001672521750 1197614799 /nfs/dbraw/zinc/61/47/99/1197614799.db2.gz IQHHQPLFIWVCPQ-CVEARBPZSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccns1 ZINC001672521750 1197614800 /nfs/dbraw/zinc/61/48/00/1197614800.db2.gz IQHHQPLFIWVCPQ-CVEARBPZSA-N 0 1 319.474 3.065 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC(C)C)CCCC1 ZINC001672537668 1197616124 /nfs/dbraw/zinc/61/61/24/1197616124.db2.gz JSDMRIZZIKQKGO-QZTJIDSGSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H]3CC=CCC3)cccc2C1 ZINC001673079593 1197636364 /nfs/dbraw/zinc/63/63/64/1197636364.db2.gz GWQFIKUDRXPIHT-QGZVFWFLSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc3c2CCC3)CCC1 ZINC001673241811 1197646775 /nfs/dbraw/zinc/64/67/75/1197646775.db2.gz DHXKRADMKPZJFW-UHFFFAOYSA-N 0 1 318.848 3.170 20 30 DGEDMN C#CCCCCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001673429457 1197660469 /nfs/dbraw/zinc/66/04/69/1197660469.db2.gz GEVKNONRVVGGNR-UHFFFAOYSA-N 0 1 324.468 3.012 20 30 DGEDMN C=CCCC(=O)N1CCC(CC)(NCc2ncc(CC)o2)CC1 ZINC001673583267 1197675229 /nfs/dbraw/zinc/67/52/29/1197675229.db2.gz AAHBPVAOWAASRI-UHFFFAOYSA-N 0 1 319.449 3.064 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001673633234 1197681897 /nfs/dbraw/zinc/68/18/97/1197681897.db2.gz FNBRIMLZRVZMMD-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CC[C@@H](C)OC)cc2C1 ZINC001673760373 1197691284 /nfs/dbraw/zinc/69/12/84/1197691284.db2.gz ITZLXPOQNWIYII-OAHLLOKOSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(Br)CN1CCN(Cc2ccccc2)C[C@H](C)C1 ZINC000324026783 1197692503 /nfs/dbraw/zinc/69/25/03/1197692503.db2.gz OUZWFNLYPHECGW-CQSZACIVSA-N 0 1 323.278 3.349 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(C/C=C\Cl)[C@@H](C)C1 ZINC001674134196 1197736581 /nfs/dbraw/zinc/73/65/81/1197736581.db2.gz JRGKDTOTCXWIEZ-IOFUZAPHSA-N 0 1 310.869 3.476 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCN(CCF)[C@H](C)C2)CCCCC1 ZINC001674146316 1197738657 /nfs/dbraw/zinc/73/86/57/1197738657.db2.gz CKFMVUHCMIUMMU-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(C)C[N@H+]1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)C ZINC001674271583 1197755084 /nfs/dbraw/zinc/75/50/84/1197755084.db2.gz KRHIVPZRIDCVDU-QGZVFWFLSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)C ZINC001674271583 1197755087 /nfs/dbraw/zinc/75/50/87/1197755087.db2.gz KRHIVPZRIDCVDU-QGZVFWFLSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@]1(C)C=CCC1 ZINC001674272187 1197756256 /nfs/dbraw/zinc/75/62/56/1197756256.db2.gz SSSXZUUZXQMEGP-TZIWHRDSSA-N 0 1 322.452 3.082 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC[C@H](C)c1ccccc1 ZINC001674486675 1197785087 /nfs/dbraw/zinc/78/50/87/1197785087.db2.gz OCWHPYQVWZUWGK-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C)c(F)c(C)c1 ZINC001674500004 1197789347 /nfs/dbraw/zinc/78/93/47/1197789347.db2.gz UKVBLOKQEGJAKH-QGZVFWFLSA-N 0 1 316.420 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2c(s1)CCC2 ZINC001674765925 1197864936 /nfs/dbraw/zinc/86/49/36/1197864936.db2.gz KAJJDPURXAVAOK-QWRGUYRKSA-N 0 1 312.866 3.086 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2cc(C)no2)CCCC1 ZINC001674781400 1197872504 /nfs/dbraw/zinc/87/25/04/1197872504.db2.gz WWBRVDVNQWMUNJ-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1cccc(C(C)C)n1 ZINC001674975997 1197940717 /nfs/dbraw/zinc/94/07/17/1197940717.db2.gz NZDAIHZJAPJKCF-OAHLLOKOSA-N 0 1 317.477 3.354 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1ccns1 ZINC001675252656 1198022392 /nfs/dbraw/zinc/02/23/92/1198022392.db2.gz BBMKXHSLMDLDAH-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C(C)(C)C1CCCC1 ZINC001675258470 1198027694 /nfs/dbraw/zinc/02/76/94/1198027694.db2.gz WMJVHTXKZJVCMH-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001675332040 1198046441 /nfs/dbraw/zinc/04/64/41/1198046441.db2.gz JBTNBAAADFIDHJ-YHVDPYDOSA-N 0 1 312.457 3.349 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)Cc2cccc(F)c2)C(C)(C)C1 ZINC001675487221 1198079621 /nfs/dbraw/zinc/07/96/21/1198079621.db2.gz TXTAJOKPKMGBQW-WMLDXEAASA-N 0 1 318.436 3.017 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccsc1C ZINC001676214984 1198253269 /nfs/dbraw/zinc/25/32/69/1198253269.db2.gz AQBXEIUWHVIIBW-CQSZACIVSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001676261998 1198265421 /nfs/dbraw/zinc/26/54/21/1198265421.db2.gz HHPXBDNVCBMADF-XHSDSOJGSA-N 0 1 310.869 3.002 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001676354970 1198300315 /nfs/dbraw/zinc/30/03/15/1198300315.db2.gz DJZXULNQAPQAKJ-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001676354971 1198301108 /nfs/dbraw/zinc/30/11/08/1198301108.db2.gz DJZXULNQAPQAKJ-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001676419019 1198317351 /nfs/dbraw/zinc/31/73/51/1198317351.db2.gz XDIUPTPWPKPQQX-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C)c(Cl)c1F)C(C)C ZINC001677205379 1198487837 /nfs/dbraw/zinc/48/78/37/1198487837.db2.gz QWKGAHWYTBKWJM-UHFFFAOYSA-N 0 1 324.827 3.203 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001677253586 1198501474 /nfs/dbraw/zinc/50/14/74/1198501474.db2.gz XBBGPCGFNMNTGG-CQSZACIVSA-N 0 1 323.506 3.428 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CC1CC(F)(F)C1)C(C)(C)C ZINC001677263212 1198503488 /nfs/dbraw/zinc/50/34/88/1198503488.db2.gz MXFFVHIYXGMMRF-LBPRGKRZSA-N 0 1 322.827 3.295 20 30 DGEDMN C#CCCCCC(=O)NC1(C2CCN(C/C=C\Cl)CC2)CC1 ZINC001677459097 1198539776 /nfs/dbraw/zinc/53/97/76/1198539776.db2.gz MPPOAWVWCHZRTD-SDQBBNPISA-N 0 1 322.880 3.293 20 30 DGEDMN C=C1CCC(CNC(=O)NCc2nc3ccc(C)cc3[nH]2)CC1 ZINC001679612962 1198810805 /nfs/dbraw/zinc/81/08/05/1198810805.db2.gz MFOUIICCPDUANG-UHFFFAOYSA-N 0 1 312.417 3.417 20 30 DGEDMN C=C1CN(C(=O)NC[C@H](c2cccc(Cl)c2)N2CCCC2)C1 ZINC001681466760 1199012333 /nfs/dbraw/zinc/01/23/33/1199012333.db2.gz SQBIRUDWKOQVMZ-MRXNPFEDSA-N 0 1 319.836 3.058 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(C2CCCCC2)CC1 ZINC001688895198 1199948239 /nfs/dbraw/zinc/94/82/39/1199948239.db2.gz BYHAFVPOUYHOOP-KRWDZBQOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001689186310 1200053582 /nfs/dbraw/zinc/05/35/82/1200053582.db2.gz FYGLEASYKFZSGG-LZLYRXPVSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCCCC)C(C)C)[C@H]1CC ZINC001689192925 1200057675 /nfs/dbraw/zinc/05/76/75/1200057675.db2.gz YLBBDVCHJSCXGG-OKZBNKHCSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)[C@@H]1C ZINC001689280457 1200079080 /nfs/dbraw/zinc/07/90/80/1200079080.db2.gz JXAVNBDOWBNXJN-PBHICJAKSA-N 0 1 304.409 3.179 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@]12C[C@H]1CCCC2 ZINC001754066289 1200093217 /nfs/dbraw/zinc/09/32/17/1200093217.db2.gz HCGFJDJZOAYSQG-TZIWHRDSSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1ccc(C)o1 ZINC001689352667 1200104833 /nfs/dbraw/zinc/10/48/33/1200104833.db2.gz MXDDMXKVGPLDST-SJORKVTESA-N 0 1 316.445 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CC)CC1CCCC1 ZINC001754243452 1200150364 /nfs/dbraw/zinc/15/03/64/1200150364.db2.gz NKFOEOHJGHMFGA-HIFRSBDPSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCc1cc(C)cc(C)c1 ZINC001754248637 1200153000 /nfs/dbraw/zinc/15/30/00/1200153000.db2.gz YZASBZJRGWCCLB-OAHLLOKOSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2ccccc2C)CCC1 ZINC001754253081 1200155421 /nfs/dbraw/zinc/15/54/21/1200155421.db2.gz WVKKPBIHXINRDA-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1cccc(F)c1Cl ZINC001754280190 1200169641 /nfs/dbraw/zinc/16/96/41/1200169641.db2.gz ZPURJYRXYKVYKY-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C/C=C(\C)C(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001689795810 1200283470 /nfs/dbraw/zinc/28/34/70/1200283470.db2.gz PSAFLSGSOMLIJZ-SYZQJQIISA-N 0 1 318.848 3.096 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C1CC2(CCC2)C1 ZINC001689823585 1200298851 /nfs/dbraw/zinc/29/88/51/1200298851.db2.gz UCJFVVRMRKRLFE-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001689834479 1200302441 /nfs/dbraw/zinc/30/24/41/1200302441.db2.gz LXRQVKSWCONBAL-LJQANCHMSA-N 0 1 313.445 3.099 20 30 DGEDMN CCC(=CC(=O)NCC1(NCC#Cc2ccccc2)CC1)CC ZINC001754510422 1200327062 /nfs/dbraw/zinc/32/70/62/1200327062.db2.gz IZRSFVQCSLEHPQ-UHFFFAOYSA-N 0 1 310.441 3.023 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001754522703 1200335237 /nfs/dbraw/zinc/33/52/37/1200335237.db2.gz VLPJHYHNCNPRGK-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(C)ccc1F ZINC001689957917 1200345934 /nfs/dbraw/zinc/34/59/34/1200345934.db2.gz UOKSCTZMLUVZEQ-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1(F)CCCCC1 ZINC001689963708 1200348821 /nfs/dbraw/zinc/34/88/21/1200348821.db2.gz UNNQGUKCCBJQGM-UHFFFAOYSA-N 0 1 304.837 3.240 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2ccsc2)C1 ZINC001754578489 1200373828 /nfs/dbraw/zinc/37/38/28/1200373828.db2.gz JTWXPXXVRNPSSK-UHFFFAOYSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccnc2C(F)F)C1 ZINC001754577872 1200374659 /nfs/dbraw/zinc/37/46/59/1200374659.db2.gz MCYNHIPNCBYOEA-GFCCVEGCSA-N 0 1 323.387 3.130 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@H]2CCc3c2cccc3F)C1 ZINC001690093421 1200394741 /nfs/dbraw/zinc/39/47/41/1200394741.db2.gz XOHZRAHEEOOQNC-KSSFIOAISA-N 0 1 316.420 3.360 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC001690093408 1200395007 /nfs/dbraw/zinc/39/50/07/1200395007.db2.gz XDYIZWZUGLYKRK-OAHLLOKOSA-N 0 1 322.399 3.054 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001690129021 1200410736 /nfs/dbraw/zinc/41/07/36/1200410736.db2.gz WEEIDRAJFKXMME-NWDGAFQWSA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)/C=C/c2ccco2)CC1 ZINC001690131514 1200412559 /nfs/dbraw/zinc/41/25/59/1200412559.db2.gz SSGVAIIGGTXYEH-CMDGGOBGSA-N 0 1 314.429 3.019 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](CC)SC)C1CCCC1 ZINC001754648198 1200414419 /nfs/dbraw/zinc/41/44/19/1200414419.db2.gz WJRPRPWUZOZDMO-ZIAGYGMSSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1C[C@H]1c1ccccc1)C1CC1 ZINC001690176876 1200434232 /nfs/dbraw/zinc/43/42/32/1200434232.db2.gz ZNLVQSJKAAIIBV-BBWFWOEESA-N 0 1 318.848 3.027 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001690194518 1200449305 /nfs/dbraw/zinc/44/93/05/1200449305.db2.gz INGHMUWGRGQNKQ-BHYGNILZSA-N 0 1 324.896 3.346 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc(C3CC3)c2)C1 ZINC001754733370 1200479265 /nfs/dbraw/zinc/47/92/65/1200479265.db2.gz CGQGNQXKWUUBNW-FQEVSTJZSA-N 0 1 310.441 3.029 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)CCCCCC)[C@@H]2C1 ZINC001754873531 1200527723 /nfs/dbraw/zinc/52/77/23/1200527723.db2.gz MRALMZSNGBQLIT-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CCNCc2csc(C)n2)C1 ZINC001754928990 1200538971 /nfs/dbraw/zinc/53/89/71/1200538971.db2.gz CEVUNFWMWQYAFW-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)CCCC ZINC001754945747 1200548700 /nfs/dbraw/zinc/54/87/00/1200548700.db2.gz HNNODFIFAWPAEZ-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H](C)CC(C)C ZINC001754954868 1200555194 /nfs/dbraw/zinc/55/51/94/1200555194.db2.gz USMLFRCAKJBSMB-QGZVFWFLSA-N 0 1 314.473 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)C[C@H](C)OC)cc1 ZINC001754955230 1200556261 /nfs/dbraw/zinc/55/62/61/1200556261.db2.gz YDUDLNNSDPEXOU-UONOGXRCSA-N 0 1 324.852 3.131 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2)CCC1 ZINC001690451040 1200565806 /nfs/dbraw/zinc/56/58/06/1200565806.db2.gz VXUJDKHSUNIIFL-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001754983577 1200570277 /nfs/dbraw/zinc/57/02/77/1200570277.db2.gz YTMAZSFXEKGHLE-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001690476092 1200580127 /nfs/dbraw/zinc/58/01/27/1200580127.db2.gz OTFXJMPGOPOABF-CNELAYHGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1cc(F)ccc1F ZINC001755040777 1200607048 /nfs/dbraw/zinc/60/70/48/1200607048.db2.gz GMXSLJAFVYYXCP-UHFFFAOYSA-N 0 1 324.415 3.457 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@@H]1CC12CCC2 ZINC001755045779 1200615974 /nfs/dbraw/zinc/61/59/74/1200615974.db2.gz HYXJOIFGYAKSSX-IBGZPJMESA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cc(OC)ccc1Cl ZINC001690581966 1200635536 /nfs/dbraw/zinc/63/55/36/1200635536.db2.gz CEBDFBHKWKKLPM-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@@H](C)NCc2ccccc2C#N)cc1 ZINC001690624960 1200654768 /nfs/dbraw/zinc/65/47/68/1200654768.db2.gz HCULFQUYGDVBDL-OAHLLOKOSA-N 0 1 319.408 3.109 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C/C=C\Cc2ccccc2)C(C)(C)C1 ZINC001755137917 1200655906 /nfs/dbraw/zinc/65/59/06/1200655906.db2.gz GSBVBJNKYRTKDP-QWUACUGRSA-N 0 1 324.468 3.025 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cccc2scnc21 ZINC001755193050 1200690652 /nfs/dbraw/zinc/69/06/52/1200690652.db2.gz QIODPRQJEOTTRO-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)C[C@@H](C)CCC=C(C)C ZINC001755199021 1200696255 /nfs/dbraw/zinc/69/62/55/1200696255.db2.gz NBOSVILNKAENCH-ZWKOTPCHSA-N 0 1 304.478 3.315 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](C)CCC=C(C)C ZINC001755199021 1200696256 /nfs/dbraw/zinc/69/62/56/1200696256.db2.gz NBOSVILNKAENCH-ZWKOTPCHSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC001755198537 1200696266 /nfs/dbraw/zinc/69/62/66/1200696266.db2.gz BQDOBOMTOSQWQV-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001690776884 1200709277 /nfs/dbraw/zinc/70/92/77/1200709277.db2.gz FFBMZSCCBXXQEK-HUUCEWRRSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001690780333 1200710686 /nfs/dbraw/zinc/71/06/86/1200710686.db2.gz IEWCVMVDVDGLEU-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001755248293 1200733995 /nfs/dbraw/zinc/73/39/95/1200733995.db2.gz HUSRXGLORQZVBN-GJZGRUSLSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C2CC2)nc1C ZINC001755255396 1200740244 /nfs/dbraw/zinc/74/02/44/1200740244.db2.gz DGXGKUANPMPMPB-NEPJUHHUSA-N 0 1 321.852 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1coc2ccccc21 ZINC001755269574 1200751944 /nfs/dbraw/zinc/75/19/44/1200751944.db2.gz QVXKTLBIIHYKDK-NWDGAFQWSA-N 0 1 306.793 3.282 20 30 DGEDMN CCCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001755290210 1200768293 /nfs/dbraw/zinc/76/82/93/1200768293.db2.gz AQYADUFUSTWWGY-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN C=CCOCC(=O)NC[C@@H](C)N(C)[C@H](C)c1ccccc1Cl ZINC001755295494 1200775895 /nfs/dbraw/zinc/77/58/95/1200775895.db2.gz YEPKDFORENVPGU-ZIAGYGMSSA-N 0 1 324.852 3.040 20 30 DGEDMN C=CCCC(=O)NCCN(C)C/C=C\c1ccc(Cl)cc1 ZINC001690929686 1200791266 /nfs/dbraw/zinc/79/12/66/1200791266.db2.gz GCBSYAGIGCUCGO-WAYWQWQTSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2C[C@H](NC/C(Cl)=C\Cl)C2)C1 ZINC001691811317 1200817853 /nfs/dbraw/zinc/81/78/53/1200817853.db2.gz ZUKKKGCMYWVDSC-PAYQAHJCSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H](F)C(C)C)CC(C)(C)C1 ZINC001691818774 1200822509 /nfs/dbraw/zinc/82/25/09/1200822509.db2.gz JUTCGNKTFTXTAA-KGLIPLIRSA-N 0 1 318.864 3.340 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)CCC)C1 ZINC001691836223 1200829058 /nfs/dbraw/zinc/82/90/58/1200829058.db2.gz KMJLMGDCNUAOCK-NZSAHSFTSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001691867342 1200847443 /nfs/dbraw/zinc/84/74/43/1200847443.db2.gz RXOZYZZWXFMKGZ-BTRQGYIVSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1ocnc1C ZINC001755367634 1200855705 /nfs/dbraw/zinc/85/57/05/1200855705.db2.gz HAQSDMNHLHRYCX-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001755369318 1200858400 /nfs/dbraw/zinc/85/84/00/1200858400.db2.gz YHKCJVMZQRIEAT-SBHAEUEKSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001691908575 1200867788 /nfs/dbraw/zinc/86/77/88/1200867788.db2.gz KXWVFEIMMDUJSW-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@H]2C=CCC2)CC1 ZINC001692002326 1200906413 /nfs/dbraw/zinc/90/64/13/1200906413.db2.gz AIVWFXUNVSRNLJ-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001692104400 1200929640 /nfs/dbraw/zinc/92/96/40/1200929640.db2.gz HLWBVQWTPSKRNR-CHWSQXEVSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@]12C[C@H]1CCCC2 ZINC001755566494 1200957169 /nfs/dbraw/zinc/95/71/69/1200957169.db2.gz VGZOOOSMNZINIU-BFYDXBDKSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCC2CCCCCC2)[C@H]1CC ZINC001692197318 1200966456 /nfs/dbraw/zinc/96/64/56/1200966456.db2.gz WKSORZANMPFFMF-ZWKOTPCHSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc(OCCC)c2)C1 ZINC001692201074 1200968423 /nfs/dbraw/zinc/96/84/23/1200968423.db2.gz WZMDACHOROHPIE-OAHLLOKOSA-N 0 1 316.445 3.244 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)C2CCCCC2)[C@H]1CC ZINC001692209336 1200970713 /nfs/dbraw/zinc/97/07/13/1200970713.db2.gz UAJWXHXJXHTMIP-RYQLBKOJSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001692227505 1200976334 /nfs/dbraw/zinc/97/63/34/1200976334.db2.gz IBEIKDVUCZVAPS-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001692239153 1200980476 /nfs/dbraw/zinc/98/04/76/1200980476.db2.gz OPOIXUDKPGPFLJ-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1ccn(C(C)C)n1 ZINC001755604778 1200982666 /nfs/dbraw/zinc/98/26/66/1200982666.db2.gz CSLCEMDRIHTUGU-YJBOKZPZSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2ccccc2o1 ZINC001755616435 1200991962 /nfs/dbraw/zinc/99/19/62/1200991962.db2.gz QMBJIVBABTTYHF-GFCCVEGCSA-N 0 1 306.793 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C)c2c1CCC2 ZINC001755619311 1200995976 /nfs/dbraw/zinc/99/59/76/1200995976.db2.gz WBRQZEOEATUDCT-AWEZNQCLSA-N 0 1 320.864 3.286 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2cccc(F)c2F)C1 ZINC001692273893 1201000965 /nfs/dbraw/zinc/00/09/65/1201000965.db2.gz ICHSXXHGADAORK-ZDUSSCGKSA-N 0 1 322.399 3.053 20 30 DGEDMN CCCC(=O)N1C[C@@H](NCC#Cc2ccc(Cl)cc2)C[C@@H]1C ZINC001755669724 1201006544 /nfs/dbraw/zinc/00/65/44/1201006544.db2.gz MUHNXBQGWMNAKP-YOEHRIQHSA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@@H](NCc2ncc(C)s2)C1 ZINC001692315521 1201013274 /nfs/dbraw/zinc/01/32/74/1201013274.db2.gz AJKZXWRUEVAEDI-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001692355098 1201035502 /nfs/dbraw/zinc/03/55/02/1201035502.db2.gz OIKWMUZPPYCPAO-DAYGRLMNSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001692374610 1201043834 /nfs/dbraw/zinc/04/38/34/1201043834.db2.gz BLZUPSRIPLCMGZ-NEWSRXKRSA-N 0 1 312.457 3.382 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)on1 ZINC001692380546 1201046375 /nfs/dbraw/zinc/04/63/75/1201046375.db2.gz YJPKBBRYHGTISK-GJZGRUSLSA-N 0 1 319.449 3.106 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001755728061 1201049730 /nfs/dbraw/zinc/04/97/30/1201049730.db2.gz KVSLXNBLOSKQCG-HOCLYGCPSA-N 0 1 304.459 3.021 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C[C@H](C)CC(C)C)c(F)c1 ZINC001755902693 1201126968 /nfs/dbraw/zinc/12/69/68/1201126968.db2.gz MHWNYPWQTYNSLL-OAHLLOKOSA-N 0 1 318.436 3.237 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001698560604 1201229602 /nfs/dbraw/zinc/22/96/02/1201229602.db2.gz MHWNNXOISAZVAL-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC/C=C\c2ccccc2)C1 ZINC001698570548 1201240668 /nfs/dbraw/zinc/24/06/68/1201240668.db2.gz VQUZVZVTKUHIJP-ICEKFOEVSA-N 0 1 310.441 3.036 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC/C=C\c2ccccc2)C1 ZINC001698570550 1201241750 /nfs/dbraw/zinc/24/17/50/1201241750.db2.gz VQUZVZVTKUHIJP-KEEQEYLASA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001698587141 1201261409 /nfs/dbraw/zinc/26/14/09/1201261409.db2.gz NFYRCEMVCTZMBL-MSOLQXFVSA-N 0 1 318.436 3.066 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001698594140 1201267548 /nfs/dbraw/zinc/26/75/48/1201267548.db2.gz FRUYKHUNSSLQLP-YBSJANCESA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C2(CCC)CCC2)C1 ZINC001698789108 1201417417 /nfs/dbraw/zinc/41/74/17/1201417417.db2.gz SZLUGYYABDQIAS-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001698988137 1201650629 /nfs/dbraw/zinc/65/06/29/1201650629.db2.gz QVGPMSVHJPTOLQ-UHFFFAOYSA-N 0 1 317.477 3.191 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1cc(F)ccc1Cl ZINC001699174321 1201739633 /nfs/dbraw/zinc/73/96/33/1201739633.db2.gz BGFOUSFGEAJCMQ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C[C@H](c1ccccc1Cl)N(C)CCCNC(=O)C#CC1CC1 ZINC001751972463 1201761718 /nfs/dbraw/zinc/76/17/18/1201761718.db2.gz KZLTZPIPZRDLDU-CQSZACIVSA-N 0 1 318.848 3.253 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1cccc(C2CC2)c1 ZINC001699289431 1201778607 /nfs/dbraw/zinc/77/86/07/1201778607.db2.gz RZLWIXNFNGYYJG-IHWYPQMZSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C(F)F)cc1 ZINC001699321409 1201788493 /nfs/dbraw/zinc/78/84/93/1201788493.db2.gz NMEPVHLRXIZANY-IHWYPQMZSA-N 0 1 314.763 3.252 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001752292281 1201821841 /nfs/dbraw/zinc/82/18/41/1201821841.db2.gz QTLDMMHVBMYDTK-HNNXBMFYSA-N 0 1 313.445 3.027 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001752292283 1201821997 /nfs/dbraw/zinc/82/19/97/1201821997.db2.gz QTLDMMHVBMYDTK-OAHLLOKOSA-N 0 1 313.445 3.027 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001699377933 1201825330 /nfs/dbraw/zinc/82/53/30/1201825330.db2.gz SAJDDBNCJSFQFA-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2cc(C)ns2)CC1 ZINC001752308648 1201826847 /nfs/dbraw/zinc/82/68/47/1201826847.db2.gz QFCCXTUIOVQQQV-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001752438911 1201839312 /nfs/dbraw/zinc/83/93/12/1201839312.db2.gz AIZGYSXZKWXVTQ-RBUKOAKNSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cscc2Cl)CC1 ZINC001752440512 1201840388 /nfs/dbraw/zinc/84/03/88/1201840388.db2.gz ZAKFQYDOMTVIFZ-UHFFFAOYSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(CC)s1)C1CC1 ZINC001752473211 1201849002 /nfs/dbraw/zinc/84/90/02/1201849002.db2.gz ZJIJTELFFQLMKW-ZDUSSCGKSA-N 0 1 312.866 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(-c2ccoc2)c1 ZINC001699425973 1201859682 /nfs/dbraw/zinc/85/96/82/1201859682.db2.gz XQPIPYQIPJIRGM-ZDUSSCGKSA-N 0 1 318.804 3.407 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001752778968 1201916645 /nfs/dbraw/zinc/91/66/45/1201916645.db2.gz OQLIGYRUZAQWDW-FUJWGRRUSA-N 0 1 324.468 3.223 20 30 DGEDMN CC[C@H](C)C(=O)NC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001752813495 1201937344 /nfs/dbraw/zinc/93/73/44/1201937344.db2.gz FULGGWGYHKFBTP-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CCCc1ccsc1 ZINC001752821590 1201945046 /nfs/dbraw/zinc/94/50/46/1201945046.db2.gz PCHJJQWQFDVJDJ-KRWDZBQOSA-N 0 1 318.486 3.065 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CC/C=C/c1ccccc1 ZINC001752822760 1201948946 /nfs/dbraw/zinc/94/89/46/1201948946.db2.gz NMWLISIDKOZRDX-NBSXQYNISA-N 0 1 324.468 3.474 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1cccnc1C ZINC001752827638 1201954876 /nfs/dbraw/zinc/95/48/76/1201954876.db2.gz MABOCPGBVGIPCD-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1cccnc1C ZINC001752827639 1201955948 /nfs/dbraw/zinc/95/59/48/1201955948.db2.gz MABOCPGBVGIPCD-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)/C(C)=C/CC ZINC001752831646 1201956326 /nfs/dbraw/zinc/95/63/26/1201956326.db2.gz JXBSDDBPJNGSPN-RRBNNULLSA-N 0 1 302.462 3.212 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)cc(Cl)c1 ZINC001752887623 1201995694 /nfs/dbraw/zinc/99/56/94/1201995694.db2.gz CAHNXEKICAICSX-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cc(OC)ccc1Cl ZINC001752891464 1201996058 /nfs/dbraw/zinc/99/60/58/1201996058.db2.gz KXILTELVMNEZQM-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN CC1(C)CCC[C@@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001699660124 1202019911 /nfs/dbraw/zinc/01/99/11/1202019911.db2.gz NRSPZOZZKUCMHR-GOSISDBHSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(CC2CCC3(CC3)CC2)C1 ZINC001699714030 1202048595 /nfs/dbraw/zinc/04/85/95/1202048595.db2.gz NTAZTQIFRZCTBD-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2cncc(C)c2)C1 ZINC001699718239 1202050002 /nfs/dbraw/zinc/05/00/02/1202050002.db2.gz LEXBKQAQZQQJDA-UHFFFAOYSA-N 0 1 317.477 3.046 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cnc3ccccc3c2)C1 ZINC001699721525 1202052282 /nfs/dbraw/zinc/05/22/82/1202052282.db2.gz NDHAZKUAEYFPRE-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCCC(F)(F)F)C1 ZINC001699722670 1202052605 /nfs/dbraw/zinc/05/26/05/1202052605.db2.gz YLPXXPMHQDQLFL-GFCCVEGCSA-N 0 1 306.372 3.216 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(C)cccc2C)C1 ZINC001699721596 1202053221 /nfs/dbraw/zinc/05/32/21/1202053221.db2.gz OAEXXOIXJCDBBT-MRXNPFEDSA-N 0 1 300.446 3.414 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)CC#Cc2ccccc2)C1 ZINC001699725455 1202055174 /nfs/dbraw/zinc/05/51/74/1202055174.db2.gz FZMKPOKOYUDZNU-UHFFFAOYSA-N 0 1 324.468 3.317 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CCCCc2ccccc2)CC1 ZINC001699772483 1202074541 /nfs/dbraw/zinc/07/45/41/1202074541.db2.gz KYXFOGBBMATASX-UHFFFAOYSA-N 0 1 312.457 3.003 20 30 DGEDMN C#CCN(C(=O)C[C@H](C=C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001699784557 1202077877 /nfs/dbraw/zinc/07/78/77/1202077877.db2.gz LCYIIIQRSXRREI-OJXHRBAXSA-N 0 1 322.880 3.267 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)C1CC1)C1CCCC1 ZINC001699798232 1202082832 /nfs/dbraw/zinc/08/28/32/1202082832.db2.gz DMOSMTCEMVRIRZ-WBMJQRKESA-N 0 1 312.885 3.440 20 30 DGEDMN CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001699810736 1202090287 /nfs/dbraw/zinc/09/02/87/1202090287.db2.gz QVLHBTCMGLZTFB-IBGZPJMESA-N 0 1 313.445 3.075 20 30 DGEDMN Cc1cc(CN2CC[C@@](C)(NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001699816908 1202095798 /nfs/dbraw/zinc/09/57/98/1202095798.db2.gz ZVYKGWOZHUYMDA-GOSISDBHSA-N 0 1 318.486 3.187 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)C/C=C/c1ccccc1 ZINC001753067407 1202096467 /nfs/dbraw/zinc/09/64/67/1202096467.db2.gz DIOYVEBDOUKVML-FMIVXFBMSA-N 0 1 300.446 3.350 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)CCC(C)(C)C ZINC001700018079 1202165841 /nfs/dbraw/zinc/16/58/41/1202165841.db2.gz WBDISHLDJSDVGP-BRWVUGGUSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1sccc1Cl ZINC001753181769 1202181260 /nfs/dbraw/zinc/18/12/60/1202181260.db2.gz VAKGBZJEGVQAHF-UHFFFAOYSA-N 0 1 312.866 3.143 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@](C)(F)CCCC)CCC1 ZINC001700133417 1202188391 /nfs/dbraw/zinc/18/83/91/1202188391.db2.gz QYZYCZZHJBNVMS-AWEZNQCLSA-N 0 1 304.837 3.286 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001700139497 1202190137 /nfs/dbraw/zinc/19/01/37/1202190137.db2.gz KOPJHPVWMSODLT-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001700173415 1202193771 /nfs/dbraw/zinc/19/37/71/1202193771.db2.gz WPWFWLWAJUNWHF-MIJQFGFMSA-N 0 1 317.458 3.057 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2(C(F)(F)F)CC2)CC1 ZINC001700181173 1202198199 /nfs/dbraw/zinc/19/81/99/1202198199.db2.gz PYRKBESRFRZVNA-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccccc1CCC)c1ccccc1 ZINC001753300080 1202200288 /nfs/dbraw/zinc/20/02/88/1202200288.db2.gz NJWWTADMGQAGBQ-HXUWFJFHSA-N 0 1 320.436 3.333 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1csc(Cl)c1)c1ccccc1 ZINC001753309074 1202202612 /nfs/dbraw/zinc/20/26/12/1202202612.db2.gz BNFNPKPVAUNOPX-CQSZACIVSA-N 0 1 318.829 3.095 20 30 DGEDMN C#CCN[C@H](CNC(=O)C12CCC(CC1)C2(C)C)c1ccccc1 ZINC001753313212 1202204279 /nfs/dbraw/zinc/20/42/79/1202204279.db2.gz VMDASBDVAADTJK-IDYZSHNDSA-N 0 1 324.468 3.283 20 30 DGEDMN C=C(C)CCC(=O)N(CCC)[C@H]1CCN(Cc2ccccn2)C1 ZINC001753317381 1202206519 /nfs/dbraw/zinc/20/65/19/1202206519.db2.gz MVRIXXCYXWTITN-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C=C(C)CCC(=O)N(CCC)[C@@H]1CCN(Cc2ccccn2)C1 ZINC001753317380 1202206833 /nfs/dbraw/zinc/20/68/33/1202206833.db2.gz MVRIXXCYXWTITN-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2coc(C3CC3)n2)C1 ZINC001753320171 1202209445 /nfs/dbraw/zinc/20/94/45/1202209445.db2.gz UTYGREGDSXAWOE-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCCC(F)(F)F)C1 ZINC001753329204 1202213692 /nfs/dbraw/zinc/21/36/92/1202213692.db2.gz WQIOYHUVRUQHRF-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CCCC(C)C)C1 ZINC001753335276 1202216269 /nfs/dbraw/zinc/21/62/69/1202216269.db2.gz QHEWBEAZZVXVBL-HNNXBMFYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc[nH]c2C(C)C)C1 ZINC001753354298 1202224637 /nfs/dbraw/zinc/22/46/37/1202224637.db2.gz CZHBJXYAKLYCGW-AWEZNQCLSA-N 0 1 323.868 3.285 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC[C@H]2CCC[C@H](C)C2)C1 ZINC001753374983 1202233870 /nfs/dbraw/zinc/23/38/70/1202233870.db2.gz WECAYROFXQRYNB-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C/C=C/Cl)[C@@H](C)C1 ZINC001700316416 1202233830 /nfs/dbraw/zinc/23/38/30/1202233830.db2.gz UPZPNWNXPNRKTG-UGTRGGQESA-N 0 1 310.869 3.292 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(CCC)cc2)C1 ZINC001753385747 1202235953 /nfs/dbraw/zinc/23/59/53/1202235953.db2.gz FJFCAUVAELYXMT-HNNXBMFYSA-N 0 1 300.446 3.408 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001753408919 1202243791 /nfs/dbraw/zinc/24/37/91/1202243791.db2.gz DRNSDAYXYRWDJF-RGFVMQALSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001753411494 1202244874 /nfs/dbraw/zinc/24/48/74/1202244874.db2.gz PCKGCRCFYPSOIQ-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H](C)c1cccc(C)c1 ZINC001753420388 1202247767 /nfs/dbraw/zinc/24/77/67/1202247767.db2.gz VLMULRBWQCTTHM-WDSOQIARSA-N 0 1 310.441 3.145 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(CCc2ccccc2Cl)C1 ZINC001753434476 1202253680 /nfs/dbraw/zinc/25/36/80/1202253680.db2.gz WMLUJRDFCLMZFX-INIZCTEOSA-N 0 1 320.864 3.381 20 30 DGEDMN Cc1ccccc1C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001700386554 1202257924 /nfs/dbraw/zinc/25/79/24/1202257924.db2.gz STBWPBSPUVSCEE-HOTGVXAUSA-N 0 1 321.424 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001753449235 1202258753 /nfs/dbraw/zinc/25/87/53/1202258753.db2.gz GZDTVOYDLYDJRY-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC001700391549 1202260182 /nfs/dbraw/zinc/26/01/82/1202260182.db2.gz AXQSKWZGKPKBAX-STQMWFEESA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1cccc(C)c1 ZINC001700408134 1202264784 /nfs/dbraw/zinc/26/47/84/1202264784.db2.gz HBKIKIMTSGFHEA-HUUCEWRRSA-N 0 1 308.853 3.163 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CC(C)(C)CNCc1ncc(C)o1 ZINC001700446760 1202274412 /nfs/dbraw/zinc/27/44/12/1202274412.db2.gz ZJINMSKYIWOUNQ-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001700460540 1202281034 /nfs/dbraw/zinc/28/10/34/1202281034.db2.gz MWDXFLDJLCDRPX-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1ccc(OC)c(F)c1 ZINC001700460187 1202281062 /nfs/dbraw/zinc/28/10/62/1202281062.db2.gz KPFCQKOIAAYYFW-CQSZACIVSA-N 0 1 322.424 3.127 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001700480887 1202291560 /nfs/dbraw/zinc/29/15/60/1202291560.db2.gz VPJNJXGNNUSLCY-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2CC[C@@H](F)C2)cc1 ZINC001753591049 1202294962 /nfs/dbraw/zinc/29/49/62/1202294962.db2.gz UKQXOENPDWSOEP-JKSUJKDBSA-N 0 1 324.827 3.283 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)CNCc1ncc(C(C)C)o1 ZINC001753625309 1202302241 /nfs/dbraw/zinc/30/22/41/1202302241.db2.gz HATLOYUMBLZQML-CQSZACIVSA-N 0 1 321.465 3.242 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001700537631 1202308753 /nfs/dbraw/zinc/30/87/53/1202308753.db2.gz GBMPXWAIUJIWOR-HNNXBMFYSA-N 0 1 324.424 3.029 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001700547812 1202310959 /nfs/dbraw/zinc/31/09/59/1202310959.db2.gz XUGQWBIVHZBYGH-KGLIPLIRSA-N 0 1 320.864 3.141 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@](C)(CC)CCC)C2)C1 ZINC001700562858 1202313752 /nfs/dbraw/zinc/31/37/52/1202313752.db2.gz POKPIHZWEKPSJE-MOPGFXCFSA-N 0 1 322.493 3.082 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001753694404 1202315630 /nfs/dbraw/zinc/31/56/30/1202315630.db2.gz WWQHZAXYEFNKFK-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001700570833 1202316044 /nfs/dbraw/zinc/31/60/44/1202316044.db2.gz KHSFEDBDANRPDM-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001700727861 1202343679 /nfs/dbraw/zinc/34/36/79/1202343679.db2.gz PYVSPMRUOOOJAT-RBSFLKMASA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc[nH]c1CCC ZINC001700729680 1202344112 /nfs/dbraw/zinc/34/41/12/1202344112.db2.gz XMFAWTVGRRDABY-INIZCTEOSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1oc(CC)nc1C ZINC001700761114 1202349949 /nfs/dbraw/zinc/34/99/49/1202349949.db2.gz VIESGSXOSMQROQ-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(Cl)ccc1C ZINC001700772698 1202352255 /nfs/dbraw/zinc/35/22/55/1202352255.db2.gz JOPZXLXRVXYHGU-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1sccc1C1CC1 ZINC001700779418 1202353588 /nfs/dbraw/zinc/35/35/88/1202353588.db2.gz YMNAAMHRPIJKLI-LLVKDONJSA-N 0 1 312.866 3.428 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC2(C(F)(F)F)CC2)[C@@H]1C ZINC001700818343 1202355469 /nfs/dbraw/zinc/35/54/69/1202355469.db2.gz WERYZJMBEBJFEY-GHMZBOCLSA-N 0 1 324.774 3.051 20 30 DGEDMN C=CC1(CC(=O)N(C)C[C@H]2CCN2[C@@H](C)COC)CCCCC1 ZINC001707052961 1202569913 /nfs/dbraw/zinc/56/99/13/1202569913.db2.gz GVOOZFVLAXPJMO-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001707053880 1202570096 /nfs/dbraw/zinc/57/00/96/1202570096.db2.gz NQWIWQFTTINHMO-QGZVFWFLSA-N 0 1 300.446 3.322 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC2CCC2)C1 ZINC001707097077 1202573240 /nfs/dbraw/zinc/57/32/40/1202573240.db2.gz IFQOISHQUNFKGG-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccc(C)o1 ZINC001707151504 1202574546 /nfs/dbraw/zinc/57/45/46/1202574546.db2.gz CHWHKWOHFOABJV-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)/C=C(/C)CC)C2 ZINC001707278539 1202580459 /nfs/dbraw/zinc/58/04/59/1202580459.db2.gz WNPCZNMZQSDASU-RAXLEYEMSA-N 0 1 319.474 3.050 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)C(CC)CC)C2 ZINC001707277421 1202580502 /nfs/dbraw/zinc/58/05/02/1202580502.db2.gz IKZLFPXGHIIJMO-UHFFFAOYSA-N 0 1 321.490 3.130 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC[C@@H](C)C1 ZINC001713020879 1202610932 /nfs/dbraw/zinc/61/09/32/1202610932.db2.gz DFDGUJDVIYGUEZ-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001713049947 1202612818 /nfs/dbraw/zinc/61/28/18/1202612818.db2.gz BQQWVZMQTRFCFB-ZDUSSCGKSA-N 0 1 319.474 3.089 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001713050310 1202612830 /nfs/dbraw/zinc/61/28/30/1202612830.db2.gz OQEOTRKOZATITJ-GIJQJNRQSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@H](C)c2ccccc2)CC1 ZINC001713056560 1202613479 /nfs/dbraw/zinc/61/34/79/1202613479.db2.gz GMQCBZRAKDVCQJ-KRWDZBQOSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001713085216 1202615375 /nfs/dbraw/zinc/61/53/75/1202615375.db2.gz CANJKXSOGBZNAE-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001713101088 1202617279 /nfs/dbraw/zinc/61/72/79/1202617279.db2.gz NMAGBBJNMUFFPN-AWEZNQCLSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)ccc2C)CC1 ZINC001713101916 1202617393 /nfs/dbraw/zinc/61/73/93/1202617393.db2.gz GDYFYUDVNDYUFF-UHFFFAOYSA-N 0 1 312.457 3.253 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001713128450 1202619638 /nfs/dbraw/zinc/61/96/38/1202619638.db2.gz NYUQOAXSPIHXMF-HOTGVXAUSA-N 0 1 319.449 3.181 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001713128452 1202619993 /nfs/dbraw/zinc/61/99/93/1202619993.db2.gz NYUQOAXSPIHXMF-JKSUJKDBSA-N 0 1 319.449 3.181 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713213351 1202627567 /nfs/dbraw/zinc/62/75/67/1202627567.db2.gz OHIUMTAJQAOZBV-PUWPPSGDSA-N 0 1 324.468 3.223 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@H]1CCCN(C/C=C/Cl)C1 ZINC001713274942 1202634466 /nfs/dbraw/zinc/63/44/66/1202634466.db2.gz BYYOHDWSWSSXMH-LZPJFDPBSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2ccns2)C1 ZINC001713277628 1202634755 /nfs/dbraw/zinc/63/47/55/1202634755.db2.gz KYYUECZILLJHEU-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN CCC(=CC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F)CC ZINC001713302859 1202637380 /nfs/dbraw/zinc/63/73/80/1202637380.db2.gz CYOKTXRASVSXJM-ZDUSSCGKSA-N 0 1 317.408 3.038 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001713305421 1202637786 /nfs/dbraw/zinc/63/77/86/1202637786.db2.gz PYMPTNVIILLZCQ-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2ccncc2Cl)CC1 ZINC001713336653 1202640246 /nfs/dbraw/zinc/64/02/46/1202640246.db2.gz FKVOLYWVCJYMPN-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C#CCCCC(=O)NCCN(CC)Cc1ccc(Cl)s1 ZINC001713484052 1202657730 /nfs/dbraw/zinc/65/77/30/1202657730.db2.gz TWCMXQSLFOOTOB-UHFFFAOYSA-N 0 1 312.866 3.143 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CC)Cc2ccsc2)CC1 ZINC001713492149 1202659497 /nfs/dbraw/zinc/65/94/97/1202659497.db2.gz MUBJOIBVQJUWLZ-UHFFFAOYSA-N 0 1 306.475 3.433 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](CC)Cc1ccccc1C ZINC001713496337 1202660551 /nfs/dbraw/zinc/66/05/51/1202660551.db2.gz RLGHTADMVONURF-GOSISDBHSA-N 0 1 314.473 3.025 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001713519927 1202664370 /nfs/dbraw/zinc/66/43/70/1202664370.db2.gz KHFNXXHIHPPESA-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1cccc(Cl)c1)C1CC1 ZINC001713554838 1202669861 /nfs/dbraw/zinc/66/98/61/1202669861.db2.gz AOYJDEWCOGOMGP-UHFFFAOYSA-N 0 1 318.848 3.224 20 30 DGEDMN CC#CCCCC(=O)NCCN(Cc1ccsc1)C1CC1 ZINC001713560591 1202670830 /nfs/dbraw/zinc/67/08/30/1202670830.db2.gz NCHXIZOBWKKMHT-UHFFFAOYSA-N 0 1 304.459 3.022 20 30 DGEDMN CCCCC1(C(=O)N(C)CCNCC#Cc2ccccc2)CC1 ZINC001713631151 1202674268 /nfs/dbraw/zinc/67/42/68/1202674268.db2.gz TWYYNLQVXKKYAU-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2c[nH]c3ccccc32)C1 ZINC001713717503 1202680496 /nfs/dbraw/zinc/68/04/96/1202680496.db2.gz MQESQKQHADAGHL-OAHLLOKOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C(C)C)no2)C1 ZINC001713719904 1202681195 /nfs/dbraw/zinc/68/11/95/1202681195.db2.gz KFYCKDVSNVEDIS-HNNXBMFYSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3[nH]ccc32)C1 ZINC001713720259 1202681390 /nfs/dbraw/zinc/68/13/90/1202681390.db2.gz RNBGORXXEAWTQU-OAHLLOKOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2oc(CC)nc2C)C1 ZINC001713720905 1202681423 /nfs/dbraw/zinc/68/14/23/1202681423.db2.gz FWPXYCZEWQGAON-OAHLLOKOSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccc(Cl)cc2)C1 ZINC001713721729 1202681633 /nfs/dbraw/zinc/68/16/33/1202681633.db2.gz WSMVOIVDFZQQTA-QGZVFWFLSA-N 0 1 320.864 3.381 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(CF)cc2)C1 ZINC001713722568 1202681879 /nfs/dbraw/zinc/68/18/79/1202681879.db2.gz PDVXTBONQZNWAO-GOSISDBHSA-N 0 1 316.420 3.106 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(CC=C(Cl)Cl)C1 ZINC001713724311 1202682538 /nfs/dbraw/zinc/68/25/38/1202682538.db2.gz HMVSGSWVYJFSIS-LBPRGKRZSA-N 0 1 305.249 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@H]2C=CCCC2)C1 ZINC001713729813 1202683431 /nfs/dbraw/zinc/68/34/31/1202683431.db2.gz CNJPQVOYXZRXLR-HOTGVXAUSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)cc(F)c2)C1 ZINC001713757379 1202686925 /nfs/dbraw/zinc/68/69/25/1202686925.db2.gz JFDBLGJPMAKZCP-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001713760236 1202687329 /nfs/dbraw/zinc/68/73/29/1202687329.db2.gz AVJIIOUCRTZQOY-ODZFXCKXSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccccc2OC(C)C)C1 ZINC001713817269 1202692733 /nfs/dbraw/zinc/69/27/33/1202692733.db2.gz GAAKCDQUUHRJFN-KRWDZBQOSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001713836065 1202694360 /nfs/dbraw/zinc/69/43/60/1202694360.db2.gz PPLHHYWTSWBKKU-JKSUJKDBSA-N 0 1 322.493 3.269 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001713843285 1202695264 /nfs/dbraw/zinc/69/52/64/1202695264.db2.gz IMGVCCRDGWPAFJ-IEBWSBKVSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1ncc(C)s1)C1CC1 ZINC001713868592 1202697420 /nfs/dbraw/zinc/69/74/20/1202697420.db2.gz RSEZTFQHEHOLFY-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1cccc(F)c1)C1CC1 ZINC001713884087 1202698737 /nfs/dbraw/zinc/69/87/37/1202698737.db2.gz PUUQFWXWUYXVAC-MLGOLLRUSA-N 0 1 324.827 3.166 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@H]2CCCc3ccc(F)cc32)C1 ZINC001713892979 1202699961 /nfs/dbraw/zinc/69/99/61/1202699961.db2.gz OTRHMHWZKRTIDA-SFHVURJKSA-N 0 1 316.420 3.217 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(CC)CCC2)C1 ZINC001713895200 1202699964 /nfs/dbraw/zinc/69/99/64/1202699964.db2.gz NMZLHWJHACNCBO-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2ccc(C(C)C)cc2)C1 ZINC001713892698 1202699979 /nfs/dbraw/zinc/69/99/79/1202699979.db2.gz GQKDHYLYBHWTGY-UHFFFAOYSA-N 0 1 300.446 3.324 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN(C)Cc2cc(C)no2)C1 ZINC001713897236 1202700339 /nfs/dbraw/zinc/70/03/39/1202700339.db2.gz IODTZQWXZNYDCV-INIZCTEOSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001713949693 1202704639 /nfs/dbraw/zinc/70/46/39/1202704639.db2.gz AKJBFVWSWNJRGJ-VTHZWRTPSA-N 0 1 317.260 3.311 20 30 DGEDMN CC(C)CCCC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001714008613 1202725449 /nfs/dbraw/zinc/72/54/49/1202725449.db2.gz MWWUMBADXFEITN-HDICACEKSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)Cc2ccc(Cl)s2)C1 ZINC001714047287 1202750371 /nfs/dbraw/zinc/75/03/71/1202750371.db2.gz WCAZUGPSRQUPEU-GFCCVEGCSA-N 0 1 312.866 3.053 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001714080030 1202764534 /nfs/dbraw/zinc/76/45/34/1202764534.db2.gz LMPGRPWZOQBTKV-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001714104593 1202778998 /nfs/dbraw/zinc/77/89/98/1202778998.db2.gz SQCZPINLLLAJJJ-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1oc(C)nc1C ZINC001714108499 1202780662 /nfs/dbraw/zinc/78/06/62/1202780662.db2.gz QHMRNVCBHMZQTQ-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@H](C)CC(C)(C)C ZINC001714116309 1202786983 /nfs/dbraw/zinc/78/69/83/1202786983.db2.gz ZBSAZMOGAYJBNV-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C[C@H](NC(=O)C1(C)CC1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001714149303 1202804410 /nfs/dbraw/zinc/80/44/10/1202804410.db2.gz CNRIYUWCNAXNJF-PKOBYXMFSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCC(NCc2cscn2)CC1 ZINC001714194497 1202825836 /nfs/dbraw/zinc/82/58/36/1202825836.db2.gz MCYFMGUZGJIESW-KVULBXGLSA-N 0 1 321.490 3.262 20 30 DGEDMN C[C@@H](CNC(=O)C1CCCCCC1)NCC#Cc1ccccc1 ZINC001714215745 1202835731 /nfs/dbraw/zinc/83/57/31/1202835731.db2.gz VYIJKKKOPATZRA-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CCNCc2cc(C)on2)C1 ZINC001714226385 1202839082 /nfs/dbraw/zinc/83/90/82/1202839082.db2.gz USOMAKVNLXWABX-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001714237945 1202843504 /nfs/dbraw/zinc/84/35/04/1202843504.db2.gz MBUDBUOGKMPVDL-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001714270107 1202856902 /nfs/dbraw/zinc/85/69/02/1202856902.db2.gz WEJWNKOWPLUQGX-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC/C=C\c1ccccc1 ZINC001714274712 1202859399 /nfs/dbraw/zinc/85/93/99/1202859399.db2.gz VZXRMXLMOXCDAC-IZIDJEDMSA-N 0 1 306.837 3.327 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1sccc1Cl ZINC001714335692 1202888885 /nfs/dbraw/zinc/88/88/85/1202888885.db2.gz RMNQXRNKMJEMGF-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC001714600358 1203039720 /nfs/dbraw/zinc/03/97/20/1203039720.db2.gz HAJHEPYRKQMYFQ-QGZVFWFLSA-N 0 1 316.420 3.018 20 30 DGEDMN CCCCCC(=O)NC[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001714628880 1203061679 /nfs/dbraw/zinc/06/16/79/1203061679.db2.gz YQPVKAPXDVWAAR-INIZCTEOSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1sc(C)cc1C ZINC001714639198 1203066141 /nfs/dbraw/zinc/06/61/41/1203066141.db2.gz VYVAOHTUWPMGMO-NSHDSACASA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(Cc2ccccc2)CC1 ZINC001714655478 1203078769 /nfs/dbraw/zinc/07/87/69/1203078769.db2.gz FEBFSAMVAJNGIU-OAHLLOKOSA-N 0 1 320.864 3.198 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc2c(ccc(C)c2C)[nH]1)C1CC1 ZINC001714667294 1203089853 /nfs/dbraw/zinc/08/98/53/1203089853.db2.gz LTDHCIDYCSFZDL-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN CCC1(C(=O)N(C)CCNCc2ccccc2C#N)CCCC1 ZINC001714775681 1203123551 /nfs/dbraw/zinc/12/35/51/1203123551.db2.gz BCPUSYLNGTXBPB-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cnc2ccccc2c1 ZINC001714776224 1203123858 /nfs/dbraw/zinc/12/38/58/1203123858.db2.gz KXSHMKKWJGWRSZ-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN Cc1ccc(C)c(C(=O)N(C)CCNCC#Cc2ccccc2)c1 ZINC001714785194 1203126492 /nfs/dbraw/zinc/12/64/92/1203126492.db2.gz CVNUGMVBXQIXIX-UHFFFAOYSA-N 0 1 320.436 3.017 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CCC=CCC1)c1ccccc1 ZINC001714844346 1203149325 /nfs/dbraw/zinc/14/93/25/1203149325.db2.gz YUYKPQPKTGYDTL-LJQANCHMSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC2CCCCC2)C1 ZINC001714853533 1203152984 /nfs/dbraw/zinc/15/29/84/1203152984.db2.gz UORDTSRVQFGDLJ-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2sc(C)cc2C)C1 ZINC001714854971 1203155474 /nfs/dbraw/zinc/15/54/74/1203155474.db2.gz JFKZFWHGURPQKC-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3ccccc3n2)C1 ZINC001714858601 1203157805 /nfs/dbraw/zinc/15/78/05/1203157805.db2.gz BNODWQHSKAJNPZ-QGZVFWFLSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001714859735 1203159093 /nfs/dbraw/zinc/15/90/93/1203159093.db2.gz AOBUYPXJZFIFHV-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)c2ccccc2)C1 ZINC001714863619 1203161921 /nfs/dbraw/zinc/16/19/21/1203161921.db2.gz USPUIBSUKYHUSW-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(F)c(C)c2)C1 ZINC001714862936 1203162673 /nfs/dbraw/zinc/16/26/73/1203162673.db2.gz BOBQTDSVJMNANK-KRWDZBQOSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)cc2OC)C1 ZINC001714862691 1203162948 /nfs/dbraw/zinc/16/29/48/1203162948.db2.gz SFBAQCOUOXVYKQ-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC2CCCCC2)C1 ZINC001714890500 1203180167 /nfs/dbraw/zinc/18/01/67/1203180167.db2.gz JQPHLUPOMCITDP-MRXNPFEDSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1C=CC=CC=C1 ZINC001714919769 1203200277 /nfs/dbraw/zinc/20/02/77/1203200277.db2.gz SQVFDVCQNOVYSJ-MRXNPFEDSA-N 0 1 308.853 3.158 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001714936569 1203206400 /nfs/dbraw/zinc/20/64/00/1203206400.db2.gz VUTUWBPUKVWIPN-YJBOKZPZSA-N 0 1 322.493 3.269 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001714947824 1203212048 /nfs/dbraw/zinc/21/20/48/1203212048.db2.gz VFKFNUGBQLEPKB-AWEZNQCLSA-N 0 1 304.409 3.086 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1F ZINC001714986232 1203225849 /nfs/dbraw/zinc/22/58/49/1203225849.db2.gz DONABGFYHCAZIZ-GJZGRUSLSA-N 0 1 319.424 3.090 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2CC[C@@H]1CCCC1(F)F ZINC001714984816 1203226628 /nfs/dbraw/zinc/22/66/28/1203226628.db2.gz GWKCTMSMOMCOHD-KKUMJFAQSA-N 0 1 312.404 3.063 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](CCC)c2ccccn2)C1 ZINC001715042356 1203250145 /nfs/dbraw/zinc/25/01/45/1203250145.db2.gz ITROGLZQGONLRL-DLBZAZTESA-N 0 1 315.461 3.074 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC2(C=C)CCCCC2)C1 ZINC001715045466 1203253178 /nfs/dbraw/zinc/25/31/78/1203253178.db2.gz PBUQJVFEUGSRTB-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001715051212 1203255069 /nfs/dbraw/zinc/25/50/69/1203255069.db2.gz SQXXWPSHTDSJRG-ORDXOSSNSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(Cl)cc1 ZINC001715083683 1203267538 /nfs/dbraw/zinc/26/75/38/1203267538.db2.gz KEMMJTQBJFJSQB-ZDUSSCGKSA-N 0 1 301.217 3.191 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(Cl)cc2)C1 ZINC001753392817 1203282161 /nfs/dbraw/zinc/28/21/61/1203282161.db2.gz WCEPCTVHKYRLSL-ZDUSSCGKSA-N 0 1 306.837 3.038 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001753442224 1203288166 /nfs/dbraw/zinc/28/81/66/1203288166.db2.gz YEVKLMLDRKYJPS-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(CCF)CC2)CC1 ZINC001715203507 1203295371 /nfs/dbraw/zinc/29/53/71/1203295371.db2.gz FODNJBUBFDAWBA-UHFFFAOYSA-N 0 1 316.848 3.097 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C2(C)CCCCCC2)C1 ZINC001715283720 1203307265 /nfs/dbraw/zinc/30/72/65/1203307265.db2.gz SSBJIMMCMBHMTG-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1ccc(F)cc1F ZINC001715355123 1203322416 /nfs/dbraw/zinc/32/24/16/1203322416.db2.gz MUFSJANNXGSZDF-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001715361470 1203324472 /nfs/dbraw/zinc/32/44/72/1203324472.db2.gz HDPJALDLXDCILX-WCVJEAGWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(C)C)nc1C ZINC001715365998 1203325966 /nfs/dbraw/zinc/32/59/66/1203325966.db2.gz TXNLNPOCMVOAMZ-CYBMUJFWSA-N 0 1 323.868 3.316 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccsc1C(F)F ZINC001715376794 1203328643 /nfs/dbraw/zinc/32/86/43/1203328643.db2.gz RYARADIRLXMPMG-SECBINFHSA-N 0 1 322.808 3.488 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](CNCc1ccccc1C#N)CC(C)C ZINC001715382737 1203330253 /nfs/dbraw/zinc/33/02/53/1203330253.db2.gz GTLFEQRYVWLGNL-LQBXZKJLSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC=CC1)C1CCCCC1 ZINC001715401176 1203335223 /nfs/dbraw/zinc/33/52/23/1203335223.db2.gz WZFDRAZPFCHAKD-INIZCTEOSA-N 0 1 310.869 3.360 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1cccc(Cl)c1 ZINC001715517334 1203359051 /nfs/dbraw/zinc/35/90/51/1203359051.db2.gz XSRAZMUOJBZGNB-UHFFFAOYSA-N 0 1 306.837 3.034 20 30 DGEDMN CN(C/C=C/c1ccccc1)CCN(C)C(=O)C#CC(C)(C)C ZINC001715519180 1203359863 /nfs/dbraw/zinc/35/98/63/1203359863.db2.gz SUZJIQICJFKHGX-FMIVXFBMSA-N 0 1 312.457 3.140 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1ccc(F)cc1C ZINC001715531049 1203363356 /nfs/dbraw/zinc/36/33/56/1203363356.db2.gz PEEJBTNAMOLLML-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNCc1ncc(C(C)(C)C)o1 ZINC001715673690 1203379314 /nfs/dbraw/zinc/37/93/14/1203379314.db2.gz UQQGCIYAKPZFGC-ZDUSSCGKSA-N 0 1 321.465 3.169 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1csc(CC)n1)C(C)C ZINC001715723975 1203384528 /nfs/dbraw/zinc/38/45/28/1203384528.db2.gz JQPZSBJSZXNSHS-AWEZNQCLSA-N 0 1 323.506 3.148 20 30 DGEDMN C[C@@H]1CCCC[C@H]1C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001715863849 1203398886 /nfs/dbraw/zinc/39/88/86/1203398886.db2.gz OGKLMSGRLRMRQA-PORCLINASA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2occc2s1 ZINC001715876644 1203400453 /nfs/dbraw/zinc/40/04/53/1203400453.db2.gz UGQCNKYKWUYPPE-IHWYPQMZSA-N 0 1 310.806 3.122 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)cc(Cl)c1 ZINC001715938408 1203407278 /nfs/dbraw/zinc/40/72/78/1203407278.db2.gz MZIGKHLONMJAIQ-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001715989990 1203417066 /nfs/dbraw/zinc/41/70/66/1203417066.db2.gz PQHFPWGCKUGIFG-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2nc(C)sc2C)CC1 ZINC001715993253 1203417538 /nfs/dbraw/zinc/41/75/38/1203417538.db2.gz QEMFEJJLUWXGPH-LBPRGKRZSA-N 0 1 321.490 3.101 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001716022407 1203425862 /nfs/dbraw/zinc/42/58/62/1203425862.db2.gz IYRZWULBKFAYIP-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001716030019 1203428688 /nfs/dbraw/zinc/42/86/88/1203428688.db2.gz TYXSUJBLSUZGNP-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001716049292 1203435800 /nfs/dbraw/zinc/43/58/00/1203435800.db2.gz ALAPGQGOFJYRIQ-CABCVRRESA-N 0 1 310.869 3.264 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)CC(C)(C)CC ZINC001716219128 1203450642 /nfs/dbraw/zinc/45/06/42/1203450642.db2.gz GEQYLMWMLKBSMR-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC001716231425 1203452016 /nfs/dbraw/zinc/45/20/16/1203452016.db2.gz OHJHFNROXFNFGW-JKSUJKDBSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1cccc(Cl)n1 ZINC001716298669 1203458197 /nfs/dbraw/zinc/45/81/97/1203458197.db2.gz ATYAXHXWSBIOKX-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1cccc(Cl)n1 ZINC001716348365 1203461460 /nfs/dbraw/zinc/46/14/60/1203461460.db2.gz WHJVTLHGFZTDCK-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C)(C)CC(C)(C)C ZINC001716379511 1203463413 /nfs/dbraw/zinc/46/34/13/1203463413.db2.gz PBQFGMZAHAZHRQ-FPLPWBNLSA-N 0 1 300.874 3.463 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001716382597 1203463645 /nfs/dbraw/zinc/46/36/45/1203463645.db2.gz JBCZIRPKOBLYNF-ITDFMYJTSA-N 0 1 324.827 3.019 20 30 DGEDMN CC(C)CC(C)(C)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001716418815 1203469542 /nfs/dbraw/zinc/46/95/42/1203469542.db2.gz FTOBSMVWSBTOHQ-OAHLLOKOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1CCc2ccccc2C1 ZINC001716421074 1203470053 /nfs/dbraw/zinc/47/00/53/1203470053.db2.gz USANOJRZIOXNIC-CABCVRRESA-N 0 1 320.864 3.028 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001716454910 1203477240 /nfs/dbraw/zinc/47/72/40/1203477240.db2.gz MQDIPGODSCANIS-LSDHHAIUSA-N 0 1 320.864 3.078 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001716494862 1203490915 /nfs/dbraw/zinc/49/09/15/1203490915.db2.gz LACINKWQWTVNSS-QXAKKESOSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1ncc(Cl)s1 ZINC001716543799 1203500761 /nfs/dbraw/zinc/50/07/61/1203500761.db2.gz AWJHIHWIKGZDOV-UHFFFAOYSA-N 0 1 315.870 3.091 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2nocc2C)CCCC1 ZINC001716588338 1203504539 /nfs/dbraw/zinc/50/45/39/1203504539.db2.gz YEZFLTLTSQVDNL-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1nc(C)cs1)C1CC1 ZINC001716617704 1203507141 /nfs/dbraw/zinc/50/71/41/1203507141.db2.gz OLAWFLSRTDLOBH-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001716649271 1203513817 /nfs/dbraw/zinc/51/38/17/1203513817.db2.gz FNHBBDKXSCQJCX-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001716662289 1203517942 /nfs/dbraw/zinc/51/79/42/1203517942.db2.gz CWHJTGHKIIJXSC-MJGOQNOKSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H](C)c1ccccc1F ZINC001716665200 1203519632 /nfs/dbraw/zinc/51/96/32/1203519632.db2.gz IHWLSEBWPCJJQT-LSDHHAIUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sccc2C2CC2)CC1 ZINC001716699948 1203528022 /nfs/dbraw/zinc/52/80/22/1203528022.db2.gz JGADBVKTLPPGAZ-UHFFFAOYSA-N 0 1 310.850 3.230 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C1CN(CCC2=CCCCC2)C1 ZINC001716737379 1203534468 /nfs/dbraw/zinc/53/44/68/1203534468.db2.gz WAQMANVPHYGSEH-MRXNPFEDSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CN(CCCCc2ccccc2)C1 ZINC001716746589 1203535815 /nfs/dbraw/zinc/53/58/15/1203535815.db2.gz KWSIWEUPYKEFCM-UHFFFAOYSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C(C)(C)c2ccccc2)C1 ZINC001716752956 1203537967 /nfs/dbraw/zinc/53/79/67/1203537967.db2.gz NXQPNDHNCFGWBB-INIZCTEOSA-N 0 1 314.473 3.462 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C1CN(CC2CCC2)C1)c1ccccc1 ZINC001716753525 1203538162 /nfs/dbraw/zinc/53/81/62/1203538162.db2.gz JARNRFJWVYKXJY-IBGZPJMESA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001716753575 1203538393 /nfs/dbraw/zinc/53/83/93/1203538393.db2.gz KPTHNXGMMKUGKX-DLBZAZTESA-N 0 1 314.473 3.363 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C(F)F)o1 ZINC001716788495 1203542658 /nfs/dbraw/zinc/54/26/58/1203542658.db2.gz KUALATAIOCCLLT-SNVBAGLBSA-N 0 1 318.751 3.164 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001716802434 1203545217 /nfs/dbraw/zinc/54/52/17/1203545217.db2.gz LNGWOXKCESGACA-RMKNXTFCSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C(=O)C[C@@H]1C=CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001716802380 1203545282 /nfs/dbraw/zinc/54/52/82/1203545282.db2.gz HIGMGWQVVNCWIJ-SJORKVTESA-N 0 1 320.452 3.017 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001716886917 1203561630 /nfs/dbraw/zinc/56/16/30/1203561630.db2.gz TUKMKKDELNCBPR-BZUAXINKSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc3c2CCCC3)C1 ZINC001716895711 1203564252 /nfs/dbraw/zinc/56/42/52/1203564252.db2.gz LFLXCJTXVAMPAP-NRFANRHFSA-N 0 1 324.468 3.031 20 30 DGEDMN CC#CCCCC(=O)NC[C@@]1(C)CCCN(C/C=C/Cl)C1 ZINC001716898017 1203564923 /nfs/dbraw/zinc/56/49/23/1203564923.db2.gz NCWMASSSBDUTMG-VGMNTSGFSA-N 0 1 310.869 3.151 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CN(CC=C)CCC1(F)F ZINC001716910316 1203567872 /nfs/dbraw/zinc/56/78/72/1203567872.db2.gz DSAKPPDADSHPTO-OAHLLOKOSA-N 0 1 312.404 3.014 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccccc1)c1ccccc1CC ZINC001716952650 1203569033 /nfs/dbraw/zinc/56/90/33/1203569033.db2.gz JLIOAOUXMGGATR-FQEVSTJZSA-N 0 1 320.436 3.333 20 30 DGEDMN C=C1CC(C)(C(=O)NCc2ccccc2CN(C)CC#CC)C1 ZINC001717113794 1203586275 /nfs/dbraw/zinc/58/62/75/1203586275.db2.gz PDXOTGABWZGXMS-UHFFFAOYSA-N 0 1 310.441 3.114 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H](C)CCC)cccc2C1 ZINC001717143705 1203590317 /nfs/dbraw/zinc/59/03/17/1203590317.db2.gz UKFBHXGVBJSMHH-HNNXBMFYSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H](C)CCCC)cccc2C1 ZINC001717143476 1203590328 /nfs/dbraw/zinc/59/03/28/1203590328.db2.gz KQCPHCGLITZVNI-INIZCTEOSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCCCC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001717143841 1203590439 /nfs/dbraw/zinc/59/04/39/1203590439.db2.gz YRPZBWSQHFLHTE-UHFFFAOYSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2nc(C)sc2C)CC1 ZINC001717190905 1203595751 /nfs/dbraw/zinc/59/57/51/1203595751.db2.gz CYIRYYAVPZAUSZ-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@H]1CC=CCC1 ZINC001717204106 1203599747 /nfs/dbraw/zinc/59/97/47/1203599747.db2.gz PTELVAOLHDHLQD-FQEVSTJZSA-N 0 1 324.468 3.175 20 30 DGEDMN C[C@H](c1ccccc1Cl)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001717205449 1203600516 /nfs/dbraw/zinc/60/05/16/1203600516.db2.gz JBQVRBCWOLLCHA-UONOGXRCSA-N 0 1 321.852 3.341 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1cc(C2CC2)no1 ZINC001717209563 1203602323 /nfs/dbraw/zinc/60/23/23/1203602323.db2.gz BWJNQEAYCWFSMS-UHFFFAOYSA-N 0 1 319.449 3.045 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C=C(/C)CC ZINC001717344768 1203622738 /nfs/dbraw/zinc/62/27/38/1203622738.db2.gz XWKQKCBMLDMVST-VDUFWMCCSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C/C=C/c1ccc(F)cc1 ZINC001717415103 1203639300 /nfs/dbraw/zinc/63/93/00/1203639300.db2.gz IKDDFXCFXLIQJY-KOABKASLSA-N 0 1 324.827 3.464 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)cn1 ZINC001717427543 1203642061 /nfs/dbraw/zinc/64/20/61/1203642061.db2.gz ULMBTGWJKURDOM-CHWSQXEVSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C12CCC(CC1)C2(C)C ZINC001717429411 1203642523 /nfs/dbraw/zinc/64/25/23/1203642523.db2.gz SUVPYJDDBYPHES-GXZXJKLZSA-N 0 1 312.885 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001717435698 1203644053 /nfs/dbraw/zinc/64/40/53/1203644053.db2.gz UGPSABBTSFGSSB-WDEREUQCSA-N 0 1 301.217 3.189 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001717470841 1203654576 /nfs/dbraw/zinc/65/45/76/1203654576.db2.gz PRGZAYIEGUYQMM-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)Cc2csc(C)c2)C1 ZINC001717487745 1203661672 /nfs/dbraw/zinc/66/16/72/1203661672.db2.gz LUZJFEZADULOGG-CYBMUJFWSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001717543971 1203679049 /nfs/dbraw/zinc/67/90/49/1203679049.db2.gz GOESVQHHEPLADJ-UONOGXRCSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001717555839 1203680824 /nfs/dbraw/zinc/68/08/24/1203680824.db2.gz AIRROUHAGXXEOC-AWEZNQCLSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001717558984 1203681812 /nfs/dbraw/zinc/68/18/12/1203681812.db2.gz NJIALOTUCGHWQY-HOTGVXAUSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584811 1203686486 /nfs/dbraw/zinc/68/64/86/1203686486.db2.gz DDAUYYNMVACMAB-STQMWFEESA-N 0 1 320.399 3.368 20 30 DGEDMN C#CCCCC(=O)N1CCC(N(C)CC=C(Cl)Cl)CC1 ZINC001717699854 1203700106 /nfs/dbraw/zinc/70/01/06/1203700106.db2.gz AIYOTHBNWVRHNX-UHFFFAOYSA-N 0 1 317.260 3.032 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cscc1C ZINC001717728903 1203706073 /nfs/dbraw/zinc/70/60/73/1203706073.db2.gz RIVPEQGMKMOXTI-AWEZNQCLSA-N 0 1 312.866 3.249 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@H]1C ZINC001717793467 1203712879 /nfs/dbraw/zinc/71/28/79/1203712879.db2.gz HWVVEOVTKDOTNC-YURTZEIPSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCc2ccccc2)[C@@H]1C ZINC001717797027 1203713609 /nfs/dbraw/zinc/71/36/09/1203713609.db2.gz BFTNRDLCFNOKML-NVXWUHKLSA-N 0 1 320.864 3.341 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCCc2ccccc2)C1 ZINC001717822474 1203716377 /nfs/dbraw/zinc/71/63/77/1203716377.db2.gz SKFLGRLHWZCBRY-NVXWUHKLSA-N 0 1 320.864 3.341 20 30 DGEDMN CC(C)=CC(=O)N[C@@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001717834373 1203718484 /nfs/dbraw/zinc/71/84/84/1203718484.db2.gz SCCPDYNQZRBONF-PZJWPPBQSA-N 0 1 322.452 3.118 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCC2CCCC2)[C@H]1C ZINC001717861839 1203724640 /nfs/dbraw/zinc/72/46/40/1203724640.db2.gz AJFQROZYHOHEEP-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC(C)CC(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001717945507 1203731670 /nfs/dbraw/zinc/73/16/70/1203731670.db2.gz NKUYRQWOGUNDFD-UHFFFAOYSA-N 0 1 315.461 3.273 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](C)c1cccc(F)c1)C(C)C ZINC001717950848 1203733843 /nfs/dbraw/zinc/73/38/43/1203733843.db2.gz XCQQQFIDCFBINE-INIZCTEOSA-N 0 1 318.436 3.121 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001717995000 1203741830 /nfs/dbraw/zinc/74/18/30/1203741830.db2.gz BJCWFJYZGWNILW-PHPHZGSQSA-N 0 1 319.276 3.487 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@H](C)CCC=C(C)C)CC1 ZINC001718028235 1203744258 /nfs/dbraw/zinc/74/42/58/1203744258.db2.gz KAPZPEPFOKZQNS-QGZVFWFLSA-N 0 1 302.462 3.141 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1conc1C1CCCC1 ZINC001723431861 1203970622 /nfs/dbraw/zinc/97/06/22/1203970622.db2.gz FXQMZFUHDZKQNA-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1conc1C1CCCC1 ZINC001723431862 1203970822 /nfs/dbraw/zinc/97/08/22/1203970822.db2.gz FXQMZFUHDZKQNA-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ccccc2Cl)CC1 ZINC001723544470 1203981371 /nfs/dbraw/zinc/98/13/71/1203981371.db2.gz IZVRQYVLBDONLI-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1(C(F)(F)F)CCC1 ZINC001723921264 1203998841 /nfs/dbraw/zinc/99/88/41/1203998841.db2.gz WAHBFDCTADDJEF-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](CC)CC2CCCC2)CC1 ZINC001724016981 1204001946 /nfs/dbraw/zinc/00/19/46/1204001946.db2.gz XLYHYOUSKLUSLL-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(C(F)F)CC2)CC1 ZINC001724285709 1204017925 /nfs/dbraw/zinc/01/79/25/1204017925.db2.gz QMMHDBSIXFJHEM-UHFFFAOYSA-N 0 1 320.811 3.003 20 30 DGEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2occc2C(C)C)C1 ZINC001724329555 1204022520 /nfs/dbraw/zinc/02/25/20/1204022520.db2.gz GZLLSCJCPBHLBC-IBGZPJMESA-N 0 1 316.445 3.258 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H]1CC12CC2 ZINC001724618443 1204033610 /nfs/dbraw/zinc/03/36/10/1204033610.db2.gz VEZSOAAJJBBXJL-MRXNPFEDSA-N 0 1 318.848 3.157 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](CC)CC(F)F ZINC001724735703 1204041050 /nfs/dbraw/zinc/04/10/50/1204041050.db2.gz CMKPKGXZACEAKO-AWEZNQCLSA-N 0 1 322.399 3.049 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc[nH]c1C(C)C ZINC001724737994 1204041474 /nfs/dbraw/zinc/04/14/74/1204041474.db2.gz HGGTZDGALRGOHA-UHFFFAOYSA-N 0 1 323.440 3.133 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC[C@@H](C)CC)cccc2C1 ZINC001724777130 1204045262 /nfs/dbraw/zinc/04/52/62/1204045262.db2.gz NLCJOAYHYCFMTL-INIZCTEOSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2sccc2Cl)CCC1 ZINC001724782385 1204046556 /nfs/dbraw/zinc/04/65/56/1204046556.db2.gz ADIYOEJBEHIPIU-UHFFFAOYSA-N 0 1 312.866 3.496 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)CCCC(C)C)CO2 ZINC001724877555 1204061496 /nfs/dbraw/zinc/06/14/96/1204061496.db2.gz BQDBHHFSHFNJMS-IAGOWNOFSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2nc(C)c(C)s2)C1 ZINC001724942260 1204068038 /nfs/dbraw/zinc/06/80/38/1204068038.db2.gz IXGCADHARWBMPW-SWLSCSKDSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001724948778 1204069082 /nfs/dbraw/zinc/06/90/82/1204069082.db2.gz QNOGYBPSVVVBRE-KGBWWEOFSA-N 0 1 319.276 3.344 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001725048821 1204085856 /nfs/dbraw/zinc/08/58/56/1204085856.db2.gz RHDWNYBRNOFQSA-QKLQHJQFSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001725096977 1204093543 /nfs/dbraw/zinc/09/35/43/1204093543.db2.gz UKUINLDOMBNYAE-VXGBXAGGSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(Cl)ccc1C ZINC001725112882 1204097227 /nfs/dbraw/zinc/09/72/27/1204097227.db2.gz CUVDXYBUKMHSML-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)C1=CCCC1 ZINC001725113699 1204097480 /nfs/dbraw/zinc/09/74/80/1204097480.db2.gz VYTXLBGELIYQPX-FQEVSTJZSA-N 0 1 322.452 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1oc(CCC)nc1C ZINC001725135295 1204101152 /nfs/dbraw/zinc/10/11/52/1204101152.db2.gz QVBSPCZVQPVAHE-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccc(C)nc1 ZINC001725230106 1204122300 /nfs/dbraw/zinc/12/23/00/1204122300.db2.gz WRURKPLMWJIHRG-OAHLLOKOSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001725279693 1204131946 /nfs/dbraw/zinc/13/19/46/1204131946.db2.gz HFQYCDYLQMHWOR-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)C(C)(C)CCC)C2)C1 ZINC001725286485 1204132809 /nfs/dbraw/zinc/13/28/09/1204132809.db2.gz TVYOHKNDKUKTRU-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@H](C)CCC=C(C)C)C(C)(C)C1 ZINC001725286538 1204133037 /nfs/dbraw/zinc/13/30/37/1204133037.db2.gz FYVLLCJTTAJTEC-SJORKVTESA-N 0 1 304.478 3.219 20 30 DGEDMN C[C@@H](CCCNC/C(Cl)=C\Cl)NC(=O)C#CC(C)(C)C ZINC001725383505 1204144048 /nfs/dbraw/zinc/14/40/48/1204144048.db2.gz AYXNUCHDTXSYAX-CVCOIXHCSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCCNCc2coc(C)n2)CC1 ZINC001725403115 1204145899 /nfs/dbraw/zinc/14/58/99/1204145899.db2.gz GYEXVFIOKFLXCT-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC(=CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N)CC ZINC001725479846 1204156403 /nfs/dbraw/zinc/15/64/03/1204156403.db2.gz FFRJLJMYIIWNKS-OAHLLOKOSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C(C)CCC(=O)N[C@]12CCC[C@@H]1N(Cc1cncs1)CC2 ZINC001725611987 1204179450 /nfs/dbraw/zinc/17/94/50/1204179450.db2.gz ZXKVSLOARFNNKS-RDJZCZTQSA-N 0 1 319.474 3.113 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(CC(C)C)CCC2)[C@@H]1C ZINC001725623656 1204183857 /nfs/dbraw/zinc/18/38/57/1204183857.db2.gz LLTJMAGURGWZCS-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccccc2F)[C@@H]1C ZINC001725637957 1204187357 /nfs/dbraw/zinc/18/73/57/1204187357.db2.gz WWTAIJCINLOFCM-CRAIPNDOSA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc2occc2s1)C(C)C ZINC001725730330 1204203707 /nfs/dbraw/zinc/20/37/07/1204203707.db2.gz SZSYUFBELPHXAD-UHFFFAOYSA-N 0 1 318.442 3.300 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](CC)c1ccccc1)C(C)C ZINC001725731728 1204204501 /nfs/dbraw/zinc/20/45/01/1204204501.db2.gz BIRZXSAXEXXUGD-SFHVURJKSA-N 0 1 314.473 3.372 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001725743609 1204209568 /nfs/dbraw/zinc/20/95/68/1204209568.db2.gz YFQUZQVPTCUITE-ZDUSSCGKSA-N 0 1 309.479 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC2(CCC2)C1)C(C)(C)C ZINC001725798846 1204224243 /nfs/dbraw/zinc/22/42/43/1204224243.db2.gz QTQHMVNOPSZOJY-AWEZNQCLSA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CCC2CCC2)c(F)c1 ZINC001725831277 1204229418 /nfs/dbraw/zinc/22/94/18/1204229418.db2.gz LCKJALGTGJPKSG-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN Cc1nnc(SCC#Cc2ccc(OC(F)(F)F)cc2)[nH]1 ZINC000178803851 1204296630 /nfs/dbraw/zinc/29/66/30/1204296630.db2.gz NICWJPCYGRMENL-UHFFFAOYSA-N 0 1 313.304 3.156 20 30 DGEDMN Cc1n[nH]c(SCC#Cc2ccc(OC(F)(F)F)cc2)n1 ZINC000178803851 1204296632 /nfs/dbraw/zinc/29/66/32/1204296632.db2.gz NICWJPCYGRMENL-UHFFFAOYSA-N 0 1 313.304 3.156 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001731260092 1204355011 /nfs/dbraw/zinc/35/50/11/1204355011.db2.gz RCFQBLPXZKEEBW-UHFFFAOYSA-N 0 1 319.474 3.160 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1conc1C ZINC001731293696 1204364878 /nfs/dbraw/zinc/36/48/78/1204364878.db2.gz CLEGBVPQPIUCNT-RDJZCZTQSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c(C)cc(C)nc1C ZINC001731312872 1204370161 /nfs/dbraw/zinc/37/01/61/1204370161.db2.gz PIQDXMVQNXRVFT-UHFFFAOYSA-N 0 1 323.868 3.201 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(C/C=C\Cl)C2CC2)CC1 ZINC001731337670 1204376963 /nfs/dbraw/zinc/37/69/63/1204376963.db2.gz LGKIDOQLAZZRRT-SGAXSIHGSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C)c(F)c2)CC1 ZINC001731385737 1204391959 /nfs/dbraw/zinc/39/19/59/1204391959.db2.gz HRYBATWNZSAZKD-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1 ZINC001731421606 1204407955 /nfs/dbraw/zinc/40/79/55/1204407955.db2.gz DVKGWHFRBVVSFL-HDICACEKSA-N 0 1 313.445 3.117 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H]1CCCN(CC=C(Cl)Cl)C1 ZINC001731554468 1204459616 /nfs/dbraw/zinc/45/96/16/1204459616.db2.gz FFKVXFFXRHZCDS-STQMWFEESA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@]1(C)CCc2ccccc21 ZINC001731541583 1204452771 /nfs/dbraw/zinc/45/27/71/1204452771.db2.gz GESJMTWICIEXSI-XLIONFOSSA-N 0 1 312.457 3.047 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001731543612 1204453411 /nfs/dbraw/zinc/45/34/11/1204453411.db2.gz ZFXBPWKQNFPUNX-AQWUKCDYSA-N 0 1 324.468 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001731570383 1204466313 /nfs/dbraw/zinc/46/63/13/1204466313.db2.gz QUSIJBOLFVYABR-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN CCC(=CC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1)CC ZINC001731585583 1204473393 /nfs/dbraw/zinc/47/33/93/1204473393.db2.gz MPLRUEWSGRPJSG-HNNXBMFYSA-N 0 1 316.420 3.018 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731589503 1204474733 /nfs/dbraw/zinc/47/47/33/1204474733.db2.gz QMSJNFGNCJQAMO-SZGZABIGSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1C(C)(C)C ZINC001731598258 1204477356 /nfs/dbraw/zinc/47/73/56/1204477356.db2.gz HTVIQZGACFVEMG-CYBMUJFWSA-N 0 1 308.853 3.445 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1ccc(Cl)cc1F ZINC001731614093 1204482896 /nfs/dbraw/zinc/48/28/96/1204482896.db2.gz FJSJUELHDGOMHK-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN CCC(CC)CC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001731626716 1204488591 /nfs/dbraw/zinc/48/85/91/1204488591.db2.gz LTTJDMRDGKAALQ-HNNXBMFYSA-N 0 1 318.436 3.098 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CCCC1 ZINC001731643037 1204495252 /nfs/dbraw/zinc/49/52/52/1204495252.db2.gz UDPFVBMMDAZIGU-GOSISDBHSA-N 0 1 324.468 3.269 20 30 DGEDMN CCC(C)(CC)C(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001731795799 1204564811 /nfs/dbraw/zinc/56/48/11/1204564811.db2.gz WOIIYFOBCMBTFO-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1[C@@H](C)c1ccc(F)cc1 ZINC001731823644 1204586420 /nfs/dbraw/zinc/58/64/20/1204586420.db2.gz IBOKTFBEYXYGJB-YJBOKZPZSA-N 0 1 316.420 3.127 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1[C@H](C)c1ccc(F)cc1 ZINC001731823641 1204587224 /nfs/dbraw/zinc/58/72/24/1204587224.db2.gz IBOKTFBEYXYGJB-CRAIPNDOSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)Cc2cncc(C)c2)C1 ZINC001731868919 1204612357 /nfs/dbraw/zinc/61/23/57/1204612357.db2.gz KNQYHUWDXHBPFH-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=Cc1ccc(C(=O)N(C)CCNCc2ccccc2C#N)cc1 ZINC001731955619 1204636234 /nfs/dbraw/zinc/63/62/34/1204636234.db2.gz IBZFQQOSZORMMZ-UHFFFAOYSA-N 0 1 319.408 3.063 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(CC)s1)c1ccccc1 ZINC001732021095 1204652611 /nfs/dbraw/zinc/65/26/11/1204652611.db2.gz AZOSJVFFWADAPC-INIZCTEOSA-N 0 1 312.438 3.004 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccccc2C)C1 ZINC001732065520 1204676600 /nfs/dbraw/zinc/67/66/00/1204676600.db2.gz ABHDQRSOFVZQTB-HNNXBMFYSA-N 0 1 306.837 3.141 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC001732087935 1204689295 /nfs/dbraw/zinc/68/92/95/1204689295.db2.gz XUYYIOLEXJVBLA-KRWDZBQOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001732107574 1204695985 /nfs/dbraw/zinc/69/59/85/1204695985.db2.gz LJADCSNBPNNNLI-CQSZACIVSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001732148161 1204715027 /nfs/dbraw/zinc/71/50/27/1204715027.db2.gz NVCBUYDILPBFHY-OALUTQOASA-N 0 1 314.473 3.356 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001732175043 1204730174 /nfs/dbraw/zinc/73/01/74/1204730174.db2.gz FPDXEBRMJFTBDJ-DLBZAZTESA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H](C)C2CCCCC2)C1 ZINC001732185123 1204736596 /nfs/dbraw/zinc/73/65/96/1204736596.db2.gz LFJYZTZLIZBBNQ-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001732198020 1204745510 /nfs/dbraw/zinc/74/55/10/1204745510.db2.gz NYYATIBGGMHZDL-LPDYGMJQSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1cc(O)ccc1Cl ZINC001732199961 1204746703 /nfs/dbraw/zinc/74/67/03/1204746703.db2.gz JNKUNIXHLRKJEE-CQSZACIVSA-N 0 1 324.852 3.386 20 30 DGEDMN C=CCCC(=O)N[C@@](C)(CNCc1c(F)cccc1F)C1CC1 ZINC001732239596 1204766061 /nfs/dbraw/zinc/76/60/61/1204766061.db2.gz DWYISXSMSQSVER-SFHVURJKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)CC1CCCC1 ZINC001732462056 1204855121 /nfs/dbraw/zinc/85/51/21/1204855121.db2.gz QGNQRGWRJZKSCQ-OAHLLOKOSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1ocnc1C)C1CCCCC1 ZINC001732475487 1204864108 /nfs/dbraw/zinc/86/41/08/1204864108.db2.gz LPFZCXUGLALYAL-INIZCTEOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CCNCc1cc(C)on1 ZINC001732506509 1204874785 /nfs/dbraw/zinc/87/47/85/1204874785.db2.gz BNQWRFGMAAZWGI-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN CCC(CC)C(=O)N(CC)CCNCc1cc(C#N)ccc1F ZINC001732763223 1204940686 /nfs/dbraw/zinc/94/06/86/1204940686.db2.gz PXYYBVUQIYJSRW-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(F)c(Cl)c1 ZINC001732792834 1204944295 /nfs/dbraw/zinc/94/42/95/1204944295.db2.gz DNWGEFAXPIFCTC-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1cc(F)ccc1F ZINC001732902020 1204957196 /nfs/dbraw/zinc/95/71/96/1204957196.db2.gz UCKTWOCLJQBEQN-HPFMTEOYSA-N 0 1 322.399 3.329 20 30 DGEDMN CCC(CC)CC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001733017308 1204982558 /nfs/dbraw/zinc/98/25/58/1204982558.db2.gz IGSVGKIAPYNSOH-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1coc2ccccc12)C1CC1 ZINC001733192827 1205038937 /nfs/dbraw/zinc/03/89/37/1205038937.db2.gz OYUFCGBTCAHCKN-OAHLLOKOSA-N 0 1 318.804 3.283 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001733213071 1205046733 /nfs/dbraw/zinc/04/67/33/1205046733.db2.gz MMIGHBMCSTYVPK-QGZVFWFLSA-N 0 1 318.436 3.449 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCCc1ccccc1 ZINC001733274763 1205077393 /nfs/dbraw/zinc/07/73/93/1205077393.db2.gz JGSRNRPAPJBSQL-UXHICEINSA-N 0 1 324.468 3.098 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)CCCC ZINC001733273442 1205077410 /nfs/dbraw/zinc/07/74/10/1205077410.db2.gz OEDUCAWRWYLRBQ-KSZLIROESA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN(CCC2=CCCCC2)C1 ZINC001733311169 1205090719 /nfs/dbraw/zinc/09/07/19/1205090719.db2.gz UJFMVMHJAYMFGY-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](F)c1ccccc1 ZINC001733347380 1205101221 /nfs/dbraw/zinc/10/12/21/1205101221.db2.gz DLAPJHLDTUJXQO-LSDHHAIUSA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)CC)C1CCCC1 ZINC001733383623 1205111883 /nfs/dbraw/zinc/11/18/83/1205111883.db2.gz XIERPOKNJUJDPJ-IUODEOHRSA-N 0 1 300.874 3.440 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@]2(CCN(CC(=C)Cl)C2)C1 ZINC001733393186 1205115254 /nfs/dbraw/zinc/11/52/54/1205115254.db2.gz PFRUVAZCIWYWAG-GOSISDBHSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001733395824 1205116092 /nfs/dbraw/zinc/11/60/92/1205116092.db2.gz DOSLHMRDAYRJQF-KSSFIOAISA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CCCN(Cc2cc(C)ns2)C1 ZINC001733456727 1205141077 /nfs/dbraw/zinc/14/10/77/1205141077.db2.gz TXLPCCLSMIJTBM-QGZVFWFLSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CCC)CC(C)C ZINC001733604655 1205172428 /nfs/dbraw/zinc/17/24/28/1205172428.db2.gz WBYKOUUDGAOJAP-KZNAEPCWSA-N 0 1 304.478 3.147 20 30 DGEDMN CCCC(=O)NCC1(NCC#Cc2cccc(Cl)c2)CCC1 ZINC001733711307 1205190382 /nfs/dbraw/zinc/19/03/82/1205190382.db2.gz GLKPSHORNVIYSH-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001733752932 1205197901 /nfs/dbraw/zinc/19/79/01/1205197901.db2.gz CEKJUTALAWYKBW-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC)CCCCC)C(C)(C)C1 ZINC001733877003 1205241422 /nfs/dbraw/zinc/24/14/22/1205241422.db2.gz AIQDSKGJUIPCAI-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2ccccn2)[C@@H](C)C1 ZINC001733899767 1205245975 /nfs/dbraw/zinc/24/59/75/1205245975.db2.gz MMRRIZYLKDDCMA-JKSUJKDBSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCCC1(C)C ZINC001733922465 1205255708 /nfs/dbraw/zinc/25/57/08/1205255708.db2.gz VSJHCPBBWFWUPK-GUDVDZBRSA-N 0 1 324.468 3.208 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C(CC(C)C)CC(C)C ZINC001733944087 1205268161 /nfs/dbraw/zinc/26/81/61/1205268161.db2.gz PNPDAQRRONQPSQ-SFHVURJKSA-N 0 1 306.494 3.251 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001733960484 1205271254 /nfs/dbraw/zinc/27/12/54/1205271254.db2.gz PBBPTYMKFBMVJJ-KBPBESRZSA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2c(C)noc2C)CCC1 ZINC001733988844 1205280701 /nfs/dbraw/zinc/28/07/01/1205280701.db2.gz HEVNAJFCTLWQOV-OLZOCXBDSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2cnc(C)o2)CCCC1 ZINC001734008998 1205287372 /nfs/dbraw/zinc/28/73/72/1205287372.db2.gz ZBMKNKSFCAPDHA-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)CC3CC3)C2)C1 ZINC001734137378 1205331939 /nfs/dbraw/zinc/33/19/39/1205331939.db2.gz GVGBOLIWFVWZQB-LJQANCHMSA-N 0 1 322.493 3.060 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CN(CC#CC)CC2(C)C)CCCCC1 ZINC001734155466 1205337836 /nfs/dbraw/zinc/33/78/36/1205337836.db2.gz YFEXLGGFVBBADI-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2conc2C)CCCC1 ZINC001734357711 1205371210 /nfs/dbraw/zinc/37/12/10/1205371210.db2.gz IXCVOELEBXPWGC-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C\c1ccco1)C(C)(C)C ZINC001734556649 1205393658 /nfs/dbraw/zinc/39/36/58/1205393658.db2.gz CBNMBKGESRIDRR-WBTMPAOCSA-N 0 1 310.825 3.166 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1csc(C)n1)C(C)(C)C ZINC001734562291 1205395082 /nfs/dbraw/zinc/39/50/82/1205395082.db2.gz TYSAXHXHNDHPDA-OAHLLOKOSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001734594809 1205402779 /nfs/dbraw/zinc/40/27/79/1205402779.db2.gz VQSMQTJMQBMOFS-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001666953345 1197301116 /nfs/dbraw/zinc/30/11/16/1197301116.db2.gz SWYPRWMRSGUAIG-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCCc1ccc(C)cc1 ZINC001669388077 1197452217 /nfs/dbraw/zinc/45/22/17/1197452217.db2.gz ICDCQSYMPWLWTH-OAHLLOKOSA-N 0 1 308.853 3.165 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001669815838 1197487107 /nfs/dbraw/zinc/48/71/07/1197487107.db2.gz LTFNIWVHZGTABK-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001589604797 949230101 /nfs/dbraw/zinc/23/01/01/949230101.db2.gz HLBXCNHVHXZJNT-QGZVFWFLSA-N 0 1 305.805 3.268 20 30 DGEDMN C[C@]([NH2+]Cc1ccc(Cl)cc1C#N)(C(=O)[O-])c1ccccc1 ZINC001573631053 959553169 /nfs/dbraw/zinc/55/31/69/959553169.db2.gz NNFBLCDZTNCXMC-QGZVFWFLSA-N 0 1 314.772 3.301 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC001573368494 963081382 /nfs/dbraw/zinc/08/13/82/963081382.db2.gz MQSXCLMQIBWFNL-MRXNPFEDSA-N 0 1 303.333 3.275 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)CC[C@H]1C(=O)[O-] ZINC001603463812 973323844 /nfs/dbraw/zinc/32/38/44/973323844.db2.gz KHZJVMAZUWSABN-UKRRQHHQSA-N 0 1 300.402 3.030 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1cc(C#N)cnc1Cl)CC1CC1 ZINC001594787900 981830415 /nfs/dbraw/zinc/83/04/15/981830415.db2.gz PJSBEAMATDCFPW-INIZCTEOSA-N 0 1 321.808 3.072 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC001595704603 982277267 /nfs/dbraw/zinc/27/72/67/982277267.db2.gz CJWNATXBGQXJKM-LSDHHAIUSA-N 0 1 313.438 3.075 20 30 DGEDMN CCC[N@H+](Cc1ccc(C#N)cc1)[C@@H](C)c1nc(C(=O)[O-])co1 ZINC001595886128 982914953 /nfs/dbraw/zinc/91/49/53/982914953.db2.gz YWAAFAXIHVNIMP-LBPRGKRZSA-N 0 1 313.357 3.218 20 30 DGEDMN CCC[N@@H+](Cc1ccc(C#N)cc1)[C@@H](C)c1nc(C(=O)[O-])co1 ZINC001595886128 982914960 /nfs/dbraw/zinc/91/49/60/982914960.db2.gz YWAAFAXIHVNIMP-LBPRGKRZSA-N 0 1 313.357 3.218 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](Cc2cc(Cl)ccc2OC)C1 ZINC001588662638 983790851 /nfs/dbraw/zinc/79/08/51/983790851.db2.gz DSAQDMGWDBCONE-IUODEOHRSA-N 0 1 323.820 3.242 20 30 DGEDMN CC[N@@H+](CCc1cccs1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001596352815 983813329 /nfs/dbraw/zinc/81/33/29/983813329.db2.gz VWEKAUCYMCZYHC-INIZCTEOSA-N 0 1 314.410 3.310 20 30 DGEDMN C=CC[N@H+](CCOC)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001588828607 984307619 /nfs/dbraw/zinc/30/76/19/984307619.db2.gz DGXWFLZEOZAUAM-CYBMUJFWSA-N 0 1 318.200 3.254 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2CCCCC[C@@H]2C(=O)[O-])cc1 ZINC001589558339 987309280 /nfs/dbraw/zinc/30/92/80/987309280.db2.gz OOLYKLOIZDHQCX-MRXNPFEDSA-N 0 1 300.402 3.317 20 30 DGEDMN CCc1[nH]c(C[N@@H+](C)[C@H](C)c2cccc(C#N)c2)cc1C(=O)[O-] ZINC001597380849 987579755 /nfs/dbraw/zinc/57/97/55/987579755.db2.gz ZXCMDKALPKCGBJ-GFCCVEGCSA-N 0 1 311.385 3.340 20 30 DGEDMN CC(C)(C)C[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001589764851 988109310 /nfs/dbraw/zinc/10/93/10/988109310.db2.gz ZPRVOCNMEIMWJQ-ZBFHGGJFSA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@]([NH2+]CC#Cc1ccc(Cl)cc1)(C(=O)[O-])c1ccccc1 ZINC001593232392 994384958 /nfs/dbraw/zinc/38/49/58/994384958.db2.gz VOJNNMZWJLAHJW-GOSISDBHSA-N 0 1 313.784 3.281 20 30 DGEDMN COc1cccc([C@H](C(=O)[O-])[N@@H+](C)CCCC(C)(C)C#N)c1 ZINC001599646633 994433910 /nfs/dbraw/zinc/43/39/10/994433910.db2.gz BWEAMDFGOXGXTO-OAHLLOKOSA-N 0 1 304.390 3.083 20 30 DGEDMN COc1cccc([C@H](C(=O)[O-])[N@@H+](C)CCCCC(C)(C)C#N)c1 ZINC001599647193 994441679 /nfs/dbraw/zinc/44/16/79/994441679.db2.gz NPZIGUNZZDOKEJ-MRXNPFEDSA-N 0 1 318.417 3.473 20 30 DGEDMN C[C@]([NH2+]CC1(C#N)CC1)(C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001593247297 994463777 /nfs/dbraw/zinc/46/37/77/994463777.db2.gz GEYNKLZFAAAINX-CYBMUJFWSA-N 0 1 313.184 3.187 20 30 DGEDMN C[C@@]([NH2+]CC1(C#N)CC1)(C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001593247300 994463963 /nfs/dbraw/zinc/46/39/63/994463963.db2.gz GEYNKLZFAAAINX-ZDUSSCGKSA-N 0 1 313.184 3.187 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@H](C(=O)[O-])C1 ZINC001594426438 999593879 /nfs/dbraw/zinc/59/38/79/999593879.db2.gz LQFMOFYGAFYUPH-CJNGLKHVSA-N 0 1 300.402 3.173 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H]1CCC1(F)F ZINC001725232244 1204122939 /nfs/dbraw/zinc/12/29/39/1204122939.db2.gz GWFIQSSVJIVPBF-VXGBXAGGSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CCC(C)(F)F)C1 ZINC001267545018 1083013906 /nfs/dbraw/zinc/01/39/06/1083013906.db2.gz OXQGNYFJLNTUQT-GFCCVEGCSA-N 0 1 308.800 3.003 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(OC(F)F)cc3)CCC2S1 ZINC001204418320 1081609853 /nfs/dbraw/zinc/60/98/53/1081609853.db2.gz RWHSNZVMHXVSDW-ZDUSSCGKSA-N 0 1 311.353 3.062 20 30 DGEDMN COC(=O)c1cnc2[nH]cc(NC34CCC(C#N)(CC3)CC4)cc1-2 ZINC001169750323 1081642418 /nfs/dbraw/zinc/64/24/18/1081642418.db2.gz YNJLSISTADCKDE-UHFFFAOYSA-N 0 1 324.384 3.378 20 30 DGEDMN C=C[C@@H](CO)Nc1[nH]ccc2nc(-c3ccccc3Cl)nc1-2 ZINC001169779789 1081654095 /nfs/dbraw/zinc/65/40/95/1081654095.db2.gz ZFHDAXBOCVEVDN-JTQLQIEISA-N 0 1 314.776 3.237 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)C/C=C/c2ccccc2)CC1 ZINC001266320594 1081663430 /nfs/dbraw/zinc/66/34/30/1081663430.db2.gz NAHBQSAKYRNXAT-RMKNXTFCSA-N 0 1 312.457 3.494 20 30 DGEDMN C=CCN(CCN(C)[C@@H](C)c1ccccc1OC)C(=O)OCC ZINC001209021260 1081675197 /nfs/dbraw/zinc/67/51/97/1081675197.db2.gz UPACEUZPZLQGNR-HNNXBMFYSA-N 0 1 320.433 3.333 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001266336632 1081677652 /nfs/dbraw/zinc/67/76/52/1081677652.db2.gz SLKMNOFRNVFXEC-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001209206979 1081696934 /nfs/dbraw/zinc/69/69/34/1081696934.db2.gz FQSJFAVQOJWSLE-UHFFFAOYSA-N 0 1 306.837 3.454 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001109245131 1081790639 /nfs/dbraw/zinc/79/06/39/1081790639.db2.gz JHFPHPODJDISMD-BJJWVYSUSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1nc(C)cs1)C1CC1 ZINC001266429403 1081795007 /nfs/dbraw/zinc/79/50/07/1081795007.db2.gz OWZBQTGXJYTUJO-RHSMWYFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C12CCC(CC1)C2(C)C)C1CC1 ZINC001266433361 1081800100 /nfs/dbraw/zinc/80/01/00/1081800100.db2.gz BHDGOEXGHYBSBR-SWKXRBFHSA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCCCCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccns1)C2 ZINC001109352990 1081808674 /nfs/dbraw/zinc/80/86/74/1081808674.db2.gz DGYRGPHWJVGOCN-ILXRZTDVSA-N 0 1 319.474 3.225 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccc(CF)cc1 ZINC001266457019 1081852767 /nfs/dbraw/zinc/85/27/67/1081852767.db2.gz FUKJFASODVVULE-SFHVURJKSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC001266479739 1081882306 /nfs/dbraw/zinc/88/23/06/1081882306.db2.gz BXEDLLASNSNIEA-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001266482857 1081885732 /nfs/dbraw/zinc/88/57/32/1081885732.db2.gz KCERYLNRAYFUSW-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001266482858 1081885923 /nfs/dbraw/zinc/88/59/23/1081885923.db2.gz KCERYLNRAYFUSW-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001266488408 1081889975 /nfs/dbraw/zinc/88/99/75/1081889975.db2.gz IVFDAHSOIOPCNC-NVXWUHKLSA-N 0 1 324.424 3.140 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001109657912 1081894014 /nfs/dbraw/zinc/89/40/14/1081894014.db2.gz JZEUGBNHOHDKOS-PMPSAXMXSA-N 0 1 304.459 3.326 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109683640 1081898783 /nfs/dbraw/zinc/89/87/83/1081898783.db2.gz VTWYUNPXNXXGIR-UKTARXLSSA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)Cc1ccccc1)C2 ZINC001109776587 1081911999 /nfs/dbraw/zinc/91/19/99/1081911999.db2.gz UNBBGGUNOPDFCH-YRXWBPOGSA-N 0 1 312.457 3.163 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](CC)c1ccccc1)C2 ZINC001109970085 1081940679 /nfs/dbraw/zinc/94/06/79/1081940679.db2.gz NIQATEYTRXRQGO-NQYYFHDYSA-N 0 1 312.457 3.478 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCCCCC)C2 ZINC001110023373 1081951058 /nfs/dbraw/zinc/95/10/58/1081951058.db2.gz POYNYJJCNXLIQB-SQNIBIBYSA-N 0 1 304.478 3.482 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCc2ccsc2)C1 ZINC001266531666 1081960110 /nfs/dbraw/zinc/96/01/10/1081960110.db2.gz AMJMLVFFKCPWLQ-AWEZNQCLSA-N 0 1 312.866 3.014 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(C)nc2)CC1 ZINC001112613186 1081973067 /nfs/dbraw/zinc/97/30/67/1081973067.db2.gz LFHULSVBESKRCP-UHFFFAOYSA-N 0 1 303.450 3.118 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@@H]1CC=CCC1 ZINC001266554327 1081992057 /nfs/dbraw/zinc/99/20/57/1081992057.db2.gz XPKAHQVKKJPOSP-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C[C@@H]1CCC[C@H](CCN2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001112834403 1082015650 /nfs/dbraw/zinc/01/56/50/1082015650.db2.gz LUYSRQKFYHAYAR-QZTJIDSGSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCCCN1CCN(C(=O)CO[C@@H]2CCCC[C@@H]2CC)CC1 ZINC001112837837 1082020499 /nfs/dbraw/zinc/02/04/99/1082020499.db2.gz XNKMOYWSTZNSFC-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2coc(C(C)(C)C)n2)CC1 ZINC001112849006 1082022539 /nfs/dbraw/zinc/02/25/39/1082022539.db2.gz ZRRDRJGVPFGOQF-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2csc(C)c2C)CC1 ZINC001112880544 1082033769 /nfs/dbraw/zinc/03/37/69/1082033769.db2.gz HOKXBZIQSHGLGG-CYBMUJFWSA-N 0 1 306.475 3.477 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccnn2CCC)CC1 ZINC001112893501 1082040630 /nfs/dbraw/zinc/04/06/30/1082040630.db2.gz MPVNKWKJFUCXKB-UHFFFAOYSA-N 0 1 320.481 3.021 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CC/C=C/CCC)CC1)OCC ZINC001112906819 1082047581 /nfs/dbraw/zinc/04/75/81/1082047581.db2.gz PPAZAFPITDGRBA-GFOMBABLSA-N 0 1 322.493 3.248 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C(C)C)nc2)CC1 ZINC001112964479 1082068040 /nfs/dbraw/zinc/06/80/40/1082068040.db2.gz XYFFRRQZPKCWKP-MRXNPFEDSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CC1CCN([C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CC1 ZINC001167406285 1082072358 /nfs/dbraw/zinc/07/23/58/1082072358.db2.gz OQXPHPHTEWQYKT-MRXNPFEDSA-N 0 1 322.811 3.482 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C/c2cccc(F)c2)CC1 ZINC001112980127 1082074164 /nfs/dbraw/zinc/07/41/64/1082074164.db2.gz UERVPMHVLPFAHB-SOFGYWHQSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(F)c(C)cc2F)CC1 ZINC001112987816 1082077238 /nfs/dbraw/zinc/07/72/38/1082077238.db2.gz YYKODCMWQCFRMV-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001113015736 1082086983 /nfs/dbraw/zinc/08/69/83/1082086983.db2.gz DOSMCXWUBFOTHL-UHFFFAOYSA-N 0 1 303.450 3.136 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001266624578 1082089304 /nfs/dbraw/zinc/08/93/04/1082089304.db2.gz IBLJTHLYPCBSEE-HDJSIYSDSA-N 0 1 319.449 3.137 20 30 DGEDMN CCC(CC)CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001266628168 1082092748 /nfs/dbraw/zinc/09/27/48/1082092748.db2.gz DQIGEDPWOXVFQA-IYARVYRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001113039728 1082097794 /nfs/dbraw/zinc/09/77/94/1082097794.db2.gz FWRCRLQBSLSPHV-RCCFBDPRSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](OC)[C@@H](C)CC)CC1 ZINC001113046610 1082099775 /nfs/dbraw/zinc/09/97/75/1082099775.db2.gz CPJAIIQKEOYJDK-IRXDYDNUSA-N 0 1 312.498 3.162 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C)cc(C)n2)CC1 ZINC001113055096 1082102282 /nfs/dbraw/zinc/10/22/82/1082102282.db2.gz ODYZXCCQMZHFRS-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(COC)cs2)CC1 ZINC001113071198 1082107453 /nfs/dbraw/zinc/10/74/53/1082107453.db2.gz KXAPEGYOKRJFRT-AWEZNQCLSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1nccs1)[C@@H]2CC ZINC001204546293 1082112678 /nfs/dbraw/zinc/11/26/78/1082112678.db2.gz AAIOGDMQALDBDG-PBHICJAKSA-N 0 1 319.474 3.065 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cccc(C(F)F)c2)CC1 ZINC001113094129 1082113313 /nfs/dbraw/zinc/11/33/13/1082113313.db2.gz MSJNOVQVQDWZKN-UHFFFAOYSA-N 0 1 322.399 3.277 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccc(C)cc2C)CC1 ZINC001113169552 1082130788 /nfs/dbraw/zinc/13/07/88/1082130788.db2.gz KQCKKSCLDJFUNL-UHFFFAOYSA-N 0 1 314.473 3.346 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CC/C=C\CCC)CC2)cc1 ZINC001113180008 1082132561 /nfs/dbraw/zinc/13/25/61/1082132561.db2.gz XDIRVCFQDVBDAA-SREVYHEPSA-N 0 1 310.441 3.172 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(CCC)n[nH]2)CC1 ZINC001113177252 1082133766 /nfs/dbraw/zinc/13/37/66/1082133766.db2.gz UGCVZNDWODXPLS-UHFFFAOYSA-N 0 1 320.481 3.090 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CC/C=C\c2ccccc2)CC1 ZINC001113192898 1082135460 /nfs/dbraw/zinc/13/54/60/1082135460.db2.gz DKWKMEZWSUJSKJ-WQLSENKSSA-N 0 1 312.457 3.446 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)C(F)(F)F)CC1 ZINC001113203773 1082138899 /nfs/dbraw/zinc/13/88/99/1082138899.db2.gz LKEJAQOCOMFGOE-ZDUSSCGKSA-N 0 1 308.388 3.299 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CCCC2CCC2)CC1 ZINC001113205623 1082139002 /nfs/dbraw/zinc/13/90/02/1082139002.db2.gz XWBPKWWPXVCUII-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001113246698 1082147541 /nfs/dbraw/zinc/14/75/41/1082147541.db2.gz RSTGTVGHPGRBPT-VQIMIIECSA-N 0 1 312.457 3.420 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](CCC)c2ccccn2)CC1 ZINC001113263438 1082159247 /nfs/dbraw/zinc/15/92/47/1082159247.db2.gz XCQKEGQDJSNKRA-QGZVFWFLSA-N 0 1 315.461 3.076 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2C[C@@H](NC/C(Cl)=C/Cl)C2)CC1 ZINC001266711331 1082161258 /nfs/dbraw/zinc/16/12/58/1082161258.db2.gz SSWHPTBLCXVDNP-DHZYZDCNSA-N 0 1 317.260 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(F)c(C)c2)CC1 ZINC001113277572 1082166375 /nfs/dbraw/zinc/16/63/75/1082166375.db2.gz TYIDRSQAMKJYJR-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(F)ccc(C)c2F)CC1 ZINC001113294791 1082168773 /nfs/dbraw/zinc/16/87/73/1082168773.db2.gz PTVGXERFFBOXSS-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](CC(C)C)OC)CC1 ZINC001113323622 1082172429 /nfs/dbraw/zinc/17/24/29/1082172429.db2.gz YARGTNDBJZYYMW-QGZVFWFLSA-N 0 1 312.498 3.162 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2CC(C)(C)C2)C1 ZINC001086587314 1082174344 /nfs/dbraw/zinc/17/43/44/1082174344.db2.gz OMJCDXHMVJEOKK-RBUKOAKNSA-N 0 1 324.468 3.030 20 30 DGEDMN CC(C)N1CC(Nc2cc(C#N)c3cc(Cl)ccc3n2)C1 ZINC001157529532 1082179384 /nfs/dbraw/zinc/17/93/84/1082179384.db2.gz GULIYLXPOKVXCA-UHFFFAOYSA-N 0 1 300.793 3.264 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001113338999 1082181577 /nfs/dbraw/zinc/18/15/77/1082181577.db2.gz NFTJDYCBJDOAFM-MRXNPFEDSA-N 0 1 304.434 3.274 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)Cc2ccccc2F)CC1 ZINC001113340957 1082181593 /nfs/dbraw/zinc/18/15/93/1082181593.db2.gz GLEMKWVYDUJUBE-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC001113386619 1082190046 /nfs/dbraw/zinc/19/00/46/1082190046.db2.gz OATUYOJATTUESQ-NVXWUHKLSA-N 0 1 304.409 3.196 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@@H]2CCCCO2)CC1 ZINC001113391492 1082194307 /nfs/dbraw/zinc/19/43/07/1082194307.db2.gz GKXCDLDVVCPVMI-SFHVURJKSA-N 0 1 324.509 3.450 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C(C)(C)CCOC)CC1 ZINC001113486306 1082229650 /nfs/dbraw/zinc/22/96/50/1082229650.db2.gz RFNPRZZBSMWREN-UHFFFAOYSA-N 0 1 312.498 3.164 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(C)CC(F)(F)C2)CC1 ZINC001113525424 1082238774 /nfs/dbraw/zinc/23/87/74/1082238774.db2.gz QXSZWKFKHGQGIA-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](CC)CC(F)(F)F)CC1 ZINC001113551036 1082248053 /nfs/dbraw/zinc/24/80/53/1082248053.db2.gz YMRMHGHNOCYFPS-KBPBESRZSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C)cc2OC)CC1 ZINC001113560397 1082250033 /nfs/dbraw/zinc/25/00/33/1082250033.db2.gz NLFDPINIOXPYMN-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN CCCCCCCN1CCN(C(=O)COc2ccccc2)CC1 ZINC001113576957 1082252874 /nfs/dbraw/zinc/25/28/74/1082252874.db2.gz FHVFTHIXXCCSTQ-UHFFFAOYSA-N 0 1 318.461 3.180 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)C(C)(F)F)CC1 ZINC001113586499 1082256245 /nfs/dbraw/zinc/25/62/45/1082256245.db2.gz OFZUMTCUUJPAEB-CYBMUJFWSA-N 0 1 302.409 3.167 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CC(C)(C)CC(F)(F)F)CC1 ZINC001113587677 1082256724 /nfs/dbraw/zinc/25/67/24/1082256724.db2.gz DQUHNKOUNPYECZ-UHFFFAOYSA-N 0 1 320.399 3.466 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)COCCCCCC)CC1 ZINC001113581821 1082257226 /nfs/dbraw/zinc/25/72/26/1082257226.db2.gz ICOHIZLTHWDPFS-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2CCC(C3CC3)CC2)CC1 ZINC001113593811 1082260085 /nfs/dbraw/zinc/26/00/85/1082260085.db2.gz WKMJNMFMNGMZEC-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H](C)c2ccccc2)CC1 ZINC001113605146 1082260912 /nfs/dbraw/zinc/26/09/12/1082260912.db2.gz HYEUFTBGCRAUKU-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C)n(C)c2C)CC1 ZINC001113617545 1082265045 /nfs/dbraw/zinc/26/50/45/1082265045.db2.gz XJNYEGHSRMYDHJ-UHFFFAOYSA-N 0 1 319.493 3.370 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@@H]2CC[C@H](C)O2)CC1 ZINC001113658014 1082272182 /nfs/dbraw/zinc/27/21/82/1082272182.db2.gz COHPZHUJONDRFI-ROUUACIJSA-N 0 1 324.509 3.449 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCC3CC3)CC2)CCCCC1 ZINC001113667266 1082273787 /nfs/dbraw/zinc/27/37/87/1082273787.db2.gz WMXNVJXSGYVXFY-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](CC=C)c2ccccc2)CC1 ZINC001113662776 1082274382 /nfs/dbraw/zinc/27/43/82/1082274382.db2.gz IOHHJFGGPSJMFZ-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)Cc2ccc(C)cc2)CC1 ZINC001113691141 1082280461 /nfs/dbraw/zinc/28/04/61/1082280461.db2.gz JHDIQQWRSQDTSZ-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2Cc3c2cccc3Cl)CC1 ZINC001113700091 1082287789 /nfs/dbraw/zinc/28/77/89/1082287789.db2.gz KFBZCZJWVDHPLU-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN COC(=O)[C@@H]1CCCN1C1CCC(C#N)(c2ccccc2)CC1 ZINC001170368300 1082354127 /nfs/dbraw/zinc/35/41/27/1082354127.db2.gz PAYCDSKIEQHZAJ-HFCFLWKCSA-N 0 1 312.413 3.028 20 30 DGEDMN N#CC1(c2ccccc2)CCC(N2Cc3cncnc3C2)CC1 ZINC001170378590 1082362192 /nfs/dbraw/zinc/36/21/92/1082362192.db2.gz GCSVROBIIIXBEW-UHFFFAOYSA-N 0 1 304.397 3.196 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266975132 1082393759 /nfs/dbraw/zinc/39/37/59/1082393759.db2.gz KMJIOZUKGLEUMJ-LSDHHAIUSA-N 0 1 320.864 3.078 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)c3ccc(F)c(F)c3)C[C@H]21 ZINC001114003073 1082395508 /nfs/dbraw/zinc/39/55/08/1082395508.db2.gz SMQQXRYVFKHURR-IUWMUCMRSA-N 0 1 320.383 3.038 20 30 DGEDMN FC(F)(F)COc1ccnc(NCC#Cc2ccccc2)c1 ZINC001170429974 1082404317 /nfs/dbraw/zinc/40/43/17/1082404317.db2.gz UXTLTHONQWOPLH-UHFFFAOYSA-N 0 1 306.287 3.486 20 30 DGEDMN CC1(C(=O)NCCNCc2ccccc2C#N)CCCCCC1 ZINC001129647768 1082492950 /nfs/dbraw/zinc/49/29/50/1082492950.db2.gz NIIPFFVCUADTEO-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccccc1C(C)(C)CC ZINC001130056669 1082520509 /nfs/dbraw/zinc/52/05/09/1082520509.db2.gz NEKRWPYLDSBGNB-UHFFFAOYSA-N 0 1 308.853 3.446 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@H](C)NCc2nocc2C)CC1 ZINC001267185154 1082609769 /nfs/dbraw/zinc/60/97/69/1082609769.db2.gz KAHAUFPPRZSUDT-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001267188395 1082614813 /nfs/dbraw/zinc/61/48/13/1082614813.db2.gz OGIQBJGYNNKJLG-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CCOC(=O)c1nc2ccc(NCc3ccccc3C#N)cc2[nH]1 ZINC001167632767 1082627343 /nfs/dbraw/zinc/62/73/43/1082627343.db2.gz BPYXRQJQIYPCLY-UHFFFAOYSA-N 0 1 320.352 3.223 20 30 DGEDMN CSc1cc(C)c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)o1 ZINC001131674475 1082704811 /nfs/dbraw/zinc/70/48/11/1082704811.db2.gz QBPGQQXDOMKYKK-UHFFFAOYSA-N 0 1 312.354 3.310 20 30 DGEDMN Cc1nc(C(C)C)oc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131674090 1082705208 /nfs/dbraw/zinc/70/52/08/1082705208.db2.gz INVMXFSSJKMDJP-UHFFFAOYSA-N 0 1 309.329 3.107 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3Cc4ccc(Cl)cc43)[nH]c2c1 ZINC001131674149 1082705367 /nfs/dbraw/zinc/70/53/67/1082705367.db2.gz KJXSBCPNQIOLRY-CYBMUJFWSA-N 0 1 322.755 3.366 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(-c2cccs2)o1 ZINC001267255152 1082709001 /nfs/dbraw/zinc/70/90/01/1082709001.db2.gz RUTZBIUGRQCCAC-UHFFFAOYSA-N 0 1 316.426 3.083 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001267272883 1082734223 /nfs/dbraw/zinc/73/42/23/1082734223.db2.gz ADTOPOFRHUEOBR-MRXNPFEDSA-N 0 1 320.864 3.384 20 30 DGEDMN CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131855676 1082749622 /nfs/dbraw/zinc/74/96/22/1082749622.db2.gz AKWNSNFLDYNYGE-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN CCC(CC)CC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001480930504 1082750984 /nfs/dbraw/zinc/75/09/84/1082750984.db2.gz SBMSDTOEKVWVPQ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2sc(C)nc2C)C1 ZINC001131899815 1082757828 /nfs/dbraw/zinc/75/78/28/1082757828.db2.gz QBAQXBPSQYQPML-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccc(F)c2)C1 ZINC001131895192 1082761590 /nfs/dbraw/zinc/76/15/90/1082761590.db2.gz GXFZZFIGGSVVEB-RHSMWYFYSA-N 0 1 304.409 3.261 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)N(C/C=C\c2ccccc2)C1 ZINC001132015884 1082797115 /nfs/dbraw/zinc/79/71/15/1082797115.db2.gz ARYKADFJVUYADK-XHKZUWJLSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2cnccc2C)C1 ZINC001132064169 1082811730 /nfs/dbraw/zinc/81/17/30/1082811730.db2.gz FPKZDCAWUSIBST-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cnccc2C)C1 ZINC001132064167 1082812424 /nfs/dbraw/zinc/81/24/24/1082812424.db2.gz FPKZDCAWUSIBST-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](F)CC)c1ccc(C(C)C)cc1 ZINC001267346597 1082823363 /nfs/dbraw/zinc/82/33/63/1082823363.db2.gz UBWHYDBDFOXZRK-MSOLQXFVSA-N 0 1 318.436 3.328 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](CCC)C(C)C)c1ccccc1 ZINC001267371227 1082840280 /nfs/dbraw/zinc/84/02/80/1082840280.db2.gz WRZGUUCOSMZYGI-ROUUACIJSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2scnc2C)C1 ZINC001132218319 1082844833 /nfs/dbraw/zinc/84/48/33/1082844833.db2.gz OYBKISRWVJAPCW-OCCSQVGLSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2cnccc2C)C1 ZINC001132218608 1082845103 /nfs/dbraw/zinc/84/51/03/1082845103.db2.gz SPWUEZIDNLGHKK-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC12CCCC2)c1ccccc1 ZINC001267377939 1082847896 /nfs/dbraw/zinc/84/78/96/1082847896.db2.gz UVHWZAYQLCMXIN-QZTJIDSGSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001132227408 1082848792 /nfs/dbraw/zinc/84/87/92/1082848792.db2.gz CYYKFKTXXVQUHU-HIFRSBDPSA-N 0 1 306.475 3.429 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCC=C(Cl)Cl)CCC1 ZINC001267424816 1082878839 /nfs/dbraw/zinc/87/88/39/1082878839.db2.gz DJVRFVJQOSJPLL-UHFFFAOYSA-N 0 1 305.249 3.100 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001267435503 1082881605 /nfs/dbraw/zinc/88/16/05/1082881605.db2.gz SBMOWSPYJJCKCP-OAHLLOKOSA-N 0 1 320.864 3.032 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(CCOCC)CCCC2)CC[C@H]1C ZINC001132381559 1082895092 /nfs/dbraw/zinc/89/50/92/1082895092.db2.gz YVHTZVPHFUVKNH-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001267471480 1082926225 /nfs/dbraw/zinc/92/62/25/1082926225.db2.gz HXICWBARGZJVLM-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC[C@H](C)N(CC#CC)C2)CCCC1 ZINC001132545335 1082938168 /nfs/dbraw/zinc/93/81/68/1082938168.db2.gz ABNDZTSMHMGIDV-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C(CC(C)C)CC(C)C)CC[C@H]1C ZINC001132537462 1082941288 /nfs/dbraw/zinc/94/12/88/1082941288.db2.gz PAYFHIGODTVHHZ-SJLPKXTDSA-N 0 1 306.494 3.297 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C/C=C/c2ccc(C)cc2)CC[C@@H]1C ZINC001132549577 1082943247 /nfs/dbraw/zinc/94/32/47/1082943247.db2.gz VPOKFMVGOFTLJA-IIMPKJCBSA-N 0 1 310.441 3.001 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132573121 1082949335 /nfs/dbraw/zinc/94/93/35/1082949335.db2.gz JLISUJNOFDRLMT-UONOGXRCSA-N 0 1 308.853 3.312 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132572793 1082949492 /nfs/dbraw/zinc/94/94/92/1082949492.db2.gz GFDNJCVFBAHQBK-QWHCGFSZSA-N 0 1 324.852 3.013 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132572886 1082949607 /nfs/dbraw/zinc/94/96/07/1082949607.db2.gz HKCIOWPJVRSRDO-QWHCGFSZSA-N 0 1 324.852 3.013 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132572888 1082949631 /nfs/dbraw/zinc/94/96/31/1082949631.db2.gz HKCIOWPJVRSRDO-STQMWFEESA-N 0 1 324.852 3.013 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1(CF)CCC1)C(C)C ZINC001267509311 1082967903 /nfs/dbraw/zinc/96/79/03/1082967903.db2.gz QOUUHRVZJRLSLS-UHFFFAOYSA-N 0 1 304.837 3.095 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)C(C)C ZINC001267512246 1082969868 /nfs/dbraw/zinc/96/98/68/1082969868.db2.gz FRKMOGNEOMAHNU-OEXSFMSHSA-N 0 1 324.896 3.248 20 30 DGEDMN C#CCN[C@H](CNC(=O)C#CC(C)(C)C)c1ccc(C(C)C)cc1 ZINC001267512349 1082972284 /nfs/dbraw/zinc/97/22/84/1082972284.db2.gz IFZULYJHFYTETM-LJQANCHMSA-N 0 1 324.468 3.240 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](CC)CC(F)F)C1 ZINC001267541839 1083008953 /nfs/dbraw/zinc/00/89/53/1083008953.db2.gz JKMRHHXDCLIKQL-LSDHHAIUSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3cc[nH]c32)C1 ZINC001267547076 1083016664 /nfs/dbraw/zinc/01/66/64/1083016664.db2.gz IKXGUONAGVRHDW-INIZCTEOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001267548454 1083019388 /nfs/dbraw/zinc/01/93/88/1083019388.db2.gz GDRYXTZISVBROG-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccsc2C)C1 ZINC001267555346 1083027942 /nfs/dbraw/zinc/02/79/42/1083027942.db2.gz FWUGVNRPUNOWJX-CYBMUJFWSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C)(C)C(C)C)C1 ZINC001267558884 1083034767 /nfs/dbraw/zinc/03/47/67/1083034767.db2.gz IVZSVRWZMUXKDG-AWEZNQCLSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C)(C)CCC)C1 ZINC001267565032 1083046172 /nfs/dbraw/zinc/04/61/72/1083046172.db2.gz XLYMIBUZXUPIIQ-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001267571064 1083061758 /nfs/dbraw/zinc/06/17/58/1083061758.db2.gz VNTCKOUNGQHPFB-HUUCEWRRSA-N 0 1 312.885 3.393 20 30 DGEDMN N#Cc1ccc(F)c(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)c1 ZINC001133190497 1083069799 /nfs/dbraw/zinc/06/97/99/1083069799.db2.gz PVDJNZNPQPEMCQ-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN N#Cc1cccc(CN2CCC3(C[C@H]3CCO)CC2)c1Cl ZINC001140808075 1083075026 /nfs/dbraw/zinc/07/50/26/1083075026.db2.gz JZSUPWKFJOJDCL-OAHLLOKOSA-N 0 1 304.821 3.196 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CN(C)CC=C(Cl)Cl)C1 ZINC001267586900 1083106932 /nfs/dbraw/zinc/10/69/32/1083106932.db2.gz BTCPSFXLIZZENG-LBPRGKRZSA-N 0 1 319.276 3.298 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cccc(Cl)c1F ZINC001133378659 1083109502 /nfs/dbraw/zinc/10/95/02/1083109502.db2.gz JMRMFINATWGEGS-MRXNPFEDSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cccc(F)c1Cl ZINC001133380745 1083110900 /nfs/dbraw/zinc/11/09/00/1083110900.db2.gz NUMVRWWBQDYYNH-INIZCTEOSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001133382901 1083111377 /nfs/dbraw/zinc/11/13/77/1083111377.db2.gz CTEVBXJIHVEOQD-KRWDZBQOSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@H](C)CC)CC1 ZINC001267598938 1083132823 /nfs/dbraw/zinc/13/28/23/1083132823.db2.gz WJTXDYKGNWFDRN-CYBMUJFWSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(C)c(C)c2)C1 ZINC001267601652 1083142409 /nfs/dbraw/zinc/14/24/09/1083142409.db2.gz PJICKCTXVTVPSO-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCC[N@@H+]2C[C@@H](F)CC)CCCCC1 ZINC001481070220 1083149174 /nfs/dbraw/zinc/14/91/74/1083149174.db2.gz HNTLWLRLZYQFAH-DLBZAZTESA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCCN2C[C@@H](F)CC)CCCCC1 ZINC001481070220 1083149177 /nfs/dbraw/zinc/14/91/77/1083149177.db2.gz HNTLWLRLZYQFAH-DLBZAZTESA-N 0 1 322.468 3.289 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H]1CCN(C/C=C\Cl)C1)c1ccccc1 ZINC001481126979 1083202869 /nfs/dbraw/zinc/20/28/69/1083202869.db2.gz NVLMIZINSYCYSL-MIUTZJKYSA-N 0 1 318.848 3.147 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(CCC)n1 ZINC001133887981 1083209576 /nfs/dbraw/zinc/20/95/76/1083209576.db2.gz DRRWMILFSWGRHM-KBPBESRZSA-N 0 1 323.506 3.435 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(CC(=C)Cl)C1 ZINC001087380999 1083239025 /nfs/dbraw/zinc/23/90/25/1083239025.db2.gz BQMILOKNVXTPBN-VGWMRTNUSA-N 0 1 322.880 3.120 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001267648187 1083245008 /nfs/dbraw/zinc/24/50/08/1083245008.db2.gz AHVLFERJVDWDQI-UHFFFAOYSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cccc(C(F)F)c2)C1 ZINC001267652053 1083247308 /nfs/dbraw/zinc/24/73/08/1083247308.db2.gz FHMDZYRIBTXBAY-ZDUSSCGKSA-N 0 1 322.399 3.322 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C(F)F)cc2)C1 ZINC001267661719 1083251326 /nfs/dbraw/zinc/25/13/26/1083251326.db2.gz HPXPFLPMGOMMDZ-LBPRGKRZSA-N 0 1 308.372 3.393 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@H](C)CC(C)(C)C ZINC001134255174 1083261249 /nfs/dbraw/zinc/26/12/49/1083261249.db2.gz SPXVAWCEUIFJPA-NSHDSACASA-N 0 1 319.287 3.063 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(CCCCC(F)(F)F)C1 ZINC001267691821 1083267192 /nfs/dbraw/zinc/26/71/92/1083267192.db2.gz VXTZLKWVYCCJNR-HNNXBMFYSA-N 0 1 320.399 3.369 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1occc1C(C)C ZINC001267711970 1083276857 /nfs/dbraw/zinc/27/68/57/1083276857.db2.gz JMJVYRZLRWKVON-GJZGRUSLSA-N 0 1 302.418 3.268 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccc3ccccc3c2)C1 ZINC001267723005 1083283482 /nfs/dbraw/zinc/28/34/82/1083283482.db2.gz UNYRWEAGFSUNHM-FQEVSTJZSA-N 0 1 320.436 3.334 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CCc2ccccc2Cl)C1 ZINC001267723809 1083283733 /nfs/dbraw/zinc/28/37/33/1083283733.db2.gz LCMDJPIYTBTQSK-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001134411682 1083285116 /nfs/dbraw/zinc/28/51/16/1083285116.db2.gz BEYOWNPVFAREJU-OAHLLOKOSA-N 0 1 322.399 3.260 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CCc2ccccc2Cl)C1 ZINC001267728140 1083286831 /nfs/dbraw/zinc/28/68/31/1083286831.db2.gz WLXYRGJCDRDKOZ-HNNXBMFYSA-N 0 1 320.864 3.285 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001134486194 1083296467 /nfs/dbraw/zinc/29/64/67/1083296467.db2.gz PFUHQBNYIBOHSO-ZIAGYGMSSA-N 0 1 323.506 3.435 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(Cl)cc2C(F)(F)F)CCC1=O ZINC001143505827 1083341559 /nfs/dbraw/zinc/34/15/59/1083341559.db2.gz OWXRJUPOSJGHMR-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001267785168 1083346565 /nfs/dbraw/zinc/34/65/65/1083346565.db2.gz CNQVLTLDNMCJKJ-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001267820331 1083378699 /nfs/dbraw/zinc/37/86/99/1083378699.db2.gz POXJUPBFZIYPPQ-NEPJUHHUSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2csc(C)n2)CCCC1 ZINC001267838647 1083423125 /nfs/dbraw/zinc/42/31/25/1083423125.db2.gz OUROWGKRSZNCNU-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN CCC[C@@H](C)CC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001181500436 1083480175 /nfs/dbraw/zinc/48/01/75/1083480175.db2.gz BNMFVLAZSMZUPV-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](NCc2csc(C)n2)CC1 ZINC001267928909 1083585661 /nfs/dbraw/zinc/58/56/61/1083585661.db2.gz HGCQHOXSJYDCTE-SHTZXODSSA-N 0 1 321.490 3.325 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@@H]1CCCCN1CC ZINC001182002148 1083602981 /nfs/dbraw/zinc/60/29/81/1083602981.db2.gz YMRUCTVYIOEXMU-RDJZCZTQSA-N 0 1 316.445 3.303 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C1(Cc2ccccc2)CCCCC1 ZINC001182214343 1083652424 /nfs/dbraw/zinc/65/24/24/1083652424.db2.gz WCTIFWBWJIAUPM-UHFFFAOYSA-N 0 1 308.385 3.413 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001182220315 1083653945 /nfs/dbraw/zinc/65/39/45/1083653945.db2.gz IUYCJKGVFLVAED-UONOGXRCSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001267964605 1083661277 /nfs/dbraw/zinc/66/12/77/1083661277.db2.gz ZTMUUJQCPPILAS-MVHRNJCLSA-N 0 1 319.276 3.488 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)N(C)CCNCc1ccccc1C#N ZINC001481301406 1083727345 /nfs/dbraw/zinc/72/73/45/1083727345.db2.gz IBSRNNFEEJIJGH-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN CN1CCN(Cc2cccc(F)c2C#N)[C@@H](Cc2ccccc2)C1 ZINC001182726296 1083789434 /nfs/dbraw/zinc/78/94/34/1083789434.db2.gz QMMJRXDXDMTUQK-SFHVURJKSA-N 0 1 323.415 3.056 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cc[nH]c2C)C1 ZINC001087423956 1083924698 /nfs/dbraw/zinc/92/46/98/1083924698.db2.gz BZIYHCNMCWBPSZ-RTBURBONSA-N 0 1 323.440 3.097 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2CC=CC2)C1 ZINC001087424331 1083935203 /nfs/dbraw/zinc/93/52/03/1083935203.db2.gz UNKXMAPQEAYWTA-RTBURBONSA-N 0 1 310.441 3.113 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2=CCCCCC2)C1 ZINC001481485107 1083998569 /nfs/dbraw/zinc/99/85/69/1083998569.db2.gz WKDMZCZHVSFWAQ-OAHLLOKOSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)CCC(C)(C)C)CC2 ZINC001268046931 1084003013 /nfs/dbraw/zinc/00/30/13/1084003013.db2.gz PGNBHSUPJGPFNR-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1oc(C(F)F)cc1C ZINC001268068092 1084094070 /nfs/dbraw/zinc/09/40/70/1084094070.db2.gz IBPPFDBSBGELMZ-SNVBAGLBSA-N 0 1 320.767 3.328 20 30 DGEDMN CCCC#CC(=O)Nc1ccc2c(Br)[nH]nc2c1 ZINC001184270599 1084131831 /nfs/dbraw/zinc/13/18/31/1084131831.db2.gz VCEGVVHEDKDFHF-UHFFFAOYSA-N 0 1 306.163 3.067 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)c1ccccc1F ZINC001268090478 1084140066 /nfs/dbraw/zinc/14/00/66/1084140066.db2.gz URVHFKNEFJSQKH-CHWSQXEVSA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(C)[C@H](CC(=O)NC1CN(CC2CCCCCC2)C1)OCC ZINC001481563839 1084149476 /nfs/dbraw/zinc/14/94/76/1084149476.db2.gz VCHCSDDFJRQYQN-SFHVURJKSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001481573674 1084154701 /nfs/dbraw/zinc/15/47/01/1084154701.db2.gz BBUFULJPDJWOGS-SECBINFHSA-N 0 1 316.232 3.090 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001481587716 1084227607 /nfs/dbraw/zinc/22/76/07/1084227607.db2.gz XYUUKWBEYZEYLU-INIZCTEOSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001481606799 1084250138 /nfs/dbraw/zinc/25/01/38/1084250138.db2.gz ARQIYMFYBQJFLY-BLLLJJGKSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](NCc2nccs2)C(C)C)CCC1 ZINC001268215903 1084340605 /nfs/dbraw/zinc/34/06/05/1084340605.db2.gz CSUJNCHXUMILSP-CQSZACIVSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001268224512 1084355182 /nfs/dbraw/zinc/35/51/82/1084355182.db2.gz LDLDTYDLGVRJFU-PBHICJAKSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3ccc(C)c(C)c3)C2)C1 ZINC001481644963 1084378321 /nfs/dbraw/zinc/37/83/21/1084378321.db2.gz DQWXCZYDLWNYGW-UHFFFAOYSA-N 0 1 312.457 3.208 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc(CCC)c(C)s1 ZINC001268257180 1084422580 /nfs/dbraw/zinc/42/25/80/1084422580.db2.gz ULEBYGKOTNEMFG-UHFFFAOYSA-N 0 1 306.475 3.036 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001087438715 1084454869 /nfs/dbraw/zinc/45/48/69/1084454869.db2.gz MJFLDCJJBVSCKR-CEXWTWQISA-N 0 1 324.468 3.337 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001087439363 1084475096 /nfs/dbraw/zinc/47/50/96/1084475096.db2.gz TVKPBUSPGJEESA-IYWMVGAKSA-N 0 1 324.468 3.193 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[N@H+](Cc2c(C)cccc2Cl)C1 ZINC001481699855 1084490488 /nfs/dbraw/zinc/49/04/88/1084490488.db2.gz RYZZHVRBZSZYQV-CQSZACIVSA-N 0 1 306.837 3.305 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2c(C)cccc2Cl)C1 ZINC001481699855 1084490492 /nfs/dbraw/zinc/49/04/92/1084490492.db2.gz RYZZHVRBZSZYQV-CQSZACIVSA-N 0 1 306.837 3.305 20 30 DGEDMN CC(C)CCCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001185834505 1084536450 /nfs/dbraw/zinc/53/64/50/1084536450.db2.gz UZBSXFIUKJXMCY-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001481740051 1084557116 /nfs/dbraw/zinc/55/71/16/1084557116.db2.gz JYCXQMSJVUDXBC-JSGCOSHPSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001481740052 1084557144 /nfs/dbraw/zinc/55/71/44/1084557144.db2.gz JYCXQMSJVUDXBC-OCCSQVGLSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)[C@H](F)CC2CCCCC2)C1 ZINC001481746215 1084568082 /nfs/dbraw/zinc/56/80/82/1084568082.db2.gz BXRYPAQYQKUTSJ-IAGOWNOFSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCCCC(=O)N(CC)CCN[C@@H](C)c1cc(F)ccc1F ZINC001268447538 1084603304 /nfs/dbraw/zinc/60/33/04/1084603304.db2.gz AFKZTCDUMDDVOS-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001481776019 1084628768 /nfs/dbraw/zinc/62/87/68/1084628768.db2.gz UOFNLWVYXSJKNR-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NCc1ncc(C)s1 ZINC001481814641 1084648360 /nfs/dbraw/zinc/64/83/60/1084648360.db2.gz GPZZTAKCCOONTP-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001268470370 1084676085 /nfs/dbraw/zinc/67/60/85/1084676085.db2.gz QTGRUFSZDGXXGM-MRXNPFEDSA-N 0 1 314.473 3.410 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(c2ccccc2C)CC1 ZINC001481885274 1084688129 /nfs/dbraw/zinc/68/81/29/1084688129.db2.gz PXWANPHFLWFPGK-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H](C)c2ccccc2)C1 ZINC001268482080 1084702503 /nfs/dbraw/zinc/70/25/03/1084702503.db2.gz NEPNYGHQKFLRBM-HOTGVXAUSA-N 0 1 300.446 3.335 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H](C)c2ccccc2)C1 ZINC001268482081 1084702590 /nfs/dbraw/zinc/70/25/90/1084702590.db2.gz NEPNYGHQKFLRBM-HZPDHXFCSA-N 0 1 300.446 3.335 20 30 DGEDMN C=CCC1(C(=O)NC2CN(C[C@@H]3CC[C@H]4C[C@H]4C3)C2)CCCC1 ZINC001268496075 1084722849 /nfs/dbraw/zinc/72/28/49/1084722849.db2.gz NPFIRBLGYWJHME-IXDOHACOSA-N 0 1 316.489 3.360 20 30 DGEDMN CCCCCCCN1C[C@@H](NC(=O)c2cccs2)[C@H](OC)C1 ZINC001211793152 1084756915 /nfs/dbraw/zinc/75/69/15/1084756915.db2.gz MYDANJLGFZKPDE-HUUCEWRRSA-N 0 1 324.490 3.148 20 30 DGEDMN CCN1[C@H](C)CN(c2ncc(C#N)c3ccsc32)C[C@@H]1C ZINC001187445730 1084804085 /nfs/dbraw/zinc/80/40/85/1084804085.db2.gz ONGXAMCKRCOJLN-TXEJJXNPSA-N 0 1 300.431 3.087 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3cc(N)ccc3F)sc2C1 ZINC001211821732 1084840843 /nfs/dbraw/zinc/84/08/43/1084840843.db2.gz JMYMXZATTQCZJL-UHFFFAOYSA-N 0 1 302.378 3.073 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(N)ccc3F)sc2C1 ZINC001211821732 1084840845 /nfs/dbraw/zinc/84/08/45/1084840845.db2.gz JMYMXZATTQCZJL-UHFFFAOYSA-N 0 1 302.378 3.073 20 30 DGEDMN C=CCC1(C(=O)NC/C=C\CNCc2ccns2)CCCC1 ZINC001268632066 1084903286 /nfs/dbraw/zinc/90/32/86/1084903286.db2.gz GBNTXACJHVXAPR-WAYWQWQTSA-N 0 1 319.474 3.042 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001268681430 1084941775 /nfs/dbraw/zinc/94/17/75/1084941775.db2.gz DVIHVQWGBLGTSY-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@H](C)C(CC)CC ZINC001268693835 1084952958 /nfs/dbraw/zinc/95/29/58/1084952958.db2.gz NSFUDWAJFRCSIW-NWDGAFQWSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2ccc(C(C)(C)C)cc2)C1 ZINC001268704334 1084959760 /nfs/dbraw/zinc/95/97/60/1084959760.db2.gz YKZPYVQAGNBUSE-UHFFFAOYSA-N 0 1 314.473 3.498 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(c2ccccc2)CC1(C)C ZINC001268709245 1084965604 /nfs/dbraw/zinc/96/56/04/1084965604.db2.gz LCYBHINRBBLJLA-KBXCAEBGSA-N 0 1 320.864 3.201 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(CCCC)cc1 ZINC001268738171 1085002965 /nfs/dbraw/zinc/00/29/65/1085002965.db2.gz PHGOFBXAGMZUBG-INIZCTEOSA-N 0 1 300.446 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1coc(C2CC2)n1 ZINC001268813158 1085060409 /nfs/dbraw/zinc/06/04/09/1085060409.db2.gz OVOAPTORDDAEFL-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001482198764 1085080790 /nfs/dbraw/zinc/08/07/90/1085080790.db2.gz AMXKHECXKSPWSG-MRXNPFEDSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1nc(C)cs1)C1CC1 ZINC001269120631 1085134032 /nfs/dbraw/zinc/13/40/32/1085134032.db2.gz QJPXYOFUYHOKHU-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(CCCc2c(F)cccc2F)C1 ZINC001269118444 1085135722 /nfs/dbraw/zinc/13/57/22/1085135722.db2.gz WQYSDDJFVIBGIM-CQSZACIVSA-N 0 1 322.399 3.054 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCc2cc(C)sc2C)C1 ZINC001269199593 1085197480 /nfs/dbraw/zinc/19/74/80/1085197480.db2.gz LTNJJRPPNCKZRB-MRXNPFEDSA-N 0 1 306.475 3.064 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001269221418 1085214424 /nfs/dbraw/zinc/21/44/24/1085214424.db2.gz JWWWESQGJOOPJQ-PBHICJAKSA-N 0 1 304.409 3.386 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001269235386 1085225575 /nfs/dbraw/zinc/22/55/75/1085225575.db2.gz KHUOSCPGEGAFBG-IAGOWNOFSA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001269233651 1085225919 /nfs/dbraw/zinc/22/59/19/1085225919.db2.gz KJSIJKHUKCMLHL-QAPCUYQASA-N 0 1 316.420 3.223 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001269269427 1085251818 /nfs/dbraw/zinc/25/18/18/1085251818.db2.gz ZTXUQFYXSQVNNN-MAUKXSAKSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001269288020 1085270707 /nfs/dbraw/zinc/27/07/07/1085270707.db2.gz YSTBOWATTPYOLE-MJGOQNOKSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2c(C)noc2C)C1 ZINC001269330681 1085309870 /nfs/dbraw/zinc/30/98/70/1085309870.db2.gz JWEUOSWZKLCZSJ-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001269345439 1085321411 /nfs/dbraw/zinc/32/14/11/1085321411.db2.gz QYWJFQJVBYFJGV-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1nccc2ccccc21 ZINC001269461000 1085397717 /nfs/dbraw/zinc/39/77/17/1085397717.db2.gz NALAXUPZNCAYPM-AWEZNQCLSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NC/C(Cl)=C\Cl)C1 ZINC001269467547 1085401917 /nfs/dbraw/zinc/40/19/17/1085401917.db2.gz WUKJYYGWXRXWES-YTRUQHMWSA-N 0 1 305.249 3.146 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CCCCCC ZINC001269601804 1085488665 /nfs/dbraw/zinc/48/86/65/1085488665.db2.gz OYPBJXHFUVZVGL-KSZLIROESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(C)CCC(=O)N1CCC2(CN(CCC(F)(F)F)C2)CC1 ZINC001482244372 1085574004 /nfs/dbraw/zinc/57/40/04/1085574004.db2.gz SOSUMHLOBCRMBH-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H](C)C(C)(F)F)C1 ZINC001269849241 1085584940 /nfs/dbraw/zinc/58/49/40/1085584940.db2.gz LPHDFTRVJDUDTF-CYBMUJFWSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@H](C)c2ccccc2)C1 ZINC001269886300 1085599696 /nfs/dbraw/zinc/59/96/96/1085599696.db2.gz DSPGTOAVPCDZAT-INIZCTEOSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)oc2C)C[C@@H]1C ZINC001269939189 1085622946 /nfs/dbraw/zinc/62/29/46/1085622946.db2.gz WZATVWHTAJUFEY-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN CCCCCC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001270007282 1085650915 /nfs/dbraw/zinc/65/09/15/1085650915.db2.gz WIHKHWYPZWRGBG-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001499898064 1085681174 /nfs/dbraw/zinc/68/11/74/1085681174.db2.gz YIOHKXWQNAWHSX-YQCJOKCJSA-N 0 1 305.249 3.242 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1csc(CC)n1 ZINC001270120760 1085700931 /nfs/dbraw/zinc/70/09/31/1085700931.db2.gz IFASYEHWPGDCOB-HNNXBMFYSA-N 0 1 321.490 3.142 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001270145053 1085710014 /nfs/dbraw/zinc/71/00/14/1085710014.db2.gz YBVBSOWAQBKBLH-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001270174382 1085721961 /nfs/dbraw/zinc/72/19/61/1085721961.db2.gz MDBKTTRKXLKNJZ-IXDOHACOSA-N 0 1 318.848 3.123 20 30 DGEDMN C#CCN(C(=O)[C@H](C)C(CC)CC)C1CCN(CC#CC)CC1 ZINC001270326009 1085772941 /nfs/dbraw/zinc/77/29/41/1085772941.db2.gz OFXHTVLNQKQUTI-QGZVFWFLSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CCCC1 ZINC001270434569 1085811392 /nfs/dbraw/zinc/81/13/92/1085811392.db2.gz YSIGISUDVWPDSR-JJXSEGSLSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2cc(C)cn2c1 ZINC001482293676 1085841109 /nfs/dbraw/zinc/84/11/09/1085841109.db2.gz RYOHOKHAIOEKEO-CQSZACIVSA-N 0 1 319.836 3.050 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2cnccc2C)C1 ZINC001270591001 1085874600 /nfs/dbraw/zinc/87/46/00/1085874600.db2.gz SMGPZUPOSMFKTC-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)CC2(C)CCCC2)C1 ZINC001270648885 1085916449 /nfs/dbraw/zinc/91/64/49/1085916449.db2.gz DYQCOITVDOTBGN-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)CC2(C)CCCC2)C1 ZINC001270648888 1085918103 /nfs/dbraw/zinc/91/81/03/1085918103.db2.gz DYQCOITVDOTBGN-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001270701316 1085952065 /nfs/dbraw/zinc/95/20/65/1085952065.db2.gz QVXWVDQAFXGUIW-INIZCTEOSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@H](C)CNCc2nc(C)cs2)CC1 ZINC001482327508 1086009240 /nfs/dbraw/zinc/00/92/40/1086009240.db2.gz LNBGAKSPPFELSD-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN N#CCc1cc2ccccc2nc1N(C(=N)N)c1ccc(F)cc1 ZINC001167993809 1086058892 /nfs/dbraw/zinc/05/88/92/1086058892.db2.gz VCEQCYSWFRXMSX-UHFFFAOYSA-N 0 1 319.343 3.472 20 30 DGEDMN CO/N=C/c1cc(N(C(=N)N)c2ccc(F)cc2)cc(F)c1F ZINC001167995264 1086059338 /nfs/dbraw/zinc/05/93/38/1086059338.db2.gz YQZDUBOLSAQLNG-ODCIPOBUSA-N 0 1 322.290 3.116 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H](C)CC(C)C)CC2 ZINC001270864467 1086086228 /nfs/dbraw/zinc/08/62/28/1086086228.db2.gz WKQRYFOWNQVISC-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Br)CNC[C@H](CC(C)C)NC(=O)[C@H](C)CC ZINC001482378453 1086101946 /nfs/dbraw/zinc/10/19/46/1086101946.db2.gz SPYOQJQLWMQQRT-YPMHNXCESA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2CC(C)(C)C2)CC1 ZINC001270878809 1086119435 /nfs/dbraw/zinc/11/94/35/1086119435.db2.gz CCHYFRLZOSATNR-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C1CCCCC1 ZINC001482409663 1086136299 /nfs/dbraw/zinc/13/62/99/1086136299.db2.gz APGQJFJVFNKQHC-GVDBMIGSSA-N 0 1 324.896 3.440 20 30 DGEDMN C#CCCCCC(=O)NC[C@]1(C)CCCN(C/C=C\Cl)C1 ZINC001270949326 1086211641 /nfs/dbraw/zinc/21/16/41/1086211641.db2.gz CLFJINSXLMWXOE-NLZINVLXSA-N 0 1 310.869 3.151 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(CCC)s2)C1 ZINC001270965282 1086220555 /nfs/dbraw/zinc/22/05/55/1086220555.db2.gz DTLWPBCIEIFTHJ-GOSISDBHSA-N 0 1 318.486 3.166 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2c(C)cccc2Cl)C1 ZINC001270991487 1086233972 /nfs/dbraw/zinc/23/39/72/1086233972.db2.gz OSECXHIMMSMDFX-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C[C@H](C)CC(C)C)C1 ZINC001271099644 1086293104 /nfs/dbraw/zinc/29/31/04/1086293104.db2.gz DYHVSUXUCSKATC-HUUCEWRRSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101337776 1086299921 /nfs/dbraw/zinc/29/99/21/1086299921.db2.gz KWYGSJDYFORCLL-HDDWDUMVSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@H]1CC ZINC001087584176 1086316540 /nfs/dbraw/zinc/31/65/40/1086316540.db2.gz RBIZBUFUTQZEMC-NWDGAFQWSA-N 0 1 312.866 3.479 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(Cl)cs1 ZINC001271128814 1086348035 /nfs/dbraw/zinc/34/80/35/1086348035.db2.gz ILJDJFJGYLGRIG-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(F)c3ccccc23)[C@H]1CC ZINC001087736063 1086385583 /nfs/dbraw/zinc/38/55/83/1086385583.db2.gz WSUNRZJEJNYRGL-RBUKOAKNSA-N 0 1 324.399 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@H]1CC ZINC001087736107 1086386082 /nfs/dbraw/zinc/38/60/82/1086386082.db2.gz ZCXBPPKKQHKGIZ-DLBZAZTESA-N 0 1 322.408 3.163 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(CC)CC)no2)[C@H]1CC ZINC001087753294 1086397926 /nfs/dbraw/zinc/39/79/26/1086397926.db2.gz AVUCNYGBCMASLN-GOEBONIOSA-N 0 1 319.449 3.347 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1CC ZINC001087817876 1086426123 /nfs/dbraw/zinc/42/61/23/1086426123.db2.gz NJZDGCJWCVKBFJ-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)[C@H]1CC ZINC001087840572 1086438866 /nfs/dbraw/zinc/43/88/66/1086438866.db2.gz CYMQXWPYCFOZFQ-DLBZAZTESA-N 0 1 324.424 3.266 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)c3c2CCC3)[C@H]1CC ZINC001087842649 1086439777 /nfs/dbraw/zinc/43/97/77/1086439777.db2.gz DBVUBXFTJDRUMT-VQTJNVASSA-N 0 1 324.468 3.090 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1sccc1CC ZINC001271215332 1086545697 /nfs/dbraw/zinc/54/56/97/1086545697.db2.gz FLZUHRKGEWZSHL-LLVKDONJSA-N 0 1 300.855 3.113 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C(CC)CC ZINC001482483951 1086598210 /nfs/dbraw/zinc/59/82/10/1086598210.db2.gz FEKSYEXFNAZYII-SWLSCSKDSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1coc(C)c1)c1ccccc1CC ZINC001271444398 1086633195 /nfs/dbraw/zinc/63/31/95/1086633195.db2.gz AAZVLLHSYQRAHO-IBGZPJMESA-N 0 1 324.424 3.234 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1coc(C)c1)c1ccccc1CC ZINC001271444399 1086633226 /nfs/dbraw/zinc/63/32/26/1086633226.db2.gz AAZVLLHSYQRAHO-LJQANCHMSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN[C@H](CNC(=O)C/C=C(/C)C=C)c1ccccc1CC ZINC001271445745 1086634232 /nfs/dbraw/zinc/63/42/32/1086634232.db2.gz IOYVHYOBEFXMMW-KVVHSQDJSA-N 0 1 310.441 3.152 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@H](C)NCc2coc(C)n2)C1 ZINC001271635479 1086692989 /nfs/dbraw/zinc/69/29/89/1086692989.db2.gz KNKGYQWJPODEPM-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1nc(C)oc1C)C(C)C ZINC001271891007 1086810943 /nfs/dbraw/zinc/81/09/43/1086810943.db2.gz BAEHTZSEBRKVFM-QAPCUYQASA-N 0 1 321.465 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@@H](NCc2cnc(C)o2)C1 ZINC001088360210 1086860513 /nfs/dbraw/zinc/86/05/13/1086860513.db2.gz TTZZALJPMCWIIP-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1cc(F)ccc1C ZINC001482591856 1087015375 /nfs/dbraw/zinc/01/53/75/1087015375.db2.gz PXOFRTWXZQHAJJ-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CC)CCCCC ZINC001272043500 1087023666 /nfs/dbraw/zinc/02/36/66/1087023666.db2.gz MTPJTHAAYQQWGB-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001482595116 1087025196 /nfs/dbraw/zinc/02/51/96/1087025196.db2.gz LTNBVAQFKCAZSL-AWEZNQCLSA-N 0 1 322.399 3.075 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001272072046 1087055181 /nfs/dbraw/zinc/05/51/81/1087055181.db2.gz RRSDZWGUTAFHHM-HYMNUWHNSA-N 0 1 322.880 3.430 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(F)F)ccc2F)[C@H]1C ZINC001088572343 1087073579 /nfs/dbraw/zinc/07/35/79/1087073579.db2.gz ISGDJCHFYVDLMM-YGRLFVJLSA-N 0 1 312.335 3.142 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc(C2CC2)oc1C1CC1 ZINC001482614931 1087078526 /nfs/dbraw/zinc/07/85/26/1087078526.db2.gz UOZRQJYQTLHSCW-UHFFFAOYSA-N 0 1 314.429 3.062 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(CCC)CC3)CC[C@@H]21 ZINC001482662299 1087105861 /nfs/dbraw/zinc/10/58/61/1087105861.db2.gz JNSGSOCCZRMTPJ-GJZGRUSLSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)CCC(C)(C)C)[C@@H]2C1 ZINC001272085628 1087113223 /nfs/dbraw/zinc/11/32/23/1087113223.db2.gz RVYHFVOBORFMIE-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN CCCC#CC(=O)N1CC2(CC[N@@H+]2C[C@@H](CC)CCCC)C1 ZINC001272109715 1087207698 /nfs/dbraw/zinc/20/76/98/1087207698.db2.gz RMFAYTFFSUHHMX-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCC#CC(=O)N1CC2(CCN2C[C@@H](CC)CCCC)C1 ZINC001272109715 1087207700 /nfs/dbraw/zinc/20/77/00/1087207700.db2.gz RMFAYTFFSUHHMX-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2cc3sccc3s2)[C@H]1C ZINC001088664824 1087249426 /nfs/dbraw/zinc/24/94/26/1087249426.db2.gz IHNMXNJIHGOBFR-NEPJUHHUSA-N 0 1 318.467 3.179 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3CCCC3)cc2)[C@H]1C ZINC001088751200 1087331701 /nfs/dbraw/zinc/33/17/01/1087331701.db2.gz CTIMACGMTWDSRA-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1cc(OC)ccc1Cl ZINC001483131572 1087395443 /nfs/dbraw/zinc/39/54/43/1087395443.db2.gz CEPBJCUCOLVEFR-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN CC[C@H](NCCNC(=O)C#CC(C)(C)C)c1ccc(F)cc1F ZINC001126915820 1087398401 /nfs/dbraw/zinc/39/84/01/1087398401.db2.gz JAWGUIMXMMUQTA-INIZCTEOSA-N 0 1 322.399 3.171 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001483161944 1087408530 /nfs/dbraw/zinc/40/85/30/1087408530.db2.gz GRKNJSVKBAQGKR-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)C(CC)CC)CC2)C1 ZINC001105689962 1087426458 /nfs/dbraw/zinc/42/64/58/1087426458.db2.gz AFQGNXLTNYSLNA-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001483220524 1087427612 /nfs/dbraw/zinc/42/76/12/1087427612.db2.gz FPIHWSKGAPFLAQ-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001483230807 1087432484 /nfs/dbraw/zinc/43/24/84/1087432484.db2.gz MIUGDBWDHUQELY-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)COc1ccc(C(C)C)cc1 ZINC001483245504 1087449077 /nfs/dbraw/zinc/44/90/77/1087449077.db2.gz RHBBDJCJZDQKNV-AWEZNQCLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)C(CC)(CC)CC ZINC001483278091 1087456212 /nfs/dbraw/zinc/45/62/12/1087456212.db2.gz RGJRJSDNIDREJC-LBPRGKRZSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)/C=C(/C)CC)CC2)C1 ZINC001105706981 1087458796 /nfs/dbraw/zinc/45/87/96/1087458796.db2.gz HUYYLVWQZGVCDV-SSZFMOIBSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(OC2CN(C[C@H](C)CC)C2)CC1 ZINC001105709712 1087477278 /nfs/dbraw/zinc/47/72/78/1087477278.db2.gz TXSKLGRDYBEOQP-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CCC(C)(C)CC1)C1CC1 ZINC001483607959 1087534426 /nfs/dbraw/zinc/53/44/26/1087534426.db2.gz PHAIVAALVSRNJM-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCC(=O)N1CCC(OC2CN(C[C@@H](C)CC)C2)CC1 ZINC001105721439 1087543175 /nfs/dbraw/zinc/54/31/75/1087543175.db2.gz BCSDZWCHDFPSLS-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN Cc1cccc(C)c1CN1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001483649060 1087603212 /nfs/dbraw/zinc/60/32/12/1087603212.db2.gz IQKRRTLEDOIGNM-QGZVFWFLSA-N 0 1 312.457 3.043 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001483653447 1087605879 /nfs/dbraw/zinc/60/58/79/1087605879.db2.gz PKMVXSJRNLUWEC-SFHVURJKSA-N 0 1 300.446 3.084 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001088999267 1087617828 /nfs/dbraw/zinc/61/78/28/1087617828.db2.gz PJPBUDOUAQPWPE-YGRLFVJLSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-c3cccs3)o2)[C@H]1C ZINC001089015111 1087619676 /nfs/dbraw/zinc/61/96/76/1087619676.db2.gz COXCIMSRUXROMA-OLZOCXBDSA-N 0 1 316.426 3.387 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc3c2CCCC3)[C@H]1C ZINC001089026067 1087623499 /nfs/dbraw/zinc/62/34/99/1087623499.db2.gz RAMPOTPOQXIXLZ-DOMZBBRYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCCC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccns1 ZINC001099098793 1087631912 /nfs/dbraw/zinc/63/19/12/1087631912.db2.gz WPNXYQXMILFUGU-AWEZNQCLSA-N 0 1 321.490 3.330 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(C)cc1F ZINC001272399327 1087690279 /nfs/dbraw/zinc/69/02/79/1087690279.db2.gz ZTRQCPUYVVSCRS-QZTJIDSGSA-N 0 1 316.420 3.276 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC/C=C/c1ccccc1 ZINC001493693221 1087710070 /nfs/dbraw/zinc/71/00/70/1087710070.db2.gz MNLIVJGQZJNPIQ-UXBLZVDNSA-N 0 1 306.837 3.281 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2c[nH]c3ccc(F)cc23)c1 ZINC000176400705 1087724742 /nfs/dbraw/zinc/72/47/42/1087724742.db2.gz RBJWCFFMAVIPBX-UHFFFAOYSA-N 0 1 309.300 3.065 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@@H]2c2cc(F)ccc2F)c1 ZINC000176407103 1087726996 /nfs/dbraw/zinc/72/69/96/1087726996.db2.gz WMEOHJQJKBJPQX-YPMHNXCESA-N 0 1 314.291 3.284 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2cccc(F)c2F)c1 ZINC000176409290 1087728127 /nfs/dbraw/zinc/72/81/27/1087728127.db2.gz HLTUOPZKHWUHQL-UHFFFAOYSA-N 0 1 302.280 3.113 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1cccc(C2CC2)c1 ZINC001158269761 1087752978 /nfs/dbraw/zinc/75/29/78/1087752978.db2.gz BUTONDHFMWTLNG-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@H]1CC=CCC1 ZINC001151831098 1087788405 /nfs/dbraw/zinc/78/84/05/1087788405.db2.gz RTVIXNRRUNAJGC-QUCCMNQESA-N 0 1 324.468 3.221 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc[nH]c1C(C)C ZINC001158357591 1087790187 /nfs/dbraw/zinc/79/01/87/1087790187.db2.gz KFJZZMFDFRECBK-MRXNPFEDSA-N 0 1 315.461 3.088 20 30 DGEDMN CCCCC[C@H](CC)C(=O)NCCNCC#Cc1ccccc1 ZINC001151889564 1087812189 /nfs/dbraw/zinc/81/21/89/1087812189.db2.gz FCYQNRYWPCEOMS-IBGZPJMESA-N 0 1 314.473 3.350 20 30 DGEDMN CCCCC(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001151925705 1087832991 /nfs/dbraw/zinc/83/29/91/1087832991.db2.gz WOHPQHQZWIAYEL-CYBMUJFWSA-N 0 1 307.463 3.137 20 30 DGEDMN COc1cc(C#N)c(F)cc1NC(=O)c1nc2cccc(C)c2[nH]1 ZINC001151993114 1087861506 /nfs/dbraw/zinc/86/15/06/1087861506.db2.gz YMSNHHOOMPWOCK-UHFFFAOYSA-N 0 1 324.315 3.143 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](CN[C@@H](C)c2ncc(C)o2)[C@H](C)C1 ZINC001106194622 1087875700 /nfs/dbraw/zinc/87/57/00/1087875700.db2.gz UXYNWVYWAHHRPC-VNQPRFMTSA-N 0 1 319.449 3.084 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cc(F)ccc1Cl ZINC001158519089 1087886454 /nfs/dbraw/zinc/88/64/54/1087886454.db2.gz SRQNSKZZYXRPNZ-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(F)ccc1Cl ZINC001158519089 1087886484 /nfs/dbraw/zinc/88/64/84/1087886484.db2.gz SRQNSKZZYXRPNZ-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN CCN1CCN(c2nc(C)c(Cl)c(C)c2C#N)C(C)(C)C1 ZINC001158561486 1087914745 /nfs/dbraw/zinc/91/47/45/1087914745.db2.gz RCBHTKILUHAOFV-UHFFFAOYSA-N 0 1 306.841 3.144 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001152189386 1087916244 /nfs/dbraw/zinc/91/62/44/1087916244.db2.gz SGZGAUKCLXLBIR-ZKTNYTPDSA-N 0 1 312.457 3.221 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cc(CC)c(C)s1 ZINC001158837217 1088061230 /nfs/dbraw/zinc/06/12/30/1088061230.db2.gz KNJPOIRMJBKHQV-MRXNPFEDSA-N 0 1 318.486 3.179 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(CC)c(C)s1 ZINC001158837217 1088061247 /nfs/dbraw/zinc/06/12/47/1088061247.db2.gz KNJPOIRMJBKHQV-MRXNPFEDSA-N 0 1 318.486 3.179 20 30 DGEDMN Cc1cnc(N2CCC(C#N)(c3ccccc3F)CC2)c(N)c1 ZINC001158888945 1088084321 /nfs/dbraw/zinc/08/43/21/1088084321.db2.gz HFEAQAQYVTXYPM-UHFFFAOYSA-N 0 1 310.376 3.173 20 30 DGEDMN C=C[C@H](C(=O)N1CCCC[C@@H]1CN(C)CC#CC)c1ccccc1 ZINC001158900420 1088090702 /nfs/dbraw/zinc/09/07/02/1088090702.db2.gz FFAMLCXPDUKIPV-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCC1(C(=O)NCCNCc2ccccc2F)CCCCC1 ZINC001153009871 1088139775 /nfs/dbraw/zinc/13/97/75/1088139775.db2.gz XSQNWHDCSNFEMP-UHFFFAOYSA-N 0 1 316.420 3.005 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1cccc2ccc(C)nc21 ZINC001153969708 1088421437 /nfs/dbraw/zinc/42/14/37/1088421437.db2.gz BAKBLFCNPXVYHB-MRXNPFEDSA-N 0 1 323.440 3.007 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C2=CCCC2)CC(C)(C)C1 ZINC001089357028 1088463195 /nfs/dbraw/zinc/46/31/95/1088463195.db2.gz HJHGFRAGAUZIAH-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cccc(F)c2Cl)CC1 ZINC001483758733 1088533215 /nfs/dbraw/zinc/53/32/15/1088533215.db2.gz LQTAHPJDZGFWCU-UHFFFAOYSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2CC2(F)F)CC(C)(C)C1 ZINC001089381765 1088554735 /nfs/dbraw/zinc/55/47/35/1088554735.db2.gz NMKFHSYLJLEWGB-NWDGAFQWSA-N 0 1 320.811 3.001 20 30 DGEDMN Cc1cnc(NC2(C#N)CCN(Cc3ccccc3)CC2)c(C)n1 ZINC001160100102 1088640420 /nfs/dbraw/zinc/64/04/20/1088640420.db2.gz QZBQXBXMBSXFQI-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001154584555 1088659606 /nfs/dbraw/zinc/65/96/06/1088659606.db2.gz MXMDHBLIELGYQP-CYBMUJFWSA-N 0 1 323.506 3.380 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160242326 1088718291 /nfs/dbraw/zinc/71/82/91/1088718291.db2.gz MQLGFNDTBLLHLE-MRXNPFEDSA-N 0 1 310.457 3.475 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001154751445 1088743647 /nfs/dbraw/zinc/74/36/47/1088743647.db2.gz XDESJZPHEHJIQS-HZPDHXFCSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2c[nH]cc2C)CC(C)(C)C1 ZINC001089559881 1088747282 /nfs/dbraw/zinc/74/72/82/1088747282.db2.gz SRKBAQVCIGTFSH-CQSZACIVSA-N 0 1 323.868 3.296 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(F)cc1 ZINC001089593463 1088781892 /nfs/dbraw/zinc/78/18/92/1088781892.db2.gz KWXFXEIKZWAECV-QGZVFWFLSA-N 0 1 316.420 3.069 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccoc1Cl ZINC001089630491 1088852439 /nfs/dbraw/zinc/85/24/39/1088852439.db2.gz KXOLKEKJTLMNMB-GFCCVEGCSA-N 0 1 310.825 3.339 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccoc1CC ZINC001089635312 1088862603 /nfs/dbraw/zinc/86/26/03/1088862603.db2.gz OQWMNZSJHKNAKG-OAHLLOKOSA-N 0 1 316.445 3.086 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sccc2C(C)C)CC1 ZINC001483793326 1088891036 /nfs/dbraw/zinc/89/10/36/1088891036.db2.gz BASKTAQFDFIWIH-UHFFFAOYSA-N 0 1 312.866 3.476 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc2occc2[nH]1 ZINC001089649127 1088902361 /nfs/dbraw/zinc/90/23/61/1088902361.db2.gz BOZCYSFXKUBUGR-ZDUSSCGKSA-N 0 1 315.417 3.167 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1conc1C(C)C ZINC001089649999 1088912813 /nfs/dbraw/zinc/91/28/13/1088912813.db2.gz RCPDWXGKHAHWOD-AWEZNQCLSA-N 0 1 319.449 3.204 20 30 DGEDMN C=C1CCC(F)=CC1=NC(F)(F)c1cc[n+]([O-])c(Cl)c1 ZINC001160719105 1088928905 /nfs/dbraw/zinc/92/89/05/1088928905.db2.gz CHQACNRICIJRTN-UHFFFAOYSA-N 0 1 302.683 3.310 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001483827386 1088929943 /nfs/dbraw/zinc/92/99/43/1088929943.db2.gz QOUBSEVKXAMKKV-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001483834250 1088936730 /nfs/dbraw/zinc/93/67/30/1088936730.db2.gz ZPMFVSRQENLIJC-JGZYNSJSSA-N 0 1 322.880 3.247 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC=CCCC1 ZINC001089657084 1089020776 /nfs/dbraw/zinc/02/07/76/1089020776.db2.gz QXCRAUVRPLTSIO-ROUUACIJSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160968012 1089046454 /nfs/dbraw/zinc/04/64/54/1089046454.db2.gz PZWNJNANWSNCIL-HMZQOCAUSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CCCC)C1CCN(CC#CC)CC1 ZINC001483980760 1089066835 /nfs/dbraw/zinc/06/68/35/1089066835.db2.gz ODIWRVRBINGBPD-GOSISDBHSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC001484046070 1089126760 /nfs/dbraw/zinc/12/67/60/1089126760.db2.gz ZYHDHEXZEWGYTF-SFHVURJKSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCN(C(=O)[C@H]1NCCc2cc(F)ccc21)C1CCCCC1 ZINC001161248202 1089168654 /nfs/dbraw/zinc/16/86/54/1089168654.db2.gz SZOOGVFVVRGVNW-SFHVURJKSA-N 0 1 316.420 3.360 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1occc1CC ZINC001089691554 1089187723 /nfs/dbraw/zinc/18/77/23/1089187723.db2.gz RUTYPGCITSKOLD-MRXNPFEDSA-N 0 1 316.445 3.086 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC001161364125 1089211912 /nfs/dbraw/zinc/21/19/12/1089211912.db2.gz VVUWWNSBHCAINB-FQEVSTJZSA-N 0 1 324.468 3.027 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC001161364126 1089212101 /nfs/dbraw/zinc/21/21/01/1089212101.db2.gz VVUWWNSBHCAINB-HXUWFJFHSA-N 0 1 324.468 3.027 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001161390401 1089222867 /nfs/dbraw/zinc/22/28/67/1089222867.db2.gz FIMKVNILHHLYBG-SFHVURJKSA-N 0 1 324.468 3.342 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CCC2(C)C)CC1 ZINC001484140611 1089226238 /nfs/dbraw/zinc/22/62/38/1089226238.db2.gz GCFIHDWSFFGSKM-AWEZNQCLSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC001161530866 1089274898 /nfs/dbraw/zinc/27/48/98/1089274898.db2.gz WRZMTKDFUXHLRX-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC(C)(C)CC)C1 ZINC001484195960 1089282518 /nfs/dbraw/zinc/28/25/18/1089282518.db2.gz OOZHCRFNUGJDAN-CQSZACIVSA-N 0 1 316.436 3.462 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(C(=O)N2CCCCC2)cc(Cl)n1 ZINC001155887511 1089287799 /nfs/dbraw/zinc/28/77/99/1089287799.db2.gz NBESUYINVMDMNQ-FLIBITNWSA-N 0 1 321.808 3.266 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ncccc1Cl ZINC001089723566 1089302190 /nfs/dbraw/zinc/30/21/90/1089302190.db2.gz LYUFQLOTRJPPHM-ZDUSSCGKSA-N 0 1 321.852 3.141 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001089724426 1089305742 /nfs/dbraw/zinc/30/57/42/1089305742.db2.gz VVNUJVAIGQXSSS-BZSNNMDCSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)c(C)c1 ZINC001089730136 1089306996 /nfs/dbraw/zinc/30/69/96/1089306996.db2.gz WUCXLDOAWXZSJF-GOSISDBHSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001089727200 1089308432 /nfs/dbraw/zinc/30/84/32/1089308432.db2.gz ZHAIZKCGLDXOPF-GBESFXJTSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc2ccccc2[nH]1 ZINC001089731242 1089311300 /nfs/dbraw/zinc/31/13/00/1089311300.db2.gz NVZVJTCMPPNFCJ-MRXNPFEDSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCc2cc(C)cc(C)c2)CC1 ZINC001161645496 1089327769 /nfs/dbraw/zinc/32/77/69/1089327769.db2.gz IKODTIKWICDRAS-UHFFFAOYSA-N 0 1 324.468 3.008 20 30 DGEDMN CCc1ccccc1[C@H](CNC(C)=O)NCc1cccc(C#N)c1 ZINC001484322621 1089332208 /nfs/dbraw/zinc/33/22/08/1089332208.db2.gz GKDBWNXGEYMYTH-FQEVSTJZSA-N 0 1 321.424 3.088 20 30 DGEDMN CCN1CCCC[C@H]1C(=O)N(O)Cc1cccc2ccccc21 ZINC001161842754 1089435253 /nfs/dbraw/zinc/43/52/53/1089435253.db2.gz NJJNKZHNZYHGOS-SFHVURJKSA-N 0 1 312.413 3.432 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@H]3CCCC[N@@H+]3CC)cc2n1 ZINC001161854337 1089443755 /nfs/dbraw/zinc/44/37/55/1089443755.db2.gz LKUOBRKTDRHRCR-QGZVFWFLSA-N 0 1 312.417 3.035 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@H]3CCCCN3CC)cc2n1 ZINC001161854337 1089443759 /nfs/dbraw/zinc/44/37/59/1089443759.db2.gz LKUOBRKTDRHRCR-QGZVFWFLSA-N 0 1 312.417 3.035 20 30 DGEDMN C=C[C@H](C(=O)NCCC1=CCN(CCF)CC1)c1ccccc1 ZINC001161925115 1089474586 /nfs/dbraw/zinc/47/45/86/1089474586.db2.gz XJVRYSLREDBDTO-SFHVURJKSA-N 0 1 316.420 3.064 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)c1ccccc1 ZINC001272684483 1089480526 /nfs/dbraw/zinc/48/05/26/1089480526.db2.gz WICZASSWGLWNRX-KRWDZBQOSA-N 0 1 320.436 3.172 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CCC(C)C ZINC001484552448 1089486189 /nfs/dbraw/zinc/48/61/89/1089486189.db2.gz BJUXPZKMISBHHH-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1C=CCCC1 ZINC001484554197 1089489202 /nfs/dbraw/zinc/48/92/02/1089489202.db2.gz RGPPRZFVUFKHDN-KRWDZBQOSA-N 0 1 310.441 3.114 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(F)c(C)c1 ZINC001272685523 1089525341 /nfs/dbraw/zinc/52/53/41/1089525341.db2.gz AXCIVYFFRZXMHA-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)c(C)o1 ZINC001484614709 1089537221 /nfs/dbraw/zinc/53/72/21/1089537221.db2.gz VCFRVBFOJLJKMB-CQSZACIVSA-N 0 1 310.825 3.233 20 30 DGEDMN CCC[C@@H](C)C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001484628511 1089553667 /nfs/dbraw/zinc/55/36/67/1089553667.db2.gz WAQHTZADFVOJEA-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN CN1CCC(C#N)(Nc2cc(F)cc3ccc(Cl)nc32)CC1 ZINC001162227545 1089620002 /nfs/dbraw/zinc/62/00/02/1089620002.db2.gz XGCAJESGBKYZGM-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2conc2CC)CC1 ZINC001484721868 1089632988 /nfs/dbraw/zinc/63/29/88/1089632988.db2.gz CJNUVCYKENLBJV-INIZCTEOSA-N 0 1 319.449 3.064 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001484735230 1089646792 /nfs/dbraw/zinc/64/67/92/1089646792.db2.gz PVXCHKHUEGQWRG-CXUHLZMHSA-N 0 1 313.445 3.195 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cccc4cn[nH]c43)cc2n1 ZINC001156690468 1089648748 /nfs/dbraw/zinc/64/87/48/1089648748.db2.gz MRLSBQGATROCNI-UHFFFAOYSA-N 0 1 317.352 3.351 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2cnoc2C)CC1 ZINC001484756855 1089663541 /nfs/dbraw/zinc/66/35/41/1089663541.db2.gz FOUJFLVNBXMTGL-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)/C(C)=C\CC)O2 ZINC001484781241 1089674273 /nfs/dbraw/zinc/67/42/73/1089674273.db2.gz KGYCADATJGNNIC-IFORROHYSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)C[C@@H](C)CCC)O2 ZINC001484785355 1089676190 /nfs/dbraw/zinc/67/61/90/1089676190.db2.gz SJKAMVXMTLLSPL-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001484822198 1089701971 /nfs/dbraw/zinc/70/19/71/1089701971.db2.gz NWKNROSFFUKXIJ-PDANQNNMSA-N 0 1 324.468 3.462 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)[C@@H](C)CC)cc2C1 ZINC001484876211 1089718218 /nfs/dbraw/zinc/71/82/18/1089718218.db2.gz ZTCVEEWNXIOLPE-HOTGVXAUSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCCOCC)cc2C1 ZINC001484875078 1089719331 /nfs/dbraw/zinc/71/93/31/1089719331.db2.gz SJRRDMBMACYLME-UHFFFAOYSA-N 0 1 316.445 3.011 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](CC=C)c2ccccc2)C(C)(C)C1 ZINC001484943121 1089774623 /nfs/dbraw/zinc/77/46/23/1089774623.db2.gz VDQQDLHLFDXPKG-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001485004943 1089839227 /nfs/dbraw/zinc/83/92/27/1089839227.db2.gz TYOBQBDBBPSPHH-IBGZPJMESA-N 0 1 312.457 3.306 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001485005982 1089846640 /nfs/dbraw/zinc/84/66/40/1089846640.db2.gz ZHSRROWGAYPELP-HZMYXVCSSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCOC(=O)[C@@H](Nc1cc2[nH]ccc2c(C)n1)[C@@H](C)CC ZINC001157186601 1089865446 /nfs/dbraw/zinc/86/54/46/1089865446.db2.gz POCFWHMAAQQCFQ-ZBEGNZNMSA-N 0 1 301.390 3.427 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1CCC(F)(F)C1 ZINC001485037286 1089872078 /nfs/dbraw/zinc/87/20/78/1089872078.db2.gz MEIDFTHLGPXETF-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)C=C(C)C ZINC001162681947 1089881197 /nfs/dbraw/zinc/88/11/97/1089881197.db2.gz MLQQVHBTGUVQRP-UHFFFAOYSA-N 0 1 320.864 3.366 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(Cl)c(F)c1 ZINC001485070351 1089905483 /nfs/dbraw/zinc/90/54/83/1089905483.db2.gz VSMDHCQLAKJVQJ-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C(F)F)c1 ZINC001485078450 1089930319 /nfs/dbraw/zinc/93/03/19/1089930319.db2.gz SEUQZZWRVRTLQV-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]([C@@H]2CCN(CC(=C)Cl)C2)C1 ZINC001485083021 1089941017 /nfs/dbraw/zinc/94/10/17/1089941017.db2.gz RLUREOMFAVTUIQ-HZPDHXFCSA-N 0 1 310.869 3.266 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001485123823 1089977563 /nfs/dbraw/zinc/97/75/63/1089977563.db2.gz HIDTVRZCLJWJIJ-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1nccc2ccsc21 ZINC001485156916 1089997732 /nfs/dbraw/zinc/99/77/32/1089997732.db2.gz IQCPEEOOFSXSQZ-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C\Cl ZINC001485179938 1090005897 /nfs/dbraw/zinc/00/58/97/1090005897.db2.gz KXCZQTBQEQWMKQ-FYLRKMKASA-N 0 1 307.265 3.391 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001485189537 1090020657 /nfs/dbraw/zinc/02/06/57/1090020657.db2.gz NPODMBKHUQGFSO-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccccc1Cl ZINC001485213497 1090033549 /nfs/dbraw/zinc/03/35/49/1090033549.db2.gz AUTHPGNAMHPXEX-WDEREUQCSA-N 0 1 301.217 3.189 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C(C)(C)CC(F)(F)F ZINC001485212863 1090033588 /nfs/dbraw/zinc/03/35/88/1090033588.db2.gz XPSXFRFUPPTYOJ-UWVGGRQHSA-N 0 1 314.779 3.200 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1ccc(C)s1 ZINC001485233151 1090040170 /nfs/dbraw/zinc/04/01/70/1090040170.db2.gz CMZFNTNNYHFMTD-STQMWFEESA-N 0 1 314.882 3.224 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C(C)=C1CCCC1 ZINC001157790923 1090111207 /nfs/dbraw/zinc/11/12/07/1090111207.db2.gz NHWNTVTZKYYTRR-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN Cc1cc(Cl)c(C#N)c(N[C@H]2CNCc3ccsc32)n1 ZINC001163221018 1090121204 /nfs/dbraw/zinc/12/12/04/1090121204.db2.gz ZUSFBMDSUYMVJG-LBPRGKRZSA-N 0 1 304.806 3.233 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001157978193 1090163410 /nfs/dbraw/zinc/16/34/10/1090163410.db2.gz OXLJIRWMWQPKEZ-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H](C)C(F)(F)F ZINC001485469197 1090169986 /nfs/dbraw/zinc/16/99/86/1090169986.db2.gz PRNUFGCZAQOUQE-QWRGUYRKSA-N 0 1 314.779 3.202 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C2CCC=CCC2)C1 ZINC001493976021 1090172554 /nfs/dbraw/zinc/17/25/54/1090172554.db2.gz AQUORGLZDBJMGO-GOSISDBHSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001158123826 1090186954 /nfs/dbraw/zinc/18/69/54/1090186954.db2.gz DHIVNDKSMNUSHJ-RBUKOAKNSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCCCN2C[C@H](F)CC)C1 ZINC001485481543 1090187078 /nfs/dbraw/zinc/18/70/78/1090187078.db2.gz DPUBCSUSTJUTRT-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@H](C)C1CN(CC=C)C1)c1ccccc1 ZINC001485541414 1090204234 /nfs/dbraw/zinc/20/42/34/1090204234.db2.gz TZFKVRGNIQAQER-VQIMIIECSA-N 0 1 312.457 3.359 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CN(Cc2ccsc2)CC1(C)C ZINC001485578284 1090216311 /nfs/dbraw/zinc/21/63/11/1090216311.db2.gz WIZAUURNCNRIOZ-MRXNPFEDSA-N 0 1 318.486 3.268 20 30 DGEDMN CC(C)n1cccc(N=C(N)c2ccc(C(F)(F)F)cc2)c1=O ZINC001163685819 1090232676 /nfs/dbraw/zinc/23/26/76/1090232676.db2.gz SYEIGAOYFAWMGB-UHFFFAOYSA-N 0 1 323.318 3.485 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](CC)CC(C)C)C2)C1 ZINC001485666309 1090234713 /nfs/dbraw/zinc/23/47/13/1090234713.db2.gz JJWLAYPHZMMNJE-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN COc1cccc(CCNc2c[nH]c3c(C#N)cnc-3c2)c1OC ZINC001163693239 1090235035 /nfs/dbraw/zinc/23/50/35/1090235035.db2.gz KXSSQMOGSCJQTG-UHFFFAOYSA-N 0 1 322.368 3.106 20 30 DGEDMN COC(=O)c1cc(N=C(C)CC(C)=O)nc(-c2ccccc2)c1 ZINC001163736989 1090246079 /nfs/dbraw/zinc/24/60/79/1090246079.db2.gz JVSADCZMRSJWAY-XFXZXTDPSA-N 0 1 310.353 3.440 20 30 DGEDMN C=CCCC(=O)NCCC1(CNC/C(Cl)=C/Cl)CC1 ZINC001163767826 1090253930 /nfs/dbraw/zinc/25/39/30/1090253930.db2.gz VDACOUGZHJNRSG-XFXZXTDPSA-N 0 1 305.249 3.148 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC001485761813 1090268442 /nfs/dbraw/zinc/26/84/42/1090268442.db2.gz CNACMAZWPFVCCK-CQSZACIVSA-N 0 1 321.490 3.168 20 30 DGEDMN CC1(C#N)CN(c2nccc3c2ccn3Cc2ccccc2)C1 ZINC001163949833 1090294668 /nfs/dbraw/zinc/29/46/68/1090294668.db2.gz WUQOEHMNDBUXOL-UHFFFAOYSA-N 0 1 302.381 3.434 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCCC2 ZINC001299259264 1090378140 /nfs/dbraw/zinc/37/81/40/1090378140.db2.gz LFISEWZOKAAWFY-HNNXBMFYSA-N 0 1 309.413 3.206 20 30 DGEDMN C[C@@H]1CN(c2ncc(F)cc2C#N)[C@@H](C)CN1Cc1ccccc1 ZINC001165202641 1090480383 /nfs/dbraw/zinc/48/03/83/1090480383.db2.gz XGOHVYQGAWLOCP-CABCVRRESA-N 0 1 324.403 3.192 20 30 DGEDMN C=C(C)CCC(=O)NCCC1(CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001165258724 1090490612 /nfs/dbraw/zinc/49/06/12/1090490612.db2.gz NKCPJOZYTHKKRP-HNNXBMFYSA-N 0 1 319.449 3.276 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CCC(C)C)C1 ZINC001107723856 1090493882 /nfs/dbraw/zinc/49/38/82/1090493882.db2.gz CYVWORQQCSQUOW-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)/C(C)=C/CC)C1 ZINC001107782989 1090501808 /nfs/dbraw/zinc/50/18/08/1090501808.db2.gz BYTAPZVXQVCJHN-GTENMVSRSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CN(CCC2CCCCC2)CCO1 ZINC001107801963 1090506624 /nfs/dbraw/zinc/50/66/24/1090506624.db2.gz FOZMMVRIKUYOQA-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CC2=CCCCC2)C1 ZINC001107815274 1090507784 /nfs/dbraw/zinc/50/77/84/1090507784.db2.gz PBRCOVPAJSWLLO-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)CCC2CC2)C1 ZINC001107830286 1090510745 /nfs/dbraw/zinc/51/07/45/1090510745.db2.gz JQQJCROTAYIRCY-IBGZPJMESA-N 0 1 324.509 3.354 20 30 DGEDMN CCCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)/C=C/C2CC2)C1 ZINC001107841219 1090513891 /nfs/dbraw/zinc/51/38/91/1090513891.db2.gz KBSPVYXFRKOTLZ-VYENPZKTSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)/C=C/C2CC2)C1 ZINC001107841219 1090513894 /nfs/dbraw/zinc/51/38/94/1090513894.db2.gz KBSPVYXFRKOTLZ-VYENPZKTSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001107876610 1090523997 /nfs/dbraw/zinc/52/39/97/1090523997.db2.gz LSSWIDOAUZEENE-QFBILLFUSA-N 0 1 324.509 3.210 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C2=CCCCCC2)C1 ZINC001107893339 1090530098 /nfs/dbraw/zinc/53/00/98/1090530098.db2.gz BNTWXPLOTKZWPP-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=C1CCC(C(=O)NCCCNCc2ncoc2C(C)C)CC1 ZINC001165906622 1090560021 /nfs/dbraw/zinc/56/00/21/1090560021.db2.gz DQSSSPSOGIIODV-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001107970997 1090593712 /nfs/dbraw/zinc/59/37/12/1090593712.db2.gz LLLHCQYRDOTZFC-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CN(CC/C=C\CCC)CCO1 ZINC001107976658 1090606426 /nfs/dbraw/zinc/60/64/26/1090606426.db2.gz SAGAUIUWAKCQOB-QULHZPMSSA-N 0 1 322.493 3.296 20 30 DGEDMN COc1nc(N=C(C)CC(=O)OC(C)C)c(C#N)c(C)c1Cl ZINC001166728552 1090647224 /nfs/dbraw/zinc/64/72/24/1090647224.db2.gz OHWKAJCNGNHIQN-TWGQIWQCSA-N 0 1 323.780 3.191 20 30 DGEDMN CCC(C)(C)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001128144797 1090684805 /nfs/dbraw/zinc/68/48/05/1090684805.db2.gz RIXQBZROZLFPJN-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1c(Cl)cccc1OC ZINC001485852684 1090708524 /nfs/dbraw/zinc/70/85/24/1090708524.db2.gz CPRURGVUCDUWHZ-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1oc(CC)nc1C ZINC001485877734 1090718893 /nfs/dbraw/zinc/71/88/93/1090718893.db2.gz PSMRTMGUCWQIOI-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CC1(c2ccccc2)CC1 ZINC001485883700 1090719268 /nfs/dbraw/zinc/71/92/68/1090719268.db2.gz FAOLYZNPQGIUCB-OAHLLOKOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2ccc(F)cc2F)C1 ZINC001485922020 1090728901 /nfs/dbraw/zinc/72/89/01/1090728901.db2.gz XLKCZPLFGCMBEQ-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Br)CN[C@H]1CCN(C(=O)CCCCC)[C@@H]1C ZINC001486070451 1090741896 /nfs/dbraw/zinc/74/18/96/1090741896.db2.gz CZPLTZKSOQNBCQ-OLZOCXBDSA-N 0 1 317.271 3.054 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2nc(C)c(C)s2)C[C@@H]1C ZINC001486122793 1090755271 /nfs/dbraw/zinc/75/52/71/1090755271.db2.gz UEZWVYRDIMNDKR-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN CC#CCCCC(=O)N[C@]12CCC[C@H]1N(C/C=C/Cl)CC2 ZINC001486149769 1090764812 /nfs/dbraw/zinc/76/48/12/1090764812.db2.gz PVHQPDXBPGEHAX-MKPCRDANSA-N 0 1 308.853 3.046 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN(CC#CC)[C@H]2C)CCCC1 ZINC001486186710 1090782352 /nfs/dbraw/zinc/78/23/52/1090782352.db2.gz LIMXOAJEJYUAGV-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H](NCC(=C)Cl)C(C)(C)C2)CCC1 ZINC001486239854 1090797480 /nfs/dbraw/zinc/79/74/80/1090797480.db2.gz GOXYRFMKPPTYGQ-AWEZNQCLSA-N 0 1 310.869 3.312 20 30 DGEDMN N#Cc1ccccc1O[C@@H]1CCCN(Cc2cccc(O)c2)C1 ZINC001203863652 1090822339 /nfs/dbraw/zinc/82/23/39/1090822339.db2.gz JHWFDEDTHPDSEP-GOSISDBHSA-N 0 1 308.381 3.307 20 30 DGEDMN N#Cc1ccccc1OC1CCN(Cc2cccc(O)c2)CC1 ZINC001203864408 1090822788 /nfs/dbraw/zinc/82/27/88/1090822788.db2.gz VVECXKHKRKYQLP-UHFFFAOYSA-N 0 1 308.381 3.307 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001486407131 1090824280 /nfs/dbraw/zinc/82/42/80/1090824280.db2.gz INQKGCWWVYWGRY-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001486419523 1090829361 /nfs/dbraw/zinc/82/93/61/1090829361.db2.gz CKFQSRPUXXNVIZ-INIZCTEOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1ccc(Cl)cc1)CC2 ZINC001203919888 1090838907 /nfs/dbraw/zinc/83/89/07/1090838907.db2.gz OOYXALRFVJOJOO-UHFFFAOYSA-N 0 1 318.848 3.483 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CC(NCc2ncoc2C(C)C)C1 ZINC001486474995 1090849878 /nfs/dbraw/zinc/84/98/78/1090849878.db2.gz WCGBSUWXJSGIJV-UHFFFAOYSA-N 0 1 319.449 3.089 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CN(Cc3ccc(F)cc3)C2)C1 ZINC001276432915 1090857812 /nfs/dbraw/zinc/85/78/12/1090857812.db2.gz QRRGTVZIKSZGSV-AWEZNQCLSA-N 0 1 316.420 3.119 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CCCC2CC2)c(F)c1 ZINC001486525019 1090868986 /nfs/dbraw/zinc/86/89/86/1090868986.db2.gz UPDUWESNXKVVKE-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001276440593 1090902206 /nfs/dbraw/zinc/90/22/06/1090902206.db2.gz ZWIAGXJUNXLCRA-MAUKXSAKSA-N 0 1 300.446 3.049 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cc3ccccc3o2)C1=O ZINC001272964907 1090935273 /nfs/dbraw/zinc/93/52/73/1090935273.db2.gz SCWFZWFBDCGVMG-LJQANCHMSA-N 0 1 310.397 3.186 20 30 DGEDMN C[C@@H](c1ccccc1)N1CCN(Cc2ccccc2C#N)CC1 ZINC001204371162 1090957473 /nfs/dbraw/zinc/95/74/73/1090957473.db2.gz FMBPMWPFKZYOGJ-KRWDZBQOSA-N 0 1 305.425 3.437 20 30 DGEDMN CCCc1noc([C@H]2CCCN(Cc3ccccc3C#N)C2)n1 ZINC001204373811 1090958553 /nfs/dbraw/zinc/95/85/53/1090958553.db2.gz VPRLMSPLTZJMFE-INIZCTEOSA-N 0 1 310.401 3.273 20 30 DGEDMN C=CCOC[C@]12CCCO[C@H]1CCN(Cc1nc(C)cs1)C2 ZINC001204375889 1090959782 /nfs/dbraw/zinc/95/97/82/1090959782.db2.gz BVKYQJXPJHRLEV-DOTOQJQBSA-N 0 1 322.474 3.025 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001276468947 1090983801 /nfs/dbraw/zinc/98/38/01/1090983801.db2.gz PIHBDEJNUNINGB-IAGOWNOFSA-N 0 1 314.473 3.268 20 30 DGEDMN COC(=O)c1c(F)ccc(-c2nccc3[nH]cc(C#N)c32)c1F ZINC001204713059 1091021002 /nfs/dbraw/zinc/02/10/02/1091021002.db2.gz ICKQMMKOQUPESJ-UHFFFAOYSA-N 0 1 313.263 3.166 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2CC(C)(C)C)CCC1 ZINC001273084365 1091031179 /nfs/dbraw/zinc/03/11/79/1091031179.db2.gz LITGNJFKQUHIGY-UONOGXRCSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108114217 1091094569 /nfs/dbraw/zinc/09/45/69/1091094569.db2.gz BWMTUKDXFMOALJ-SGQUHAKNSA-N 0 1 322.493 3.152 20 30 DGEDMN CC(C)CN(CCC#N)CC(C)(C)CNC(=O)OC(C)(C)C ZINC001205081444 1091097114 /nfs/dbraw/zinc/09/71/14/1091097114.db2.gz HWSPYWLMYXQVFC-UHFFFAOYSA-N 0 1 311.470 3.409 20 30 DGEDMN CN1CCCc2cc(CN3CCc4ccc(C#N)cc4C3)ccc21 ZINC001138354294 1091149972 /nfs/dbraw/zinc/14/99/72/1091149972.db2.gz DDDKRSAAXDCYFU-UHFFFAOYSA-N 0 1 317.436 3.499 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108150477 1091153697 /nfs/dbraw/zinc/15/36/97/1091153697.db2.gz DCMDHMAQEFAPAZ-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3ccc(C)cc3)CC2)cc1 ZINC001138554665 1091228989 /nfs/dbraw/zinc/22/89/89/1091228989.db2.gz CVIJYUXKZFKKNG-UHFFFAOYSA-N 0 1 320.436 3.329 20 30 DGEDMN N#Cc1cc(CN2CC[C@@H](Oc3ccc(F)cc3)C2)ccc1F ZINC001205479083 1091231544 /nfs/dbraw/zinc/23/15/44/1091231544.db2.gz RUWCJFXGDGARIC-QGZVFWFLSA-N 0 1 314.335 3.490 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)C[N@@H+](CC/C=C\CCC)CCO1 ZINC001108168449 1091256115 /nfs/dbraw/zinc/25/61/15/1091256115.db2.gz ANMWPYUYNMOOFD-QWUACUGRSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CN(CC/C=C\CCC)CCO1 ZINC001108168449 1091256123 /nfs/dbraw/zinc/25/61/23/1091256123.db2.gz ANMWPYUYNMOOFD-QWUACUGRSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCc1cccc(CN2CCN(Cc3ccco3)CC2)c1O ZINC001138629432 1091262620 /nfs/dbraw/zinc/26/26/20/1091262620.db2.gz YRYRMJZDBIFGJA-UHFFFAOYSA-N 0 1 312.413 3.032 20 30 DGEDMN C=C(C)COc1ccccc1CN1C[C@@H]2COC[C@H](C1)C2(F)F ZINC001138665071 1091280234 /nfs/dbraw/zinc/28/02/34/1091280234.db2.gz FRQICFWSFCMOIP-IYBDPMFKSA-N 0 1 323.383 3.355 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@]2(CCC(=O)O2)C1 ZINC001138666482 1091281944 /nfs/dbraw/zinc/28/19/44/1091281944.db2.gz UXDSABQGAZRXBB-IBGZPJMESA-N 0 1 315.413 3.313 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(-c3ccc(F)cc3)cc2)CCC1=O ZINC001138682800 1091284799 /nfs/dbraw/zinc/28/47/99/1091284799.db2.gz BDIBDBQKODSZLB-KRWDZBQOSA-N 0 1 308.356 3.407 20 30 DGEDMN C=CCCCCNC(=O)c1cc(Br)cc(F)c1O ZINC001138713672 1091295486 /nfs/dbraw/zinc/29/54/86/1091295486.db2.gz BSXLPBFZSQXUND-UHFFFAOYSA-N 0 1 316.170 3.380 20 30 DGEDMN N#CCc1cc(F)ccc1-c1ccc(CCN2CCOCC2)cc1 ZINC001205672697 1091305886 /nfs/dbraw/zinc/30/58/86/1091305886.db2.gz RPRUVSVXVOWIMA-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN Cc1cc(CN2CCC(Cc3ccc(C#N)cc3)CC2)nn1C ZINC001138829419 1091345616 /nfs/dbraw/zinc/34/56/16/1091345616.db2.gz RUSQCKZUZDOTNN-UHFFFAOYSA-N 0 1 308.429 3.055 20 30 DGEDMN Cc1cccnc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001205807492 1091358038 /nfs/dbraw/zinc/35/80/38/1091358038.db2.gz OCPNBUDHRUBQFP-QGZVFWFLSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108190270 1091376125 /nfs/dbraw/zinc/37/61/25/1091376125.db2.gz SQMVIDQRKXCKSE-OALUTQOASA-N 0 1 324.509 3.376 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cnc2[nH]ccc2c1Cl ZINC001138935535 1091382927 /nfs/dbraw/zinc/38/29/27/1091382927.db2.gz GAUUYXZKRZVWMX-UOJUARBOSA-N 0 1 300.793 3.434 20 30 DGEDMN C#Cc1ccc(CNCc2nc3ccc(Cl)cc3n2C)cc1 ZINC001205881095 1091391978 /nfs/dbraw/zinc/39/19/78/1091391978.db2.gz BRVUBQRJJGDNRS-UHFFFAOYSA-N 0 1 309.800 3.498 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C2(CC)CC2)C1 ZINC001108193211 1091430036 /nfs/dbraw/zinc/43/00/36/1091430036.db2.gz BOKOXJOENHOFKE-GOSISDBHSA-N 0 1 324.509 3.354 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(CCCC)cc3)[C@@H]2C1 ZINC001084500389 1091496229 /nfs/dbraw/zinc/49/62/29/1091496229.db2.gz LEMZZFYIEMGUPY-RTBURBONSA-N 0 1 312.457 3.362 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C4CCC4)CCC3)[C@@H]2C1 ZINC001084552157 1091504419 /nfs/dbraw/zinc/50/44/19/1091504419.db2.gz WGBGPNWQXZUYRR-NVXWUHKLSA-N 0 1 302.462 3.066 20 30 DGEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1C[C@@H](C)CC2)c1ccccc1 ZINC001295198885 1091524838 /nfs/dbraw/zinc/52/48/38/1091524838.db2.gz OFJQIHPEICUJLS-XJKSGUPXSA-N 0 1 307.397 3.029 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccccc3C3(C)CC3)[C@@H]2C1 ZINC001084656223 1091527809 /nfs/dbraw/zinc/52/78/09/1091527809.db2.gz VUPZZYOYCJCGPL-VQIMIIECSA-N 0 1 324.468 3.461 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3ccc(C)c(C)c3)[C@@H]2C1 ZINC001084710330 1091547407 /nfs/dbraw/zinc/54/74/07/1091547407.db2.gz XSGVHWBIWXRHGT-IYWMVGAKSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]c4ccc(C)cc4c3C)[C@@H]2C1 ZINC001084750486 1091553642 /nfs/dbraw/zinc/55/36/42/1091553642.db2.gz QMUHHTCCKAEDOK-CRAIPNDOSA-N 0 1 323.440 3.117 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3[nH]c4ccc(C)cc4c3C)[C@@H]2C1 ZINC001084750486 1091553647 /nfs/dbraw/zinc/55/36/47/1091553647.db2.gz QMUHHTCCKAEDOK-CRAIPNDOSA-N 0 1 323.440 3.117 20 30 DGEDMN COC[C@@H]1CCCN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139423399 1091555205 /nfs/dbraw/zinc/55/52/05/1091555205.db2.gz FGENKYFCHIMDQX-KRWDZBQOSA-N 0 1 323.396 3.356 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CCCC[C@@H]3CO)cn2)cc1 ZINC001139424400 1091555574 /nfs/dbraw/zinc/55/55/74/1091555574.db2.gz PZLQFZKZCWMUJC-QGZVFWFLSA-N 0 1 323.396 3.092 20 30 DGEDMN C[C@@H]1C[C@@H](O)CCN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139427471 1091555904 /nfs/dbraw/zinc/55/59/04/1091555904.db2.gz HKVCYTZNVILGPT-PBHICJAKSA-N 0 1 323.396 3.091 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c(C)csc3Cl)[C@@H]2C1 ZINC001084798282 1091571021 /nfs/dbraw/zinc/57/10/21/1091571021.db2.gz IVDNZZLPGFWTLW-VXGBXAGGSA-N 0 1 310.850 3.042 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCCc4ccccc43)[C@@H]2C1 ZINC001084858029 1091596673 /nfs/dbraw/zinc/59/66/73/1091596673.db2.gz GZOMGFQINWOQBH-YZGWKJHDSA-N 0 1 324.468 3.215 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001084872692 1091601544 /nfs/dbraw/zinc/60/15/44/1091601544.db2.gz WYVSZLQXVMEZNZ-FGTMMUONSA-N 0 1 316.489 3.149 20 30 DGEDMN N#Cc1cccc2c(CN3CCC[C@@H]3c3cnccn3)c[nH]c21 ZINC001139703137 1091646070 /nfs/dbraw/zinc/64/60/70/1091646070.db2.gz KECUSBGBCNWDBR-QGZVFWFLSA-N 0 1 303.369 3.167 20 30 DGEDMN Cc1ccc(CN2CCCc3cc(O)c(O)cc3C2)cc1C#N ZINC001139726123 1091654034 /nfs/dbraw/zinc/65/40/34/1091654034.db2.gz UBERINFECFVDAY-UHFFFAOYSA-N 0 1 308.381 3.226 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3nccnc3C)C2)cc1C#N ZINC001139727248 1091656857 /nfs/dbraw/zinc/65/68/57/1091656857.db2.gz KSHCRMNAZZAEML-SFHVURJKSA-N 0 1 322.412 3.009 20 30 DGEDMN C#CCN1CCN(Cc2ccc3oc4ccccc4c3c2)CC1 ZINC001139754971 1091666544 /nfs/dbraw/zinc/66/65/44/1091666544.db2.gz QFFSSCODQAJIHM-UHFFFAOYSA-N 0 1 304.393 3.337 20 30 DGEDMN C=CCn1cc(CN2CCC(OCc3ccccc3)CC2)cn1 ZINC001139770766 1091667486 /nfs/dbraw/zinc/66/74/86/1091667486.db2.gz ZULGQWMXFVHSRR-UHFFFAOYSA-N 0 1 311.429 3.250 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc([C@H](C)CC)cc3)C[C@@H]21 ZINC001085101277 1091670034 /nfs/dbraw/zinc/67/00/34/1091670034.db2.gz BOUJATKCBRKNOL-POAQFYNOSA-N 0 1 324.468 3.370 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC([C@H](C)CO)CC1 ZINC001206577117 1091674873 /nfs/dbraw/zinc/67/48/73/1091674873.db2.gz IDHUSWAQKXNRBO-CQSZACIVSA-N 0 1 321.848 3.192 20 30 DGEDMN N#CCCN(Cc1c[nH]c2ncnc(Cl)c12)C1CCCC1 ZINC001139937221 1091719121 /nfs/dbraw/zinc/71/91/21/1091719121.db2.gz MONYEDUGSBZCMX-UHFFFAOYSA-N 0 1 303.797 3.221 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CCCCCCCC ZINC001115273625 1091728251 /nfs/dbraw/zinc/72/82/51/1091728251.db2.gz PKYLGNNCYHDFNJ-INDMIFKZSA-N 0 1 318.505 3.443 20 30 DGEDMN COc1ccc2nc([C@@H](C)NC(=O)CCCCCC#N)[nH]c2c1 ZINC001296778481 1091794310 /nfs/dbraw/zinc/79/43/10/1091794310.db2.gz HFFHFYJYRXDJJG-GFCCVEGCSA-N 0 1 314.389 3.223 20 30 DGEDMN CCCCN(CCC#N)Cc1ccccc1-c1cnc(N)nc1 ZINC001140324118 1091825219 /nfs/dbraw/zinc/82/52/19/1091825219.db2.gz ZRVPWCLIWTYZAQ-UHFFFAOYSA-N 0 1 309.417 3.242 20 30 DGEDMN C=CCN(CCOC)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001297294331 1091854354 /nfs/dbraw/zinc/85/43/54/1091854354.db2.gz SSZMBIQBBXRTJV-UHFFFAOYSA-N 0 1 319.792 3.005 20 30 DGEDMN Cc1ncccc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001207154348 1091865138 /nfs/dbraw/zinc/86/51/38/1091865138.db2.gz DZEBWJODVUGSGA-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1nccc2ccsc21 ZINC001085650992 1091869183 /nfs/dbraw/zinc/86/91/83/1091869183.db2.gz HCSOFKGTSANJLY-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2c(C)nsc2C)C[C@H]1C ZINC001207206515 1091886581 /nfs/dbraw/zinc/88/65/81/1091886581.db2.gz UQSJPWNLUMZGLB-MLGOLLRUSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1ccc2c3c(cccc31)CC2 ZINC001085709088 1091890400 /nfs/dbraw/zinc/89/04/00/1091890400.db2.gz YIHVIZJTXGWTEO-KRWDZBQOSA-N 0 1 320.436 3.271 20 30 DGEDMN Cn1cnc2cc(CN3CCc4ccc(C#N)cc4C3)ccc21 ZINC001140505353 1091892739 /nfs/dbraw/zinc/89/27/39/1091892739.db2.gz GKIGMQHWGIFFHR-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN CCCN(CCC#N)C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001207244382 1091899717 /nfs/dbraw/zinc/89/97/17/1091899717.db2.gz GDSCJWBIQRCRAC-HNNXBMFYSA-N 0 1 309.454 3.259 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3ccc(C#N)nc3)CC2)cc1 ZINC001140546727 1091903847 /nfs/dbraw/zinc/90/38/47/1091903847.db2.gz RNLYHQFSFXACQY-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN N#Cc1ncc(Nc2ccncc2Oc2ccccc2)cc1F ZINC001203048600 1091916464 /nfs/dbraw/zinc/91/64/64/1091916464.db2.gz BOTSEQVFOVRQOO-UHFFFAOYSA-N 0 1 306.300 3.445 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc(C)c(Cl)cc1C ZINC001085760303 1091917657 /nfs/dbraw/zinc/91/76/57/1091917657.db2.gz GUWPHWGAWDANEN-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)c(Cl)cc1C ZINC001085760303 1091917662 /nfs/dbraw/zinc/91/76/62/1091917662.db2.gz GUWPHWGAWDANEN-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2cc(C#N)ccc2Cl)C1 ZINC001140602608 1091928199 /nfs/dbraw/zinc/92/81/99/1091928199.db2.gz NOYNRPGYBCSHQQ-QGZVFWFLSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cncc2sccc21 ZINC001085783064 1091931835 /nfs/dbraw/zinc/93/18/35/1091931835.db2.gz MGMPGOUPXDEAFR-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)C1CCC(F)(F)CC1 ZINC001085840048 1091965353 /nfs/dbraw/zinc/96/53/53/1091965353.db2.gz YSOLQNYGJVVHON-HNNXBMFYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)/C(C)=C\C)C2)C1 ZINC001276533521 1091971400 /nfs/dbraw/zinc/97/14/00/1091971400.db2.gz YDHBIXQMUUOJEB-BRHWKXANSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1scnc1C1CC1 ZINC001085862131 1091984567 /nfs/dbraw/zinc/98/45/67/1091984567.db2.gz QHOYCUBOUVHFCD-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(CCCc2ccccc2F)C1 ZINC001207496754 1091990200 /nfs/dbraw/zinc/99/02/00/1091990200.db2.gz GOTLIKJCABTRAR-INIZCTEOSA-N 0 1 320.408 3.135 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001085868302 1091990382 /nfs/dbraw/zinc/99/03/82/1091990382.db2.gz MKSKQXZXFBYDLL-CEXWTWQISA-N 0 1 312.457 3.207 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(OC)cc1Cl ZINC001085876923 1091992763 /nfs/dbraw/zinc/99/27/63/1091992763.db2.gz OHHYFFKUNWGTQB-ZDUSSCGKSA-N 0 1 322.836 3.071 20 30 DGEDMN N#Cc1cccc(N2CCN(CCc3ccccc3F)CC2)c1 ZINC001207502824 1091995786 /nfs/dbraw/zinc/99/57/86/1091995786.db2.gz JICUZXHWHHFGME-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)CCCC2 ZINC001085957660 1092047737 /nfs/dbraw/zinc/04/77/37/1092047737.db2.gz HIZLKIWOHGUFOX-IBGZPJMESA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1oc2ccccc2c1C ZINC001085959451 1092052298 /nfs/dbraw/zinc/05/22/98/1092052298.db2.gz CGIMXHHMYQAWMN-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(CC(C)C)cc1 ZINC001085964056 1092063386 /nfs/dbraw/zinc/06/33/86/1092063386.db2.gz XLHSLBQHIIYVBO-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(F)c2occc21 ZINC001085980333 1092068009 /nfs/dbraw/zinc/06/80/09/1092068009.db2.gz NYAGIPLMWHWHMF-ZDUSSCGKSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2cccc(F)c2o1 ZINC001085981723 1092070548 /nfs/dbraw/zinc/07/05/48/1092070548.db2.gz SIXHUWRAVDCHNJ-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001207736650 1092086011 /nfs/dbraw/zinc/08/60/11/1092086011.db2.gz WWBVZLFGWBVYKM-ZIAGYGMSSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1cnc(C(C)C)s1 ZINC001086008056 1092095289 /nfs/dbraw/zinc/09/52/89/1092095289.db2.gz AGDGGHBXKWSZCG-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(C)(CN2CC[C@](C)(C#N)C2)CC1 ZINC001207815742 1092107836 /nfs/dbraw/zinc/10/78/36/1092107836.db2.gz DJUMEUHSZCNOEN-GOSISDBHSA-N 0 1 321.465 3.259 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001273399032 1092135384 /nfs/dbraw/zinc/13/53/84/1092135384.db2.gz GIAPHWZIUOZKHX-AWEZNQCLSA-N 0 1 317.458 3.057 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2ccc(F)c(F)c2O)cc1 ZINC001299046451 1092146542 /nfs/dbraw/zinc/14/65/42/1092146542.db2.gz JRLHXESUSKKVRK-UHFFFAOYSA-N 0 1 301.292 3.223 20 30 DGEDMN Cc1ccc(CN2CCCN(c3ccc(C#N)cn3)CC2)c(F)c1 ZINC001141196006 1092172459 /nfs/dbraw/zinc/17/24/59/1092172459.db2.gz JSYVYNJKIGBQHL-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CC(C)(C(=O)NCc1ccc2nc[nH]c2c1)c1ccc(C#N)cc1 ZINC001141228778 1092185627 /nfs/dbraw/zinc/18/56/27/1092185627.db2.gz KBAUHRAGKKZPMQ-UHFFFAOYSA-N 0 1 318.380 3.029 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)c1ccccc1F ZINC001491613631 1092190017 /nfs/dbraw/zinc/19/00/17/1092190017.db2.gz MUTUAWQNRBUOEC-WCQYABFASA-N 0 1 312.816 3.166 20 30 DGEDMN CCC[C@H](CC)C(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001491625917 1092192255 /nfs/dbraw/zinc/19/22/55/1092192255.db2.gz XVPKKEVZKDISBL-RDJZCZTQSA-N 0 1 318.436 3.098 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@H](C)CC(C)(C)C)C1 ZINC001108260977 1092207709 /nfs/dbraw/zinc/20/77/09/1092207709.db2.gz YUZUCBMPNFRQBW-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(CC[C@@H](C)c2ccc(C)o2)CC1 ZINC001208167829 1092235225 /nfs/dbraw/zinc/23/52/25/1092235225.db2.gz KZOGCFBGPAGOIR-CQSZACIVSA-N 0 1 318.417 3.251 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001208241090 1092290597 /nfs/dbraw/zinc/29/05/97/1092290597.db2.gz SVJIQTCRLXLNCR-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001208255695 1092294479 /nfs/dbraw/zinc/29/44/79/1092294479.db2.gz OVDUZFLFVCOAJM-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001273438046 1092295262 /nfs/dbraw/zinc/29/52/62/1092295262.db2.gz VSQLFARAPNADIT-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CCN(Cc2ccc3c(c2)Cc2ccccc2-3)CC1 ZINC001141739473 1092328777 /nfs/dbraw/zinc/32/87/77/1092328777.db2.gz JWYQRUVLERQPSH-UHFFFAOYSA-N 0 1 302.421 3.009 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@H](CC)CC(C)C)C1 ZINC001108329695 1092335112 /nfs/dbraw/zinc/33/51/12/1092335112.db2.gz UEDPNFSMCGUBQZ-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001108341426 1092338687 /nfs/dbraw/zinc/33/86/87/1092338687.db2.gz ZEGROJUJZXWEMM-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2sc(C)nc2C)C[C@H]1C ZINC001208452270 1092357752 /nfs/dbraw/zinc/35/77/52/1092357752.db2.gz CRVFJOLFRJDWOK-IUODEOHRSA-N 0 1 321.490 3.053 20 30 DGEDMN COC(C)(C)[C@@H]1CCCN1Cc1ccc(C#N)c(SC)n1 ZINC001141941411 1092366279 /nfs/dbraw/zinc/36/62/79/1092366279.db2.gz IRMXVQFBOKPORQ-AWEZNQCLSA-N 0 1 305.447 3.065 20 30 DGEDMN OC[C@H]1CCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C1 ZINC001141972929 1092372122 /nfs/dbraw/zinc/37/21/22/1092372122.db2.gz VXCZGOLWJIPJMY-KRWDZBQOSA-N 0 1 311.450 3.352 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCC(F)(F)F)C[C@H]2C)CC1 ZINC001208515275 1092383572 /nfs/dbraw/zinc/38/35/72/1092383572.db2.gz GWGUZWFRDQQMQG-CHWSQXEVSA-N 0 1 318.383 3.122 20 30 DGEDMN N#C[C@H]1CN(Cc2sccc2Oc2ccccc2)CCC1=O ZINC001142001341 1092383803 /nfs/dbraw/zinc/38/38/03/1092383803.db2.gz MDCKCOBKXBLTBK-ZDUSSCGKSA-N 0 1 312.394 3.455 20 30 DGEDMN COC(=O)[C@H]1CC[C@H](CN(CCC#N)Cc2ccccc2)CC1 ZINC001208624681 1092434166 /nfs/dbraw/zinc/43/41/66/1092434166.db2.gz OSNHASDHZILYAW-IYARVYRRSA-N 0 1 314.429 3.382 20 30 DGEDMN Cn1cc2c(cccc2CN2CCc3ccc(C#N)cc3C2)n1 ZINC001142445183 1092482788 /nfs/dbraw/zinc/48/27/88/1092482788.db2.gz PNDTYWBTZKDJMJ-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2cc(F)ccc2C)C1 ZINC001492406488 1092500622 /nfs/dbraw/zinc/50/06/22/1092500622.db2.gz BKQAEYXFTHVKFB-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(F)CCCC2)C1 ZINC001317204486 1092526188 /nfs/dbraw/zinc/52/61/88/1092526188.db2.gz QCSSRYFOYOIAHC-UONOGXRCSA-N 0 1 316.848 3.238 20 30 DGEDMN N#Cc1cccc(CCC[N@H+]2CCc3ccc(C#N)cc3C2)c1 ZINC001208844137 1092540113 /nfs/dbraw/zinc/54/01/13/1092540113.db2.gz DZENYSQOFYQABF-UHFFFAOYSA-N 0 1 301.393 3.421 20 30 DGEDMN COC(=O)Cc1ccc(CN(CCC#N)C2CCCC2)cc1 ZINC001142906515 1092582994 /nfs/dbraw/zinc/58/29/94/1092582994.db2.gz OYQKQVYXAXRRBT-UHFFFAOYSA-N 0 1 300.402 3.060 20 30 DGEDMN C[N@H+](Cc1c[nH]c2cc(C#N)ccc12)Cc1cnc2ccccn12 ZINC001142911697 1092583575 /nfs/dbraw/zinc/58/35/75/1092583575.db2.gz GBGXYNWKAGDQMY-UHFFFAOYSA-N 0 1 315.380 3.319 20 30 DGEDMN CN(Cc1c[nH]c2cc(C#N)ccc12)Cc1cnc2ccccn12 ZINC001142911697 1092583587 /nfs/dbraw/zinc/58/35/87/1092583587.db2.gz GBGXYNWKAGDQMY-UHFFFAOYSA-N 0 1 315.380 3.319 20 30 DGEDMN N#Cc1ccc(CCN2CCN(Cc3ccccc3F)CC2)cc1 ZINC001208926705 1092590444 /nfs/dbraw/zinc/59/04/44/1092590444.db2.gz YYBSYYNSCCDWJU-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN C=CCCN(Cc1ccccc1)Cc1cc2c(cn1)OCCO2 ZINC001143064368 1092611303 /nfs/dbraw/zinc/61/13/03/1092611303.db2.gz VQVWDFCLEHIKLV-UHFFFAOYSA-N 0 1 310.397 3.431 20 30 DGEDMN C#CCC[N@@H+]1C[C@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001276616361 1092658466 /nfs/dbraw/zinc/65/84/66/1092658466.db2.gz VZMWPTGSYPXADF-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN CN(CCCN(CCC#N)C1CCCC1)C(=O)OC(C)(C)C ZINC001209137764 1092668988 /nfs/dbraw/zinc/66/89/88/1092668988.db2.gz KBCFRDDCIXIRSJ-UHFFFAOYSA-N 0 1 309.454 3.402 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H]1CCN1CC(CC#N)C1 ZINC001209165608 1092679740 /nfs/dbraw/zinc/67/97/40/1092679740.db2.gz SNWCFMAKEXRKBC-HNNXBMFYSA-N 0 1 307.438 3.012 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H]1CCN1CC(CC#N)C1 ZINC001209165609 1092679811 /nfs/dbraw/zinc/67/98/11/1092679811.db2.gz SNWCFMAKEXRKBC-OAHLLOKOSA-N 0 1 307.438 3.012 20 30 DGEDMN COC(=O)C1(C#N)CCN(CCCCCc2ccccc2)CC1 ZINC001209232580 1092707023 /nfs/dbraw/zinc/70/70/23/1092707023.db2.gz MWWCCZUIVTZASN-UHFFFAOYSA-N 0 1 314.429 3.178 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001149741141 1092727878 /nfs/dbraw/zinc/72/78/78/1092727878.db2.gz XIIMTJOPQRUPNW-UHFFFAOYSA-N 0 1 300.874 3.297 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2c(F)cccc2F)C1 ZINC001209335372 1092738292 /nfs/dbraw/zinc/73/82/92/1092738292.db2.gz LCHPAGSBSNZXPG-AWEZNQCLSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCCCCCCCN1CCC(=O)[C@H](C(=O)OCC)C1 ZINC001209443380 1092776947 /nfs/dbraw/zinc/77/69/47/1092776947.db2.gz ROSRIRFGQNECPA-MRXNPFEDSA-N 0 1 309.450 3.357 20 30 DGEDMN C#CC[C@H](NC(=O)CN(C)CCc1ccccc1)c1ccccc1 ZINC001151315093 1092886071 /nfs/dbraw/zinc/88/60/71/1092886071.db2.gz WTKVWAQQSVANQS-FQEVSTJZSA-N 0 1 320.436 3.042 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)c1cc3cccnc3[nH]1)CCC2 ZINC001151539804 1092918371 /nfs/dbraw/zinc/91/83/71/1092918371.db2.gz WXCUQCPUAUGPTB-UHFFFAOYSA-N 0 1 308.366 3.237 20 30 DGEDMN Cc1cc(N)ncc1Nc1ccc(C#N)cc1OC(F)(F)F ZINC001209968344 1092999148 /nfs/dbraw/zinc/99/91/48/1092999148.db2.gz YBSBBNJCFLKTIZ-UHFFFAOYSA-N 0 1 308.263 3.486 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)CCCCCC)C1 ZINC001210226824 1093085126 /nfs/dbraw/zinc/08/51/26/1093085126.db2.gz VRDIDWQVKJSCGC-RCCFBDPRSA-N 0 1 306.494 3.443 20 30 DGEDMN Cc1cc(C#N)cc(Nc2ccccc2CN2CCN(C)CC2)c1 ZINC001210389618 1093150403 /nfs/dbraw/zinc/15/04/03/1093150403.db2.gz KJWPZGLIVNPKKJ-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN Cc1ccc(C#N)cc1Nc1ccccc1CN1CCN(C)CC1 ZINC001210501408 1093190015 /nfs/dbraw/zinc/19/00/15/1093190015.db2.gz DOQWGONVCGHLEL-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](CC)CC(C)C)C1 ZINC001210553762 1093207195 /nfs/dbraw/zinc/20/71/95/1093207195.db2.gz WCEOKXZMLDBVHM-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN C[C@@H]1CC(=O)C=C(Nc2cccc3c2OCC[C@@H]3N(C)C)C1 ZINC001210776936 1093279282 /nfs/dbraw/zinc/27/92/82/1093279282.db2.gz VBSJOSPLNNMJRH-SJCJKPOMSA-N 0 1 300.402 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H](C)C(C)(C)C)C1 ZINC001210840691 1093299006 /nfs/dbraw/zinc/29/90/06/1093299006.db2.gz XHTOLUJKVBEIIY-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1c(CO)cccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001211162057 1093397960 /nfs/dbraw/zinc/39/79/60/1093397960.db2.gz LXTQPQKHYWKFQP-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN Cc1c(Nc2c(O)cccc2C#N)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001211296536 1093448135 /nfs/dbraw/zinc/44/81/35/1093448135.db2.gz AXCBIXCCTSGPPJ-UHFFFAOYSA-N 0 1 314.257 3.132 20 30 DGEDMN COC(=O)c1ccc(F)cc1Nc1cc(C#N)c(F)cc1O ZINC001211359358 1093470998 /nfs/dbraw/zinc/47/09/98/1093470998.db2.gz VQBUBXSGGWGGDT-UHFFFAOYSA-N 0 1 304.252 3.072 20 30 DGEDMN N#Cc1ccc(Nc2ccc(F)c(Br)c2O)c(N)c1 ZINC001213365409 1093573640 /nfs/dbraw/zinc/57/36/40/1093573640.db2.gz MSMOPDMFTDSQGZ-UHFFFAOYSA-N 0 1 322.137 3.491 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)[C@H](C)C(C)C)[C@H](OC)C1 ZINC001213518193 1093601709 /nfs/dbraw/zinc/60/17/09/1093601709.db2.gz ZVHFJPOXLTYDEF-BRWVUGGUSA-N 0 1 312.498 3.064 20 30 DGEDMN CN1CCN(c2ccccc2Nc2cc(C#N)ccc2F)CC1 ZINC001214598585 1093811278 /nfs/dbraw/zinc/81/12/78/1093811278.db2.gz VDDKAICQPZXCDX-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN COc1cccc(O)c1-c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001215322556 1093959464 /nfs/dbraw/zinc/95/94/64/1093959464.db2.gz VGLQVAAJJNPVGZ-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215376117 1093971292 /nfs/dbraw/zinc/97/12/92/1093971292.db2.gz FAQQKBLTQGTWJQ-FODQLQJJSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2nc(C)sc2C)C1 ZINC001215433971 1093982317 /nfs/dbraw/zinc/98/23/17/1093982317.db2.gz JEBVFZZQNQFUOP-MLGOLLRUSA-N 0 1 321.490 3.053 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1cc2[nH]ncc2c(F)c1 ZINC001215554025 1093999934 /nfs/dbraw/zinc/99/99/34/1093999934.db2.gz SUISFGITQWTXFI-UHFFFAOYSA-N 0 1 316.317 3.165 20 30 DGEDMN CCS(=O)(=O)c1ccc(O)c(Nc2ccc(C)c(C#N)c2)c1 ZINC001216074740 1094117752 /nfs/dbraw/zinc/11/77/52/1094117752.db2.gz SYMHEFUWVIEELG-UHFFFAOYSA-N 0 1 316.382 3.110 20 30 DGEDMN Cc1nc(Nc2cccc(CN(C)C)c2F)c(C#N)cc1C#N ZINC001216227616 1094152864 /nfs/dbraw/zinc/15/28/64/1094152864.db2.gz LNPKYXQPQKXCKE-UHFFFAOYSA-N 0 1 309.348 3.078 20 30 DGEDMN Cc1cc(-c2noc(-c3cc(O)cc([N+](=O)[O-])c3)n2)ccc1C#N ZINC001216924634 1094279150 /nfs/dbraw/zinc/27/91/50/1094279150.db2.gz TWEBWPOZEWRFCU-UHFFFAOYSA-N 0 1 322.280 3.198 20 30 DGEDMN CCOc1cccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001216969692 1094285561 /nfs/dbraw/zinc/28/55/61/1094285561.db2.gz MZFFDJMFWCDGJP-UHFFFAOYSA-N 0 1 307.309 3.380 20 30 DGEDMN Cc1cc(O)cc(O)c1-c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001216986761 1094290370 /nfs/dbraw/zinc/29/03/70/1094290370.db2.gz NXAKZWIAZGOIIN-UHFFFAOYSA-N 0 1 311.272 3.134 20 30 DGEDMN Cc1cc(-c2noc(-c3cnc4[nH]cccc3-4)n2)ccc1C#N ZINC001217146134 1094320235 /nfs/dbraw/zinc/32/02/35/1094320235.db2.gz ZHHOEPLUBRSOIZ-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C[C@@H](CCC#N)CN[C@@H](c1nccn1C)c1ccc(F)c(F)c1 ZINC001120072582 1094371882 /nfs/dbraw/zinc/37/18/82/1094371882.db2.gz XOTJMRCKZSYCLN-BLLLJJGKSA-N 0 1 318.371 3.317 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3n[nH]c4c3cccc4F)n2)c1 ZINC001217322615 1094374554 /nfs/dbraw/zinc/37/45/54/1094374554.db2.gz DIJSBRDENNEEEL-UHFFFAOYSA-N 0 1 323.262 3.430 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1coc(C2CC2)n1 ZINC001135236768 1094454807 /nfs/dbraw/zinc/45/48/07/1094454807.db2.gz WNHJDSMWMXJYFL-CHWSQXEVSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1ncoc1C(C)C ZINC001135236571 1094454882 /nfs/dbraw/zinc/45/48/82/1094454882.db2.gz ULTUDHPFCMOEDO-KGLIPLIRSA-N 0 1 321.465 3.383 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001135230425 1094459236 /nfs/dbraw/zinc/45/92/36/1094459236.db2.gz NGDUMDRIIDDOID-OLZOCXBDSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CCCN(C)CC1)c1ccccc1 ZINC001135306585 1094477180 /nfs/dbraw/zinc/47/71/80/1094477180.db2.gz QIVPXNIVIJDRAY-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135330695 1094492408 /nfs/dbraw/zinc/49/24/08/1094492408.db2.gz UUWIIAQCIVENSZ-OLZOCXBDSA-N 0 1 309.479 3.181 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001135358687 1094503412 /nfs/dbraw/zinc/50/34/12/1094503412.db2.gz WQVWXRRHEGOZJY-KGLIPLIRSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2coc(C)n2)CC1 ZINC001135383792 1094512071 /nfs/dbraw/zinc/51/20/71/1094512071.db2.gz WFUPOQCHMLJKTP-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2coc(C)n2)CCC1 ZINC001135394306 1094514156 /nfs/dbraw/zinc/51/41/56/1094514156.db2.gz FSQYAIVGJFCNOU-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@@H](C)NCc2cc(C)on2)CC1 ZINC001135415921 1094528081 /nfs/dbraw/zinc/52/80/81/1094528081.db2.gz OEFIOWXURJXAFD-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C[C@H](C)NCc2csc(C)n2)C1 ZINC001135426829 1094535215 /nfs/dbraw/zinc/53/52/15/1094535215.db2.gz IIXPXDHNFVHDGC-STQMWFEESA-N 0 1 321.490 3.181 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135565606 1094579294 /nfs/dbraw/zinc/57/92/94/1094579294.db2.gz YDSYYNMBXDCHIS-CHWSQXEVSA-N 0 1 323.506 3.427 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(NN=C2C=CC(=O)C=C2)cc1 ZINC001218200603 1094654703 /nfs/dbraw/zinc/65/47/03/1094654703.db2.gz HLAOPVCLDUNKJS-YVEFUNNKSA-N 0 1 308.341 3.298 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC001218199239 1094655201 /nfs/dbraw/zinc/65/52/01/1094655201.db2.gz BZVFUETYIGWOPK-HQJQHLMTSA-N 0 1 324.224 3.215 20 30 DGEDMN Cc1ccc(O)c(Cc2cc(C)ccc2O[C@@H]2CNC[C@H]2C#N)c1 ZINC001218200279 1094655440 /nfs/dbraw/zinc/65/54/40/1094655440.db2.gz FWKZZGRIWJCPQO-YLJYHZDGSA-N 0 1 322.408 3.090 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1c2ccccc2c(O)c2ccccc21 ZINC001218199566 1094655527 /nfs/dbraw/zinc/65/55/27/1094655527.db2.gz FZLHZWUAXBGURX-SJKOYZFVSA-N 0 1 304.349 3.189 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1cc(O)cc(/C=C/c2ccccc2)c1 ZINC001218204118 1094658614 /nfs/dbraw/zinc/65/86/14/1094658614.db2.gz VBTHSZHVQKYRQM-CIHFUWIRSA-N 0 1 306.365 3.053 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2ccc(C#N)cc2Br)[nH]n1 ZINC001336549193 1094668731 /nfs/dbraw/zinc/66/87/31/1094668731.db2.gz VXNYHIHUNKWFOT-SECBINFHSA-N 0 1 319.206 3.395 20 30 DGEDMN Cc1nc([C@H](C)Nc2cc(C(F)(F)F)c(F)cc2C#N)n[nH]1 ZINC001336636226 1094683920 /nfs/dbraw/zinc/68/39/20/1094683920.db2.gz GBLOUSPQRKJRSC-LURJTMIESA-N 0 1 313.258 3.316 20 30 DGEDMN CC[C@H](C)C(=O)N[C@]12CCC[C@H]1CN(CC#Cc1ccccc1)C2 ZINC001111592401 1094922350 /nfs/dbraw/zinc/92/23/50/1094922350.db2.gz QLKQHAAXGPYVOX-CUWPLCDZSA-N 0 1 324.468 3.055 20 30 DGEDMN CN(C(=O)c1ccc(NC2=NCCC2)cc1)c1cccc(C#N)c1 ZINC001136386846 1095010680 /nfs/dbraw/zinc/01/06/80/1095010680.db2.gz PTSQFLIHMSERFB-UHFFFAOYSA-N 0 1 318.380 3.439 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@H]1c1c(F)cccc1F)c1cccc(F)n1 ZINC001122713616 1095042115 /nfs/dbraw/zinc/04/21/15/1095042115.db2.gz BWZDLRZJOLNARA-VWYCJHECSA-N 0 1 316.282 3.479 20 30 DGEDMN Cc1nnc(-c2ccccc2C(=O)C(C#N)c2cccc(F)n2)o1 ZINC001122721012 1095045740 /nfs/dbraw/zinc/04/57/40/1095045740.db2.gz JOAJVJBAQZRGOP-ZDUSSCGKSA-N 0 1 322.299 3.069 20 30 DGEDMN C=CC[C@H](/N=C\c1ccc(N(CC)CC)cc1O)C(=O)OCC ZINC001337735945 1095075762 /nfs/dbraw/zinc/07/57/62/1095075762.db2.gz NVFYIMMXDTVVSL-UEIJICEPSA-N 0 1 318.417 3.165 20 30 DGEDMN C=CCCCNC(=O)[C@@H](N)C(c1ccccc1)c1ccccc1 ZINC001220484425 1095103913 /nfs/dbraw/zinc/10/39/13/1095103913.db2.gz DMYVSFBKEBPNRW-IBGZPJMESA-N 0 1 308.425 3.228 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001170996937 1095106468 /nfs/dbraw/zinc/10/64/68/1095106468.db2.gz APLUUCWHTPWGPS-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001171010008 1095123995 /nfs/dbraw/zinc/12/39/95/1095123995.db2.gz TZFWZJKVKMIXMV-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@@H](NC)c1ccccc1 ZINC001220663279 1095133858 /nfs/dbraw/zinc/13/38/58/1095133858.db2.gz BMODNQTVYZQBPP-KXBFYZLASA-N 0 1 324.424 3.389 20 30 DGEDMN N#CC1(CC(=O)OCc2nc[nH]c2C(F)(F)F)CCCCC1 ZINC001123043583 1095141469 /nfs/dbraw/zinc/14/14/69/1095141469.db2.gz NSIPAWSKYPSRTQ-UHFFFAOYSA-N 0 1 315.295 3.336 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@](C)(CC)CCCCCC ZINC001115267530 1095155467 /nfs/dbraw/zinc/15/54/67/1095155467.db2.gz GEJGNAQHXSVEDK-VUHPKUFZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)CCCCCCCCCC)[C@@H](O)C1 ZINC001220836931 1095162191 /nfs/dbraw/zinc/16/21/91/1095162191.db2.gz LVPUNXUOMAISOC-MSOLQXFVSA-N 0 1 324.509 3.255 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)CCCCCCCC)[C@@H](O)C1 ZINC001221030822 1095188763 /nfs/dbraw/zinc/18/87/63/1095188763.db2.gz OFXHTOWKPCPKDM-KURKYZTESA-N 0 1 324.509 3.111 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(Cc2ccccc2)CC1 ZINC001123233028 1095194645 /nfs/dbraw/zinc/19/46/45/1095194645.db2.gz FEMZFQFZQSOCLQ-ZDUSSCGKSA-N 0 1 313.788 3.273 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CCc2c1cccc2Cl ZINC001123233660 1095195094 /nfs/dbraw/zinc/19/50/94/1095195094.db2.gz MLQQDZQSCIMIMO-UONOGXRCSA-N 0 1 313.788 3.463 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001221579753 1095303437 /nfs/dbraw/zinc/30/34/37/1095303437.db2.gz UROCWZAOCXFPEF-CHWSQXEVSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221579643 1095305424 /nfs/dbraw/zinc/30/54/24/1095305424.db2.gz OWHNXWMMCMCNIS-HZPDHXFCSA-N 0 1 318.486 3.383 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](CC)CCCC)[C@@H]2C1 ZINC001221699079 1095329848 /nfs/dbraw/zinc/32/98/48/1095329848.db2.gz JFNNJVZIPZNESG-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2CCN(Cc3ccc(F)cc3)C[C@H]21 ZINC001221779653 1095352448 /nfs/dbraw/zinc/35/24/48/1095352448.db2.gz GVTUTDHKHNSVFA-SJLPKXTDSA-N 0 1 316.420 3.215 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001221839226 1095371807 /nfs/dbraw/zinc/37/18/07/1095371807.db2.gz IYOWSXKFGXDYGV-CHWSQXEVSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CC1(CC(=O)N2C[C@H]3CCN(CC#CC)C[C@H]32)CCCCC1 ZINC001221864143 1095377178 /nfs/dbraw/zinc/37/71/78/1095377178.db2.gz LIAFKORJYMFLNR-QZTJIDSGSA-N 0 1 314.473 3.069 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](CCCCC)C(C)C)[C@@H]2C1 ZINC001221926868 1095389974 /nfs/dbraw/zinc/38/99/74/1095389974.db2.gz IPFRZLLSUKEUKP-GUDVDZBRSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](CCC)c3ccccc3)[C@@H]2C1 ZINC001222000617 1095407469 /nfs/dbraw/zinc/40/74/69/1095407469.db2.gz PNWZRNMZDHGRON-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN CCC#C[C@@H](C)N(C)C[C@@H](O)c1cccc(Br)c1 ZINC001171119440 1095434696 /nfs/dbraw/zinc/43/46/96/1095434696.db2.gz MZWGANQJNFAWJP-IUODEOHRSA-N 0 1 310.235 3.216 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(OC(F)(F)F)ccc2OC)C1 ZINC001144005450 1095465063 /nfs/dbraw/zinc/46/50/63/1095465063.db2.gz SDDBJVHWJAIRRW-LBPRGKRZSA-N 0 1 313.319 3.439 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C/C=C/c3ccc(C)cc3)[C@@H]2C1 ZINC001222181208 1095481056 /nfs/dbraw/zinc/48/10/56/1095481056.db2.gz XGFIHTSUYAIQFR-NYQZOWANSA-N 0 1 310.441 3.117 20 30 DGEDMN C[S@@](=O)c1ccc(C=NN=c2[nH]c3ccccc3s2)cc1 ZINC001116210265 1095484926 /nfs/dbraw/zinc/48/49/26/1095484926.db2.gz ZVYADPGRWFSLTF-OAQYLSRUSA-N 0 1 315.423 3.480 20 30 DGEDMN C[S@@](=O)c1ccc(C=[NH+][N-]c2nc3ccccc3s2)cc1 ZINC001116210265 1095484933 /nfs/dbraw/zinc/48/49/33/1095484933.db2.gz ZVYADPGRWFSLTF-OAQYLSRUSA-N 0 1 315.423 3.480 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1cc(F)ccc1N1CCN(C)CC1 ZINC001144073285 1095496343 /nfs/dbraw/zinc/49/63/43/1095496343.db2.gz SFUWHJCYDYXCHV-UHFFFAOYSA-N 0 1 319.424 3.118 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3cncc(C#N)c3)CC2)c1 ZINC001144079258 1095502643 /nfs/dbraw/zinc/50/26/43/1095502643.db2.gz FAGWWFSTHOJZFS-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN CCCC1CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC001116333793 1095518558 /nfs/dbraw/zinc/51/85/58/1095518558.db2.gz VHDOMHKJCDCNHW-GOSISDBHSA-N 0 1 311.429 3.176 20 30 DGEDMN CC(C)(C(=O)NOCc1ccc(C#N)s1)c1ccccc1 ZINC001339169391 1095524518 /nfs/dbraw/zinc/52/45/18/1095524518.db2.gz YOIIFPKYBSEZNH-UHFFFAOYSA-N 0 1 300.383 3.145 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](COC)c1cccc(C(F)(F)F)c1 ZINC001116642936 1095623168 /nfs/dbraw/zinc/62/31/68/1095623168.db2.gz FABXMTVCEYVBMO-KBPBESRZSA-N 0 1 303.324 3.184 20 30 DGEDMN COc1ccc(CN2CCC(c3cccc(C#N)c3)CC2)nc1 ZINC001144428706 1095655240 /nfs/dbraw/zinc/65/52/40/1095655240.db2.gz ZVVXPNAOLDBWNI-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@H](C)C1=O)c1cccc(Cl)c1 ZINC001222886259 1095779930 /nfs/dbraw/zinc/77/99/30/1095779930.db2.gz GKLRPRQKKQELFI-RFAUZJTJSA-N 0 1 324.760 3.180 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCCc2cccc(O)c2)c1 ZINC001137934168 1095794281 /nfs/dbraw/zinc/79/42/81/1095794281.db2.gz LJASYRWJRJBGAW-UHFFFAOYSA-N 0 1 310.353 3.321 20 30 DGEDMN C=CCCC[N@H+]1CC[C@@H]1CNC(=O)c1cccc2scnc21 ZINC001276851461 1095794855 /nfs/dbraw/zinc/79/48/55/1095794855.db2.gz CBGNTSWYTPBSCS-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)cc1 ZINC001137994267 1095820581 /nfs/dbraw/zinc/82/05/81/1095820581.db2.gz OBQRBNOUUJZIKQ-UHFFFAOYSA-N 0 1 302.377 3.398 20 30 DGEDMN CSc1ncc2c(n1)CN([C@@H](C)Cc1cccc(C#N)c1)CC2 ZINC001171228396 1095844211 /nfs/dbraw/zinc/84/42/11/1095844211.db2.gz GBKUGPGSBZXCRF-ZDUSSCGKSA-N 0 1 324.453 3.059 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@H](C)Cc1cccc(C#N)c1 ZINC001171228772 1095846737 /nfs/dbraw/zinc/84/67/37/1095846737.db2.gz ITHCPVBZCRNHGF-VBQJREDUSA-N 0 1 302.418 3.009 20 30 DGEDMN CCC(C#N)(CC)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001340082379 1095853554 /nfs/dbraw/zinc/85/35/54/1095853554.db2.gz SUROEXKWPIOUDU-UHFFFAOYSA-N 0 1 317.780 3.394 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC001276861281 1095855593 /nfs/dbraw/zinc/85/55/93/1095855593.db2.gz AGLDFHIMAPPXCF-QGZVFWFLSA-N 0 1 322.468 3.427 20 30 DGEDMN CCOC(=O)CN([C@@H](C)Cc1cccc(C#N)c1)C1CCCC1 ZINC001171231921 1095865940 /nfs/dbraw/zinc/86/59/40/1095865940.db2.gz NZLKQLCINQQJFR-HNNXBMFYSA-N 0 1 314.429 3.297 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CC[N@H+](C/C=C/Cl)[C@H]2C1 ZINC001223272081 1095919178 /nfs/dbraw/zinc/91/91/78/1095919178.db2.gz WRGLKRURMGQFBU-QZKWLWDQSA-N 0 1 322.880 3.245 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001223272081 1095919190 /nfs/dbraw/zinc/91/91/90/1095919190.db2.gz WRGLKRURMGQFBU-QZKWLWDQSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C(CO)C(=O)Nc1ccccc1-c1nc2ccc(C)cc2[nH]1 ZINC001144939053 1095927095 /nfs/dbraw/zinc/92/70/95/1095927095.db2.gz SGFTXOUURYYXHH-UHFFFAOYSA-N 0 1 307.353 3.025 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(C#N)c(F)c3)c2)CC1 ZINC001204061746 1095939091 /nfs/dbraw/zinc/93/90/91/1095939091.db2.gz AASOHRVVXJZLAA-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C=C3CCCCC3)C[C@]2(C)C1 ZINC001091525103 1095989995 /nfs/dbraw/zinc/98/99/95/1095989995.db2.gz IAAVCUZOUGIVIR-AEFFLSMTSA-N 0 1 322.880 3.410 20 30 DGEDMN Cc1ccccc1N1C[C@H]2CC[C@@H](C1)N2Cc1cc(C#N)n(C)c1 ZINC001276896756 1095991135 /nfs/dbraw/zinc/99/11/35/1095991135.db2.gz PFMZAHHBSVUPMR-HDICACEKSA-N 0 1 320.440 3.058 20 30 DGEDMN C=C1CN(C(=O)NC[C@H](c2ccccc2Cl)N2CCCC2)C1 ZINC001223547810 1095996741 /nfs/dbraw/zinc/99/67/41/1095996741.db2.gz QRRMBFSZHLJYHE-MRXNPFEDSA-N 0 1 319.836 3.058 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CC1CC2(CCC2)C1 ZINC001276902528 1096009418 /nfs/dbraw/zinc/00/94/18/1096009418.db2.gz ZYHFSLNLPMRMSY-INIZCTEOSA-N 0 1 304.478 3.360 20 30 DGEDMN N#CC1(Nc2ccc(O)cc2)CCN(Cc2ccccc2)CC1 ZINC001171276581 1096029009 /nfs/dbraw/zinc/02/90/09/1096029009.db2.gz BVNWRJHBBZBCQS-UHFFFAOYSA-N 0 1 307.397 3.362 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001276909738 1096030288 /nfs/dbraw/zinc/03/02/88/1096030288.db2.gz SCGJRIYMWIDHDX-FUHWJXTLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@H]3CCN(CCF)[C@H]3C2)CCCCC1 ZINC001223674332 1096033660 /nfs/dbraw/zinc/03/36/60/1096033660.db2.gz BTLDNMQZDXBCRV-SJORKVTESA-N 0 1 322.468 3.405 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@@H](C)C1=O)c1ccccc1Cl ZINC001223772930 1096062260 /nfs/dbraw/zinc/06/22/60/1096062260.db2.gz SZOYJQURNBIFPT-VFZGTOFNSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1O[C@H](C)c1cccc(C(=O)OC)c1 ZINC001223789923 1096065822 /nfs/dbraw/zinc/06/58/22/1096065822.db2.gz BOFKEEDVEZJHOZ-QMTHXVAHSA-N 0 1 304.342 3.160 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H](C)CC(C)(C)S)c1 ZINC001223891644 1096087302 /nfs/dbraw/zinc/08/73/02/1096087302.db2.gz PAZADLLDTNAHLF-MKPGZACHSA-N 0 1 313.419 3.343 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)CCC(C)(C)C)C[C@@H]21 ZINC001223923258 1096097638 /nfs/dbraw/zinc/09/76/38/1096097638.db2.gz XCSDHFXIHSNFRD-KURKYZTESA-N 0 1 318.505 3.395 20 30 DGEDMN Nc1ccc(CN=Nc2ncnc3sccc32)cc1Cl ZINC001341040522 1096103051 /nfs/dbraw/zinc/10/30/51/1096103051.db2.gz RZHKPKXDGJOXLH-UHFFFAOYSA-N 0 1 303.778 3.373 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)[C@]1(C)CCCc2ccccc21 ZINC001276941253 1096122227 /nfs/dbraw/zinc/12/22/27/1096122227.db2.gz ODSLBGCDEXREMJ-FXAWDEMLSA-N 0 1 312.457 3.047 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](O[C@H]2Cc3ccccc32)C1 ZINC001224062741 1096127075 /nfs/dbraw/zinc/12/70/75/1096127075.db2.gz PYEWQTUXVHUETJ-QUCCMNQESA-N 0 1 304.393 3.447 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224198288 1096159679 /nfs/dbraw/zinc/15/96/79/1096159679.db2.gz NRXZRHDCVMGYFX-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN Cc1c(C(=O)N[C@](C)(C#N)C2CCCCC2)ccc2cncn21 ZINC001147058658 1096160055 /nfs/dbraw/zinc/16/00/55/1096160055.db2.gz JUJIGAOWUULBLK-GOSISDBHSA-N 0 1 310.401 3.235 20 30 DGEDMN Cn1cc([C@@H]2CSCCN2CCCCC2(C#N)CCC2)cn1 ZINC001341347891 1096160250 /nfs/dbraw/zinc/16/02/50/1096160250.db2.gz WQQACHNGWKGTLK-INIZCTEOSA-N 0 1 318.490 3.374 20 30 DGEDMN C=CCCCC(=O)NCC1CCN(Cc2cnc(C)s2)CC1 ZINC001224273218 1096177455 /nfs/dbraw/zinc/17/74/55/1096177455.db2.gz QNPPUXNLAGJNTF-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001147250381 1096187012 /nfs/dbraw/zinc/18/70/12/1096187012.db2.gz JYCTZGOOGGJQFC-GOSISDBHSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCCC1(C(=O)NCC2CCN(C/C=C\Cl)CC2)CC1 ZINC001224394051 1096202360 /nfs/dbraw/zinc/20/23/60/1096202360.db2.gz IGAGFPMZTRAORA-WMZJFQQLSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(CC)C(=O)N1CCN(Cc2ccccc2)[C@@H](C(C)C)C1 ZINC001147413675 1096221309 /nfs/dbraw/zinc/22/13/09/1096221309.db2.gz OXUQFZNWACTYPI-GOSISDBHSA-N 0 1 300.446 3.322 20 30 DGEDMN CCOc1ccccc1OCCOC1=C(C)O[C@H](CC)C1=O ZINC001224495086 1096224313 /nfs/dbraw/zinc/22/43/13/1096224313.db2.gz YTTMGXLRIKPEQU-CYBMUJFWSA-N 0 1 306.358 3.090 20 30 DGEDMN C=CC[C@@H]([NH2+]Cc1csc(-c2ccc(C)cc2)n1)C(=O)[O-] ZINC001119598483 1096228966 /nfs/dbraw/zinc/22/89/66/1096228966.db2.gz RICXEKDHXWNSRA-CQSZACIVSA-N 0 1 302.399 3.237 20 30 DGEDMN C=C(C)CCC(=O)N1CC2(C1)CCN(Cc1ccc(F)cc1)C2 ZINC001147636849 1096249492 /nfs/dbraw/zinc/24/94/92/1096249492.db2.gz OMUAHHWNYKBMQB-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C[C@@H](CCC(C)(C)C)C(=O)NCCNCc1ccccc1C#N ZINC001147792150 1096273056 /nfs/dbraw/zinc/27/30/56/1096273056.db2.gz YNRDIHXBCDMROZ-HNNXBMFYSA-N 0 1 315.461 3.226 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)=C3CCC3)C[C@]2(C)C1 ZINC001091657047 1096273697 /nfs/dbraw/zinc/27/36/97/1096273697.db2.gz OHVSDFFXWYDTGU-WBVHZDCISA-N 0 1 308.853 3.020 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCCCC(=O)OCc1ccccc1 ZINC001224736403 1096275427 /nfs/dbraw/zinc/27/54/27/1096275427.db2.gz KJUYYMVMPMNRED-HNNXBMFYSA-N 0 1 318.369 3.136 20 30 DGEDMN COc1ccc(Cl)c2c1C[C@H](N1CCC(C#N)CC1)CC2 ZINC001171315930 1096279709 /nfs/dbraw/zinc/27/97/09/1096279709.db2.gz JIMSZEQRHPYWEE-CYBMUJFWSA-N 0 1 304.821 3.441 20 30 DGEDMN CC[C@H]1OC(C)=C(OC[C@H](C)COCc2ccccc2)C1=O ZINC001225003458 1096332828 /nfs/dbraw/zinc/33/28/28/1096332828.db2.gz JCEMRNVTUUJCFU-CZUORRHYSA-N 0 1 304.386 3.465 20 30 DGEDMN CC[C@H]1OC(C)=C(OC[C@@H](C)COCc2ccccc2)C1=O ZINC001225003459 1096332879 /nfs/dbraw/zinc/33/28/79/1096332879.db2.gz JCEMRNVTUUJCFU-XJKSGUPXSA-N 0 1 304.386 3.465 20 30 DGEDMN C=CCCC(=O)NCC1(N[C@@H](C)c2cc(F)ccc2F)CC1 ZINC001277014820 1096337670 /nfs/dbraw/zinc/33/76/70/1096337670.db2.gz ZLCSSQFJGPTBEB-LBPRGKRZSA-N 0 1 308.372 3.230 20 30 DGEDMN C=CCCC1(C(=O)N2CC3(C2)CCN(C[C@@H](F)CC)C3)CC1 ZINC001148263019 1096338154 /nfs/dbraw/zinc/33/81/54/1096338154.db2.gz UCSOKSGVONWCHW-HNNXBMFYSA-N 0 1 308.441 3.015 20 30 DGEDMN C#CC[C@@H](C)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225151680 1096353468 /nfs/dbraw/zinc/35/34/68/1096353468.db2.gz CLRCRFYEMSIRII-YLJYHZDGSA-N 0 1 315.457 3.485 20 30 DGEDMN COc1ccc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001225254909 1096375400 /nfs/dbraw/zinc/37/54/00/1096375400.db2.gz QWHCAUGKVGZOEC-LJQANCHMSA-N 0 1 308.381 3.220 20 30 DGEDMN CC(C)c1ccc(C(=O)NCCNCc2ccccc2C#N)cc1 ZINC001148845474 1096455847 /nfs/dbraw/zinc/45/58/47/1096455847.db2.gz FPYRUMKOIDCDAC-UHFFFAOYSA-N 0 1 321.424 3.201 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1c(Cl)cccc1Cl ZINC001148903025 1096464086 /nfs/dbraw/zinc/46/40/86/1096464086.db2.gz DJNIMGFZOXFQSA-UHFFFAOYSA-N 0 1 307.608 3.065 20 30 DGEDMN CN1CCC[C@H](NC(=O)c2ccc(C(C)(C)CCC#N)cc2)C1 ZINC001148909274 1096465233 /nfs/dbraw/zinc/46/52/33/1096465233.db2.gz NXBYVOKTKCLLIQ-KRWDZBQOSA-N 0 1 313.445 3.092 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C/C=C/Cl)C3)CCCC1 ZINC001148935681 1096467979 /nfs/dbraw/zinc/46/79/79/1096467979.db2.gz SQKONURBSLKMGJ-BJMVGYQFSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001273451024 1096484437 /nfs/dbraw/zinc/48/44/37/1096484437.db2.gz WLJCHNQCSXVTJO-UONOGXRCSA-N 0 1 312.866 3.042 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)c3ccoc3)C2)CC1 ZINC001086902301 1096501151 /nfs/dbraw/zinc/50/11/51/1096501151.db2.gz AMJZYJXVPGVUEI-CQSZACIVSA-N 0 1 322.836 3.349 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@H](C)C3CC3)C2)CC1 ZINC001086913202 1096506331 /nfs/dbraw/zinc/50/63/31/1096506331.db2.gz JZYABVUDRHVAAH-LSDHHAIUSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001086917295 1096508129 /nfs/dbraw/zinc/50/81/29/1096508129.db2.gz FCKFIWRNQLDWGC-GJZGRUSLSA-N 0 1 324.896 3.488 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(F)c(C#N)c2)C1 ZINC001225823999 1096539398 /nfs/dbraw/zinc/53/93/98/1096539398.db2.gz GNMHOKUBUWHFTM-CZUORRHYSA-N 0 1 311.360 3.307 20 30 DGEDMN C=C[C@H](CCCCCC)Oc1nc(NC(C)=O)nc2nc[nH]c21 ZINC001225828025 1096540548 /nfs/dbraw/zinc/54/05/48/1096540548.db2.gz RQYDXSJUKXTYFG-GFCCVEGCSA-N 0 1 317.393 3.215 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001091981053 1096567636 /nfs/dbraw/zinc/56/76/36/1096567636.db2.gz KHZNDCYITALVSH-UICBKCBBSA-N 0 1 310.869 3.122 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)cc2F)C1 ZINC001226056966 1096599929 /nfs/dbraw/zinc/59/99/29/1096599929.db2.gz YWBCCIRKGZRFGE-DZGCQCFKSA-N 0 1 311.360 3.307 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccsc2C)[C@H](C)C1 ZINC001092597179 1096617870 /nfs/dbraw/zinc/61/78/70/1096617870.db2.gz VKOSOFPJVLKTSD-ZWNOBZJWSA-N 0 1 312.866 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CCCC3(CC3)C2)[C@H](C)C1 ZINC001092603740 1096618382 /nfs/dbraw/zinc/61/83/82/1096618382.db2.gz JOXBFKNEDSDBKQ-FVQBIDKESA-N 0 1 324.896 3.393 20 30 DGEDMN Cc1cc(=O)[nH]c(O[C@@H](C#C[Si](C)(C)C)c2ccccc2)n1 ZINC001226157347 1096626104 /nfs/dbraw/zinc/62/61/04/1096626104.db2.gz XLXBTABUIDSISS-HNNXBMFYSA-N 0 1 312.445 3.492 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(C)c(C)c2)[C@H](C)C1 ZINC001093174140 1096668859 /nfs/dbraw/zinc/66/88/59/1096668859.db2.gz FAZGRMTVBPHWAM-RHSMWYFYSA-N 0 1 320.864 3.354 20 30 DGEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC001226624687 1096736775 /nfs/dbraw/zinc/73/67/75/1096736775.db2.gz CGULGSWPTODLML-STQMWFEESA-N 0 1 319.836 3.141 20 30 DGEDMN C=CC[C@H](Oc1ncnc2[nH]nc(Br)c21)C(C)C ZINC001226669271 1096748123 /nfs/dbraw/zinc/74/81/23/1096748123.db2.gz MWEZVUQRPVOXDH-QMMMGPOBSA-N 0 1 311.183 3.095 20 30 DGEDMN C=CCCCCCN1Cc2n[nH]c(C(=O)N(CC)C(C)C)c2C1 ZINC001277150662 1096760670 /nfs/dbraw/zinc/76/06/70/1096760670.db2.gz FBHHVCCJRAHTGR-UHFFFAOYSA-N 0 1 318.465 3.342 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3ccoc3)CCC[C@@H]12 ZINC001094362697 1096805284 /nfs/dbraw/zinc/80/52/84/1096805284.db2.gz UDLKNGODDMGBKQ-GDBMZVCRSA-N 0 1 308.809 3.007 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Oc1[nH]c(=O)nc2cnccc21 ZINC001226978155 1096834052 /nfs/dbraw/zinc/83/40/52/1096834052.db2.gz ZVAKWJWCAKWAQP-LBPRGKRZSA-N 0 1 323.352 3.023 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H](C)C[NH+](CC)CC ZINC001227120235 1096873145 /nfs/dbraw/zinc/87/31/45/1096873145.db2.gz IMWJIIRDZNYROM-CYBMUJFWSA-N 0 1 305.418 3.432 20 30 DGEDMN COc1cccc(OC[C@@H](C)Oc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001227218429 1096897990 /nfs/dbraw/zinc/89/79/90/1096897990.db2.gz FVPKRBIEDBJSLG-GFCCVEGCSA-N 0 1 323.352 3.289 20 30 DGEDMN N#Cc1ccc2nc(OC3CCN(c4ccccc4)CC3)[nH]c2c1 ZINC001227221461 1096898547 /nfs/dbraw/zinc/89/85/47/1096898547.db2.gz ZOEROJMTMLQIAA-UHFFFAOYSA-N 0 1 318.380 3.482 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4cc(F)c(F)cc4C3)CC[C@H]2S1 ZINC001171481522 1096908258 /nfs/dbraw/zinc/90/82/58/1096908258.db2.gz DDNQXNWIBYUYQP-XJKSGUPXSA-N 0 1 321.392 3.096 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4cc(F)c(F)cc4C3)CCC2S1 ZINC001171481522 1096908265 /nfs/dbraw/zinc/90/82/65/1096908265.db2.gz DDNQXNWIBYUYQP-XJKSGUPXSA-N 0 1 321.392 3.096 20 30 DGEDMN CCCCCCCCN1CC[C@H]2C[C@]21C(=O)Nc1cn[nH]c1 ZINC001277230510 1096967905 /nfs/dbraw/zinc/96/79/05/1096967905.db2.gz ISPUYXJTSIGTJO-WMLDXEAASA-N 0 1 304.438 3.173 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1coc(C)n1 ZINC001171818980 1096973995 /nfs/dbraw/zinc/97/39/95/1096973995.db2.gz ZVTLDGDSGZUSTD-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](C(C)(C)Oc2nc(=O)[nH]c3c2CO[C@@H]3C)O1 ZINC001227714517 1096975329 /nfs/dbraw/zinc/97/53/29/1096975329.db2.gz SYBONRHHVFFBJD-YOIRWDDOSA-N 0 1 320.389 3.055 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc3ccccc32)CC1 ZINC001277241051 1096991145 /nfs/dbraw/zinc/99/11/45/1096991145.db2.gz YHRCXNCQHQODOA-UHFFFAOYSA-N 0 1 314.816 3.444 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCNCc1ncc(C)s1 ZINC001277264991 1097040894 /nfs/dbraw/zinc/04/08/94/1097040894.db2.gz VWEPFKAOCZOCBU-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CCC[C@H]1C[C@H](N1CC[C@H](C#N)C1)C2 ZINC001172101436 1097051596 /nfs/dbraw/zinc/05/15/96/1097051596.db2.gz GTLXFTMFCQNYAB-LVQVYYBASA-N 0 1 319.449 3.152 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@H](C)Cc1ccc(C#N)cc1 ZINC001172310158 1097097223 /nfs/dbraw/zinc/09/72/23/1097097223.db2.gz DWIHBRZYRVYEQY-VBQJREDUSA-N 0 1 302.418 3.009 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(CCC(=O)OCC)cc1 ZINC001228411097 1097115684 /nfs/dbraw/zinc/11/56/84/1097115684.db2.gz IHOKSVHKUIPZSS-HNNXBMFYSA-N 0 1 318.369 3.171 20 30 DGEDMN CCCCCCC[C@@H](CC)NC1(C(=O)OC)CCN(C)CC1 ZINC001172351782 1097122489 /nfs/dbraw/zinc/12/24/89/1097122489.db2.gz VHTFVRJQPFYAAP-MRXNPFEDSA-N 0 1 312.498 3.353 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OCc2ccccc2)nc1 ZINC001228618135 1097153312 /nfs/dbraw/zinc/15/33/12/1097153312.db2.gz WRPAJMHRHOVEOD-GFCCVEGCSA-N 0 1 311.337 3.259 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCN(Cc2ccc(C)c(F)c2)CC1 ZINC001228631566 1097155867 /nfs/dbraw/zinc/15/58/67/1097155867.db2.gz PBLZUFVKKNWFQV-UHFFFAOYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001228779520 1097188585 /nfs/dbraw/zinc/18/85/85/1097188585.db2.gz PBSOGRQQQGPFQT-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C[C@H](Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-])C(=C)C ZINC001228815979 1097196634 /nfs/dbraw/zinc/19/66/34/1097196634.db2.gz YZBPFPPEJBFFEC-AWEZNQCLSA-N 0 1 321.329 3.377 20 30 DGEDMN C=CC[C@H](C=C)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228860279 1097205239 /nfs/dbraw/zinc/20/52/39/1097205239.db2.gz SGJBCMQLHLOJIT-ZETCQYMHSA-N 0 1 304.224 3.224 20 30 DGEDMN CC#CCN1CCC(NC(=O)CCCCc2ccccc2)CC1 ZINC001228963514 1097227901 /nfs/dbraw/zinc/22/79/01/1097227901.db2.gz ZZGKKEXJQAOIGW-UHFFFAOYSA-N 0 1 312.457 3.003 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC[C@H](C)CC ZINC001277346787 1097231138 /nfs/dbraw/zinc/23/11/38/1097231138.db2.gz YDQVKNNUWNQTDP-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001229017516 1097238955 /nfs/dbraw/zinc/23/89/55/1097238955.db2.gz ULYFAWWKGCDLID-QGZVFWFLSA-N 0 1 306.475 3.431 20 30 DGEDMN Cc1cc(O[C@H]2COCCN(Cc3ccccc3)C2)ccc1C#N ZINC001229213491 1097277085 /nfs/dbraw/zinc/27/70/85/1097277085.db2.gz RGGSYBOGNYZTMD-HXUWFJFHSA-N 0 1 322.408 3.147 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H](C)CCC=C(C)C)CC1 ZINC001229313782 1097293676 /nfs/dbraw/zinc/29/36/76/1097293676.db2.gz FHQPUDATGRHXFL-QGZVFWFLSA-N 0 1 304.478 3.363 20 30 DGEDMN C[C@H]1CC[C@H](NCc2cc(C#N)co2)CN1C(=O)OC(C)(C)C ZINC001173065192 1097316983 /nfs/dbraw/zinc/31/69/83/1097316983.db2.gz VDYIZBORIUQYKJ-JSGCOSHPSA-N 0 1 319.405 3.029 20 30 DGEDMN C#C[C@@H](C)Oc1nc2ccc(NCc3ccc(F)cc3)nc2[nH]1 ZINC001229675521 1097362783 /nfs/dbraw/zinc/36/27/83/1097362783.db2.gz HXIUCDUUGGXDNW-LLVKDONJSA-N 0 1 310.332 3.110 20 30 DGEDMN C#CC[C@H](C)Oc1nc2ccc(NCc3ccc(F)cc3)nc2[nH]1 ZINC001229677882 1097363262 /nfs/dbraw/zinc/36/32/62/1097363262.db2.gz ZVRZUXHAIUOSCJ-LBPRGKRZSA-N 0 1 324.359 3.500 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](CCCCC)C(C)C)CC1 ZINC001229968524 1097420148 /nfs/dbraw/zinc/42/01/48/1097420148.db2.gz RMFQRSLIYRDDQF-SFHVURJKSA-N 0 1 306.494 3.443 20 30 DGEDMN CC(C)(C)OC(=O)NC1(C)CN(C2CCC(CC#N)CC2)C1 ZINC001173337338 1097421888 /nfs/dbraw/zinc/42/18/88/1097421888.db2.gz IANZSQFNCWAJPJ-UHFFFAOYSA-N 0 1 307.438 3.058 20 30 DGEDMN N#Cc1cccc(O[C@H]2CCCN(Cc3ccccc3F)C2)n1 ZINC001230043789 1097435049 /nfs/dbraw/zinc/43/50/49/1097435049.db2.gz SBBGAQJMCVQPRJ-INIZCTEOSA-N 0 1 311.360 3.136 20 30 DGEDMN C=CC[C@H](C=C)Oc1nc2cccc(N3CCN(C)CC3)c2o1 ZINC001230110712 1097446517 /nfs/dbraw/zinc/44/65/17/1097446517.db2.gz LCCCEUQUUDXBAH-AWEZNQCLSA-N 0 1 313.401 3.089 20 30 DGEDMN CN1CCC(C#N)(NC2CCC3(CCc4ccccc43)CC2)CC1 ZINC001173686448 1097495857 /nfs/dbraw/zinc/49/58/57/1097495857.db2.gz QNFKPMPRDFNQFO-UHFFFAOYSA-N 0 1 323.484 3.391 20 30 DGEDMN COc1ccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)cc1 ZINC001173806270 1097516806 /nfs/dbraw/zinc/51/68/06/1097516806.db2.gz UASCLBKRIHQLCN-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@@H](C)CCC)Cc1cccnc1 ZINC001230704321 1097559345 /nfs/dbraw/zinc/55/93/45/1097559345.db2.gz QTNMYXMCZMMWMU-KRWDZBQOSA-N 0 1 317.477 3.402 20 30 DGEDMN COc1ccc(F)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001173953910 1097564202 /nfs/dbraw/zinc/56/42/02/1097564202.db2.gz ZVRREMIBODLJTC-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN C=CCN(CCCNC(=O)C1(C)CCCC1)Cc1cccnc1 ZINC001230807634 1097594405 /nfs/dbraw/zinc/59/44/05/1097594405.db2.gz BXKWTTQASFYJLU-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccnc4ccccc43)sc2C1 ZINC001174037056 1097595869 /nfs/dbraw/zinc/59/58/69/1097595869.db2.gz KDOKLAQBZDAQOD-UHFFFAOYSA-N 0 1 320.421 3.321 20 30 DGEDMN C[Si](C)(C)C#C[C@@H](Oc1nncc2n[nH]cc21)c1ccccc1 ZINC001230895185 1097617358 /nfs/dbraw/zinc/61/73/58/1097617358.db2.gz DTGFAXWMELWMHS-MRXNPFEDSA-N 0 1 322.444 3.354 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC001230906576 1097619194 /nfs/dbraw/zinc/61/91/94/1097619194.db2.gz MOVJGTHCLKBCCK-RDJZCZTQSA-N 0 1 305.466 3.001 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cccc([C@@H](C)CC(=O)OC)c1 ZINC001231014088 1097646749 /nfs/dbraw/zinc/64/67/49/1097646749.db2.gz YLEKIJJTIZXZMT-XHDPSFHLSA-N 0 1 318.369 3.341 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC1=CCCCC1 ZINC001231094584 1097667155 /nfs/dbraw/zinc/66/71/55/1097667155.db2.gz HOAPPMDADVAGET-WMZOPIPTSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CC1=CCCCC1 ZINC001231094584 1097667158 /nfs/dbraw/zinc/66/71/58/1097667158.db2.gz HOAPPMDADVAGET-WMZOPIPTSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(OC)c(C)c1 ZINC001231171003 1097686813 /nfs/dbraw/zinc/68/68/13/1097686813.db2.gz XGDRAIDLKMRSST-QGZVFWFLSA-N 0 1 316.445 3.003 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CCCc1ccsc1 ZINC001231170030 1097687098 /nfs/dbraw/zinc/68/70/98/1097687098.db2.gz MJCFHYUCWDSCDE-MRXNPFEDSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1[C@H]1CCc2ccc(F)cc21 ZINC001231178027 1097688971 /nfs/dbraw/zinc/68/89/71/1097688971.db2.gz IJGFALLAVKTVFN-WMZOPIPTSA-N 0 1 316.420 3.312 20 30 DGEDMN N#Cc1ccc(NC2=CCCN(Cc3ccccc3)C2)c(O)c1 ZINC001174395440 1097690355 /nfs/dbraw/zinc/69/03/55/1097690355.db2.gz WKRHORXSSMBLEC-UHFFFAOYSA-N 0 1 305.381 3.466 20 30 DGEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H]1CCN1Cc1ccc2ccccc2c1 ZINC001231294170 1097715280 /nfs/dbraw/zinc/71/52/80/1097715280.db2.gz VRMUZHOHJBOLNA-KXBFYZLASA-N 0 1 321.424 3.032 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001174672284 1097795702 /nfs/dbraw/zinc/79/57/02/1097795702.db2.gz MGXIKIZPNIDYDD-HNNXBMFYSA-N 0 1 321.465 3.350 20 30 DGEDMN C#Cc1cccc(CN2CCCN(C(=O)c3ccccc3)CC2)c1 ZINC001231661153 1097807165 /nfs/dbraw/zinc/80/71/65/1097807165.db2.gz OVNZBUPVRBCQQN-UHFFFAOYSA-N 0 1 318.420 3.016 20 30 DGEDMN Cc1ccc(NC(=O)CCN(C)[C@H]2CCC[C@@H]2C#N)cc1F ZINC001175250281 1097986186 /nfs/dbraw/zinc/98/61/86/1097986186.db2.gz QIHVMUMBURHEQM-CJNGLKHVSA-N 0 1 303.381 3.087 20 30 DGEDMN COc1cc([O-])c(C[N@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)cc1Cl ZINC001232269773 1097988972 /nfs/dbraw/zinc/98/89/72/1097988972.db2.gz KLMMBEIEACNEMY-UOJUARBOSA-N 0 1 306.793 3.321 20 30 DGEDMN COc1cc([O-])c(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)cc1Cl ZINC001232269773 1097988976 /nfs/dbraw/zinc/98/89/76/1097988976.db2.gz KLMMBEIEACNEMY-UOJUARBOSA-N 0 1 306.793 3.321 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3cc(C#N)ccn3)CC2)cc1 ZINC001232480584 1098053115 /nfs/dbraw/zinc/05/31/15/1098053115.db2.gz DZVBJHPKXSHNBY-UHFFFAOYSA-N 0 1 316.408 3.280 20 30 DGEDMN N#CC1CCN(Cc2cc(F)c(O)c(Br)c2)CC1 ZINC001232630680 1098102437 /nfs/dbraw/zinc/10/24/37/1098102437.db2.gz UYKKAXRGEINXLI-UHFFFAOYSA-N 0 1 313.170 3.029 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cc(F)c(O)c(Br)c2)C1 ZINC001232632985 1098102796 /nfs/dbraw/zinc/10/27/96/1098102796.db2.gz AOAHPULDCSGMPO-SECBINFHSA-N 0 1 313.170 3.029 20 30 DGEDMN CSc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cn1 ZINC001175651815 1098116078 /nfs/dbraw/zinc/11/60/78/1098116078.db2.gz TWNQOEXYFJHWIC-UHFFFAOYSA-N 0 1 316.455 3.468 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2ccc3c(C)[nH]nc3c2)c1 ZINC001175664982 1098130591 /nfs/dbraw/zinc/13/05/91/1098130591.db2.gz KIQAQLONBGKKIB-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1c[nH]c3ccc(O)cc13)CC2 ZINC001232864703 1098155477 /nfs/dbraw/zinc/15/54/77/1098155477.db2.gz LIWZHNUORRPJHM-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2ccc(C)cc2C#N)C1 ZINC001232967349 1098187032 /nfs/dbraw/zinc/18/70/32/1098187032.db2.gz JBEFFNCJEQKUTC-MRXNPFEDSA-N 0 1 300.402 3.032 20 30 DGEDMN COc1cccc(C[C@H]2CCCN(Cc3[nH]ccc3C#N)C2)c1 ZINC001233048715 1098212714 /nfs/dbraw/zinc/21/27/14/1098212714.db2.gz KHQXNFHFAUKQKH-MRXNPFEDSA-N 0 1 309.413 3.350 20 30 DGEDMN CN(C)c1ccc(CN(C)Cc2ccccc2O)c(F)c1C#N ZINC001233102108 1098227425 /nfs/dbraw/zinc/22/74/25/1098227425.db2.gz PCLYYSNJTGYZKI-UHFFFAOYSA-N 0 1 313.376 3.101 20 30 DGEDMN N#CCCN(Cc1n[nH]c2cc(F)ccc21)Cc1cccnc1 ZINC001233119821 1098230797 /nfs/dbraw/zinc/23/07/97/1098230797.db2.gz PPJYMOFTRXVWMY-UHFFFAOYSA-N 0 1 309.348 3.013 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCC[N@H+](Cc1ccc([S-])cc1)C2 ZINC001233261011 1098267503 /nfs/dbraw/zinc/26/75/03/1098267503.db2.gz YEYNKTMWUMWOER-SJLPKXTDSA-N 0 1 319.470 3.301 20 30 DGEDMN COc1ccc(C#N)cc1CN1Cc2ccccc2N(C)C[C@@H]1C ZINC000438468708 1098307217 /nfs/dbraw/zinc/30/72/17/1098307217.db2.gz KGVWCADIBRCRKG-HNNXBMFYSA-N 0 1 321.424 3.407 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@H](Oc3ccccc3)C2)c([O-])c1 ZINC001233386245 1098310007 /nfs/dbraw/zinc/31/00/07/1098310007.db2.gz LPSQWPVWIMBFLY-SFHVURJKSA-N 0 1 308.381 3.307 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@H](OCc3ccccc3)C2)c([O-])c1 ZINC001233386606 1098310568 /nfs/dbraw/zinc/31/05/68/1098310568.db2.gz AJYFIGZEOZFCLB-IBGZPJMESA-N 0 1 322.408 3.445 20 30 DGEDMN CC1(C#N)CCN(Cc2c[nH]nc2-c2cc(F)cc(F)c2)CC1 ZINC001233406010 1098317234 /nfs/dbraw/zinc/31/72/34/1098317234.db2.gz WYUQUGYTPHPRME-UHFFFAOYSA-N 0 1 316.355 3.481 20 30 DGEDMN N#CCc1cccc(Nc2ccc(CCN3CCOCC3)cc2)c1 ZINC001176385905 1098327159 /nfs/dbraw/zinc/32/71/59/1098327159.db2.gz KQEODMDJOIJOGJ-UHFFFAOYSA-N 0 1 321.424 3.371 20 30 DGEDMN Cc1cc(Nc2ccc(CCN3CCOCC3)cc2)ccc1C#N ZINC001176386370 1098327282 /nfs/dbraw/zinc/32/72/82/1098327282.db2.gz YQGJAAHCUGFBGC-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN CC(C)(C)OC(=O)n1ccc(CN2CCC(CC#N)CC2)c1 ZINC001233432709 1098329217 /nfs/dbraw/zinc/32/92/17/1098329217.db2.gz WIYAOIPNFMGKDE-UHFFFAOYSA-N 0 1 303.406 3.397 20 30 DGEDMN CN(Cc1c[nH]c(Br)c1)Cc1cccc(C#N)c1 ZINC001233438549 1098333335 /nfs/dbraw/zinc/33/33/35/1098333335.db2.gz VYRAYJQUHQXUTH-UHFFFAOYSA-N 0 1 304.191 3.281 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2coc(C)n2)CCCCC1 ZINC001176789962 1098431740 /nfs/dbraw/zinc/43/17/40/1098431740.db2.gz BUNWPWBARATTQY-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN CCOC(=O)C1(CC(F)F)CCN(CCC(C)(C)C#N)CC1 ZINC001176898352 1098453700 /nfs/dbraw/zinc/45/37/00/1098453700.db2.gz RQTKUZZNSBMPOD-UHFFFAOYSA-N 0 1 316.392 3.227 20 30 DGEDMN C=CCCCN1CCO[C@@H]2CCN(C(=O)C=C(CC)CC)C[C@H]21 ZINC001176982890 1098458695 /nfs/dbraw/zinc/45/86/95/1098458695.db2.gz KKYFQWLGJFAOGP-QZTJIDSGSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H]2OCCN(CCC(C)(C)C)[C@@H]2C1 ZINC001177026571 1098463964 /nfs/dbraw/zinc/46/39/64/1098463964.db2.gz ZQVFTNIIVAYVHX-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001233947677 1098464713 /nfs/dbraw/zinc/46/47/13/1098464713.db2.gz NQICBYRRVYEOIK-HXUWFJFHSA-N 0 1 324.468 3.400 20 30 DGEDMN COC(=O)c1cccc2nc(O[C@@H](CC#N)c3ccccc3)[nH]c21 ZINC001234046133 1098485083 /nfs/dbraw/zinc/48/50/83/1098485083.db2.gz YLRHGKOIDBZICY-HNNXBMFYSA-N 0 1 321.336 3.383 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001234159475 1098507066 /nfs/dbraw/zinc/50/70/66/1098507066.db2.gz ASFWQKFFGASTOF-HXUWFJFHSA-N 0 1 324.468 3.256 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC001234162257 1098507599 /nfs/dbraw/zinc/50/75/99/1098507599.db2.gz QXKJECNKBKXECQ-IBGZPJMESA-N 0 1 324.468 3.256 20 30 DGEDMN CN(C[C@@H]1CCN1Cc1ccc(C2CC2)cc1)C(=O)C#CC1CC1 ZINC001234186555 1098510845 /nfs/dbraw/zinc/51/08/45/1098510845.db2.gz KTUAMIQOBKHZIR-FQEVSTJZSA-N 0 1 322.452 3.010 20 30 DGEDMN CN(C[C@@H]1CC[N@H+]1CCC1=CCCCC1)C(=O)C#CC(C)(C)C ZINC001234214987 1098515343 /nfs/dbraw/zinc/51/53/43/1098515343.db2.gz GWYABSMAWYQSGU-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C[C@@H](c1ccccc1)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214597 1098515601 /nfs/dbraw/zinc/51/56/01/1098515601.db2.gz DDQPNUYJVCBBRX-WMZOPIPTSA-N 0 1 312.457 3.330 20 30 DGEDMN C[C@@H](c1ccccc1)N1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214597 1098515604 /nfs/dbraw/zinc/51/56/04/1098515604.db2.gz DDQPNUYJVCBBRX-WMZOPIPTSA-N 0 1 312.457 3.330 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1c(F)ccc(Cl)c1OC ZINC001234324396 1098536629 /nfs/dbraw/zinc/53/66/29/1098536629.db2.gz CQHDSWYAQUZRBL-SNVBAGLBSA-N 0 1 300.713 3.476 20 30 DGEDMN C[C@@H](CS)Oc1[nH]c(=O)nc2cc(C(F)(F)F)ccc21 ZINC001234412857 1098550171 /nfs/dbraw/zinc/55/01/71/1098550171.db2.gz WHJZOGAKLWTUHE-LURJTMIESA-N 0 1 304.293 3.051 20 30 DGEDMN COc1cc(CNCc2ccnc(OCC3CC3)c2)ccc1C#N ZINC001177674054 1098575375 /nfs/dbraw/zinc/57/53/75/1098575375.db2.gz JCNMVXJQWCHZQO-UHFFFAOYSA-N 0 1 323.396 3.040 20 30 DGEDMN CCCn1cncc1CNCc1cccc(O[C@H](C#N)CC)c1 ZINC001177885761 1098636066 /nfs/dbraw/zinc/63/60/66/1098636066.db2.gz SOSUVWZPUQTQJU-KRWDZBQOSA-N 0 1 312.417 3.264 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001177916039 1098648128 /nfs/dbraw/zinc/64/81/28/1098648128.db2.gz RTONNFKTIABCQD-UHOFOFEASA-N 0 1 314.385 3.242 20 30 DGEDMN C[C@@H](NC(=O)C(C#N)Cc1cccs1)c1nc2ccccc2[nH]1 ZINC001177916003 1098648830 /nfs/dbraw/zinc/64/88/30/1098648830.db2.gz CPVIYHQJQMOLIE-NEPJUHHUSA-N 0 1 324.409 3.184 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCCc2cccnc21 ZINC001177916345 1098648890 /nfs/dbraw/zinc/64/88/90/1098648890.db2.gz VCXNRTXYJQEIKG-UKRRQHHQSA-N 0 1 311.410 3.019 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001277913910 1098733624 /nfs/dbraw/zinc/73/36/24/1098733624.db2.gz JSZSLCAQHUGIAU-DOMZBBRYSA-N 0 1 323.506 3.299 20 30 DGEDMN CCC[C@H](C)C(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001178375723 1098799130 /nfs/dbraw/zinc/79/91/30/1098799130.db2.gz OTKXPRRRJCSILP-MPGHIAIKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2ncccc2c1 ZINC001277922826 1098800463 /nfs/dbraw/zinc/80/04/63/1098800463.db2.gz QPAXDPAUVIYGAY-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCCCCNCc1cc(C)on1 ZINC001178579635 1098865190 /nfs/dbraw/zinc/86/51/90/1098865190.db2.gz CBLGBCQIDXBKLS-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C#Cc3ccc(F)cc3F)ccc12 ZINC001178573982 1098879951 /nfs/dbraw/zinc/87/99/51/1098879951.db2.gz YUBNAVYNBYYGDJ-UHFFFAOYSA-N 0 1 311.291 3.140 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2Cc2ccccc2C)CCC1 ZINC001235209115 1098880068 /nfs/dbraw/zinc/88/00/68/1098880068.db2.gz JEDBSAZUQOXBSW-IBGZPJMESA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1nc(C)oc1C ZINC001178598462 1098883288 /nfs/dbraw/zinc/88/32/88/1098883288.db2.gz HFUGIWFPENZJDQ-UHFFFAOYSA-N 0 1 321.465 3.414 20 30 DGEDMN CCN(CCC#N)Cc1cc(F)cc(Br)c1O ZINC001235302450 1098949582 /nfs/dbraw/zinc/94/95/82/1098949582.db2.gz QIQBCWGAPQUUFB-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN CC[N@H+](CCC#N)Cc1cc(F)cc(Br)c1[O-] ZINC001235302450 1098949592 /nfs/dbraw/zinc/94/95/92/1098949592.db2.gz QIQBCWGAPQUUFB-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN CC[N@@H+](CCC#N)Cc1cc(F)cc(Br)c1[O-] ZINC001235302450 1098949597 /nfs/dbraw/zinc/94/95/97/1098949597.db2.gz QIQBCWGAPQUUFB-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN N#Cc1ccc(CNCc2ccccc2OCCCCO)s1 ZINC001178977172 1098973951 /nfs/dbraw/zinc/97/39/51/1098973951.db2.gz GUCUEUCVQBDQJG-UHFFFAOYSA-N 0 1 316.426 3.061 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179334978 1099076080 /nfs/dbraw/zinc/07/60/80/1099076080.db2.gz DDXSEZMIUDMTJH-OAHLLOKOSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCCCCNCc1cc(C)on1 ZINC001179339307 1099077052 /nfs/dbraw/zinc/07/70/52/1099077052.db2.gz CBFYOQZBLSUPGV-INIZCTEOSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1ncc(CC)o1 ZINC001179421047 1099099532 /nfs/dbraw/zinc/09/95/32/1099099532.db2.gz FWEWSYZUZFHWJC-UHFFFAOYSA-N 0 1 321.465 3.360 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1cc(C)on1 ZINC001179422294 1099101779 /nfs/dbraw/zinc/10/17/79/1099101779.db2.gz SHAYSRRXYABJQV-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1nc(C)oc1C ZINC001179426365 1099103055 /nfs/dbraw/zinc/10/30/55/1099103055.db2.gz XHXXDRSEXNJITO-UHFFFAOYSA-N 0 1 321.465 3.414 20 30 DGEDMN CO[C@H]1CCC[C@@H]2CN(Cc3c(F)cc(C#N)cc3F)C[C@@H]21 ZINC001235656502 1099110517 /nfs/dbraw/zinc/11/05/17/1099110517.db2.gz GUHFOWLRJVXOHL-IGCXYCKISA-N 0 1 306.356 3.083 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCCCCNCc1nocc1C ZINC001179482111 1099113372 /nfs/dbraw/zinc/11/33/72/1099113372.db2.gz PGEYILZNEDMFRE-GOSISDBHSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001235745536 1099161467 /nfs/dbraw/zinc/16/14/67/1099161467.db2.gz ZLOGPYPBEPLIAL-XDXAGZTOSA-N 0 1 316.420 3.338 20 30 DGEDMN CCOC(=O)c1cccc2nc(NCc3ccc(C#N)cc3)[nH]c21 ZINC001179758162 1099201131 /nfs/dbraw/zinc/20/11/31/1099201131.db2.gz BWUJONXXXHIUFW-UHFFFAOYSA-N 0 1 320.352 3.223 20 30 DGEDMN C[C@@H]1CC[C@@](CO)(NCc2csc(C#N)c2)c2ccccc21 ZINC001179789583 1099203121 /nfs/dbraw/zinc/20/31/21/1099203121.db2.gz SYBZEWZYBGPMDD-ACJLOTCBSA-N 0 1 312.438 3.494 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)c1[nH]nc2c1CCCC2 ZINC001180481323 1099421311 /nfs/dbraw/zinc/42/13/11/1099421311.db2.gz YMZOGOHQLFJIOX-AWEZNQCLSA-N 0 1 321.465 3.130 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)c1ccc(Cl)c(C#N)c1 ZINC001180764845 1099504115 /nfs/dbraw/zinc/50/41/15/1099504115.db2.gz JIPHGZBXYRUBFV-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@@H](C)n2cccc2)CC1 ZINC001273468148 1099515263 /nfs/dbraw/zinc/51/52/63/1099515263.db2.gz XHMWDOKHWOBARN-HZPDHXFCSA-N 0 1 323.868 3.162 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1nc(C)c(C)s1 ZINC001273546440 1099585272 /nfs/dbraw/zinc/58/52/72/1099585272.db2.gz OGZQVUBLUKLUQE-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN Cc1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(C#N)n1 ZINC001236470685 1099630531 /nfs/dbraw/zinc/63/05/31/1099630531.db2.gz KPOJELLRPJFRCE-MSOLQXFVSA-N 0 1 316.408 3.477 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3cc(C#N)ccc3OC)[nH]c-2n1 ZINC001236539126 1099671473 /nfs/dbraw/zinc/67/14/73/1099671473.db2.gz VULKFUDFBGPVCK-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(OC)c(Br)cc2O)C1 ZINC001236757780 1099771926 /nfs/dbraw/zinc/77/19/26/1099771926.db2.gz XUNCCBSLGMAFKF-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN CO[C@H]1CCCN(Cc2ccc(C#N)c(Br)c2)CC1 ZINC001236862071 1099838749 /nfs/dbraw/zinc/83/87/49/1099838749.db2.gz NOZIUGCDPWWGOV-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)CC(C)(C)C)[C@@H]2C1 ZINC001189219590 1099996311 /nfs/dbraw/zinc/99/63/11/1099996311.db2.gz YPFNMTVHBPMXRL-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN N#Cc1c(F)ccc(NC(=O)c2cn[nH]c2-c2ccccc2)c1F ZINC001189696342 1100114997 /nfs/dbraw/zinc/11/49/97/1100114997.db2.gz DANILEGZGPTGNY-UHFFFAOYSA-N 0 1 324.290 3.479 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)cc1)Cc1ccnc(C#N)c1 ZINC001237469637 1100134055 /nfs/dbraw/zinc/13/40/55/1100134055.db2.gz CFVKGTMMSJGVKE-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN C#CCN(C(=O)CC1CCCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001278114906 1100172418 /nfs/dbraw/zinc/17/24/18/1100172418.db2.gz BLIJPUAJUXRXHQ-KRWDZBQOSA-N 0 1 322.468 3.241 20 30 DGEDMN N#Cc1ccc2nc(CN3CC[C@@H](c4cccc(F)c4)C3)cn2c1 ZINC001237606354 1100185674 /nfs/dbraw/zinc/18/56/74/1100185674.db2.gz KVGYNBXBSCHUAR-MRXNPFEDSA-N 0 1 320.371 3.335 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)Nc2ccc3nc(CO)[nH]c3c2)cc1 ZINC001190232013 1100220904 /nfs/dbraw/zinc/22/09/04/1100220904.db2.gz RMETXGLSNOSWIR-UHFFFAOYSA-N 0 1 321.380 3.426 20 30 DGEDMN C=CCC1(CNS(=O)(=O)c2c(F)cccc2F)CCCC1 ZINC001190264617 1100228747 /nfs/dbraw/zinc/22/87/47/1100228747.db2.gz LZPBAXJXYGUIJD-UHFFFAOYSA-N 0 1 315.385 3.380 20 30 DGEDMN CCCCCCCNS(=O)(=O)c1cc(F)cc(F)c1F ZINC001190401213 1100262171 /nfs/dbraw/zinc/26/21/71/1100262171.db2.gz GKJNCUNYGQXXRO-UHFFFAOYSA-N 0 1 309.353 3.353 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1cccc(N2CCOCC2)n1 ZINC001237850586 1100267803 /nfs/dbraw/zinc/26/78/03/1100267803.db2.gz LJBVZEZNRFUAJG-UHFFFAOYSA-N 0 1 323.440 3.106 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]([C@H]2CCCCN2C(=O)CC(C)=C(C)C)C1 ZINC001273606938 1100332226 /nfs/dbraw/zinc/33/22/26/1100332226.db2.gz GKXVSMPEOUGIJU-RBUKOAKNSA-N 0 1 316.489 3.459 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@@]23C[C@@H]2CCN3CCC(C)C)c1 ZINC001278176701 1100348307 /nfs/dbraw/zinc/34/83/07/1100348307.db2.gz UZOHFXDLWVXNAF-QFBILLFUSA-N 0 1 311.429 3.316 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC001238086366 1100355359 /nfs/dbraw/zinc/35/53/59/1100355359.db2.gz CPTCUYNTORLIPF-OAHLLOKOSA-N 0 1 320.820 3.377 20 30 DGEDMN N#Cc1cc(CN(CCO)Cc2cccs2)ccc1Cl ZINC001238193838 1100385658 /nfs/dbraw/zinc/38/56/58/1100385658.db2.gz GOKFIVWGWFOXJX-UHFFFAOYSA-N 0 1 306.818 3.268 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(Cc3ccc(Cl)c(C#N)c3)C2)o1 ZINC001238199133 1100390198 /nfs/dbraw/zinc/39/01/98/1100390198.db2.gz QRGSGJRYGROWFX-CYBMUJFWSA-N 0 1 316.792 3.283 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)[C@H]1C ZINC001278229879 1100435152 /nfs/dbraw/zinc/43/51/52/1100435152.db2.gz BNOOJLPHWFCETJ-MLGOLLRUSA-N 0 1 308.372 3.010 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3cscc3s2)C1 ZINC001191413926 1100463352 /nfs/dbraw/zinc/46/33/52/1100463352.db2.gz ISFUYWHIRTVYHW-CYBMUJFWSA-N 0 1 318.467 3.132 20 30 DGEDMN COc1cc(CN2CCC(C#N)CC2)c(-c2ccccc2)cn1 ZINC001238593248 1100478762 /nfs/dbraw/zinc/47/87/62/1100478762.db2.gz AZRJUQAAYBXSPW-UHFFFAOYSA-N 0 1 307.397 3.493 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001191763553 1100507321 /nfs/dbraw/zinc/50/73/21/1100507321.db2.gz MXHOEPOWOBCNTR-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CCN1CCN(Cc2cc(C)c(OC(C)C)c(C)c2)CC1 ZINC001238758613 1100520392 /nfs/dbraw/zinc/52/03/92/1100520392.db2.gz VBLGKMQHNQWDFN-UHFFFAOYSA-N 0 1 302.462 3.394 20 30 DGEDMN CCc1cc(CN2CC[C@@]3(C2)CC(F)(F)CO3)ccc1C#N ZINC001238769891 1100525090 /nfs/dbraw/zinc/52/50/90/1100525090.db2.gz WCKKORHNWJECSF-INIZCTEOSA-N 0 1 306.356 3.121 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC001191927673 1100544597 /nfs/dbraw/zinc/54/45/97/1100544597.db2.gz CSINOLKFRXXHEU-YJBOKZPZSA-N 0 1 312.413 3.465 20 30 DGEDMN COc1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1OC(C)C ZINC001238925522 1100558102 /nfs/dbraw/zinc/55/81/02/1100558102.db2.gz MPDBTXLSIAVXSF-UHFFFAOYSA-N 0 1 308.341 3.292 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cncc(C)c2)C1 ZINC001192586344 1100638765 /nfs/dbraw/zinc/63/87/65/1100638765.db2.gz MVMSEWXWKKRXDB-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001192586344 1100638767 /nfs/dbraw/zinc/63/87/67/1100638767.db2.gz MVMSEWXWKKRXDB-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001192687948 1100651924 /nfs/dbraw/zinc/65/19/24/1100651924.db2.gz MUEXACZUDATLRF-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@@H](CNC(=O)C1CCC1)O2 ZINC001273644439 1100652036 /nfs/dbraw/zinc/65/20/36/1100652036.db2.gz AJOWCLZTQOZPOJ-KRWDZBQOSA-N 0 1 322.493 3.107 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)C2CCCCC2)C1 ZINC001192800804 1100663595 /nfs/dbraw/zinc/66/35/95/1100663595.db2.gz IWCGKXUTEUAKPI-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCNC(=S)N1CCNC[C@H]1c1ccc(Cl)cc1 ZINC001239437527 1100665848 /nfs/dbraw/zinc/66/58/48/1100665848.db2.gz HSQOCZOTYYRZBK-HNNXBMFYSA-N 0 1 323.893 3.127 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@@H](N(C)CC#CC)C2)CCCCC1 ZINC001192825875 1100667067 /nfs/dbraw/zinc/66/70/67/1100667067.db2.gz MLEGDZFBJZLNBA-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN CCCCCCC[C@H](C)NC(=O)N1CCN(CC2CC2)CC1 ZINC001192927349 1100681361 /nfs/dbraw/zinc/68/13/61/1100681361.db2.gz LCNKKUQTUXBFPT-INIZCTEOSA-N 0 1 309.498 3.473 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001193038288 1100690439 /nfs/dbraw/zinc/69/04/39/1100690439.db2.gz LJOZNNYPQLTLHL-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001193451135 1100741267 /nfs/dbraw/zinc/74/12/67/1100741267.db2.gz QQILQWHYCVUYDP-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN N#Cc1cc(C(=O)N2CCc3c(oc4ccccc43)C2)ccc1O ZINC001193504519 1100757632 /nfs/dbraw/zinc/75/76/32/1100757632.db2.gz SZBBRLKDMUMOPG-UHFFFAOYSA-N 0 1 318.332 3.209 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(C3CCOCC3)cc2)ccc1O ZINC001193504355 1100757712 /nfs/dbraw/zinc/75/77/12/1100757712.db2.gz BVRWEFNPHDOSRS-UHFFFAOYSA-N 0 1 322.364 3.410 20 30 DGEDMN CCC1(NC(=O)c2ccc(O)c(C#N)c2)CCC(F)(F)CC1 ZINC001193519391 1100761201 /nfs/dbraw/zinc/76/12/01/1100761201.db2.gz XRBRUZWNVBWVBF-UHFFFAOYSA-N 0 1 308.328 3.352 20 30 DGEDMN CC(C)(C)c1nc2nc[nH]c2c(-c2cc(F)c(C#N)c(F)c2)n1 ZINC001239918831 1100798227 /nfs/dbraw/zinc/79/82/27/1100798227.db2.gz PGISHTVMMQKIHA-UHFFFAOYSA-N 0 1 313.311 3.419 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(CCC)c(C)s2)C1 ZINC001193896039 1100837818 /nfs/dbraw/zinc/83/78/18/1100837818.db2.gz DIINRSNVQLBBNS-MRXNPFEDSA-N 0 1 318.486 3.179 20 30 DGEDMN CCCCNC(=O)c1cccc(-c2cc3[nH]cnc3c(C#N)n2)c1 ZINC001240084610 1100859166 /nfs/dbraw/zinc/85/91/66/1100859166.db2.gz YDQHXRJVKDXVDG-UHFFFAOYSA-N 0 1 319.368 3.026 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(C#N)c(F)c3)ccc-2n1 ZINC001240143104 1100878055 /nfs/dbraw/zinc/87/80/55/1100878055.db2.gz PRAJAGAFLVPBFE-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN N#CCOc1ccccc1-c1cccc(CCN2CCOCC2)c1 ZINC001240270870 1100918392 /nfs/dbraw/zinc/91/83/92/1100918392.db2.gz PEEPWNCIEBYRJV-UHFFFAOYSA-N 0 1 322.408 3.131 20 30 DGEDMN COc1cccc(-c2cccc(CCN3CCOCC3)c2)c1C#N ZINC001240271061 1100918981 /nfs/dbraw/zinc/91/89/81/1100918981.db2.gz SXUVZYZWMSYKDG-UHFFFAOYSA-N 0 1 322.408 3.109 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2cccc(Cl)c2)CC1 ZINC001194343552 1100927568 /nfs/dbraw/zinc/92/75/68/1100927568.db2.gz YZZMQMYYSBMXBO-UHFFFAOYSA-N 0 1 308.809 3.217 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC2CCC(C)(C)CC2)C1 ZINC001194545778 1100962519 /nfs/dbraw/zinc/96/25/19/1100962519.db2.gz OQEKNZIGFJHFAL-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCCCCC[N@H+]1CCCN(C(=O)c2cccnc2)CC1 ZINC001194666521 1100987873 /nfs/dbraw/zinc/98/78/73/1100987873.db2.gz VFEOEENPFPNRRL-UHFFFAOYSA-N 0 1 303.450 3.200 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC001195182006 1101084342 /nfs/dbraw/zinc/08/43/42/1101084342.db2.gz FMJNFKYABXPNEL-HNNXBMFYSA-N 0 1 304.409 3.387 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc(OC)c(C)c2)CC1 ZINC001195226556 1101102102 /nfs/dbraw/zinc/10/21/02/1101102102.db2.gz SXMDOYFENNSWFY-UHFFFAOYSA-N 0 1 316.445 3.004 20 30 DGEDMN Cc1cc(C#N)cc(C(=O)Nc2ccc(-n3ccc(=O)[nH]3)cc2)c1 ZINC001195428859 1101141154 /nfs/dbraw/zinc/14/11/54/1101141154.db2.gz CZIZQBIEBCXOEV-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN CCC#C[C@H](C)N1CC[C@@H](Oc2cncc(Br)c2)C1 ZINC001195577609 1101156900 /nfs/dbraw/zinc/15/69/00/1101156900.db2.gz OOCNPCSYSAAFOT-GXTWGEPZSA-N 0 1 323.234 3.099 20 30 DGEDMN CCCCCCC[N@H+]1CCCN(C(=O)c2nccn2CC)CC1 ZINC001195639107 1101166244 /nfs/dbraw/zinc/16/62/44/1101166244.db2.gz BCTJIGHPVOLPGA-UHFFFAOYSA-N 0 1 320.481 3.021 20 30 DGEDMN Cc1cc(CN2CCCN(C(=O)C#CC(C)C)CC2)c(C)s1 ZINC001195754072 1101188797 /nfs/dbraw/zinc/18/87/97/1101188797.db2.gz ILTJZJWTYRCFQE-UHFFFAOYSA-N 0 1 318.486 3.059 20 30 DGEDMN COc1nc(NC(=O)c2ccc(C#N)cc2O)cc2ccccc21 ZINC001195740653 1101196389 /nfs/dbraw/zinc/19/63/89/1101196389.db2.gz IZHSJPPMMDGAKQ-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)COCCCCCCCC)CC1 ZINC001196172313 1101262100 /nfs/dbraw/zinc/26/21/00/1101262100.db2.gz YCMVDLOIGZGHTR-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196855038 1101418721 /nfs/dbraw/zinc/41/87/21/1101418721.db2.gz XLIJHCWPKXZJCV-QZTJIDSGSA-N 0 1 314.473 3.488 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)CC/C=C/c2ccccc2)CC1 ZINC001197159037 1101492074 /nfs/dbraw/zinc/49/20/74/1101492074.db2.gz ZVQKXPLBWFHKRJ-KPKJPENVSA-N 0 1 310.441 3.038 20 30 DGEDMN N#CC1(c2ccc(-c3ccc4[nH]cnc4c3)nc2)CCOCC1 ZINC001241688004 1101636645 /nfs/dbraw/zinc/63/66/45/1101636645.db2.gz GYIFZBIIGYKHBZ-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)CCCF)C2 ZINC001278334442 1101646473 /nfs/dbraw/zinc/64/64/73/1101646473.db2.gz BXJOJYLZASXVDQ-INIZCTEOSA-N 0 1 316.848 3.193 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CC(C)C)c1ccccc1OC ZINC001198500222 1101881553 /nfs/dbraw/zinc/88/15/53/1101881553.db2.gz WIRNNSQOYUTNAN-INIZCTEOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CCC(C)C)c1ccccc1OC ZINC001198514985 1101903833 /nfs/dbraw/zinc/90/38/33/1101903833.db2.gz ISJQVLLURAPLMS-KRWDZBQOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CCCC)c1ccccc1OC ZINC001198544904 1101914774 /nfs/dbraw/zinc/91/47/74/1101914774.db2.gz WNTSHMFZCVFTCH-INIZCTEOSA-N 0 1 304.434 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@H](C)C(C)C)c1ccccc1OC ZINC001198606329 1101924797 /nfs/dbraw/zinc/92/47/97/1101924797.db2.gz QZLFYEJFHQIGTD-RDJZCZTQSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@@H](CNC(=O)/C=C\C(C)(C)C)c1ccccc1OC ZINC001198616117 1101928991 /nfs/dbraw/zinc/92/89/91/1101928991.db2.gz DUOKLIVLNURIQN-JNSOCZORSA-N 0 1 316.445 3.230 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@H]1CC1(C)C)c1ccccc1OC ZINC001198668556 1101953371 /nfs/dbraw/zinc/95/33/71/1101953371.db2.gz SUMLVCSXQONMBR-HZPDHXFCSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H]1CC1(C)C)c1ccccc1OC ZINC001198668557 1101953688 /nfs/dbraw/zinc/95/36/88/1101953688.db2.gz SUMLVCSXQONMBR-JKSUJKDBSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)/C=C(\C)CC)c1ccccc1OC ZINC001198714513 1101972217 /nfs/dbraw/zinc/97/22/17/1101972217.db2.gz XWLAWKMOZSIGDE-JMPLCFMRSA-N 0 1 316.445 3.375 20 30 DGEDMN CC(C)(C)n1cncc1[C@H]1CCCN(Cc2cncc(C#N)c2)C1 ZINC001198790475 1101977063 /nfs/dbraw/zinc/97/70/63/1101977063.db2.gz INFBYRJAGKVIAZ-KRWDZBQOSA-N 0 1 323.444 3.284 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@H](F)C(C)C)c1ccccc1OC ZINC001198844010 1101998509 /nfs/dbraw/zinc/99/85/09/1101998509.db2.gz YZDPIMIPFSZSBE-DOTOQJQBSA-N 0 1 322.424 3.012 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(C)CC)c1ccccc1OC ZINC001198875730 1102017414 /nfs/dbraw/zinc/01/74/14/1102017414.db2.gz HSMREBMVWCUURO-HNNXBMFYSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C(C)(C)CC)c1ccccc1OC ZINC001198876174 1102017994 /nfs/dbraw/zinc/01/79/94/1102017994.db2.gz PUGKXUMQRCLAON-INIZCTEOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C1(CCC)CC1)c1ccccc1OC ZINC001198885597 1102023154 /nfs/dbraw/zinc/02/31/54/1102023154.db2.gz MJMSRHUPRRHLEE-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](CC)CCC)c1ccccc1OC ZINC001198944955 1102040183 /nfs/dbraw/zinc/04/01/83/1102040183.db2.gz IXMAFAMRKGCJDI-RDJZCZTQSA-N 0 1 318.461 3.454 20 30 DGEDMN CC1(C)CC(=O)C=C(c2cccc(OCCN3CCCC3)n2)C1 ZINC001242314280 1102045928 /nfs/dbraw/zinc/04/59/28/1102045928.db2.gz RYYKJHBTKMJBFK-UHFFFAOYSA-N 0 1 314.429 3.329 20 30 DGEDMN N#Cc1cc(-c2cccc(F)c2[O-])ccc1OC1CC[NH2+]CC1 ZINC001242352388 1102070423 /nfs/dbraw/zinc/07/04/23/1102070423.db2.gz VQKXFCOCBFJJIS-UHFFFAOYSA-N 0 1 312.344 3.201 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001110535760 1102145757 /nfs/dbraw/zinc/14/57/57/1102145757.db2.gz UJGFVBFTDGDFLC-YYIDZPINSA-N 0 1 323.440 3.136 20 30 DGEDMN CCCC[C@@H](C(=O)NCCNCc1ccccc1C#N)C(C)C ZINC001152645894 1102159164 /nfs/dbraw/zinc/15/91/64/1102159164.db2.gz KLOMUXNTRPXVES-GOSISDBHSA-N 0 1 315.461 3.226 20 30 DGEDMN CC1(C)CCCN(c2ccnc(-c3ccc(O)c(C#N)c3)n2)C1 ZINC001242563607 1102210482 /nfs/dbraw/zinc/21/04/82/1102210482.db2.gz TXJNHDIPUXQOMQ-UHFFFAOYSA-N 0 1 308.385 3.347 20 30 DGEDMN N#Cc1cc(-c2nccc(N3Cc4ccccc4C3)n2)ccc1O ZINC001242563943 1102210673 /nfs/dbraw/zinc/21/06/73/1102210673.db2.gz XOTVFFDIRZSOGX-UHFFFAOYSA-N 0 1 314.348 3.241 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(F)cc3C#N)[nH]c-2n1 ZINC001242580374 1102223063 /nfs/dbraw/zinc/22/30/63/1102223063.db2.gz CGYZJXZTTGYMKB-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(F)cc3C#N)cc2[nH]1 ZINC001242584421 1102225073 /nfs/dbraw/zinc/22/50/73/1102225073.db2.gz MRTVUHVFYOYZON-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CC#Cc1cncc(-c2cnc3[nH]c(C(=O)OCC)cc3c2)c1 ZINC001242941510 1102431204 /nfs/dbraw/zinc/43/12/04/1102431204.db2.gz MNSMSTWKURGYER-UHFFFAOYSA-N 0 1 305.337 3.173 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2scnc2C2CC2)cc1C#N ZINC001201297106 1102546417 /nfs/dbraw/zinc/54/64/17/1102546417.db2.gz VFWXOEIMNCUYGN-UHFFFAOYSA-N 0 1 319.411 3.001 20 30 DGEDMN C=CCOC[C@@H]1c2c(ncn2C)CCN1CCCCCCC ZINC001201776809 1102733990 /nfs/dbraw/zinc/73/39/90/1102733990.db2.gz MDYMEUDCHJZBAJ-QGZVFWFLSA-N 0 1 305.466 3.492 20 30 DGEDMN CCOC(=O)Cc1ccc(-c2nccc3[nH]cc(C#N)c32)cc1 ZINC001243518800 1102774033 /nfs/dbraw/zinc/77/40/33/1102774033.db2.gz STGRBACQLWXVML-UHFFFAOYSA-N 0 1 305.337 3.207 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(OCC#N)cc3)cc2[nH]1 ZINC001243591590 1102833245 /nfs/dbraw/zinc/83/32/45/1102833245.db2.gz MHLYWVCNTUBKDT-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN CC(=O)OCc1cccc(C)c1-c1nccc2[nH]cc(C#N)c21 ZINC001243718241 1102938433 /nfs/dbraw/zinc/93/84/33/1102938433.db2.gz RTNMULRTYLXIPW-UHFFFAOYSA-N 0 1 305.337 3.473 20 30 DGEDMN C=CCOCc1ncn2c1CN(CCCC(F)(F)F)CCC2 ZINC001202395607 1102983900 /nfs/dbraw/zinc/98/39/00/1102983900.db2.gz OKVULRPJHHPBHF-UHFFFAOYSA-N 0 1 317.355 3.134 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCCC(C)C)C2 ZINC001111105782 1103047131 /nfs/dbraw/zinc/04/71/31/1103047131.db2.gz NWDLFENXNYQAMC-KURKYZTESA-N 0 1 304.478 3.338 20 30 DGEDMN C#CCOc1ccc(CN2CC[C@H](C(F)(F)F)C2)cc1OC ZINC001138345671 1103073821 /nfs/dbraw/zinc/07/38/21/1103073821.db2.gz LSCKIOSQLPCXFI-ZDUSSCGKSA-N 0 1 313.319 3.091 20 30 DGEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@](C)(CC)CCC)C2 ZINC001111189164 1103076050 /nfs/dbraw/zinc/07/60/50/1103076050.db2.gz XCQZFYYJLBVEPH-VXIBKDFQSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001488770804 1103147946 /nfs/dbraw/zinc/14/79/46/1103147946.db2.gz LMOKVEGNWSCBIH-JKIFEVAISA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](CC)C(C)(C)C)[C@@H]2C1 ZINC001325954201 1103169667 /nfs/dbraw/zinc/16/96/67/1103169667.db2.gz AUWVEDXRFBCSLE-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C3CC3)c1)C2 ZINC001095806818 1103182876 /nfs/dbraw/zinc/18/28/76/1103182876.db2.gz RRFGMJANCFINTN-QYZOEREBSA-N 0 1 310.441 3.014 20 30 DGEDMN C=CC1(CC(=O)NC2[C@H]3CN(C/C=C/Cl)C[C@@H]23)CCCCC1 ZINC001114968452 1103289686 /nfs/dbraw/zinc/28/96/86/1103289686.db2.gz JMRMKGNGYUDCMH-IUGNXXBASA-N 0 1 322.880 3.312 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(C2CCC2)CCC1 ZINC001326458738 1103324158 /nfs/dbraw/zinc/32/41/58/1103324158.db2.gz RZLDTUWSTGOAPS-UHFFFAOYSA-N 0 1 324.468 3.338 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C)cc1C ZINC001326490807 1103337865 /nfs/dbraw/zinc/33/78/65/1103337865.db2.gz FPPUJWIVOSPZPN-UHFFFAOYSA-N 0 1 320.436 3.298 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001489289015 1103340057 /nfs/dbraw/zinc/34/00/57/1103340057.db2.gz QPJFWHICXSJYOY-UKPHBRMFSA-N 0 1 306.475 3.429 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(CC)cc1 ZINC001489333564 1103365476 /nfs/dbraw/zinc/36/54/76/1103365476.db2.gz QUUVKUZSPNBBFS-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(Cc3ccccc3Cl)C[C@H]21 ZINC001114683368 1103386287 /nfs/dbraw/zinc/38/62/87/1103386287.db2.gz NWXSSSQNNPJNHP-FOLVSLTJSA-N 0 1 318.848 3.099 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(OCC(F)(F)F)n2)cc1 ZINC001326621155 1103387366 /nfs/dbraw/zinc/38/73/66/1103387366.db2.gz ZEZUKFTXPKJRPI-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(C4CC4)CC3)cccc2C1 ZINC001326673444 1103403863 /nfs/dbraw/zinc/40/38/63/1103403863.db2.gz ADRNEWYDZOALOH-UHFFFAOYSA-N 0 1 310.441 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(C)cc1 ZINC001326760488 1103432048 /nfs/dbraw/zinc/43/20/48/1103432048.db2.gz VPRXNMHGIZHXSH-MRXNPFEDSA-N 0 1 306.837 3.332 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1cc(F)ccc1F ZINC001490203627 1103499409 /nfs/dbraw/zinc/49/94/09/1103499409.db2.gz YUHQFHWPDWVSQM-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3cc(C#N)ccc3F)[nH]c-2n1 ZINC001244554385 1103519083 /nfs/dbraw/zinc/51/90/83/1103519083.db2.gz JLNSDGBGMHNGGV-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CCCC(=O)N(C)CCCN(C)CC#Cc1cccc(Cl)c1 ZINC001327175621 1103568961 /nfs/dbraw/zinc/56/89/61/1103568961.db2.gz BNHLLTPPLQWGEV-UHFFFAOYSA-N 0 1 320.864 3.272 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccoc1Cl ZINC001490324700 1103569532 /nfs/dbraw/zinc/56/95/32/1103569532.db2.gz CGNKOJWEPSPGBR-UHFFFAOYSA-N 0 1 305.205 3.127 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1conc1C)C1CC1 ZINC001490381459 1103586334 /nfs/dbraw/zinc/58/63/34/1103586334.db2.gz UVLSLHXSFYAPRO-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)cc1OC ZINC001490355806 1103596574 /nfs/dbraw/zinc/59/65/74/1103596574.db2.gz RUXXFRNODHKBQK-UHFFFAOYSA-N 0 1 324.852 3.198 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CCC1(C)CC1 ZINC001327514112 1103669243 /nfs/dbraw/zinc/66/92/43/1103669243.db2.gz RZUIHFAVQVBOSM-IBGZPJMESA-N 0 1 324.468 3.133 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1cc(F)ccc1Cl)C1CC1 ZINC001490642989 1103675633 /nfs/dbraw/zinc/67/56/33/1103675633.db2.gz WEEHKOPKBQHRJN-INIZCTEOSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc(Cl)c1)C1CC1 ZINC001490732059 1103712598 /nfs/dbraw/zinc/71/25/98/1103712598.db2.gz HSFOYASVCJRFNU-CQSZACIVSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@@H](C)c1ccccc1)C1CC1 ZINC001490738000 1103713391 /nfs/dbraw/zinc/71/33/91/1103713391.db2.gz MDHCIEHLSWJNPM-CXAGYDPISA-N 0 1 320.864 3.417 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C1(C)CC1)C2 ZINC001095999726 1103726878 /nfs/dbraw/zinc/72/68/78/1103726878.db2.gz MXKMHCNZGUJONY-JLSDUUJJSA-N 0 1 310.441 3.259 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C1(C)CC1)C2 ZINC001095999726 1103726893 /nfs/dbraw/zinc/72/68/93/1103726893.db2.gz MXKMHCNZGUJONY-JLSDUUJJSA-N 0 1 310.441 3.259 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c(F)c1F)C2 ZINC001096049505 1103738343 /nfs/dbraw/zinc/73/83/43/1103738343.db2.gz JSUOGFBFEOOVFJ-SNPRPXQTSA-N 0 1 320.383 3.184 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)ccc1Cl)C2 ZINC001096058959 1103743963 /nfs/dbraw/zinc/74/39/63/1103743963.db2.gz MUZPNOPYCZJWFS-UGFHNGPFSA-N 0 1 308.784 3.000 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccsc1Cl)C2 ZINC001096106905 1103757389 /nfs/dbraw/zinc/75/73/89/1103757389.db2.gz UTIRWCVLYMFPHI-WXHSDQCUSA-N 0 1 310.850 3.313 20 30 DGEDMN C=CCCSCCNC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001327899588 1103759660 /nfs/dbraw/zinc/75/96/60/1103759660.db2.gz ZNTSCVIORHWJRP-UHFFFAOYSA-N 0 1 324.494 3.158 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCc3ccccc31)C2 ZINC001096204430 1103784472 /nfs/dbraw/zinc/78/44/72/1103784472.db2.gz HDCXNAWTKSOSQE-FPAYPSAMSA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3ccsc31)C2 ZINC001096285969 1103794317 /nfs/dbraw/zinc/79/43/17/1103794317.db2.gz MVQKMUXIMMJNGA-KBMXLJTQSA-N 0 1 312.438 3.422 20 30 DGEDMN C=CCOC[C@H](O)CN[C@H](C)c1c(Cl)cccc1Cl ZINC001252488236 1103808874 /nfs/dbraw/zinc/80/88/74/1103808874.db2.gz IVHZLBVUHGZSRZ-GHMZBOCLSA-N 0 1 304.217 3.208 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCc3ccccc3C1)C2 ZINC001096428583 1103834431 /nfs/dbraw/zinc/83/44/31/1103834431.db2.gz ZZXGJGQDWXERGJ-LDTOTXGLSA-N 0 1 324.468 3.089 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3[nH]c(C)c(C)c3c1)C2 ZINC001096524582 1103855120 /nfs/dbraw/zinc/85/51/20/1103855120.db2.gz NJPRFEOWXKYZTN-MNEFBYGVSA-N 0 1 323.440 3.306 20 30 DGEDMN N#Cc1cc(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)cs1 ZINC001328185978 1103868913 /nfs/dbraw/zinc/86/89/13/1103868913.db2.gz NQOZQNDSIIMWAM-OAHLLOKOSA-N 0 1 312.394 3.051 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ocnc2C)[C@@H](C)C1 ZINC001328282092 1103903312 /nfs/dbraw/zinc/90/33/12/1103903312.db2.gz XSKRLJIDSFUVHX-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCc3ccccc3C1)C2 ZINC001096699547 1103906510 /nfs/dbraw/zinc/90/65/10/1103906510.db2.gz ZKEIYCCLGHIUJL-JKBKZWBZSA-N 0 1 324.468 3.089 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NCc1ncc(C(C)C)o1 ZINC001328388401 1103929396 /nfs/dbraw/zinc/92/93/96/1103929396.db2.gz FGOUUPYDBPUYLD-AWEZNQCLSA-N 0 1 321.465 3.385 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C=C)c1ccccc1)C2 ZINC001111440644 1103959009 /nfs/dbraw/zinc/95/90/09/1103959009.db2.gz XVMNXYZBGWRFJR-AITUJVMLSA-N 0 1 310.441 3.254 20 30 DGEDMN COc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)c(C#N)c1 ZINC001212780069 1103988312 /nfs/dbraw/zinc/98/83/12/1103988312.db2.gz UWOAAXLJSNNMDX-UHFFFAOYSA-N 0 1 324.409 3.232 20 30 DGEDMN COC(=O)c1cccc(CN(C)Cc2ccc(OCC#N)cc2)c1 ZINC001137121668 1103995576 /nfs/dbraw/zinc/99/55/76/1103995576.db2.gz YIFIJIOGYQIALK-UHFFFAOYSA-N 0 1 324.380 3.008 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc2c1CCCCC2 ZINC001328732218 1104042717 /nfs/dbraw/zinc/04/27/17/1104042717.db2.gz CPAHDDVKPSTYFD-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN N#Cc1c(F)ccc(C2=CC[C@H](N3CCOCC3)CC2)c1F ZINC001245424638 1104045406 /nfs/dbraw/zinc/04/54/06/1104045406.db2.gz FGSMZCAAIAUKAW-ZDUSSCGKSA-N 0 1 304.340 3.105 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CCC1CCCCC1 ZINC001328786563 1104069591 /nfs/dbraw/zinc/06/95/91/1104069591.db2.gz PMPIAQXVHQVGJX-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC001329028698 1104129552 /nfs/dbraw/zinc/12/95/52/1104129552.db2.gz UMQCWVCNNBRYJM-OLZOCXBDSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1ccccc1CC ZINC001329070224 1104143349 /nfs/dbraw/zinc/14/33/49/1104143349.db2.gz PWZPKQUJJSXBGX-KBPBESRZSA-N 0 1 308.853 3.027 20 30 DGEDMN N#Cc1nc(-c2ccc3c(c2)C(=O)CCCC3)cc2[nH]cnc21 ZINC001245598222 1104144377 /nfs/dbraw/zinc/14/43/77/1104144377.db2.gz YIRHFEHVIGPOJL-UHFFFAOYSA-N 0 1 302.337 3.406 20 30 DGEDMN COCOc1cnc(-c2cc(C)ccc2C#N)cc1/C=C/N(C)C ZINC001245603232 1104147443 /nfs/dbraw/zinc/14/74/43/1104147443.db2.gz KSPWPRBEGVGTOB-BQYQJAHWSA-N 0 1 323.396 3.444 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001329103664 1104154480 /nfs/dbraw/zinc/15/44/80/1104154480.db2.gz NCEZWEVGUPVVKO-NEPJUHHUSA-N 0 1 310.388 3.160 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCC(NCc2cscn2)CC1 ZINC001329243592 1104198499 /nfs/dbraw/zinc/19/84/99/1104198499.db2.gz MXTVQHHMFGFRJY-UHFFFAOYSA-N 0 1 321.490 3.359 20 30 DGEDMN CN(C)c1ccc(C2=NN(c3ccccc3C#N)C(=O)C2)cc1 ZINC001245944248 1104330834 /nfs/dbraw/zinc/33/08/34/1104330834.db2.gz MCEZFRFFTUHUCI-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN CN(C)c1ccc(-c2cc(=O)n(-c3ccccc3C#N)[nH]2)cc1 ZINC001245944248 1104330846 /nfs/dbraw/zinc/33/08/46/1104330846.db2.gz MCEZFRFFTUHUCI-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CCC(C)C ZINC001319840093 1104346907 /nfs/dbraw/zinc/34/69/07/1104346907.db2.gz YVVWQMRVRFXUHC-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccccc1C1(C)CC1 ZINC001274360756 1104361918 /nfs/dbraw/zinc/36/19/18/1104361918.db2.gz NKSMBUTZQHGNKM-AATRIKPKSA-N 0 1 318.848 3.366 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001274415682 1104379032 /nfs/dbraw/zinc/37/90/32/1104379032.db2.gz IIMZWXBHEFUHAP-LJQANCHMSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1c(C)cccc1F ZINC001274584474 1104411324 /nfs/dbraw/zinc/41/13/24/1104411324.db2.gz UYYPMNPIIZAVKC-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@@H]1Cc2ccccc21 ZINC001329895171 1104413721 /nfs/dbraw/zinc/41/37/21/1104413721.db2.gz ZWPLPYHFXCZJGT-NVXWUHKLSA-N 0 1 320.864 3.343 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C(C)(C)C(C)(F)F ZINC001329955186 1104438761 /nfs/dbraw/zinc/43/87/61/1104438761.db2.gz ZIRYKJAYRSSMSR-CQSZACIVSA-N 0 1 314.420 3.052 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@H](C)NCc2nc(C)c(C)o2)CC1 ZINC001274780156 1104487748 /nfs/dbraw/zinc/48/77/48/1104487748.db2.gz TWSYVPIYNUGLTR-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN CCc1nc([C@@H](C)OC(=O)C[C@@H](CC#N)c2ccccc2)n[nH]1 ZINC001330217648 1104511469 /nfs/dbraw/zinc/51/14/69/1104511469.db2.gz YTGZDSFDFPFAFD-TZMCWYRMSA-N 0 1 312.373 3.059 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cc(-c3ccc(OC)cc3)no2)C1 ZINC001330545000 1104572408 /nfs/dbraw/zinc/57/24/08/1104572408.db2.gz OQZJXIXJCCULEH-MRXNPFEDSA-N 0 1 314.385 3.127 20 30 DGEDMN CC(C)(C)OC(=O)NCCCCNCc1cccc(F)c1C#N ZINC001330609882 1104591288 /nfs/dbraw/zinc/59/12/88/1104591288.db2.gz OKENFSAUFLXYNU-UHFFFAOYSA-N 0 1 321.396 3.092 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]2CN(C)C/C=C\Cl)CC1 ZINC001275142316 1104646958 /nfs/dbraw/zinc/64/69/58/1104646958.db2.gz ANBUYLJRQLZCOA-FIRRRZPPSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCNC/C(Cl)=C\Cl ZINC001330882253 1104669461 /nfs/dbraw/zinc/66/94/61/1104669461.db2.gz GSZSNLSMKNYFKW-LWMMSDEHSA-N 0 1 307.265 3.392 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1Cl ZINC001275394291 1104745362 /nfs/dbraw/zinc/74/53/62/1104745362.db2.gz KDGIOXCOCOBWKQ-STQMWFEESA-N 0 1 324.827 3.121 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001275403431 1104747994 /nfs/dbraw/zinc/74/79/94/1104747994.db2.gz IQQWEHCTRIVLNH-NEPJUHHUSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2c(cccc2C)o1 ZINC001275467824 1104768416 /nfs/dbraw/zinc/76/84/16/1104768416.db2.gz PTQIOTFUNJCORP-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(F)ccc1Cl ZINC001275493843 1104778356 /nfs/dbraw/zinc/77/83/56/1104778356.db2.gz VBYUFBVXOJBTCI-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C[C@H](CN(C)[C@@H](C)c1ccccc1F)NC(=O)C#CC(C)(C)C ZINC001275552150 1104804844 /nfs/dbraw/zinc/80/48/44/1104804844.db2.gz PASLIEKSDFGBHH-CABCVRRESA-N 0 1 318.436 3.373 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001275571136 1104814895 /nfs/dbraw/zinc/81/48/95/1104814895.db2.gz NUOHVEUOBLJLDS-HUUCEWRRSA-N 0 1 315.461 3.367 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001275620806 1104835056 /nfs/dbraw/zinc/83/50/56/1104835056.db2.gz ADXDMZKUPASQOQ-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN CNCc1nc(-c2ccc(Oc3ccc(C#N)cc3)cc2)no1 ZINC001247431210 1104900918 /nfs/dbraw/zinc/90/09/18/1104900918.db2.gz CRYNHGHJYCUKKV-UHFFFAOYSA-N 0 1 306.325 3.120 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001275970969 1104972451 /nfs/dbraw/zinc/97/24/51/1104972451.db2.gz UYFNLHQGMOYPLR-IEBWSBKVSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cscc1C(F)F)C2 ZINC001095425513 1105001695 /nfs/dbraw/zinc/00/16/95/1105001695.db2.gz UUZSKEVAQPRFAD-ICCXJUOJSA-N 0 1 312.385 3.207 20 30 DGEDMN C=CCCCCCCCCN1CC2(CCN2COCCOC)C1 ZINC001276047802 1105005632 /nfs/dbraw/zinc/00/56/32/1105005632.db2.gz WPBRQMWLDRZWHH-UHFFFAOYSA-N 0 1 324.509 3.284 20 30 DGEDMN N#Cc1ccc(-c2noc([C@@H]3CCN3C3CCCCC3)n2)nc1 ZINC001248034062 1105036406 /nfs/dbraw/zinc/03/64/06/1105036406.db2.gz KTOVMQPWNLGTBB-HNNXBMFYSA-N 0 1 309.373 3.083 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001280793500 1105066124 /nfs/dbraw/zinc/06/61/24/1105066124.db2.gz MACJPVSQURSPCG-SFHVURJKSA-N 0 1 314.473 3.255 20 30 DGEDMN CCCC(=O)NC[C@H]1CCCCCN1Cc1ccc(C#N)s1 ZINC001276278623 1105073597 /nfs/dbraw/zinc/07/35/97/1105073597.db2.gz UAKWQRWBNUVSHS-CQSZACIVSA-N 0 1 319.474 3.281 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)CC1=CCCCC1 ZINC001276290453 1105079176 /nfs/dbraw/zinc/07/91/76/1105079176.db2.gz QXGJXVVGZYEDPW-SFHVURJKSA-N 0 1 302.462 3.261 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCc3ccccc3CC1)C2 ZINC001095660339 1105095335 /nfs/dbraw/zinc/09/53/35/1105095335.db2.gz GSFZBDYTUAFXPZ-AABGKKOBSA-N 0 1 324.468 3.089 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001095687446 1105096809 /nfs/dbraw/zinc/09/68/09/1105096809.db2.gz BZASLSZVRJPERE-QYZOEREBSA-N 0 1 312.457 3.260 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001095687446 1105096816 /nfs/dbraw/zinc/09/68/16/1105096816.db2.gz BZASLSZVRJPERE-QYZOEREBSA-N 0 1 312.457 3.260 20 30 DGEDMN CCc1cc(C#N)ccc1CN(CCO)Cc1cccs1 ZINC001248750998 1105155202 /nfs/dbraw/zinc/15/52/02/1105155202.db2.gz FRIGGKXAJKDQQL-UHFFFAOYSA-N 0 1 300.427 3.177 20 30 DGEDMN N#C[C@@H]1C[C@H](F)CN1Cc1n[nH]c2cc(C(F)(F)F)ccc21 ZINC001248847963 1105178706 /nfs/dbraw/zinc/17/87/06/1105178706.db2.gz ILQDUQBPDTVNHD-UWVGGRQHSA-N 0 1 312.270 3.018 20 30 DGEDMN CCN1CCCC[C@@H]1c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001248963800 1105205122 /nfs/dbraw/zinc/20/51/22/1105205122.db2.gz QAGSRCAAPVBTBU-CQSZACIVSA-N 0 1 300.337 3.294 20 30 DGEDMN C[C@@H]1c2ccccc2CCN1Cc1cnn2ccc(C#N)cc12 ZINC001249082133 1105222774 /nfs/dbraw/zinc/22/27/74/1105222774.db2.gz IMNVQEORMDDOGN-CQSZACIVSA-N 0 1 302.381 3.325 20 30 DGEDMN C[C@@H]1Cc2ccccc2CN1Cc1cnn2ccc(C#N)cc12 ZINC001249118735 1105228566 /nfs/dbraw/zinc/22/85/66/1105228566.db2.gz KFOMILKTOBHPBL-CQSZACIVSA-N 0 1 302.381 3.153 20 30 DGEDMN CCCN(CCC)Cc1nc(-c2cc(C#N)ccc2F)no1 ZINC001249236344 1105253539 /nfs/dbraw/zinc/25/35/39/1105253539.db2.gz VAMYFBMKAAKYJH-UHFFFAOYSA-N 0 1 302.353 3.369 20 30 DGEDMN COc1ccc2nc(CN(C)Cc3ccc(C#N)cc3)[nH]c2c1 ZINC001249413827 1105283313 /nfs/dbraw/zinc/28/33/13/1105283313.db2.gz GXDCRADUKSELOF-UHFFFAOYSA-N 0 1 306.369 3.075 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1[C@@H](c3ccccc3)C1(C)C)C2 ZINC001097085289 1105342782 /nfs/dbraw/zinc/34/27/82/1105342782.db2.gz BOBXMIYXWPFSRS-FQBWVUSXSA-N 0 1 324.468 3.334 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cscc1C(F)F)C2 ZINC001097089400 1105343890 /nfs/dbraw/zinc/34/38/90/1105343890.db2.gz UUZSKEVAQPRFAD-WQAKAFBOSA-N 0 1 312.385 3.207 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1Cl)C2 ZINC001097120923 1105350620 /nfs/dbraw/zinc/35/06/20/1105350620.db2.gz YHFIAKFWCSIMDD-RTXFEEFZSA-N 0 1 310.850 3.313 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCCN(Cc2cccc(C#N)c2F)C1 ZINC001249681312 1105353050 /nfs/dbraw/zinc/35/30/50/1105353050.db2.gz RUCUFVQFLALGIC-SFHVURJKSA-N 0 1 318.392 3.253 20 30 DGEDMN Cn1cc(Nc2sc3c(c2C#N)CCN(C)C3)c2ccncc21 ZINC001249690836 1105356242 /nfs/dbraw/zinc/35/62/42/1105356242.db2.gz DQAPQOPNJHURGF-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1CC(c3ccccc3)C1)C2 ZINC001097377327 1105386313 /nfs/dbraw/zinc/38/63/13/1105386313.db2.gz WFMCAQTVQGHSMG-FLLWYEDFSA-N 0 1 324.468 3.478 20 30 DGEDMN C#Cc1cccc(Nc2ccncc2CNC(=O)OC(C)(C)C)c1 ZINC001249821496 1105390315 /nfs/dbraw/zinc/39/03/15/1105390315.db2.gz XNMGOQLHRFJVAR-UHFFFAOYSA-N 0 1 323.396 3.253 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)ccc1F)C2 ZINC001097479624 1105399710 /nfs/dbraw/zinc/39/97/10/1105399710.db2.gz KGUDPRIKPAEKBW-DFBGVHRSSA-N 0 1 308.784 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)cccc1Cl)C2 ZINC001097784946 1105443751 /nfs/dbraw/zinc/44/37/51/1105443751.db2.gz SIQQSBCSTGPJOJ-YUELXQCFSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1[C@H]3CCCCCC[C@H]13)C2 ZINC001097912663 1105463121 /nfs/dbraw/zinc/46/31/21/1105463121.db2.gz CBTVDPJATGWSBQ-HMDCTGQHSA-N 0 1 302.462 3.110 20 30 DGEDMN N#Cc1ccc(-c2nc3cc(F)c(-n4cccn4)cc3[nH]2)cc1 ZINC001250467792 1105519212 /nfs/dbraw/zinc/51/92/12/1105519212.db2.gz HDXNWWWTCCTNNT-UHFFFAOYSA-N 0 1 303.300 3.426 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C(F)F)c1)C2 ZINC001098255146 1105564968 /nfs/dbraw/zinc/56/49/68/1105564968.db2.gz ZYMGCPYJLCABOF-KFWWJZLASA-N 0 1 306.356 3.145 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCc3ccccc3C1)C2 ZINC001098280670 1105567786 /nfs/dbraw/zinc/56/77/86/1105567786.db2.gz ZZXGJGQDWXERGJ-OBZTUIKSSA-N 0 1 324.468 3.089 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3ccccc31)C2 ZINC001098317477 1105567927 /nfs/dbraw/zinc/56/79/27/1105567927.db2.gz YTRKSRCWHOCTCH-LZQZEXGQSA-N 0 1 306.409 3.361 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1CC)C2 ZINC001098457309 1105575444 /nfs/dbraw/zinc/57/54/44/1105575444.db2.gz RKNXNQSITKKGEP-KFWWJZLASA-N 0 1 304.459 3.222 20 30 DGEDMN CC(C)c1ccc(OC[C@H](O)CNCC2(C#N)CCCC2)cc1 ZINC001250765052 1105578676 /nfs/dbraw/zinc/57/86/76/1105578676.db2.gz WIVUJSCGRBGMNX-QGZVFWFLSA-N 0 1 316.445 3.223 20 30 DGEDMN C=CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C(C)C)s1)C2 ZINC001098535032 1105582017 /nfs/dbraw/zinc/58/20/17/1105582017.db2.gz BTACORBDJPAAFL-MCIONIFRSA-N 0 1 319.474 3.178 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CC)c(CC)c1)C2 ZINC001098535350 1105583160 /nfs/dbraw/zinc/58/31/60/1105583160.db2.gz MJRWXFGTOHPGIW-QRVBRYPASA-N 0 1 312.457 3.333 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2sccc2Cl)C1 ZINC001098850248 1105608809 /nfs/dbraw/zinc/60/88/09/1105608809.db2.gz XWWLDCDQLDYQFM-NHYWBVRUSA-N 0 1 310.850 3.172 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1cnoc1C ZINC001098966379 1105620034 /nfs/dbraw/zinc/62/00/34/1105620034.db2.gz LTQCOOFMNSYIJT-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN COCOc1ccc2nc(-c3ccc(C#N)cc3OC)[nH]c2c1 ZINC001251029550 1105621886 /nfs/dbraw/zinc/62/18/86/1105621886.db2.gz JKUKPZPHDRDUOQ-UHFFFAOYSA-N 0 1 309.325 3.093 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C=C(CC)CC ZINC001099013310 1105628176 /nfs/dbraw/zinc/62/81/76/1105628176.db2.gz UWAWOKPIJJSJTL-QGZVFWFLSA-N 0 1 304.478 3.363 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(C)(C)C1CC1 ZINC001099054783 1105636090 /nfs/dbraw/zinc/63/60/90/1105636090.db2.gz KVIKYLHYJHKXBY-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C2CCC2)CCC1 ZINC001099157937 1105652068 /nfs/dbraw/zinc/65/20/68/1105652068.db2.gz BLZZQKHHCZQBJU-KRWDZBQOSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001099191746 1105656717 /nfs/dbraw/zinc/65/67/17/1105656717.db2.gz JKRLQILPVICTQA-FPOVZHCZSA-N 0 1 324.468 3.029 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCC(C)(C)C ZINC001099269599 1105663912 /nfs/dbraw/zinc/66/39/12/1105663912.db2.gz BEHIVKWKYODYRA-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)C1CCCC1 ZINC001099297816 1105665772 /nfs/dbraw/zinc/66/57/72/1105665772.db2.gz JDKWIQDBHFXCOP-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NS(=O)(=O)c1ccc(F)cc1 ZINC001251608762 1105673891 /nfs/dbraw/zinc/67/38/91/1105673891.db2.gz DDZOJXJANAFXPA-UHFFFAOYSA-N 0 1 321.377 3.055 20 30 DGEDMN COc1cc(-c2nc3ccc(NC(C)=O)cc3[nH]2)ccc1C#N ZINC001251740364 1105689996 /nfs/dbraw/zinc/68/99/96/1105689996.db2.gz IBQFFXNTAAMVOL-UHFFFAOYSA-N 0 1 306.325 3.069 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1CCN(c2cccnc2)CC1 ZINC001252125305 1105745268 /nfs/dbraw/zinc/74/52/68/1105745268.db2.gz XHFLAYLGJBSTNA-LJQANCHMSA-N 0 1 319.493 3.315 20 30 DGEDMN CCCCCCCC[C@H](O)CN1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC ZINC001252133248 1105747710 /nfs/dbraw/zinc/74/77/10/1105747710.db2.gz NIBLSAPIXQVHDE-QZWWFDLISA-N 0 1 311.466 3.124 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN(Cc2cnc(C)s2)C1 ZINC001491121347 1105756497 /nfs/dbraw/zinc/75/64/97/1105756497.db2.gz XEVSYLVPSZERRD-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN N#CC1(CNC[C@H](O)COc2cccc3ccccc32)CCCC1 ZINC001252391430 1105776489 /nfs/dbraw/zinc/77/64/89/1105776489.db2.gz PAZMYVXSAFQVPC-KRWDZBQOSA-N 0 1 324.424 3.253 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](CCCCC)C(C)C ZINC001491229880 1105778986 /nfs/dbraw/zinc/77/89/86/1105778986.db2.gz SJZHXBZBEOLEIH-ZWKOTPCHSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C1CCC1)C1CCC1 ZINC001491223797 1105779679 /nfs/dbraw/zinc/77/96/79/1105779679.db2.gz OWYPCVHWHJDWOW-KRWDZBQOSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1cnccc1C ZINC001491223199 1105780090 /nfs/dbraw/zinc/78/00/90/1105780090.db2.gz DKDCBWGZLQCGQM-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cccc(C)c1 ZINC001491238990 1105786495 /nfs/dbraw/zinc/78/64/95/1105786495.db2.gz HVEDSTZPYIYAPY-MRXNPFEDSA-N 0 1 306.837 3.332 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CC(C)(F)F)C1 ZINC001491301480 1105803379 /nfs/dbraw/zinc/80/33/79/1105803379.db2.gz XMSHBTCYQZXEES-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@](C)(O)CN1Cc2cc(Br)ccc2C[C@H]1C ZINC001252562869 1105793932 /nfs/dbraw/zinc/79/39/32/1105793932.db2.gz ZATNPVQYMOMWBS-ABAIWWIYSA-N 0 1 310.235 3.133 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001491284480 1105798146 /nfs/dbraw/zinc/79/81/46/1105798146.db2.gz CRZIYRYKADANEC-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C(C)(C)C(F)F)C1 ZINC001491302104 1105804692 /nfs/dbraw/zinc/80/46/92/1105804692.db2.gz ZASMGPCVXKULIC-RYUDHWBXSA-N 0 1 322.827 3.247 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1cccc(Cl)c1F ZINC001491436937 1105826356 /nfs/dbraw/zinc/82/63/56/1105826356.db2.gz YBWNVFROGQLLHU-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1sccc1Cl ZINC001491464895 1105830326 /nfs/dbraw/zinc/83/03/26/1105830326.db2.gz DMUIYPWNFXJLED-NSHDSACASA-N 0 1 300.855 3.352 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1cccc(C(C)C)n1 ZINC001491468444 1105831820 /nfs/dbraw/zinc/83/18/20/1105831820.db2.gz GGHQXZSOCLOITC-HNNXBMFYSA-N 0 1 317.477 3.402 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCCC[C@@H]1C(N)=O ZINC001252984101 1105865318 /nfs/dbraw/zinc/86/53/18/1105865318.db2.gz DAKMDMDWTOMACG-IAGOWNOFSA-N 0 1 312.498 3.218 20 30 DGEDMN C#CCN1CCN(C[C@@H](O)CCCCCCCCCC)CC1 ZINC001252986072 1105865402 /nfs/dbraw/zinc/86/54/02/1105865402.db2.gz SECQTLCOYVHQOJ-IBGZPJMESA-N 0 1 308.510 3.129 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCCC[C@H]1C(N)=O ZINC001252984104 1105865696 /nfs/dbraw/zinc/86/56/96/1105865696.db2.gz DAKMDMDWTOMACG-SJORKVTESA-N 0 1 312.498 3.218 20 30 DGEDMN CCCC[C@@H](CC)COC[C@@H](O)CNCC1(C#N)CCCC1 ZINC001253016622 1105877322 /nfs/dbraw/zinc/87/73/22/1105877322.db2.gz PVUKCCXZNIEVTC-SJORKVTESA-N 0 1 310.482 3.254 20 30 DGEDMN CCCC[C@H](CC)COC[C@@H](O)CNCc1ccccc1C#N ZINC001253020955 1105877699 /nfs/dbraw/zinc/87/76/99/1105877699.db2.gz YUGQHLGNGKNBTK-LPHOPBHVSA-N 0 1 318.461 3.242 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2sccc2C(C)C)C1 ZINC001278693669 1105880421 /nfs/dbraw/zinc/88/04/21/1105880421.db2.gz PMDQOIYEBJVMIN-GOSISDBHSA-N 0 1 318.486 3.337 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)/C=C\C(C)(C)C)C[C@]2(C)C1 ZINC001101180091 1105895345 /nfs/dbraw/zinc/89/53/45/1105895345.db2.gz IUXIJFXDRJDLPP-FRAJWHGFSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCC(C)C)C1 ZINC001278733166 1105966378 /nfs/dbraw/zinc/96/63/78/1105966378.db2.gz CEQAUHFQKSJIRA-AWEZNQCLSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H](C)C(C)C)[C@H](C)C1 ZINC001101808160 1105981010 /nfs/dbraw/zinc/98/10/10/1105981010.db2.gz VMLDQWKWBLEUPS-GZBFAFLISA-N 0 1 300.874 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)C(C)C)[C@H](C)C1 ZINC001102000129 1106007428 /nfs/dbraw/zinc/00/74/28/1106007428.db2.gz ALBVCKDSGYHLSE-TZMCWYRMSA-N 0 1 300.874 3.105 20 30 DGEDMN N#Cc1ccc(N2CCN(C3CCC(F)(F)CC3)CC2)c(F)c1 ZINC001254225455 1106039218 /nfs/dbraw/zinc/03/92/18/1106039218.db2.gz VBYVSKYCLVJMEV-UHFFFAOYSA-N 0 1 323.362 3.397 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC2CC(C)(C)C2)[C@H](C)C1 ZINC001102270844 1106045470 /nfs/dbraw/zinc/04/54/70/1106045470.db2.gz ROERXGOPUWDJMX-IUODEOHRSA-N 0 1 312.885 3.249 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N2CCC23COC3)CC1 ZINC001254357123 1106059295 /nfs/dbraw/zinc/05/92/95/1106059295.db2.gz YYVQXXGAUXNXPY-UHFFFAOYSA-N 0 1 300.377 3.004 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](CC)CC(C)C)[C@H](C)C1 ZINC001102500974 1106065077 /nfs/dbraw/zinc/06/50/77/1106065077.db2.gz NPFYQCVJUDXMEU-VNQPRFMTSA-N 0 1 314.901 3.495 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CCCCCC)[C@H](C)C1 ZINC001102543806 1106071843 /nfs/dbraw/zinc/07/18/43/1106071843.db2.gz OBRWIUJUQJSEBM-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CN(CC=C(Cl)Cl)C[C@H]1C ZINC001102762654 1106102560 /nfs/dbraw/zinc/10/25/60/1106102560.db2.gz JUOYVZMFDTVXQF-CHWSQXEVSA-N 0 1 319.276 3.346 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC2(CN([C@@H]3CC[C@H](C#N)C3)C2)C1 ZINC001254661010 1106126927 /nfs/dbraw/zinc/12/69/27/1106126927.db2.gz OJGDRNWDMQXTRE-LSDHHAIUSA-N 0 1 319.449 3.012 20 30 DGEDMN COc1cccc([C@@H]2CSCCN2[C@@H]2CC[C@H](C#N)C2)c1 ZINC001254676582 1106131619 /nfs/dbraw/zinc/13/16/19/1106131619.db2.gz MHYOSENSRZMWTL-LXZKKBNFSA-N 0 1 302.443 3.477 20 30 DGEDMN CCC[C@@]1(CN[C@@H](CC)CC#N)CCN1C(=O)OC(C)(C)C ZINC001255164687 1106196339 /nfs/dbraw/zinc/19/63/39/1106196339.db2.gz PAWBPXPOMQJDFR-YOEHRIQHSA-N 0 1 309.454 3.448 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CCOC[C@H]2COCC2CC2)CC1 ZINC001255170811 1106198318 /nfs/dbraw/zinc/19/83/18/1106198318.db2.gz YOAHVXBDDKKKDP-ROUUACIJSA-N 0 1 320.477 3.224 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CCN(CC3CCCC3)C2=O)CC1 ZINC001255168206 1106198519 /nfs/dbraw/zinc/19/85/19/1106198519.db2.gz NMZJDZKKAWGRTD-QGZVFWFLSA-N 0 1 317.477 3.183 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@]2(CC(=O)N(c3cccc(C)c3)C2)C1 ZINC001255170448 1106198682 /nfs/dbraw/zinc/19/86/82/1106198682.db2.gz RXAPGAZDLWSXPB-APWZRJJASA-N 0 1 311.429 3.116 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(-n3cccc3)ccc2F)cc1O ZINC001255539292 1106266320 /nfs/dbraw/zinc/26/63/20/1106266320.db2.gz NBUJIHJSDJFHOZ-UHFFFAOYSA-N 0 1 321.311 3.446 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N(CCC#N)C2CCCC2)C1 ZINC001255603428 1106276985 /nfs/dbraw/zinc/27/69/85/1106276985.db2.gz DXTCCKKVSRUIKO-HNNXBMFYSA-N 0 1 307.438 3.154 20 30 DGEDMN CC(C)N(CCN(C)Cc1ccc(Cl)cc1)C(=O)[C@H](C)C#N ZINC001278899680 1106349752 /nfs/dbraw/zinc/34/97/52/1106349752.db2.gz MZTRBFQHWFAYHZ-CQSZACIVSA-N 0 1 321.852 3.168 20 30 DGEDMN C[C@H](Cc1ccccn1)[N@H+]1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001256421171 1106419417 /nfs/dbraw/zinc/41/94/17/1106419417.db2.gz IHITZXRCBUAPDT-VQIMIIECSA-N 0 1 321.424 3.428 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)CC)c1ccccc1CC ZINC001278970328 1106499910 /nfs/dbraw/zinc/49/99/10/1106499910.db2.gz ZDWRZBXZOMHDRK-SFHVURJKSA-N 0 1 314.473 3.455 20 30 DGEDMN N#Cc1ccc(C2CCC([N@H+]3CCn4nccc4C3)CC2)cc1 ZINC001257021890 1106525705 /nfs/dbraw/zinc/52/57/05/1106525705.db2.gz DEYXKRMVDHWUCL-UHFFFAOYSA-N 0 1 306.413 3.297 20 30 DGEDMN N#Cc1ccc(C2CCC(N3CCn4nccc4C3)CC2)cc1 ZINC001257021890 1106525707 /nfs/dbraw/zinc/52/57/07/1106525707.db2.gz DEYXKRMVDHWUCL-UHFFFAOYSA-N 0 1 306.413 3.297 20 30 DGEDMN CC[C@@H]1C[C@H](N2CC[C@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257141685 1106543416 /nfs/dbraw/zinc/54/34/16/1106543416.db2.gz JPRRRASUGVOWMJ-IIDMSEBBSA-N 0 1 321.465 3.400 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)C1CC1)C(C)(C)C ZINC001278996638 1106546834 /nfs/dbraw/zinc/54/68/34/1106546834.db2.gz ZZOOVAZMWKAZHM-ZDUSSCGKSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1[C@H](CC)CCCCC ZINC001258440783 1106747285 /nfs/dbraw/zinc/74/72/85/1106747285.db2.gz WQYBBISJNGVAHO-WBVHZDCISA-N 0 1 320.481 3.276 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001319991719 1106769874 /nfs/dbraw/zinc/76/98/74/1106769874.db2.gz SDRDALBRLSGWNL-CQSZACIVSA-N 0 1 322.399 3.220 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001316942607 1106795099 /nfs/dbraw/zinc/79/50/99/1106795099.db2.gz CNCVPPHCMQITMF-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN CCN(C(=O)c1ccc2cncn2c1C)c1ccc(C#N)cc1 ZINC001320030311 1106799987 /nfs/dbraw/zinc/79/99/87/1106799987.db2.gz HKRQQJZVGOVTRQ-UHFFFAOYSA-N 0 1 304.353 3.181 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cccc(SC(=O)N(C)C)c2)C1 ZINC001320037248 1106808433 /nfs/dbraw/zinc/80/84/33/1106808433.db2.gz DQMXKHGHLVFYPX-HNNXBMFYSA-N 0 1 320.458 3.237 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001316954282 1106818563 /nfs/dbraw/zinc/81/85/63/1106818563.db2.gz REXFDMIZAZMWTL-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001316986324 1106854073 /nfs/dbraw/zinc/85/40/73/1106854073.db2.gz YIHOCNUMVMIUJX-CJNGLKHVSA-N 0 1 304.409 3.289 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)CCc1cccc(C)c1)C1CC1 ZINC001317021911 1106910514 /nfs/dbraw/zinc/91/05/14/1106910514.db2.gz MGEJMDAUTKLGAB-QGZVFWFLSA-N 0 1 320.864 3.165 20 30 DGEDMN CCCCCCC[C@H](CC(C)C)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001258714024 1106925034 /nfs/dbraw/zinc/92/50/34/1106925034.db2.gz GIRYKPVFFALRRV-SJORKVTESA-N 0 1 322.497 3.186 20 30 DGEDMN C=CCC[C@H](C)N1Cc2cncn2C[C@H](COCC2CC2)C1 ZINC001258727089 1106930365 /nfs/dbraw/zinc/93/03/65/1106930365.db2.gz BLGIKQAKCGUYNI-DOTOQJQBSA-N 0 1 303.450 3.096 20 30 DGEDMN C=CCC[C@@H](C)N1CCc2c(CN(C)C)nn(C(C)C)c2C1 ZINC001258728549 1106934762 /nfs/dbraw/zinc/93/47/62/1106934762.db2.gz OPWNKTOBUPFQDC-OAHLLOKOSA-N 0 1 304.482 3.238 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCC2 ZINC001317049129 1106948551 /nfs/dbraw/zinc/94/85/51/1106948551.db2.gz CYUMAXXCAMOODR-IYBDPMFKSA-N 0 1 314.429 3.023 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)cc(F)c1 ZINC001317051312 1106951246 /nfs/dbraw/zinc/95/12/46/1106951246.db2.gz BFHAEFVGSSKGQD-CALCHBBNSA-N 0 1 320.383 3.220 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC)c1ccccc1 ZINC001317052063 1106952681 /nfs/dbraw/zinc/95/26/81/1106952681.db2.gz SMFXLUVYRQCOST-ZCNNSNEGSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C[C@@H](COC)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001320446379 1107009829 /nfs/dbraw/zinc/00/98/29/1107009829.db2.gz BDFDFJKDSPJMTB-JTQLQIEISA-N 0 1 305.761 3.130 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCCN1Cc1cccnc1 ZINC001317174108 1107084926 /nfs/dbraw/zinc/08/49/26/1107084926.db2.gz PGBULZJMXRIFCS-WMZOPIPTSA-N 0 1 315.461 3.155 20 30 DGEDMN CCCCC(=O)N(CC)CCNCC#Cc1ccc(Cl)cc1 ZINC001320680436 1107102890 /nfs/dbraw/zinc/10/28/90/1107102890.db2.gz KQXNKHDXGPXXPA-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C#CCCN1CCN(c2cc(C(C)(C)C)nc(C(C)C)n2)CC1 ZINC001320790419 1107138127 /nfs/dbraw/zinc/13/81/27/1107138127.db2.gz UGNISMWRLPNKSX-UHFFFAOYSA-N 0 1 314.477 3.043 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2ccsc2[nH]1 ZINC001320809220 1107145256 /nfs/dbraw/zinc/14/52/56/1107145256.db2.gz ULNJTTJQKRUAHU-UHFFFAOYSA-N 0 1 311.838 3.034 20 30 DGEDMN C[C@H](CNC(=O)CC1=CCCCC1)NCc1ccccc1C#N ZINC001317227646 1107151978 /nfs/dbraw/zinc/15/19/78/1107151978.db2.gz BGVLLWNVDNCKJN-OAHLLOKOSA-N 0 1 311.429 3.043 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001317235462 1107160986 /nfs/dbraw/zinc/16/09/86/1107160986.db2.gz QQGURZDKVZPNJK-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCC=C(Cl)Cl ZINC001320853744 1107163152 /nfs/dbraw/zinc/16/31/52/1107163152.db2.gz ANYOXLAKMJSJAD-UHFFFAOYSA-N 0 1 307.265 3.346 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317238544 1107163584 /nfs/dbraw/zinc/16/35/84/1107163584.db2.gz KQKBGEQPMBABQR-IKESIWSLSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1csc(Cl)c1 ZINC001320863242 1107167219 /nfs/dbraw/zinc/16/72/19/1107167219.db2.gz NPHGPTUUTTYMIK-UHFFFAOYSA-N 0 1 307.246 3.206 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@@H](CO)c2cccc(F)c2)C1 ZINC001320869990 1107170431 /nfs/dbraw/zinc/17/04/31/1107170431.db2.gz DWISDPINZMENRB-XBMUEBEBSA-N 0 1 310.372 3.073 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001320919933 1107189066 /nfs/dbraw/zinc/18/90/66/1107189066.db2.gz AOTPYVOHNNUNKC-ZDUSSCGKSA-N 0 1 312.816 3.120 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCCC1(C)C ZINC001320928522 1107192425 /nfs/dbraw/zinc/19/24/25/1107192425.db2.gz DUYXVBPVCOANAI-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C/CNCc1cccc(F)c1F ZINC001321085573 1107244476 /nfs/dbraw/zinc/24/44/76/1107244476.db2.gz YDLZMLIGMZTBEJ-ONEGZZNKSA-N 0 1 308.372 3.083 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)Cc2ccccc2Cl)s1 ZINC001260690760 1107299191 /nfs/dbraw/zinc/29/91/91/1107299191.db2.gz UBAGZHXRWHKOAY-UHFFFAOYSA-N 0 1 312.803 3.215 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)CC2(C)CC2)C1 ZINC001317379549 1107284846 /nfs/dbraw/zinc/28/48/46/1107284846.db2.gz XUNCDPKFULRTOD-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C)c(Cl)c1 ZINC001321222033 1107291466 /nfs/dbraw/zinc/29/14/66/1107291466.db2.gz JJLUWRIDVSRARK-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C=CCC1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CCC1 ZINC001321230881 1107294645 /nfs/dbraw/zinc/29/46/45/1107294645.db2.gz DTFZYIHTEUNNFL-SREVYHEPSA-N 0 1 322.452 3.047 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)c1csc(C#N)c1)c1ccco1 ZINC001261253707 1107373777 /nfs/dbraw/zinc/37/37/77/1107373777.db2.gz LEXMOPKCVZMVRG-AWEZNQCLSA-N 0 1 317.414 3.026 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1cc(F)ccc1Cl ZINC001321531326 1107374146 /nfs/dbraw/zinc/37/41/46/1107374146.db2.gz IASQVEIMYUMNNY-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(C)CCC(F)(F)CC1 ZINC001321896204 1107458393 /nfs/dbraw/zinc/45/83/93/1107458393.db2.gz JVCNTZHLBHLBIQ-NSHDSACASA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1ccccc1C ZINC001322004974 1107482564 /nfs/dbraw/zinc/48/25/64/1107482564.db2.gz ZDKCEMCWJRWHCC-ZFWWWQNUSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)Cc1ccccc1 ZINC001322003870 1107482723 /nfs/dbraw/zinc/48/27/23/1107482723.db2.gz FNDODJDJIQYJBK-GOEBONIOSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1ccc(OC)cc1 ZINC001322083065 1107503349 /nfs/dbraw/zinc/50/33/49/1107503349.db2.gz MWBGFXDWWMGTGR-OCCSQVGLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)Cc1ccccc1C ZINC001322087963 1107505425 /nfs/dbraw/zinc/50/54/25/1107505425.db2.gz ICVGSUDNFQGQPP-HIFRSBDPSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1ccc(F)c(F)c1)C1CC1 ZINC001317553979 1107528940 /nfs/dbraw/zinc/52/89/40/1107528940.db2.gz COMJTQDQVCZIND-UHFFFAOYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1ccc(OC)c(F)c1 ZINC001322168619 1107553076 /nfs/dbraw/zinc/55/30/76/1107553076.db2.gz KGDROPAOZYUZBZ-AWEZNQCLSA-N 0 1 322.424 3.127 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1cccc(C(C)C)n1 ZINC001317591578 1107555861 /nfs/dbraw/zinc/55/58/61/1107555861.db2.gz JYBJALKTTAKKRG-UHFFFAOYSA-N 0 1 317.477 3.355 20 30 DGEDMN CC(C)(C)c1ccc(NN=Cc2cnc(Cl)c(F)c2)nn1 ZINC001261895240 1107557175 /nfs/dbraw/zinc/55/71/75/1107557175.db2.gz YXVNNGZYKKVNJL-UHFFFAOYSA-N 0 1 307.760 3.408 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1ccc(C)cc1Cl ZINC001317619311 1107566380 /nfs/dbraw/zinc/56/63/80/1107566380.db2.gz WIBFJFIIXRZHAY-UHFFFAOYSA-N 0 1 308.853 3.409 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@](C)(CC)CCC)c1ccccc1 ZINC001317727554 1107626238 /nfs/dbraw/zinc/62/62/38/1107626238.db2.gz UNNXWEVGIZVRHM-PKOBYXMFSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@](C)(CC)CCC)c1ccccc1 ZINC001317727551 1107626790 /nfs/dbraw/zinc/62/67/90/1107626790.db2.gz UNNXWEVGIZVRHM-IEBWSBKVSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3ncccc3c2)C1 ZINC001317764208 1107649638 /nfs/dbraw/zinc/64/96/38/1107649638.db2.gz WQFMGRRDIUFHPZ-GOSISDBHSA-N 0 1 323.440 3.347 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001317766273 1107649932 /nfs/dbraw/zinc/64/99/32/1107649932.db2.gz CROCZTHISRIXIJ-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3ccncc3c2)C1 ZINC001317769441 1107651633 /nfs/dbraw/zinc/65/16/33/1107651633.db2.gz DFORAQXLYWGYNM-IBGZPJMESA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cncc3ccccc32)C1 ZINC001317769610 1107654244 /nfs/dbraw/zinc/65/42/44/1107654244.db2.gz GSRLKVGJFUNTOO-QGZVFWFLSA-N 0 1 323.440 3.347 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC([C@@H]3CCOC3)CC2)c1 ZINC001262255445 1107659035 /nfs/dbraw/zinc/65/90/35/1107659035.db2.gz NKWGCERIKNBJLR-MRXNPFEDSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CCCCC(=O)NCC[C@H]1CCN(Cc2nc(C)cs2)C1 ZINC001317797250 1107673562 /nfs/dbraw/zinc/67/35/62/1107673562.db2.gz MCSQWUKIQPAPGS-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@H]2CC=CCC2)C1 ZINC001317798453 1107676638 /nfs/dbraw/zinc/67/66/38/1107676638.db2.gz WBTRHNLIZFDXHH-JKSUJKDBSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CN(C)CC=C(Cl)Cl)C1 ZINC001317839711 1107698805 /nfs/dbraw/zinc/69/88/05/1107698805.db2.gz KHFXWXJDCRPICJ-ZDUSSCGKSA-N 0 1 319.276 3.442 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccc(C)o2)CC1 ZINC001317865789 1107723760 /nfs/dbraw/zinc/72/37/60/1107723760.db2.gz YHYJDRSSTIAMOJ-UHFFFAOYSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2n[nH]c(C(F)(F)F)c2C)C1 ZINC001262631635 1107751344 /nfs/dbraw/zinc/75/13/44/1107751344.db2.gz KSJPJSSVFRPJLN-JTQLQIEISA-N 0 1 301.312 3.165 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001317943561 1107756370 /nfs/dbraw/zinc/75/63/70/1107756370.db2.gz NKNWLUMTRJYUMM-GDBMZVCRSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001317943562 1107758468 /nfs/dbraw/zinc/75/84/68/1107758468.db2.gz NKNWLUMTRJYUMM-GOEBONIOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001317955523 1107765162 /nfs/dbraw/zinc/76/51/62/1107765162.db2.gz QXYVFHKEXBCRLT-MSOLQXFVSA-N 0 1 318.436 3.332 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N[C@H]1CN2CCC1CC2 ZINC001262712880 1107786824 /nfs/dbraw/zinc/78/68/24/1107786824.db2.gz SFNKVFIHMCXZLM-AWEZNQCLSA-N 0 1 313.298 3.222 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC[C@H]2CCc3ccccc32)C1 ZINC001318015652 1107796009 /nfs/dbraw/zinc/79/60/09/1107796009.db2.gz FGOJWWGQTRKNOR-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cncc3ccccc32)C1 ZINC001318089367 1107834688 /nfs/dbraw/zinc/83/46/88/1107834688.db2.gz ONWZZDWVDRVYPG-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1oc(C)cc1C ZINC001323210758 1107885763 /nfs/dbraw/zinc/88/57/63/1107885763.db2.gz JUGWONFEWASLOS-INIZCTEOSA-N 0 1 304.434 3.447 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCN1[C@@H](C)c1ccc2c(c1)CCC2 ZINC001323232429 1107896017 /nfs/dbraw/zinc/89/60/17/1107896017.db2.gz QFOQOOSUWVTMPI-OXJNMPFZSA-N 0 1 324.468 3.086 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCN1[C@H](C)c1ccc2c(c1)CCC2 ZINC001323232431 1107896567 /nfs/dbraw/zinc/89/65/67/1107896567.db2.gz QFOQOOSUWVTMPI-OXQOHEQNSA-N 0 1 324.468 3.086 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC001323242672 1107898986 /nfs/dbraw/zinc/89/89/86/1107898986.db2.gz NIXZCOYZDPPFQE-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2ccc(C)c(C)c2)C1 ZINC001323304181 1107924152 /nfs/dbraw/zinc/92/41/52/1107924152.db2.gz VHUYGQUNJQSQLR-GOSISDBHSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)Cc1ccccc1Cl ZINC001323310257 1107931552 /nfs/dbraw/zinc/93/15/52/1107931552.db2.gz RAXKWEFHDZIVNG-ZBFHGGJFSA-N 0 1 320.864 3.285 20 30 DGEDMN O=C(CCC1CCCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001323383331 1107958690 /nfs/dbraw/zinc/95/86/90/1107958690.db2.gz NXILZQNKZVOZPH-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2scc(C)c2Cl)CC1 ZINC001323444467 1107972972 /nfs/dbraw/zinc/97/29/72/1107972972.db2.gz TXCOVZFLSLDELT-UHFFFAOYSA-N 0 1 319.257 3.314 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001318429962 1107999267 /nfs/dbraw/zinc/99/92/67/1107999267.db2.gz VLHCSVLUUHUKSN-DOTOQJQBSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001318427209 1107999331 /nfs/dbraw/zinc/99/93/31/1107999331.db2.gz DFTKDHRHISLTPY-YOEHRIQHSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001318457778 1108021393 /nfs/dbraw/zinc/02/13/93/1108021393.db2.gz JTZKDQIFBHRCDN-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)cc1 ZINC001263375775 1108029460 /nfs/dbraw/zinc/02/94/60/1108029460.db2.gz XZVPPZFJYHSEMR-CQSZACIVSA-N 0 1 302.337 3.011 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001318486416 1108046424 /nfs/dbraw/zinc/04/64/24/1108046424.db2.gz YRVQPRSCZRRBJI-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CCC=C(C)C ZINC001323697165 1108056306 /nfs/dbraw/zinc/05/63/06/1108056306.db2.gz XPZGHTVREFDECA-OTWHNJEPSA-N 0 1 316.489 3.458 20 30 DGEDMN CC[C@@H](CNC(=O)CC(C)(C)C)NCc1cc(C#N)ccc1F ZINC001318504939 1108065468 /nfs/dbraw/zinc/06/54/68/1108065468.db2.gz WTWFKCOEKNRGHH-HNNXBMFYSA-N 0 1 319.424 3.118 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(O)cc2OC)c(O)c1 ZINC001323769770 1108079582 /nfs/dbraw/zinc/07/95/82/1108079582.db2.gz FQGOGVSYEACLQK-XBXARRHUSA-N 0 1 300.310 3.011 20 30 DGEDMN N#CC(C(=O)C[C@@H]1C[C@H]1C1CC1)=C(O)C1(c2ccccc2)CC1 ZINC001263528818 1108086563 /nfs/dbraw/zinc/08/65/63/1108086563.db2.gz OSUALKNRYOLRCL-BHYGNILZSA-N 0 1 307.393 3.432 20 30 DGEDMN C=CC[C@H](C)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001318555117 1108090903 /nfs/dbraw/zinc/09/09/03/1108090903.db2.gz MUMFUWJBXXYNGI-FUHWJXTLSA-N 0 1 300.446 3.430 20 30 DGEDMN CC[C@H](CNC(=O)C(C)(C)CC)NCc1cc(F)ccc1C#N ZINC001318568478 1108101180 /nfs/dbraw/zinc/10/11/80/1108101180.db2.gz OKHSLIUSWWWHPZ-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1coc2cc(C)ccc12 ZINC001263617144 1108112497 /nfs/dbraw/zinc/11/24/97/1108112497.db2.gz WIRLHZDGVGZNBT-OAHLLOKOSA-N 0 1 307.353 3.377 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001323946415 1108126601 /nfs/dbraw/zinc/12/66/01/1108126601.db2.gz WLHQRMKSFYFCKT-UHFFFAOYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2nccs2)CCCC1 ZINC001318637074 1108134695 /nfs/dbraw/zinc/13/46/95/1108134695.db2.gz VLVZJTWBQMWYMZ-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN N#CC[C@H](OC(=O)c1cccc2nc(C(F)F)[nH]c21)C1CC1 ZINC001263659858 1108145412 /nfs/dbraw/zinc/14/54/12/1108145412.db2.gz UCEYIPJUASIESU-NSHDSACASA-N 0 1 305.284 3.350 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2cccs2)C1 ZINC001324016687 1108148426 /nfs/dbraw/zinc/14/84/26/1108148426.db2.gz DMLXZAZADKBENX-AWEZNQCLSA-N 0 1 306.475 3.178 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(Cl)cc2)C1 ZINC001324016666 1108148528 /nfs/dbraw/zinc/14/85/28/1108148528.db2.gz DNAQXAYFTPVJMJ-ZDUSSCGKSA-N 0 1 306.837 3.451 20 30 DGEDMN C=C[C@H](COC)N[C@H](C)c1ccc(Br)c(F)c1 ZINC001324022865 1108150217 /nfs/dbraw/zinc/15/02/17/1108150217.db2.gz SUMWNVCXTRVFCR-MWLCHTKSSA-N 0 1 302.187 3.440 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CC(C2CC2)C2CC2)C1 ZINC001324029595 1108153697 /nfs/dbraw/zinc/15/36/97/1108153697.db2.gz CUYVNFSJSQGTBJ-AWEZNQCLSA-N 0 1 304.478 3.310 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001263820605 1108232878 /nfs/dbraw/zinc/23/28/78/1108232878.db2.gz CJEWGJVLUDZQEA-CRAIPNDOSA-N 0 1 318.436 3.428 20 30 DGEDMN Nc1ccc(C=[NH+][N-]c2ncnc3ccsc32)c(Cl)c1 ZINC001324358674 1108264063 /nfs/dbraw/zinc/26/40/63/1108264063.db2.gz WLIIGXYUHYPUKD-UHFFFAOYSA-N 0 1 303.778 3.373 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(C(F)F)CC2)CC1 ZINC001319021191 1108282262 /nfs/dbraw/zinc/28/22/62/1108282262.db2.gz UMSZJEOXIBRNOJ-UHFFFAOYSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@@H](CC)C(C)C ZINC001319124789 1108323365 /nfs/dbraw/zinc/32/33/65/1108323365.db2.gz SARFSMIYVZHLKR-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@H](NCc2nccs2)C1 ZINC001264123041 1108386102 /nfs/dbraw/zinc/38/61/02/1108386102.db2.gz YJFKDUJYOWHRLD-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(Cl)ccc1C ZINC001264185512 1108421958 /nfs/dbraw/zinc/42/19/58/1108421958.db2.gz BRGMZLZKKTZNEI-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)cc1Cl ZINC001264185523 1108422758 /nfs/dbraw/zinc/42/27/58/1108422758.db2.gz BYZNFJOSALYJFJ-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C[S@@](=O)c1ccc(CN=Nc2cc(F)c(F)cc2F)cc1 ZINC001319488878 1108490337 /nfs/dbraw/zinc/49/03/37/1108490337.db2.gz HPGSEIMNICGAON-OAQYLSRUSA-N 0 1 312.316 3.287 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](CCC=C)c1ccccc1 ZINC001264383171 1108496726 /nfs/dbraw/zinc/49/67/26/1108496726.db2.gz UXXUCHLOJOAPNC-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1F ZINC001319522938 1108505675 /nfs/dbraw/zinc/50/56/75/1108505675.db2.gz MOHGFEKFIRJFFF-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1c(C)noc1CC ZINC001319526084 1108508376 /nfs/dbraw/zinc/50/83/76/1108508376.db2.gz UILWUBRRVNDAAH-UGSOOPFHSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1coc2ccccc12 ZINC001319554153 1108523101 /nfs/dbraw/zinc/52/31/01/1108523101.db2.gz ZKBVGKDLIAFFHY-ZDUSSCGKSA-N 0 1 320.820 3.164 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C2CC2)cc1 ZINC001319589760 1108541171 /nfs/dbraw/zinc/54/11/71/1108541171.db2.gz MMISWMUXONJAFI-ZDUSSCGKSA-N 0 1 306.837 3.367 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CCC2CCCCC2)C1 ZINC001324974901 1108544148 /nfs/dbraw/zinc/54/41/48/1108544148.db2.gz BWBNLKGUKVFDSM-LJQANCHMSA-N 0 1 304.478 3.198 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](F)CC2CCCCC2)C1 ZINC001324972517 1108544711 /nfs/dbraw/zinc/54/47/11/1108544711.db2.gz QCBFDYMRJILLEU-MJGOQNOKSA-N 0 1 322.468 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cccs1 ZINC001319602580 1108549205 /nfs/dbraw/zinc/54/92/05/1108549205.db2.gz KOOPDSBFMXZHII-GFCCVEGCSA-N 0 1 300.855 3.235 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CCCCC1 ZINC001319647553 1108572773 /nfs/dbraw/zinc/57/27/73/1108572773.db2.gz LVHNUHXAPKCITG-VVLHAWIVSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001264614707 1108592858 /nfs/dbraw/zinc/59/28/58/1108592858.db2.gz CEWKWMAREOJNDL-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC=CCC1)c1ccccc1CC ZINC001325361541 1108632496 /nfs/dbraw/zinc/63/24/96/1108632496.db2.gz HVUGCUNQTVVBTG-ICSRJNTNSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1ccccc1 ZINC001264745492 1108642885 /nfs/dbraw/zinc/64/28/85/1108642885.db2.gz IRCNOHZWMSKNFE-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)CC(C)(C)C)C2 ZINC001264747218 1108644485 /nfs/dbraw/zinc/64/44/85/1108644485.db2.gz UXBROGLXXNYQRN-UHFFFAOYSA-N 0 1 321.490 3.130 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(NC(=O)C3CC3)cc2)c(F)c1 ZINC001319813938 1108648289 /nfs/dbraw/zinc/64/82/89/1108648289.db2.gz MQNGKDLNTGQFAS-UHFFFAOYSA-N 0 1 323.371 3.336 20 30 DGEDMN CCCC(C)(C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001265028820 1108703189 /nfs/dbraw/zinc/70/31/89/1108703189.db2.gz LDQDPXKWPFUHJV-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NCc2ncc(C)s2)C(C)C)C1 ZINC001319944509 1108706612 /nfs/dbraw/zinc/70/66/12/1108706612.db2.gz PNPSVKUDYRDMLA-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2cnc(C)o2)CCCC1 ZINC001265077588 1108721545 /nfs/dbraw/zinc/72/15/45/1108721545.db2.gz PQQXCPCDSPKBIW-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1C(C)(C)C1(C)C ZINC001265107362 1108735763 /nfs/dbraw/zinc/73/57/63/1108735763.db2.gz ITRHJPZGAMVVJR-UHFFFAOYSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001265301466 1108813881 /nfs/dbraw/zinc/81/38/81/1108813881.db2.gz LEJRRAYYOUXGGR-NVXWUHKLSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001265301247 1108814189 /nfs/dbraw/zinc/81/41/89/1108814189.db2.gz GZBUTUWPFSXQRP-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccccc1Cl)C1CC1 ZINC001265378356 1108850732 /nfs/dbraw/zinc/85/07/32/1108850732.db2.gz KDKSOQPPWVWVKR-CQSZACIVSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCC(C)(F)F)C1 ZINC001265390741 1108858386 /nfs/dbraw/zinc/85/83/86/1108858386.db2.gz MDONAKHXJYKJRC-ZDUSSCGKSA-N 0 1 322.827 3.345 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)cs1 ZINC001265410447 1108866263 /nfs/dbraw/zinc/86/62/63/1108866263.db2.gz VXBSUZGMLFGUFI-OKILXGFUSA-N 0 1 320.458 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001265437179 1108871308 /nfs/dbraw/zinc/87/13/08/1108871308.db2.gz WNFMWVBDCGSYLA-KZSQQDEKSA-N 0 1 322.811 3.172 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001265658192 1108954097 /nfs/dbraw/zinc/95/40/97/1108954097.db2.gz SXNJLRDTNJUNTI-WMZOPIPTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001265821655 1109015941 /nfs/dbraw/zinc/01/59/41/1109015941.db2.gz XQYAICOSZSJVKN-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN CC(C)CCCC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001265830267 1109018676 /nfs/dbraw/zinc/01/86/76/1109018676.db2.gz QXQDGMQCZOADFG-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)CCCC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001265830268 1109019040 /nfs/dbraw/zinc/01/90/40/1109019040.db2.gz QXQDGMQCZOADFG-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001265835500 1109020675 /nfs/dbraw/zinc/02/06/75/1109020675.db2.gz PDBZHKQZGGMZMC-NVXWUHKLSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001265835502 1109020914 /nfs/dbraw/zinc/02/09/14/1109020914.db2.gz PDBZHKQZGGMZMC-WBVHZDCISA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001265852550 1109026561 /nfs/dbraw/zinc/02/65/61/1109026561.db2.gz FQMCBMWJFINTHS-ZBFHGGJFSA-N 0 1 320.864 3.343 20 30 DGEDMN CC#CCCCC(=O)NCCCN(C)Cc1ccc(F)cc1F ZINC001265906409 1109039424 /nfs/dbraw/zinc/03/94/24/1109039424.db2.gz CECAXFADNZQUEQ-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN CC[C@H](C)CCC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001265936236 1109045957 /nfs/dbraw/zinc/04/59/57/1109045957.db2.gz JKPRLDPERQXOQN-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@H](NCc2nc(C)c(C)o2)C1 ZINC001266059811 1109076031 /nfs/dbraw/zinc/07/60/31/1109076031.db2.gz NAODTHABUPBSNZ-JKSUJKDBSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001266108411 1109092389 /nfs/dbraw/zinc/09/23/89/1109092389.db2.gz WIZLZJIQNLGRAY-KBPBESRZSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001279119803 1109138722 /nfs/dbraw/zinc/13/87/22/1109138722.db2.gz JNAIZUNVURRVES-SFHVURJKSA-N 0 1 324.468 3.221 20 30 DGEDMN CCC(CC)C(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001279189400 1109140730 /nfs/dbraw/zinc/14/07/30/1109140730.db2.gz GFLVNFGENVODAJ-UHFFFAOYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NCc2csc(CC)n2)C1 ZINC001279235357 1109142020 /nfs/dbraw/zinc/14/20/20/1109142020.db2.gz CKPOZPVZKYRYIA-UHFFFAOYSA-N 0 1 321.490 3.141 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc(CNC[C@@H](F)CC)cc1F ZINC001279716309 1109161501 /nfs/dbraw/zinc/16/15/01/1109161501.db2.gz RJBKUQCSIPYANH-HNNXBMFYSA-N 0 1 324.415 3.492 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)[C@H](C)CC ZINC001280333122 1109186285 /nfs/dbraw/zinc/18/62/85/1109186285.db2.gz GQDUENYVGVXYQM-IAGOWNOFSA-N 0 1 314.473 3.440 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3ccccc3[nH]2)CCC1 ZINC001280536778 1109221401 /nfs/dbraw/zinc/22/14/01/1109221401.db2.gz USJCCWBZBYLMNT-UHFFFAOYSA-N 0 1 317.820 3.163 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccccc2C)CCC1 ZINC001280544788 1109222616 /nfs/dbraw/zinc/22/26/16/1109222616.db2.gz FRZLKUCNHHYJLQ-OAHLLOKOSA-N 0 1 320.864 3.480 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cccc(F)c2F)CC1 ZINC001280706509 1109241683 /nfs/dbraw/zinc/24/16/83/1109241683.db2.gz DDKDYLSJDUACMF-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2ccc(F)cn2)CC1 ZINC001280747481 1109246830 /nfs/dbraw/zinc/24/68/30/1109246830.db2.gz QKKQTEDTVNQBIQ-INIZCTEOSA-N 0 1 319.424 3.048 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001280757127 1109249264 /nfs/dbraw/zinc/24/92/64/1109249264.db2.gz UAOGPEWTPSMGIS-FUHWJXTLSA-N 0 1 319.449 3.056 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@@H]1CCC2(CN(CCCCCCC)C2)O1 ZINC001280917455 1109272288 /nfs/dbraw/zinc/27/22/88/1109272288.db2.gz BQBAWHFLMJCSSE-DNIVAWAASA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCCC(=O)NC[C@H]1C[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001316613483 1109399179 /nfs/dbraw/zinc/39/91/79/1109399179.db2.gz RMDOGFLROOJKBE-MELADBBJSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H](C)N[C@@H](C)c1ncc(C)o1 ZINC001281748742 1109403996 /nfs/dbraw/zinc/40/39/96/1109403996.db2.gz FCVLHBBVWCCCOO-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)CC ZINC001281768228 1109405383 /nfs/dbraw/zinc/40/53/83/1109405383.db2.gz KGGRLPRDOSXBTH-YJBOKZPZSA-N 0 1 300.446 3.324 20 30 DGEDMN CC(C(=O)NC[C@H]1C[C@@H](NCc2ccccc2C#N)C1)=C1CCC1 ZINC001316614246 1109408458 /nfs/dbraw/zinc/40/84/58/1109408458.db2.gz BDXWUUBKIFNICR-GLRZTSSQSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001281951990 1109420451 /nfs/dbraw/zinc/42/04/51/1109420451.db2.gz JOHMCYBLLMGOEH-SNVBAGLBSA-N 0 1 316.232 3.044 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001281951988 1109420529 /nfs/dbraw/zinc/42/05/29/1109420529.db2.gz JOHMCYBLLMGOEH-JTQLQIEISA-N 0 1 316.232 3.044 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1(CC(C)C)CCCC1 ZINC001281955880 1109421362 /nfs/dbraw/zinc/42/13/62/1109421362.db2.gz RJGYJNVQTGBKQP-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1c(CC)oc2ccccc21 ZINC001281967047 1109424702 /nfs/dbraw/zinc/42/47/02/1109424702.db2.gz DXUBZCWMQBJRKA-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2ncccc21 ZINC001282175020 1109462782 /nfs/dbraw/zinc/46/27/82/1109462782.db2.gz MNPVQBZWFQTLIJ-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C2CC2)cc1 ZINC001282243548 1109475326 /nfs/dbraw/zinc/47/53/26/1109475326.db2.gz XIDABNQUTCJMGG-STQMWFEESA-N 0 1 306.837 3.413 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCC(NCc2ncc(C)o2)CC1 ZINC001282270289 1109478337 /nfs/dbraw/zinc/47/83/37/1109478337.db2.gz OOGUYZFBTCUBBC-UHFFFAOYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)cc1OC ZINC001282365124 1109494305 /nfs/dbraw/zinc/49/43/05/1109494305.db2.gz VLNCLGSNESEPGV-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCCc1ccc(C)cc1 ZINC001282503659 1109527607 /nfs/dbraw/zinc/52/76/07/1109527607.db2.gz DDDGWNXSLGBKNO-SFHVURJKSA-N 0 1 314.473 3.168 20 30 DGEDMN CC[C@H](F)CN1CCCCC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001282668405 1109548634 /nfs/dbraw/zinc/54/86/34/1109548634.db2.gz XOQRKLXXUFAJBL-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1conc1C ZINC001282666914 1109548721 /nfs/dbraw/zinc/54/87/21/1109548721.db2.gz UNQHOOIBOXPGJR-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001282715298 1109556268 /nfs/dbraw/zinc/55/62/68/1109556268.db2.gz RVDYMKWHYXPEOM-AWEZNQCLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CN(Cc2ccc(F)cc2)CC1(C)C ZINC001282824937 1109572175 /nfs/dbraw/zinc/57/21/75/1109572175.db2.gz WFJUGBWELRQOLV-INIZCTEOSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CC1CC(F)(F)C1 ZINC001283510377 1109646265 /nfs/dbraw/zinc/64/62/65/1109646265.db2.gz YPFLUCSPDXNJKP-ZDUSSCGKSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCCCC1(F)F ZINC001283649196 1109665754 /nfs/dbraw/zinc/66/57/54/1109665754.db2.gz NJKGNMXINIPVIV-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1ccc(Cl)cc1 ZINC001283703672 1109676788 /nfs/dbraw/zinc/67/67/88/1109676788.db2.gz DMIJOCLDFKSIFL-GFCCVEGCSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccccc1Cl ZINC001283705752 1109677429 /nfs/dbraw/zinc/67/74/29/1109677429.db2.gz WHWIVOISJBTBCC-NSHDSACASA-N 0 1 301.217 3.143 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2ncccc2C)[C@H]1C ZINC001284129313 1109742955 /nfs/dbraw/zinc/74/29/55/1109742955.db2.gz BSNQXHFCVWYYOD-DLBZAZTESA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCC=CCCC1)C(C)C ZINC001284538190 1109806464 /nfs/dbraw/zinc/80/64/64/1109806464.db2.gz SMBIEXYYGYBZEI-GOSISDBHSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001284613331 1109825203 /nfs/dbraw/zinc/82/52/03/1109825203.db2.gz DHSUBRCZEPGKQT-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2ccccc2CC)c(F)c1 ZINC001285004710 1109891134 /nfs/dbraw/zinc/89/11/34/1109891134.db2.gz PISVMVAIAXMQCG-UHFFFAOYSA-N 0 1 324.399 3.041 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3nccc4ccsc43)[nH]c2c1 ZINC001287394226 1110111426 /nfs/dbraw/zinc/11/14/26/1110111426.db2.gz CAKQMVFOUWAXHI-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN C#CCN1CCC(C(=O)Oc2ccc3ccc(C)cc3c2)CC1 ZINC001289494166 1110214185 /nfs/dbraw/zinc/21/41/85/1110214185.db2.gz PJASPQRHTZWFSN-UHFFFAOYSA-N 0 1 307.393 3.399 20 30 DGEDMN C=CCC1(O)CCN(Cc2c(Cl)cccc2N(C)C)CC1 ZINC001333736687 1110220075 /nfs/dbraw/zinc/22/00/75/1110220075.db2.gz WKDJWYWHATWCRJ-UHFFFAOYSA-N 0 1 308.853 3.309 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(Cl)c(Cl)[nH]3)[nH]c2c1 ZINC001301792227 1111099891 /nfs/dbraw/zinc/09/98/91/1111099891.db2.gz DBLOPCGODYOWHG-UHFFFAOYSA-N 0 1 320.139 3.322 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(C3CC3)c(C#N)c2)cc1O ZINC001303068299 1111132797 /nfs/dbraw/zinc/13/27/97/1111132797.db2.gz WJVRLJIUQJMBSI-UHFFFAOYSA-N 0 1 303.321 3.265 20 30 DGEDMN C#CCN(C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)[C@H]1CCCc2ccccc21 ZINC001304066546 1111164511 /nfs/dbraw/zinc/16/45/11/1111164511.db2.gz LLOAWMIWHCNAQK-QKLQHJQFSA-N 0 1 324.468 3.399 20 30 DGEDMN Cc1cc(C#N)cc(Sc2nc(-c3ccccc3F)n[nH]2)n1 ZINC001306926091 1111251312 /nfs/dbraw/zinc/25/13/12/1111251312.db2.gz ZLOJGNBDMSAZJK-UHFFFAOYSA-N 0 1 311.345 3.337 20 30 DGEDMN Cc1cc(N[C@H]2CCN(C)[C@@H]2c2ccc(F)cc2)ncc1C#N ZINC001307073544 1111262302 /nfs/dbraw/zinc/26/23/02/1111262302.db2.gz XDVOLTXKJZMQER-FUHWJXTLSA-N 0 1 310.376 3.258 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SCc3ccncc3C#N)n2)o1 ZINC001307284415 1111285937 /nfs/dbraw/zinc/28/59/37/1111285937.db2.gz GHIMQDXZZOTALW-PLNGDYQASA-N 0 1 323.381 3.436 20 30 DGEDMN C#CCCCCCCN(C)[C@H]1CCN(c2ccccc2F)C1=O ZINC001307463809 1111302548 /nfs/dbraw/zinc/30/25/48/1111302548.db2.gz BNGADOAQNZEAGB-SFHVURJKSA-N 0 1 316.420 3.447 20 30 DGEDMN C=CC[C@H]1CCN(Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC001307961403 1111360041 /nfs/dbraw/zinc/36/00/41/1111360041.db2.gz JTZMHZXXNPJFTA-ZDUSSCGKSA-N 0 1 302.809 3.319 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC001308018003 1111367308 /nfs/dbraw/zinc/36/73/08/1111367308.db2.gz ZNBOULMCOFFSMJ-UHFFFAOYSA-N 0 1 320.352 3.013 20 30 DGEDMN C#CCCCCNCc1c(Br)cccc1[N+](=O)[O-] ZINC001308331827 1111417685 /nfs/dbraw/zinc/41/76/85/1111417685.db2.gz YDVPCLZBTXMQPO-UHFFFAOYSA-N 0 1 311.179 3.250 20 30 DGEDMN CCOC(=O)CN(CCc1ccccc1)C[C@H](C)CCC#N ZINC001308633213 1111453805 /nfs/dbraw/zinc/45/38/05/1111453805.db2.gz HHGCXSXBENRVMP-MRXNPFEDSA-N 0 1 302.418 3.034 20 30 DGEDMN C#C[C@H]1CCC[N@H+](CCN(C(=O)OC(C)(C)C)C(C)(C)C)C1 ZINC001308839760 1111482556 /nfs/dbraw/zinc/48/25/56/1111482556.db2.gz GDMGZLPBLVGWFX-HNNXBMFYSA-N 0 1 308.466 3.367 20 30 DGEDMN C=CC(C)(C)CCCNS(=O)(=O)c1c(F)cc(F)cc1F ZINC001309825419 1111541665 /nfs/dbraw/zinc/54/16/65/1111541665.db2.gz PRANMNXGSDSRJI-UHFFFAOYSA-N 0 1 321.364 3.375 20 30 DGEDMN Cc1c(NC(=O)Nc2ccnc(C#N)c2)[nH]nc1-c1ccccc1 ZINC001311073528 1111582636 /nfs/dbraw/zinc/58/26/36/1111582636.db2.gz VUEHYTSXGCGKMJ-UHFFFAOYSA-N 0 1 318.340 3.296 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)Nc1cc(CN(C)C)ccn1 ZINC001311076764 1111582813 /nfs/dbraw/zinc/58/28/13/1111582813.db2.gz CUCPKLMLYRYCQH-JKSUJKDBSA-N 0 1 316.449 3.400 20 30 DGEDMN CCCCCCCNC(=O)NCCN1CCc2ccccc2C1 ZINC001311394582 1111598820 /nfs/dbraw/zinc/59/88/20/1111598820.db2.gz NUXOHVLNGPCRCF-UHFFFAOYSA-N 0 1 317.477 3.314 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC001311570811 1111608212 /nfs/dbraw/zinc/60/82/12/1111608212.db2.gz NAXWYZVFBYMNND-INIZCTEOSA-N 0 1 321.440 3.330 20 30 DGEDMN C#CCN1CCC(NC(=O)N[C@@H](CCC(C)C)C(C)(C)C)CC1 ZINC001312000467 1111630418 /nfs/dbraw/zinc/63/04/18/1111630418.db2.gz WSVJNGMYCYMTML-KRWDZBQOSA-N 0 1 321.509 3.234 20 30 DGEDMN C=C(C)CCNC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001312727026 1111669058 /nfs/dbraw/zinc/66/90/58/1111669058.db2.gz KULSKJDWCMFTDL-IAGOWNOFSA-N 0 1 315.461 3.257 20 30 DGEDMN C=C(C)CCNC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001312727025 1111669124 /nfs/dbraw/zinc/66/91/24/1111669124.db2.gz KULSKJDWCMFTDL-DLBZAZTESA-N 0 1 315.461 3.257 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C1CC(F)(F)C1 ZINC001316701496 1111741749 /nfs/dbraw/zinc/74/17/49/1111741749.db2.gz XOCYUIREMXTAME-MFKMUULPSA-N 0 1 320.811 3.001 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)CC2(C)CC2)CCN1CC#Cc1ccccc1 ZINC001316736899 1111758358 /nfs/dbraw/zinc/75/83/58/1111758358.db2.gz YDJWAZOJZFDLBG-RBUKOAKNSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000272871362 210263257 /nfs/dbraw/zinc/26/32/57/210263257.db2.gz OTCYGXIPTGHVHV-CQSZACIVSA-N 0 1 321.442 3.301 20 30 DGEDMN C=CC[NH+](CC=C)[C@H](C[N-]c1nnc(C(F)F)o1)C(C)C ZINC000278487826 214186965 /nfs/dbraw/zinc/18/69/65/214186965.db2.gz GVNKVGHVNVUYDB-LLVKDONJSA-N 0 1 300.353 3.118 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1cc(Cl)cc(Cl)c1 ZINC000272853806 210249404 /nfs/dbraw/zinc/24/94/04/210249404.db2.gz LQKASDPYKGMTHP-SNVBAGLBSA-N 0 1 322.257 3.245 20 30 DGEDMN C=CCC[C@H](O)CN1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000129356843 432005021 /nfs/dbraw/zinc/00/50/21/432005021.db2.gz KHLLINCKQCEELE-VIFPVBQESA-N 0 1 305.262 3.130 20 30 DGEDMN CCCCN(CC(N)=O)Cc1ccc(-c2ccccc2C#N)cc1 ZINC000103467010 432009686 /nfs/dbraw/zinc/00/96/86/432009686.db2.gz GNHGAYPPVPMJAR-UHFFFAOYSA-N 0 1 321.424 3.313 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1c(F)cc(C#N)cc1F ZINC000444883216 529977073 /nfs/dbraw/zinc/97/70/73/529977073.db2.gz YOKLATVQEYWDFB-UHFFFAOYSA-N 0 1 304.344 3.493 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Cl)C[C@@H](O)Cc1ccccc1 ZINC000433076660 529984175 /nfs/dbraw/zinc/98/41/75/529984175.db2.gz OUHZGZKJTUWCEB-KRWDZBQOSA-N 0 1 314.816 3.247 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](C)c1ccccc1C(F)(F)F ZINC000066391190 432015389 /nfs/dbraw/zinc/01/53/89/432015389.db2.gz GQSSSEIFLJKHGI-GHMZBOCLSA-N 0 1 300.324 3.047 20 30 DGEDMN COCC[C@H](NCc1ccc(OC)c(C#N)c1)c1ccco1 ZINC000272627507 210027298 /nfs/dbraw/zinc/02/72/98/210027298.db2.gz XHVGDCDNFQVYQF-HNNXBMFYSA-N 0 1 300.358 3.027 20 30 DGEDMN CC(C)(C)c1ccc(-c2n[nH]c(NC(=O)[C@H]3CCOC3)n2)cc1 ZINC000066917375 432023911 /nfs/dbraw/zinc/02/39/11/432023911.db2.gz FDDPQVCDJQWFPE-LBPRGKRZSA-N 0 1 314.389 3.394 20 30 DGEDMN O=C(Nc1nc(-c2cccc3ccccc32)n[nH]1)[C@H]1CCOC1 ZINC000066916791 432024056 /nfs/dbraw/zinc/02/40/56/432024056.db2.gz OPIMZWFJKOPQJL-LBPRGKRZSA-N 0 1 308.341 3.249 20 30 DGEDMN C[C@H](NCCC(=O)N1CCCCCC1)c1ccc(C#N)cc1F ZINC000331414025 233380381 /nfs/dbraw/zinc/38/03/81/233380381.db2.gz GPTDFBWWKVAAHU-AWEZNQCLSA-N 0 1 317.408 3.141 20 30 DGEDMN N#Cc1cnc(N2CCN(C3CCCCC3)CC2)c(Cl)c1 ZINC000152440032 186073137 /nfs/dbraw/zinc/07/31/37/186073137.db2.gz VDZTXLNASHYELG-UHFFFAOYSA-N 0 1 304.825 3.061 20 30 DGEDMN CC[C@@](C)(NCCOc1cccc(C#N)c1)c1nccs1 ZINC000072682975 191214162 /nfs/dbraw/zinc/21/41/62/191214162.db2.gz DIFOXVOXCPDVTO-MRXNPFEDSA-N 0 1 301.415 3.309 20 30 DGEDMN CCCN1CCC[C@@H]1C(=O)Nc1ccc(OC(C)C)c(C#N)c1 ZINC000130786705 432029687 /nfs/dbraw/zinc/02/96/87/432029687.db2.gz MIJOZVQXXFMGAI-MRXNPFEDSA-N 0 1 315.417 3.158 20 30 DGEDMN CCN(CC)c1ccc(CNCc2ccc(OC)c(C#N)c2)cn1 ZINC000174284726 198314221 /nfs/dbraw/zinc/31/42/21/198314221.db2.gz IDGBIPLWKVWBHM-UHFFFAOYSA-N 0 1 324.428 3.098 20 30 DGEDMN N#Cc1cccc(CNCc2ccnc(OCC(F)(F)F)c2)c1 ZINC000067669800 432034417 /nfs/dbraw/zinc/03/44/17/432034417.db2.gz JHCCPFLEJVWUKW-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC000277040454 213257633 /nfs/dbraw/zinc/25/76/33/213257633.db2.gz LYBNOUICKDSEGA-QWRGUYRKSA-N 0 1 312.377 3.073 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000067785596 432040276 /nfs/dbraw/zinc/04/02/76/432040276.db2.gz XULOCEHPBCNDJS-LBPRGKRZSA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000067785596 432040280 /nfs/dbraw/zinc/04/02/80/432040280.db2.gz XULOCEHPBCNDJS-LBPRGKRZSA-N 0 1 301.327 3.158 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2[nH]nc3c2cccc3Cl)s1 ZINC000340657139 283133890 /nfs/dbraw/zinc/13/38/90/283133890.db2.gz LCFCPBLPTTXIJM-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN COc1ccc(O)c(C[N@@H+](Cc2ccc(C#N)cc2)C2CC2)c1 ZINC000179679052 432067798 /nfs/dbraw/zinc/06/77/98/432067798.db2.gz OVBRYDKLJWLQIX-UHFFFAOYSA-N 0 1 308.381 3.437 20 30 DGEDMN C#CCCOc1ccc(CN(C)Cc2scnc2C)cc1 ZINC000179428022 199033671 /nfs/dbraw/zinc/03/36/71/199033671.db2.gz JZHURHJZKHDKDL-UHFFFAOYSA-N 0 1 300.427 3.486 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000179837044 199086675 /nfs/dbraw/zinc/08/66/75/199086675.db2.gz UMKNEIYXAKYYMB-SECBINFHSA-N 0 1 309.316 3.420 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C#N)cc1)O[C@H](C)C2 ZINC000237292228 202220345 /nfs/dbraw/zinc/22/03/45/202220345.db2.gz SVAYYNVOFRDTGK-CYBMUJFWSA-N 0 1 308.381 3.180 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)s1 ZINC000180603766 199183555 /nfs/dbraw/zinc/18/35/55/199183555.db2.gz HYCXMFPOBSTOQH-IUODEOHRSA-N 0 1 302.399 3.301 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@H](c3ccccc3)C2)c1 ZINC000433062996 238145678 /nfs/dbraw/zinc/14/56/78/238145678.db2.gz QWTUIBJPDROLCY-SFHVURJKSA-N 0 1 319.408 3.376 20 30 DGEDMN N#CCCN(Cc1ccco1)C(=O)C(C#N)CCc1ccccc1 ZINC000433341124 238156569 /nfs/dbraw/zinc/15/65/69/238156569.db2.gz DZOBKBHUMRABFA-KRWDZBQOSA-N 0 1 321.380 3.294 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cccc(C)c2C#N)cc1F ZINC000441387225 239047243 /nfs/dbraw/zinc/04/72/43/239047243.db2.gz REJUSKGQJSKVKS-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN COc1cc(CN2CCC(Oc3ccccn3)CC2)ccc1C#N ZINC000442803021 239127547 /nfs/dbraw/zinc/12/75/47/239127547.db2.gz RNBZKHYCSUYSKX-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1ncsc1CN1CCC(Oc2cccc(C#N)c2)CC1 ZINC000092034425 193144193 /nfs/dbraw/zinc/14/41/93/193144193.db2.gz SYSXTBXGTINPQI-UHFFFAOYSA-N 0 1 313.426 3.367 20 30 DGEDMN CS[C@H]1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000451321955 241082193 /nfs/dbraw/zinc/08/21/93/241082193.db2.gz DVRDPNWSFJEWHC-AWEZNQCLSA-N 0 1 315.360 3.374 20 30 DGEDMN CC(C)OCCOC1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000451954004 241283802 /nfs/dbraw/zinc/28/38/02/241283802.db2.gz WJUZLXHHMLDOBW-UHFFFAOYSA-N 0 1 319.420 3.083 20 30 DGEDMN CCC[C@H](C)[C@@H]1CCCN1CC(=O)Nc1sccc1C#N ZINC000135354105 432113617 /nfs/dbraw/zinc/11/36/17/432113617.db2.gz VJLNTOIIGJBIOA-JSGCOSHPSA-N 0 1 305.447 3.459 20 30 DGEDMN CC[C@H]1CCN([C@H](C)C(=O)Nc2sc3c(c2C#N)CCC3)C1 ZINC000246277744 432114932 /nfs/dbraw/zinc/11/49/32/432114932.db2.gz SOTKTFAMCPAZKZ-NEPJUHHUSA-N 0 1 317.458 3.167 20 30 DGEDMN N#Cc1ccc([C@H](O)C[N@@H+](CCC(F)(F)F)CC2CC2)cc1 ZINC000268646808 432115290 /nfs/dbraw/zinc/11/52/90/432115290.db2.gz FRBJKFIEVBKZLO-OAHLLOKOSA-N 0 1 312.335 3.256 20 30 DGEDMN N#C[C@H]1CCC[C@@H]1NCCOc1ccc(OC(F)(F)F)cc1 ZINC000459468722 243383402 /nfs/dbraw/zinc/38/34/02/243383402.db2.gz RUIIVKGUZLDZOK-RISCZKNCSA-N 0 1 314.307 3.246 20 30 DGEDMN C=CCOCCC[N@@H+]1C[C@@H](C2CCCCC2)C[C@H]1C(=O)OC ZINC000470766556 244186234 /nfs/dbraw/zinc/18/62/34/244186234.db2.gz RIJLEDIXQZHEDD-IRXDYDNUSA-N 0 1 309.450 3.023 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000488986366 245259561 /nfs/dbraw/zinc/25/95/61/245259561.db2.gz SGEBSFOHSLFFBR-INIZCTEOSA-N 0 1 315.417 3.080 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000271674147 209116725 /nfs/dbraw/zinc/11/67/25/209116725.db2.gz HDGLWUCIQBSWGV-LPHOPBHVSA-N 0 1 306.409 3.218 20 30 DGEDMN N#Cc1cc(F)ccc1NC1CCN(Cc2ccccn2)CC1 ZINC000266386568 205309594 /nfs/dbraw/zinc/30/95/94/205309594.db2.gz NOYWPTQYJHINGX-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CCc1ccccc1NC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000266478177 205376113 /nfs/dbraw/zinc/37/61/13/205376113.db2.gz POHDBVAQCWOAAX-CQSZACIVSA-N 0 1 307.397 3.410 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)C=Cc2cccc(C#N)c2)CC1 ZINC000492934745 245837520 /nfs/dbraw/zinc/83/75/20/245837520.db2.gz XMFHNLBYQNPVII-WAYWQWQTSA-N 0 1 320.396 3.009 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@@H](C)Cc1ccsc1 ZINC000495202236 246246474 /nfs/dbraw/zinc/24/64/74/246246474.db2.gz NSPHTYKYGAJWCQ-HNNXBMFYSA-N 0 1 306.475 3.202 20 30 DGEDMN COC(=O)Nc1cccc(CNCc2ccc(C#N)s2)c1 ZINC000495620316 246287255 /nfs/dbraw/zinc/28/72/55/246287255.db2.gz ZRQOVMWLSTZXIS-UHFFFAOYSA-N 0 1 301.371 3.088 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]([C@@H]2CCCCN2CCC#N)C1 ZINC000495797238 246311218 /nfs/dbraw/zinc/31/12/18/246311218.db2.gz CFOYHPXSHDQCSF-GJZGRUSLSA-N 0 1 307.438 3.012 20 30 DGEDMN CC(=NNc1ncccc1C)c1cccc(N2CCOC2=O)c1 ZINC000495899891 246324657 /nfs/dbraw/zinc/32/46/57/246324657.db2.gz VWDURYPBWJZZQL-UHFFFAOYSA-N 0 1 310.357 3.183 20 30 DGEDMN N#CCc1ccc(CN2CCN(Cc3ccccc3)CC2)cc1 ZINC000057661480 184045176 /nfs/dbraw/zinc/04/51/76/184045176.db2.gz MXRCLRYHLZRVJE-UHFFFAOYSA-N 0 1 305.425 3.070 20 30 DGEDMN Cc1ccc2cccc(NS(=O)(=O)c3cccc(C#N)c3)c2n1 ZINC000066235485 184398652 /nfs/dbraw/zinc/39/86/52/184398652.db2.gz ZCFLIBOOJQNZNI-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN COC1(CCn2[nH]c(C(C)(C)C)c(CCC#N)c2=O)CCC1 ZINC000418901800 532989627 /nfs/dbraw/zinc/98/96/27/532989627.db2.gz OWNXZUJZNNJUGD-UHFFFAOYSA-N 0 1 305.422 3.302 20 30 DGEDMN C[C@H](N[C@@H](C[S@@](C)=O)c1ccccc1)c1cccc(C#N)c1 ZINC000248926045 285039736 /nfs/dbraw/zinc/03/97/36/285039736.db2.gz LORFLFXPWMNGHX-VELBRHGASA-N 0 1 312.438 3.329 20 30 DGEDMN Cc1nc([C@H]2CCCCN2Cc2ccc(C#N)cc2Cl)n[nH]1 ZINC000338323617 253266112 /nfs/dbraw/zinc/26/61/12/253266112.db2.gz FLKJJCUNUHBUEL-OAHLLOKOSA-N 0 1 315.808 3.365 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000158031591 197236732 /nfs/dbraw/zinc/23/67/32/197236732.db2.gz PXTQDUAWFCVQPR-AWEZNQCLSA-N 0 1 318.465 3.427 20 30 DGEDMN N#Cc1cccc(CCN[C@H](c2ccccc2)c2ccncn2)c1 ZINC000343601639 254166273 /nfs/dbraw/zinc/16/62/73/254166273.db2.gz MKSUCKHTDVXBRP-HXUWFJFHSA-N 0 1 314.392 3.270 20 30 DGEDMN C#CCOc1ccccc1CNCc1cccc(NC(=O)OC)c1 ZINC000495749746 533196273 /nfs/dbraw/zinc/19/62/73/533196273.db2.gz MYSBQPISUGFDDU-UHFFFAOYSA-N 0 1 324.380 3.167 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@@H](C)c2n[nH]c(C(C)C)n2)cc1 ZINC000271580924 209031248 /nfs/dbraw/zinc/03/12/48/209031248.db2.gz WWICFPNOAJQPAT-KGLIPLIRSA-N 0 1 312.417 3.352 20 30 DGEDMN COc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1Cl ZINC000435336232 533223358 /nfs/dbraw/zinc/22/33/58/533223358.db2.gz NDKFXWGHVIOYRR-TWGQIWQCSA-N 0 1 315.760 3.173 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC(C)(C)c3ccccc32)CC1 ZINC000288895972 335058870 /nfs/dbraw/zinc/05/88/70/335058870.db2.gz IYVFMQXACAFOPX-UHFFFAOYSA-N 0 1 310.441 3.046 20 30 DGEDMN C[C@@H](NCC(=O)NCCC1=CCCCC1)c1ccc(C#N)cc1 ZINC000271619229 209065927 /nfs/dbraw/zinc/06/59/27/209065927.db2.gz CNRCWMAFBCOVNW-OAHLLOKOSA-N 0 1 311.429 3.216 20 30 DGEDMN COc1ccc(Br)c(CN[C@@H]2CC[C@H](C#N)C2)c1 ZINC000349813457 533259938 /nfs/dbraw/zinc/25/99/38/533259938.db2.gz OPIBMISDLYCLQY-CMPLNLGQSA-N 0 1 309.207 3.240 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(CC)Cc1cccc(F)c1 ZINC000488488302 533500959 /nfs/dbraw/zinc/50/09/59/533500959.db2.gz BHDYRWBOIXLJLH-HNNXBMFYSA-N 0 1 306.425 3.461 20 30 DGEDMN CSCCCN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000444767037 533565474 /nfs/dbraw/zinc/56/54/74/533565474.db2.gz OKFYOVMJCCMJAV-UHFFFAOYSA-N 0 1 309.866 3.087 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1CCN(c2ccc(Cl)cc2F)C1 ZINC000600615973 400107586 /nfs/dbraw/zinc/10/75/86/400107586.db2.gz WXWSJZWBAZGYBU-GXTWGEPZSA-N 0 1 320.799 3.091 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@H]1CCN(c2ccc(Cl)cc2F)C1 ZINC000600615975 400108366 /nfs/dbraw/zinc/10/83/66/400108366.db2.gz WXWSJZWBAZGYBU-OCCSQVGLSA-N 0 1 320.799 3.091 20 30 DGEDMN C[C@H](OC[C@H](O)CNCC1(C#N)CCC1)c1ccc(Cl)cc1 ZINC000581721541 400082206 /nfs/dbraw/zinc/08/22/06/400082206.db2.gz BKZZFRAWQKVDIY-XJKSGUPXSA-N 0 1 322.836 3.062 20 30 DGEDMN C[C@@H](OC[C@@H](O)CNCC1(C#N)CCC1)c1ccc(Cl)cc1 ZINC000581721539 400082471 /nfs/dbraw/zinc/08/24/71/400082471.db2.gz BKZZFRAWQKVDIY-CJNGLKHVSA-N 0 1 322.836 3.062 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CCN(C)C[C@H]1c1ccccc1 ZINC000323212894 400177138 /nfs/dbraw/zinc/17/71/38/400177138.db2.gz WUJWLHSTTLVXGF-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(C)C[C@H]1c1ccccc1 ZINC000323212894 400177148 /nfs/dbraw/zinc/17/71/48/400177148.db2.gz WUJWLHSTTLVXGF-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN Cc1cc([C@@H](C)NCc2cnc3c(C#N)cnn3c2)c(C)s1 ZINC000609006626 400135136 /nfs/dbraw/zinc/13/51/36/400135136.db2.gz MUSMEGDHILFLND-LLVKDONJSA-N 0 1 311.414 3.130 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2ccc(F)c(C#N)c2)o1 ZINC000609102613 400140012 /nfs/dbraw/zinc/14/00/12/400140012.db2.gz KDOATEHGWBRMBV-UHFFFAOYSA-N 0 1 315.348 3.384 20 30 DGEDMN CCc1nc([C@H](C)N2CC=C(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000595406626 400140689 /nfs/dbraw/zinc/14/06/89/400140689.db2.gz FDOINDCYVKQMDB-ZDUSSCGKSA-N 0 1 307.401 3.089 20 30 DGEDMN CN(CC(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1)C1CCC1 ZINC000600824724 400165650 /nfs/dbraw/zinc/16/56/50/400165650.db2.gz NVDYGADCBIEXJB-UHFFFAOYSA-N 0 1 315.417 3.158 20 30 DGEDMN Cc1cc(NCc2ccc3nc[nH]c3c2)c(C#N)cc1[N+](=O)[O-] ZINC000600849377 400169183 /nfs/dbraw/zinc/16/91/83/400169183.db2.gz COHHQIUYQKUMKV-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN N#CC1(CN[C@]2(Cc3ccc(Cl)cc3)CCCOC2)CC1 ZINC000595671265 400223137 /nfs/dbraw/zinc/22/31/37/400223137.db2.gz NTCJRYYGIAHGNK-KRWDZBQOSA-N 0 1 304.821 3.325 20 30 DGEDMN N#Cc1cccc(NC(=O)c2cc(-c3ccc(F)cc3)n[nH]2)c1 ZINC000025041326 400228768 /nfs/dbraw/zinc/22/87/68/400228768.db2.gz FKLBPBCONDFFTO-UHFFFAOYSA-N 0 1 306.300 3.340 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2cc(C#N)ccc2F)C1 ZINC000093282228 400235320 /nfs/dbraw/zinc/23/53/20/400235320.db2.gz AVFAXKYWVQRTDF-OAHLLOKOSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000610343263 400244861 /nfs/dbraw/zinc/24/48/61/400244861.db2.gz CKBVXPQKSHBQHK-JKSUJKDBSA-N 0 1 321.424 3.222 20 30 DGEDMN CC(C)N(C(=O)[C@H](C)N[C@@H](C)c1cccc(C#N)c1)C(C)C ZINC000610346338 400246307 /nfs/dbraw/zinc/24/63/07/400246307.db2.gz UNFQVEOVPFWLET-GJZGRUSLSA-N 0 1 301.434 3.243 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@](O)(C3CC3)C2)c(C(F)(F)F)c1 ZINC000595750176 400250045 /nfs/dbraw/zinc/25/00/45/400250045.db2.gz PQVFAXNZVYNKEC-MRXNPFEDSA-N 0 1 324.346 3.314 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CCC(C2CCCC2)CC1 ZINC000610370838 400252736 /nfs/dbraw/zinc/25/27/36/400252736.db2.gz ONYFFOHASCVAAT-BEFAXECRSA-N 0 1 319.493 3.332 20 30 DGEDMN C=CCOCCN1Cc2ccccc2[C@H](C(=O)OC(C)(C)C)C1 ZINC000595612866 400207062 /nfs/dbraw/zinc/20/70/62/400207062.db2.gz VWISKIZLYSRVCB-QGZVFWFLSA-N 0 1 317.429 3.130 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2ccsc2C#N)CC1 ZINC000595629529 400212143 /nfs/dbraw/zinc/21/21/43/400212143.db2.gz FRCACQZJBXHPEC-UHFFFAOYSA-N 0 1 316.474 3.462 20 30 DGEDMN N#Cc1sccc1N1CCN(CCCc2ccsc2)CC1 ZINC000595629504 400212216 /nfs/dbraw/zinc/21/22/16/400212216.db2.gz DUXGIIGAHMNESL-UHFFFAOYSA-N 0 1 317.483 3.436 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2ccsc2C#N)CC1 ZINC000595630779 400212312 /nfs/dbraw/zinc/21/23/12/400212312.db2.gz JUXXOHIGRUPLEM-UHFFFAOYSA-N 0 1 302.447 3.072 20 30 DGEDMN CN(c1ccccc1)[C@H]1CCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000595825954 400271465 /nfs/dbraw/zinc/27/14/65/400271465.db2.gz HPWUWSIWCMMDKP-SFHVURJKSA-N 0 1 309.388 3.408 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H]3COC[C@@H]3C2)c(C(F)(F)F)c1 ZINC000595828224 400272711 /nfs/dbraw/zinc/27/27/11/400272711.db2.gz WAWYFSLOFSMPGD-KGLIPLIRSA-N 0 1 310.319 3.045 20 30 DGEDMN COc1ccc(CN2CC[C@@H](Oc3ccccc3)C2)cc1C#N ZINC000595830515 400274705 /nfs/dbraw/zinc/27/47/05/400274705.db2.gz HCTUMERYYCGMAD-GOSISDBHSA-N 0 1 308.381 3.220 20 30 DGEDMN N#Cc1cc(CN2CCC([C@H]3CCCCO3)CC2)ccc1F ZINC000595786855 400259670 /nfs/dbraw/zinc/25/96/70/400259670.db2.gz HZGJZCJGUSFUNE-GOSISDBHSA-N 0 1 302.393 3.478 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000610480889 400262322 /nfs/dbraw/zinc/26/23/22/400262322.db2.gz XKNQSWXMCCHNFL-HDMKZQKVSA-N 0 1 313.445 3.388 20 30 DGEDMN Cc1ncc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C#N)c2)s1 ZINC000601930846 400378739 /nfs/dbraw/zinc/37/87/39/400378739.db2.gz AGOYHKLSFAAUTH-GHMZBOCLSA-N 0 1 314.414 3.001 20 30 DGEDMN C[C@H]1CCc2c1[nH]n(-c1cc(C#N)ccc1Br)c2=O ZINC000601948273 400383742 /nfs/dbraw/zinc/38/37/42/400383742.db2.gz MWLQERFWZCZTDJ-WCBMZHEXSA-N 0 1 318.174 3.069 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)c(F)c2)c(=O)c1CCC#N ZINC000601946474 400383975 /nfs/dbraw/zinc/38/39/75/400383975.db2.gz ZMZUGHSDFUMNQF-SNVBAGLBSA-N 0 1 324.153 3.231 20 30 DGEDMN N#Cc1ccc(N2N=C3CCCC[C@@H]3C2=O)c(C(F)(F)F)c1 ZINC000601950972 400385886 /nfs/dbraw/zinc/38/58/86/400385886.db2.gz XZFPVAGCVMKPPH-JTQLQIEISA-N 0 1 307.275 3.470 20 30 DGEDMN N#Cc1ccc(-n2[nH]c3c(c2=O)CCCC3)c(C(F)(F)F)c1 ZINC000601950972 400385887 /nfs/dbraw/zinc/38/58/87/400385887.db2.gz XZFPVAGCVMKPPH-JTQLQIEISA-N 0 1 307.275 3.470 20 30 DGEDMN COc1cc(C)c([C@@H](C)NCc2ccnc(C#N)c2)cc1OC ZINC000611379627 400387698 /nfs/dbraw/zinc/38/76/98/400387698.db2.gz ZNRJDRLNXKAKDZ-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1ccc(C)c(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC000611386052 400389236 /nfs/dbraw/zinc/38/92/36/400389236.db2.gz BIKLJQAFPZKIHT-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN C[C@H](NCC(=O)NCCC1=CCCCC1)c1cccc(C#N)c1 ZINC000611388803 400389972 /nfs/dbraw/zinc/38/99/72/400389972.db2.gz NAVVZBVKGADCIM-HNNXBMFYSA-N 0 1 311.429 3.216 20 30 DGEDMN CC(C)C[C@H](C)N(C)C(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611387383 400390428 /nfs/dbraw/zinc/39/04/28/400390428.db2.gz MOYVQLDCAOHOEH-LSDHHAIUSA-N 0 1 301.434 3.102 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(C)C1CCCCC1)c1cccc(C#N)c1 ZINC000611389259 400391316 /nfs/dbraw/zinc/39/13/16/400391316.db2.gz ZDNPWFCFXKZJDQ-CABCVRRESA-N 0 1 313.445 3.388 20 30 DGEDMN C=CCOc1ccccc1CNCc1cccc(NC(C)=O)c1 ZINC000069831582 400346715 /nfs/dbraw/zinc/34/67/15/400346715.db2.gz AJLSEMSBQQWHAY-UHFFFAOYSA-N 0 1 310.397 3.500 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H](C)c1ccc(Cl)cc1 ZINC000069876116 400347077 /nfs/dbraw/zinc/34/70/77/400347077.db2.gz IFURSZBEVKACCI-WBMJQRKESA-N 0 1 307.825 3.045 20 30 DGEDMN CC(C)(C)c1ccc([C@H](C#N)NC(=O)c2[nH]nc3c2CCC3)cc1 ZINC000108821145 400348574 /nfs/dbraw/zinc/34/85/74/400348574.db2.gz KIOVTXIEXWKLLU-INIZCTEOSA-N 0 1 322.412 3.191 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@H](CC#N)C2)c(O)c(=O)c1 ZINC000596207455 400351033 /nfs/dbraw/zinc/35/10/33/400351033.db2.gz QTMBSMFMGYDZLS-CQSZACIVSA-N 0 1 300.402 3.001 20 30 DGEDMN N#CCCC1CCN(CC(=O)NCCC2=CCCCC2)CC1 ZINC000581307868 400352064 /nfs/dbraw/zinc/35/20/64/400352064.db2.gz IBNNMBSNDYHCCU-UHFFFAOYSA-N 0 1 303.450 3.009 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2ccc(C)cc2)[nH]n1)[C@@H](C)COC ZINC000617576081 400415193 /nfs/dbraw/zinc/41/51/93/400415193.db2.gz LLVVCNLUGDJRTD-AWEZNQCLSA-N 0 1 313.401 3.048 20 30 DGEDMN COCc1cccc(C(=O)C(C#N)c2nc3ccccc3o2)c1 ZINC000041544078 400409370 /nfs/dbraw/zinc/40/93/70/400409370.db2.gz VBGSDOFOANVYPE-AWEZNQCLSA-N 0 1 306.321 3.464 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(C2CC2)[nH]n1)c1ccc(C(F)(F)F)cn1 ZINC000041552676 400409564 /nfs/dbraw/zinc/40/95/64/400409564.db2.gz UTAFJZMWTBTSGI-SNVBAGLBSA-N 0 1 320.274 3.191 20 30 DGEDMN N#CC(C(=O)c1cc(C2CC2)[nH]n1)c1ccc(C(F)(F)F)cn1 ZINC000041552676 400409567 /nfs/dbraw/zinc/40/95/67/400409567.db2.gz UTAFJZMWTBTSGI-SNVBAGLBSA-N 0 1 320.274 3.191 20 30 DGEDMN N#C[C@H](c1ccc(F)cc1)N1CCN(CC2CC(F)(F)C2)CC1 ZINC000590714568 400421779 /nfs/dbraw/zinc/42/17/79/400421779.db2.gz HUHZPWWOSCOMKD-MRXNPFEDSA-N 0 1 323.362 3.053 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000611532187 400417289 /nfs/dbraw/zinc/41/72/89/400417289.db2.gz QHEUOGHHMFBFAH-CYBMUJFWSA-N 0 1 306.431 3.031 20 30 DGEDMN C=CCN(C(=O)c1cc(C2CCCCC2)[nH]n1)[C@@H](C)COC ZINC000617580626 400417901 /nfs/dbraw/zinc/41/79/01/400417901.db2.gz ASBZHLQNNCVRNL-ZDUSSCGKSA-N 0 1 305.422 3.121 20 30 DGEDMN C=CCN(C(=O)c1cc(C2CCCCC2)[nH]n1)[C@H](C)COC ZINC000617580625 400418484 /nfs/dbraw/zinc/41/84/84/400418484.db2.gz ASBZHLQNNCVRNL-CYBMUJFWSA-N 0 1 305.422 3.121 20 30 DGEDMN COc1cccc2c1CN(CCOc1ccccc1C#N)CC2 ZINC000590759857 400430045 /nfs/dbraw/zinc/43/00/45/400430045.db2.gz UBFDFCDFAOHZTG-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN Cc1ccc(-c2ncc[nH]2)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000357929590 285921552 /nfs/dbraw/zinc/92/15/52/285921552.db2.gz KXMYUQBECACBCL-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)CCSc1nnc(C)[nH]1 ZINC000073597931 400458872 /nfs/dbraw/zinc/45/88/72/400458872.db2.gz MVPXPAFVUFOLCO-UHFFFAOYSA-N 0 1 322.478 3.190 20 30 DGEDMN Cc1ccc([C@H]2CCCN2CC(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000074255324 400499949 /nfs/dbraw/zinc/49/99/49/400499949.db2.gz TYJNYJNNXGSKFG-MJGOQNOKSA-N 0 1 313.445 3.186 20 30 DGEDMN COc1ccccc1NC(=O)[C@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000127477197 400501969 /nfs/dbraw/zinc/50/19/69/400501969.db2.gz AWXQKDGEEDGYJQ-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN COc1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000127477430 400502428 /nfs/dbraw/zinc/50/24/28/400502428.db2.gz AWXQKDGEEDGYJQ-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)Nc1ccccc1C#N)c1ccc(C#N)cc1 ZINC000127469565 400502466 /nfs/dbraw/zinc/50/24/66/400502466.db2.gz GZDMKGAKKFGIFX-KGLIPLIRSA-N 0 1 318.380 3.108 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000127575558 400504202 /nfs/dbraw/zinc/50/42/02/400504202.db2.gz NHYXXCZQLAKPFY-HOTGVXAUSA-N 0 1 321.424 3.222 20 30 DGEDMN Cc1nc2cc(N[C@H](C)CC3(C#N)CCOCC3)ccc2n1C ZINC000602586984 400506272 /nfs/dbraw/zinc/50/62/72/400506272.db2.gz FRBYCISVRATPHV-CYBMUJFWSA-N 0 1 312.417 3.393 20 30 DGEDMN CN(C)[C@@H](CNC(=O)Nc1cccc(C#N)c1)c1ccsc1 ZINC000043329562 400463310 /nfs/dbraw/zinc/46/33/10/400463310.db2.gz TYVQDBAOGFWXQH-HNNXBMFYSA-N 0 1 314.414 3.044 20 30 DGEDMN N#C[C@H]1CCC[C@H]1NCCc1cc(Cl)c2c(c1)OCCCO2 ZINC000590961765 400469941 /nfs/dbraw/zinc/46/99/41/400469941.db2.gz SUKFLCIGIVOONF-UKRRQHHQSA-N 0 1 320.820 3.326 20 30 DGEDMN COC(=O)c1cc(CN[C@H](C)c2cccc(C#N)c2)cs1 ZINC000591023131 400477249 /nfs/dbraw/zinc/47/72/49/400477249.db2.gz YTLUUOLBBLPOJM-LLVKDONJSA-N 0 1 300.383 3.257 20 30 DGEDMN COc1ccccc1[C@@H](CO)NCCC1(C#N)CCCCC1 ZINC000591026454 400477875 /nfs/dbraw/zinc/47/78/75/400477875.db2.gz RRBPMRGBTZADFZ-MRXNPFEDSA-N 0 1 302.418 3.182 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cccc2cccnc21 ZINC000073973860 400482683 /nfs/dbraw/zinc/48/26/83/400482683.db2.gz LQHADEHTKIKFJX-UHFFFAOYSA-N 0 1 305.297 3.249 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)[C@@H](C)CC#N)c1ccccc1Cl ZINC000596710499 400484382 /nfs/dbraw/zinc/48/43/82/400484382.db2.gz MNZIMORGXKWHHV-BBRMVZONSA-N 0 1 321.852 3.389 20 30 DGEDMN COc1ccc(CN2CCC[C@H](CC#N)C2)cc1OC(F)F ZINC000597175130 400577418 /nfs/dbraw/zinc/57/74/18/400577418.db2.gz XEMJBBVWUGLKJF-GFCCVEGCSA-N 0 1 310.344 3.422 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H](c3ccc([N+](=O)[O-])cc3)C2)n1 ZINC000602684615 400538238 /nfs/dbraw/zinc/53/82/38/400538238.db2.gz HXZKUWAKORUQIB-HNNXBMFYSA-N 0 1 322.368 3.241 20 30 DGEDMN CC(C)C[C@H]1CCN(Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000074994066 400553553 /nfs/dbraw/zinc/55/35/53/400553553.db2.gz SAIYLIGGDRANCI-OAHLLOKOSA-N 0 1 310.401 3.476 20 30 DGEDMN CC(C)(C#N)CNCCC(=O)Nc1cccc(C(F)(F)F)c1 ZINC000591759684 400553819 /nfs/dbraw/zinc/55/38/19/400553819.db2.gz TYILNFMJBNZGEF-UHFFFAOYSA-N 0 1 313.323 3.173 20 30 DGEDMN COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597111184 400559328 /nfs/dbraw/zinc/55/93/28/400559328.db2.gz WPCWWDXNFDBPLV-SZMVWBNQSA-N 0 1 324.424 3.015 20 30 DGEDMN Cc1ccccc1C1(NCC(=O)Nc2sccc2C#N)CC1 ZINC000592139872 400612976 /nfs/dbraw/zinc/61/29/76/400612976.db2.gz LRHIIZIYKCLLOW-UHFFFAOYSA-N 0 1 311.410 3.146 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(OCc3ccccc3)cc2)C1 ZINC000592151126 400614045 /nfs/dbraw/zinc/61/40/45/400614045.db2.gz MENHOHMTFSPJDW-HXUWFJFHSA-N 0 1 322.408 3.116 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2cccc(OCc3ccccc3)c2)C1 ZINC000592151140 400614352 /nfs/dbraw/zinc/61/43/52/400614352.db2.gz MQBRSDYGNPLKSM-HXUWFJFHSA-N 0 1 322.408 3.116 20 30 DGEDMN CC[C@@]1(O)CCCN(Cc2ccc(C#N)cc2Br)C1 ZINC000602983770 400621041 /nfs/dbraw/zinc/62/10/41/400621041.db2.gz SUMGVFNNUOQJOY-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN Cc1cc(CN2CC(c3nc4ccccc4[nH]3)C2)ccc1C#N ZINC000618594345 400637054 /nfs/dbraw/zinc/63/70/54/400637054.db2.gz IMGFJVIPZFUKTN-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN N#CCC1CN(Cc2ccc(-n3cnc4ccccc43)cc2)C1 ZINC000597194676 400582805 /nfs/dbraw/zinc/58/28/05/400582805.db2.gz WYNLZHBSFWSGHT-UHFFFAOYSA-N 0 1 302.381 3.371 20 30 DGEDMN N#CCC1(CN(CCc2ccccn2)Cc2cccnc2)CC1 ZINC000602830954 400586610 /nfs/dbraw/zinc/58/66/10/400586610.db2.gz YBZGLKYLWLCXSV-UHFFFAOYSA-N 0 1 306.413 3.215 20 30 DGEDMN N#CC(C(=O)c1ccc([N+](=O)[O-])cc1)c1nc(C2CC2)cs1 ZINC000592397749 400645097 /nfs/dbraw/zinc/64/50/97/400645097.db2.gz SAOCURMOBQNXAH-LBPRGKRZSA-N 0 1 313.338 3.419 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc([N+](=O)[O-])cc1)c1nc(C2CC2)cs1 ZINC000592397749 400645103 /nfs/dbraw/zinc/64/51/03/400645103.db2.gz SAOCURMOBQNXAH-LBPRGKRZSA-N 0 1 313.338 3.419 20 30 DGEDMN Cc1ccc(C)c(N2CCN(Cc3cccc(C#N)c3)CC2)c1 ZINC000149973005 400662587 /nfs/dbraw/zinc/66/25/87/400662587.db2.gz YEMNMVVTYUGGAZ-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(-c3cccc(F)c3F)o2)C1 ZINC000592523145 400663512 /nfs/dbraw/zinc/66/35/12/400663512.db2.gz WGCDACYDTBPJTK-QGZVFWFLSA-N 0 1 318.323 3.075 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000592522868 400664288 /nfs/dbraw/zinc/66/42/88/400664288.db2.gz HMYBRHIAEFZYNW-QGZVFWFLSA-N 0 1 316.788 3.450 20 30 DGEDMN CC[C@@H](C#N)C(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597724201 400664582 /nfs/dbraw/zinc/66/45/82/400664582.db2.gz GAWCDMATYHYYII-ZJUUUORDSA-N 0 1 304.781 3.285 20 30 DGEDMN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)CCCC#N ZINC000597725928 400665200 /nfs/dbraw/zinc/66/52/00/400665200.db2.gz QJDCRVQHVXMAFP-JTQLQIEISA-N 0 1 304.781 3.430 20 30 DGEDMN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)CC1(C#N)CC1 ZINC000597726444 400665334 /nfs/dbraw/zinc/66/53/34/400665334.db2.gz UVHIRDBNWUZDDY-JTQLQIEISA-N 0 1 316.792 3.430 20 30 DGEDMN C[C@H](CC#N)C(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597725976 400665759 /nfs/dbraw/zinc/66/57/59/400665759.db2.gz RZIXNJZGHOIUFS-NXEZZACHSA-N 0 1 304.781 3.285 20 30 DGEDMN CC[C@H](N[C@@H]1CCC[C@H](C#N)C1)c1nnc2n1CCCCC2 ZINC000613254590 400683423 /nfs/dbraw/zinc/68/34/23/400683423.db2.gz OUYNVZVXZARCNC-ZNMIVQPWSA-N 0 1 301.438 3.128 20 30 DGEDMN CC[C@H](N[C@@H]1CCC[C@@H](C#N)C1)c1nnc2n1CCCCC2 ZINC000613254578 400683425 /nfs/dbraw/zinc/68/34/25/400683425.db2.gz OUYNVZVXZARCNC-KFWWJZLASA-N 0 1 301.438 3.128 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N(C)CCCc1ccccc1 ZINC000052802556 400747659 /nfs/dbraw/zinc/74/76/59/400747659.db2.gz QTWNJTFCMUQQED-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN N#CC[C@@H]1CCCN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000597906916 400692725 /nfs/dbraw/zinc/69/27/25/400692725.db2.gz SYEDVDPBZKPUKY-ZDUSSCGKSA-N 0 1 300.406 3.223 20 30 DGEDMN COC(=O)c1coc([C@H](C)N[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000618806120 400693252 /nfs/dbraw/zinc/69/32/52/400693252.db2.gz NVZJZEVQEMYSGD-MEDUHNTESA-N 0 1 310.353 3.276 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000051054275 400693446 /nfs/dbraw/zinc/69/34/46/400693446.db2.gz CGTOGRHKYCHAAJ-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cc(CCC)[nH]n1 ZINC000154702008 400696328 /nfs/dbraw/zinc/69/63/28/400696328.db2.gz LPEMEKLKWYNHDQ-UHFFFAOYSA-N 0 1 313.401 3.199 20 30 DGEDMN CCN(C)[C@@H](C(=O)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000592820080 400706365 /nfs/dbraw/zinc/70/63/65/400706365.db2.gz QCFUBNMVAVLAOU-GOSISDBHSA-N 0 1 313.445 3.222 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CC[C@@](C)(C#N)C3)n[nH]2)cc1C ZINC000598416044 400809316 /nfs/dbraw/zinc/80/93/16/400809316.db2.gz YYNYPKLWMTXWLH-SFHVURJKSA-N 0 1 308.385 3.069 20 30 DGEDMN CC(=Cc1ccc2cnccc2c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000619095319 400764357 /nfs/dbraw/zinc/76/43/57/400764357.db2.gz GRHATROJOLKVMP-XFFZJAGNSA-N 0 1 302.337 3.059 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C[C@H]1COc2ccccc2O1 ZINC000604488224 400780072 /nfs/dbraw/zinc/78/00/72/400780072.db2.gz QGZFAMDEQRQMQS-SFHVURJKSA-N 0 1 320.392 3.386 20 30 DGEDMN Cc1nc(C)c(C(=O)Nc2ccc(OC(C)(C)C)c(C#N)c2)[nH]1 ZINC000598738524 400870230 /nfs/dbraw/zinc/87/02/30/400870230.db2.gz OAVJSCQHYZUTFX-UHFFFAOYSA-N 0 1 312.373 3.328 20 30 DGEDMN C=CCNC(=O)CN[C@H](CC)c1ccc(Cl)c(Cl)c1 ZINC000180028485 400882077 /nfs/dbraw/zinc/88/20/77/400882077.db2.gz CWNWRVBYXBEZPT-CYBMUJFWSA-N 0 1 301.217 3.336 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CCN(C[C@H](O)CC(C)(C)C#N)C1 ZINC000598619964 400845832 /nfs/dbraw/zinc/84/58/32/400845832.db2.gz QROPCKBHONITGX-CRAIPNDOSA-N 0 1 304.409 3.166 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CSc1nc(-c2ccccc2F)n[nH]1 ZINC000598704829 400862238 /nfs/dbraw/zinc/86/22/38/400862238.db2.gz UWPZYTQTNYINMZ-JTQLQIEISA-N 0 1 320.393 3.004 20 30 DGEDMN C=CCCS(=O)(=O)Nc1sc(-c2ccccc2)nc1C ZINC000181754399 400889845 /nfs/dbraw/zinc/88/98/45/400889845.db2.gz RGUWLFSZXRDDCA-UHFFFAOYSA-N 0 1 308.428 3.436 20 30 DGEDMN CC[C@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc(C#N)cc1C ZINC000614402475 400893728 /nfs/dbraw/zinc/89/37/28/400893728.db2.gz YWFXZDYOTRDEON-OALUTQOASA-N 0 1 313.445 3.088 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)nc1 ZINC000593963697 400928776 /nfs/dbraw/zinc/92/87/76/400928776.db2.gz SQVAKLVVZHDAOP-OAHLLOKOSA-N 0 1 318.380 3.474 20 30 DGEDMN Cc1ccc(CN2CCC(C(=O)OC(C)(C)C)CC2)cc1C#N ZINC000599280082 401009011 /nfs/dbraw/zinc/00/90/11/401009011.db2.gz BJGSOIJIYNGOJD-UHFFFAOYSA-N 0 1 314.429 3.420 20 30 DGEDMN C=CCCOCC(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC000620201593 401010970 /nfs/dbraw/zinc/01/09/70/401010970.db2.gz YHOGWDHKLHOFIY-UHFFFAOYSA-N 0 1 311.341 3.354 20 30 DGEDMN C=CCN(Cc1cccc([N+](=O)[O-])c1)C[C@@H](OCC)C1CC1 ZINC000599283298 401011955 /nfs/dbraw/zinc/01/19/55/401011955.db2.gz UQDUSAGMMVZCSV-QGZVFWFLSA-N 0 1 304.390 3.398 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCc3oc(-c4ccccc4)cc3C2)CC1 ZINC000599452402 401055147 /nfs/dbraw/zinc/05/51/47/401055147.db2.gz PHCJCPSTHBDTDG-KRWDZBQOSA-N 0 1 322.408 3.359 20 30 DGEDMN C[C@@H]1CN(Cc2cccc(C#N)c2)CC[C@@H]1C(=O)OC(C)(C)C ZINC000599585165 401084595 /nfs/dbraw/zinc/08/45/95/401084595.db2.gz YKXLYSQTPJFTJX-PBHICJAKSA-N 0 1 314.429 3.358 20 30 DGEDMN Cc1ccc(CN2C[C@H](C)O[C@]3(CCO[C@H](C)C3)C2)cc1C#N ZINC000599398663 401041156 /nfs/dbraw/zinc/04/11/56/401041156.db2.gz DURGCZZLNWBRHV-GJYPPUQNSA-N 0 1 314.429 3.025 20 30 DGEDMN CC(C)(C#N)CCN1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000599658411 401104193 /nfs/dbraw/zinc/10/41/93/401104193.db2.gz BWTSLXSVFYYODU-UHFFFAOYSA-N 0 1 310.445 3.225 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CCCC3(CC3)CC2)c(C#N)c1C ZINC000599673252 401108110 /nfs/dbraw/zinc/10/81/10/401108110.db2.gz MXFWXKCDCICGFS-ZDUSSCGKSA-N 0 1 315.417 3.361 20 30 DGEDMN O=C(Nc1nc(-c2ccccn2)n[nH]1)c1ccc(Cl)s1 ZINC000060792703 401134484 /nfs/dbraw/zinc/13/44/84/401134484.db2.gz HSHHNEAJABGJNY-UHFFFAOYSA-N 0 1 305.750 3.218 20 30 DGEDMN C[C@H](N[C@H]1CCc2ccccc2NC1=O)c1ccc(C#N)c(F)c1 ZINC000594511771 401088979 /nfs/dbraw/zinc/08/89/79/401088979.db2.gz OKAHBRCAMWYBEO-SGTLLEGYSA-N 0 1 323.371 3.301 20 30 DGEDMN N#CC(C(=O)c1cnn(CC2CC2)c1)c1nc(C2CC2)cs1 ZINC000634012108 401178750 /nfs/dbraw/zinc/17/87/50/401178750.db2.gz LGEBJJBCNISBIZ-ZDUSSCGKSA-N 0 1 312.398 3.117 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(CC)CCCC ZINC000634388222 401277917 /nfs/dbraw/zinc/27/79/17/401277917.db2.gz ROXVMTREJUJDNZ-UHFFFAOYSA-N 0 1 316.453 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000636119180 401534228 /nfs/dbraw/zinc/53/42/28/401534228.db2.gz OSLVOWDKMOXMIA-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000636119180 401534230 /nfs/dbraw/zinc/53/42/30/401534230.db2.gz OSLVOWDKMOXMIA-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(C#N)c(F)c2)cc1 ZINC000192084867 165281653 /nfs/dbraw/zinc/28/16/53/165281653.db2.gz UQYYSOKCZHFTMN-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN Cn1cc(CNCc2ccccc2OCC(F)(F)F)cc1C#N ZINC000190842813 432194481 /nfs/dbraw/zinc/19/44/81/432194481.db2.gz RLTHQRYYKQVHMK-UHFFFAOYSA-N 0 1 323.318 3.128 20 30 DGEDMN CC[C@@]1(C)CN(Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000191150506 432227819 /nfs/dbraw/zinc/22/78/19/432227819.db2.gz YZLGXDDNDNPPDJ-SFHVURJKSA-N 0 1 301.434 3.037 20 30 DGEDMN CC(C)(C)N(CC(N)=O)Cc1ccc(-c2ccccc2C#N)cc1 ZINC000133938976 196347955 /nfs/dbraw/zinc/34/79/55/196347955.db2.gz LMJWQOKRFXILOS-UHFFFAOYSA-N 0 1 321.424 3.311 20 30 DGEDMN N#CCN(C(=O)c1cc(-c2cccs2)[nH]n1)C1CCCC1 ZINC000128282457 227008942 /nfs/dbraw/zinc/00/89/42/227008942.db2.gz YFGFRKWBYAOFAN-UHFFFAOYSA-N 0 1 300.387 3.047 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccc(C#N)cc2Cl)cc1F ZINC000169251311 228267383 /nfs/dbraw/zinc/26/73/83/228267383.db2.gz JAZZDDHLBDLMRQ-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1cccc(NS(=O)(=O)c2ccc(C#N)cc2Cl)c1 ZINC000169247762 228267409 /nfs/dbraw/zinc/26/74/09/228267409.db2.gz RQMWJVUXIGLGRZ-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cc(F)cc(NS(=O)(=O)c2ccc(C#N)cc2Cl)c1 ZINC000169256058 228267422 /nfs/dbraw/zinc/26/74/22/228267422.db2.gz NFKZZTFZKQPAOZ-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(OCC#N)cc2)cc1O ZINC000171768648 228273277 /nfs/dbraw/zinc/27/32/77/228273277.db2.gz VMQOWXXUGPGXNL-CYBMUJFWSA-N 0 1 312.369 3.154 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(C)nc2C(C)C)n1 ZINC000172239226 228275647 /nfs/dbraw/zinc/27/56/47/228275647.db2.gz RZVVOGQWUMGAMW-LLVKDONJSA-N 0 1 300.387 3.163 20 30 DGEDMN C[C@@H]1c2cccn2CCN1Cc1ccc(N(C)CCC#N)cc1 ZINC000179567577 228352496 /nfs/dbraw/zinc/35/24/96/228352496.db2.gz RMUHTUCAZTXLDP-MRXNPFEDSA-N 0 1 308.429 3.415 20 30 DGEDMN N#CCCN(Cc1ccccn1)Cc1cccc(Cl)c1O ZINC000180059033 228354545 /nfs/dbraw/zinc/35/45/45/228354545.db2.gz VRDCSWQLKCMRTE-UHFFFAOYSA-N 0 1 301.777 3.356 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cn(C(C)(C)C)nc2C)n1 ZINC000181462503 228361636 /nfs/dbraw/zinc/36/16/36/228361636.db2.gz SBOPDUJBFPMGCZ-LLVKDONJSA-N 0 1 302.403 3.202 20 30 DGEDMN Cc1c2ccccc2oc1[C@@H](C)N[C@@H](C)C(=O)N(C)CCC#N ZINC000183601890 228374641 /nfs/dbraw/zinc/37/46/41/228374641.db2.gz UQDJZMYQQZWRGV-KGLIPLIRSA-N 0 1 313.401 3.152 20 30 DGEDMN N#C[C@@H](C(=O)c1ccn(-c2ccc(F)cc2F)n1)c1ccccn1 ZINC000184282829 228383324 /nfs/dbraw/zinc/38/33/24/228383324.db2.gz VYZXEVSIRUWAOA-GFCCVEGCSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccc(F)cc2F)n1)c1ccccn1 ZINC000184282829 228383329 /nfs/dbraw/zinc/38/33/29/228383329.db2.gz VYZXEVSIRUWAOA-GFCCVEGCSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CCc1ccc(NC2CCN(Cc3ccccn3)CC2)cc1 ZINC000184746108 228385246 /nfs/dbraw/zinc/38/52/46/228385246.db2.gz VDWXLHROTNTCAF-UHFFFAOYSA-N 0 1 306.413 3.224 20 30 DGEDMN C[C@@H](N[C@H](CO)c1ccc(F)cc1)c1ccc(OCC#N)cc1 ZINC000271810874 228680848 /nfs/dbraw/zinc/68/08/48/228680848.db2.gz AQLBCPFCHHIIGP-FZKQIMNGSA-N 0 1 314.360 3.112 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)NC[C@H]3CCCCS3)C2)n[nH]1 ZINC000328759094 228912750 /nfs/dbraw/zinc/91/27/50/228912750.db2.gz WDSJNYIMUDBELY-ZIAGYGMSSA-N 0 1 322.478 3.097 20 30 DGEDMN Cc1n[nH]c(C)c1[NH+]=C([O-])Nc1ccnn1[C@H](C)CC(C)C ZINC000330882124 229007649 /nfs/dbraw/zinc/00/76/49/229007649.db2.gz NWVAIXCPKWTAGM-SNVBAGLBSA-N 0 1 304.398 3.488 20 30 DGEDMN C[C@@](O)(CNCc1cc(F)cc(C(F)(F)F)c1)C(F)(F)F ZINC000340600534 229090945 /nfs/dbraw/zinc/09/09/45/229090945.db2.gz ZXEGMDBFNGHNJE-SNVBAGLBSA-N 0 1 319.220 3.247 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000341991407 229105132 /nfs/dbraw/zinc/10/51/32/229105132.db2.gz NHFSNFZQPMYJLA-UHFFFAOYSA-N 0 1 312.335 3.458 20 30 DGEDMN CSc1ccc([C@@H](C)NCC(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000337221764 229046905 /nfs/dbraw/zinc/04/69/05/229046905.db2.gz MPJAARBVRXWEFT-DYVFJYSZSA-N 0 1 319.474 3.114 20 30 DGEDMN N#CC(C(=O)c1ccc2nsnc2c1)c1nc2ccccc2o1 ZINC000344400733 229136535 /nfs/dbraw/zinc/13/65/35/229136535.db2.gz KKFNBZXYPCGSOT-SNVBAGLBSA-N 0 1 320.333 3.323 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cnn4ccccc34)[nH]c21 ZINC000345439437 229152073 /nfs/dbraw/zinc/15/20/73/229152073.db2.gz VXVWFTYTAFJXSV-GFCCVEGCSA-N 0 1 315.336 3.009 20 30 DGEDMN N#CC(=C([O-])c1cc(Oc2ccccc2)cc[nH+]1)c1ccncn1 ZINC000354462493 229295318 /nfs/dbraw/zinc/29/53/18/229295318.db2.gz HVNDOGYZXRQJFF-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1cc(Oc2ccccc2)ccn1)c1ccncn1 ZINC000354462493 229295324 /nfs/dbraw/zinc/29/53/24/229295324.db2.gz HVNDOGYZXRQJFF-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN COCCN(CC#Cc1ccc(OC(F)(F)F)cc1)C1CC1 ZINC000352019139 229241840 /nfs/dbraw/zinc/24/18/40/229241840.db2.gz DBRWSCRXJJKRAO-UHFFFAOYSA-N 0 1 313.319 3.048 20 30 DGEDMN N#C[C@H](c1cccc(Cl)c1)N1CCN(CCCCF)CC1 ZINC000352403208 229251473 /nfs/dbraw/zinc/25/14/73/229251473.db2.gz SODLGSSNWMYMRU-MRXNPFEDSA-N 0 1 309.816 3.272 20 30 DGEDMN N#CCCCCSc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC000355267750 229308004 /nfs/dbraw/zinc/30/80/04/229308004.db2.gz RPVCBFKRBXGJOV-UHFFFAOYSA-N 0 1 316.386 3.029 20 30 DGEDMN Cc1ccc(Br)cc1C(=O)C(C#N)c1ccncn1 ZINC000354481920 229298361 /nfs/dbraw/zinc/29/83/61/229298361.db2.gz ZDLDUZZKNNVDJK-GFCCVEGCSA-N 0 1 316.158 3.038 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1ncc(C#N)cc1F ZINC000413214598 230156484 /nfs/dbraw/zinc/15/64/84/230156484.db2.gz MIXRXOQTZBUUIX-LSDHHAIUSA-N 0 1 324.403 3.192 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)Nc2ccc(C#N)cc2Cl)C[C@H]1C ZINC000346751470 264090377 /nfs/dbraw/zinc/09/03/77/264090377.db2.gz CGRJMJFMSVLJTR-NEPJUHHUSA-N 0 1 320.824 3.158 20 30 DGEDMN CC[C@@H](CO)N(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000347360838 264206430 /nfs/dbraw/zinc/20/64/30/264206430.db2.gz MXXNYKKFQHWNAK-SFHVURJKSA-N 0 1 312.388 3.470 20 30 DGEDMN N#Cc1ccc(CN2CCN(CCc3ccccc3)CC2)cc1F ZINC000361698487 270004676 /nfs/dbraw/zinc/00/46/76/270004676.db2.gz ILKKFYAFOQVYHD-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN CCO[C@H]1C[C@@H](N(C)Cc2cn3ccccc3c2C#N)C1(C)C ZINC000354458449 266301983 /nfs/dbraw/zinc/30/19/83/266301983.db2.gz PUJRVLITAISKAA-MSOLQXFVSA-N 0 1 311.429 3.446 20 30 DGEDMN CCOc1cc(CNCc2ccc(C#N)c(F)c2)ccc1OC ZINC000404761927 266309129 /nfs/dbraw/zinc/30/91/29/266309129.db2.gz OLSUKIVHQGBVCZ-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN C[C@@H]1CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1(C)C ZINC000354024789 267023890 /nfs/dbraw/zinc/02/38/90/267023890.db2.gz UMMNAXKNSLOAPT-PBHICJAKSA-N 0 1 311.429 3.032 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(-c2cn[nH]n2)c1)c1cccc(C#N)c1 ZINC000360761199 267135712 /nfs/dbraw/zinc/13/57/12/267135712.db2.gz GTGAWBOKHXLSQL-LBPRGKRZSA-N 0 1 317.352 3.086 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC4(CCC4)CC3)o2)cc1 ZINC000367537515 268029080 /nfs/dbraw/zinc/02/90/80/268029080.db2.gz GLVJDBXWEJNIEA-UHFFFAOYSA-N 0 1 308.385 3.374 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)c(NCCN2CCSCC2)c1 ZINC000355418992 268047799 /nfs/dbraw/zinc/04/77/99/268047799.db2.gz LUXBXDCJAWSOBV-UHFFFAOYSA-N 0 1 315.364 3.038 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cccc2ccc(O)cc21 ZINC000351840766 268286503 /nfs/dbraw/zinc/28/65/03/268286503.db2.gz NDJQTWVVAVRSNV-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN N#Cc1cnc2c(F)cc(F)cc2c1NCCN1CC=CCC1 ZINC000530430994 268314730 /nfs/dbraw/zinc/31/47/30/268314730.db2.gz GESVLQYPYWJYKZ-UHFFFAOYSA-N 0 1 314.339 3.058 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)CCC1 ZINC000191299198 432247243 /nfs/dbraw/zinc/24/72/43/432247243.db2.gz CFJXCASEMFGXOF-MRXNPFEDSA-N 0 1 304.409 3.291 20 30 DGEDMN Cc1nc2c(s1)[C@@H](N(C)Cc1cc(C#N)n(C)c1)CCC2 ZINC000191357347 432253696 /nfs/dbraw/zinc/25/36/96/432253696.db2.gz YAFVTXGFSULLQM-HNNXBMFYSA-N 0 1 300.431 3.171 20 30 DGEDMN C[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccc(C#N)cc1 ZINC000442134596 274252656 /nfs/dbraw/zinc/25/26/56/274252656.db2.gz XADNJWJYOJXJMT-CQSZACIVSA-N 0 1 305.381 3.146 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2N1CCN(C(C)C)CC1 ZINC000301369461 274701093 /nfs/dbraw/zinc/70/10/93/274701093.db2.gz UNTCYCBDUVVPKD-UHFFFAOYSA-N 0 1 312.392 3.084 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1CNCc1cc(C#N)cs1 ZINC000183106349 432260197 /nfs/dbraw/zinc/26/01/97/432260197.db2.gz ZHSXPYIWNKDCTK-UHFFFAOYSA-N 0 1 308.410 3.404 20 30 DGEDMN CC(C)(C)c1cn2c(n1)CC[C@@H]([NH+]=C([O-])N1CCC(C)(C)C1)C2 ZINC000329768429 277270166 /nfs/dbraw/zinc/27/01/66/277270166.db2.gz GPARMYFVKCRXGA-CYBMUJFWSA-N 0 1 318.465 3.141 20 30 DGEDMN C[C@@H]1[C@@H](C)CCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000177065154 279079666 /nfs/dbraw/zinc/07/96/66/279079666.db2.gz OYXQJZAMVGLVMT-UONOGXRCSA-N 0 1 319.836 3.317 20 30 DGEDMN Cc1nccc(NC(=O)N2Cc3ccccc3C3(CCC3)C2)n1 ZINC000329258600 279166298 /nfs/dbraw/zinc/16/62/98/279166298.db2.gz YLHYHGQBJMTXHM-UHFFFAOYSA-N 0 1 308.385 3.268 20 30 DGEDMN CC[C@@H](C)CN(C)CC(=O)Nc1ccccc1SCC#N ZINC000111253763 431199017 /nfs/dbraw/zinc/19/90/17/431199017.db2.gz OGPYEGITBLYLLS-CYBMUJFWSA-N 0 1 305.447 3.219 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)Nc2ccc(OCC)cc2)CC1 ZINC000111259147 431204229 /nfs/dbraw/zinc/20/42/29/431204229.db2.gz OVZNKAVUQRDSSV-CQSZACIVSA-N 0 1 302.418 3.310 20 30 DGEDMN COc1ccc(OC(F)F)c(CNCc2cccc(C#N)c2)c1 ZINC000112709646 431296890 /nfs/dbraw/zinc/29/68/90/431296890.db2.gz KJRGJDATAIQVHV-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1ccc(C#N)cc1 ZINC000072691839 431345372 /nfs/dbraw/zinc/34/53/72/431345372.db2.gz JYVLFAKQTZJIGT-UHFFFAOYSA-N 0 1 312.417 3.149 20 30 DGEDMN C[C@H](NCC(=O)Nc1sccc1C#N)c1ccc(F)c(F)c1 ZINC000008248447 431386906 /nfs/dbraw/zinc/38/69/06/431386906.db2.gz FJMVSDHMJUKBRF-VIFPVBQESA-N 0 1 321.352 3.187 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1c1ccc(C)s1 ZINC000114733766 431410075 /nfs/dbraw/zinc/41/00/75/431410075.db2.gz DCGXVXMPHXWGMO-DZGCQCFKSA-N 0 1 319.474 3.202 20 30 DGEDMN Cc1ccc(C[C@H](C#N)Sc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000117116465 431545020 /nfs/dbraw/zinc/54/50/20/431545020.db2.gz LATWYQSBYZLOBH-CQSZACIVSA-N 0 1 321.409 3.402 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1c(O)cc(Cl)cc1Cl ZINC000119042860 431640185 /nfs/dbraw/zinc/64/01/85/431640185.db2.gz LBKAQUQGZQONFH-QMMMGPOBSA-N 0 1 301.173 3.321 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](C)c1cc2cccc(OCC)c2o1 ZINC000078595775 431684450 /nfs/dbraw/zinc/68/44/50/431684450.db2.gz YUZCCAZDHRUSRI-OLZOCXBDSA-N 0 1 316.401 3.173 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000119486205 431698350 /nfs/dbraw/zinc/69/83/50/431698350.db2.gz MTYJQVSLTJSXDC-INIZCTEOSA-N 0 1 302.418 3.116 20 30 DGEDMN N#CCc1ccc(NS(=O)(=O)c2ccccc2Cl)cc1 ZINC000029967087 431732985 /nfs/dbraw/zinc/73/29/85/431732985.db2.gz GCEFLZHNATUKKC-UHFFFAOYSA-N 0 1 306.774 3.207 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)CSCC#N)cc2[nH]1 ZINC000032830095 431797331 /nfs/dbraw/zinc/79/73/31/431797331.db2.gz DDURRYFYTOMUEB-UHFFFAOYSA-N 0 1 302.403 3.056 20 30 DGEDMN CC[C@@H](O)C[N@@H+](Cc1ccccc1)Cc1ccc(C#N)cc1OC ZINC000121517163 431798282 /nfs/dbraw/zinc/79/82/82/431798282.db2.gz ZFUCQNQVULOBQM-LJQANCHMSA-N 0 1 324.424 3.340 20 30 DGEDMN CC[C@@H](O)CN(Cc1ccccc1)Cc1ccc(C#N)cc1OC ZINC000121517163 431798288 /nfs/dbraw/zinc/79/82/88/431798288.db2.gz ZFUCQNQVULOBQM-LJQANCHMSA-N 0 1 324.424 3.340 20 30 DGEDMN CSc1ccccc1NC(=O)CC[N@@H+](CCC#N)CC1CC1 ZINC000081065693 431781963 /nfs/dbraw/zinc/78/19/63/431781963.db2.gz TVVOHGSHAHQNNC-UHFFFAOYSA-N 0 1 317.458 3.363 20 30 DGEDMN CSc1ccccc1NC(=O)CCN(CCC#N)CC1CC1 ZINC000081065693 431781973 /nfs/dbraw/zinc/78/19/73/431781973.db2.gz TVVOHGSHAHQNNC-UHFFFAOYSA-N 0 1 317.458 3.363 20 30 DGEDMN Cc1nc(C)c(C(=O)C(C#N)c2nc3ccccc3n2C)s1 ZINC000122854884 431847113 /nfs/dbraw/zinc/84/71/13/431847113.db2.gz BOXNVDFUZOWIMB-LLVKDONJSA-N 0 1 310.382 3.137 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC2(CCC2)C1 ZINC000122958619 431850009 /nfs/dbraw/zinc/85/00/09/431850009.db2.gz DZPAEEBOPJOHCS-GFCCVEGCSA-N 0 1 317.820 3.415 20 30 DGEDMN O=C(Cc1ccc(F)c(F)c1)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279587 431853175 /nfs/dbraw/zinc/85/31/75/431853175.db2.gz XOHYQTKNAVWHQO-UHFFFAOYSA-N 0 1 304.256 3.174 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCCCCC#N)n2)c(OC)c1 ZINC000122500292 431835440 /nfs/dbraw/zinc/83/54/40/431835440.db2.gz WFGOTNUZSKBQFB-UHFFFAOYSA-N 0 1 318.402 3.275 20 30 DGEDMN CCC[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000093508818 431915240 /nfs/dbraw/zinc/91/52/40/431915240.db2.gz SBPTZPPQMCAXTA-SFHVURJKSA-N 0 1 301.434 3.037 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(Cc3ccccc3)c2)cc1 ZINC000047798596 431915488 /nfs/dbraw/zinc/91/54/88/431915488.db2.gz WMNGQRAHOTZJCF-UHFFFAOYSA-N 0 1 302.381 3.093 20 30 DGEDMN CC[C@@H]1CC[N@@H+](CC(=O)N(CCC#N)c2cc(C)cc(C)c2)C1 ZINC000125307848 431921577 /nfs/dbraw/zinc/92/15/77/431921577.db2.gz LVWRSLQQBRFMGP-QGZVFWFLSA-N 0 1 313.445 3.282 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)N(CCC#N)c2cc(C)cc(C)c2)C1 ZINC000125307848 431921579 /nfs/dbraw/zinc/92/15/79/431921579.db2.gz LVWRSLQQBRFMGP-QGZVFWFLSA-N 0 1 313.445 3.282 20 30 DGEDMN Cc1ccc(Cl)c(S(=O)(=O)Nc2cccc(C#N)c2)c1 ZINC000123816309 431874962 /nfs/dbraw/zinc/87/49/62/431874962.db2.gz STQOIEJVQZUDPK-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000125459197 431925335 /nfs/dbraw/zinc/92/53/35/431925335.db2.gz FNWLMEHJNDOOPQ-KBPBESRZSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CC1CCN(C(=O)c2cc(-c3ccc(OC)cc3)[nH]n2)CC1 ZINC000124135825 431884307 /nfs/dbraw/zinc/88/43/07/431884307.db2.gz MCUAFCZUUXERHM-UHFFFAOYSA-N 0 1 311.385 3.124 20 30 DGEDMN COCC[N@@H+](Cc1ccc(C#N)cc1)Cc1ccc2c[nH]nc2c1 ZINC000124397931 431892553 /nfs/dbraw/zinc/89/25/53/431892553.db2.gz UFEDUHNMIFYWBW-UHFFFAOYSA-N 0 1 320.396 3.083 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc2c[nH]nc2c1 ZINC000124397931 431892556 /nfs/dbraw/zinc/89/25/56/431892556.db2.gz UFEDUHNMIFYWBW-UHFFFAOYSA-N 0 1 320.396 3.083 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCCN(CC(F)F)CC1 ZINC000091083033 431901221 /nfs/dbraw/zinc/90/12/21/431901221.db2.gz KMUKGAJBFGXCEF-UHFFFAOYSA-N 0 1 321.415 3.261 20 30 DGEDMN CC[C@H](CSC)N(C)CC(=O)N(CCC#N)c1ccccc1 ZINC000091098551 431901992 /nfs/dbraw/zinc/90/19/92/431901992.db2.gz PZGUQOZPKZZADK-OAHLLOKOSA-N 0 1 319.474 3.007 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)NCCc1c[nH]c2ccccc12 ZINC000125950890 431939121 /nfs/dbraw/zinc/93/91/21/431939121.db2.gz MUWIEMJCADKUQO-OAHLLOKOSA-N 0 1 313.445 3.113 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)N(CCC#N)c1ccc(F)cc1 ZINC000125962303 431939779 /nfs/dbraw/zinc/93/97/79/431939779.db2.gz UCKWOQVYWZKRBJ-OAHLLOKOSA-N 0 1 317.408 3.359 20 30 DGEDMN O=C(C/N=C/c1cc(Cl)cc(Cl)c1O)OCC1CC1 ZINC000101799707 431989338 /nfs/dbraw/zinc/98/93/38/431989338.db2.gz RKZMXXFDAZVNGM-FZSIALSZSA-N 0 1 302.157 3.071 20 30 DGEDMN CN(C(=O)OC(C)(C)C)[C@H]1CC[N@@H+](CC(C)(C)CCC#N)C1 ZINC000128489158 431995614 /nfs/dbraw/zinc/99/56/14/431995614.db2.gz WNYQLYZDISWAHA-AWEZNQCLSA-N 0 1 309.454 3.258 20 30 DGEDMN CN(Cc1cc(C#N)n(C)c1)Cc1cccc(OC(F)(F)F)c1 ZINC000191444709 432264453 /nfs/dbraw/zinc/26/44/53/432264453.db2.gz KLOZCXUKAWGTSD-UHFFFAOYSA-N 0 1 323.318 3.427 20 30 DGEDMN CCCC[C@@H](CNC(=O)OC(C)(C)C)NCc1ccc(C#N)o1 ZINC000151656122 432264758 /nfs/dbraw/zinc/26/47/58/432264758.db2.gz CQMPZEPLNUVXEC-ZDUSSCGKSA-N 0 1 321.421 3.324 20 30 DGEDMN COc1ccc(C#N)cc1CSc1nc(-c2cccnc2)n[nH]1 ZINC000152763423 432355325 /nfs/dbraw/zinc/35/53/25/432355325.db2.gz BSNGALLUTJTPBG-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN C=C(Br)CN[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1 ZINC000192132161 432334995 /nfs/dbraw/zinc/33/49/95/432334995.db2.gz AEACZCQMPBATGY-CHWSQXEVSA-N 0 1 318.161 3.293 20 30 DGEDMN C#CCCCC(=O)Nc1ccc(CN2CCSCC2)cc1C ZINC000192246133 432350320 /nfs/dbraw/zinc/35/03/20/432350320.db2.gz DVICQZDTWKBHKW-UHFFFAOYSA-N 0 1 316.470 3.286 20 30 DGEDMN CC[C@]1(CO)CCCN1Cc1cc(C#N)ccc1Br ZINC000191895065 432311107 /nfs/dbraw/zinc/31/11/07/432311107.db2.gz BGJBKANTQSGCEF-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN O=C(Nc1ccccc1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC000191898823 432311928 /nfs/dbraw/zinc/31/19/28/432311928.db2.gz HMLDPLBJPRCGRJ-LJQANCHMSA-N 0 1 318.420 3.389 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3ccc(C(F)(F)F)cc3[nH]2)[nH]1 ZINC000153234989 432393770 /nfs/dbraw/zinc/39/37/70/432393770.db2.gz UJPDCCPZWQRTDM-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN COc1ccc([C@H](OC)[C@H](C)NCc2ccc(C#N)o2)cc1 ZINC000271451298 432398684 /nfs/dbraw/zinc/39/86/84/432398684.db2.gz DYKWDCXLTYOBLT-YVEFUNNKSA-N 0 1 300.358 3.026 20 30 DGEDMN C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1cccc(C#N)c1 ZINC000175402905 432432750 /nfs/dbraw/zinc/43/27/50/432432750.db2.gz HKAGDMAPXIYXFD-RCDICMHDSA-N 0 1 312.438 3.489 20 30 DGEDMN CN1CCN(Cc2ccc(OCC#N)cc2)[C@@H](c2ccccc2)C1 ZINC000272038440 432434852 /nfs/dbraw/zinc/43/48/52/432434852.db2.gz OEZCINVGUXYMCS-HXUWFJFHSA-N 0 1 321.424 3.078 20 30 DGEDMN N#Cc1c[nH]c(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)c1 ZINC000175492381 432441604 /nfs/dbraw/zinc/44/16/04/432441604.db2.gz ABABDONGZHKFER-UHFFFAOYSA-N 0 1 305.341 3.282 20 30 DGEDMN N#Cc1ccccc1CSc1nc(-c2ccccc2O)n[nH]1 ZINC000193045708 432441842 /nfs/dbraw/zinc/44/18/42/432441842.db2.gz NEXWTUWEUVEVLJ-UHFFFAOYSA-N 0 1 308.366 3.341 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)Nc1sc2c(c1C#N)CCC2 ZINC000193175334 432456262 /nfs/dbraw/zinc/45/62/62/432456262.db2.gz CTBSTMLPJAIZOK-GFCCVEGCSA-N 0 1 317.458 3.333 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccco1)N(CC)CC)C1CC1 ZINC000271500072 432408226 /nfs/dbraw/zinc/40/82/26/432408226.db2.gz LMPXBQQPURZNRW-OAHLLOKOSA-N 0 1 305.422 3.023 20 30 DGEDMN N#Cc1c(CN2CCC(C3CCOCC3)CC2)cn2ccccc12 ZINC000153627093 432426909 /nfs/dbraw/zinc/42/69/09/432426909.db2.gz JRXDLGFAPJYPMP-UHFFFAOYSA-N 0 1 323.440 3.450 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCNC[C@H]1c1ccc(CC)cc1 ZINC000262297415 432479754 /nfs/dbraw/zinc/47/97/54/432479754.db2.gz WWKJLWBZZAEVQI-IBGZPJMESA-N 0 1 312.457 3.490 20 30 DGEDMN CC(C)OC(=O)C[C@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000185580192 432511962 /nfs/dbraw/zinc/51/19/62/432511962.db2.gz YPIFNZUWIGXDLQ-CYBMUJFWSA-N 0 1 306.431 3.173 20 30 DGEDMN Cn1cc([C@@H](N[C@H]2CCC[C@H](C#N)C2)c2ccc(F)cc2)cn1 ZINC000193305233 432465712 /nfs/dbraw/zinc/46/57/12/432465712.db2.gz JMDRBCIYOXMMPT-KKXDTOCCSA-N 0 1 312.392 3.321 20 30 DGEDMN C[C@@H](O)C[C@H]1CCCN1Cc1ccc(C#N)cc1Br ZINC000272530864 432517820 /nfs/dbraw/zinc/51/78/20/432517820.db2.gz MKWOWWRALNWUOP-BXUZGUMPSA-N 0 1 323.234 3.056 20 30 DGEDMN CN(Cc1ccccc1)Cc1cccc(C(=O)NCCCC#N)c1 ZINC000273053727 432544077 /nfs/dbraw/zinc/54/40/77/432544077.db2.gz RJLPVVYHLQJALB-UHFFFAOYSA-N 0 1 321.424 3.352 20 30 DGEDMN C[C@@H](NCc1cccc2c1OCO2)c1ccc(OCC#N)cc1 ZINC000194401614 432548344 /nfs/dbraw/zinc/54/83/44/432548344.db2.gz GVWXTTAMCMXKSU-CYBMUJFWSA-N 0 1 310.353 3.168 20 30 DGEDMN N#CC(C(=O)c1cc2ccccc2o1)c1nnc2n1CCCCC2 ZINC000194928233 432587495 /nfs/dbraw/zinc/58/74/95/432587495.db2.gz QPXCSRWYQMIXNG-ZDUSSCGKSA-N 0 1 320.352 3.241 20 30 DGEDMN Cc1ccnc(N2CCCN(Cc3ccc(F)cc3)CC2)c1C#N ZINC000273410625 432595953 /nfs/dbraw/zinc/59/59/53/432595953.db2.gz XOUKAERYVIKFHC-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CC(C)(C#N)CCN1CCN(Cc2cccc(Cl)c2)CC1 ZINC000157407351 432621477 /nfs/dbraw/zinc/62/14/77/432621477.db2.gz RYIUCVMAIUSVDJ-UHFFFAOYSA-N 0 1 305.853 3.397 20 30 DGEDMN C[C@@H]1CCN(Cc2nnc(-c3ccc(C#N)cc3)o2)CC1(C)C ZINC000273661726 432622605 /nfs/dbraw/zinc/62/26/05/432622605.db2.gz HYZUTOIHGAQQIP-CYBMUJFWSA-N 0 1 310.401 3.476 20 30 DGEDMN COc1cc2c(cc1CNCc1cc(C#N)cs1)O[C@H](C)C2 ZINC000177684177 432650019 /nfs/dbraw/zinc/65/00/19/432650019.db2.gz DWLVCRQYJUCHDI-LLVKDONJSA-N 0 1 314.410 3.242 20 30 DGEDMN Cc1n[nH]c([C@@H]2CCCN(Cc3ccc(Cl)cc3C#N)C2)n1 ZINC000274785774 432708709 /nfs/dbraw/zinc/70/87/09/432708709.db2.gz MBHVBSINSIXRCQ-CYBMUJFWSA-N 0 1 315.808 3.018 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(Cc3ccc(Cl)cc3C#N)C2)[nH]1 ZINC000274785774 432708713 /nfs/dbraw/zinc/70/87/13/432708713.db2.gz MBHVBSINSIXRCQ-CYBMUJFWSA-N 0 1 315.808 3.018 20 30 DGEDMN C=CC[C@@H](C(=O)Nc1nc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC000188202194 432710883 /nfs/dbraw/zinc/71/08/83/432710883.db2.gz QPGNVSDHYHFFJQ-CQSZACIVSA-N 0 1 319.368 3.165 20 30 DGEDMN C=CCOc1ccc(CNCc2cnn(CC)c2)cc1Cl ZINC000265575282 432711256 /nfs/dbraw/zinc/71/12/56/432711256.db2.gz XLCPRPDVRKYYGP-UHFFFAOYSA-N 0 1 305.809 3.411 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2OC(F)(F)F)cc1O ZINC000188245544 432713342 /nfs/dbraw/zinc/71/33/42/432713342.db2.gz DENCOTWYDASKDZ-UHFFFAOYSA-N 0 1 322.242 3.415 20 30 DGEDMN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1sccc1C#N ZINC000265649056 432717856 /nfs/dbraw/zinc/71/78/56/432717856.db2.gz NCFVEBLMLNMTIO-OCCSQVGLSA-N 0 1 305.447 3.459 20 30 DGEDMN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1sccc1C#N ZINC000265649057 432718211 /nfs/dbraw/zinc/71/82/11/432718211.db2.gz NCFVEBLMLNMTIO-TZMCWYRMSA-N 0 1 305.447 3.459 20 30 DGEDMN C=CCC[C@H](NC(=O)NC[C@H]1CCC[N@H+](C)C1)c1ccccc1 ZINC000159143762 432671843 /nfs/dbraw/zinc/67/18/43/432671843.db2.gz UBIKPKJZEJVJDT-AEFFLSMTSA-N 0 1 315.461 3.335 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCCN(c2nccs2)CC1 ZINC000187731623 432680389 /nfs/dbraw/zinc/68/03/89/432680389.db2.gz HJCAARHWDBAFIG-CQSZACIVSA-N 0 1 312.442 3.288 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(-c3ccccc3)nc2)n1 ZINC000159651792 432690442 /nfs/dbraw/zinc/69/04/42/432690442.db2.gz UDKVIBKXNOTZQW-CQSZACIVSA-N 0 1 320.377 3.399 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(C2CCCC2)CC1)c1ccccc1 ZINC000187890944 432691343 /nfs/dbraw/zinc/69/13/43/432691343.db2.gz RQCQNLBCUMURNY-IBGZPJMESA-N 0 1 312.457 3.433 20 30 DGEDMN COc1cccc(Cl)c1CNCCOc1ccc(C#N)cc1 ZINC000237272148 432782707 /nfs/dbraw/zinc/78/27/07/432782707.db2.gz ISOQNVCXLHGEFM-UHFFFAOYSA-N 0 1 316.788 3.389 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cccc(C#N)c3)c2C)o1 ZINC000161367486 432775395 /nfs/dbraw/zinc/77/53/95/432775395.db2.gz RKSTXRKRHRFLQH-UHFFFAOYSA-N 0 1 306.325 3.411 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000276530135 432816135 /nfs/dbraw/zinc/81/61/35/432816135.db2.gz ZROAJNTZZQABTC-SNVBAGLBSA-N 0 1 311.769 3.083 20 30 DGEDMN CC[C@H](C)c1ccc(S(=O)(=O)Nc2cc(C#N)ccn2)cc1 ZINC000276582313 432821197 /nfs/dbraw/zinc/82/11/97/432821197.db2.gz INVCNKWVZDYUOF-LBPRGKRZSA-N 0 1 315.398 3.268 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H](O)COCc1cccs1 ZINC000267195152 432821620 /nfs/dbraw/zinc/82/16/20/432821620.db2.gz NFJGYHYDFWIKEZ-INIZCTEOSA-N 0 1 324.490 3.277 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000178859210 432783039 /nfs/dbraw/zinc/78/30/39/432783039.db2.gz LAHHLFRPRGPQMJ-OAHLLOKOSA-N 0 1 300.402 3.114 20 30 DGEDMN C#CCC1(C(=O)Nc2cccc(-c3nnc[nH]3)c2)CCCCC1 ZINC000276506488 432813054 /nfs/dbraw/zinc/81/30/54/432813054.db2.gz YVOIBJHVIMSTCI-UHFFFAOYSA-N 0 1 308.385 3.384 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCS[C@@H]2CCCC[C@H]21 ZINC000245919855 432870188 /nfs/dbraw/zinc/87/01/88/432870188.db2.gz GIYIULFJROCWHH-NVXWUHKLSA-N 0 1 302.443 3.427 20 30 DGEDMN C#CCN1CCC(c2nc(-c3coc4ccccc34)no2)CC1 ZINC000277885891 432877126 /nfs/dbraw/zinc/87/71/26/432877126.db2.gz JVFJGKLHGGKTIK-UHFFFAOYSA-N 0 1 307.353 3.295 20 30 DGEDMN CC[C@@H]1CCCN(C([O-])=[NH+][C@H]2CCc3cnn(C(C)C)c3C2)C1 ZINC000330052627 432891250 /nfs/dbraw/zinc/89/12/50/432891250.db2.gz PIVJVHDUUZHQIH-ZBFHGGJFSA-N 0 1 318.465 3.357 20 30 DGEDMN CC(C)N(Cc1cccnc1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330115643 432892706 /nfs/dbraw/zinc/89/27/06/432892706.db2.gz FTTXRFONQLTRKD-OAHLLOKOSA-N 0 1 313.405 3.007 20 30 DGEDMN Cc1cc(C)n([C@H](C)CC(=O)N[C@H]2CCCc3cn[nH]c32)n1 ZINC000330099268 432893333 /nfs/dbraw/zinc/89/33/33/432893333.db2.gz CWNDKMTYVAMJLZ-OCCSQVGLSA-N 0 1 301.394 3.208 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N(C)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000289258125 432939755 /nfs/dbraw/zinc/93/97/55/432939755.db2.gz QGCAHSKBCXQIBE-IEBWSBKVSA-N 0 1 313.445 3.049 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cnc([C@@H](C)O)s1 ZINC000289356223 432949032 /nfs/dbraw/zinc/94/90/32/432949032.db2.gz NNXJPXCKCQRZNN-CQSZACIVSA-N 0 1 302.443 3.427 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330243318 432899857 /nfs/dbraw/zinc/89/98/57/432899857.db2.gz CPDSYBHLTCYPIW-KKUMJFAQSA-N 0 1 304.438 3.013 20 30 DGEDMN CC(C)CN(C([O-])=[NH+][C@@H]1CCn2ccnc2C1)C1CCCC1 ZINC000330236781 432900152 /nfs/dbraw/zinc/90/01/52/432900152.db2.gz AKBHPHGIOWUOPO-CQSZACIVSA-N 0 1 304.438 3.013 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)CC1 ZINC000330299512 432903256 /nfs/dbraw/zinc/90/32/56/432903256.db2.gz MJGWWIBBQXIZIN-HUUCEWRRSA-N 0 1 318.465 3.260 20 30 DGEDMN C[C@@H]1CCN(C([O-])=[NH+][C@@H]2C[C@H]2c2ccccc2)C[C@@H]1n1ccnc1 ZINC000330294778 432903629 /nfs/dbraw/zinc/90/36/29/432903629.db2.gz IUHXEYACWKVSEF-SPUZQDLCSA-N 0 1 324.428 3.236 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(C(C)C)n2)nc(C)n1 ZINC000330411183 432907457 /nfs/dbraw/zinc/90/74/57/432907457.db2.gz AIZLAVIEMPDAGZ-NSHDSACASA-N 0 1 300.387 3.163 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N[C@@H](C)c1n[nH]c([C@@H](C)CC)n1 ZINC000297476698 432995644 /nfs/dbraw/zinc/99/56/44/432995644.db2.gz SIWJYDSVYGCPOM-RYUDHWBXSA-N 0 1 307.442 3.281 20 30 DGEDMN CC(C)[N@H+]1CCCN(C(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC000280229657 433018227 /nfs/dbraw/zinc/01/82/27/433018227.db2.gz JRXKFOCGPNCDPD-UHFFFAOYSA-N 0 1 313.445 3.044 20 30 DGEDMN Cc1ccc(C#N)c(Sc2nc(-c3ccccc3F)n[nH]2)n1 ZINC000341435008 433056415 /nfs/dbraw/zinc/05/64/15/433056415.db2.gz SWPUYZHKRADFNP-UHFFFAOYSA-N 0 1 311.345 3.337 20 30 DGEDMN C=C(C)C(C)(C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000425146728 433112001 /nfs/dbraw/zinc/11/20/01/433112001.db2.gz QHNZPAXCAQHAHC-UHFFFAOYSA-N 0 1 300.362 3.021 20 30 DGEDMN N#Cc1ccc(CN2CCN(CCc3cccs3)CC2)s1 ZINC000350438706 433161886 /nfs/dbraw/zinc/16/18/86/433161886.db2.gz KRVORGJCSIYRCW-UHFFFAOYSA-N 0 1 317.483 3.042 20 30 DGEDMN C=C(Br)C[N@@H+]1CCC[C@@H]1[C@@H]1C[C@@H](C)CC[C@H]1O ZINC000374179455 433180592 /nfs/dbraw/zinc/18/05/92/433180592.db2.gz TUCXJJOQLLLCAR-SCUASFONSA-N 0 1 302.256 3.157 20 30 DGEDMN C=CCN1CC[C@@H](N(Cc2ccc(Cl)cc2)C2CC2)C1=O ZINC000337123525 433204350 /nfs/dbraw/zinc/20/43/50/433204350.db2.gz CFHHUIHAAQUTIR-MRXNPFEDSA-N 0 1 304.821 3.091 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@H](C)C[C@@H]2c2ccc(C)o2)C1=O ZINC000337200788 433213963 /nfs/dbraw/zinc/21/39/63/433213963.db2.gz ZZXZETNRGPTDNU-CWRNSKLLSA-N 0 1 302.418 3.148 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)CCCC#N)C3)[nH]c2c1 ZINC000291059551 433219902 /nfs/dbraw/zinc/21/99/02/433219902.db2.gz BOJVPNJBUCTFJL-CQSZACIVSA-N 0 1 310.401 3.271 20 30 DGEDMN Cc1cc(C)c(C#N)c(NC2CCN(Cc3ccccn3)CC2)n1 ZINC000298731007 433227861 /nfs/dbraw/zinc/22/78/61/433227861.db2.gz SFGTWUGPXUOWGR-UHFFFAOYSA-N 0 1 321.428 3.042 20 30 DGEDMN C=CCN1CC[C@H](Sc2nc(C)c(-c3ccccc3)[nH]2)C1=O ZINC000281038760 433257209 /nfs/dbraw/zinc/25/72/09/433257209.db2.gz RHGGJJVABPTRKU-AWEZNQCLSA-N 0 1 313.426 3.264 20 30 DGEDMN C=CCN1CC[C@@H](NC(c2ccccc2)c2ccccc2)C1=O ZINC000281174183 433266729 /nfs/dbraw/zinc/26/67/29/433266729.db2.gz LHIMTNNMNOGILO-GOSISDBHSA-N 0 1 306.409 3.153 20 30 DGEDMN C=CCN1CC[C@@H](Nc2ccc(N3CCCCCC3)cc2)C1=O ZINC000281157281 433266752 /nfs/dbraw/zinc/26/67/52/433266752.db2.gz KILIMZLIHRFSBZ-GOSISDBHSA-N 0 1 313.445 3.266 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnn2-c2ccccc2)n1 ZINC000361647804 433316103 /nfs/dbraw/zinc/31/61/03/433316103.db2.gz LTDOAIKSRMRASY-CYBMUJFWSA-N 0 1 308.366 3.127 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2csc3ccccc23)C1=O ZINC000281304352 433278156 /nfs/dbraw/zinc/27/81/56/433278156.db2.gz PXXCXPHWWVLWGD-OAHLLOKOSA-N 0 1 300.427 3.120 20 30 DGEDMN CCN(CC)[C@H](C/N=C/c1cccc(O)c1O)c1ccco1 ZINC000299289735 433357743 /nfs/dbraw/zinc/35/77/43/433357743.db2.gz IXYUQUODBQOINT-XWAFYUISSA-N 0 1 302.374 3.193 20 30 DGEDMN C#CCC(C)(C)C(=O)N[C@H]1CC[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000375122894 433366028 /nfs/dbraw/zinc/36/60/28/433366028.db2.gz DGTBKTUKYGWDEN-WMZOPIPTSA-N 0 1 312.457 3.063 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)c2cccc(Cl)c2)CC1 ZINC000299138301 433331239 /nfs/dbraw/zinc/33/12/39/433331239.db2.gz IBZSHOHJWHSKIO-CQSZACIVSA-N 0 1 318.848 3.205 20 30 DGEDMN N#CCc1ccc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)cc1 ZINC000299141836 433331361 /nfs/dbraw/zinc/33/13/61/433331361.db2.gz JKRSOLXYKHAFLE-IBGZPJMESA-N 0 1 319.408 3.356 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420940540 433331525 /nfs/dbraw/zinc/33/15/25/433331525.db2.gz DLYKUIIPZPCPNN-KBPBESRZSA-N 0 1 318.383 3.240 20 30 DGEDMN COc1ccc(C#N)cc1C[N@H+]1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000375012099 433335143 /nfs/dbraw/zinc/33/51/43/433335143.db2.gz JUFLUECFPDZABM-SJORKVTESA-N 0 1 314.429 3.205 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000375012522 433335343 /nfs/dbraw/zinc/33/53/43/433335343.db2.gz LPWXIXRUDHLYKI-SJORKVTESA-N 0 1 314.429 3.205 20 30 DGEDMN Cc1ccccc1S(=O)(=O)Nc1cc(C#N)c2ccccc2n1 ZINC000351227092 433425691 /nfs/dbraw/zinc/42/56/91/433425691.db2.gz UCWVRUVYCKUBOO-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C)c1ccccc1SC ZINC000281927361 433428570 /nfs/dbraw/zinc/42/85/70/433428570.db2.gz DBILTHNAILIOLE-ZIAGYGMSSA-N 0 1 319.474 3.067 20 30 DGEDMN COc1ccc(CN[C@@H]2CCOc3c(F)cccc32)cc1C#N ZINC000375345723 433432716 /nfs/dbraw/zinc/43/27/16/433432716.db2.gz QXNZLFMCTQKSKH-MRXNPFEDSA-N 0 1 312.344 3.319 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H](c1ccccc1)C(C)(C)CO ZINC000448510118 433412912 /nfs/dbraw/zinc/41/29/12/433412912.db2.gz MBXUHUDIHOSVML-LJQANCHMSA-N 0 1 324.424 3.416 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)c(OC(F)F)c1 ZINC000342026807 433422407 /nfs/dbraw/zinc/42/24/07/433422407.db2.gz VPXWGSIMLSGVKW-CABCVRRESA-N 0 1 322.355 3.303 20 30 DGEDMN C[C@@H](c1cccc(F)c1)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292042920 433440886 /nfs/dbraw/zinc/44/08/86/433440886.db2.gz ASEMMSRLXJXYOK-GUYCJALGSA-N 0 1 311.360 3.307 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2cc(C#N)ccc2C)CC1 ZINC000375420098 433456768 /nfs/dbraw/zinc/45/67/68/433456768.db2.gz PNOZZYFPRKPYRE-UHFFFAOYSA-N 0 1 323.400 3.310 20 30 DGEDMN C=C(C)CC[N@H+]1CCCC[C@H]1c1nnc(C2CCOCC2)o1 ZINC000375441612 433466992 /nfs/dbraw/zinc/46/69/92/433466992.db2.gz SSCJACPTKULTAF-HNNXBMFYSA-N 0 1 305.422 3.457 20 30 DGEDMN C=C(C)CCN1CCCC[C@H]1c1nnc(C2CCOCC2)o1 ZINC000375441612 433467001 /nfs/dbraw/zinc/46/70/01/433467001.db2.gz SSCJACPTKULTAF-HNNXBMFYSA-N 0 1 305.422 3.457 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](CCC)c2ccc(F)cc2F)C1=O ZINC000282103153 433496935 /nfs/dbraw/zinc/49/69/35/433496935.db2.gz WFHCTNVUUBBZEV-HOTGVXAUSA-N 0 1 308.372 3.183 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)OC(C)(C)C)CN(Cc2ccc(C#N)s2)C1 ZINC000421368654 433509784 /nfs/dbraw/zinc/50/97/84/433509784.db2.gz AJOPAXUYICDUOD-OLZOCXBDSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CC2CC2)CC1)c1ccccc1 ZINC000426645630 433550019 /nfs/dbraw/zinc/55/00/19/433550019.db2.gz HVVLLAIVMRGDEH-IBGZPJMESA-N 0 1 312.457 3.291 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(OCC#N)cc2)Cc2ccccc2O1 ZINC000292451933 433563101 /nfs/dbraw/zinc/56/31/01/433563101.db2.gz POOOGJSGTYYHIP-OAHLLOKOSA-N 0 1 308.381 3.372 20 30 DGEDMN COc1ccc(CNc2nccn2-c2ccccc2)cc1C#N ZINC000351566103 433579793 /nfs/dbraw/zinc/57/97/93/433579793.db2.gz DXZVUWJAHJBLAN-UHFFFAOYSA-N 0 1 304.353 3.365 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1C(=O)CC(C)(C)C#N ZINC000355465883 433548001 /nfs/dbraw/zinc/54/80/01/433548001.db2.gz XQDAZBKPUNTVKS-JKSUJKDBSA-N 0 1 313.445 3.048 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)c1 ZINC000342366462 433637894 /nfs/dbraw/zinc/63/78/94/433637894.db2.gz ADBNDGOXMIZMBJ-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=CCOc1cccc(C[NH2+][C@@H](C(=O)[O-])c2cccs2)c1 ZINC000426785762 433595662 /nfs/dbraw/zinc/59/56/62/433595662.db2.gz RBKTWPMIGQFQNT-OAHLLOKOSA-N 0 1 303.383 3.228 20 30 DGEDMN C[C@H](NCc1cc(C#N)cs1)c1ccc([S@@](C)=O)cc1 ZINC000339498266 433620315 /nfs/dbraw/zinc/62/03/15/433620315.db2.gz JORFUMWGFGOCNF-PRWKNARSSA-N 0 1 304.440 3.208 20 30 DGEDMN C[C@@H](NCc1cc(C#N)cs1)c1ccc([S@@](C)=O)cc1 ZINC000339498264 433621320 /nfs/dbraw/zinc/62/13/20/433621320.db2.gz JORFUMWGFGOCNF-BIBXISHDSA-N 0 1 304.440 3.208 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CCC)CC1)c1ccccc1 ZINC000427081105 433652604 /nfs/dbraw/zinc/65/26/04/433652604.db2.gz QFEWNAGIDXLWCR-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN CC[C@@]1(C)CCCN(CC(=O)N(C)C2(C#N)CCCCC2)C1 ZINC000362384990 433676498 /nfs/dbraw/zinc/67/64/98/433676498.db2.gz PQOZYJOLGBAXRZ-KRWDZBQOSA-N 0 1 305.466 3.183 20 30 DGEDMN Cc1ccc2nc(CNc3c(C#N)nnc4ccccc43)[nH]c2c1 ZINC000342437342 433695016 /nfs/dbraw/zinc/69/50/16/433695016.db2.gz LDMFRROLUWCOAH-UHFFFAOYSA-N 0 1 314.352 3.298 20 30 DGEDMN CC[C@H](C)N1CCN(C(=O)C[C@@H](CC#N)c2ccccc2)CC1 ZINC000456530645 433711138 /nfs/dbraw/zinc/71/11/38/433711138.db2.gz MYVGHGBFNZMNIX-FUHWJXTLSA-N 0 1 313.445 3.017 20 30 DGEDMN C=C[C@H]1CCCCN1C[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000449289249 433733857 /nfs/dbraw/zinc/73/38/57/433733857.db2.gz RKSIDJXNACIVCN-HOCLYGCPSA-N 0 1 307.459 3.234 20 30 DGEDMN CC(C)C[C@@H](N)c1noc([C@@H](C)Oc2ccc(C#N)cc2)n1 ZINC000414611779 433743836 /nfs/dbraw/zinc/74/38/36/433743836.db2.gz CTNGOVJSWAKJQA-BXUZGUMPSA-N 0 1 300.362 3.127 20 30 DGEDMN Cc1c2cc(C#N)ccc2sc1-c1nc(C2(N)CCC2)no1 ZINC000414622896 433751315 /nfs/dbraw/zinc/75/13/15/433751315.db2.gz CQAZTLYVUSRHPN-UHFFFAOYSA-N 0 1 310.382 3.469 20 30 DGEDMN N#Cc1cccc(C#N)c1NC[C@@H](c1ccco1)N1CCCC1 ZINC000302406811 433820487 /nfs/dbraw/zinc/82/04/87/433820487.db2.gz GAZZVFOYAMWCRZ-INIZCTEOSA-N 0 1 306.369 3.272 20 30 DGEDMN Cc1ccsc1CN1CCN(c2cccc(F)c2C#N)CC1 ZINC000351964595 433820794 /nfs/dbraw/zinc/82/07/94/433820794.db2.gz NVGRUZDKAGJINE-UHFFFAOYSA-N 0 1 315.417 3.390 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@]4(C#N)C[C@@H]4C)C3)[nH]c2c1 ZINC000449836308 433828054 /nfs/dbraw/zinc/82/80/54/433828054.db2.gz SLTRKDZYDGVZGI-KSMMKXTCSA-N 0 1 322.412 3.127 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(C)[C@H](C(C)C)C2)c1 ZINC000362729907 433840531 /nfs/dbraw/zinc/84/05/31/433840531.db2.gz RZXGDKPMUIYTGL-KRWDZBQOSA-N 0 1 317.433 3.055 20 30 DGEDMN CC(C)(C)[C@@H]1CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000344143905 433852791 /nfs/dbraw/zinc/85/27/91/433852791.db2.gz BFMFRPFFMSUEKY-ZIAGYGMSSA-N 0 1 317.458 3.093 20 30 DGEDMN Cc1c(Nc2nccc(C#N)c2[N+](=O)[O-])cnn1C1CCCC1 ZINC000302903098 433904003 /nfs/dbraw/zinc/90/40/03/433904003.db2.gz ULWFXPWWGVWVME-UHFFFAOYSA-N 0 1 312.333 3.225 20 30 DGEDMN N#CCCOCCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000293910297 433921555 /nfs/dbraw/zinc/92/15/55/433921555.db2.gz WWQLKLONGCCCTL-ZDUSSCGKSA-N 0 1 304.287 3.339 20 30 DGEDMN Cn1cc(-c2ccccc2CNCc2ccc(C#N)cc2F)cn1 ZINC000340105625 433922816 /nfs/dbraw/zinc/92/28/16/433922816.db2.gz PJAYKGZNMHQBTD-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN C[C@H](CNc1c(C#N)cccc1C#N)N1CCc2ccccc2C1 ZINC000302862905 433890733 /nfs/dbraw/zinc/89/07/33/433890733.db2.gz WJQVQSVWRKCWPN-OAHLLOKOSA-N 0 1 316.408 3.289 20 30 DGEDMN C[C@@H](CNc1c(C#N)cccc1C#N)N1CCc2ccccc2C1 ZINC000302862904 433891186 /nfs/dbraw/zinc/89/11/86/433891186.db2.gz WJQVQSVWRKCWPN-HNNXBMFYSA-N 0 1 316.408 3.289 20 30 DGEDMN N#Cc1cccc(C#N)c1Nc1cccc(N2CCC[NH+]=C2[O-])c1 ZINC000302881331 433893695 /nfs/dbraw/zinc/89/36/95/433893695.db2.gz IZDYAUDDPVNLKD-UHFFFAOYSA-N 0 1 317.352 3.093 20 30 DGEDMN CCOC1CC2(C1)CC[N@@H+](Cc1ccc(O[C@@H](C)C#N)cc1)C2 ZINC000377692262 433961558 /nfs/dbraw/zinc/96/15/58/433961558.db2.gz BLXHHVNMAWLETK-MNNVXMFVSA-N 0 1 314.429 3.368 20 30 DGEDMN CCC(C)(C)CCN1CCN(c2snc(C)c2C#N)CC1 ZINC000352199733 433974751 /nfs/dbraw/zinc/97/47/51/433974751.db2.gz CWQILWJEEFWUAE-UHFFFAOYSA-N 0 1 306.479 3.272 20 30 DGEDMN COC(=O)c1csc([C@H](C)NCc2cccc(C#N)c2)c1 ZINC000285642689 434020804 /nfs/dbraw/zinc/02/08/04/434020804.db2.gz LUHGHUDKZKCWBK-NSHDSACASA-N 0 1 300.383 3.257 20 30 DGEDMN COC(=O)c1csc([C@@H](C)NCc2cccc(C#N)c2)c1 ZINC000285642688 434023096 /nfs/dbraw/zinc/02/30/96/434023096.db2.gz LUHGHUDKZKCWBK-LLVKDONJSA-N 0 1 300.383 3.257 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3c(c2)OCCCO3)cc1F ZINC000404760542 293872400 /nfs/dbraw/zinc/87/24/00/293872400.db2.gz NNMKHUHWRGYPLA-UHFFFAOYSA-N 0 1 312.344 3.148 20 30 DGEDMN CCc1nn(C)c(CC)c1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000353238128 434069364 /nfs/dbraw/zinc/06/93/64/434069364.db2.gz GLELCFNMLLSKRL-GOSISDBHSA-N 0 1 308.429 3.194 20 30 DGEDMN COc1ccc(C#N)cc1CN(CCO)[C@H]1CCc2ccccc21 ZINC000305253076 434069945 /nfs/dbraw/zinc/06/99/45/434069945.db2.gz VQRLCYBGBVUWBA-IBGZPJMESA-N 0 1 322.408 3.049 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCOC)[C@@H](CCC)C2)CCCC1 ZINC000450322576 434101197 /nfs/dbraw/zinc/10/11/97/434101197.db2.gz AOJWOOZVGNHSLD-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C[C@@H](N[C@@H](CO)c1ccc(F)cc1)c1ccc(C#N)c(F)c1 ZINC000346140333 434146799 /nfs/dbraw/zinc/14/67/99/434146799.db2.gz PAYFIAHMECBEKC-DIFFPNOSSA-N 0 1 302.324 3.221 20 30 DGEDMN N#CCCN(Cc1cccnc1)Cc1cc(Cl)c(F)cc1O ZINC000294991082 434158824 /nfs/dbraw/zinc/15/88/24/434158824.db2.gz UCVHSLBMOKMAFP-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000346173951 434169447 /nfs/dbraw/zinc/16/94/47/434169447.db2.gz BZYDEZGPJJAQOG-UHFFFAOYSA-N 0 1 316.364 3.221 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000457462608 434186097 /nfs/dbraw/zinc/18/60/97/434186097.db2.gz ZWVKJXRLCHEKHO-OAHLLOKOSA-N 0 1 319.449 3.318 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000416961888 434260104 /nfs/dbraw/zinc/26/01/04/434260104.db2.gz SRTNSRFOEFKNIA-VXGBXAGGSA-N 0 1 323.443 3.125 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)n[nH]1 ZINC000328914138 434329368 /nfs/dbraw/zinc/32/93/68/434329368.db2.gz IRLTYEFMVCPSOV-QNWHQSFQSA-N 0 1 307.442 3.317 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)NCCc2nc3ccc(F)cc3[nH]2)CCC1=O ZINC000328927520 434329824 /nfs/dbraw/zinc/32/98/24/434329824.db2.gz FXICQJLQHRBDKO-GHMZBOCLSA-N 0 1 317.364 3.206 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)C2C3CC4CC(C3)CC2C4)n[nH]1 ZINC000328941057 434331379 /nfs/dbraw/zinc/33/13/79/434331379.db2.gz STQGFBKOJZPGDD-HQODWTRASA-N 0 1 302.422 3.457 20 30 DGEDMN O=C(Nc1ccc(OCC2CC2)cc1)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC000329305660 434390002 /nfs/dbraw/zinc/39/00/02/434390002.db2.gz OYKOZDOFBMOPJE-OAHLLOKOSA-N 0 1 315.417 3.038 20 30 DGEDMN O=C(NCc1csc2ccccc12)[C@@H]1CCc2[nH]nnc2C1 ZINC000328979101 434336808 /nfs/dbraw/zinc/33/68/08/434336808.db2.gz XHOCAEZEUSBCDJ-SNVBAGLBSA-N 0 1 312.398 3.281 20 30 DGEDMN O=C(NCc1csc2ccccc12)[C@@H]1CCc2nn[nH]c2C1 ZINC000328979101 434336816 /nfs/dbraw/zinc/33/68/16/434336816.db2.gz XHOCAEZEUSBCDJ-SNVBAGLBSA-N 0 1 312.398 3.281 20 30 DGEDMN Cc1noc(C)c1C(C)(C)C(=O)NCCN1CC=C(C)CC1 ZINC000329030851 434342954 /nfs/dbraw/zinc/34/29/54/434342954.db2.gz XAOYEKXNONFMTF-UHFFFAOYSA-N 0 1 305.422 3.178 20 30 DGEDMN Cc1ccc2nc(CNC(=O)c3cc(C#N)ccc3Cl)[nH]c2c1 ZINC000353699704 434349262 /nfs/dbraw/zinc/34/92/62/434349262.db2.gz SRRZGWSIKRXVIZ-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN CN(CCn1cccn1)C([O-])=[NH+][C@H]1CCCCC12CCCC2 ZINC000329611241 434444463 /nfs/dbraw/zinc/44/44/63/434444463.db2.gz JPJGOJRTNLRVTP-HNNXBMFYSA-N 0 1 304.438 3.232 20 30 DGEDMN CCC[C@H](NC(=O)c1cccn2c(O)nnc12)C1CCCCC1 ZINC000329362743 434396675 /nfs/dbraw/zinc/39/66/75/434396675.db2.gz ZCZIBXQACNQLCQ-AWEZNQCLSA-N 0 1 316.405 3.489 20 30 DGEDMN CCO[C@H]1C[C@H](N(C)Cc2cccc(C#N)c2F)C12CCC2 ZINC000340877481 434405357 /nfs/dbraw/zinc/40/53/57/434405357.db2.gz BRFXNTMREJZYER-HOTGVXAUSA-N 0 1 302.393 3.477 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1C([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329424930 434408216 /nfs/dbraw/zinc/40/82/16/434408216.db2.gz IHSSSDNADAQPGW-BPLDGKMQSA-N 0 1 318.465 3.328 20 30 DGEDMN NC(=O)[C@@H]1CCCCC[C@@H]1NC([O-])=[NH+]C1Cc2ccccc2C1 ZINC000329600964 434440648 /nfs/dbraw/zinc/44/06/48/434440648.db2.gz KIOBUXPBGUHNJV-CVEARBPZSA-N 0 1 315.417 3.142 20 30 DGEDMN NC(=O)[C@@H]1CCCCC[C@@H]1[NH+]=C([O-])NC1Cc2ccccc2C1 ZINC000329600964 434440656 /nfs/dbraw/zinc/44/06/56/434440656.db2.gz KIOBUXPBGUHNJV-CVEARBPZSA-N 0 1 315.417 3.142 20 30 DGEDMN C=C[C@@H](CC(=O)Nc1nc(-c2ccncc2)n[nH]1)c1ccccc1 ZINC000366596019 434460190 /nfs/dbraw/zinc/46/01/90/434460190.db2.gz IWZBALBXLGCXMC-ZDUSSCGKSA-N 0 1 319.368 3.165 20 30 DGEDMN Cc1cc(C)n([C@H](C)CC(=O)NCC[N@@H+]2CC=C(C)CC2)n1 ZINC000329704485 434461942 /nfs/dbraw/zinc/46/19/42/434461942.db2.gz IUXLIQISDFNIIJ-MRXNPFEDSA-N 0 1 304.438 3.060 20 30 DGEDMN C=CC[C@@H]1N(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCCC1(C)C ZINC000329729973 434468371 /nfs/dbraw/zinc/46/83/71/434468371.db2.gz HTZDSJJOFPRMRM-BBRMVZONSA-N 0 1 316.449 3.248 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+]C[C@H]3CCCCS3)C2)c1 ZINC000329759264 434474779 /nfs/dbraw/zinc/47/47/79/434474779.db2.gz OQJJWUFGHMRBNT-HUUCEWRRSA-N 0 1 322.478 3.028 20 30 DGEDMN C=CC[C@@H](C(=O)NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000458032712 434451367 /nfs/dbraw/zinc/45/13/67/434451367.db2.gz UTHDUEXSMOAGRK-CQSZACIVSA-N 0 1 312.417 3.078 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1C[C@H]2CCCC[C@H]21 ZINC000368088044 434566413 /nfs/dbraw/zinc/56/64/13/434566413.db2.gz DRZBPQHTOOAGSP-JFIYKMOQSA-N 0 1 311.429 3.196 20 30 DGEDMN COc1ccc(NC(=O)c2ccc(C#N)c(O)c2)c2ncccc12 ZINC000525954905 295044779 /nfs/dbraw/zinc/04/47/79/295044779.db2.gz FIINZYAMUCWSIH-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN CO[C@@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@H]1C ZINC000451535591 434572683 /nfs/dbraw/zinc/57/26/83/434572683.db2.gz AVKKIXJOYXRAFK-CZUORRHYSA-N 0 1 311.347 3.414 20 30 DGEDMN N#Cc1ccc(CSc2nc(C3CC3)n[nH]2)c(OC(F)F)c1 ZINC000439540273 434623105 /nfs/dbraw/zinc/62/31/05/434623105.db2.gz JCMBURLZALCDCZ-UHFFFAOYSA-N 0 1 322.340 3.447 20 30 DGEDMN N#Cc1ccc(CSc2n[nH]c(C3CC3)n2)c(OC(F)F)c1 ZINC000439540273 434623112 /nfs/dbraw/zinc/62/31/12/434623112.db2.gz JCMBURLZALCDCZ-UHFFFAOYSA-N 0 1 322.340 3.447 20 30 DGEDMN CC(C)c1ncc(CN(C)CCCOc2cccc(C#N)c2)cn1 ZINC000440489310 434705087 /nfs/dbraw/zinc/70/50/87/434705087.db2.gz SHRRCDPTOLKVFL-UHFFFAOYSA-N 0 1 324.428 3.373 20 30 DGEDMN C#CCCCCC(=O)NC1CCN(Cc2ccsc2)CC1 ZINC000371450743 434705971 /nfs/dbraw/zinc/70/59/71/434705971.db2.gz NSDYJWFEEMZLNV-UHFFFAOYSA-N 0 1 304.459 3.022 20 30 DGEDMN N#CCSc1cccc(-c2nc(C3(N)CCCCC3)no2)c1 ZINC000424351590 434709547 /nfs/dbraw/zinc/70/95/47/434709547.db2.gz YZGDAYLSADFWAA-UHFFFAOYSA-N 0 1 314.414 3.470 20 30 DGEDMN C[C@@H](Oc1ccc(C#N)cc1)c1nc(C2(N)CCCCC2)no1 ZINC000424349787 434709706 /nfs/dbraw/zinc/70/97/06/434709706.db2.gz WMVIQSAJTWQINW-GFCCVEGCSA-N 0 1 312.373 3.199 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](O)[C@H](C3CCCC3)C2)c1F ZINC000459785381 434717778 /nfs/dbraw/zinc/71/77/78/434717778.db2.gz BMXQJECTSOREAZ-DLBZAZTESA-N 0 1 302.393 3.070 20 30 DGEDMN CCCCn1cc(CNCc2ccc(C#N)c(OC)c2)c(C)n1 ZINC000440631515 434726426 /nfs/dbraw/zinc/72/64/26/434726426.db2.gz AGCKEXXPOMLYMS-UHFFFAOYSA-N 0 1 312.417 3.162 20 30 DGEDMN C[C@H](CN(C)Cc1cc(C#N)ccc1F)C(=O)OC(C)(C)C ZINC000459817529 434730653 /nfs/dbraw/zinc/73/06/53/434730653.db2.gz XTACBYPPSQPMCR-GFCCVEGCSA-N 0 1 306.381 3.107 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@@H](C)N(CCC)Cc1ccc(C#N)cc1 ZINC000411171275 434734702 /nfs/dbraw/zinc/73/47/02/434734702.db2.gz ZGQVGTVWIHNLRT-JKSUJKDBSA-N 0 1 315.461 3.464 20 30 DGEDMN CC(C)N1CCc2nc(/C=C\c3ncccc3C#N)sc2C1 ZINC000453027986 434778524 /nfs/dbraw/zinc/77/85/24/434778524.db2.gz PTICQHTVEKEGID-WAYWQWQTSA-N 0 1 310.426 3.347 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1cccc(C#N)c1 ZINC000411464605 434784063 /nfs/dbraw/zinc/78/40/63/434784063.db2.gz NHNMKPWSDZRYCA-FZKQIMNGSA-N 0 1 323.371 3.153 20 30 DGEDMN CC(C)N(Cc1ccccc1C#N)C[C@@H](O)COc1ccccc1 ZINC000444803283 434789827 /nfs/dbraw/zinc/78/98/27/434789827.db2.gz PICPRRMOJGIZFU-LJQANCHMSA-N 0 1 324.424 3.209 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)N(C)c1ccccc1 ZINC000411444507 434781164 /nfs/dbraw/zinc/78/11/64/434781164.db2.gz DUEDTQFXJBOHHZ-HUUCEWRRSA-N 0 1 307.397 3.260 20 30 DGEDMN C[C@@H]1[C@@H](C)[C@H](C)CN1CC(=O)Nc1ccccc1SCC#N ZINC000419374327 434782466 /nfs/dbraw/zinc/78/24/66/434782466.db2.gz PMUDLGBULARHQT-HZSPNIEDSA-N 0 1 317.458 3.217 20 30 DGEDMN CCc1cnccc1[C@H](C)N[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000361136638 434808438 /nfs/dbraw/zinc/80/84/38/434808438.db2.gz RNPDIAGECUABGB-UONOGXRCSA-N 0 1 322.412 3.193 20 30 DGEDMN N#CC1(CCN[C@@]2(CO)CCOc3ccccc32)CCCCC1 ZINC000411669646 434816053 /nfs/dbraw/zinc/81/60/53/434816053.db2.gz DXLDJYIIXIVNCC-LJQANCHMSA-N 0 1 314.429 3.110 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@]1(OC)CCOC1 ZINC000637869834 434894996 /nfs/dbraw/zinc/89/49/96/434894996.db2.gz ZMADGGJUIFUDKD-AWEZNQCLSA-N 0 1 301.839 3.195 20 30 DGEDMN CCc1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000554848175 434947935 /nfs/dbraw/zinc/94/79/35/434947935.db2.gz IWFKNWAFLTXGOZ-AWEZNQCLSA-N 0 1 307.397 3.410 20 30 DGEDMN Cc1nn(C(C)C)cc1C(=O)C(C#N)c1nc2ccccc2n1C ZINC000574529546 434984275 /nfs/dbraw/zinc/98/42/75/434984275.db2.gz WJRATYFUJHBPAT-CYBMUJFWSA-N 0 1 321.384 3.149 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2C[C@@H](C)C[C@@H]2C)c1C ZINC000525410933 435007933 /nfs/dbraw/zinc/00/79/33/435007933.db2.gz RAWPYESDJWMCIK-HOCLYGCPSA-N 0 1 313.445 3.280 20 30 DGEDMN Cc1ccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)c(F)c1 ZINC000556510745 435009429 /nfs/dbraw/zinc/00/94/29/435009429.db2.gz PEUXKFRIUPKMHY-UHFFFAOYSA-N 0 1 323.371 3.223 20 30 DGEDMN CC1(CN2CCN([C@H](C#N)c3cccc(Cl)c3)CC2)CC1 ZINC000527241183 435011336 /nfs/dbraw/zinc/01/13/36/435011336.db2.gz SXHKYDBQYUWZGU-MRXNPFEDSA-N 0 1 303.837 3.322 20 30 DGEDMN CC(C)(C)c1ncc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cn1 ZINC000577657651 435060704 /nfs/dbraw/zinc/06/07/04/435060704.db2.gz BLYKAWBUPDGOIX-GFCCVEGCSA-N 0 1 319.368 3.140 20 30 DGEDMN CC(C)(C)c1ncc(C(=O)[C@@H](C#N)c2nc3ccccc3[nH]2)cn1 ZINC000577657651 435060707 /nfs/dbraw/zinc/06/07/07/435060707.db2.gz BLYKAWBUPDGOIX-GFCCVEGCSA-N 0 1 319.368 3.140 20 30 DGEDMN CC(C)[C@H]1CN(C(=O)CCCC#N)CCN1Cc1ccccc1 ZINC000529799770 435040732 /nfs/dbraw/zinc/04/07/32/435040732.db2.gz GIUZCNXALQYRFD-GOSISDBHSA-N 0 1 313.445 3.049 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C=Cc1ccc(C#N)cc1 ZINC000493121856 435112079 /nfs/dbraw/zinc/11/20/79/435112079.db2.gz BBIWVCCDVQKZGZ-SREVYHEPSA-N 0 1 302.337 3.395 20 30 DGEDMN C[C@@H]1CC[C@](C)(/C=C\C(=O)NC2(C#N)CCN(C)CC2)C1(C)C ZINC000493270721 435139605 /nfs/dbraw/zinc/13/96/05/435139605.db2.gz LMJXQJHIBMENJO-OKZRPJQLSA-N 0 1 317.477 3.109 20 30 DGEDMN N#Cc1ccc(N[C@H]2CCCC[C@H]2N2CCOCC2)cc1Cl ZINC000532167035 435144674 /nfs/dbraw/zinc/14/46/74/435144674.db2.gz AOEYNOJDUMFLFY-DLBZAZTESA-N 0 1 319.836 3.267 20 30 DGEDMN C[C@H](C#N)CN(C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495377872 435203672 /nfs/dbraw/zinc/20/36/72/435203672.db2.gz UVIWWGNKFCGXTP-RDTXWAMCSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000496505556 435217488 /nfs/dbraw/zinc/21/74/88/435217488.db2.gz AZMBQJVSLDSKBS-RBSFLKMASA-N 0 1 309.454 3.113 20 30 DGEDMN C=CCC[C@@H](O)CN1CCCC[C@H]1c1nc2ccccc2n1C ZINC000533065333 435208795 /nfs/dbraw/zinc/20/87/95/435208795.db2.gz KHSGYUDOKNOIEX-QAPCUYQASA-N 0 1 313.445 3.427 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000496892785 298273342 /nfs/dbraw/zinc/27/33/42/298273342.db2.gz PLCXMVJJAVQDEN-KFWWJZLASA-N 0 1 309.454 3.256 20 30 DGEDMN C=C(C)CC[N@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1CC(=O)[O-] ZINC000563721735 435270172 /nfs/dbraw/zinc/27/01/72/435270172.db2.gz IULYWYQUNQQUJS-HNNXBMFYSA-N 0 1 319.401 3.044 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@H](NCC1(C#N)CCC1)C(C)(C)C ZINC000496950360 435275435 /nfs/dbraw/zinc/27/54/35/435275435.db2.gz PSHGMKXGRQUDJH-ZDUSSCGKSA-N 0 1 309.454 3.209 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H](c3ccccc3)C2)c1C#N ZINC000535168231 435322745 /nfs/dbraw/zinc/32/27/45/435322745.db2.gz RKIACGXOXUJNGZ-MRXNPFEDSA-N 0 1 320.392 3.334 20 30 DGEDMN N#Cc1cncnc1S[C@H]1CCCN(Cc2ccccc2)C1 ZINC000567767681 435354208 /nfs/dbraw/zinc/35/42/08/435354208.db2.gz UPAOZLQNJRTXAZ-INIZCTEOSA-N 0 1 310.426 3.105 20 30 DGEDMN CC1(C#N)CCN(C(=O)c2cccc(C(F)(F)F)c2O)CC1 ZINC000568207186 435407196 /nfs/dbraw/zinc/40/71/96/435407196.db2.gz ZPGXNCOWOODNCE-UHFFFAOYSA-N 0 1 312.291 3.177 20 30 DGEDMN OCC1([C@H](NCC#Cc2ccc(F)cc2)c2ccncc2)CCC1 ZINC000639880666 435444099 /nfs/dbraw/zinc/44/40/99/435444099.db2.gz WWYFGBWEKVBTFK-LJQANCHMSA-N 0 1 324.399 3.066 20 30 DGEDMN CCCN(Cc1ccc(C#N)cn1)C[C@@H]1COc2ccccc2O1 ZINC000569115735 435505343 /nfs/dbraw/zinc/50/53/43/435505343.db2.gz VTMIVWJWQJFVEC-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN N#CCC1CCN(Cc2nn(-c3ccccc3)c3c2CCC3)CC1 ZINC000541952762 435645757 /nfs/dbraw/zinc/64/57/57/435645757.db2.gz ZIYMFIQXQDSWGS-UHFFFAOYSA-N 0 1 320.440 3.487 20 30 DGEDMN C=CCN1CC[C@H](N2CCc3ccc(Cl)cc3[C@@H](C)C2)C1=O ZINC000649746811 435688743 /nfs/dbraw/zinc/68/87/43/435688743.db2.gz JJCONEUMIQYFDF-GUYCJALGSA-N 0 1 318.848 3.089 20 30 DGEDMN C#CCN(Cc1c[nH]c2nccnc12)[C@@H]1CCc2ccccc21 ZINC000491351846 435735239 /nfs/dbraw/zinc/73/52/39/435735239.db2.gz VXYGGHAUFOZJOP-QGZVFWFLSA-N 0 1 302.381 3.032 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC1(c2cccc(F)c2)CCC1 ZINC000646004748 435738172 /nfs/dbraw/zinc/73/81/72/435738172.db2.gz NTUNQVUCKMQYOY-UHFFFAOYSA-N 0 1 304.409 3.219 20 30 DGEDMN N#CCC1(CNC(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)CC1 ZINC000571859219 435745579 /nfs/dbraw/zinc/74/55/79/435745579.db2.gz BJLGSQDFPDZVEJ-UHFFFAOYSA-N 0 1 319.315 3.316 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CCC(CCC#N)CC1 ZINC000572239266 435798345 /nfs/dbraw/zinc/79/83/45/435798345.db2.gz RFACNPLMKOROKZ-WBVHZDCISA-N 0 1 305.466 3.039 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000572004522 435765181 /nfs/dbraw/zinc/76/51/81/435765181.db2.gz HNYQACYPZOPDCW-AEFFLSMTSA-N 0 1 323.352 3.086 20 30 DGEDMN C=CCCC1(CNC(=O)NCCCc2cn[nH]c2C)CCCC1 ZINC000656538427 435968957 /nfs/dbraw/zinc/96/89/57/435968957.db2.gz HMFGMKXILQUXHZ-UHFFFAOYSA-N 0 1 318.465 3.477 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)NCCCc1cn[nH]c1C ZINC000656536664 435969705 /nfs/dbraw/zinc/96/97/05/435969705.db2.gz QCEZEGGZZBQZET-ZBFHGGJFSA-N 0 1 304.438 3.085 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(c2ccco2)CC1 ZINC000661163932 435983911 /nfs/dbraw/zinc/98/39/11/435983911.db2.gz RFXAXNKDKBIRBR-OAHLLOKOSA-N 0 1 302.418 3.048 20 30 DGEDMN C=C(CC)Cn1cc([C@H](N)c2ccc(Br)cc2)nn1 ZINC000656453752 435949675 /nfs/dbraw/zinc/94/96/75/435949675.db2.gz VCTBQTWMLBPTDE-CQSZACIVSA-N 0 1 321.222 3.055 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H](C)c1ccc(OC)cc1 ZINC000657251488 436106928 /nfs/dbraw/zinc/10/69/28/436106928.db2.gz DBVZXIVFIQVUSN-NSHDSACASA-N 0 1 305.403 3.074 20 30 DGEDMN C=CCCNC(=O)NC[C@H]1CCC[N@H+](C)[C@H]1c1cccs1 ZINC000661571304 436109981 /nfs/dbraw/zinc/10/99/81/436109981.db2.gz SJPCIDBUAHJBIZ-UKRRQHHQSA-N 0 1 307.463 3.006 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)N2CCC[C@@H]2C)c1 ZINC000173988632 301224764 /nfs/dbraw/zinc/22/47/64/301224764.db2.gz OOKQXKKASRFUOB-IRXDYDNUSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCC[C@@H](NCc1cccc(S(C)(=O)=O)c1)c1ccco1 ZINC000651428138 436194186 /nfs/dbraw/zinc/19/41/86/436194186.db2.gz SQQAQEKITVQVOM-MRXNPFEDSA-N 0 1 319.426 3.480 20 30 DGEDMN C=CCCn1cc(CN(CCC)[C@H]2C[C@H](OCC)C2(C)C)nn1 ZINC000653559129 436203850 /nfs/dbraw/zinc/20/38/50/436203850.db2.gz KDLYXRCUDFJSEG-IRXDYDNUSA-N 0 1 320.481 3.270 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)nn1 ZINC000653555812 436204563 /nfs/dbraw/zinc/20/45/63/436204563.db2.gz XNCIFLYYBRVHFN-OAHLLOKOSA-N 0 1 316.836 3.497 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1ncc(Br)s1 ZINC000658209185 436400907 /nfs/dbraw/zinc/40/09/07/436400907.db2.gz DQUAUVRUHVRAQD-VIFPVBQESA-N 0 1 305.241 3.149 20 30 DGEDMN C=CCOc1ccc(CNCc2ccnn2CC)cc1Cl ZINC000663348174 436444772 /nfs/dbraw/zinc/44/47/72/436444772.db2.gz LWBAIUZILGPZQB-UHFFFAOYSA-N 0 1 305.809 3.411 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2[C@H](C(=O)[O-])c1cc(OC)cc(OC)c1 ZINC000659801249 436530324 /nfs/dbraw/zinc/53/03/24/436530324.db2.gz CHAWTKHPXQMKJL-VBQJREDUSA-N 0 1 317.385 3.013 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCCC2)nc1 ZINC000569862922 315608828 /nfs/dbraw/zinc/60/88/28/315608828.db2.gz LCRGMMRKVWOYTB-LJQANCHMSA-N 0 1 321.424 3.337 20 30 DGEDMN COc1ccccc1CN(C)CCOCc1ccc(C#N)cc1 ZINC000575166885 316039984 /nfs/dbraw/zinc/03/99/84/316039984.db2.gz HIZJGNMPRSUACZ-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN COCCN(CCC(=O)c1ccccc1)Cc1ccc(C#N)cc1 ZINC000182131822 323284322 /nfs/dbraw/zinc/28/43/22/323284322.db2.gz VRJTXGLCBJBKQG-UHFFFAOYSA-N 0 1 322.408 3.280 20 30 DGEDMN Cc1csc([C@H](C)NCCC(=O)Nc2cccc(C#N)c2)n1 ZINC000184278357 323361143 /nfs/dbraw/zinc/36/11/43/323361143.db2.gz LHIMBUCRTKFIFA-LBPRGKRZSA-N 0 1 314.414 3.003 20 30 DGEDMN Cc1cnc([C@@H](C)NCCC(=O)Nc2sccc2C#N)s1 ZINC000185007652 323378464 /nfs/dbraw/zinc/37/84/64/323378464.db2.gz WJDPBSJAUYRVSQ-SNVBAGLBSA-N 0 1 320.443 3.064 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(-c3ncco3)cc2)cc1O ZINC000188747474 323428582 /nfs/dbraw/zinc/42/85/82/323428582.db2.gz INUBMWYGTSZYRQ-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN N#Cc1c(CN2CC[C@H](c3cccnc3)C2)cn2ccccc12 ZINC000580559589 324012289 /nfs/dbraw/zinc/01/22/89/324012289.db2.gz PTCKBJPGSKYFPK-INIZCTEOSA-N 0 1 302.381 3.195 20 30 DGEDMN CC[N@@H+](Cc1cccc(C(=O)OC)n1)[C@@H](C)c1ccc(C#N)cc1 ZINC000582764909 324271332 /nfs/dbraw/zinc/27/13/32/324271332.db2.gz PDYCZFGCSOHLKC-AWEZNQCLSA-N 0 1 323.396 3.323 20 30 DGEDMN CCN(Cc1cccc(C(=O)OC)n1)[C@@H](C)c1ccc(C#N)cc1 ZINC000582764909 324271336 /nfs/dbraw/zinc/27/13/36/324271336.db2.gz PDYCZFGCSOHLKC-AWEZNQCLSA-N 0 1 323.396 3.323 20 30 DGEDMN C[C@@H]1CCC[C@H](CO)N1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000181392616 332193095 /nfs/dbraw/zinc/19/30/95/332193095.db2.gz MQUANYIBXFQMRT-CZUORRHYSA-N 0 1 311.347 3.292 20 30 DGEDMN C=CCCO[C@H](C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000531066910 332244814 /nfs/dbraw/zinc/24/48/14/332244814.db2.gz HQQFLBQAZHXGHZ-CZUORRHYSA-N 0 1 324.852 3.040 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1OC)[C@H](C)CCC#N ZINC000298003005 334245646 /nfs/dbraw/zinc/24/56/46/334245646.db2.gz YCYXGXNLYFTPOB-CQSZACIVSA-N 0 1 303.406 3.038 20 30 DGEDMN Cc1cccc2nc(C(C#N)=C([O-])c3cn4ccccc4[nH+]3)[nH]c21 ZINC000345393345 335322861 /nfs/dbraw/zinc/32/28/61/335322861.db2.gz IWCJTTRKSOMJHP-GFCCVEGCSA-N 0 1 315.336 3.009 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cccc([N+](=O)[O-])c3)[nH]c21 ZINC000345373751 335325749 /nfs/dbraw/zinc/32/57/49/335325749.db2.gz MFHBVKAOFWMJAP-ZDUSSCGKSA-N 0 1 320.308 3.270 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H]1CCCc2ccccc21 ZINC000532355537 336243001 /nfs/dbraw/zinc/24/30/01/336243001.db2.gz KRCPYIBBFMVPBI-CRAIPNDOSA-N 0 1 313.445 3.004 20 30 DGEDMN Cn1ccnc1[C@H](NCCCCC#N)c1ccc(Cl)cc1 ZINC000357407051 336584260 /nfs/dbraw/zinc/58/42/60/336584260.db2.gz XOTUWKHHUJJGPB-OAHLLOKOSA-N 0 1 302.809 3.446 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC000582494309 337098721 /nfs/dbraw/zinc/09/87/21/337098721.db2.gz MZSLZZZZSFPGCF-XJKSGUPXSA-N 0 1 306.837 3.415 20 30 DGEDMN CC#CCCN1CCN(Cc2cccc(C(F)(F)F)c2)CC1 ZINC000462317495 339075660 /nfs/dbraw/zinc/07/56/60/339075660.db2.gz URHPLVBQNZHBDN-UHFFFAOYSA-N 0 1 310.363 3.236 20 30 DGEDMN C=CCSc1ccccc1NC(=O)CN1C[C@@H](C)[C@H](C)C1 ZINC000464851094 339157656 /nfs/dbraw/zinc/15/76/56/339157656.db2.gz PYZNQCZJWFWKDZ-ZIAGYGMSSA-N 0 1 304.459 3.491 20 30 DGEDMN C=CCN1CC[C@@H](NC(C)(c2ccccc2)c2ccccc2)C1=O ZINC000499601805 340040460 /nfs/dbraw/zinc/04/04/60/340040460.db2.gz FNJBQBFHSBQHQZ-LJQANCHMSA-N 0 1 320.436 3.327 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000174717044 340395108 /nfs/dbraw/zinc/39/51/08/340395108.db2.gz JRSJCBHGPODTPI-OKILXGFUSA-N 0 1 319.836 3.459 20 30 DGEDMN Cc1ccccc1[C@H](C)NC(=O)[C@H](C)N1CCC(CC#N)CC1 ZINC000541024607 340956745 /nfs/dbraw/zinc/95/67/45/340956745.db2.gz OPYGAUFQXYBZSX-HOTGVXAUSA-N 0 1 313.445 3.186 20 30 DGEDMN C[C@@H](NC(=O)CN1CCC(CC#N)CC1)c1ccccc1Cl ZINC000542881037 341024860 /nfs/dbraw/zinc/02/48/60/341024860.db2.gz KRYQZCAPDROELN-CYBMUJFWSA-N 0 1 319.836 3.143 20 30 DGEDMN CCC[C@@H](NC(=O)CN1CCC(CC#N)CC1)c1ccccc1 ZINC000542881379 341025021 /nfs/dbraw/zinc/02/50/21/341025021.db2.gz OVZDMQYDTRCOJX-GOSISDBHSA-N 0 1 313.445 3.270 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000131644209 341105666 /nfs/dbraw/zinc/10/56/66/341105666.db2.gz FRISJRPRXFRBMT-LLVKDONJSA-N 0 1 316.792 3.352 20 30 DGEDMN CCCCN(C)[C@@H](C)C(=O)N(CCC#N)c1ccccc1OC ZINC000073601565 341118810 /nfs/dbraw/zinc/11/88/10/341118810.db2.gz ZPMBHCHUSYMPNT-HNNXBMFYSA-N 0 1 317.433 3.062 20 30 DGEDMN C=C(C)[C@@H](NCCOC[C@@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000548491935 341263908 /nfs/dbraw/zinc/26/39/08/341263908.db2.gz GHPKOPBXSAPMNH-WMLDXEAASA-N 0 1 311.372 3.367 20 30 DGEDMN COc1ccc([C@H]2C[C@H](NC[C@H](C#N)CCC#N)C2)cc1F ZINC000554527658 341456546 /nfs/dbraw/zinc/45/65/46/341456546.db2.gz JNIHQPUKMZOXRD-QEJZJMRPSA-N 0 1 301.365 3.113 20 30 DGEDMN Cc1ccc(CN2CCC(F)(C#N)CC2)c(-c2ccnn2C)c1 ZINC000348710867 533917951 /nfs/dbraw/zinc/91/79/51/533917951.db2.gz DWNPPFLGDXTFKN-UHFFFAOYSA-N 0 1 312.392 3.223 20 30 DGEDMN C[C@H](NCc1cccc(N2CCC2=O)c1)c1cccc(C#N)c1 ZINC000442079147 533926818 /nfs/dbraw/zinc/92/68/18/533926818.db2.gz GPSDLJXHFBOEFB-AWEZNQCLSA-N 0 1 305.381 3.146 20 30 DGEDMN C[C@@H]1N(Cc2cccc(OCCCC#N)c2)CCOC1(C)C ZINC000353883543 534091584 /nfs/dbraw/zinc/09/15/84/534091584.db2.gz USTWIAVFCJBJLY-HNNXBMFYSA-N 0 1 302.418 3.368 20 30 DGEDMN C[C@@H](N(C)CC(=O)Nc1ccccc1SCC#N)C1(C)CC1 ZINC000177219009 534285195 /nfs/dbraw/zinc/28/51/95/534285195.db2.gz LQOISXZGBLNDKE-CYBMUJFWSA-N 0 1 317.458 3.361 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(Cl)c(OC)cc1Cl ZINC000449519398 534608371 /nfs/dbraw/zinc/60/83/71/534608371.db2.gz MIAWAONXIVGMIY-OLZOCXBDSA-N 0 1 302.201 3.435 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(Cc1ccccc1)C(C)C ZINC000489496983 534693378 /nfs/dbraw/zinc/69/33/78/534693378.db2.gz NLJNUBBJZNDBIM-SFHVURJKSA-N 0 1 300.446 3.464 20 30 DGEDMN Cc1ccccc1CCN(C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000295783648 534806202 /nfs/dbraw/zinc/80/62/02/534806202.db2.gz UNHQNCNSWPZITB-MRXNPFEDSA-N 0 1 321.424 3.368 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1ccc2cncn2c1 ZINC000339829070 526312662 /nfs/dbraw/zinc/31/26/62/526312662.db2.gz NOAQQVYEUMPUHX-UHFFFAOYSA-N 0 1 305.381 3.205 20 30 DGEDMN C=CCN(Cc1cc2c(c(Br)c1)OCO2)C1CC1 ZINC000357532669 526332394 /nfs/dbraw/zinc/33/23/94/526332394.db2.gz USEWEBXZCBQSPY-UHFFFAOYSA-N 0 1 310.191 3.328 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)NCCCc1cn[nH]c1C ZINC000045540156 526370436 /nfs/dbraw/zinc/37/04/36/526370436.db2.gz XXSCHDSQVAWTED-UHFFFAOYSA-N 0 1 318.446 3.110 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCc3ccc(Cl)cc32)C1=O ZINC000337238923 526472251 /nfs/dbraw/zinc/47/22/51/526472251.db2.gz ZNEWNMXRZKKYHV-HZPDHXFCSA-N 0 1 304.821 3.046 20 30 DGEDMN C=CCN1CC[C@H](N(Cc2ccc(Cl)cc2)C2CC2)C1=O ZINC000337123522 526504679 /nfs/dbraw/zinc/50/46/79/526504679.db2.gz CFHHUIHAAQUTIR-INIZCTEOSA-N 0 1 304.821 3.091 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](C)c1ccc(SC)cc1 ZINC000337232461 526526333 /nfs/dbraw/zinc/52/63/33/526526333.db2.gz XZNPBNHHBWYQRX-AWEZNQCLSA-N 0 1 306.475 3.484 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)c1ccc2[nH]nnc2c1 ZINC000352197008 526567353 /nfs/dbraw/zinc/56/73/53/526567353.db2.gz JHZRUTQQHWCECX-UHFFFAOYSA-N 0 1 306.369 3.176 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1cccc(OCc2ccccc2)c1 ZINC000180034840 526571610 /nfs/dbraw/zinc/57/16/10/526571610.db2.gz RKQYAFIEJIQESD-INIZCTEOSA-N 0 1 324.424 3.218 20 30 DGEDMN C=C(CC)CN1CCCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000358497813 526852262 /nfs/dbraw/zinc/85/22/62/526852262.db2.gz KZWCLWDFUCBLJO-UHFFFAOYSA-N 0 1 306.837 3.454 20 30 DGEDMN C=CCOc1ccc(CN(C)[C@@H](C)C[S@](C)=O)cc1Cl ZINC000130890177 526860703 /nfs/dbraw/zinc/86/07/03/526860703.db2.gz DGGWAPSGRFCXSU-FKIZINRSSA-N 0 1 315.866 3.104 20 30 DGEDMN C=CCOc1ccc(CN2CCC(C#N)(COC)CC2)cc1 ZINC000342835384 526865443 /nfs/dbraw/zinc/86/54/43/526865443.db2.gz DYCCAUNMWCLVFI-UHFFFAOYSA-N 0 1 300.402 3.004 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)C(=O)N2CCCC2)cc1Cl ZINC000341536225 526888756 /nfs/dbraw/zinc/88/87/56/526888756.db2.gz VUBANEDZAOVLFY-CYBMUJFWSA-N 0 1 322.836 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC000331697578 526941306 /nfs/dbraw/zinc/94/13/06/526941306.db2.gz VCPTUQSOBWZINF-HNNXBMFYSA-N 0 1 324.428 3.390 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1cccc2nc(C)[nH]c21 ZINC000338533772 526948423 /nfs/dbraw/zinc/94/84/23/526948423.db2.gz AQHZXXOLQYLLBM-UHFFFAOYSA-N 0 1 321.380 3.366 20 30 DGEDMN C=CCOc1cccnc1C(=O)Nc1cc2cn[nH]c2cc1C ZINC000360452254 526968143 /nfs/dbraw/zinc/96/81/43/526968143.db2.gz QXQLIRAWFCQSFW-UHFFFAOYSA-N 0 1 308.341 3.083 20 30 DGEDMN C=CCOc1cccnc1C(=O)Nc1cc(Cl)c(O)cc1F ZINC000345701234 526968572 /nfs/dbraw/zinc/96/85/72/526968572.db2.gz NUVJZKFRICLBDX-UHFFFAOYSA-N 0 1 322.723 3.397 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000432561960 527004535 /nfs/dbraw/zinc/00/45/35/527004535.db2.gz GSLOBNPYWFBRIX-UHFFFAOYSA-N 0 1 306.454 3.451 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@H](c1cccs1)N(C)C ZINC000432559440 527008202 /nfs/dbraw/zinc/00/82/02/527008202.db2.gz RRYHOMSYSIFYMN-CYBMUJFWSA-N 0 1 309.479 3.252 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000179354037 527022874 /nfs/dbraw/zinc/02/28/74/527022874.db2.gz ZNJUDBLKUAIHJS-HNNXBMFYSA-N 0 1 314.433 3.157 20 30 DGEDMN C#CCOc1ccc(CNCc2cccc(OC)c2OC)cc1 ZINC000113324694 527105726 /nfs/dbraw/zinc/10/57/26/527105726.db2.gz PAQYTEUOQZMTOG-UHFFFAOYSA-N 0 1 311.381 3.006 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000342794375 527266867 /nfs/dbraw/zinc/26/68/67/527266867.db2.gz YHTFBMMJCAZPJH-HIFRSBDPSA-N 0 1 309.479 3.395 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)c1cc(-c2ccc(OC)cc2)[nH]n1 ZINC000428043465 527454260 /nfs/dbraw/zinc/45/42/60/527454260.db2.gz GVILIEVUVCEBMW-CQSZACIVSA-N 0 1 311.385 3.266 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)[C@@H](CC)N(C)Cc1ccccc1 ZINC000356053647 527454455 /nfs/dbraw/zinc/45/44/55/527454455.db2.gz HYXQEVJJVNYDIB-QZTJIDSGSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCC[C@H](O)CN1CCc2cccc(Br)c2C1 ZINC000177524500 527492974 /nfs/dbraw/zinc/49/29/74/527492974.db2.gz WTHDESBCQKQQJT-ZDUSSCGKSA-N 0 1 310.235 3.134 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(-c2cccc(Br)c2)o1 ZINC000352473018 527592369 /nfs/dbraw/zinc/59/23/69/527592369.db2.gz NPKULXZLZSMJQD-CYBMUJFWSA-N 0 1 322.202 3.346 20 30 DGEDMN CC(C)C1CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC1 ZINC000441435711 527650877 /nfs/dbraw/zinc/65/08/77/527650877.db2.gz NIWRXQAIEPXRIG-UHFFFAOYSA-N 0 1 305.466 3.039 20 30 DGEDMN CC(C)CCN1Cc2cccc(NC(=O)CSCC#N)c2C1 ZINC000171073359 527728737 /nfs/dbraw/zinc/72/87/37/527728737.db2.gz SQAUCLLGNJQJHV-UHFFFAOYSA-N 0 1 317.458 3.244 20 30 DGEDMN CCN(C)[C@H](C(=O)NCC(C)(C)CCC#N)c1ccccc1 ZINC000360411298 527863775 /nfs/dbraw/zinc/86/37/75/527863775.db2.gz OKSJCNSBXIGBMW-INIZCTEOSA-N 0 1 301.434 3.126 20 30 DGEDMN CCCN(CC(=O)N(CCC#N)c1cccc(C)c1C)C1CC1 ZINC000170900753 527887270 /nfs/dbraw/zinc/88/72/70/527887270.db2.gz BPDPUYQQZIIESJ-UHFFFAOYSA-N 0 1 313.445 3.425 20 30 DGEDMN CC(C)CN(CC(=O)Nc1ccccc1SCC#N)C1CC1 ZINC000173188801 527923591 /nfs/dbraw/zinc/92/35/91/527923591.db2.gz ZKHZUTHJIUDNKM-UHFFFAOYSA-N 0 1 317.458 3.361 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)C[C@H](CC#N)c2ccccc2)C[C@@H]1C ZINC000356610440 527967070 /nfs/dbraw/zinc/96/70/70/527967070.db2.gz AJGMYBCFYKVAHX-BQFCYCMXSA-N 0 1 313.445 3.015 20 30 DGEDMN CC(C)c1ccc(C(=O)C(C#N)c2nnc3n2CCCCC3)nc1 ZINC000355096293 528081501 /nfs/dbraw/zinc/08/15/01/528081501.db2.gz JHHJQKLSVPOGJY-AWEZNQCLSA-N 0 1 323.400 3.013 20 30 DGEDMN CC(C)c1ccc(CN(C)[C@@H](C)C(=O)NC2(C#N)CCC2)cc1 ZINC000345852995 528092884 /nfs/dbraw/zinc/09/28/84/528092884.db2.gz LQMFMMFENNDPEY-HNNXBMFYSA-N 0 1 313.445 3.193 20 30 DGEDMN CCN(CCc1cccs1)CC(=O)Nc1sccc1C#N ZINC000299387799 528597552 /nfs/dbraw/zinc/59/75/52/528597552.db2.gz IUOBCQOKZSSYHX-UHFFFAOYSA-N 0 1 319.455 3.184 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN([C@@H](C)c1ccccc1)C1CC1 ZINC000352837271 528619711 /nfs/dbraw/zinc/61/97/11/528619711.db2.gz DEZDHEJDFRLQNV-HOTGVXAUSA-N 0 1 313.445 3.220 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CNC(C)(C)c1cccc(Cl)c1 ZINC000179601814 528626766 /nfs/dbraw/zinc/62/67/66/528626766.db2.gz LEBMTLFNSSQFFS-ZDUSSCGKSA-N 0 1 321.852 3.173 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)[C@H](c1ccccc1)N(CC)CC ZINC000343229125 528630780 /nfs/dbraw/zinc/63/07/80/528630780.db2.gz WKQYCOAXNIVXPF-RDJZCZTQSA-N 0 1 301.434 3.078 20 30 DGEDMN CC(C)N1CCN([C@@H](C#N)c2ccc(Br)cc2)CC1 ZINC000354878552 529044240 /nfs/dbraw/zinc/04/42/40/529044240.db2.gz JDUQULZLTAUZSP-HNNXBMFYSA-N 0 1 322.250 3.040 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccccc1SC[C@@H](C)C#N)N(C)C ZINC000298866957 529293654 /nfs/dbraw/zinc/29/36/54/529293654.db2.gz JWKDWYKXSMCEMA-JSGCOSHPSA-N 0 1 305.447 3.217 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)C[C@@H](C)CO ZINC000120755316 545890085 /nfs/dbraw/zinc/89/00/85/545890085.db2.gz UMKHQLXCYZMJKK-LLVKDONJSA-N 0 1 316.214 3.205 20 30 DGEDMN C[C@@H](C1CC1)N(C)CC(=O)c1cn(CCC#N)c2ccccc12 ZINC000174833801 546108618 /nfs/dbraw/zinc/10/86/18/546108618.db2.gz CAZGMIZNFRTXBU-AWEZNQCLSA-N 0 1 309.413 3.468 20 30 DGEDMN Cc1cccc(F)c1S(=O)(=O)Nc1ccc(CC#N)cc1 ZINC000186935327 546197750 /nfs/dbraw/zinc/19/77/50/546197750.db2.gz IOALLOQOVJPEBA-UHFFFAOYSA-N 0 1 304.346 3.001 20 30 DGEDMN COc1nc(C)cc(C)c1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000329166734 546483683 /nfs/dbraw/zinc/48/36/83/546483683.db2.gz IDQPTOZSCYLETK-LBPRGKRZSA-N 0 1 314.389 3.007 20 30 DGEDMN N#CC(C(=O)c1cncc(F)c1)c1nc2cc(F)ccc2s1 ZINC000675270859 548180760 /nfs/dbraw/zinc/18/07/60/548180760.db2.gz QLBNBTCTHHNRCW-NSHDSACASA-N 0 1 315.304 3.460 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)c2cnc3nc[nH]c3c2)c1 ZINC000677877574 548570761 /nfs/dbraw/zinc/57/07/61/548570761.db2.gz GTBBQBDSVYJWTD-UHFFFAOYSA-N 0 1 308.341 3.165 20 30 DGEDMN C=CCCN(C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000682430810 549322613 /nfs/dbraw/zinc/32/26/13/549322613.db2.gz ROTBIEYYKWFNPW-HNNXBMFYSA-N 0 1 309.841 3.160 20 30 DGEDMN COCc1cncc(C(=O)C(C#N)c2nccc3ccccc32)c1 ZINC000682995461 549410497 /nfs/dbraw/zinc/41/04/97/549410497.db2.gz WWKPAFGFLDZMOM-KRWDZBQOSA-N 0 1 317.348 3.266 20 30 DGEDMN CCC#C[C@H](C)N[C@@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000683144417 549438796 /nfs/dbraw/zinc/43/87/96/549438796.db2.gz JGKUKVXEOISLQH-UXEPBGEESA-N 0 1 322.811 3.142 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1ccccc1Nc1ccncc1 ZINC000684850950 549707638 /nfs/dbraw/zinc/70/76/38/549707638.db2.gz WHAHSFFKSGABLY-AWEZNQCLSA-N 0 1 311.385 3.167 20 30 DGEDMN COc1ccc(C=NNc2cc(C)nc(C)n2)c(Cl)c1OC ZINC000730433592 574529174 /nfs/dbraw/zinc/52/91/74/574529174.db2.gz PWCLOABCZRQRSY-UHFFFAOYSA-N 0 1 320.780 3.210 20 30 DGEDMN CCc1cc(NN=Cc2csc(C)n2)nc(-c2ccccn2)n1 ZINC000730463974 574529867 /nfs/dbraw/zinc/52/98/67/574529867.db2.gz ZXTMHGKTTIESRE-UHFFFAOYSA-N 0 1 324.413 3.312 20 30 DGEDMN CCOCCNC(=S)Nc1ccccc1SCCC#N ZINC000730952950 574539359 /nfs/dbraw/zinc/53/93/59/574539359.db2.gz GNVNYDIWFQFBBJ-UHFFFAOYSA-N 0 1 309.460 3.015 20 30 DGEDMN Cc1cccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1C ZINC000731148962 574543911 /nfs/dbraw/zinc/54/39/11/574543911.db2.gz BTLLOVWTLHEIGM-UHFFFAOYSA-N 0 1 300.309 3.085 20 30 DGEDMN COc1cc(C(C)=NNc2ccc(F)cn2)cc(OC)c1OC ZINC000731321570 574547113 /nfs/dbraw/zinc/54/71/13/574547113.db2.gz KVWUCNWZSLGXFS-UHFFFAOYSA-N 0 1 319.336 3.083 20 30 DGEDMN COC[C@@H](C)NC(=S)Nc1ccccc1S[C@@H](C)CC#N ZINC000731321766 574547209 /nfs/dbraw/zinc/54/72/09/574547209.db2.gz RTEWNIMBBDEMCB-NEPJUHHUSA-N 0 1 323.487 3.402 20 30 DGEDMN CC(=NNc1ccc(Cl)cc1[N+](=O)[O-])c1cnc(C)nc1C ZINC000741461958 574549308 /nfs/dbraw/zinc/54/93/08/574549308.db2.gz UZXVOFHIEFJMDN-UHFFFAOYSA-N 0 1 319.752 3.491 20 30 DGEDMN CCc1ccc(C=NNc2ccccc2S(C)(=O)=O)cc1 ZINC000731933905 574562781 /nfs/dbraw/zinc/56/27/81/574562781.db2.gz SXICEXXTIXDAFY-UHFFFAOYSA-N 0 1 302.399 3.099 20 30 DGEDMN CC(N=Nc1ccccc1S(C)(=O)=O)c1ccc(F)cc1F ZINC000731933492 574562817 /nfs/dbraw/zinc/56/28/17/574562817.db2.gz OAZPEXGZWKHFJK-UHFFFAOYSA-N 0 1 324.352 3.204 20 30 DGEDMN C[C@H]1C[C@H]1c1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)o1 ZINC000732764639 574581683 /nfs/dbraw/zinc/58/16/83/574581683.db2.gz UHBXXMQMLPSXFC-POYBYMJQSA-N 0 1 316.308 3.184 20 30 DGEDMN CC(C)c1ccc2c(c1)C(=NNc1nccnc1Cl)C(=O)N2 ZINC000733079289 574594963 /nfs/dbraw/zinc/59/49/63/574594963.db2.gz DQHJXRUFMNXDFZ-UHFFFAOYSA-N 0 1 315.764 3.022 20 30 DGEDMN CC(C)C[C@H]1COCCN1CCCOc1ccc(C#N)cc1 ZINC000733797389 574639474 /nfs/dbraw/zinc/63/94/74/574639474.db2.gz WEAONZJVDZJQCP-KRWDZBQOSA-N 0 1 302.418 3.074 20 30 DGEDMN C#CCOc1ccccc1CNCc1c[nH]nc1-c1ccc(C)o1 ZINC000734159255 574653349 /nfs/dbraw/zinc/65/33/49/574653349.db2.gz JYOJUGMGVKWZCC-UHFFFAOYSA-N 0 1 321.380 3.280 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000734159195 574653373 /nfs/dbraw/zinc/65/33/73/574653373.db2.gz IULBMAAJGZZMNU-UHFFFAOYSA-N 0 1 310.353 3.205 20 30 DGEDMN Fc1cc(F)c(N=NCc2cccc3c2OCCO3)cc1F ZINC000734301695 574655570 /nfs/dbraw/zinc/65/55/70/574655570.db2.gz LFFAFXPXJFLOJG-UHFFFAOYSA-N 0 1 308.259 3.321 20 30 DGEDMN CC(=O)Nc1ccsc1C=NNc1ccccc1[N+](=O)[O-] ZINC000735409553 574701276 /nfs/dbraw/zinc/70/12/76/574701276.db2.gz ZFEZJQFBLXWKET-UHFFFAOYSA-N 0 1 304.331 3.061 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H]2CSc3ccccc32)nc(C)n1 ZINC000735769227 574713809 /nfs/dbraw/zinc/71/38/09/574713809.db2.gz HQDZEOVFZRXOCL-UONOGXRCSA-N 0 1 309.394 3.159 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)COc3ccccc3C)[nH]c21 ZINC000735791618 574715006 /nfs/dbraw/zinc/71/50/06/574715006.db2.gz AECWJIALXJOBGO-AWEZNQCLSA-N 0 1 319.364 3.435 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3CC3(Cl)Cl)[nH]c21 ZINC000735790579 574715127 /nfs/dbraw/zinc/71/51/27/574715127.db2.gz NMIZOKQRTFQLGY-DTWKUNHWSA-N 0 1 308.168 3.241 20 30 DGEDMN Cc1cccc(OCC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000735793810 574715750 /nfs/dbraw/zinc/71/57/50/574715750.db2.gz ZKOYVGXWPXJRBF-OAHLLOKOSA-N 0 1 319.364 3.435 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000739138936 574892884 /nfs/dbraw/zinc/89/28/84/574892884.db2.gz AAKOTZMTPKDROB-GOSISDBHSA-N 0 1 306.365 3.274 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccccc2F)nc(C)n1 ZINC000739144728 574892927 /nfs/dbraw/zinc/89/29/27/574892927.db2.gz WIDOHOBTRJFCKW-XHDPSFHLSA-N 0 1 311.360 3.288 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccccc2F)nc(C)n1 ZINC000739144727 574893014 /nfs/dbraw/zinc/89/30/14/574893014.db2.gz WIDOHOBTRJFCKW-NHYWBVRUSA-N 0 1 311.360 3.288 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC000741665616 574966016 /nfs/dbraw/zinc/96/60/16/574966016.db2.gz SPDOTSRUUDAYRC-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000741727064 574967863 /nfs/dbraw/zinc/96/78/63/574967863.db2.gz HDEFKFJNDGOBRK-UHFFFAOYSA-N 0 1 323.327 3.275 20 30 DGEDMN C(=NNc1nc(-c2ccccn2)nc2c1CCC2)c1ccco1 ZINC000741813314 574972387 /nfs/dbraw/zinc/97/23/87/574972387.db2.gz NXEHTXGSWGROHQ-UHFFFAOYSA-N 0 1 305.341 3.066 20 30 DGEDMN COc1cc(OC)c(CN=Nc2cccc(F)c2F)c(OC)c1 ZINC000743442813 575051838 /nfs/dbraw/zinc/05/18/38/575051838.db2.gz HWBJNGVHNQQYLQ-UHFFFAOYSA-N 0 1 322.311 3.437 20 30 DGEDMN COc1ccc(CN=Nc2cccc(F)c2F)c(OC)c1OC ZINC000743443905 575052023 /nfs/dbraw/zinc/05/20/23/575052023.db2.gz XCSRVKKUISIQKP-UHFFFAOYSA-N 0 1 322.311 3.437 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000744001276 575068511 /nfs/dbraw/zinc/06/85/11/575068511.db2.gz RNTFXEAUQKBCQT-UHFFFAOYSA-N 0 1 323.327 3.084 20 30 DGEDMN C#CCN(CC(=O)N[C@H](C)c1cccs1)C1CCCCC1 ZINC000744212549 575078660 /nfs/dbraw/zinc/07/86/60/575078660.db2.gz IZOSAHLIEQTJAF-CQSZACIVSA-N 0 1 304.459 3.193 20 30 DGEDMN C=CCOCC(=O)C(C#N)c1nc(-c2ccc(C#N)cc2)cs1 ZINC000729892699 575265778 /nfs/dbraw/zinc/26/57/78/575265778.db2.gz HMOLPVAYPHQJJO-CQSZACIVSA-N 0 1 323.377 3.061 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)c1ccc(F)cc1C ZINC000746662757 575467969 /nfs/dbraw/zinc/46/79/69/575467969.db2.gz IOUUXQYEVNSTPZ-IBGZPJMESA-N 0 1 315.363 3.442 20 30 DGEDMN Cc1ccc(-c2ccc(C=NNc3nccn(C)c3=O)s2)cc1 ZINC000748081261 575559907 /nfs/dbraw/zinc/55/99/07/575559907.db2.gz VQACESHEDZLNHF-UHFFFAOYSA-N 0 1 324.409 3.263 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CSc2ccc3c(c2)CCC3)c1 ZINC000748410838 575585085 /nfs/dbraw/zinc/58/50/85/575585085.db2.gz FTPWVXLDGNMVKD-UHFFFAOYSA-N 0 1 324.405 3.483 20 30 DGEDMN CCO[C@@H](C)c1ncc(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000748411973 575585130 /nfs/dbraw/zinc/58/51/30/575585130.db2.gz FRJGVQZPUAJQNJ-VIFPVBQESA-N 0 1 317.370 3.070 20 30 DGEDMN CCOc1ccc([N+](=O)[O-])cc1C=NNc1ncccc1Cl ZINC000748585286 575595079 /nfs/dbraw/zinc/59/50/79/575595079.db2.gz GHRVGLCIHMYMMS-UHFFFAOYSA-N 0 1 320.736 3.488 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2coc3cc(F)ccc23)nc(C)n1 ZINC000749026326 575621856 /nfs/dbraw/zinc/62/18/56/575621856.db2.gz NVJKGFVEADKGES-HNNXBMFYSA-N 0 1 323.327 3.398 20 30 DGEDMN COc1ccc2c(c1)OCC2=NNc1ccc2ccccc2n1 ZINC000749156417 575631264 /nfs/dbraw/zinc/63/12/64/575631264.db2.gz DAKARXKXDZTULN-UHFFFAOYSA-N 0 1 305.337 3.452 20 30 DGEDMN C=C(Br)CNC1(C(=O)N(CC)CC)CCCCC1 ZINC000749324796 575645464 /nfs/dbraw/zinc/64/54/64/575645464.db2.gz OKMXFOKECQWVKM-UHFFFAOYSA-N 0 1 317.271 3.056 20 30 DGEDMN O=C(C=Cc1cc(F)c(O)c(F)c1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000749890785 575685210 /nfs/dbraw/zinc/68/52/10/575685210.db2.gz BECPWWUHOKCLJC-RJRFIUFISA-N 0 1 316.263 3.148 20 30 DGEDMN Cc1ccc(C=NN=c2[nH]c3ccccc3n2C)cc1[N+](=O)[O-] ZINC000728776133 576201082 /nfs/dbraw/zinc/20/10/82/576201082.db2.gz JQZKAZOZXVESAY-UHFFFAOYSA-N 0 1 309.329 3.236 20 30 DGEDMN C#CCCN(CCOC)Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000852751395 620646177 /nfs/dbraw/zinc/64/61/77/620646177.db2.gz AMJMXTKYJDAERT-UHFFFAOYSA-N 0 1 318.804 3.467 20 30 DGEDMN Clc1cc(C=NN=c2[nH]c3ccccc3[nH]2)cc2c1OCO2 ZINC000917024482 620652399 /nfs/dbraw/zinc/65/23/99/620652399.db2.gz HMJDRDSRPSWMER-UHFFFAOYSA-N 0 1 314.732 3.391 20 30 DGEDMN C[C@](C#N)(NC(=O)CN1CCC(C2CCCCC2)CC1)C1CC1 ZINC000917059203 620654249 /nfs/dbraw/zinc/65/42/49/620654249.db2.gz BTZMGQWVAUWUEI-LJQANCHMSA-N 0 1 317.477 3.087 20 30 DGEDMN CCOc1ccc(/C=N\C[C@@H]2CCN2Cc2ccccc2)c(O)c1 ZINC000852997647 620658575 /nfs/dbraw/zinc/65/85/75/620658575.db2.gz AECXDPPSQJAWIF-PKIWBCANSA-N 0 1 324.424 3.484 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(C2CCCCC2)CC1 ZINC000917134497 620657928 /nfs/dbraw/zinc/65/79/28/620657928.db2.gz VLAOQHYTGYKQCK-INIZCTEOSA-N 0 1 319.493 3.287 20 30 DGEDMN C=CCN(CC(=O)NC[C@H](c1ccccc1)C(C)C)C(C)C ZINC000917168451 620659175 /nfs/dbraw/zinc/65/91/75/620659175.db2.gz SEGCLZYPQPTZBF-SFHVURJKSA-N 0 1 302.462 3.439 20 30 DGEDMN CC(N=Nc1ccc([N+](=O)[O-])cc1)c1ccc(-n2cncn2)cc1 ZINC000917208417 620659845 /nfs/dbraw/zinc/65/98/45/620659845.db2.gz AMJQKRCCDKKYDM-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN Fc1cc2nc(N=NCc3ccc4c(c3)CCO4)[nH]c2cc1F ZINC000917546416 620673505 /nfs/dbraw/zinc/67/35/05/620673505.db2.gz XVWDAZKCBMXLCP-UHFFFAOYSA-N 0 1 314.295 3.222 20 30 DGEDMN C(=NN=c1ccc2ccccc2[nH]1)c1cccc2c1OCCO2 ZINC000917531830 620674275 /nfs/dbraw/zinc/67/42/75/620674275.db2.gz FVUSSUWEZGJFGN-UHFFFAOYSA-N 0 1 305.337 3.452 20 30 DGEDMN Cc1nc(C#N)c(N=NCc2cc(Cl)cc(Cl)c2O)o1 ZINC000917554987 620675451 /nfs/dbraw/zinc/67/54/51/620675451.db2.gz HZZRZXJINOOGCN-UHFFFAOYSA-N 0 1 311.128 3.313 20 30 DGEDMN C#CCCCC(=O)O[C@@H](CN(C)C)c1cc(F)c(F)c(F)c1 ZINC000853212761 620677748 /nfs/dbraw/zinc/67/77/48/620677748.db2.gz FHROGYFVGBGYSA-AWEZNQCLSA-N 0 1 313.319 3.053 20 30 DGEDMN Cn1ncc(C2CC2)c1C=NNc1ccc(C(F)(F)F)cn1 ZINC000853262858 620682998 /nfs/dbraw/zinc/68/29/98/620682998.db2.gz MYUYZKSAWRXXTH-UHFFFAOYSA-N 0 1 309.295 3.157 20 30 DGEDMN CC(C)(C)n1nnc(CN=Nc2cc(Cl)ccc2Cl)n1 ZINC000853315525 620686138 /nfs/dbraw/zinc/68/61/38/620686138.db2.gz UUSXFBQWKOCNHK-UHFFFAOYSA-N 0 1 313.192 3.181 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1N=NCc1cnc2n1CCC2 ZINC000853324475 620686671 /nfs/dbraw/zinc/68/66/71/620686671.db2.gz HPNLYOAHBLCANF-UHFFFAOYSA-N 0 1 312.270 3.433 20 30 DGEDMN CCCCCCCCCNC(=O)N1CCN(CC2CC2)CC1 ZINC000918195196 620701319 /nfs/dbraw/zinc/70/13/19/620701319.db2.gz UXXLIHGBONZNCI-UHFFFAOYSA-N 0 1 309.498 3.474 20 30 DGEDMN Cc1cccc(C)c1[C@H]1CCC[N@H+]1Cn1cccc(C#N)c1=O ZINC000853524079 620706082 /nfs/dbraw/zinc/70/60/82/620706082.db2.gz VWVDNPHIIDPVCO-QGZVFWFLSA-N 0 1 307.397 3.131 20 30 DGEDMN Cc1cccc(C)c1[C@H]1CCCN1Cn1cccc(C#N)c1=O ZINC000853524079 620706085 /nfs/dbraw/zinc/70/60/85/620706085.db2.gz VWVDNPHIIDPVCO-QGZVFWFLSA-N 0 1 307.397 3.131 20 30 DGEDMN C=CC[C@H]1CCCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)C1 ZINC000853536979 620707782 /nfs/dbraw/zinc/70/77/82/620707782.db2.gz LNLKRMBBHTYCDH-RDJZCZTQSA-N 0 1 316.420 3.387 20 30 DGEDMN C=CC[C@@H]1CCCN(CN2C[C@@H](c3ccccc3F)CC2=O)C1 ZINC000853536366 620707915 /nfs/dbraw/zinc/70/79/15/620707915.db2.gz BJFLKCQXAIIZEO-CVEARBPZSA-N 0 1 316.420 3.387 20 30 DGEDMN C=CC[C@@H]1CCCN(CN2C[C@H](c3cccc(F)c3)CC2=O)C1 ZINC000853536978 620708153 /nfs/dbraw/zinc/70/81/53/620708153.db2.gz LNLKRMBBHTYCDH-NVXWUHKLSA-N 0 1 316.420 3.387 20 30 DGEDMN CCN(Cc1c2c(nn1C)CCC2)[C@H](C)c1ccc(C#N)cc1 ZINC000891656821 617861684 /nfs/dbraw/zinc/86/16/84/617861684.db2.gz PJAAJLYACNTYCG-CQSZACIVSA-N 0 1 308.429 3.364 20 30 DGEDMN CCN(Cc1csc(C#N)c1)C[C@@H](O)c1ccc(C)cc1 ZINC000892105583 617963284 /nfs/dbraw/zinc/96/32/84/617963284.db2.gz NTXDHGSWQGBQPR-QGZVFWFLSA-N 0 1 300.427 3.484 20 30 DGEDMN CC[C@@H](O)CN(Cc1csc(C#N)c1)Cc1ccccc1 ZINC000892119418 617966595 /nfs/dbraw/zinc/96/65/95/617966595.db2.gz CBWSOEOQFAYXSE-MRXNPFEDSA-N 0 1 300.427 3.393 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3ccccc3OCC#N)cc2[nH]1 ZINC000919812362 620767243 /nfs/dbraw/zinc/76/72/43/620767243.db2.gz IUYRAEWUGWYGSK-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN CC(C)(CC(=O)[C@H](C#N)C(=O)NC1CCCCC1)CC(F)F ZINC000892495703 618082021 /nfs/dbraw/zinc/08/20/21/618082021.db2.gz RVXDRPNIHZYQSV-LBPRGKRZSA-N 0 1 314.376 3.216 20 30 DGEDMN Cc1cc(C2(C(=O)C(C#N)c3nc4ccccc4o3)CC2)on1 ZINC000892553437 618107203 /nfs/dbraw/zinc/10/72/03/618107203.db2.gz NEAAFTMAKDROIN-LLVKDONJSA-N 0 1 307.309 3.032 20 30 DGEDMN C=C1CCN(C(=O)NC[C@H](c2ccc(C)o2)N2CCCC2)CC1 ZINC000892625723 618121197 /nfs/dbraw/zinc/12/11/97/618121197.db2.gz GLBKUXGDLMIWCJ-MRXNPFEDSA-N 0 1 317.433 3.087 20 30 DGEDMN Oc1cc2c(cc1C=NNc1nc3ccccc3s1)OCO2 ZINC000920356291 620797476 /nfs/dbraw/zinc/79/74/76/620797476.db2.gz JXNLDKMTUPQPGQ-UHFFFAOYSA-N 0 1 313.338 3.177 20 30 DGEDMN C(=NNCCN1CCCCC1)c1csc(-c2ccsc2)n1 ZINC000920375094 620797706 /nfs/dbraw/zinc/79/77/06/620797706.db2.gz OWWDKGNYULZZNZ-UHFFFAOYSA-N 0 1 320.487 3.281 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1CNCc1ccc(C#N)c(F)c1 ZINC000894775593 618451848 /nfs/dbraw/zinc/45/18/48/618451848.db2.gz NREPBEBGOYGPIM-UHFFFAOYSA-N 0 1 300.381 3.381 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2csc(C#N)c2)C1 ZINC000894809777 618463277 /nfs/dbraw/zinc/46/32/77/618463277.db2.gz ADAWBNBZDJGWJO-MRXNPFEDSA-N 0 1 306.431 3.173 20 30 DGEDMN C#C[C@@H](NCC1=CCCOC1)c1ccc(Br)cc1 ZINC000894871933 618484422 /nfs/dbraw/zinc/48/44/22/618484422.db2.gz YLCPZRNRYYVWTM-OAHLLOKOSA-N 0 1 306.203 3.060 20 30 DGEDMN O=C1[C@@H](NCc2ccc(-c3cccc(F)c3)s2)CCCN1O ZINC000895165269 618562023 /nfs/dbraw/zinc/56/20/23/618562023.db2.gz LCTVECBHFIMPCM-AWEZNQCLSA-N 0 1 320.389 3.024 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000151589731 618566105 /nfs/dbraw/zinc/56/61/05/618566105.db2.gz KMVOICNLHWAJLZ-OAHLLOKOSA-N 0 1 302.374 3.169 20 30 DGEDMN Cc1cc(F)c(C#N)c(NC2CCN(Cc3cccnc3)CC2)c1 ZINC000893521394 618582399 /nfs/dbraw/zinc/58/23/99/618582399.db2.gz XMOCGFSBXPGAMZ-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc3oc(=O)oc3c2)C2CC2)cc1 ZINC000893929086 618677829 /nfs/dbraw/zinc/67/78/29/618677829.db2.gz LOCZQYOKDVHCIF-UHFFFAOYSA-N 0 1 320.348 3.422 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccc3oc(=O)oc3c2)C2CC2)cc1 ZINC000893929086 618677830 /nfs/dbraw/zinc/67/78/30/618677830.db2.gz LOCZQYOKDVHCIF-UHFFFAOYSA-N 0 1 320.348 3.422 20 30 DGEDMN C#CC1(O)CCN(C[C@@H](C)c2cccc(Br)c2)CC1 ZINC000895384349 618776999 /nfs/dbraw/zinc/77/69/99/618776999.db2.gz KUSSEDINASBMQJ-CYBMUJFWSA-N 0 1 322.246 3.013 20 30 DGEDMN N#Cc1c(N[C@@H]2CCCN3CCSC[C@@H]23)cccc1C(F)F ZINC000895571092 618800218 /nfs/dbraw/zinc/80/02/18/618800218.db2.gz ZOZBUOOOWRKQQL-CABCVRRESA-N 0 1 323.412 3.488 20 30 DGEDMN C=C(C)C[C@@H](NCc1cnc(-c2ccccc2)[nH]1)C(=O)OCC ZINC000895598221 618804133 /nfs/dbraw/zinc/80/41/33/618804133.db2.gz PJVDGCAMCQKIOE-MRXNPFEDSA-N 0 1 313.401 3.064 20 30 DGEDMN C#CCN1CCC(Nc2nccc3cc(F)c(F)cc32)CC1 ZINC000895810437 618832425 /nfs/dbraw/zinc/83/24/25/618832425.db2.gz DKMOCTUIHKKMTF-UHFFFAOYSA-N 0 1 301.340 3.023 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)NCc1nnc(C2CC2)s1 ZINC000896633033 618937602 /nfs/dbraw/zinc/93/76/02/618937602.db2.gz NEMLWYBYAWDGNN-UHFFFAOYSA-N 0 1 312.442 3.398 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H]1CCC[C@H](C(F)(F)F)O1 ZINC000896820674 618965021 /nfs/dbraw/zinc/96/50/21/618965021.db2.gz AMLAUINJBNIWEA-BXUZGUMPSA-N 0 1 316.298 3.287 20 30 DGEDMN Cc1nccc(N2CCO[C@@H](c3ccc(C#N)cc3)C2)c1Cl ZINC000896872507 618973375 /nfs/dbraw/zinc/97/33/75/618973375.db2.gz HZGIJVVBNGFQAM-MRXNPFEDSA-N 0 1 313.788 3.493 20 30 DGEDMN C=C1CC(c2noc([C@@H]3CCCN(Cc4cccnc4)C3)n2)C1 ZINC000896883876 618975101 /nfs/dbraw/zinc/97/51/01/618975101.db2.gz HIKMMCPKMSUPDD-OAHLLOKOSA-N 0 1 310.401 3.278 20 30 DGEDMN C=C1CC(C)(c2noc([C@@H]3CCCN(Cc4cccnc4)C3)n2)C1 ZINC000896915083 618984611 /nfs/dbraw/zinc/98/46/11/618984611.db2.gz AFWXAJLHJLEUCO-MRXNPFEDSA-N 0 1 324.428 3.452 20 30 DGEDMN C=C1CC(C)(c2noc([C@H]3CCCN(Cc4cccnc4)C3)n2)C1 ZINC000896915081 618984852 /nfs/dbraw/zinc/98/48/52/618984852.db2.gz AFWXAJLHJLEUCO-INIZCTEOSA-N 0 1 324.428 3.452 20 30 DGEDMN N#C[C@]1(C(=O)Nc2ccc(F)c(F)c2O)CC2CCC1CC2 ZINC000909571475 618997358 /nfs/dbraw/zinc/99/73/58/618997358.db2.gz COPIGCUCNCQVNJ-UDUZUONDSA-N 0 1 306.312 3.329 20 30 DGEDMN C#CCCOc1ccc(CNCc2cnn(CC3CCC3)c2)cc1 ZINC000897433515 619119120 /nfs/dbraw/zinc/11/91/20/619119120.db2.gz YCXMXPBGOKFKND-UHFFFAOYSA-N 0 1 323.440 3.375 20 30 DGEDMN CN(Cc1cnc[nH]1)C(=O)Nc1scc(C(C)(C)C)c1C#N ZINC000888225626 619145488 /nfs/dbraw/zinc/14/54/88/619145488.db2.gz AFLULKUNJOFVLQ-UHFFFAOYSA-N 0 1 317.418 3.304 20 30 DGEDMN C[C@@]1(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CCCS1 ZINC000897596417 619164551 /nfs/dbraw/zinc/16/45/51/619164551.db2.gz PRPURQLCWPIBIJ-SJORKVTESA-N 0 1 302.443 3.217 20 30 DGEDMN Cc1c(Cl)cccc1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000897599203 619165338 /nfs/dbraw/zinc/16/53/38/619165338.db2.gz FLHCTEZHUFKSMP-UHFFFAOYSA-N 0 1 305.805 3.486 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2cccc3c2OCC[C@H]3N(C)C)cc1 ZINC000897604783 619165696 /nfs/dbraw/zinc/16/56/96/619165696.db2.gz XYSOAEBVCQYWAU-GOSISDBHSA-N 0 1 320.392 3.305 20 30 DGEDMN CSc1ccccc1C[C@@H](C)NCc1nc(C#N)cs1 ZINC000897628031 619168762 /nfs/dbraw/zinc/16/87/62/619168762.db2.gz ASEPLHUHUDWOOI-LLVKDONJSA-N 0 1 303.456 3.457 20 30 DGEDMN C[C@@H](NCc1csc(C#N)c1)c1cnn(CC2CCC2)c1 ZINC000898183081 619223942 /nfs/dbraw/zinc/22/39/42/619223942.db2.gz HERJPNWCUANPEW-GFCCVEGCSA-N 0 1 300.431 3.467 20 30 DGEDMN N#CC1(C(=O)Nc2ccc(Nc3ccncc3)cc2)CC2(CC2)C1 ZINC000898298350 619234198 /nfs/dbraw/zinc/23/41/98/619234198.db2.gz JRJWGRGWCINZIL-UHFFFAOYSA-N 0 1 318.380 3.270 20 30 DGEDMN CN(Cc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)CCCC#N ZINC000898687686 619290893 /nfs/dbraw/zinc/29/08/93/619290893.db2.gz PMPGGFLTWKGLIF-UHFFFAOYSA-N 0 1 324.306 3.234 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CC1CC2(C1)OCCO2 ZINC000899227580 619319916 /nfs/dbraw/zinc/31/99/16/619319916.db2.gz NWDWZABOIWULEU-CAOOACKPSA-N 0 1 316.184 3.271 20 30 DGEDMN CC#CC[C@H](CO)Nc1ccnc2cc(OC(F)(F)F)ccc21 ZINC000899874602 619380180 /nfs/dbraw/zinc/38/01/80/619380180.db2.gz FMSXWEUJYXJQOF-LLVKDONJSA-N 0 1 324.302 3.320 20 30 DGEDMN CC(C)(C)N1CC[C@H]1C(=O)N(O)Cc1cccc2ccccc21 ZINC000900091804 619404260 /nfs/dbraw/zinc/40/42/60/619404260.db2.gz ODPQRFMKOQWNCC-KRWDZBQOSA-N 0 1 312.413 3.430 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)c1 ZINC000900563361 619437071 /nfs/dbraw/zinc/43/70/71/619437071.db2.gz SRZMTWXRSISZSR-CXAGYDPISA-N 0 1 307.397 3.110 20 30 DGEDMN N#CC1(CCCCn2cc([C@H](N)c3ccccc3)nn2)CCC1 ZINC000900618563 619441400 /nfs/dbraw/zinc/44/14/00/619441400.db2.gz YABVTBHCSKTTCF-QGZVFWFLSA-N 0 1 309.417 3.190 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1C=NNc1ccccc1[N+](=O)[O-] ZINC000901124311 619473031 /nfs/dbraw/zinc/47/30/31/619473031.db2.gz WCOFKUAEGSWELT-UHFFFAOYSA-N 0 1 301.350 3.435 20 30 DGEDMN CC(=NNc1nc2ccccc2[nH]1)c1ccnn1CC1CCC1 ZINC000901128927 619473695 /nfs/dbraw/zinc/47/36/95/619473695.db2.gz RAZCGGHOCLMDSM-UHFFFAOYSA-N 0 1 308.389 3.396 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+]2CC[C@](C(=O)[O-])(C(C)C)C2)cc1 ZINC000901469823 619506207 /nfs/dbraw/zinc/50/62/07/619506207.db2.gz WMLHDIBBZPCIJO-LJQANCHMSA-N 0 1 315.413 3.021 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+]2CCC[C@H](CCC(=O)[O-])C2)cc1 ZINC000901553750 619514973 /nfs/dbraw/zinc/51/49/73/619514973.db2.gz OBQFZQWZGWMNHB-MRXNPFEDSA-N 0 1 315.413 3.166 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1cc(F)ccc1N(C)C[C@@H](C)CC ZINC000901586808 619519078 /nfs/dbraw/zinc/51/90/78/619519078.db2.gz SOMXKPFGWPSHFV-AWEZNQCLSA-N 0 1 322.424 3.381 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])C[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000901586088 619519132 /nfs/dbraw/zinc/51/91/32/619519132.db2.gz JZFNQGRHYZRNFW-LLVKDONJSA-N 0 1 301.308 3.382 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])C[C@H](C)c1cccc(Br)c1 ZINC000901586037 619519185 /nfs/dbraw/zinc/51/91/85/619519185.db2.gz JLEHJJYEAJTXGK-NSHDSACASA-N 0 1 312.207 3.125 20 30 DGEDMN C=C(C)COc1cc(C[N@@H+](CC(=O)[O-])C2CCC2)ccc1OC ZINC000902045455 619580376 /nfs/dbraw/zinc/58/03/76/619580376.db2.gz SXZRPSAINLQDPT-UHFFFAOYSA-N 0 1 319.401 3.089 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1C[C@@H]([C@H]2CCCO2)CC1=O ZINC000902132464 619594254 /nfs/dbraw/zinc/59/42/54/619594254.db2.gz YDYGYEUAGHMEOE-ZWKOTPCHSA-N 0 1 320.477 3.040 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1CNc1nc2ccc(C#N)cc2[nH]1 ZINC000902157656 619596544 /nfs/dbraw/zinc/59/65/44/619596544.db2.gz PFOKWQJRIDRURR-UHFFFAOYSA-N 0 1 308.389 3.441 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cnc(CC4CC4)s3)[nH]c2c1 ZINC000881363863 625354193 /nfs/dbraw/zinc/35/41/93/625354193.db2.gz VTSRAUIOMIMQEV-UHFFFAOYSA-N 0 1 323.381 3.096 20 30 DGEDMN C=CCCC[C@H]([NH2+]C1CCN(CC(=O)[O-])CC1)c1ccccc1 ZINC000902328697 619621388 /nfs/dbraw/zinc/62/13/88/619621388.db2.gz UCDNEUCPVQKFRG-SFHVURJKSA-N 0 1 316.445 3.223 20 30 DGEDMN C[C@@H](N[C@H](C)c1cc(C#N)ccn1)c1ccc2c(c1)CCC(=O)N2 ZINC000902750639 619669874 /nfs/dbraw/zinc/66/98/74/619669874.db2.gz KATPCSCXGCBUTQ-CHWSQXEVSA-N 0 1 320.396 3.250 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C2CC2)[nH]1)[C@@]1(C)CCCCO1 ZINC000903004477 619703821 /nfs/dbraw/zinc/70/38/21/619703821.db2.gz BVYADHALQUMVBG-WMLDXEAASA-N 0 1 304.438 3.066 20 30 DGEDMN CCOC(=O)[C@H]1CC[C@@H](NCC2(C#N)CCC2)c2ccccc21 ZINC000903035465 619705154 /nfs/dbraw/zinc/70/51/54/619705154.db2.gz QACDFQFZDRBBMB-DLBZAZTESA-N 0 1 312.413 3.452 20 30 DGEDMN C=CCC[C@@H](NCCS(=O)(=O)CC)c1cccc(Cl)c1 ZINC000903087667 619713919 /nfs/dbraw/zinc/71/39/19/619713919.db2.gz GFSFEYZOKMCWQZ-OAHLLOKOSA-N 0 1 315.866 3.372 20 30 DGEDMN C[C@@H](NCC1(C#N)CCCC1)c1cccc(N2CCOCC2)c1 ZINC000903108049 619718049 /nfs/dbraw/zinc/71/80/49/619718049.db2.gz LCJZOAQNJIWJAJ-MRXNPFEDSA-N 0 1 313.445 3.258 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@H+]2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)c1 ZINC000903402777 619773903 /nfs/dbraw/zinc/77/39/03/619773903.db2.gz XJPUEWZLUGBGAA-KBMXLJTQSA-N 0 1 318.804 3.429 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)Cc1ccc(C(=O)[O-])s1 ZINC000903687583 619795580 /nfs/dbraw/zinc/79/55/80/619795580.db2.gz GJDNFRZPMMEEDP-UHFFFAOYSA-N 0 1 321.398 3.110 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)Cc1ccc(C(=O)[O-])s1 ZINC000903687583 619795581 /nfs/dbraw/zinc/79/55/81/619795581.db2.gz GJDNFRZPMMEEDP-UHFFFAOYSA-N 0 1 321.398 3.110 20 30 DGEDMN C[C@@H]1[C@H](C(=O)N([O-])Cc2cc(Cl)cc(Cl)c2)CC[N@@H+]1C ZINC000904178253 619893119 /nfs/dbraw/zinc/89/31/19/619893119.db2.gz CUCJYOAAGAXNRT-NOZJJQNGSA-N 0 1 317.216 3.051 20 30 DGEDMN C[C@@H]1[C@H](C(=O)N([O-])Cc2cc(Cl)cc(Cl)c2)CC[N@H+]1C ZINC000904178253 619893123 /nfs/dbraw/zinc/89/31/23/619893123.db2.gz CUCJYOAAGAXNRT-NOZJJQNGSA-N 0 1 317.216 3.051 20 30 DGEDMN C[C@](O)(CNCc1ccc(F)cc1F)C(F)(F)C(F)(F)F ZINC000905036456 619965581 /nfs/dbraw/zinc/96/55/81/619965581.db2.gz HTWVONCNDMQDLE-JTQLQIEISA-N 0 1 319.220 3.003 20 30 DGEDMN CN(Cc1cn(-c2ccc(Cl)cc2C#N)nn1)C(C)(C)C ZINC000905059949 619969826 /nfs/dbraw/zinc/96/98/26/619969826.db2.gz YUNSAEYUPXCRPD-UHFFFAOYSA-N 0 1 303.797 3.023 20 30 DGEDMN CCOCc1nc(C)cc(N=NCc2scnc2C2CC2)n1 ZINC000905498794 620002260 /nfs/dbraw/zinc/00/22/60/620002260.db2.gz JWZAVQCITRVDBE-UHFFFAOYSA-N 0 1 317.418 3.101 20 30 DGEDMN CCOCc1nc(C)cc(N=NCc2cccc(F)c2F)n1 ZINC000905504481 620002855 /nfs/dbraw/zinc/00/28/55/620002855.db2.gz JCKCYDFJURUHCT-UHFFFAOYSA-N 0 1 306.316 3.046 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)N(c1ccccc1C#N)C1CCC1 ZINC000906041531 620055180 /nfs/dbraw/zinc/05/51/80/620055180.db2.gz RZHDJTGDZQTOTE-GOSISDBHSA-N 0 1 311.429 3.317 20 30 DGEDMN CN(C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1)C1(C#N)CCC1 ZINC000906393593 620092050 /nfs/dbraw/zinc/09/20/50/620092050.db2.gz LALUTLKNSIOAHA-UHFFFAOYSA-N 0 1 319.368 3.076 20 30 DGEDMN O=C1C=COC2(CCN([C@@H]3C[C@@H]3c3cccc(F)c3)CC2)C1 ZINC000906598756 620110021 /nfs/dbraw/zinc/11/00/21/620110021.db2.gz ZHKVBKFAOXSBPW-IAGOWNOFSA-N 0 1 301.361 3.019 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CC[C@H](c2[nH]ncc2N)C1)c1ccccc1 ZINC000907420457 620156645 /nfs/dbraw/zinc/15/66/45/620156645.db2.gz UMRXWAMGNVIDJK-JKSUJKDBSA-N 0 1 324.428 3.058 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2n[nH]c(C)c2C(F)(F)F)C1 ZINC000912389855 620384357 /nfs/dbraw/zinc/38/43/57/620384357.db2.gz WDACXIWITABPQF-JTQLQIEISA-N 0 1 301.312 3.165 20 30 DGEDMN CC1(C)CCCC[C@@H]1CC(=O)[C@H](C#N)C(=O)NC1CCCC1 ZINC000152458428 620508034 /nfs/dbraw/zinc/50/80/34/620508034.db2.gz RVTGNSWQJUOGEV-HIFRSBDPSA-N 0 1 304.434 3.361 20 30 DGEDMN CC1(C)CCCC[C@H]1CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000152702671 620518101 /nfs/dbraw/zinc/51/81/01/620518101.db2.gz JAYICLMBAWTXJX-UONOGXRCSA-N 0 1 313.401 3.335 20 30 DGEDMN FC(F)(F)c1cnc(NN=Cc2csnn2)c(Cl)c1 ZINC000915940238 620609255 /nfs/dbraw/zinc/60/92/55/620609255.db2.gz ZMBXYDZLYGPTMS-UHFFFAOYSA-N 0 1 307.688 3.051 20 30 DGEDMN Cn1c(N=NCc2cc(F)ccc2F)nnc1-c1ccccc1 ZINC000915968635 620609523 /nfs/dbraw/zinc/60/95/23/620609523.db2.gz JWTFORVSZXBVMF-UHFFFAOYSA-N 0 1 313.311 3.206 20 30 DGEDMN COc1ccc(C=NNc2cc(C)nc(C)n2)cc1OC(F)F ZINC000915970570 620610014 /nfs/dbraw/zinc/61/00/14/620610014.db2.gz HHGKEFDOPYVLHY-UHFFFAOYSA-N 0 1 322.315 3.149 20 30 DGEDMN c1ccc2c(c1)-c1ccccc1C2=NNCCN1CCCCC1 ZINC000915973448 620610318 /nfs/dbraw/zinc/61/03/18/620610318.db2.gz IUFDWNOVXNCDOE-UHFFFAOYSA-N 0 1 305.425 3.495 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000915976416 620610718 /nfs/dbraw/zinc/61/07/18/620610718.db2.gz UFEYYROSADGVRH-UHFFFAOYSA-N 0 1 310.653 3.195 20 30 DGEDMN CN(CCC#N)c1ccc(C=[NH+]Nc2cccc(C(=O)[O-])c2)cc1 ZINC000916255346 620622066 /nfs/dbraw/zinc/62/20/66/620622066.db2.gz TZYKBRCGTVZVQB-UHFFFAOYSA-N 0 1 322.368 3.181 20 30 DGEDMN Cc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c(C)c1 ZINC000916305068 620625346 /nfs/dbraw/zinc/62/53/46/620625346.db2.gz KNYOVGRHZQWYHE-UHFFFAOYSA-N 0 1 300.309 3.085 20 30 DGEDMN Cc1cc(C)c(C=Nn2c(=S)[nH]nc2C(F)(F)F)c(C)c1 ZINC000916304886 620625391 /nfs/dbraw/zinc/62/53/91/620625391.db2.gz GIRKZDSUQGDPBE-UHFFFAOYSA-N 0 1 314.336 3.393 20 30 DGEDMN Cc1ccnc(NN=C2c3ccc(Cl)c(Cl)c3NC2=O)c1 ZINC000755900068 620901706 /nfs/dbraw/zinc/90/17/06/620901706.db2.gz JUOUTVHJYZXVOJ-UHFFFAOYSA-N 0 1 321.167 3.465 20 30 DGEDMN C#CCOc1ccc(CNCc2cccnc2OCCC)cc1 ZINC000113328626 620904299 /nfs/dbraw/zinc/90/42/99/620904299.db2.gz FKEUALKIYNMVRK-UHFFFAOYSA-N 0 1 310.397 3.172 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3c(c2)[C@H](O)CCCC3)cc1O ZINC000855547114 620911256 /nfs/dbraw/zinc/91/12/56/620911256.db2.gz RPTVWOSQHAJHQQ-QGZVFWFLSA-N 0 1 322.364 3.276 20 30 DGEDMN C#CCONC(=O)Cc1csc(-c2ccc(C(C)C)cc2)n1 ZINC000855603034 620917425 /nfs/dbraw/zinc/91/74/25/620917425.db2.gz JWTDTRQDZMILSY-UHFFFAOYSA-N 0 1 314.410 3.157 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2cc(Cl)nc(N(C)C)c2)n1 ZINC000113650677 620934293 /nfs/dbraw/zinc/93/42/93/620934293.db2.gz HTSKOYREWHKROZ-JTQLQIEISA-N 0 1 320.805 3.056 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc(Cl)nc(N(C)C)c2)n1 ZINC000113650677 620934296 /nfs/dbraw/zinc/93/42/96/620934296.db2.gz HTSKOYREWHKROZ-JTQLQIEISA-N 0 1 320.805 3.056 20 30 DGEDMN CCOc1cc(CNCc2csc(C#N)c2)ccc1OC ZINC000921741561 620942877 /nfs/dbraw/zinc/94/28/77/620942877.db2.gz YNIJFCRCKXTTBH-UHFFFAOYSA-N 0 1 302.399 3.317 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1ccnc(OC(F)F)c1 ZINC000922116319 620990617 /nfs/dbraw/zinc/99/06/17/620990617.db2.gz ROSODAJTUSHRIJ-UHFFFAOYSA-N 0 1 317.339 3.461 20 30 DGEDMN Cc1cc(CNCc2csc(C#N)c2)cc(N2CCCC2)n1 ZINC000922142150 620994992 /nfs/dbraw/zinc/99/49/92/620994992.db2.gz WZOWYSHIBPMHBZ-UHFFFAOYSA-N 0 1 312.442 3.213 20 30 DGEDMN C[C@@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000114617910 621024670 /nfs/dbraw/zinc/02/46/70/621024670.db2.gz SVNFJQLZNYRQPY-BBRMVZONSA-N 0 1 304.434 3.361 20 30 DGEDMN C[C@@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000114617906 621024857 /nfs/dbraw/zinc/02/48/57/621024857.db2.gz SVNFJQLZNYRQPY-XJKSGUPXSA-N 0 1 304.434 3.361 20 30 DGEDMN COCc1nc(CNC(C)(C)Cc2ccc(C#N)cc2)cs1 ZINC000922600391 621063679 /nfs/dbraw/zinc/06/36/79/621063679.db2.gz PWEXQGAUWROZTG-UHFFFAOYSA-N 0 1 315.442 3.272 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC(=O)c2ccccc21)c1nc2ccccc2[nH]1 ZINC000789336489 625461353 /nfs/dbraw/zinc/46/13/53/625461353.db2.gz NUJOAEGOUOWYCO-KGLIPLIRSA-N 0 1 315.332 3.109 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN[C@@H]1CCCc2cccnc21 ZINC000922877633 621111863 /nfs/dbraw/zinc/11/18/63/621111863.db2.gz KAWVBOLSWNVLSI-QGZVFWFLSA-N 0 1 306.413 3.186 20 30 DGEDMN C[C@@H](NC[C@H](O)C(F)(F)F)c1ccc(F)cc1C(F)(F)F ZINC000858353289 621180004 /nfs/dbraw/zinc/18/00/04/621180004.db2.gz KHMKKOAISBQAKV-LDWIPMOCSA-N 0 1 319.220 3.418 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C1CCC1 ZINC000838787578 621281215 /nfs/dbraw/zinc/28/12/15/621281215.db2.gz PFCRJECFCPECRJ-JSGCOSHPSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H](C)C1CCC1)c1ccccc1 ZINC000838866765 621293017 /nfs/dbraw/zinc/29/30/17/621293017.db2.gz RYOCPKNRUFHGNH-JQFCIGGWSA-N 0 1 312.413 3.399 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CCc3cccc(Cl)c3C2)cc1 ZINC000839168750 621342021 /nfs/dbraw/zinc/34/20/21/621342021.db2.gz NWVOGCBHOKILPK-GOSISDBHSA-N 0 1 312.800 3.303 20 30 DGEDMN C[C@H](CN(C)Cc1ccc(C#N)c(F)c1)NC(=O)OC(C)(C)C ZINC000860096714 621365385 /nfs/dbraw/zinc/36/53/85/621365385.db2.gz MCXUEAUYCDOYMM-GFCCVEGCSA-N 0 1 321.396 3.042 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2CCc3c2cc(F)cc3F)n1 ZINC000752733412 621406891 /nfs/dbraw/zinc/40/68/91/621406891.db2.gz LFPQACQEVHUZHF-UHFFFAOYSA-N 0 1 308.719 3.479 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000860533922 621415558 /nfs/dbraw/zinc/41/55/58/621415558.db2.gz ZHVQRLMDTXKMIO-NHYWBVRUSA-N 0 1 318.373 3.427 20 30 DGEDMN C#CCSCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000753678333 621459574 /nfs/dbraw/zinc/45/95/74/621459574.db2.gz IYFXOQSEURWJKJ-KRWDZBQOSA-N 0 1 300.402 3.266 20 30 DGEDMN FC(F)(F)CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccccc1 ZINC000753928133 621472033 /nfs/dbraw/zinc/47/20/33/621472033.db2.gz IPLDMUBSXPTKQK-STQMWFEESA-N 0 1 324.350 3.203 20 30 DGEDMN Clc1cnccc1NN=C(C[C@@H]1CCOC1)c1ccccc1 ZINC000753931571 621472063 /nfs/dbraw/zinc/47/20/63/621472063.db2.gz HRWNSBLIZXZXJA-ZDUSSCGKSA-N 0 1 315.804 3.400 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cc2c(s1)CCCC2 ZINC000753932916 621472255 /nfs/dbraw/zinc/47/22/55/621472255.db2.gz BIGDADCLTQAUFW-ZIAGYGMSSA-N 0 1 316.474 3.211 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(C(F)(F)F)cc1 ZINC000753936282 621472759 /nfs/dbraw/zinc/47/27/59/621472759.db2.gz RJAPPHRBQLGGAS-KBPBESRZSA-N 0 1 324.350 3.289 20 30 DGEDMN C[C@H]1CC(=NNC2=N[C@@H]3CCCC[C@H]3N2)c2ccsc2S1 ZINC000753941403 621473278 /nfs/dbraw/zinc/47/32/78/621473278.db2.gz YXXGZERFGZPTGR-MVWJERBFSA-N 0 1 320.487 3.197 20 30 DGEDMN Cc1cccc(Cl)c1CC(=O)Nc1cc(C#N)ccc1O ZINC000754246493 621498693 /nfs/dbraw/zinc/49/86/93/621498693.db2.gz FPVGTDFATAUBBX-UHFFFAOYSA-N 0 1 300.745 3.407 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@@H]1CCc2ccccc21 ZINC000755618220 621581260 /nfs/dbraw/zinc/58/12/60/621581260.db2.gz XYCIZICIGUWOAM-ZDUSSCGKSA-N 0 1 321.405 3.249 20 30 DGEDMN CCn1nc(C)c(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)c1C ZINC000755631160 621582291 /nfs/dbraw/zinc/58/22/91/621582291.db2.gz KANGLJJIOPNFLI-UHFFFAOYSA-N 0 1 318.331 3.120 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2cc(Cl)nc(C)n2)s1 ZINC000755741639 621590049 /nfs/dbraw/zinc/59/00/49/621590049.db2.gz AQMCTAWAQHACKD-UHFFFAOYSA-N 0 1 318.189 3.003 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2OC(F)F)C1 ZINC000862442703 621590270 /nfs/dbraw/zinc/59/02/70/621590270.db2.gz FXDDTRBKWQIIJY-NSHDSACASA-N 0 1 310.300 3.041 20 30 DGEDMN COc1cc(C=NNc2cc(C)ccn2)ccc1OC(F)F ZINC000755899267 621601015 /nfs/dbraw/zinc/60/10/15/621601015.db2.gz AHAOCYKUHAUFEB-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CN=Nc2cc(C)ccn2)cc1 ZINC000755904070 621601528 /nfs/dbraw/zinc/60/15/28/621601528.db2.gz VLDOUZHIRUOUOK-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN Cc1cnccc1NN=C(C[C@H]1CCOC1)c1ccc(F)cc1 ZINC000863157537 621642906 /nfs/dbraw/zinc/64/29/06/621642906.db2.gz CELHTBZQNKTXLS-CQSZACIVSA-N 0 1 313.376 3.194 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)C1(c2cccc(Cl)c2)CC1 ZINC000756550271 621647193 /nfs/dbraw/zinc/64/71/93/621647193.db2.gz JQMHUXXWLQWXKF-MRXNPFEDSA-N 0 1 317.816 3.012 20 30 DGEDMN CCOc1ccc(/C=N\[C@@H]2CN(C)Cc3ccccc32)c(O)c1 ZINC000756840725 621667720 /nfs/dbraw/zinc/66/77/20/621667720.db2.gz GWVUMEBKPSNWSI-HDOAHBKWSA-N 0 1 310.397 3.397 20 30 DGEDMN C#CCN(CC(=O)NC1(c2ccccc2)CCC1)C1CCCC1 ZINC000756911697 621672463 /nfs/dbraw/zinc/67/24/63/621672463.db2.gz CFNLQVUDPZKVRW-UHFFFAOYSA-N 0 1 310.441 3.060 20 30 DGEDMN C#CCN(C(=O)c1ccc(Nc2ccncc2)cc1)C1CCCC1 ZINC000757176230 621691438 /nfs/dbraw/zinc/69/14/38/621691438.db2.gz DLIRIDGLIPQTRI-UHFFFAOYSA-N 0 1 319.408 3.265 20 30 DGEDMN CS[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000757410324 621707598 /nfs/dbraw/zinc/70/75/98/621707598.db2.gz RLKSPBQGTGQVJY-UWVGGRQHSA-N 0 1 310.806 3.129 20 30 DGEDMN O=C(/C=C/c1cccc2c1OCCO2)c1cc(F)ccc1O ZINC000757466914 621713950 /nfs/dbraw/zinc/71/39/50/621713950.db2.gz APTOLTWWUVEPIG-GQCTYLIASA-N 0 1 300.285 3.199 20 30 DGEDMN COc1cc(C)c(/C=C\C(=O)c2ccc(O)cc2O)cc1OC ZINC000757471692 621714986 /nfs/dbraw/zinc/71/49/86/621714986.db2.gz JNWRBFNHDDKLRE-DAXSKMNVSA-N 0 1 314.337 3.320 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cccc(OCC#N)c2)c(O)c1 ZINC000757473197 621715212 /nfs/dbraw/zinc/71/52/12/621715212.db2.gz UOIDJWOZSMIQQA-VMPITWQZSA-N 0 1 309.321 3.199 20 30 DGEDMN O=C(/C=C/c1ccc(-n2cncn2)c(F)c1)c1ccccc1O ZINC000757473631 621715507 /nfs/dbraw/zinc/71/55/07/621715507.db2.gz FAFQKZNILDABJX-SOFGYWHQSA-N 0 1 309.300 3.008 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccccc2-n2cncn2)c(O)c1 ZINC000757475929 621715994 /nfs/dbraw/zinc/71/59/94/621715994.db2.gz NNVYMUJUPALQHN-VQHVLOKHSA-N 0 1 305.337 3.177 20 30 DGEDMN C[C@H]1CC(N=Nc2ccccc2C(=O)[O-])C[N@H+]1Cc1ccccc1 ZINC000757570342 621725110 /nfs/dbraw/zinc/72/51/10/621725110.db2.gz WRYHBTVLKPXRMD-AWEZNQCLSA-N 0 1 323.396 3.447 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](c1ccc(C)o1)C1CCCC1 ZINC000757656855 621731714 /nfs/dbraw/zinc/73/17/14/621731714.db2.gz OTGKFXYUCCSTCX-MRXNPFEDSA-N 0 1 311.447 3.010 20 30 DGEDMN O=C(OCC#Cc1ccc(Cl)cc1)[C@@H]1CCCc2[nH]ncc21 ZINC000757912398 621746331 /nfs/dbraw/zinc/74/63/31/621746331.db2.gz HLCSPNCDOQSBJG-CQSZACIVSA-N 0 1 314.772 3.078 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1C=NNc1ccccc1[N+](=O)[O-] ZINC000758070722 621756553 /nfs/dbraw/zinc/75/65/53/621756553.db2.gz VEFBJIFRIWJWNF-UHFFFAOYSA-N 0 1 300.274 3.257 20 30 DGEDMN Clc1cccc2c1CCCC2=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000758128089 621760088 /nfs/dbraw/zinc/76/00/88/621760088.db2.gz DCTXKPUODXPTNY-HOTGVXAUSA-N 0 1 316.836 3.240 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1C=NNc1cc(C#N)cc(Cl)n1 ZINC000758129966 621760108 /nfs/dbraw/zinc/76/01/08/621760108.db2.gz SQLFIMAAWXKVLZ-UHFFFAOYSA-N 0 1 315.720 3.269 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2coc3ccccc23)nc1 ZINC000758140527 621760990 /nfs/dbraw/zinc/76/09/90/621760990.db2.gz DYSVDFFDIPIQPZ-UHFFFAOYSA-N 0 1 309.325 3.451 20 30 DGEDMN CCC1CCC(N(Cn2ccc(C)c(C#N)c2=O)C2CC2)CC1 ZINC000758208173 621766190 /nfs/dbraw/zinc/76/61/90/621766190.db2.gz WEHRCTDWHSUJNP-UHFFFAOYSA-N 0 1 313.445 3.419 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3cccnc3)[nH]c21 ZINC000759365414 621849998 /nfs/dbraw/zinc/84/99/98/621849998.db2.gz GLELGIOLAMRBJJ-NPQIQWPPSA-N 0 1 302.337 3.156 20 30 DGEDMN Cc1ccc(CN(C)Cc2ccc(N(C)CCC#N)cc2)nc1 ZINC000789590913 625531172 /nfs/dbraw/zinc/53/11/72/625531172.db2.gz ZZALCSKPEQZKQI-UHFFFAOYSA-N 0 1 308.429 3.372 20 30 DGEDMN N#CC(C(=O)CCCc1c[nH]c2ccccc12)c1ccncn1 ZINC000760355556 621934867 /nfs/dbraw/zinc/93/48/67/621934867.db2.gz YYWLKDLCGSHPRK-OAHLLOKOSA-N 0 1 304.353 3.157 20 30 DGEDMN Cc1ccccc1C(NCC(=O)NCCC#N)c1ccccc1C ZINC000760578122 621951969 /nfs/dbraw/zinc/95/19/69/621951969.db2.gz YURQJFXUBKSPIH-UHFFFAOYSA-N 0 1 321.424 3.012 20 30 DGEDMN CC1(c2ccc(CNCc3ccc(C#N)s3)cc2)OCCO1 ZINC000865670350 621975527 /nfs/dbraw/zinc/97/55/27/621975527.db2.gz WEYOCVMLPJXXOR-UHFFFAOYSA-N 0 1 314.410 3.129 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1cnc(C)s1 ZINC000761261133 621997880 /nfs/dbraw/zinc/99/78/80/621997880.db2.gz MRGLOXXBZQFFAR-UHFFFAOYSA-N 0 1 306.347 3.204 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)c2ccnn2C)cc1Cl ZINC000865838870 622005364 /nfs/dbraw/zinc/00/53/64/622005364.db2.gz OFVKWUYTPOQIDM-GFCCVEGCSA-N 0 1 305.809 3.489 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](NCc1occc1Br)C2 ZINC000865849569 622007994 /nfs/dbraw/zinc/00/79/94/622007994.db2.gz WBJNELGMEBRRBU-CYBMUJFWSA-N 0 1 317.186 3.171 20 30 DGEDMN Clc1scc(C=NNCCN2CCCCC2)c1Cl ZINC000761782014 622029059 /nfs/dbraw/zinc/02/90/59/622029059.db2.gz IRZHILGZXIPTGY-UHFFFAOYSA-N 0 1 306.262 3.464 20 30 DGEDMN CCC(N=Nc1ccc(F)cc1OC)c1c(C)nn(C)c1C ZINC000761884583 622037030 /nfs/dbraw/zinc/03/70/30/622037030.db2.gz KSJGVBNOYBIAPI-UHFFFAOYSA-N 0 1 304.369 3.411 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000762023367 622046783 /nfs/dbraw/zinc/04/67/83/622046783.db2.gz CUDDVTNVQCZMKC-CZUORRHYSA-N 0 1 300.402 3.084 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000762023356 622046804 /nfs/dbraw/zinc/04/68/04/622046804.db2.gz CUDDVTNVQCZMKC-BBRMVZONSA-N 0 1 300.402 3.084 20 30 DGEDMN N#Cc1cc(COC(=O)c2ccc(O)c(Cl)c2)ccc1F ZINC000762822036 622101516 /nfs/dbraw/zinc/10/15/16/622101516.db2.gz HZALSLGPKJZBAY-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCC(=O)C[C@H]3C)[nH]c21 ZINC000763013972 622116355 /nfs/dbraw/zinc/11/63/55/622116355.db2.gz PTXJCXCQHJRJJS-MRVWCRGKSA-N 0 1 309.369 3.053 20 30 DGEDMN Cn1c(CN=Nc2ccncc2Cl)nc2ccc(Cl)cc21 ZINC000763358782 622140737 /nfs/dbraw/zinc/14/07/37/622140737.db2.gz XFWVYZWYJCEQAC-UHFFFAOYSA-N 0 1 320.183 3.143 20 30 DGEDMN C[C@H]1c2c(F)cccc2CCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC000763411669 622143978 /nfs/dbraw/zinc/14/39/78/622143978.db2.gz SYZAZQUJJXUPOB-SCLBCKFNSA-N 0 1 310.372 3.350 20 30 DGEDMN N#C[C@@H](C(=O)C1Cc2ccccc2C1)c1cnc2ccccc2n1 ZINC000764099174 622179147 /nfs/dbraw/zinc/17/91/47/622179147.db2.gz HCWGVZUVEYCCNV-MRXNPFEDSA-N 0 1 313.360 3.221 20 30 DGEDMN Cc1cc(C)c(NC(=O)[C@H]2NCCc3cc(C#N)ccc32)c(C)c1 ZINC000867949358 622196425 /nfs/dbraw/zinc/19/64/25/622196425.db2.gz WKYDQOLIUJMMOD-IBGZPJMESA-N 0 1 319.408 3.309 20 30 DGEDMN Cc1ccc(-c2ncc(C(=O)NC3=NO[C@H](C)C3)s2)c(C)c1 ZINC000765384647 622261976 /nfs/dbraw/zinc/26/19/76/622261976.db2.gz PFAIRRPVZLKCMI-LLVKDONJSA-N 0 1 315.398 3.279 20 30 DGEDMN Cc1cccc2nc(CNC(=S)Nc3ccccc3C#N)[nH]c21 ZINC000765503313 622269119 /nfs/dbraw/zinc/26/91/19/622269119.db2.gz LWSSMDQXXWUBGD-UHFFFAOYSA-N 0 1 321.409 3.230 20 30 DGEDMN Cc1cc(C=NNc2ccc(Br)cn2)ccc1C#N ZINC000766446304 622330548 /nfs/dbraw/zinc/33/05/48/622330548.db2.gz GDKQCRWYKAUCDV-UHFFFAOYSA-N 0 1 315.174 3.470 20 30 DGEDMN C=C(C)CNC(=S)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000766704700 622347707 /nfs/dbraw/zinc/34/77/07/622347707.db2.gz MTXZIGAPEXZATF-CVEARBPZSA-N 0 1 317.502 3.032 20 30 DGEDMN C#CCCCN1CCN(C(=O)c2cccc3ccccc32)CC1 ZINC000766895442 622359865 /nfs/dbraw/zinc/35/98/65/622359865.db2.gz LLXJSCPNPWFNGJ-UHFFFAOYSA-N 0 1 306.409 3.011 20 30 DGEDMN Cc1cc(N=NC2C[C@H](C)CN(Cc3ccccc3)C2)nc(C)n1 ZINC000767371105 622394136 /nfs/dbraw/zinc/39/41/36/622394136.db2.gz RJRLYSMHPZVXNP-AWEZNQCLSA-N 0 1 323.444 3.403 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2cncc(C)c2)c(OC)c1 ZINC000767388365 622395403 /nfs/dbraw/zinc/39/54/03/622395403.db2.gz QWSSICAXGTWLKN-UHFFFAOYSA-N 0 1 313.357 3.021 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H]2CCC[C@H](CC)C2)n1 ZINC000789761555 625564110 /nfs/dbraw/zinc/56/41/10/625564110.db2.gz GTKVXTHJWRHDER-ROUUACIJSA-N 0 1 317.477 3.181 20 30 DGEDMN Cc1cc(NN=Cc2ccc(F)cc2Br)ncn1 ZINC000767783179 622421835 /nfs/dbraw/zinc/42/18/35/622421835.db2.gz UWXJEBRTJNRSJN-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN COc1ccsc1CN=Nc1ccc(OC)cc1[N+](=O)[O-] ZINC000767803513 622422713 /nfs/dbraw/zinc/42/27/13/622422713.db2.gz PIJJYXRZKLZOSO-UHFFFAOYSA-N 0 1 307.331 3.120 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@H]2Cc3ccc(Cl)cc32)nc(C)n1 ZINC000870134042 622436600 /nfs/dbraw/zinc/43/66/00/622436600.db2.gz GOUXRTLAJIPWOR-LSDHHAIUSA-N 0 1 311.772 3.263 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H](Cc1cccnc1)C1CCCC1)c1ccncn1 ZINC000870288762 622452398 /nfs/dbraw/zinc/45/23/98/622452398.db2.gz XNXCXVAIXOQFCB-DLBZAZTESA-N 0 1 320.396 3.097 20 30 DGEDMN CC(=NNc1cc(C#N)cc(Cl)n1)c1cccc([N+](=O)[O-])c1 ZINC000768379466 622453737 /nfs/dbraw/zinc/45/37/37/622453737.db2.gz IHROONSWMDVFHZ-UHFFFAOYSA-N 0 1 315.720 3.351 20 30 DGEDMN C#CCN(CC)Cc1cn(-c2ccccc2)nc1-c1ccncc1 ZINC000769112025 622499502 /nfs/dbraw/zinc/49/95/02/622499502.db2.gz VVVIHMCABJLQQL-UHFFFAOYSA-N 0 1 316.408 3.389 20 30 DGEDMN CN(CCOC(=O)c1cc(C#N)cs1)Cc1ccc(F)cc1 ZINC000769116068 622499785 /nfs/dbraw/zinc/49/97/85/622499785.db2.gz RJCJKSMOZCPQKW-UHFFFAOYSA-N 0 1 318.373 3.048 20 30 DGEDMN N#CC(C(=O)[C@@H]1CSCCS1)c1ccc2ccccc2n1 ZINC000769251341 622510868 /nfs/dbraw/zinc/51/08/68/622510868.db2.gz RLJUXGNRIVRCPQ-DOMZBBRYSA-N 0 1 314.435 3.260 20 30 DGEDMN C[C@@H]1C(=O)CC[C@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1(C)C ZINC000871071236 622543706 /nfs/dbraw/zinc/54/37/06/622543706.db2.gz BQZDLZKFCMISFR-ZYHUDNBSSA-N 0 1 324.384 3.014 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CC[C@H]3CC3(Cl)Cl)[nH]c2c1 ZINC000871073353 622544037 /nfs/dbraw/zinc/54/40/37/622544037.db2.gz YSBIKGALOPGQIC-VIFPVBQESA-N 0 1 323.183 3.347 20 30 DGEDMN C[C@@]1(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)CC1(Cl)Cl ZINC000871073038 622544173 /nfs/dbraw/zinc/54/41/73/622544173.db2.gz QMIQLOAIWFPTGK-CYBMUJFWSA-N 0 1 323.183 3.347 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)OCCCSCC#N ZINC000805400983 622610854 /nfs/dbraw/zinc/61/08/54/622610854.db2.gz KWFHWISODYPXNK-UHFFFAOYSA-N 0 1 315.398 3.189 20 30 DGEDMN N#Cc1cccc([C@H]2CN(Cc3[nH]nc4ccccc43)CCO2)c1 ZINC000770392349 622629150 /nfs/dbraw/zinc/62/91/50/622629150.db2.gz TYNZWDLQNPCJEB-LJQANCHMSA-N 0 1 318.380 3.008 20 30 DGEDMN CC(C)(CC#N)COC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000770914800 622684223 /nfs/dbraw/zinc/68/42/23/622684223.db2.gz TZMZPDWICXDPQC-UHFFFAOYSA-N 0 1 324.384 3.446 20 30 DGEDMN C=CCOc1ccc(OC(=O)c2ccc(-c3nnc[nH]3)cc2)cc1 ZINC000771400374 622753812 /nfs/dbraw/zinc/75/38/12/622753812.db2.gz MSAULLKPXYOQQL-UHFFFAOYSA-N 0 1 321.336 3.256 20 30 DGEDMN CN1CCN(c2ccc(/C=C/C(=O)c3cccs3)cc2)CC1 ZINC000771520601 622771878 /nfs/dbraw/zinc/77/18/78/622771878.db2.gz KYUXMVXVTYINSS-RMKNXTFCSA-N 0 1 312.438 3.396 20 30 DGEDMN C#CCN1CCC(C(=O)OCCCc2ccccc2Cl)CC1 ZINC000772110247 622847443 /nfs/dbraw/zinc/84/74/43/622847443.db2.gz PGKZXTGRQLMOHU-UHFFFAOYSA-N 0 1 319.832 3.161 20 30 DGEDMN CC(C)[C@@H](N)c1noc(C2(c3ccc(C#N)cc3)CCCC2)n1 ZINC000872171849 622849906 /nfs/dbraw/zinc/84/99/06/622849906.db2.gz VDGCPPMJWWDFCI-OAHLLOKOSA-N 0 1 310.401 3.457 20 30 DGEDMN COc1cc(Cl)c(CN=Nc2cc(C)nc(C)n2)cc1OC ZINC000872342865 622893370 /nfs/dbraw/zinc/89/33/70/622893370.db2.gz CWCFMTCBSODATR-UHFFFAOYSA-N 0 1 320.780 3.210 20 30 DGEDMN CC(N=Nc1ccnc2cc(Cl)ccc12)c1ccc(=O)[nH]n1 ZINC000790141864 625608068 /nfs/dbraw/zinc/60/80/68/625608068.db2.gz WSYVUCPZLRVOSJ-UHFFFAOYSA-N 0 1 313.748 3.220 20 30 DGEDMN COc1cccc(NN=C2CCCc3ccc([N+](=O)[O-])cc32)n1 ZINC000773079481 622979276 /nfs/dbraw/zinc/97/92/76/622979276.db2.gz LCPYETMCJSPLKI-UHFFFAOYSA-N 0 1 312.329 3.151 20 30 DGEDMN CC(C)c1nccnc1N=NC1CCN(Cc2ccccc2)C1 ZINC000773085091 622980954 /nfs/dbraw/zinc/98/09/54/622980954.db2.gz CHPWULFAKNOIRH-UHFFFAOYSA-N 0 1 309.417 3.274 20 30 DGEDMN CCC[C@H](/N=C\c1cc(Cl)cc(Cl)c1O)C(=O)OC ZINC000773146688 622991541 /nfs/dbraw/zinc/99/15/41/622991541.db2.gz BUNUFRJUFHGZBB-FQKZPNAISA-N 0 1 304.173 3.460 20 30 DGEDMN O=C(Cc1[nH]nc2ccccc21)OCC#Cc1cccc(Cl)c1 ZINC000773154072 622992927 /nfs/dbraw/zinc/99/29/27/622992927.db2.gz MWSVSCGNBNYAIQ-UHFFFAOYSA-N 0 1 324.767 3.354 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)C1(F)CCCC1 ZINC000773981513 623095813 /nfs/dbraw/zinc/09/58/13/623095813.db2.gz ZJKKNJIBQUOKKW-JTQLQIEISA-N 0 1 308.740 3.270 20 30 DGEDMN Cc1csc(CCC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)n1 ZINC000774112714 623108606 /nfs/dbraw/zinc/10/86/06/623108606.db2.gz UFFZYRASSDJTME-GFCCVEGCSA-N 0 1 324.409 3.445 20 30 DGEDMN CCOCCON=C(C)c1cc(Br)c(F)cc1O ZINC000774416701 623141825 /nfs/dbraw/zinc/14/18/25/623141825.db2.gz JSVOMDDGKYKTMX-UHFFFAOYSA-N 0 1 320.158 3.071 20 30 DGEDMN COc1c([O-])c(C[N@H+](CCC#N)CCC(C)C)ccc1[N+](=O)[O-] ZINC000774877630 623204224 /nfs/dbraw/zinc/20/42/24/623204224.db2.gz LKHJXUFCUBIFLH-UHFFFAOYSA-N 0 1 321.377 3.071 20 30 DGEDMN COc1c([O-])c(C[N@@H+](CCC#N)CCC(C)C)ccc1[N+](=O)[O-] ZINC000774877630 623204226 /nfs/dbraw/zinc/20/42/26/623204226.db2.gz LKHJXUFCUBIFLH-UHFFFAOYSA-N 0 1 321.377 3.071 20 30 DGEDMN N#C[C@@H](c1ccccc1)c1ccc(NC(=O)CN2CCCC2)cc1 ZINC000874007048 623228909 /nfs/dbraw/zinc/22/89/09/623228909.db2.gz SOFSOOZIUPJSCB-IBGZPJMESA-N 0 1 319.408 3.376 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc(OCC#N)cc1 ZINC000775337929 623255232 /nfs/dbraw/zinc/25/52/32/623255232.db2.gz LFARBGJKXKSMNB-CYBMUJFWSA-N 0 1 309.344 3.451 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2cccs2)s1)[C@@H]1CCCO1 ZINC000775343062 623256919 /nfs/dbraw/zinc/25/69/19/623256919.db2.gz VBYSTZCIRIKEBR-STQMWFEESA-N 0 1 304.440 3.142 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2cccs2)s1)[C@H]1CCCO1 ZINC000775343055 623257150 /nfs/dbraw/zinc/25/71/50/623257150.db2.gz VBYSTZCIRIKEBR-CHWSQXEVSA-N 0 1 304.440 3.142 20 30 DGEDMN C#C[C@@H](NCc1ccc(Br)cc1C)[C@@H]1CCCO1 ZINC000775345888 623257182 /nfs/dbraw/zinc/25/71/82/623257182.db2.gz RFGFZYCAFIFHDD-CABCVRRESA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)cc2cccnc21)[C@H]1CCCO1 ZINC000775342646 623257412 /nfs/dbraw/zinc/25/74/12/623257412.db2.gz RWEFOXTUMRCUNZ-HZPDHXFCSA-N 0 1 300.789 3.159 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@@H]1CCCO1 ZINC000775347131 623257857 /nfs/dbraw/zinc/25/78/57/623257857.db2.gz FLNOWRBBTZPHDM-SJORKVTESA-N 0 1 312.438 3.389 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)[C@H]1CCCC[C@H]1C)c1ccccc1 ZINC000775399780 623268823 /nfs/dbraw/zinc/26/88/23/623268823.db2.gz JQAGTOQBMUAKOY-NEWSRXKRSA-N 0 1 312.457 3.378 20 30 DGEDMN Cc1c2ccccc2oc1[C@H](NCC(=O)NCCC#N)C(C)C ZINC000775407806 623270423 /nfs/dbraw/zinc/27/04/23/623270423.db2.gz FZRMTGNSGVXLFY-QGZVFWFLSA-N 0 1 313.401 3.058 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(Br)cc1)[C@@H]1CCCO1 ZINC000775573596 623291917 /nfs/dbraw/zinc/29/19/17/623291917.db2.gz ICYCVDBEAMJHAU-CQDKDKBSSA-N 0 1 308.219 3.281 20 30 DGEDMN C#C[C@H](N[C@@H]1CCc2cc(Br)ccc21)[C@H]1CCCO1 ZINC000775571129 623292053 /nfs/dbraw/zinc/29/20/53/623292053.db2.gz ARSZWWHRELESRA-ARFHVFGLSA-N 0 1 320.230 3.207 20 30 DGEDMN C#CCN(Cc1cccc2nccn21)[C@H]1CCc2ccccc21 ZINC000775632485 623299945 /nfs/dbraw/zinc/29/99/45/623299945.db2.gz YPZCMXSFCJAYKM-IBGZPJMESA-N 0 1 301.393 3.457 20 30 DGEDMN C#C[C@H](NCc1cccc(Br)c1C)[C@@H]1CCCO1 ZINC000775789990 623332461 /nfs/dbraw/zinc/33/24/61/623332461.db2.gz OJYXGJYCGBFYND-GJZGRUSLSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1ccccc1Oc1cccnc1)[C@H]1CCCO1 ZINC000775800129 623334084 /nfs/dbraw/zinc/33/40/84/623334084.db2.gz RDAPGFKJUKKPKY-PKOBYXMFSA-N 0 1 308.381 3.144 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@H]2[C@@H]1CCC[N@@H+]2C)c1ccccc1 ZINC000874834616 623365706 /nfs/dbraw/zinc/36/57/06/623365706.db2.gz UGEYTGACLBUBRZ-FHWLQOOXSA-N 0 1 312.457 3.432 20 30 DGEDMN CC(C)(C)[C@@H]1CN(CCCOc2ccc(C#N)cc2)CCO1 ZINC000790429937 625652190 /nfs/dbraw/zinc/65/21/90/625652190.db2.gz HEMNJXLNEYTPQV-KRWDZBQOSA-N 0 1 302.418 3.074 20 30 DGEDMN C[C@H](NCc1ccc([S@](C)=O)cc1)c1ccc(C#N)c(F)c1 ZINC000776744587 623459189 /nfs/dbraw/zinc/45/91/89/623459189.db2.gz AOAHGLUYLQBNQD-YTEVENLXSA-N 0 1 316.401 3.286 20 30 DGEDMN CC(N=Nc1cnnc2ccccc12)c1ccc(C#N)c(F)c1 ZINC000776770618 623461779 /nfs/dbraw/zinc/46/17/79/623461779.db2.gz WHZUNJZVIVUHMB-UHFFFAOYSA-N 0 1 305.316 3.477 20 30 DGEDMN CNC(=O)c1ccc(CN=Nc2nc3ccccc3cc2C)cc1 ZINC000776782287 623462673 /nfs/dbraw/zinc/46/26/73/623462673.db2.gz HPIXCYLZXJNZOA-UHFFFAOYSA-N 0 1 318.380 3.349 20 30 DGEDMN N#Cc1ccc(CNC[C@H]2COC3(CCCCCC3)O2)c(F)c1 ZINC000776960069 623480282 /nfs/dbraw/zinc/48/02/82/623480282.db2.gz ZSRMBXHVRQFVOU-INIZCTEOSA-N 0 1 318.392 3.253 20 30 DGEDMN N#CCc1ccccc1CC(=O)Nc1cccc(Cl)c1O ZINC000777428805 623523556 /nfs/dbraw/zinc/52/35/56/623523556.db2.gz XVNJYOISXCBMGO-UHFFFAOYSA-N 0 1 300.745 3.293 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2ccccn2)c(Br)c1 ZINC000777450755 623526793 /nfs/dbraw/zinc/52/67/93/623526793.db2.gz ZRZJTBYWUDKGDA-UHFFFAOYSA-N 0 1 321.134 3.198 20 30 DGEDMN N#Cc1ccc(CN2CCN(Cc3ccc(CF)cc3)CC2)cc1 ZINC000777554633 623540962 /nfs/dbraw/zinc/54/09/62/623540962.db2.gz PBJJRQLYNYSTJO-UHFFFAOYSA-N 0 1 323.415 3.346 20 30 DGEDMN COc1ccc(C=NNc2cc(Cl)ccn2)c(OC)c1OC ZINC000777905363 623583592 /nfs/dbraw/zinc/58/35/92/623583592.db2.gz ICCIFOAEIBADIO-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN O=C(/C=C/c1ccc([N+](=O)[O-])cc1F)c1ccc(O)cc1O ZINC000777918257 623585328 /nfs/dbraw/zinc/58/53/28/623585328.db2.gz KYJGQBYNMJJFNO-QHHAFSJGSA-N 0 1 303.245 3.041 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H](O)c1ccsc1 ZINC000778169568 623619279 /nfs/dbraw/zinc/61/92/79/623619279.db2.gz YPVTWVKPUBNGOK-OAHLLOKOSA-N 0 1 321.829 3.237 20 30 DGEDMN C[C@H](CCC#N)CN1CCC[C@@H]1C(=O)OCc1ccccc1 ZINC000876562217 623645673 /nfs/dbraw/zinc/64/56/73/623645673.db2.gz WQUITPDFWAVEJW-NVXWUHKLSA-N 0 1 300.402 3.134 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)Cc1ccc(Cl)nn1 ZINC000876582236 623650354 /nfs/dbraw/zinc/65/03/54/623650354.db2.gz MEGXDGWOZXMBQM-UHFFFAOYSA-N 0 1 300.793 3.412 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@@H]1c1ccccn1 ZINC000876585232 623651459 /nfs/dbraw/zinc/65/14/59/623651459.db2.gz DJTOMAFHAOKJNG-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN CCN(C[C@@H](C)C#N)CC1(CNC(=O)OC(C)(C)C)CCC1 ZINC000876617307 623661221 /nfs/dbraw/zinc/66/12/21/623661221.db2.gz JXAPKEWVBMGKNL-AWEZNQCLSA-N 0 1 309.454 3.163 20 30 DGEDMN Nc1nc(Cl)cc(N=NCc2csc3ccccc23)n1 ZINC000778550988 623668512 /nfs/dbraw/zinc/66/85/12/623668512.db2.gz SEYOBZISOGIWIJ-UHFFFAOYSA-N 0 1 303.778 3.373 20 30 DGEDMN C[C@@H](OC(=O)[C@H](C)N1CCCCCC1)c1cccc(C#N)c1 ZINC000778632501 623678286 /nfs/dbraw/zinc/67/82/86/623678286.db2.gz RHVOIQZMMNTODO-LSDHHAIUSA-N 0 1 300.402 3.427 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1CCCCCC1)c1cccc(C#N)c1 ZINC000778632499 623678315 /nfs/dbraw/zinc/67/83/15/623678315.db2.gz RHVOIQZMMNTODO-GJZGRUSLSA-N 0 1 300.402 3.427 20 30 DGEDMN C#CC[C@H](NCc1ccc([N+](=O)[O-])c(OC)c1)c1ccccc1 ZINC000778960120 623715338 /nfs/dbraw/zinc/71/53/38/623715338.db2.gz JSQLPUKWXNHOGD-INIZCTEOSA-N 0 1 310.353 3.458 20 30 DGEDMN C=CCN(CCc1ccco1)C[C@H](O)c1c(F)cccc1F ZINC000778996886 623717710 /nfs/dbraw/zinc/71/77/10/623717710.db2.gz VJQDRKHRTDJZBE-INIZCTEOSA-N 0 1 307.340 3.322 20 30 DGEDMN C[C@@H]1CCCC[C@H]1OCCNCc1cc(C#N)cnc1Cl ZINC000876932592 623748046 /nfs/dbraw/zinc/74/80/46/623748046.db2.gz PXSGQTGATTZYRG-IUODEOHRSA-N 0 1 307.825 3.292 20 30 DGEDMN Cc1cccc(O[C@H](C)CNCc2cc(C#N)cnc2Cl)c1 ZINC000877044243 623774396 /nfs/dbraw/zinc/77/43/96/623774396.db2.gz UKQYXJBFZTZNBO-CYBMUJFWSA-N 0 1 315.804 3.472 20 30 DGEDMN C[C@@H](C[C@@H](O)c1cccs1)NCc1cc(C#N)cnc1Cl ZINC000877130746 623799379 /nfs/dbraw/zinc/79/93/79/623799379.db2.gz SYUPQQJZHBFACE-GXFFZTMASA-N 0 1 321.833 3.270 20 30 DGEDMN C(=NNc1ccc2n[nH]cc2c1)c1cc(-c2ccccc2)[nH]n1 ZINC000779817268 623813539 /nfs/dbraw/zinc/81/35/39/623813539.db2.gz PFLDCFVBDYIMOF-UHFFFAOYSA-N 0 1 302.341 3.399 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3C(C)(C)C[C@H]2C)CC1 ZINC000780114662 623862652 /nfs/dbraw/zinc/86/26/52/623862652.db2.gz HGAQPKBIQGHFQY-MRXNPFEDSA-N 0 1 324.468 3.435 20 30 DGEDMN CC(C)O[C@H]1C[C@@H](NCc2cc(C#N)cnc2Cl)C1(C)C ZINC000877378860 623863829 /nfs/dbraw/zinc/86/38/29/623863829.db2.gz CJLYVTJPBLESKB-KGLIPLIRSA-N 0 1 307.825 3.288 20 30 DGEDMN COc1ccc(NN=Cc2nc3cc(Cl)ccc3n2C)nc1 ZINC000780265411 623899951 /nfs/dbraw/zinc/89/99/51/623899951.db2.gz GWWPEEHZWOUJLA-UHFFFAOYSA-N 0 1 315.764 3.076 20 30 DGEDMN COCCC(N=Nc1ccc(OC)cn1)c1ccc(F)cc1 ZINC000780271252 623901792 /nfs/dbraw/zinc/90/17/92/623901792.db2.gz RMBVUNUJZNBXTO-UHFFFAOYSA-N 0 1 303.337 3.082 20 30 DGEDMN C=CC[C@@H]1N(Cc2cn(C[C@@H]3CCCO3)nn2)CCCC1(C)C ZINC000877480570 623903461 /nfs/dbraw/zinc/90/34/61/623903461.db2.gz ZMUBZQZTDUFBCG-IRXDYDNUSA-N 0 1 318.465 3.024 20 30 DGEDMN C=CC[C@H]1N(Cc2cn(C[C@@H]3CCCO3)nn2)CCCC1(C)C ZINC000877480568 623903732 /nfs/dbraw/zinc/90/37/32/623903732.db2.gz ZMUBZQZTDUFBCG-DLBZAZTESA-N 0 1 318.465 3.024 20 30 DGEDMN CC[C@@H]1C[C@H](C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)CCO1 ZINC000780381555 623919734 /nfs/dbraw/zinc/91/97/34/623919734.db2.gz LDHDGVLHQGDVQL-MCIONIFRSA-N 0 1 311.385 3.253 20 30 DGEDMN Cc1ccc([C@@H](O)[C@@H](C)NCc2cc(C#N)cnc2Cl)cc1 ZINC000877517328 623920845 /nfs/dbraw/zinc/92/08/45/623920845.db2.gz MXQFFJIIOMGICK-WBMJQRKESA-N 0 1 315.804 3.127 20 30 DGEDMN COCCOc1ccc(C(C)N=Nc2ccnc(F)c2)cc1 ZINC000781134192 624027590 /nfs/dbraw/zinc/02/75/90/624027590.db2.gz VRFMXOMWSBEUSN-UHFFFAOYSA-N 0 1 303.337 3.082 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(C#N)cc2F)cc1C#N ZINC000781423077 624070022 /nfs/dbraw/zinc/07/00/22/624070022.db2.gz PNIVSWNPZXUFIV-LBPRGKRZSA-N 0 1 309.344 3.428 20 30 DGEDMN COC[C@H](Cn1[nH]c(C(C)(C)C)c(CCC#N)c1=O)C(C)C ZINC000877879289 624077071 /nfs/dbraw/zinc/07/70/71/624077071.db2.gz WHXBBZDNKMUCFF-ZDUSSCGKSA-N 0 1 307.438 3.261 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)c2ccccc2OC(F)F)CC1 ZINC000877897032 624081891 /nfs/dbraw/zinc/08/18/91/624081891.db2.gz OJRQERVAMKLFHA-ZDUSSCGKSA-N 0 1 324.371 3.010 20 30 DGEDMN C=CC[N@H+](Cc1ccccc1)C[C@@H]1CC2(CO1)CCOCC2 ZINC000877917051 624091506 /nfs/dbraw/zinc/09/15/06/624091506.db2.gz NPKGTTSYFGGZKX-SFHVURJKSA-N 0 1 301.430 3.260 20 30 DGEDMN C=CC[C@@H](C)N(C)CC(=O)Nc1ccccc1Br ZINC000877944037 624104263 /nfs/dbraw/zinc/10/42/63/624104263.db2.gz UBYSWIPDJUNFNO-LLVKDONJSA-N 0 1 311.223 3.284 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1C[C@@H](C)S[C@H](C)C1)c1ccccc1 ZINC000878137022 624184242 /nfs/dbraw/zinc/18/42/42/624184242.db2.gz MTHLESBTRBPSKE-IIDMSEBBSA-N 0 1 316.470 3.253 20 30 DGEDMN COc1cc(C(C)=NNc2ccc(Br)cn2)ccn1 ZINC000782222367 624223302 /nfs/dbraw/zinc/22/33/02/624223302.db2.gz WYWXDJZHKMDHDL-UHFFFAOYSA-N 0 1 321.178 3.084 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2cc(Br)nn2C)c(O)c1 ZINC000782349351 624242596 /nfs/dbraw/zinc/24/25/96/624242596.db2.gz XOZMMJAXAHPVOL-GQCTYLIASA-N 0 1 321.174 3.093 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)c1 ZINC000878401464 624267949 /nfs/dbraw/zinc/26/79/49/624267949.db2.gz WBNKZSBPTRHVRB-PXAZEXFGSA-N 0 1 311.385 3.279 20 30 DGEDMN COc1ccccc1SCC(=O)Nc1cc(C#N)ccc1O ZINC000127129450 624285407 /nfs/dbraw/zinc/28/54/07/624285407.db2.gz XJDNNVRDPJCBSH-UHFFFAOYSA-N 0 1 314.366 3.003 20 30 DGEDMN CC(C)(C)CCNN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000782908223 624290108 /nfs/dbraw/zinc/29/01/08/624290108.db2.gz SNOLYZYLSVDFDI-UHFFFAOYSA-N 0 1 307.413 3.022 20 30 DGEDMN CCn1ccc(CN(C)CC[C@](C#N)(C(C)=O)c2ccccc2)c1 ZINC000878499254 624291587 /nfs/dbraw/zinc/29/15/87/624291587.db2.gz TXUNDPOCRISXEH-FQEVSTJZSA-N 0 1 323.440 3.380 20 30 DGEDMN CO[C@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000783020621 624301431 /nfs/dbraw/zinc/30/14/31/624301431.db2.gz LIDIAGGFZCDRGT-HNNXBMFYSA-N 0 1 308.809 3.243 20 30 DGEDMN CC1(C)CC[C@H](CN2CCC(C#N)(c3ccccn3)CC2)OC1 ZINC000878558017 624307183 /nfs/dbraw/zinc/30/71/83/624307183.db2.gz PNFWLDFCGKXBII-MRXNPFEDSA-N 0 1 313.445 3.144 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc(SCC)cc1 ZINC000783532942 624362368 /nfs/dbraw/zinc/36/23/68/624362368.db2.gz WJQUXXQXZYXCBV-CYBMUJFWSA-N 0 1 313.488 3.050 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc(CC(C)C)cc1 ZINC000783535688 624363355 /nfs/dbraw/zinc/36/33/55/624363355.db2.gz FZBJIVYMAVKMLW-OAHLLOKOSA-N 0 1 309.475 3.137 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC000878843655 624370906 /nfs/dbraw/zinc/37/09/06/624370906.db2.gz FIZUYALQZRJPHZ-MCIONIFRSA-N 0 1 301.352 3.269 20 30 DGEDMN O=C1CCC(N=Nc2cnnc3ccccc23)c2ccccc2N1 ZINC000783846637 624399802 /nfs/dbraw/zinc/39/98/02/624399802.db2.gz NEOKNTRTZHAIHX-UHFFFAOYSA-N 0 1 317.352 3.178 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC000879223137 624453559 /nfs/dbraw/zinc/45/35/59/624453559.db2.gz MOKXULBPDSYWBX-XJKSGUPXSA-N 0 1 315.417 3.046 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(C#N)cc1Cl)N1CCCC1 ZINC000784228046 624458072 /nfs/dbraw/zinc/45/80/72/624458072.db2.gz DGJOZRFOWJKGOL-INIZCTEOSA-N 0 1 320.820 3.375 20 30 DGEDMN CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)[C@H]1CCC[C@H]1C#N ZINC000879308607 624494698 /nfs/dbraw/zinc/49/46/98/624494698.db2.gz DSAIDEOLUINGSC-PMPSAXMXSA-N 0 1 321.465 3.258 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CCC[C@H](NC(=O)OC(C)(C)C)[C@@H]2C1 ZINC000784522994 624540248 /nfs/dbraw/zinc/54/02/48/624540248.db2.gz JUIIMHUQUCFDQO-MJBXVCDLSA-N 0 1 314.857 3.364 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@@H](CCCN2CC2(C#N)CC2)C1 ZINC000879701959 624644157 /nfs/dbraw/zinc/64/41/57/624644157.db2.gz VCNKVLDIOVLMAD-LSDHHAIUSA-N 0 1 319.449 3.012 20 30 DGEDMN C#CCOc1ccccc1-c1noc(CN2[C@@H](C)CC[C@@H]2C)n1 ZINC000785584009 624691916 /nfs/dbraw/zinc/69/19/16/624691916.db2.gz INDIYYRKYLBXQZ-KBPBESRZSA-N 0 1 311.385 3.121 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@](C)(C(F)(F)F)C1 ZINC000880001239 624747544 /nfs/dbraw/zinc/74/75/44/624747544.db2.gz QUHUOHYKWKNIJZ-JSGCOSHPSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCC[N@H+](C)Cc1c(O)ccc(C(=O)c2ccccc2)c1[O-] ZINC000786102782 624801764 /nfs/dbraw/zinc/80/17/64/624801764.db2.gz VCHVENDPDUZUFT-UHFFFAOYSA-N 0 1 311.381 3.337 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1ccc(F)cc1C#N ZINC000786342590 624858748 /nfs/dbraw/zinc/85/87/48/624858748.db2.gz JGTBEWBWQUOPBG-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCc2cccc(Cl)c2)C1 ZINC000786534812 624913198 /nfs/dbraw/zinc/91/31/98/624913198.db2.gz MVWKJPRMVZBYRJ-ZLDLUXBVSA-N 0 1 318.804 3.101 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCCC2(C)C)c1 ZINC000786540995 624915189 /nfs/dbraw/zinc/91/51/89/624915189.db2.gz NTBFGIJZLRZRRT-HOTGVXAUSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786543958 624916749 /nfs/dbraw/zinc/91/67/49/624916749.db2.gz UGKLPGWKGGKVHS-IAGOWNOFSA-N 0 1 314.429 3.392 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786553552 624920429 /nfs/dbraw/zinc/92/04/29/624920429.db2.gz VXBBEIOSYXXRHO-SJORKVTESA-N 0 1 314.429 3.392 20 30 DGEDMN C=CCc1cc(OCC)cc(CN(C)Cc2cccn2C)c1O ZINC000128380511 624939136 /nfs/dbraw/zinc/93/91/36/624939136.db2.gz WBPOTDKKFWHRSX-UHFFFAOYSA-N 0 1 314.429 3.490 20 30 DGEDMN N#CCc1ccc(COC(=O)[C@@H]2CCCN2C2CCCC2)cc1 ZINC000786881865 624960986 /nfs/dbraw/zinc/96/09/86/624960986.db2.gz VEHSVHCSTVEQFS-SFHVURJKSA-N 0 1 312.413 3.203 20 30 DGEDMN Cn1cc(C2C[C@H]3CC[C@@H](C2)N3Cc2cc(F)ccc2C#N)cn1 ZINC000880519133 624971495 /nfs/dbraw/zinc/97/14/95/624971495.db2.gz JHTDAFZMZVXCLD-WUUXGELPSA-N 0 1 324.403 3.341 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C1(F)CCCC1)c1ccccc1 ZINC000787034726 624972662 /nfs/dbraw/zinc/97/26/62/624972662.db2.gz BCBGPGGFBIOJKV-LSDHHAIUSA-N 0 1 316.376 3.245 20 30 DGEDMN CCCCCCCCC/C=C/C(=O)N1CCc2[nH]nnc2C1 ZINC000787080733 624977229 /nfs/dbraw/zinc/97/72/29/624977229.db2.gz XUOHMSTXEYSLCE-ZHACJKMWSA-N 0 1 304.438 3.386 20 30 DGEDMN N#CC(C(=O)Cc1ccc(F)cn1)c1ccc2ccccc2n1 ZINC000805844105 625023668 /nfs/dbraw/zinc/02/36/68/625023668.db2.gz FAGZDRLRHQCWET-OAHLLOKOSA-N 0 1 305.312 3.188 20 30 DGEDMN CC(C)(C)CCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000805860916 625100201 /nfs/dbraw/zinc/10/02/01/625100201.db2.gz MBVKKBVGTSIRQU-ZDUSSCGKSA-N 0 1 301.390 3.335 20 30 DGEDMN Cc1cc(N=NC2CC(C)(C)c3ccc([N+](=O)[O-])cc32)ncn1 ZINC000788429887 625169235 /nfs/dbraw/zinc/16/92/35/625169235.db2.gz PRYSLGPQLPXFHS-UHFFFAOYSA-N 0 1 311.345 3.191 20 30 DGEDMN C#C[C@H](N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)[C@H]1CCCO1 ZINC000788601461 625225851 /nfs/dbraw/zinc/22/58/51/625225851.db2.gz QWNUHJLSXSYPPU-YESZJQIVSA-N 0 1 314.385 3.088 20 30 DGEDMN C=C(C)C[N@@H+](CC(=O)[N-]OCc1ccccc1)Cc1ccccc1 ZINC000791567789 625826623 /nfs/dbraw/zinc/82/66/23/625826623.db2.gz LTZLIBPHJNIFOD-UHFFFAOYSA-N 0 1 324.424 3.313 20 30 DGEDMN N#CC(C(=O)CCOCC(F)F)c1nccc2ccccc21 ZINC000792092492 625909207 /nfs/dbraw/zinc/90/92/07/625909207.db2.gz CAFBMSSNWYJALZ-CYBMUJFWSA-N 0 1 304.296 3.083 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nccc2ccccc21 ZINC000792094830 625909291 /nfs/dbraw/zinc/90/92/91/625909291.db2.gz HJCYCDIZJAWXSJ-FUTAKVPZSA-N 0 1 306.365 3.394 20 30 DGEDMN CCCCCCCCCN1CCN(Cc2noc(C)n2)CC1 ZINC000792247865 625931014 /nfs/dbraw/zinc/93/10/14/625931014.db2.gz GZBQPTLRNMSNPS-UHFFFAOYSA-N 0 1 308.470 3.246 20 30 DGEDMN C#CCN(C)C[C@@H](O)COc1cc(C)c(Cl)cc1C(C)C ZINC000792298831 625934812 /nfs/dbraw/zinc/93/48/12/625934812.db2.gz TZTKDBUBCXYFRD-CQSZACIVSA-N 0 1 309.837 3.077 20 30 DGEDMN C=CCCOCCNCc1c(Cl)nc2cc(Cl)ccn21 ZINC000796340679 626039959 /nfs/dbraw/zinc/03/99/59/626039959.db2.gz ORJLDDDOLXYNED-UHFFFAOYSA-N 0 1 314.216 3.323 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)c1cccc(F)c1 ZINC000796385741 626045393 /nfs/dbraw/zinc/04/53/93/626045393.db2.gz XKVOPZWJIUFXMG-SFHVURJKSA-N 0 1 319.376 3.023 20 30 DGEDMN CC[C@H](C)[C@@H](OC)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000796630428 626067935 /nfs/dbraw/zinc/06/79/35/626067935.db2.gz DKHYLDMFQKFMNQ-PSOPSSQASA-N 0 1 322.792 3.048 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)C(=O)[C@H](F)CC1CCCCC1 ZINC000796653457 626070275 /nfs/dbraw/zinc/07/02/75/626070275.db2.gz IDUINMMFAXKTTL-ZIAGYGMSSA-N 0 1 317.364 3.037 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CC[C@H](C(F)(F)F)C2)nc(C)n1 ZINC000796778081 626081258 /nfs/dbraw/zinc/08/12/58/626081258.db2.gz VXVCXLINAJFJGI-WOPDTQHZSA-N 0 1 311.307 3.248 20 30 DGEDMN CC1(C)CC(C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000796781160 626081818 /nfs/dbraw/zinc/08/18/18/626081818.db2.gz WZVPTLPQJAEGGD-CYBMUJFWSA-N 0 1 304.777 3.424 20 30 DGEDMN CC(C)c1c(Cl)ncnc1[N-][NH+]=Cc1cnccc1N(C)C ZINC000797405049 626140453 /nfs/dbraw/zinc/14/04/53/626140453.db2.gz VCYJNBOQSBONMO-UHFFFAOYSA-N 0 1 318.812 3.160 20 30 DGEDMN C[C@@H]1[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)[C@H]1c1ccccc1 ZINC000797548922 626151907 /nfs/dbraw/zinc/15/19/07/626151907.db2.gz SMKVGJMHZLFNHG-LIRZEXBASA-N 0 1 324.424 3.194 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)C[C@H]2CCCOC2)s1 ZINC000797568179 626153869 /nfs/dbraw/zinc/15/38/69/626153869.db2.gz GEFZVIMPDOWKIF-NEPJUHHUSA-N 0 1 306.431 3.434 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=Cc2ccncn2)s1 ZINC000797571444 626154113 /nfs/dbraw/zinc/15/41/13/626154113.db2.gz RMRVMWLMLDPPLR-RXNFCKPNSA-N 0 1 312.398 3.120 20 30 DGEDMN CCOCCO[C@H](C)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797572184 626154586 /nfs/dbraw/zinc/15/45/86/626154586.db2.gz ZZYRSVPZNCFJQC-NEPJUHHUSA-N 0 1 324.446 3.058 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)nc(C)n1 ZINC000798287806 626208237 /nfs/dbraw/zinc/20/82/37/626208237.db2.gz LDLOHDSFVCZVQO-XHSDSOJGSA-N 0 1 309.344 3.213 20 30 DGEDMN COc1cc(CN[C@@H](C)c2ccnc(Cl)c2)ccc1C#N ZINC000799341988 626292861 /nfs/dbraw/zinc/29/28/61/626292861.db2.gz IQWBFPGNKHQENG-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN Cc1ccc(C(=O)OC[C@H]2CCCN2Cc2ccco2)cc1C#N ZINC000799619565 626313357 /nfs/dbraw/zinc/31/33/57/626313357.db2.gz DFKNUIRSFUWYHB-QGZVFWFLSA-N 0 1 324.380 3.281 20 30 DGEDMN CCCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000800387914 626355514 /nfs/dbraw/zinc/35/55/14/626355514.db2.gz WUJJXDLQYUVGNO-OLZOCXBDSA-N 0 1 302.374 3.169 20 30 DGEDMN Cc1ccc(OCCN2CCC(C#N)(c3ccccn3)CC2)cc1 ZINC000800409138 626357711 /nfs/dbraw/zinc/35/77/11/626357711.db2.gz FZRYXOJGMSKECY-UHFFFAOYSA-N 0 1 321.424 3.326 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1ccc(O)c(F)c1 ZINC000800818235 626376768 /nfs/dbraw/zinc/37/67/68/626376768.db2.gz OAOXDWIXXQVLNC-UHFFFAOYSA-N 0 1 320.295 3.293 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1ccccc1O ZINC000800818402 626377040 /nfs/dbraw/zinc/37/70/40/626377040.db2.gz WGUGWMGTLONVRA-UHFFFAOYSA-N 0 1 302.305 3.154 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1nc(-c2ccncc2)cs1 ZINC000802053786 626429913 /nfs/dbraw/zinc/42/99/13/626429913.db2.gz AJWFADVQGPLMGV-XGACYXMMSA-N 0 1 320.377 3.423 20 30 DGEDMN COCCCn1nccc1C=NNc1c(F)cccc1Cl ZINC000802712545 626567238 /nfs/dbraw/zinc/56/72/38/626567238.db2.gz PYWVLYYOAHZAJC-UHFFFAOYSA-N 0 1 310.760 3.158 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000802797104 626573416 /nfs/dbraw/zinc/57/34/16/626573416.db2.gz OUYDPVFFHSONJH-BXUZGUMPSA-N 0 1 322.355 3.116 20 30 DGEDMN Cc1ccnc2ccc(C(=O)Nc3cc(C#N)ccc3O)cc12 ZINC000802891921 626580891 /nfs/dbraw/zinc/58/08/91/626580891.db2.gz WSWVSMWXKNFXTD-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C[C@@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000883358583 626660081 /nfs/dbraw/zinc/66/00/81/626660081.db2.gz OADXRDBFKCHIFN-LDWIPMOCSA-N 0 1 319.220 3.174 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1cc(F)ccc1Br ZINC000883400023 626673219 /nfs/dbraw/zinc/67/32/19/626673219.db2.gz BOPUMXVNPIULBN-LBPRGKRZSA-N 0 1 302.187 3.005 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)NC(=O)c1cccc2nc(C)[nH]c21 ZINC000804452365 626681428 /nfs/dbraw/zinc/68/14/28/626681428.db2.gz FJYGWNQMMQYJBU-INIZCTEOSA-N 0 1 317.392 3.236 20 30 DGEDMN CC[C@](C)(C#N)C(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807840559 626771120 /nfs/dbraw/zinc/77/11/20/626771120.db2.gz DRRQJMZGQKHACG-CYBMUJFWSA-N 0 1 301.173 3.255 20 30 DGEDMN C[C@@H]1CC(=NNc2ccccc2S(C)(=O)=O)c2ccccc21 ZINC000807971378 626781435 /nfs/dbraw/zinc/78/14/35/626781435.db2.gz SOIPXSGNTGYICZ-GFCCVEGCSA-N 0 1 314.410 3.414 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1ccc(C(F)(F)F)[nH]1 ZINC000807980579 626781973 /nfs/dbraw/zinc/78/19/73/626781973.db2.gz SDPFMEIQLGTUQW-UHFFFAOYSA-N 0 1 311.263 3.266 20 30 DGEDMN Cc1nc(CN=Nc2nccnc2C2CCC2)c2ccccn12 ZINC000807992105 626784178 /nfs/dbraw/zinc/78/41/78/626784178.db2.gz ZLOBFFYTKSIEQK-UHFFFAOYSA-N 0 1 306.373 3.146 20 30 DGEDMN COc1cccc2c(C(=O)O[C@H](C)c3ccc(C#N)cc3)[nH]nc21 ZINC000808675433 626847861 /nfs/dbraw/zinc/84/78/61/626847861.db2.gz GPLRWJUVFRISFW-LLVKDONJSA-N 0 1 321.336 3.361 20 30 DGEDMN COc1cccc2c(C(=O)OCCCC(C)(C)C#N)[nH]nc21 ZINC000808675573 626848379 /nfs/dbraw/zinc/84/83/79/626848379.db2.gz LOTOAFBDWAKBQG-UHFFFAOYSA-N 0 1 301.346 3.058 20 30 DGEDMN C#CCN1CCC[C@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000884610457 626914123 /nfs/dbraw/zinc/91/41/23/626914123.db2.gz MHTWBQFZKUYRMT-NSHDSACASA-N 0 1 309.316 3.042 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2ccc([N+](=O)[O-])cc2C(F)F)C1 ZINC000884610456 626914402 /nfs/dbraw/zinc/91/44/02/626914402.db2.gz MHTWBQFZKUYRMT-LLVKDONJSA-N 0 1 309.316 3.042 20 30 DGEDMN CC(C)C[C@H](C)CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000809639099 626930890 /nfs/dbraw/zinc/93/08/90/626930890.db2.gz GYXRLSCUAXPXPT-SOUVJXGZSA-N 0 1 309.454 3.017 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N1CCC(c2nc(C)c(C)[nH]2)CC1 ZINC000884707504 626932525 /nfs/dbraw/zinc/93/25/25/626932525.db2.gz OKNOATYYBXGPHO-UHFFFAOYSA-N 0 1 322.412 3.485 20 30 DGEDMN Cc1nc(C2CCN(c3nc(C#N)c(Cl)s3)CC2)[nH]c1C ZINC000884706722 626932629 /nfs/dbraw/zinc/93/26/29/626932629.db2.gz LWNYVRZTULDKNA-UHFFFAOYSA-N 0 1 321.837 3.392 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@H](Oc3ncccc3F)C2)cc1 ZINC000809655528 626933028 /nfs/dbraw/zinc/93/30/28/626933028.db2.gz LWMDXTYZEXNMEU-KRWDZBQOSA-N 0 1 310.372 3.245 20 30 DGEDMN C#C[C@@H](NCc1cc(OC)ccc1OCc1ccccn1)C(C)C ZINC000809685182 626935949 /nfs/dbraw/zinc/93/59/49/626935949.db2.gz DTCZXQSUGSYSBT-LJQANCHMSA-N 0 1 324.424 3.417 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cccc(OC)c1O ZINC000809702540 626938884 /nfs/dbraw/zinc/93/88/84/626938884.db2.gz UWAIOQCRVIQPLB-UHFFFAOYSA-N 0 1 317.385 3.064 20 30 DGEDMN C#C[C@H](NCc1cc2c(C)nn(C)c2nc1Cl)C(C)(C)C ZINC000809781615 626952605 /nfs/dbraw/zinc/95/26/05/626952605.db2.gz XIWYXQCPHTYECJ-ZDUSSCGKSA-N 0 1 304.825 3.068 20 30 DGEDMN C#Cc1ccccc1Cc1nc(-c2cc3nc[nH]c3c(C)c2)no1 ZINC000810298109 626998895 /nfs/dbraw/zinc/99/88/95/626998895.db2.gz LEXJKJITQXBVBD-UHFFFAOYSA-N 0 1 314.348 3.493 20 30 DGEDMN C[C@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1cccc(C#N)c1 ZINC000810568937 627017759 /nfs/dbraw/zinc/01/77/59/627017759.db2.gz SAPSLYWMOLFBIH-UGSOOPFHSA-N 0 1 318.392 3.375 20 30 DGEDMN C#Cc1ccccc1CC(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000810640655 627030689 /nfs/dbraw/zinc/03/06/89/627030689.db2.gz FDOKZYQTGKSMLQ-GOSISDBHSA-N 0 1 323.392 3.011 20 30 DGEDMN CC(=Cc1cccc(Cl)c1)C(=O)[C@H](C#N)C(=O)N1CCCC1 ZINC000136378553 627062859 /nfs/dbraw/zinc/06/28/59/627062859.db2.gz PGMYAFZYCNKSCT-LMRWQKIVSA-N 0 1 316.788 3.075 20 30 DGEDMN CC(C)COC[C@@H](NC[C@H](O)CC1(C#N)CCC1)c1ccco1 ZINC000886124787 627108851 /nfs/dbraw/zinc/10/88/51/627108851.db2.gz AKQXIXLYSONURI-HZPDHXFCSA-N 0 1 320.433 3.028 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CC[N@@H+](C[C@@H](O)CC2(C#N)CCC2)C1 ZINC000886190642 627119304 /nfs/dbraw/zinc/11/93/04/627119304.db2.gz YRPGDWWXTRXHDV-QFBILLFUSA-N 0 1 316.420 3.310 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CCN(C[C@@H](O)CC2(C#N)CCC2)C1 ZINC000886190642 627119305 /nfs/dbraw/zinc/11/93/05/627119305.db2.gz YRPGDWWXTRXHDV-QFBILLFUSA-N 0 1 316.420 3.310 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCOC2CCCCC2)CC1 ZINC000886190316 627119316 /nfs/dbraw/zinc/11/93/16/627119316.db2.gz MBYIOHIGWAEDBV-UHFFFAOYSA-N 0 1 313.445 3.288 20 30 DGEDMN COc1cncc(CNCc2ccc(SC)c(C#N)c2)c1C ZINC000886277298 627129675 /nfs/dbraw/zinc/12/96/75/627129675.db2.gz MQNUYDFPIRAVAK-UHFFFAOYSA-N 0 1 313.426 3.282 20 30 DGEDMN C#CC[C@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000886362694 627142957 /nfs/dbraw/zinc/14/29/57/627142957.db2.gz JODHBUGHONTPCC-LBPRGKRZSA-N 0 1 302.324 3.465 20 30 DGEDMN CCn1cc([C@H](C)NCc2ccc(SC)c(C#N)c2)cn1 ZINC000886688763 627190237 /nfs/dbraw/zinc/19/02/37/627190237.db2.gz ZRYIAWZBSUMSTO-LBPRGKRZSA-N 0 1 300.431 3.347 20 30 DGEDMN C=CCC1(O)CCN(Cc2cc(Cl)cc3c2OCC3)CC1 ZINC000886776613 627203606 /nfs/dbraw/zinc/20/36/06/627203606.db2.gz KWCFNHDHCJCBBN-UHFFFAOYSA-N 0 1 307.821 3.178 20 30 DGEDMN C[C@H](N[C@@H]1CCc2c1cccc2F)C(=O)Nc1cccc(C#N)c1 ZINC000886926399 627215031 /nfs/dbraw/zinc/21/50/31/627215031.db2.gz LZPHIRSRGUQJGO-KPZWWZAWSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCC1(NCc2ncc(-c3ccc(F)cc3)o2)CCOCC1 ZINC000887125700 627227211 /nfs/dbraw/zinc/22/72/11/627227211.db2.gz GFQDTGMCOFPQQV-UHFFFAOYSA-N 0 1 314.360 3.143 20 30 DGEDMN O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN=Nc1cnccn1 ZINC000811638169 627297114 /nfs/dbraw/zinc/29/71/14/627297114.db2.gz JEPRSLRJGSJZOR-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=Cc1ccc(N2CCCC2)nc1 ZINC000811668712 627304361 /nfs/dbraw/zinc/30/43/61/627304361.db2.gz SCAUTEAKNWXAJB-INIZCTEOSA-N 0 1 324.428 3.073 20 30 DGEDMN CC(C)c1cc(C(=O)N2CCC(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000887706035 627309934 /nfs/dbraw/zinc/30/99/34/627309934.db2.gz JGHMIGJOMPPATH-UHFFFAOYSA-N 0 1 322.412 3.425 20 30 DGEDMN C#C[C@H](C)NC(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000811993325 627345695 /nfs/dbraw/zinc/34/56/95/627345695.db2.gz TVBZMIFGVUXOGQ-BBRMVZONSA-N 0 1 321.852 3.044 20 30 DGEDMN C#C[C@H](NC(=O)NC[C@@H](c1ccco1)N(CC)CC)C(C)(C)C ZINC000812016603 627347453 /nfs/dbraw/zinc/34/74/53/627347453.db2.gz LMERLJLBXGUJST-HOCLYGCPSA-N 0 1 319.449 3.010 20 30 DGEDMN C[C@@H](NCc1c2c(nn1C)CCCC2)c1ccc(OCC#N)cc1 ZINC000812737671 627414677 /nfs/dbraw/zinc/41/46/77/627414677.db2.gz RFNJMNSKFAVWHO-CQSZACIVSA-N 0 1 324.428 3.052 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000813060452 627444206 /nfs/dbraw/zinc/44/42/06/627444206.db2.gz ZUGRNIFIIBEQKS-HONMWMINSA-N 0 1 304.777 3.424 20 30 DGEDMN N#CC1(CCOC(=O)[C@@H]2CCCc3[nH]ncc32)CCCCC1 ZINC000840288190 627481121 /nfs/dbraw/zinc/48/11/21/627481121.db2.gz HUNHSUYWPJATCT-CYBMUJFWSA-N 0 1 301.390 3.237 20 30 DGEDMN FC(F)Cn1cc(C=NNc2ccc(C(F)(F)F)cn2)cn1 ZINC000814213829 627552015 /nfs/dbraw/zinc/55/20/15/627552015.db2.gz JHPWRMGMEWTAQA-UHFFFAOYSA-N 0 1 319.237 3.008 20 30 DGEDMN C=CCCC[C@@H](C)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814664643 627582374 /nfs/dbraw/zinc/58/23/74/627582374.db2.gz XXOFVRDURCBRII-OAHLLOKOSA-N 0 1 324.465 3.053 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1C=NNc1cnc2ccccc2n1 ZINC000814830288 627596337 /nfs/dbraw/zinc/59/63/37/627596337.db2.gz GGEISYXGTAJGHQ-UHFFFAOYSA-N 0 1 321.171 3.116 20 30 DGEDMN CCC(=[NH+][N-]c1ccc(C(=O)[O-])cc1OC)c1cccc(N)c1 ZINC000814886430 627602973 /nfs/dbraw/zinc/60/29/73/627602973.db2.gz BSCQSDCAENTDES-UHFFFAOYSA-N 0 1 313.357 3.202 20 30 DGEDMN Fc1ccc(N=NCc2cccc3c2OCCO3)c(F)c1F ZINC000814946067 627612165 /nfs/dbraw/zinc/61/21/65/627612165.db2.gz DSQNBQPUSZGZDS-UHFFFAOYSA-N 0 1 308.259 3.321 20 30 DGEDMN COCc1nc(Cl)cc(NN=Cc2cccc(F)c2F)n1 ZINC000814978472 627615183 /nfs/dbraw/zinc/61/51/83/627615183.db2.gz IAEYKQNQPVPVKJ-UHFFFAOYSA-N 0 1 312.707 3.001 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC000815149986 627638102 /nfs/dbraw/zinc/63/81/02/627638102.db2.gz IGRVWFTXXNDRQT-MRXNPFEDSA-N 0 1 322.399 3.460 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000816508629 627799112 /nfs/dbraw/zinc/79/91/12/627799112.db2.gz QDOKLVLMEUAIQY-GOSISDBHSA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000816526085 627802764 /nfs/dbraw/zinc/80/27/64/627802764.db2.gz SYNBAQJUGFNDFR-CYBMUJFWSA-N 0 1 310.344 3.222 20 30 DGEDMN Cc1ccc(S(=O)(=O)C[C@@H](C)N[C@H](C)CC(C)(C)C#N)cc1 ZINC000926205784 627861078 /nfs/dbraw/zinc/86/10/78/627861078.db2.gz JXNFMLTZSYMBIR-HUUCEWRRSA-N 0 1 322.474 3.075 20 30 DGEDMN Nc1ccc2c(c1)C(=NN[C@@H](CO)c1ccccc1)CCCC2 ZINC000817327532 627883488 /nfs/dbraw/zinc/88/34/88/627883488.db2.gz KLNMWLVWDYGWNP-IBGZPJMESA-N 0 1 309.413 3.023 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)[C@H]1CC12CC2 ZINC000817713352 627937139 /nfs/dbraw/zinc/93/71/39/627937139.db2.gz RIKUBGJXLDGPIU-VXGBXAGGSA-N 0 1 323.179 3.441 20 30 DGEDMN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)Nc2ccc(CCC#N)cc2)C1 ZINC000928400868 628148257 /nfs/dbraw/zinc/14/82/57/628148257.db2.gz JNEWRMMOJXAMMX-WBMJQRKESA-N 0 1 308.385 3.170 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@@H](c2ccccc2)C(C)C)CC1 ZINC000928658672 628173053 /nfs/dbraw/zinc/17/30/53/628173053.db2.gz XXWCQKTYIOAXBM-LJQANCHMSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@@H](CC)c2ccc(C)cc2)CC1 ZINC000928655193 628173210 /nfs/dbraw/zinc/17/32/10/628173210.db2.gz NDRALHDNPULQEA-QGZVFWFLSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCC(NC(=O)CC(C)(C)C2CCCCC2)CC1 ZINC000928653560 628173227 /nfs/dbraw/zinc/17/32/27/628173227.db2.gz QJLGKRAIUFEIPM-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@@H]2C[C@@H](C)c3c2cccc3C)CC1 ZINC000928652794 628173291 /nfs/dbraw/zinc/17/32/91/628173291.db2.gz MYRLGUDZUSYXBX-SJORKVTESA-N 0 1 324.468 3.190 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCCC[C@@H]1C ZINC000819942237 628202076 /nfs/dbraw/zinc/20/20/76/628202076.db2.gz FVPXFMWCLZVVDT-BFHYXJOUSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1cccc(-c2nn[nH]n2)c1 ZINC000820893161 628310697 /nfs/dbraw/zinc/31/06/97/628310697.db2.gz LFPPHMOMGXXBLK-UHFFFAOYSA-N 0 1 312.421 3.019 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN(C[C@@H](C)C#N)C1CC1 ZINC000823476903 628566720 /nfs/dbraw/zinc/56/67/20/628566720.db2.gz CMEBMVNPFOMPJE-LSDHHAIUSA-N 0 1 323.481 3.407 20 30 DGEDMN N#CC1(CC(=O)Nc2nc(-c3cccs3)n[nH]2)CCCCC1 ZINC000825778839 628796852 /nfs/dbraw/zinc/79/68/52/628796852.db2.gz ACLSZAAGTROQFB-UHFFFAOYSA-N 0 1 315.402 3.336 20 30 DGEDMN CC(C)C[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000826831767 628892603 /nfs/dbraw/zinc/89/26/03/628892603.db2.gz GUBHHHDGDMFRAF-RDJZCZTQSA-N 0 1 300.402 3.442 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](Cc2cc(Cl)cc([N+](=O)[O-])c2[O-])C1 ZINC000826861499 628896073 /nfs/dbraw/zinc/89/60/73/628896073.db2.gz GHOHIERSJOGQLW-SNVBAGLBSA-N 0 1 309.753 3.079 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](Cc2cc(Cl)cc([N+](=O)[O-])c2[O-])C1 ZINC000826861492 628896454 /nfs/dbraw/zinc/89/64/54/628896454.db2.gz GHOHIERSJOGQLW-JTQLQIEISA-N 0 1 309.753 3.079 20 30 DGEDMN C#CCCN1CCN(c2ccnc3cc(F)c(Cl)cc32)CC1 ZINC000827553096 628970121 /nfs/dbraw/zinc/97/01/21/628970121.db2.gz ZIWZEDCJVBACOC-UHFFFAOYSA-N 0 1 317.795 3.173 20 30 DGEDMN C#C[C@@H](NCc1nc(-c2ccccc2)cs1)C1CCOCC1 ZINC000827569700 628972001 /nfs/dbraw/zinc/97/20/01/628972001.db2.gz DDIWBCRPFXZFSJ-MRXNPFEDSA-N 0 1 312.438 3.328 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@H]3CCCC3(F)F)[nH]c2c1 ZINC000828261961 629039367 /nfs/dbraw/zinc/03/93/67/629039367.db2.gz WEKYFRAKFXEIED-SNVBAGLBSA-N 0 1 304.300 3.199 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccc(-c3ccccc3C#N)cc2)C[C@H]1C(=O)[O-] ZINC000828455455 629056029 /nfs/dbraw/zinc/05/60/29/629056029.db2.gz BWKMQOOVHNXMRR-IFXJQAMLSA-N 0 1 320.392 3.378 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(SC)c2)C1 ZINC000829774731 629190842 /nfs/dbraw/zinc/19/08/42/629190842.db2.gz SPSRMEDNFRWWDJ-LSDHHAIUSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(SC)c2)C1 ZINC000829774729 629190945 /nfs/dbraw/zinc/19/09/45/629190945.db2.gz SPSRMEDNFRWWDJ-GJZGRUSLSA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2sc(C)c(C)c2C)C1 ZINC000829784757 629192107 /nfs/dbraw/zinc/19/21/07/629192107.db2.gz MZTHUGMYPXJYCF-OAHLLOKOSA-N 0 1 304.459 3.347 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)c2nc(Cc3ccccc3)no2)C1 ZINC000829783754 629192493 /nfs/dbraw/zinc/19/24/93/629192493.db2.gz BRWJMDGMBWNMGV-NVXWUHKLSA-N 0 1 309.413 3.457 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2ccn(CCc3ccccc3)n2)C1 ZINC000829815903 629197663 /nfs/dbraw/zinc/19/76/63/629197663.db2.gz VPUWKQFJPKGPRO-LJQANCHMSA-N 0 1 307.441 3.361 20 30 DGEDMN C[N@@H+](Cc1ccc(F)c(F)c1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830825212 629308958 /nfs/dbraw/zinc/30/89/58/629308958.db2.gz HVCGXZDPDQEQOS-MRXNPFEDSA-N 0 1 316.307 3.094 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(Cl)ccc2OCC#N)C[C@H]1C ZINC000831528056 629385786 /nfs/dbraw/zinc/38/57/86/629385786.db2.gz KSBWBPVDMCOAHZ-DOMZBBRYSA-N 0 1 308.809 3.099 20 30 DGEDMN COC(=O)C1(C#N)CCN([C@@H](C)c2c(C)cc(C)cc2C)CC1 ZINC000831695106 629405021 /nfs/dbraw/zinc/40/50/21/629405021.db2.gz MEJQHWWDDYPJOT-INIZCTEOSA-N 0 1 314.429 3.452 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831900066 629425712 /nfs/dbraw/zinc/42/57/12/629425712.db2.gz ZGFAPEXHJLRNOR-HNNXBMFYSA-N 0 1 301.434 3.433 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831910625 629427144 /nfs/dbraw/zinc/42/71/44/629427144.db2.gz LBRCMFMZVLWYQJ-LSDHHAIUSA-N 0 1 319.449 3.220 20 30 DGEDMN C#CC[N@@H+](Cc1coc(-c2ccc(C(=O)[O-])cc2)n1)C1CCCC1 ZINC000833364010 629592447 /nfs/dbraw/zinc/59/24/47/629592447.db2.gz CSSKAZDCFSMHLM-UHFFFAOYSA-N 0 1 324.380 3.418 20 30 DGEDMN C#CC[N@H+](Cc1coc(-c2ccc(C(=O)[O-])cc2)n1)C1CCCC1 ZINC000833364010 629592450 /nfs/dbraw/zinc/59/24/50/629592450.db2.gz CSSKAZDCFSMHLM-UHFFFAOYSA-N 0 1 324.380 3.418 20 30 DGEDMN C#CC[N@H+](Cc1ccccc1CC(=O)[O-])[C@H]1CCc2ccccc21 ZINC000833364261 629592507 /nfs/dbraw/zinc/59/25/07/629592507.db2.gz IOKRGFOSMOIAJO-FQEVSTJZSA-N 0 1 319.404 3.436 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CCCCC[C@@H]2C(=O)[O-])cc1OC ZINC000833377651 629594249 /nfs/dbraw/zinc/59/42/49/629594249.db2.gz HAPGYQOHCVUPBA-OAHLLOKOSA-N 0 1 319.401 3.089 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000833548067 629619261 /nfs/dbraw/zinc/61/92/61/629619261.db2.gz ZZLIXLLUFBNZLV-CQSZACIVSA-N 0 1 324.380 3.215 20 30 DGEDMN CC1(C)C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)Cc2ccccc21 ZINC000833560943 629621592 /nfs/dbraw/zinc/62/15/92/629621592.db2.gz ZFPOTZRMSZXFLS-GOSISDBHSA-N 0 1 320.392 3.477 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(C(=O)[O-])c(Cl)c2)C[N@H+]1C1CC1 ZINC000833588210 629626772 /nfs/dbraw/zinc/62/67/72/629626772.db2.gz OQEFSBYBWGIVAC-SECBINFHSA-N 0 1 307.781 3.063 20 30 DGEDMN CC(C)[N@@H+]1CC(N=Nc2ccc(C(=O)[O-])c(Cl)c2)[C@@H](C)C1 ZINC000833627053 629632995 /nfs/dbraw/zinc/63/29/95/629632995.db2.gz QBVKRQQKJGVINA-JTQLQIEISA-N 0 1 309.797 3.166 20 30 DGEDMN C#CCNC(=O)[C@H](C)N[C@@H](C)c1ccccc1Oc1ccccc1 ZINC000101583418 629742933 /nfs/dbraw/zinc/74/29/33/629742933.db2.gz AWUAXFFPBMYRGW-HOTGVXAUSA-N 0 1 322.408 3.267 20 30 DGEDMN C[C@H](C#N)CNN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834964706 629813803 /nfs/dbraw/zinc/81/38/03/629813803.db2.gz GEFKAQSTSACDNT-CQSZACIVSA-N 0 1 312.442 3.468 20 30 DGEDMN C[C@@H](O)c1ncc(C=N[N-]c2cc(C(F)(F)F)cc[nH+]2)s1 ZINC000834983678 629816651 /nfs/dbraw/zinc/81/66/51/629816651.db2.gz DJHAWETWWCDNFF-SSDOTTSWSA-N 0 1 316.308 3.056 20 30 DGEDMN CC[C@H](O)CNN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834980585 629817087 /nfs/dbraw/zinc/81/70/87/629817087.db2.gz RYDZENOTERGQPE-INIZCTEOSA-N 0 1 317.458 3.079 20 30 DGEDMN CCn1nc(C)c(C=NNc2cc(C(F)(F)F)ccn2)c1C ZINC000834984754 629817713 /nfs/dbraw/zinc/81/77/13/629817713.db2.gz YIPQVVKYEJQWAO-UHFFFAOYSA-N 0 1 311.311 3.380 20 30 DGEDMN CCCCc1nc(C=NOC(C)(C)C(=O)OCC)c(Cl)[nH]1 ZINC000835004809 629819896 /nfs/dbraw/zinc/81/98/96/629819896.db2.gz BMYOBXOAGZYPBV-UHFFFAOYSA-N 0 1 315.801 3.098 20 30 DGEDMN COC(=O)c1cccc(NN=Cc2nc3c(s2)CCC3)c1F ZINC000835018639 629824850 /nfs/dbraw/zinc/82/48/50/629824850.db2.gz DKHHAPJQDGDFSK-UHFFFAOYSA-N 0 1 319.361 3.004 20 30 DGEDMN Fc1cccc2c1CC[C@@H]2NN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000835020023 629825262 /nfs/dbraw/zinc/82/52/62/629825262.db2.gz IUSDSWDNXUDCHR-LBPRGKRZSA-N 0 1 312.270 3.179 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000835062732 629841523 /nfs/dbraw/zinc/84/15/23/629841523.db2.gz WSJAHEGZTAMNCZ-KCXAZCMYSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000835062731 629841862 /nfs/dbraw/zinc/84/18/62/629841862.db2.gz WSJAHEGZTAMNCZ-DAXOMENPSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC[C@@H](OC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000835104148 629852906 /nfs/dbraw/zinc/85/29/06/629852906.db2.gz QGTXVITVNYTSIA-GXTWGEPZSA-N 0 1 315.398 3.196 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=CC3(C)CCOCC3)[nH]c21 ZINC000835174090 629869099 /nfs/dbraw/zinc/86/90/99/629869099.db2.gz SNDDXNWYZMYADR-LMVHVUTASA-N 0 1 323.396 3.421 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000835340538 629909814 /nfs/dbraw/zinc/90/98/14/629909814.db2.gz NHYMHMLQWGKFLX-CQSZACIVSA-N 0 1 306.793 3.101 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2CCCCCC2)cc1 ZINC000102121084 629951166 /nfs/dbraw/zinc/95/11/66/629951166.db2.gz ZPVOBDLNZHVVEM-INIZCTEOSA-N 0 1 314.385 3.313 20 30 DGEDMN N#CC(C(=O)C1CCCCCC1)c1nnc2n1CCCCC2 ZINC000102122575 629951307 /nfs/dbraw/zinc/95/13/07/629951307.db2.gz RWDXZBLMBUXECY-AWEZNQCLSA-N 0 1 300.406 3.151 20 30 DGEDMN N#C[C@]1(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)CC12CCCC2 ZINC000837903388 630325195 /nfs/dbraw/zinc/32/51/95/630325195.db2.gz UQPLXTFQPVPORU-XLIONFOSSA-N 0 1 324.424 3.278 20 30 DGEDMN COCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000842288014 630511317 /nfs/dbraw/zinc/51/13/17/630511317.db2.gz GKNWTEJTBJLPGZ-CYBMUJFWSA-N 0 1 322.792 3.050 20 30 DGEDMN CC[C@@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000842291803 630511896 /nfs/dbraw/zinc/51/18/96/630511896.db2.gz OTVWTRDAUDOEOM-HOCLYGCPSA-N 0 1 304.434 3.361 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@](C)(Cc3ccccc3)CC2=O)C1 ZINC000842629227 630555629 /nfs/dbraw/zinc/55/56/29/630555629.db2.gz LPFCOVGURXWGBK-PZJWPPBQSA-N 0 1 324.468 3.161 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)C1 ZINC000842630116 630556109 /nfs/dbraw/zinc/55/61/09/630556109.db2.gz XRKGBZNOPATDQI-WMLDXEAASA-N 0 1 316.832 3.306 20 30 DGEDMN N#CCC1(CNCc2cc(Br)cnc2Cl)CC1 ZINC000842701855 630565625 /nfs/dbraw/zinc/56/56/25/630565625.db2.gz AVJPNMUGLNLSIA-UHFFFAOYSA-N 0 1 314.614 3.281 20 30 DGEDMN COC1(C(=O)[C@H](C#N)c2nc(-c3ccc(C)cc3)cs2)CC1 ZINC000842687379 630563296 /nfs/dbraw/zinc/56/32/96/630563296.db2.gz REBOFWKQYGOZJK-ZDUSSCGKSA-N 0 1 312.394 3.474 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H]([C@@H]3CCCC3(C)C)C2)CC1 ZINC000843699412 630689587 /nfs/dbraw/zinc/68/95/87/630689587.db2.gz HTXUKPGULDLNSK-ROUUACIJSA-N 0 1 316.489 3.006 20 30 DGEDMN Cc1ccc(C(=O)CCN(C)C[C@@H](O)c2cccc(C#N)c2)cc1 ZINC000844367956 630721742 /nfs/dbraw/zinc/72/17/42/630721742.db2.gz DKDWAVIBJAOGQH-HXUWFJFHSA-N 0 1 322.408 3.105 20 30 DGEDMN CN(C[C@H](O)c1cccc(C#N)c1)C[C@@]1(C)CC1(Cl)Cl ZINC000844370475 630722238 /nfs/dbraw/zinc/72/22/38/630722238.db2.gz HIDOUCJLICKWNW-UONOGXRCSA-N 0 1 313.228 3.107 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000105757973 631057234 /nfs/dbraw/zinc/05/72/34/631057234.db2.gz HCKPLRQRXNAKIO-UONOGXRCSA-N 0 1 316.401 3.415 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000105758613 631057786 /nfs/dbraw/zinc/05/77/86/631057786.db2.gz LBNLKVIVELQBQO-BBRMVZONSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)CCCC(=O)C1CC1)=C(O)C1(c2ccccc2)CC1 ZINC000845843730 631198926 /nfs/dbraw/zinc/19/89/26/631198926.db2.gz MHCKYJSYVYLOIW-INIZCTEOSA-N 0 1 323.392 3.146 20 30 DGEDMN CO[C@H](CC(C)C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843923 631198956 /nfs/dbraw/zinc/19/89/56/631198956.db2.gz NUBXFDMGPZRXST-JKSUJKDBSA-N 0 1 313.397 3.057 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1cccc(SC(F)(F)F)c1 ZINC000846263842 631217404 /nfs/dbraw/zinc/21/74/04/631217404.db2.gz YVAGUQYSKAVNEN-UHFFFAOYSA-N 0 1 305.321 3.371 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1cc(Cl)ccc1OCC1CC1 ZINC000846263836 631217451 /nfs/dbraw/zinc/21/74/51/631217451.db2.gz YNSUPBJNBPIXFL-UHFFFAOYSA-N 0 1 309.793 3.201 20 30 DGEDMN Cc1ccc(N(C)[C@H]2CCCN(Cc3ccc(C#N)cn3)C2)cc1 ZINC000846848983 631326752 /nfs/dbraw/zinc/32/67/52/631326752.db2.gz FBMKKCPBHBMLBA-FQEVSTJZSA-N 0 1 320.440 3.363 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000847158858 631425861 /nfs/dbraw/zinc/42/58/61/631425861.db2.gz BLQKEAQSSGEJSO-SNVBAGLBSA-N 0 1 318.327 3.378 20 30 DGEDMN CC(=NN=c1nc[nH]c2sc3c(c21)CCC3)c1cncnc1C ZINC000848400504 631654470 /nfs/dbraw/zinc/65/44/70/631654470.db2.gz JXVJDAHFYPVKKM-UHFFFAOYSA-N 0 1 324.413 3.115 20 30 DGEDMN CC(C)Cc1nc(C#N)c(N=NC2CCCN3CCCC[C@H]23)o1 ZINC000848403978 631654784 /nfs/dbraw/zinc/65/47/84/631654784.db2.gz HDCRFDONEPFFIV-OAHLLOKOSA-N 0 1 315.421 3.161 20 30 DGEDMN CN1CCCc2cc(C=[NH+]Nc3ccc(CC(=O)[O-])cc3)ccc21 ZINC000848414600 631657786 /nfs/dbraw/zinc/65/77/86/631657786.db2.gz ADDKIOSHYVEJGX-UHFFFAOYSA-N 0 1 323.396 3.142 20 30 DGEDMN C#C[C@@H](C)NCc1cn(-c2cccc(Br)c2)nc1C ZINC000848910411 631783006 /nfs/dbraw/zinc/78/30/06/631783006.db2.gz KWXFREWVMDZKCM-LLVKDONJSA-N 0 1 318.218 3.055 20 30 DGEDMN COC(=O)C1(C#N)CCN(Cc2ccccc2C(C)C)CC1 ZINC000848916183 631784934 /nfs/dbraw/zinc/78/49/34/631784934.db2.gz QMTRDZNRDNALLE-UHFFFAOYSA-N 0 1 300.402 3.089 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1COC2(CCC2)C1 ZINC000850369601 632128106 /nfs/dbraw/zinc/12/81/06/632128106.db2.gz HVDQIDHLKPVVGX-ZDUSSCGKSA-N 0 1 305.805 3.153 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCC2(CC2)C1)c1nnc2n1CCCCC2 ZINC000850782588 632223963 /nfs/dbraw/zinc/22/39/63/632223963.db2.gz ODIJPGMWUHDRFW-UONOGXRCSA-N 0 1 312.417 3.151 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCCc3cccnc3)[nH]c21 ZINC000850850244 632237023 /nfs/dbraw/zinc/23/70/23/632237023.db2.gz SUZVMUBWEGPNLX-OAHLLOKOSA-N 0 1 318.380 3.466 20 30 DGEDMN CC1(C)OC[C@H](CCN2CCC[C@H]2[C@H](C#N)c2ccccc2)O1 ZINC000851794410 632496655 /nfs/dbraw/zinc/49/66/55/632496655.db2.gz SLUKNUMCEBOHLO-KSZLIROESA-N 0 1 314.429 3.300 20 30 DGEDMN C#CCOCCN1CCc2sc(-c3csc(C)n3)cc2C1 ZINC000851889981 632514996 /nfs/dbraw/zinc/51/49/96/632514996.db2.gz IRQJQNMFIQMUAC-UHFFFAOYSA-N 0 1 318.467 3.188 20 30 DGEDMN C#CCn1ccc(CN(CC)CC[C@H]2CCc3ccccc32)n1 ZINC000851996448 632536849 /nfs/dbraw/zinc/53/68/49/632536849.db2.gz QTZWDNTVRUBNAI-GOSISDBHSA-N 0 1 307.441 3.458 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)N1CC[C@@H](C)Sc2ccccc21 ZINC000852097631 632553419 /nfs/dbraw/zinc/55/34/19/632553419.db2.gz SQRZDDDBUUWDGU-RDTXWAMCSA-N 0 1 316.470 3.295 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccc(Br)cc1 ZINC000852100359 632553905 /nfs/dbraw/zinc/55/39/05/632553905.db2.gz HFMUVRLJTYHZSR-IAQYHMDHSA-N 0 1 323.234 3.168 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2c(C)c(C)ccc12)[C@@H](C)COC ZINC000852356691 632594405 /nfs/dbraw/zinc/59/44/05/632594405.db2.gz LKFRYLRYKRYXHA-AWEZNQCLSA-N 0 1 315.413 3.433 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2cc(CC)ccc12)[C@H](C)COC ZINC000852357062 632594453 /nfs/dbraw/zinc/59/44/53/632594453.db2.gz WNTBEMCXGWYMAK-CQSZACIVSA-N 0 1 315.413 3.378 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc3sccc3c2)C1 ZINC001032094578 660194571 /nfs/dbraw/zinc/19/45/71/660194571.db2.gz KQKWAHQTQNKGIS-UHFFFAOYSA-N 0 1 300.427 3.139 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2F)CC1(C)C ZINC000995372328 660195414 /nfs/dbraw/zinc/19/54/14/660195414.db2.gz MXHDQVFEAMAXLQ-AWEZNQCLSA-N 0 1 310.800 3.018 20 30 DGEDMN C#CCCCCC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000185335739 660215639 /nfs/dbraw/zinc/21/56/39/660215639.db2.gz CQAVCAZUUVDEMC-IBGZPJMESA-N 0 1 312.457 3.303 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@@H](C)C1 ZINC000945007668 660238412 /nfs/dbraw/zinc/23/84/12/660238412.db2.gz SDKQMNXRXFNOCU-UONOGXRCSA-N 0 1 318.486 3.119 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001032232797 660239654 /nfs/dbraw/zinc/23/96/54/660239654.db2.gz IKFZLLFRCOTOBR-UHFFFAOYSA-N 0 1 318.804 3.324 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2C2CC2)CC1(C)C ZINC000996184624 660277241 /nfs/dbraw/zinc/27/72/41/660277241.db2.gz DOEKUIVURHGSMT-CQSZACIVSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](C)c2ccc(C)o2)CC1(C)C ZINC000996388695 660281766 /nfs/dbraw/zinc/28/17/66/660281766.db2.gz KWQPWHAVGCAGQZ-ZFWWWQNUSA-N 0 1 324.852 3.271 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(C)(F)F)CC1(C)C ZINC000996565054 660286269 /nfs/dbraw/zinc/28/62/69/660286269.db2.gz WNFXWSCALZBZFN-NSHDSACASA-N 0 1 322.827 3.247 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C)cc2C)CC1(C)C ZINC000996573179 660286866 /nfs/dbraw/zinc/28/68/66/660286866.db2.gz SFQLAGAGEPDTQZ-INIZCTEOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(Cl)c3occc32)C1 ZINC001015978605 660312027 /nfs/dbraw/zinc/31/20/27/660312027.db2.gz JIOAGHKSSIQPOO-NSHDSACASA-N 0 1 304.777 3.076 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1csc2cc(C)ccc21 ZINC001032424192 660319893 /nfs/dbraw/zinc/31/98/93/660319893.db2.gz VPJXMCASPGNZIQ-GJZGRUSLSA-N 0 1 324.449 3.132 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc2cc(C)ccc21 ZINC001032424192 660319895 /nfs/dbraw/zinc/31/98/95/660319895.db2.gz VPJXMCASPGNZIQ-GJZGRUSLSA-N 0 1 324.449 3.132 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2nc1C ZINC001032430903 660320768 /nfs/dbraw/zinc/32/07/68/660320768.db2.gz HTWSWVDPBCYRRT-IRXDYDNUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2c1C ZINC001032526446 660337409 /nfs/dbraw/zinc/33/74/09/660337409.db2.gz YXLIPEFBZXBEGK-HOTGVXAUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3CCc2ccccc2)CC1 ZINC001032605836 660374466 /nfs/dbraw/zinc/37/44/66/660374466.db2.gz IDLUAHXLIGBBFL-PMACEKPBSA-N 0 1 324.468 3.261 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(Cl)c1 ZINC001032600356 660369760 /nfs/dbraw/zinc/36/97/60/660369760.db2.gz LZOJILVMDOXPIN-GJZGRUSLSA-N 0 1 304.821 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC000999157138 660406160 /nfs/dbraw/zinc/40/61/60/660406160.db2.gz SECNKUDWTZVTOG-HUUCEWRRSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCCc2ccccc21 ZINC001032685078 660413377 /nfs/dbraw/zinc/41/33/77/660413377.db2.gz ANZMEFUGYMWMID-BBTUJRGHSA-N 0 1 324.468 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)n(CC)c2C)C1 ZINC000999638584 660422836 /nfs/dbraw/zinc/42/28/36/660422836.db2.gz RKLZBWYTLPCURA-OAHLLOKOSA-N 0 1 323.868 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC000999775165 660427016 /nfs/dbraw/zinc/42/70/16/660427016.db2.gz KBJIDMXXRLTUCA-XUWVNRHRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2ccc(F)cc2F)C1 ZINC000999898227 660429604 /nfs/dbraw/zinc/42/96/04/660429604.db2.gz HUIASHCQGWGMIE-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C2CC2)s1 ZINC001032732448 660435084 /nfs/dbraw/zinc/43/50/84/660435084.db2.gz DFLNTVBZLAUWGD-KBPBESRZSA-N 0 1 302.443 3.100 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1C(C)C ZINC001032798047 660449513 /nfs/dbraw/zinc/44/95/13/660449513.db2.gz NTYHJLZBJNVVRE-KBPBESRZSA-N 0 1 304.459 3.346 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccccc1C ZINC001032808139 660452189 /nfs/dbraw/zinc/45/21/89/660452189.db2.gz KYNAJZMNGRPWMA-WDSOQIARSA-N 0 1 312.457 3.035 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc2ccccc2c1CC ZINC001032810010 660453319 /nfs/dbraw/zinc/45/33/19/660453319.db2.gz NOANBUXXRRNBBG-GJZGRUSLSA-N 0 1 324.424 3.470 20 30 DGEDMN CC(C(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1)=C1CCC1 ZINC001002772072 660477993 /nfs/dbraw/zinc/47/79/93/660477993.db2.gz MNGAKXMNALEOQQ-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC1 ZINC001003060968 660485000 /nfs/dbraw/zinc/48/50/00/660485000.db2.gz NUUNLUGOWVYBLA-IYARVYRRSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)CC1 ZINC001003760222 660503411 /nfs/dbraw/zinc/50/34/11/660503411.db2.gz ABWBOFCDRKTVJY-VQTJNVASSA-N 0 1 324.468 3.011 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001044329260 660517197 /nfs/dbraw/zinc/51/71/97/660517197.db2.gz YGWCZGYILQARNI-MRXNPFEDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cscc2C(F)F)C1 ZINC001033089759 660537647 /nfs/dbraw/zinc/53/76/47/660537647.db2.gz COLGZRQDKPSVLW-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccccc2CC(C)C)CC1 ZINC001004241065 660535274 /nfs/dbraw/zinc/53/52/74/660535274.db2.gz GYKKTKFIGDBUGY-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(O)cc2O)cc(OC)c1 ZINC000156623201 660558652 /nfs/dbraw/zinc/55/86/52/660558652.db2.gz DDHAAXXTCRXURX-ZZXKWVIFSA-N 0 1 300.310 3.011 20 30 DGEDMN CC[N@@H+](C[C@H](O)CC#N)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000929385991 661302998 /nfs/dbraw/zinc/30/29/98/661302998.db2.gz ACWQQDHZBLXGJW-BXUZGUMPSA-N 0 1 300.324 3.363 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000948700806 660596880 /nfs/dbraw/zinc/59/68/80/660596880.db2.gz KJESSXSEUUGCNL-FXUDXRNXSA-N 0 1 310.869 3.098 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001033309032 660607110 /nfs/dbraw/zinc/60/71/10/660607110.db2.gz JRYRDRWTPKPTEG-OAHLLOKOSA-N 0 1 311.429 3.117 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cnc3ccsc3c2)C1 ZINC001033325638 660608925 /nfs/dbraw/zinc/60/89/25/660608925.db2.gz XFZXCBXUBDQUKO-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3occc3s2)C1 ZINC001033408789 660619070 /nfs/dbraw/zinc/61/90/70/660619070.db2.gz FDWAUGHJKFUWFD-LBPRGKRZSA-N 0 1 304.415 3.217 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001033465731 660625433 /nfs/dbraw/zinc/62/54/33/660625433.db2.gz KMTLKAZIJOOFPF-HOTGVXAUSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(F)F)cc2F)C1 ZINC001033529256 660630220 /nfs/dbraw/zinc/63/02/20/660630220.db2.gz GRWWRISQWRXVOI-GFCCVEGCSA-N 0 1 312.335 3.096 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3cccnc3s2)C1 ZINC001033553052 660632245 /nfs/dbraw/zinc/63/22/45/660632245.db2.gz NZKBOMCWVWPUBV-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(Cl)c3occc32)C1 ZINC001033575478 660633494 /nfs/dbraw/zinc/63/34/94/660633494.db2.gz JYWSXTNWXFOFJS-LBPRGKRZSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001033571073 660634444 /nfs/dbraw/zinc/63/44/44/660634444.db2.gz LDULRUYLEBXHFQ-HOCLYGCPSA-N 0 1 312.413 3.255 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001033607344 660638457 /nfs/dbraw/zinc/63/84/57/660638457.db2.gz VZMBAEVSOPGQAX-CQSZACIVSA-N 0 1 312.335 3.038 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(CCC)c(C)s2)C1 ZINC001033643028 660645274 /nfs/dbraw/zinc/64/52/74/660645274.db2.gz SFJJVKOKRRSIAL-HNNXBMFYSA-N 0 1 306.475 3.341 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2sc(CCC)nc2C)C1 ZINC001033656508 660646232 /nfs/dbraw/zinc/64/62/32/660646232.db2.gz QITZPNUJLMKBRV-CQSZACIVSA-N 0 1 321.490 3.126 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2oc3c(cccc3C)c2C)C1 ZINC001033690032 660651231 /nfs/dbraw/zinc/65/12/31/660651231.db2.gz OMTXOUKTMBHOGB-OAHLLOKOSA-N 0 1 312.413 3.382 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cccc3scnc32)C1 ZINC001033832418 660667922 /nfs/dbraw/zinc/66/79/22/660667922.db2.gz FISQHARRCBQNRS-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc3scnc32)C1 ZINC001033832418 660667923 /nfs/dbraw/zinc/66/79/23/660667923.db2.gz FISQHARRCBQNRS-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2sc(C)cc2C)C1 ZINC001033841463 660668739 /nfs/dbraw/zinc/66/87/39/660668739.db2.gz AFRGKDZFUSFFMO-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2sc(C)cc2C)C1 ZINC001033841464 660668944 /nfs/dbraw/zinc/66/89/44/660668944.db2.gz AFRGKDZFUSFFMO-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3ccccc3nc2C)C1 ZINC001033846758 660670028 /nfs/dbraw/zinc/67/00/28/660670028.db2.gz SHJXMTREEFQUCQ-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2c(C)oc(C)c2C)C1 ZINC001033888581 660674428 /nfs/dbraw/zinc/67/44/28/660674428.db2.gz CKCFMJLGNHUJQF-MRXNPFEDSA-N 0 1 304.434 3.317 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2c(C)oc(C)c2C)C1 ZINC001033888581 660674431 /nfs/dbraw/zinc/67/44/31/660674431.db2.gz CKCFMJLGNHUJQF-MRXNPFEDSA-N 0 1 304.434 3.317 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033890501 660674752 /nfs/dbraw/zinc/67/47/52/660674752.db2.gz PVRMBSCZPFMWTR-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033890501 660674754 /nfs/dbraw/zinc/67/47/54/660674754.db2.gz PVRMBSCZPFMWTR-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc(COC)cs2)C1 ZINC001033885403 660675526 /nfs/dbraw/zinc/67/55/26/660675526.db2.gz SKXNXTAYGOWJHI-HNNXBMFYSA-N 0 1 322.474 3.007 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC(C)=C(C)C2)CC1 ZINC001005440676 660675681 /nfs/dbraw/zinc/67/56/81/660675681.db2.gz QHSPOMYAGRTNBB-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cnc3ccccc3c2C)C1 ZINC001033905438 660677448 /nfs/dbraw/zinc/67/74/48/660677448.db2.gz LEUPREFMTTXZMF-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(CCC)cc2)C1 ZINC001033943246 660685051 /nfs/dbraw/zinc/68/50/51/660685051.db2.gz OZLRWALKZOIULC-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)cc2C)C1 ZINC001033944119 660685585 /nfs/dbraw/zinc/68/55/85/660685585.db2.gz QKJNKRVONAVGFH-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c(OCC)c2)C1 ZINC001033977312 660687736 /nfs/dbraw/zinc/68/77/36/660687736.db2.gz QJNCCKPARBOITQ-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2oc(C(C)C)nc2C)C1 ZINC001033979124 660689535 /nfs/dbraw/zinc/68/95/35/660689535.db2.gz GTSNLZREKWSMLG-OAHLLOKOSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2csc(C(C)(C)C)n2)C1 ZINC001033992696 660691053 /nfs/dbraw/zinc/69/10/53/660691053.db2.gz WLPANNSDRPGFNK-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2coc(C3CCC3)n2)C1 ZINC001034012433 660693622 /nfs/dbraw/zinc/69/36/22/660693622.db2.gz OGYSEIUPJJDPNE-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccsc2C(F)F)C1 ZINC001034027896 660696127 /nfs/dbraw/zinc/69/61/27/660696127.db2.gz FHRUJFKFEGNXMI-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001034030357 660696384 /nfs/dbraw/zinc/69/63/84/660696384.db2.gz ACVIEKAUXASJNL-RDJZCZTQSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(CC)c(C)s2)C1 ZINC001034036456 660697587 /nfs/dbraw/zinc/69/75/87/660697587.db2.gz RHYJOPABOIOTSJ-OAHLLOKOSA-N 0 1 306.475 3.341 20 30 DGEDMN O=C(CC1CCC1)N[C@@H]1CCCCN(CC#Cc2ccccc2)C1 ZINC001034146746 660718957 /nfs/dbraw/zinc/71/89/57/660718957.db2.gz XDJUCUSAJMIBKH-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001034210854 660723954 /nfs/dbraw/zinc/72/39/54/660723954.db2.gz TVDYETDVNMDDQY-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C#CCCN1CCCC[C@H](NC(=O)c2sccc2Cl)C1 ZINC001034225760 660724563 /nfs/dbraw/zinc/72/45/63/660724563.db2.gz LSNLRZOYZAGBOW-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001034224784 660727060 /nfs/dbraw/zinc/72/70/60/660727060.db2.gz BUBLRAQIXRSHGO-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001034241924 660728603 /nfs/dbraw/zinc/72/86/03/660728603.db2.gz SSQIQFOXASFAMW-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001034244029 660728869 /nfs/dbraw/zinc/72/88/69/660728869.db2.gz PPHUVRBYIWSCSK-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001034252166 660729859 /nfs/dbraw/zinc/72/98/59/660729859.db2.gz JQMACRSMGWCGMG-GUDVDZBRSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001034252166 660729861 /nfs/dbraw/zinc/72/98/61/660729861.db2.gz JQMACRSMGWCGMG-GUDVDZBRSA-N 0 1 316.489 3.197 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCCN(C/C=C/Cl)C2)cc1 ZINC001034345604 660740969 /nfs/dbraw/zinc/74/09/69/660740969.db2.gz VGKGHOWDJPTNNX-RIWGIVCCSA-N 0 1 316.832 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2occc2C(C)C)C1 ZINC001034366655 660744632 /nfs/dbraw/zinc/74/46/32/660744632.db2.gz BZXJPTYZWXYJBD-OAHLLOKOSA-N 0 1 302.418 3.011 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC001034376407 660745176 /nfs/dbraw/zinc/74/51/76/660745176.db2.gz NOSHWIBBZPONKA-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001034439249 660754293 /nfs/dbraw/zinc/75/42/93/660754293.db2.gz SQBWGXVNSHMCBF-QKLQHJQFSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001034459546 660756526 /nfs/dbraw/zinc/75/65/26/660756526.db2.gz VOMVFFDBRLKHIV-GUDVDZBRSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001034498907 660760872 /nfs/dbraw/zinc/76/08/72/660760872.db2.gz QHBPQSSEYBPXIW-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001034543479 660771203 /nfs/dbraw/zinc/77/12/03/660771203.db2.gz ISRKIAXCNOKLRO-VQIMIIECSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(C)CCC(C)CC2)C1 ZINC001034545890 660772142 /nfs/dbraw/zinc/77/21/42/660772142.db2.gz ZGEUDULZIPGVPU-HFCFLWKCSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2coc3ccccc23)C1 ZINC001034548610 660772556 /nfs/dbraw/zinc/77/25/56/660772556.db2.gz DZQYSPNSSMRYPL-OAHLLOKOSA-N 0 1 310.397 3.040 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(c3ccccc3)CCC2)CC1 ZINC001005685848 660789456 /nfs/dbraw/zinc/78/94/56/660789456.db2.gz STEVAEYAIXQZIZ-UHFFFAOYSA-N 0 1 324.468 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001035011272 660808815 /nfs/dbraw/zinc/80/88/15/660808815.db2.gz VDIBNKZGXFYRMD-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1(C)CC=CC1)CC2 ZINC001035221854 660828089 /nfs/dbraw/zinc/82/80/89/660828089.db2.gz PUJLDUOPDXSYBY-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1(C)CCCCCC1)CC2 ZINC001035723597 660847389 /nfs/dbraw/zinc/84/73/89/660847389.db2.gz LFHVQPLFZHTDME-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC[C@H]1CC)CC2 ZINC001035734041 660848183 /nfs/dbraw/zinc/84/81/83/660848183.db2.gz NQVKSVNZUJILRD-SJLPKXTDSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001035786286 660851775 /nfs/dbraw/zinc/85/17/75/660851775.db2.gz DQGRBSWENRZPJQ-WBVHZDCISA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1sccc1C1CC1)CC2 ZINC001035847211 660855892 /nfs/dbraw/zinc/85/58/92/660855892.db2.gz HITLADIXJTWNGT-UHFFFAOYSA-N 0 1 316.470 3.350 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(CC)c(CC)o1)CC2 ZINC001035837562 660857237 /nfs/dbraw/zinc/85/72/37/660857237.db2.gz ZDWMTEBAVHSXMB-UHFFFAOYSA-N 0 1 316.445 3.128 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)c1ccc(C)cc1)CC2 ZINC001035838635 660857496 /nfs/dbraw/zinc/85/74/96/660857496.db2.gz WRZRZZKOXDMLBP-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(C3CCCCC3)CC1)CC2 ZINC001035844010 660858601 /nfs/dbraw/zinc/85/86/01/660858601.db2.gz KRJJNHXBVVYRTQ-UHFFFAOYSA-N 0 1 316.489 3.457 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3cccc(C)c3o1)CC2 ZINC001035858236 660860287 /nfs/dbraw/zinc/86/02/87/660860287.db2.gz FMFVXWSIGLBJCS-UHFFFAOYSA-N 0 1 324.424 3.465 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCCC[C@@H]1C)CC2 ZINC001035860148 660860692 /nfs/dbraw/zinc/86/06/92/660860692.db2.gz TYWWPONSTUVYFJ-ZWKOTPCHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccoc1C(C)C)CC2 ZINC001035861676 660861186 /nfs/dbraw/zinc/86/11/86/660861186.db2.gz NAQIQDLWIZSHJD-UHFFFAOYSA-N 0 1 302.418 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccccc3C)CC[C@H]21 ZINC001036631115 660933024 /nfs/dbraw/zinc/93/30/24/660933024.db2.gz QTSHNOBWIJFDLD-DOTOQJQBSA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cscc3C)CC[C@H]21 ZINC001036679081 660944844 /nfs/dbraw/zinc/94/48/44/660944844.db2.gz AZVLGJJUYVCOKN-UKRRQHHQSA-N 0 1 324.877 3.345 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C(C)(C)C2CCCCC2)CC1 ZINC001006098065 660962770 /nfs/dbraw/zinc/96/27/70/660962770.db2.gz QTHCDOQPXKKKKC-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(F)cc(Cl)c2)CC1 ZINC001006041818 660958590 /nfs/dbraw/zinc/95/85/90/660958590.db2.gz IJKUVHMHYCXWGR-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cc(C)cs3)CC[C@@H]21 ZINC001036709531 660960619 /nfs/dbraw/zinc/96/06/19/660960619.db2.gz XCBOERYHHRSMLF-KBPBESRZSA-N 0 1 324.877 3.345 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@]2(CC)C(C)C)CC1 ZINC001006226193 660968546 /nfs/dbraw/zinc/96/85/46/660968546.db2.gz PQVCAGDNHJYSGV-PKOBYXMFSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(F)cccc2Cl)CC1 ZINC001006327454 660974923 /nfs/dbraw/zinc/97/49/23/660974923.db2.gz RUULVAQGJPLOBB-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CCC(CC(F)(F)F)CC2)cc1 ZINC000792933918 660995182 /nfs/dbraw/zinc/99/51/82/660995182.db2.gz PJVVASOREFJPIC-OAHLLOKOSA-N 0 1 312.335 3.256 20 30 DGEDMN C=CCc1ccccc1OC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793123872 661006365 /nfs/dbraw/zinc/00/63/65/661006365.db2.gz NMAQNUSJNIHSOY-UHFFFAOYSA-N 0 1 305.337 3.419 20 30 DGEDMN C=CCCCN(C)CN1CC[C@H](CCc2ccccc2)C1=O ZINC000793498326 661039298 /nfs/dbraw/zinc/03/92/98/661039298.db2.gz MEKAREAMJHIRDM-SFHVURJKSA-N 0 1 300.446 3.323 20 30 DGEDMN C[C@@H]1CCC[C@H](N(C)Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793484667 661038142 /nfs/dbraw/zinc/03/81/42/661038142.db2.gz UEJUUEBLHMAIOL-ZBFHGGJFSA-N 0 1 313.445 3.067 20 30 DGEDMN CC(C)n1nccc1C=NN=c1[nH]c2cc(F)c(F)cc2[nH]1 ZINC000794488031 661087032 /nfs/dbraw/zinc/08/70/32/661087032.db2.gz AYJHZWKJZHIKAU-UHFFFAOYSA-N 0 1 304.304 3.065 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C)O[C@@H](C3CC3)C2)c1O ZINC000794648276 661095705 /nfs/dbraw/zinc/09/57/05/661095705.db2.gz YTFOADRPEWWAPL-FZKQIMNGSA-N 0 1 317.429 3.129 20 30 DGEDMN C[C@@H]1Oc2c(ccc(Cl)c2Cl)C1N=Nc1cncnc1 ZINC000794976751 661118054 /nfs/dbraw/zinc/11/80/54/661118054.db2.gz PSVIXFKWCDQSKQ-ZETCQYMHSA-N 0 1 309.156 3.381 20 30 DGEDMN CCOc1cc(C=NNc2cncnc2)ccc1OCC(C)C ZINC000794976005 661118156 /nfs/dbraw/zinc/11/81/56/661118156.db2.gz BGIPFOVZCNKINK-UHFFFAOYSA-N 0 1 314.389 3.356 20 30 DGEDMN COCCc1nc(C)c(C(C)=NNc2cccc(F)n2)s1 ZINC000795006308 661120870 /nfs/dbraw/zinc/12/08/70/661120870.db2.gz MGBIAGCTBRLCRS-UHFFFAOYSA-N 0 1 308.382 3.011 20 30 DGEDMN COc1ccc(-c2n[nH]cc2C=NNc2cccc(F)n2)cc1 ZINC000795007134 661121102 /nfs/dbraw/zinc/12/11/02/661121102.db2.gz ZVELMOGCGRXLEY-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1oc(CCC)nc1C ZINC000969110913 655523323 /nfs/dbraw/zinc/52/33/23/655523323.db2.gz GMFVGIGZNDPMCI-HNNXBMFYSA-N 0 1 319.449 3.096 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C(C)C)cc1 ZINC000969124002 655525805 /nfs/dbraw/zinc/52/58/05/655525805.db2.gz FOYPLBUAGFSHBN-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccc(F)cc1Cl ZINC000969135511 655527449 /nfs/dbraw/zinc/52/74/49/655527449.db2.gz AKJOZECDDYICNV-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(F)cc1Cl ZINC000969135511 655527450 /nfs/dbraw/zinc/52/74/50/655527450.db2.gz AKJOZECDDYICNV-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC000969139046 655527489 /nfs/dbraw/zinc/52/74/89/655527489.db2.gz HCARYUVUOXHQJZ-HNNXBMFYSA-N 0 1 316.470 3.233 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC000969147298 655528341 /nfs/dbraw/zinc/52/83/41/655528341.db2.gz CBBBLCKNNSGGAY-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NCc3c(F)cccc3F)C2)C1 ZINC001020562639 655553525 /nfs/dbraw/zinc/55/35/25/655553525.db2.gz IHPAMJWSIZLZJA-JOCQHMNTSA-N 0 1 320.383 3.058 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2scc(C)c2Cl)C1 ZINC000969741128 655556509 /nfs/dbraw/zinc/55/65/09/655556509.db2.gz ZGSNXAUQPXCGCG-LLVKDONJSA-N 0 1 312.866 3.336 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)cc2C)C1 ZINC000969805109 655558149 /nfs/dbraw/zinc/55/81/49/655558149.db2.gz OTHDIHGJJNWAMU-CYBMUJFWSA-N 0 1 313.228 3.205 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(Cl)sc2Cl)C1 ZINC000969843987 655559984 /nfs/dbraw/zinc/55/99/84/655559984.db2.gz DSIWAJYLNVGZDM-QMMMGPOBSA-N 0 1 319.257 3.291 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC000969844565 655560194 /nfs/dbraw/zinc/56/01/94/655560194.db2.gz ILKNTBTXMVXIMV-LBPRGKRZSA-N 0 1 316.376 3.116 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc3c2CCCCC3)C1 ZINC000969910098 655563104 /nfs/dbraw/zinc/56/31/04/655563104.db2.gz CTDGVVHHZOQVKP-MRXNPFEDSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC000970084320 655573177 /nfs/dbraw/zinc/57/31/77/655573177.db2.gz VNXTWADPHWSIRA-LLVKDONJSA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC000970091423 655573868 /nfs/dbraw/zinc/57/38/68/655573868.db2.gz ZTVHAFPTDMTUNE-LLVKDONJSA-N 0 1 318.417 3.277 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC000970170127 655586405 /nfs/dbraw/zinc/58/64/05/655586405.db2.gz WKSQWISUGRPRAA-GDBMZVCRSA-N 0 1 320.864 3.432 20 30 DGEDMN CCOc1ncccc1CNCc1ccc(N(C)CCC#N)cc1 ZINC000030901444 655587719 /nfs/dbraw/zinc/58/77/19/655587719.db2.gz DHRIYZATWRSKLR-UHFFFAOYSA-N 0 1 324.428 3.120 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC000970206265 655588852 /nfs/dbraw/zinc/58/88/52/655588852.db2.gz YNRAGYAJMZNOEE-LBPRGKRZSA-N 0 1 316.376 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC000970475380 655601762 /nfs/dbraw/zinc/60/17/62/655601762.db2.gz PMYBMNUTJVKSJL-QWHCGFSZSA-N 0 1 312.866 3.103 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)C[C@H]1C ZINC000947400463 655618649 /nfs/dbraw/zinc/61/86/49/655618649.db2.gz XNJORXHHOWFMDM-CABCVRRESA-N 0 1 318.486 3.163 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC000970783421 655620020 /nfs/dbraw/zinc/62/00/20/655620020.db2.gz JSIYVUWZXKPISD-HNNXBMFYSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC000970785720 655620405 /nfs/dbraw/zinc/62/04/05/655620405.db2.gz IRTXVCQHIFHTND-SNVBAGLBSA-N 0 1 313.228 3.230 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccccc2C2CCC2)C1 ZINC000970805092 655623055 /nfs/dbraw/zinc/62/30/55/655623055.db2.gz BDESTJIPWLKHQG-HNNXBMFYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2oc3ccccc3c2C)C1 ZINC000970846687 655629376 /nfs/dbraw/zinc/62/93/76/655629376.db2.gz VXIKXBFQGRHTQW-AWEZNQCLSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(F)c(C)cc2F)C[C@H]1C ZINC000947417247 655637465 /nfs/dbraw/zinc/63/74/65/655637465.db2.gz NZMNYTVGTJKQNI-CHWSQXEVSA-N 0 1 308.372 3.042 20 30 DGEDMN C[C@@H](CCC(=O)C(C#N)C(=O)Nc1ccccn1)c1ccccc1 ZINC000160474174 655641506 /nfs/dbraw/zinc/64/15/06/655641506.db2.gz RYCXJGGLAFSNBA-HOCLYGCPSA-N 0 1 321.380 3.313 20 30 DGEDMN CN(Cc1ccc(C#N)s1)[C@@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC000971070291 655657558 /nfs/dbraw/zinc/65/75/58/655657558.db2.gz ATXRFIWEQAMYHN-CYBMUJFWSA-N 0 1 319.474 3.089 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2cc(C)cc(C)c2)C1 ZINC001021718652 655663079 /nfs/dbraw/zinc/66/30/79/655663079.db2.gz HJLOBTZIENDOST-QUCCMNQESA-N 0 1 324.468 3.253 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC=CCCC1 ZINC001039393069 655694165 /nfs/dbraw/zinc/69/41/65/655694165.db2.gz PSSVWGZEHLNCJF-KSZLIROESA-N 0 1 302.462 3.374 20 30 DGEDMN CCCC(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000126595597 655699330 /nfs/dbraw/zinc/69/93/30/655699330.db2.gz GNFZDZZHVUJPHP-NSHDSACASA-N 0 1 312.341 3.449 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C[C@@H]2C=CCCC2)C1 ZINC001022270797 655725366 /nfs/dbraw/zinc/72/53/66/655725366.db2.gz UXONQDDCTPLOOQ-LZQZEXGQSA-N 0 1 323.440 3.041 20 30 DGEDMN O=C1C=COC2(CCN(CCCc3c(F)cccc3F)CC2)C1 ZINC000933644524 655728529 /nfs/dbraw/zinc/72/85/29/655728529.db2.gz AESLPMHILHOXJL-UHFFFAOYSA-N 0 1 321.367 3.235 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H]2COc2ccc(F)cc2)c1 ZINC000933927249 655738897 /nfs/dbraw/zinc/73/88/97/655738897.db2.gz MVYNKTBFAYXFAL-KRWDZBQOSA-N 0 1 311.360 3.136 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN[C@H]1c2ccccc2OC[C@H]1CO ZINC000934210676 655756387 /nfs/dbraw/zinc/75/63/87/655756387.db2.gz UNCJKOWVEOVGTG-OXQOHEQNSA-N 0 1 322.408 3.007 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC000972074165 655762375 /nfs/dbraw/zinc/76/23/75/655762375.db2.gz XMEGSQRUYXSFNZ-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC000972473495 655804152 /nfs/dbraw/zinc/80/41/52/655804152.db2.gz URMMPCRELHGURG-ZWKOTPCHSA-N 0 1 304.478 3.005 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccccc2Br)c1 ZINC000176832424 655849863 /nfs/dbraw/zinc/84/98/63/655849863.db2.gz SHTIQXJKEFMGTA-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc(C3CCCC3)c2)C1 ZINC000972728956 655874719 /nfs/dbraw/zinc/87/47/19/655874719.db2.gz LYNZVHYWVIBUJH-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cccc3c2OCCO3)c(O)c1 ZINC000176954106 655884348 /nfs/dbraw/zinc/88/43/48/655884348.db2.gz LYJJAWJHRVDAFD-VMPITWQZSA-N 0 1 312.321 3.068 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cscc1C(F)F ZINC001024441228 655906129 /nfs/dbraw/zinc/90/61/29/655906129.db2.gz BDHXQBBRQSMPHN-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001024480250 655909834 /nfs/dbraw/zinc/90/98/34/655909834.db2.gz DSMHYTMZHPQWHR-AWEZNQCLSA-N 0 1 308.372 3.125 20 30 DGEDMN O=C(/C=C\c1cnn(-c2ccccc2)c1)c1ccc(O)cc1O ZINC000255841078 655911073 /nfs/dbraw/zinc/91/10/73/655911073.db2.gz CHNDQMFSZUZPHO-TWGQIWQCSA-N 0 1 306.321 3.180 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001024508368 655911658 /nfs/dbraw/zinc/91/16/58/655911658.db2.gz IWBHLYJLJVCSCY-AZUAARDMSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]2CCN(C/C=C/Cl)[C@@H]2C1 ZINC001042183313 655911820 /nfs/dbraw/zinc/91/18/20/655911820.db2.gz JAYGVWALMIDLQZ-YKHJJTLOSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCc2cc(F)ccc21 ZINC001024557142 655916610 /nfs/dbraw/zinc/91/66/10/655916610.db2.gz MLTIDHIAWCSNIQ-WMZOPIPTSA-N 0 1 316.420 3.012 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C[C@@H]1C ZINC000947619053 655917026 /nfs/dbraw/zinc/91/70/26/655917026.db2.gz CFRJEABICMJFJK-GJZGRUSLSA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC001024573542 655919473 /nfs/dbraw/zinc/91/94/73/655919473.db2.gz PIMSHRVKWVUKHB-GOSISDBHSA-N 0 1 324.468 3.480 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001024593322 655921638 /nfs/dbraw/zinc/92/16/38/655921638.db2.gz KEQRHEYGRADTSQ-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc[nH]c1CCC ZINC001024615389 655924286 /nfs/dbraw/zinc/92/42/86/655924286.db2.gz RQXNXXMUUJXIHU-OAHLLOKOSA-N 0 1 303.450 3.128 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cncc2sccc21 ZINC001024619906 655924931 /nfs/dbraw/zinc/92/49/31/655924931.db2.gz HSYJGUPAXGYRHL-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(CCC)cc1 ZINC001024625395 655925430 /nfs/dbraw/zinc/92/54/30/655925430.db2.gz ODNOKXDVXHELQY-IBGZPJMESA-N 0 1 312.457 3.247 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001024704510 655932147 /nfs/dbraw/zinc/93/21/47/655932147.db2.gz NRLFKXMIZVQMQT-CEXWTWQISA-N 0 1 312.457 3.255 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001024736929 655933736 /nfs/dbraw/zinc/93/37/36/655933736.db2.gz FKELYAKIIILJQJ-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001024736929 655933737 /nfs/dbraw/zinc/93/37/37/655933737.db2.gz FKELYAKIIILJQJ-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc2sccc2c1 ZINC001024741103 655934173 /nfs/dbraw/zinc/93/41/73/655934173.db2.gz UIHBAMWYUFVHPB-INIZCTEOSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1oc(CC)cc1C ZINC001024759782 655936090 /nfs/dbraw/zinc/93/60/90/655936090.db2.gz JZDVERKZAOYYDY-OAHLLOKOSA-N 0 1 304.434 3.311 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(C(F)F)c1 ZINC001024768415 655936863 /nfs/dbraw/zinc/93/68/63/655936863.db2.gz QGYFWBLDSYJUIG-HNNXBMFYSA-N 0 1 308.372 3.395 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC001024768667 655937087 /nfs/dbraw/zinc/93/70/87/655937087.db2.gz UFULJCLZFIRNJN-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(F)cccc1F ZINC001024777301 655938247 /nfs/dbraw/zinc/93/82/47/655938247.db2.gz JLLGUYRVWFIZAX-CYBMUJFWSA-N 0 1 308.372 3.125 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001024783998 655938332 /nfs/dbraw/zinc/93/83/32/655938332.db2.gz WXEXZYPBYMVGFZ-DOTOQJQBSA-N 0 1 318.848 3.136 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001024814170 655941237 /nfs/dbraw/zinc/94/12/37/655941237.db2.gz OZLINRPFPGTMMN-VQIMIIECSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001024821804 655942463 /nfs/dbraw/zinc/94/24/63/655942463.db2.gz TZNALBPEDMIUHK-FGTMMUONSA-N 0 1 316.420 3.086 20 30 DGEDMN CC(C)CC(=O)N1CCCC[C@@H]1CNCc1ccccc1C#N ZINC001024850505 655945504 /nfs/dbraw/zinc/94/55/04/655945504.db2.gz ROZHVCUQABNAMA-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CCC(=C)CC3)CC[C@@H]21 ZINC001036811506 661206745 /nfs/dbraw/zinc/20/67/45/661206745.db2.gz RLZYPCRDDOROIW-SJORKVTESA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C(C)C)CC3)CC[C@H]21 ZINC001036816169 661208004 /nfs/dbraw/zinc/20/80/04/661208004.db2.gz QSVJFLSSYNQSCL-HUUCEWRRSA-N 0 1 310.869 3.098 20 30 DGEDMN CC#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1cccs1 ZINC001025195884 655975646 /nfs/dbraw/zinc/97/56/46/655975646.db2.gz ODDBCEMOJXNKRD-HZPDHXFCSA-N 0 1 316.470 3.231 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001025223878 655981597 /nfs/dbraw/zinc/98/15/97/655981597.db2.gz IJEYFYQLFIOZCW-HOTGVXAUSA-N 0 1 316.470 3.149 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CC1(C)CCCC1 ZINC001025228684 655981935 /nfs/dbraw/zinc/98/19/35/655981935.db2.gz CDVYUJGJWZNFHR-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ccoc1CC ZINC001025228679 655982038 /nfs/dbraw/zinc/98/20/38/655982038.db2.gz BXVWLFJBEHJYSZ-SJORKVTESA-N 0 1 316.445 3.487 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1[C@H]1CCCN1CCF ZINC001025259585 655985495 /nfs/dbraw/zinc/98/54/95/655985495.db2.gz QKMNNVQYJKHDGY-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2ccc(F)cc2)C1 ZINC001025323611 655993715 /nfs/dbraw/zinc/99/37/15/655993715.db2.gz QYCHEOYBCFIHCX-HIFRSBDPSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2cc[nH]c2CC)C1 ZINC001025412834 656007346 /nfs/dbraw/zinc/00/73/46/656007346.db2.gz ZVOUHTFCBVVBPE-KBPBESRZSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2C=CC=CC=C2)C1 ZINC001025429230 656011019 /nfs/dbraw/zinc/01/10/19/656011019.db2.gz AFIUQYKTRWJSFB-DOTOQJQBSA-N 0 1 320.864 3.254 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001007699716 656034102 /nfs/dbraw/zinc/03/41/02/656034102.db2.gz OOKFKCVQQMEPPM-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cccc(C3CC3)c2)C1 ZINC001025630780 656052556 /nfs/dbraw/zinc/05/25/56/656052556.db2.gz VZASFLDMIKZJPL-OXJNMPFZSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001019878689 656065650 /nfs/dbraw/zinc/06/56/50/656065650.db2.gz YIADWJYFIFVXOW-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCc1ccc(C(F)F)cc1 ZINC000185791049 656129021 /nfs/dbraw/zinc/12/90/21/656129021.db2.gz GZRZTTQUIMUQQM-LBPRGKRZSA-N 0 1 311.376 3.287 20 30 DGEDMN CCCCCCCC(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000123680915 656182276 /nfs/dbraw/zinc/18/22/76/656182276.db2.gz BHAIYIFAASBNPH-FGTMMUONSA-N 0 1 324.509 3.447 20 30 DGEDMN C=CCC[N@H+]1CCC[C@@H](NC(=O)c2cc3occc3s2)C1 ZINC001008516941 656186087 /nfs/dbraw/zinc/18/60/87/656186087.db2.gz JPYPJNWCCCCFJL-GFCCVEGCSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2cc3occc3s2)C1 ZINC001008516941 656186089 /nfs/dbraw/zinc/18/60/89/656186089.db2.gz JPYPJNWCCCCFJL-GFCCVEGCSA-N 0 1 304.415 3.265 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccsc2)cc([N+](=O)[O-])c1O ZINC000194615259 656203023 /nfs/dbraw/zinc/20/30/23/656203023.db2.gz UUBQRQNVVJNEMV-NSCUHMNNSA-N 0 1 305.311 3.267 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(Cl)s3)C[C@H]21 ZINC001042334850 656203281 /nfs/dbraw/zinc/20/32/81/656203281.db2.gz WQZIMAKXMIDTGR-VXGBXAGGSA-N 0 1 310.850 3.124 20 30 DGEDMN C#CC1CCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)CC1 ZINC000844048565 661237948 /nfs/dbraw/zinc/23/79/48/661237948.db2.gz NETKWRBPVRNOKL-UHFFFAOYSA-N 0 1 313.788 3.216 20 30 DGEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000974678195 656221856 /nfs/dbraw/zinc/22/18/56/656221856.db2.gz AGVGIZXILGOLNP-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c3ccccc3n2)C(C)(C)C1 ZINC000974733954 656226064 /nfs/dbraw/zinc/22/60/64/656226064.db2.gz IYKDMGCEJTVNCV-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3cc(F)ccc23)C(C)(C)C1 ZINC000974758555 656226900 /nfs/dbraw/zinc/22/69/00/656226900.db2.gz TWIXTXHMFNMBFH-MRXNPFEDSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000974985830 656248162 /nfs/dbraw/zinc/24/81/62/656248162.db2.gz HUKSJOXCUOPXHE-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CC[N@H+]1C[C@@H](NC(=O)Cc2ccc(F)cc2Cl)C(C)(C)C1 ZINC000974990992 656248677 /nfs/dbraw/zinc/24/86/77/656248677.db2.gz AHUJVZVXJLNBGB-OAHLLOKOSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987945 656248799 /nfs/dbraw/zinc/24/87/99/656248799.db2.gz NTTMHCFOVYZGQB-CJNGLKHVSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987945 656248800 /nfs/dbraw/zinc/24/88/00/656248800.db2.gz NTTMHCFOVYZGQB-CJNGLKHVSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@H](NC(=O)Cc2cccc(Cl)c2F)C(C)(C)C1 ZINC000975071610 656253833 /nfs/dbraw/zinc/25/38/33/656253833.db2.gz AXZDYTUCCPCZNV-AWEZNQCLSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C)ccc2F)C(C)(C)C1 ZINC000975075756 656256053 /nfs/dbraw/zinc/25/60/53/656256053.db2.gz NEHONVGZXFLRQU-INIZCTEOSA-N 0 1 304.409 3.150 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C(C)(C)C1 ZINC000975074687 656256165 /nfs/dbraw/zinc/25/61/65/656256165.db2.gz KOQCZKIGRQFOTL-XWTMOSNGSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)C2CCC(F)(F)CC2)C(C)(C)C1 ZINC000975099065 656257495 /nfs/dbraw/zinc/25/74/95/656257495.db2.gz ZXXNEZHPMSXRAD-AWEZNQCLSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001009689439 656312389 /nfs/dbraw/zinc/31/23/89/656312389.db2.gz HSVDDILHPBQEDS-QGZVFWFLSA-N 0 1 300.446 3.265 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C(C)(C)C1 ZINC000977311448 656321713 /nfs/dbraw/zinc/32/17/13/656321713.db2.gz SUYMDFXMYXUHBT-LQWHRVPQSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccn2C2CCCC2)C(C)(C)C1 ZINC000977374190 656324999 /nfs/dbraw/zinc/32/49/99/656324999.db2.gz BKXFKDJJKBWJFK-KRWDZBQOSA-N 0 1 315.461 3.229 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001027519238 656328074 /nfs/dbraw/zinc/32/80/74/656328074.db2.gz ICTIVEXDVGELGI-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000977453569 656331186 /nfs/dbraw/zinc/33/11/86/656331186.db2.gz NZBJVDXQMLTQIH-SFHVURJKSA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)cccc2Cl)C(C)(C)C1 ZINC000977518558 656336107 /nfs/dbraw/zinc/33/61/07/656336107.db2.gz DECOGJGFEPSVTQ-CYBMUJFWSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)cccc2Cl)C(C)(C)C1 ZINC000977518562 656336243 /nfs/dbraw/zinc/33/62/43/656336243.db2.gz DECOGJGFEPSVTQ-ZDUSSCGKSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(C)cc2)C(C)(C)C1 ZINC000977517865 656336471 /nfs/dbraw/zinc/33/64/71/656336471.db2.gz UYVDWZOZYXOVAS-DOTOQJQBSA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2OC(C)C)C(C)(C)C1 ZINC000977504408 656336965 /nfs/dbraw/zinc/33/69/65/656336965.db2.gz UEBAJKBUYKSKSO-QGZVFWFLSA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C(F)F)c2)C(C)(C)C1 ZINC000977523901 656338551 /nfs/dbraw/zinc/33/85/51/656338551.db2.gz LBARFCXOGPSFEO-AWEZNQCLSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)nc3ccccc32)C(C)(C)C1 ZINC000977555727 656341742 /nfs/dbraw/zinc/34/17/42/656341742.db2.gz HDBKLUFDOZBOGW-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccccc2C)C(C)(C)C1 ZINC000977631049 656348639 /nfs/dbraw/zinc/34/86/39/656348639.db2.gz RVVCEZMWNYVHLO-WBVHZDCISA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)C(C)(C)C1 ZINC000977680359 656354142 /nfs/dbraw/zinc/35/41/42/656354142.db2.gz LVLXBYNPZMMUDH-OAHLLOKOSA-N 0 1 322.399 3.425 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C(C)(C)C1 ZINC000977666587 656354782 /nfs/dbraw/zinc/35/47/82/656354782.db2.gz MLVSFBAGVMWLQE-GOSISDBHSA-N 0 1 323.440 3.308 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC2CCC(C)(C)CC2)C(C)(C)C1 ZINC000977676455 656355435 /nfs/dbraw/zinc/35/54/35/656355435.db2.gz YCBNOLAWOKPLCY-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001027560100 656359502 /nfs/dbraw/zinc/35/95/02/656359502.db2.gz PSEDWVPXUIZTLM-MJGOQNOKSA-N 0 1 315.461 3.107 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C2CCCC2)CCN1CC#Cc1ccccc1 ZINC000946971021 661259010 /nfs/dbraw/zinc/25/90/10/661259010.db2.gz GJMNKFQAHZVBCB-PXNSSMCTSA-N 0 1 324.468 3.197 20 30 DGEDMN CC1(C)C[C@H]1C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052317825 656428017 /nfs/dbraw/zinc/42/80/17/656428017.db2.gz SIEZSKBSQFSLKM-MOPGFXCFSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1csc2cc(C)ccc12 ZINC001027908126 656479339 /nfs/dbraw/zinc/47/93/39/656479339.db2.gz NKUHUVSXHCAILQ-AWEZNQCLSA-N 0 1 312.438 3.037 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCC1CCCC1 ZINC001039326474 656484010 /nfs/dbraw/zinc/48/40/10/656484010.db2.gz AJOIYMHAXXSFGN-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccccc2-c2ccccc2)C1 ZINC000980322052 656485173 /nfs/dbraw/zinc/48/51/73/656485173.db2.gz IIWADHUUIYBTKR-SFHVURJKSA-N 0 1 318.420 3.133 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC000980313262 656485349 /nfs/dbraw/zinc/48/53/49/656485349.db2.gz QRFQVPPKEWAONF-OFLPRAFFSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC=CCCC1 ZINC001039393107 656492004 /nfs/dbraw/zinc/49/20/04/656492004.db2.gz ROAXTVLFIHRGGY-QRVBRYPASA-N 0 1 314.473 3.212 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCCCCCC1 ZINC001039403238 656494115 /nfs/dbraw/zinc/49/41/15/656494115.db2.gz LGZGLSBTEFQIKL-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)s1 ZINC001039401583 656494133 /nfs/dbraw/zinc/49/41/33/656494133.db2.gz KMISKGWOXJBSME-KGLIPLIRSA-N 0 1 304.459 3.175 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CCC)cc1 ZINC001039426037 656498895 /nfs/dbraw/zinc/49/88/95/656498895.db2.gz LZFVGLZZSHGROP-UXHICEINSA-N 0 1 324.468 3.341 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1oc2ccc(F)cc2c1C ZINC001027931555 656502180 /nfs/dbraw/zinc/50/21/80/656502180.db2.gz AWUKUBMWIIJDBK-AWEZNQCLSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ccoc1C)C2 ZINC001048502894 656502415 /nfs/dbraw/zinc/50/24/15/656502415.db2.gz UHNQHPZCWLGGEX-QDMKHBRRSA-N 0 1 322.836 3.313 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C1CCCCC1 ZINC001039448313 656504049 /nfs/dbraw/zinc/50/40/49/656504049.db2.gz HUAUIHQTXZYRNQ-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(Cl)c1 ZINC001039461838 656507458 /nfs/dbraw/zinc/50/74/58/656507458.db2.gz MAEGBZUHOKRSGO-CVEARBPZSA-N 0 1 304.821 3.205 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(C)cc1C ZINC001039467052 656509115 /nfs/dbraw/zinc/50/91/15/656509115.db2.gz TWCNHLSHZWAOCF-MOPGFXCFSA-N 0 1 324.468 3.314 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1CC13CCC3)C2 ZINC001048570103 656514975 /nfs/dbraw/zinc/51/49/75/656514975.db2.gz ZHJFDJFUTJKUDO-FZKCQIBNSA-N 0 1 322.880 3.288 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC000980504399 656516058 /nfs/dbraw/zinc/51/60/58/656516058.db2.gz SWKRKDLCBHFHIP-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001027951807 656525585 /nfs/dbraw/zinc/52/55/85/656525585.db2.gz SSJJWCHIHCTZPS-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3sc(C)cc3C)C[C@@H]2C1 ZINC001048843058 656542706 /nfs/dbraw/zinc/54/27/06/656542706.db2.gz QTYUGQSSGUIGNP-OKILXGFUSA-N 0 1 324.877 3.121 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@]3(C)CCC[C@H]3CC)C[C@@H]2C1 ZINC001048892352 656544771 /nfs/dbraw/zinc/54/47/71/656544771.db2.gz YUUJRPHQMMKPEL-KYHPRHEASA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CC[C@H](CC)CC3)C[C@@H]2C1 ZINC001049182536 656568756 /nfs/dbraw/zinc/56/87/56/656568756.db2.gz VCCLYVFMCOKKQE-KGASUQACSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1sc(C)nc1CC(C)C ZINC001028001646 656588162 /nfs/dbraw/zinc/58/81/62/656588162.db2.gz IVTGXMLTSSRZFJ-CQSZACIVSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1sc(C)nc1CC(C)C ZINC001028001646 656588164 /nfs/dbraw/zinc/58/81/64/656588164.db2.gz IVTGXMLTSSRZFJ-CQSZACIVSA-N 0 1 321.490 3.030 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049292914 656592458 /nfs/dbraw/zinc/59/24/58/656592458.db2.gz PSLQVKMCBYZUJP-PMACEKPBSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3ccc(C)o3)C2)CC1 ZINC001040594795 656598419 /nfs/dbraw/zinc/59/84/19/656598419.db2.gz KOQCTCLVFTXOGU-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3CC(C)(C)C3)C2)CC1 ZINC001040688647 656602756 /nfs/dbraw/zinc/60/27/56/656602756.db2.gz FINSVFBOVRZCBQ-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001040813764 656608029 /nfs/dbraw/zinc/60/80/29/656608029.db2.gz SMWFEEKAWKTKIO-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490372 656628021 /nfs/dbraw/zinc/62/80/21/656628021.db2.gz LKOMWOSIEXJUMW-QWHCGFSZSA-N 0 1 310.850 3.266 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049498091 656631006 /nfs/dbraw/zinc/63/10/06/656631006.db2.gz LNHZMCGSVYMIQW-JKSUJKDBSA-N 0 1 316.470 3.067 20 30 DGEDMN O=C(C#CC1CC1)N1CCCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000981125896 656631325 /nfs/dbraw/zinc/63/13/25/656631325.db2.gz KNBLFUUOHQMUPN-UHFFFAOYSA-N 0 1 322.452 3.012 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc([C@H]3C[C@@H]3C)o2)CC1 ZINC000981147678 656632777 /nfs/dbraw/zinc/63/27/77/656632777.db2.gz YAVLGNBPDXIJCU-GJZGRUSLSA-N 0 1 302.418 3.127 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2c(o1)CCCC2 ZINC001049515057 656634130 /nfs/dbraw/zinc/63/41/30/656634130.db2.gz LQYNJULCJZFLBT-CVEARBPZSA-N 0 1 314.429 3.023 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2c(o1)CCCC2 ZINC001049515058 656634323 /nfs/dbraw/zinc/63/43/23/656634323.db2.gz LQYNJULCJZFLBT-HOTGVXAUSA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(C2CCC2)cc1 ZINC001049512079 656634449 /nfs/dbraw/zinc/63/44/49/656634449.db2.gz QVXMEVQLMRFLGN-VQTJNVASSA-N 0 1 322.452 3.266 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522139 656635010 /nfs/dbraw/zinc/63/50/10/656635010.db2.gz DHOPUZMKLDFPCZ-DLBZAZTESA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1coc2c1cccc2C ZINC001049521362 656635347 /nfs/dbraw/zinc/63/53/47/656635347.db2.gz HKFAPMHLXNXUSF-MSOLQXFVSA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1coc2c1cccc2C ZINC001049521364 656635479 /nfs/dbraw/zinc/63/54/79/656635479.db2.gz HKFAPMHLXNXUSF-QZTJIDSGSA-N 0 1 322.408 3.053 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C/Cl ZINC001049637602 656653942 /nfs/dbraw/zinc/65/39/42/656653942.db2.gz HRRDWDMCXYZDBS-VOTDVEDPSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662578 656659710 /nfs/dbraw/zinc/65/97/10/656659710.db2.gz HUVSIPNRGWFZGO-IAGOWNOFSA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)c(Cl)cc1C ZINC001028069164 656661234 /nfs/dbraw/zinc/66/12/34/656661234.db2.gz CBFFYXYRTVBDOQ-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C)CCCCC1 ZINC001049689642 656665438 /nfs/dbraw/zinc/66/54/38/656665438.db2.gz AXSQJYKTUCZXGW-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(OCCC)c2)CC1 ZINC000981281854 656669109 /nfs/dbraw/zinc/66/91/09/656669109.db2.gz RCQVFVWTSUHRBQ-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750969 656678805 /nfs/dbraw/zinc/67/88/05/656678805.db2.gz ATKJTIXCOFJDKL-OALUTQOASA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1ccccc1C ZINC001049750686 656679509 /nfs/dbraw/zinc/67/95/09/656679509.db2.gz ZTKCBIUCVWHHHS-WOJBJXKFSA-N 0 1 324.468 3.016 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)CC1CCCCC1 ZINC001049761808 656682061 /nfs/dbraw/zinc/68/20/61/656682061.db2.gz LYYUPGRWQYQXJG-BHIYHBOVSA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1csc(Cl)c1 ZINC001049763986 656682815 /nfs/dbraw/zinc/68/28/15/656682815.db2.gz ZROKXPCFNQJURI-ZIAGYGMSSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CC)CC1CCCC1 ZINC001049767432 656684111 /nfs/dbraw/zinc/68/41/11/656684111.db2.gz GGNYWVQDXKSBBE-CEXWTWQISA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CCCN(C(=O)CC2CC(c3ccccc3)C2)CC1 ZINC000981500958 656708802 /nfs/dbraw/zinc/70/88/02/656708802.db2.gz GBRVNNMEZXJYRA-UHFFFAOYSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2c(s1)CCC2 ZINC001049875354 656708949 /nfs/dbraw/zinc/70/89/49/656708949.db2.gz DPLDEWORGVOGIM-LSDHHAIUSA-N 0 1 316.470 3.102 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(F)cc3ccoc32)CC1 ZINC000981505204 656710086 /nfs/dbraw/zinc/71/00/86/656710086.db2.gz IFIDHCPGUUURNX-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049885702 656713875 /nfs/dbraw/zinc/71/38/75/656713875.db2.gz OOFPTMYRZNPIAB-CABCVRRESA-N 0 1 304.459 3.230 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C12CCC(CC1)CC2 ZINC001049904381 656717384 /nfs/dbraw/zinc/71/73/84/656717384.db2.gz OZXWXXHBVMRTKX-NNVNDSJASA-N 0 1 314.473 3.045 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccccc3C(C)(C)C)C[C@H]21 ZINC001042106320 656722594 /nfs/dbraw/zinc/72/25/94/656722594.db2.gz AQUHZUODGMSKMB-VQIMIIECSA-N 0 1 324.468 3.154 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(CCCC)cc3)C[C@H]21 ZINC001042141450 656726048 /nfs/dbraw/zinc/72/60/48/656726048.db2.gz FUOQWVGYXHUTMG-UYAOXDASSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(CCCC)cc2)CC1 ZINC000981579804 656727596 /nfs/dbraw/zinc/72/75/96/656727596.db2.gz MQTDMRGVEMLNFL-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC000981584276 656729849 /nfs/dbraw/zinc/72/98/49/656729849.db2.gz ZBBVSJHYMRJWBB-SJORKVTESA-N 0 1 304.478 3.335 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC000981595822 656731678 /nfs/dbraw/zinc/73/16/78/656731678.db2.gz UXGCZZIZMBBFEX-RTBURBONSA-N 0 1 312.457 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)C[C@H]21 ZINC001042288377 656747566 /nfs/dbraw/zinc/74/75/66/656747566.db2.gz CNAMRHUEIPQAMQ-NCXUSEDFSA-N 0 1 316.489 3.005 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(Cl)[nH]2)CC1 ZINC001052693051 656749807 /nfs/dbraw/zinc/74/98/07/656749807.db2.gz NYBPQSRBHBRLJW-NSHDSACASA-N 0 1 316.232 3.005 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H](C)c2ccc(C)o2)CC1 ZINC001052772942 656765938 /nfs/dbraw/zinc/76/59/38/656765938.db2.gz CUOJETAUSCPEQA-LSDHHAIUSA-N 0 1 324.852 3.415 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCC(C)=C(C)C3)C2)C1 ZINC000981786990 656769336 /nfs/dbraw/zinc/76/93/36/656769336.db2.gz CRWMKUCFFDGJML-SFHVURJKSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C(C2CC2)C2CC2)CC1 ZINC001052855007 656776814 /nfs/dbraw/zinc/77/68/14/656776814.db2.gz IDONLSKLRFLVSN-HNNXBMFYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C(C2CC2)C2CC2)CC1 ZINC001052855008 656777035 /nfs/dbraw/zinc/77/70/35/656777035.db2.gz IDONLSKLRFLVSN-OAHLLOKOSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3(C)CCCCCC3)C2)C1 ZINC000981816619 656777612 /nfs/dbraw/zinc/77/76/12/656777612.db2.gz CEQNMWSLQDUJKW-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CC[C@H](C(F)(F)F)C2)CC1 ZINC000981834080 656780417 /nfs/dbraw/zinc/78/04/17/656780417.db2.gz QJWFJCBUYZSSQT-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCC=CCCC3)C2)C1 ZINC000981838628 656782089 /nfs/dbraw/zinc/78/20/89/656782089.db2.gz CHMXUPLZUBQHGV-GOSISDBHSA-N 0 1 314.473 3.071 20 30 DGEDMN CC#CC[N@@H+]1CCCC2(CN(C(=O)[C@]3(C)CCC[C@H]3CC)C2)C1 ZINC000981846862 656784820 /nfs/dbraw/zinc/78/48/20/656784820.db2.gz PKTXFKBKSZMGLM-IEBWSBKVSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@]3(C)CCC[C@H]3CC)C2)C1 ZINC000981846862 656784822 /nfs/dbraw/zinc/78/48/22/656784822.db2.gz PKTXFKBKSZMGLM-IEBWSBKVSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(CCCC)cc3)C2)C1 ZINC000981878549 656795201 /nfs/dbraw/zinc/79/52/01/656795201.db2.gz RUVUPVWNEMOFMR-UHFFFAOYSA-N 0 1 324.468 3.200 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)CC1 ZINC001052968027 656801416 /nfs/dbraw/zinc/80/14/16/656801416.db2.gz RWDCBCKWWBINTQ-PHPOFCCKSA-N 0 1 322.880 3.002 20 30 DGEDMN O=C(/C=C/c1ccccc1)c1ccc(O)c(CN2CCOCC2)c1 ZINC000040915550 656806497 /nfs/dbraw/zinc/80/64/97/656806497.db2.gz IWLWHHXHUBDIBI-SOFGYWHQSA-N 0 1 323.392 3.121 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC000981931161 656811432 /nfs/dbraw/zinc/81/14/32/656811432.db2.gz WQMNGSSYUDHPEV-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCOc1ccc(C(=O)N(C)C2CN(C[C@@H](C)CC)C2)cc1 ZINC001043116956 656813076 /nfs/dbraw/zinc/81/30/76/656813076.db2.gz ADHHPJZHRMWOIA-HNNXBMFYSA-N 0 1 316.445 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCCN(CCC(F)(F)F)C2 ZINC000981937908 656814269 /nfs/dbraw/zinc/81/42/69/656814269.db2.gz QIHKVWBSKIEUQK-UHFFFAOYSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCOc1ccccc1C(=O)N(C)C1CN(CCC(C)C)C1 ZINC001043118321 656814772 /nfs/dbraw/zinc/81/47/72/656814772.db2.gz XZZKYAQSTLRRSQ-UHFFFAOYSA-N 0 1 316.445 3.054 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC001053004766 656815264 /nfs/dbraw/zinc/81/52/64/656815264.db2.gz ZILYDDYNZAIGLB-DLBZAZTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001028160381 656819700 /nfs/dbraw/zinc/81/97/00/656819700.db2.gz SWVKVZSWOKPFAU-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC001053027079 656822559 /nfs/dbraw/zinc/82/25/59/656822559.db2.gz LTOOIBMKBBMYDD-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001043398957 656827379 /nfs/dbraw/zinc/82/73/79/656827379.db2.gz NSBOUCWIWSYMEF-AZUAARDMSA-N 0 1 312.457 3.073 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC001053072340 656844705 /nfs/dbraw/zinc/84/47/05/656844705.db2.gz QHUSHRWKGIBIOE-CVEARBPZSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CN(CC3CCCCCC3)C2)C1 ZINC001043815272 656858919 /nfs/dbraw/zinc/85/89/19/656858919.db2.gz UCUZWKMVJMFSNV-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)CC3CCCCCC3)C2)C1 ZINC000982036916 656872820 /nfs/dbraw/zinc/87/28/20/656872820.db2.gz TVEOUARHQKPZSL-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)CC3CCCC3)C2)C1 ZINC000982050978 656876195 /nfs/dbraw/zinc/87/61/95/656876195.db2.gz MAFCEBSTAYRUTC-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1occc1C ZINC001054033031 656887528 /nfs/dbraw/zinc/88/75/28/656887528.db2.gz MGORNHGQRDZXQW-GOSISDBHSA-N 0 1 324.424 3.321 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]ccc1C ZINC001054041175 656889894 /nfs/dbraw/zinc/88/98/94/656889894.db2.gz IVTDKVHQAFOMHF-GOSISDBHSA-N 0 1 323.440 3.056 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C1=CCCC1 ZINC001054037815 656890254 /nfs/dbraw/zinc/89/02/54/656890254.db2.gz OATAQRRJLCOFOR-LJQANCHMSA-N 0 1 310.441 3.216 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC001054053966 656894249 /nfs/dbraw/zinc/89/42/49/656894249.db2.gz GKLDELUXTNULEH-AYOQOUSVSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1CC12CCC2 ZINC001054055558 656895554 /nfs/dbraw/zinc/89/55/54/656895554.db2.gz OCRIZLWLBYFCIX-OALUTQOASA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H](F)C(C)C)C2 ZINC001054180201 656926944 /nfs/dbraw/zinc/92/69/44/656926944.db2.gz OMVMFHYSLIBSNV-HOCLYGCPSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2cccnc2s1 ZINC001028243955 656939550 /nfs/dbraw/zinc/93/95/50/656939550.db2.gz UYBZFPRTSVRXHR-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1oc2ccccc2c1Cl ZINC001028254743 656946972 /nfs/dbraw/zinc/94/69/72/656946972.db2.gz CAUZYIZEJWVGDM-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001028261745 656950014 /nfs/dbraw/zinc/95/00/14/656950014.db2.gz GMGNYWDHTYYTMB-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCCC34CC4)cc2C1 ZINC001054269654 656956358 /nfs/dbraw/zinc/95/63/58/656956358.db2.gz JHEJKELVQHHGHW-IBGZPJMESA-N 0 1 322.452 3.222 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC000949199288 656958129 /nfs/dbraw/zinc/95/81/29/656958129.db2.gz QQSVQRZNFVIGPW-IAGOWNOFSA-N 0 1 304.478 3.335 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCC(C)(C)C3)cc2C1 ZINC001054280035 656959889 /nfs/dbraw/zinc/95/98/89/656959889.db2.gz WYGNVUQETSLFMD-KRWDZBQOSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@@]3(C)CC)cc2C1 ZINC001054287714 656962448 /nfs/dbraw/zinc/96/24/48/656962448.db2.gz YWPNONQIPCUNIX-AZUAARDMSA-N 0 1 310.441 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CC34CCCC4)cc2C1 ZINC001054288482 656963448 /nfs/dbraw/zinc/96/34/48/656963448.db2.gz YCJSKYKGLNCSJU-LJQANCHMSA-N 0 1 322.452 3.222 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC001028303087 656986876 /nfs/dbraw/zinc/98/68/76/656986876.db2.gz JCTQWZKXVABCPU-IBGZPJMESA-N 0 1 312.457 3.336 20 30 DGEDMN C=CCC[N@@H+]1CCC[C@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001028317121 656991918 /nfs/dbraw/zinc/99/19/18/656991918.db2.gz XSUDJERHWSOQON-AWEZNQCLSA-N 0 1 321.490 3.345 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001028341492 656999436 /nfs/dbraw/zinc/99/94/36/656999436.db2.gz MAEZTDLDGRPGBF-MNNVXMFVSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc3occc3c2)C[C@@H]1C ZINC001054685498 657000394 /nfs/dbraw/zinc/00/03/94/657000394.db2.gz CJGRDYKUMCPARI-XHDPSFHLSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C(C)C)nc2)C[C@H]1C ZINC001054733580 657004477 /nfs/dbraw/zinc/00/44/77/657004477.db2.gz SUCWEIJIZNWYDN-MLGOLLRUSA-N 0 1 321.852 3.008 20 30 DGEDMN O=C(NC[C@@H]1CCN(CC#Cc2ccccc2)C1)C1CCCCC1 ZINC001028381118 657015689 /nfs/dbraw/zinc/01/56/89/657015689.db2.gz ARICYJZACUNILE-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)sc2C)C[C@H]1C ZINC001054875735 657017712 /nfs/dbraw/zinc/01/77/12/657017712.db2.gz XDHXONZDPFVNTH-OTYXRUKQSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2ccc(C)cc2)C[C@@H]1C ZINC001054883609 657019284 /nfs/dbraw/zinc/01/92/84/657019284.db2.gz FYZZGJHMQAJIRM-WMLDXEAASA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CCC3(CC3)CC2)C[C@H]1C ZINC001054913612 657020463 /nfs/dbraw/zinc/02/04/63/657020463.db2.gz GZBKNGRESVPKGY-DOMZBBRYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@H]1C ZINC001054971983 657025907 /nfs/dbraw/zinc/02/59/07/657025907.db2.gz WKANLASEFCGUJJ-DGCLKSJQSA-N 0 1 300.874 3.248 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2ccc(F)cc2F)C1 ZINC001054976921 657027888 /nfs/dbraw/zinc/02/78/88/657027888.db2.gz QUXRWEWEQNJQJN-MLGOLLRUSA-N 0 1 322.399 3.114 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccn(C(C)C)c2C)C[C@H]1C ZINC001054998363 657030629 /nfs/dbraw/zinc/03/06/29/657030629.db2.gz ACMJGOLEJUWRIZ-MLGOLLRUSA-N 0 1 323.868 3.180 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(CC)c(C)s2)CC1 ZINC001045636228 657046755 /nfs/dbraw/zinc/04/67/55/657046755.db2.gz LEEIKTDDKGXRTQ-UHFFFAOYSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(C)c(CC)s2)CC1 ZINC001045635384 657047118 /nfs/dbraw/zinc/04/71/18/657047118.db2.gz ABELKFYJNAQCKQ-UHFFFAOYSA-N 0 1 318.486 3.227 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCCCc3ccccc32)CC1 ZINC001045647778 657048569 /nfs/dbraw/zinc/04/85/69/657048569.db2.gz UJDDTRHUHZAMOR-LJQANCHMSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2coc3ccccc23)CC1 ZINC001045651186 657050699 /nfs/dbraw/zinc/05/06/99/657050699.db2.gz AIKHHKIMCUNOFW-UHFFFAOYSA-N 0 1 310.397 3.040 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C=C(C)C)C2 ZINC001045910639 657087528 /nfs/dbraw/zinc/08/75/28/657087528.db2.gz XYAGNQRDWWQOIT-QGZVFWFLSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001000090892 657092787 /nfs/dbraw/zinc/09/27/87/657092787.db2.gz ACBQFERFTBBACV-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C(C)(C)C)C2 ZINC001045980583 657111123 /nfs/dbraw/zinc/11/11/23/657111123.db2.gz CSKFXBXVQININB-KRWDZBQOSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001046318616 657146249 /nfs/dbraw/zinc/14/62/49/657146249.db2.gz DSDRHVUEIBZJAV-LQWHRVPQSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001046319140 657146960 /nfs/dbraw/zinc/14/69/60/657146960.db2.gz JQOGJMRUINCXDS-INIZCTEOSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)C(C)(F)F)C1 ZINC001000800198 657154183 /nfs/dbraw/zinc/15/41/83/657154183.db2.gz JNQCHFGHKUVIDE-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001046373688 657154304 /nfs/dbraw/zinc/15/43/04/657154304.db2.gz GEHBMCBWQOGGOQ-GOSISDBHSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C)c(C)c2)C1 ZINC001000828147 657155153 /nfs/dbraw/zinc/15/51/53/657155153.db2.gz UDAAHEODWBDTMO-INIZCTEOSA-N 0 1 306.837 3.250 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccccc2C(C)(C)CC)CC1 ZINC001000818909 657155866 /nfs/dbraw/zinc/15/58/66/657155866.db2.gz PDFRJOINVKRJIP-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cc3ccsc3[nH]2)C1 ZINC001028727860 657159496 /nfs/dbraw/zinc/15/94/96/657159496.db2.gz YNGGUXIIYDOSIY-LLVKDONJSA-N 0 1 323.849 3.034 20 30 DGEDMN C#CCCN1CC[C@@](C)(NC(=O)c2cc3cscc3s2)C1 ZINC001046493667 657169868 /nfs/dbraw/zinc/16/98/68/657169868.db2.gz FHJCQGOWWTXRMY-MRXNPFEDSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001046644059 657191763 /nfs/dbraw/zinc/19/17/63/657191763.db2.gz HTIXNWXUJGJUOK-FQEVSTJZSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001046715720 657207933 /nfs/dbraw/zinc/20/79/33/657207933.db2.gz SLNPTFXTMYIUIZ-LJQANCHMSA-N 0 1 300.446 3.364 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2cc3cc(C)c(C)cc3o2)CC1 ZINC001001375069 657211456 /nfs/dbraw/zinc/21/14/56/657211456.db2.gz ZVVMIVPNWSSILX-UHFFFAOYSA-N 0 1 322.408 3.045 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2cc(CCC)c(C)s2)CC1 ZINC001001432689 657220279 /nfs/dbraw/zinc/22/02/79/657220279.db2.gz HBOLORZDCBGFJL-UHFFFAOYSA-N 0 1 316.470 3.004 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001028929902 657224595 /nfs/dbraw/zinc/22/45/95/657224595.db2.gz MMYPQNNVISSFLW-QGZVFWFLSA-N 0 1 324.468 3.419 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)C2CCC(C(F)F)CC2)C1 ZINC001046812478 657226443 /nfs/dbraw/zinc/22/64/43/657226443.db2.gz XVPQISUTACLZKW-KVULBXGLSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001046816202 657227013 /nfs/dbraw/zinc/22/70/13/657227013.db2.gz IVPNJAWQRINUOT-SCLBCKFNSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(C4CCC4)cc3)[C@@H]2C1 ZINC001050059163 657242996 /nfs/dbraw/zinc/24/29/96/657242996.db2.gz RJYGVEGONGYJIK-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001046889685 657243264 /nfs/dbraw/zinc/24/32/64/657243264.db2.gz MGXCFYQFRFUPAI-FQEVSTJZSA-N 0 1 312.457 3.029 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001050154258 657260996 /nfs/dbraw/zinc/26/09/96/657260996.db2.gz MHHNMERCGVZFJS-NZSAHSFTSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001050183228 657265923 /nfs/dbraw/zinc/26/59/23/657265923.db2.gz VLCOOYLHLMACMT-OKZBNKHCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cccs3)C2)CC1 ZINC001050417269 657303740 /nfs/dbraw/zinc/30/37/40/657303740.db2.gz LHFXLVUIDVBLPU-UHFFFAOYSA-N 0 1 310.850 3.039 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H]3CC[C@H](C)C3)cccc2C1 ZINC001051302527 657385039 /nfs/dbraw/zinc/38/50/39/657385039.db2.gz YAOTUUVQVJIKMG-IRXDYDNUSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cccc(Cl)c2F)C1 ZINC000968354670 657392309 /nfs/dbraw/zinc/39/23/09/657392309.db2.gz VEUIXYJYLKKKTP-WFASDCNBSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(OCC)c2)C1 ZINC000968374901 657398586 /nfs/dbraw/zinc/39/85/86/657398586.db2.gz OOZALUBQBOPRFY-RHSMWYFYSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(Cl)cs2)C1 ZINC000968386828 657402067 /nfs/dbraw/zinc/40/20/67/657402067.db2.gz QADUKWCHWLRXNC-DGCLKSJQSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968404649 657407740 /nfs/dbraw/zinc/40/77/40/657407740.db2.gz GBBLZGLBHHRJFS-HITAIPBASA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968423388 657409787 /nfs/dbraw/zinc/40/97/87/657409787.db2.gz RUNMCFLSBRVHHB-PBHICJAKSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968430003 657410946 /nfs/dbraw/zinc/41/09/46/657410946.db2.gz AOVOMOPKNJPVQR-CZUORRHYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968439617 657412578 /nfs/dbraw/zinc/41/25/78/657412578.db2.gz ISTOGHOSLHTIET-XJKSGUPXSA-N 0 1 306.837 3.275 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)C2=CCCCCC2)C1 ZINC000968494292 657416589 /nfs/dbraw/zinc/41/65/89/657416589.db2.gz BUFLFDOXQKEJQW-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3ccccc3C)CCC2)CC1 ZINC000957476789 657420248 /nfs/dbraw/zinc/42/02/48/657420248.db2.gz JRXPRMAENPIIPY-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC000968540505 657423516 /nfs/dbraw/zinc/42/35/16/657423516.db2.gz VSJBUJLIPOYXEJ-DZGCQCFKSA-N 0 1 316.470 3.089 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548429 657424800 /nfs/dbraw/zinc/42/48/00/657424800.db2.gz GJTPJLQOHGODIM-ZBFHGGJFSA-N 0 1 318.461 3.421 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@@H](C)c2ccccc2)CC1 ZINC000957548170 657430163 /nfs/dbraw/zinc/43/01/63/657430163.db2.gz WDCGFAFNKMZDPK-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cccc(C)c1 ZINC001029421626 657433809 /nfs/dbraw/zinc/43/38/09/657433809.db2.gz GRHFGRWMLOXAMK-HDICACEKSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2occ3c2CCCC3)C1 ZINC000968583853 657434185 /nfs/dbraw/zinc/43/41/85/657434185.db2.gz PXNPUZDDUSWTAM-YOEHRIQHSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2coc3cc(C)ccc23)CC1 ZINC000957570166 657434245 /nfs/dbraw/zinc/43/42/45/657434245.db2.gz SZAKLJXGHVPYGF-UHFFFAOYSA-N 0 1 312.413 3.004 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597226 657435984 /nfs/dbraw/zinc/43/59/84/657435984.db2.gz UKRTWBJHDQFWGE-FUHWJXTLSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597526 657437038 /nfs/dbraw/zinc/43/70/38/657437038.db2.gz ZFAAKGXGXWJYBZ-NVXWUHKLSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)nc3ccccc32)C1 ZINC000968609526 657441919 /nfs/dbraw/zinc/44/19/19/657441919.db2.gz IBLLEDPIYJKJMC-IFXJQAMLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968619917 657445085 /nfs/dbraw/zinc/44/50/85/657445085.db2.gz QJTNLETTYCDIPK-BEFAXECRSA-N 0 1 312.457 3.192 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC000968620301 657445097 /nfs/dbraw/zinc/44/50/97/657445097.db2.gz SNVSCAIRTTUZQX-UKRRQHHQSA-N 0 1 318.486 3.253 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3cn[nH]c3)CC2)c(Cl)c1 ZINC000439439619 657455686 /nfs/dbraw/zinc/45/56/86/657455686.db2.gz GAQRRDRBLLQNNF-UHFFFAOYSA-N 0 1 300.793 3.314 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cccc2F)C1 ZINC000968695035 657462767 /nfs/dbraw/zinc/46/27/67/657462767.db2.gz GFQJLKVHBSFWRD-CJNGLKHVSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC000968703495 657463801 /nfs/dbraw/zinc/46/38/01/657463801.db2.gz WABYZSVTENVDDC-HNAYVOBHSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C23CC(c4ccccc4)(C2)C3)CC1 ZINC000957817688 657465846 /nfs/dbraw/zinc/46/58/46/657465846.db2.gz WBFLEFNKBTUPAN-UHFFFAOYSA-N 0 1 324.468 3.219 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)Cc2cccc(F)c2)CC1 ZINC000957872603 657469625 /nfs/dbraw/zinc/46/96/25/657469625.db2.gz LAVRPNLMZNLLGX-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1coc2c1cccc2C ZINC000968893091 657511443 /nfs/dbraw/zinc/51/14/43/657511443.db2.gz GPBJSZZCUVZJRT-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000968962431 657524147 /nfs/dbraw/zinc/52/41/47/657524147.db2.gz FCACIQALRUTRJZ-FJIDUMEYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)C[N@H+]1CCCCC[C@H]1CNC(=O)c1occ2c1CCC2 ZINC000968969142 657525209 /nfs/dbraw/zinc/52/52/09/657525209.db2.gz ZGFPIWAXDVKJCJ-INIZCTEOSA-N 0 1 316.445 3.319 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc2[nH]ccc2c1 ZINC000969008331 657532965 /nfs/dbraw/zinc/53/29/65/657532965.db2.gz QCXZCUUWRLZOCZ-SFHVURJKSA-N 0 1 323.440 3.166 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC000969061984 657546965 /nfs/dbraw/zinc/54/69/65/657546965.db2.gz IIWFQIUELKXBAN-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001007347594 657597140 /nfs/dbraw/zinc/59/71/40/657597140.db2.gz UAJQEEONEODCNM-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN CN(Cc1ccccc1C#N)C1CCN(C(=O)C=C2CCC2)CC1 ZINC000985369879 657613528 /nfs/dbraw/zinc/61/35/28/657613528.db2.gz FSVOSMAUSUZROQ-UHFFFAOYSA-N 0 1 323.440 3.091 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001007510922 657615991 /nfs/dbraw/zinc/61/59/91/657615991.db2.gz MUWQQQPQQIVSKM-OAHLLOKOSA-N 0 1 318.486 3.164 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001007510922 657615994 /nfs/dbraw/zinc/61/59/94/657615994.db2.gz MUWQQQPQQIVSKM-OAHLLOKOSA-N 0 1 318.486 3.164 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001007784527 657632362 /nfs/dbraw/zinc/63/23/62/657632362.db2.gz CPMRMZGNGMIWHH-NSHDSACASA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC001007827636 657637922 /nfs/dbraw/zinc/63/79/22/657637922.db2.gz WXIOFRVVRQMXPI-GOSISDBHSA-N 0 1 323.440 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001007908038 657646336 /nfs/dbraw/zinc/64/63/36/657646336.db2.gz OLAAPFHDJXMFAR-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2cc3ccccc3s2)C1 ZINC001007915149 657646435 /nfs/dbraw/zinc/64/64/35/657646435.db2.gz RXWUWWGVXDXVTL-HNNXBMFYSA-N 0 1 314.454 3.210 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001007942817 657648139 /nfs/dbraw/zinc/64/81/39/657648139.db2.gz IDJFUMPVMOALMN-INIZCTEOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2sccc2F)CC1 ZINC000985412943 657649124 /nfs/dbraw/zinc/64/91/24/657649124.db2.gz GBUPCLRITFXYLO-UHFFFAOYSA-N 0 1 316.829 3.176 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccc(F)cc3)CCC2)C1 ZINC001008128925 657663967 /nfs/dbraw/zinc/66/39/67/657663967.db2.gz LPTYPQAXOUBBLK-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2csc(C(C)C)n2)C[C@H]1C ZINC000947813647 657665708 /nfs/dbraw/zinc/66/57/08/657665708.db2.gz ADZJNNQJUXFOCM-CHWSQXEVSA-N 0 1 307.463 3.035 20 30 DGEDMN CC(C)(C#N)CCCN1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000305069843 657673374 /nfs/dbraw/zinc/67/33/74/657673374.db2.gz KWFUJEGRPKLGKF-ZWKOTPCHSA-N 0 1 316.445 3.141 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cncc3sccc32)C1 ZINC001008299338 657680196 /nfs/dbraw/zinc/68/01/96/657680196.db2.gz PDCKSXOSWGJPFE-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC001008411926 657693630 /nfs/dbraw/zinc/69/36/30/657693630.db2.gz NREXCQGXPHJTAS-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(Cc3csc(C)c3)C2)C1 ZINC001008462593 657698509 /nfs/dbraw/zinc/69/85/09/657698509.db2.gz DLBWFCIIINWSFY-INIZCTEOSA-N 0 1 318.486 3.493 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001008711515 657724253 /nfs/dbraw/zinc/72/42/53/657724253.db2.gz SQCRZKRENHLFSG-HZPDHXFCSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)c(OCC)c2)C1 ZINC001008734459 657725638 /nfs/dbraw/zinc/72/56/38/657725638.db2.gz DDNNQDPMEAIYCD-QGZVFWFLSA-N 0 1 316.445 3.164 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cccc3sccc32)C1 ZINC001008745261 657725791 /nfs/dbraw/zinc/72/57/91/657725791.db2.gz DOJTUBAGTZDKCU-OAHLLOKOSA-N 0 1 314.454 3.210 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)c2cc(C)oc2C)C1 ZINC001008891453 657736613 /nfs/dbraw/zinc/73/66/13/657736613.db2.gz FZGRBSXDMAKHII-HOCLYGCPSA-N 0 1 304.434 3.157 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001029800156 657746880 /nfs/dbraw/zinc/74/68/80/657746880.db2.gz LRUJAHFQEAOOLP-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001009201439 657756399 /nfs/dbraw/zinc/75/63/99/657756399.db2.gz KNJCPKMPORPCPC-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC000985569905 657761862 /nfs/dbraw/zinc/76/18/62/657761862.db2.gz BHVRLBDNMWUXIS-UHFFFAOYSA-N 0 1 321.490 3.168 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001009276344 657762497 /nfs/dbraw/zinc/76/24/97/657762497.db2.gz OAFDFYLAEJLPGK-QGZVFWFLSA-N 0 1 300.446 3.039 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001029828492 657771409 /nfs/dbraw/zinc/77/14/09/657771409.db2.gz BMQGBICSQSUWRD-PBHICJAKSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001029828829 657772495 /nfs/dbraw/zinc/77/24/95/657772495.db2.gz LIUNFCWKHGXQMX-WMLDXEAASA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(Cl)ccc2OC)C1 ZINC001009424272 657784421 /nfs/dbraw/zinc/78/44/21/657784421.db2.gz JVQHKQCKRJBZLG-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2CCC(F)CC2)CC1 ZINC000985620707 657786057 /nfs/dbraw/zinc/78/60/57/657786057.db2.gz BCYRFYJDYMXIOE-UHFFFAOYSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC[C@@H]2CC)CC1 ZINC000985637915 657791629 /nfs/dbraw/zinc/79/16/29/657791629.db2.gz NIVNDOPBQQLDQJ-HOCLYGCPSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)C[N@H+](C)C1CCN(C(=O)c2cc(C)cc(F)c2)CC1 ZINC000985667690 657799461 /nfs/dbraw/zinc/79/94/61/657799461.db2.gz IHECQBTVHNGLJL-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(C)cc(F)c2)CC1 ZINC000985667690 657799468 /nfs/dbraw/zinc/79/94/68/657799468.db2.gz IHECQBTVHNGLJL-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001009690708 657809981 /nfs/dbraw/zinc/80/99/81/657809981.db2.gz LWIAGOUIQOSUFA-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC000985698506 657810461 /nfs/dbraw/zinc/81/04/61/657810461.db2.gz WFZPBWQLFLNGQC-JKSUJKDBSA-N 0 1 310.869 3.098 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001009789551 657816830 /nfs/dbraw/zinc/81/68/30/657816830.db2.gz UAMPVJWCLRDLBN-OAHLLOKOSA-N 0 1 308.372 3.179 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001009783010 657817555 /nfs/dbraw/zinc/81/75/55/657817555.db2.gz XPSKESZVSJVPPP-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](NC(=O)C=C3CCCCC3)C2)c1 ZINC001010329384 657887433 /nfs/dbraw/zinc/88/74/33/657887433.db2.gz BDPZDFQOAQCUGD-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](NC(=O)CC3=CCCCC3)C2)cc1 ZINC001010383752 657891529 /nfs/dbraw/zinc/89/15/29/657891529.db2.gz YNIPUILHMNLIOW-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC000961079821 657940484 /nfs/dbraw/zinc/94/04/84/657940484.db2.gz LKUZVHIZKMWWQY-CVEARBPZSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)/C(C)=C/C)C2)C1 ZINC000961134353 657959266 /nfs/dbraw/zinc/95/92/66/657959266.db2.gz DSUVUGXRBDTPDT-FIVBTLBUSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C2CCCC2)cc1 ZINC000961169659 657971448 /nfs/dbraw/zinc/97/14/48/657971448.db2.gz GNOVRKZMUKYKLC-PMOLBWCYSA-N 0 1 322.452 3.028 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3occc3c2)[C@@H]1C ZINC000986311526 658036349 /nfs/dbraw/zinc/03/63/49/658036349.db2.gz IBNGELWAIRQZTA-DOMZBBRYSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccccc2CC)[C@H]1C ZINC000986420468 658047906 /nfs/dbraw/zinc/04/79/06/658047906.db2.gz ULDUKOZWKUMGPL-YOEHRIQHSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c3ccccc23)[C@@H]1C ZINC000986501696 658057046 /nfs/dbraw/zinc/05/70/46/658057046.db2.gz RCWVKAMHXXGWGL-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)n(CC)c2C)[C@@H]1C ZINC000986512033 658057480 /nfs/dbraw/zinc/05/74/80/658057480.db2.gz HTIFPYZJIBKAAL-GDBMZVCRSA-N 0 1 323.868 3.070 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)c(F)c2)[C@@H]1C ZINC000986520327 658059267 /nfs/dbraw/zinc/05/92/67/658059267.db2.gz OPYDDELAJIMVAO-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001015084768 658082976 /nfs/dbraw/zinc/08/29/76/658082976.db2.gz QZUWLOWKYOIBAR-LBPRGKRZSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001015175837 658093741 /nfs/dbraw/zinc/09/37/41/658093741.db2.gz IYZUPIBSEGKOTP-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1sc(C)nc1CC(C)C ZINC001038469628 658124203 /nfs/dbraw/zinc/12/42/03/658124203.db2.gz XDGNXUMLXXGKMH-AWEZNQCLSA-N 0 1 321.490 3.030 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000321317647 658148249 /nfs/dbraw/zinc/14/82/49/658148249.db2.gz PXZSAGZZPIDRQN-AWEZNQCLSA-N 0 1 310.353 3.458 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001015776070 658185739 /nfs/dbraw/zinc/18/57/39/658185739.db2.gz QUDPEVXRTXKMJK-CVEARBPZSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc(C)ccc2F)[C@H]1C ZINC000987385025 658196919 /nfs/dbraw/zinc/19/69/19/658196919.db2.gz MISLLVGYGGHBAY-BBRMVZONSA-N 0 1 324.827 3.008 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc3ccccc32)C1 ZINC001015866724 658197986 /nfs/dbraw/zinc/19/79/86/658197986.db2.gz FKARCSPXRALPEF-LSTHTHJFSA-N 0 1 320.436 3.320 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001015894387 658200961 /nfs/dbraw/zinc/20/09/61/658200961.db2.gz PPVVAHPTCILOME-XLIONFOSSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3[nH]ccc3s2)[C@@H]1C ZINC000987421400 658203927 /nfs/dbraw/zinc/20/39/27/658203927.db2.gz LNUSUBSNJZBLMP-GHMZBOCLSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C)c2C)[C@H]1C ZINC000987446876 658212569 /nfs/dbraw/zinc/21/25/69/658212569.db2.gz FPFOEJLANNQGHH-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)s2)[C@H]1C ZINC000987467911 658215719 /nfs/dbraw/zinc/21/57/19/658215719.db2.gz UMDCKUSSHSRLJR-VHSXEESVSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2c3ccccc3oc2CC)C1 ZINC001016345373 658268895 /nfs/dbraw/zinc/26/88/95/658268895.db2.gz JMZFPXWFWDTGGO-AWEZNQCLSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccsc2)CC1 ZINC001016494026 658293248 /nfs/dbraw/zinc/29/32/48/658293248.db2.gz HWXNAAQVLXFFLI-CYBMUJFWSA-N 0 1 310.850 3.085 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cscc2C)CC1 ZINC001016561203 658300738 /nfs/dbraw/zinc/30/07/38/658300738.db2.gz XNOOQPGRDWIEDP-CQSZACIVSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cc(C)cs2)CC1 ZINC001016611975 658307559 /nfs/dbraw/zinc/30/75/59/658307559.db2.gz IAMIKGSMSRRFGB-AWEZNQCLSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001016644715 658311750 /nfs/dbraw/zinc/31/17/50/658311750.db2.gz GQRQHTHUHYYNIS-WBVHZDCISA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001016749747 658323757 /nfs/dbraw/zinc/32/37/57/658323757.db2.gz SLXLJXNYOJFOFU-IVSAIRAKSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2occc2CC)CC1 ZINC001016813983 658335497 /nfs/dbraw/zinc/33/54/97/658335497.db2.gz HDZGJKOXPRFXNN-AWEZNQCLSA-N 0 1 322.836 3.179 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](CN(C)Cc2ccns2)C1 ZINC001017180506 658403009 /nfs/dbraw/zinc/40/30/09/658403009.db2.gz TVADZOQKQCPJFY-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2c(C)cccc2C)C1 ZINC000988907391 658414903 /nfs/dbraw/zinc/41/49/03/658414903.db2.gz VWRADCGEHKNNJZ-HZPDHXFCSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2ccsc2)C1 ZINC000988960402 658420012 /nfs/dbraw/zinc/42/00/12/658420012.db2.gz MEBSKXDMJYABTI-OCCSQVGLSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cscc2Cl)C1 ZINC000989007097 658424428 /nfs/dbraw/zinc/42/44/28/658424428.db2.gz OFXKFPYCWOQSTF-VHSXEESVSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2ccc(C)cc2)C1 ZINC000989152748 658444878 /nfs/dbraw/zinc/44/48/78/658444878.db2.gz DPEUYIMXRGONLQ-DOTOQJQBSA-N 0 1 320.864 3.259 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC000966165634 658451659 /nfs/dbraw/zinc/45/16/59/658451659.db2.gz GRSYEKBNTUVDGH-RHSMWYFYSA-N 0 1 315.461 3.005 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(F)cc1 ZINC001017712430 658452472 /nfs/dbraw/zinc/45/24/72/658452472.db2.gz UNMZZLNFDOQNIU-HDICACEKSA-N 0 1 316.420 3.010 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966263045 658458519 /nfs/dbraw/zinc/45/85/19/658458519.db2.gz LKLZYXNCUCSKID-GUYCJALGSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966263046 658458680 /nfs/dbraw/zinc/45/86/80/658458680.db2.gz LKLZYXNCUCSKID-SUMWQHHRSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCC2 ZINC001017772681 658460408 /nfs/dbraw/zinc/46/04/08/658460408.db2.gz MFZVSCMHDDRIKY-IYBDPMFKSA-N 0 1 314.429 3.023 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2cc(C)cc(C)c2)C1 ZINC000989394902 658472429 /nfs/dbraw/zinc/47/24/29/658472429.db2.gz ARTVSXAZAIONJD-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cccc(-c3ccoc3)c2)CC1 ZINC000989433504 658480623 /nfs/dbraw/zinc/48/06/23/658480623.db2.gz HXRWQUFGJCLINY-UHFFFAOYSA-N 0 1 322.408 3.118 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC000966561212 658481760 /nfs/dbraw/zinc/48/17/60/658481760.db2.gz IXMXBWPESIOKER-JXFKEZNVSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]ccc21 ZINC001017984713 658485444 /nfs/dbraw/zinc/48/54/44/658485444.db2.gz QUSNJXMLLUDPFX-GASCZTMLSA-N 0 1 309.413 3.033 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C(C)C)cn2)C1 ZINC000989450762 658489294 /nfs/dbraw/zinc/48/92/94/658489294.db2.gz PGXQCBUTSGIVIW-ZFWWWQNUSA-N 0 1 321.852 3.150 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001018052968 658496970 /nfs/dbraw/zinc/49/69/70/658496970.db2.gz UKHQSJPFHSHSMH-HDAAZOAOSA-N 0 1 324.468 3.432 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2ccc(CC)cc2)C1 ZINC000989491642 658500026 /nfs/dbraw/zinc/50/00/26/658500026.db2.gz IGFWUFASMWEUPA-RHSMWYFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)c2cc(CC)c(CC)o2)CC1 ZINC000989493260 658500230 /nfs/dbraw/zinc/50/02/30/658500230.db2.gz XPKHOZVQWASXFA-UHFFFAOYSA-N 0 1 304.434 3.128 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc3cc[nH]c3c2)C1 ZINC000989487909 658500420 /nfs/dbraw/zinc/50/04/20/658500420.db2.gz OFJLGQTXQUOGRC-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccccc1F ZINC001018077682 658500784 /nfs/dbraw/zinc/50/07/84/658500784.db2.gz AVNSRGHCXGCPHE-OAGGEKHMSA-N 0 1 316.420 3.181 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC000966874304 658502770 /nfs/dbraw/zinc/50/27/70/658502770.db2.gz GELUTZYPFXREOV-CMPLNLGQSA-N 0 1 312.360 3.152 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(Cl)cs2)C1 ZINC000989512215 658504944 /nfs/dbraw/zinc/50/49/44/658504944.db2.gz ZXFLLHNENMXYGG-MWLCHTKSSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cscc2Cl)C1 ZINC000966887823 658506843 /nfs/dbraw/zinc/50/68/43/658506843.db2.gz JCDOTSHDWHIHCC-BXUZGUMPSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC000966908094 658513401 /nfs/dbraw/zinc/51/34/01/658513401.db2.gz KJHYUVOHFMPQOT-WMLDXEAASA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(C)cc1C ZINC001018243688 658524332 /nfs/dbraw/zinc/52/43/32/658524332.db2.gz OFYZNEWWCKPVST-HDICACEKSA-N 0 1 312.457 3.477 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2oc(CC)cc2C)C1 ZINC000989677967 658540071 /nfs/dbraw/zinc/54/00/71/658540071.db2.gz BAXVLAQHGNDIOI-OLZOCXBDSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(C)s1 ZINC001018342702 658540915 /nfs/dbraw/zinc/54/09/15/658540915.db2.gz QAPODGOUZLYAPO-IYBDPMFKSA-N 0 1 318.486 3.240 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccccc2Cl)C1 ZINC000989708327 658547650 /nfs/dbraw/zinc/54/76/50/658547650.db2.gz SQVXWDBNBAHXIU-RYUDHWBXSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967280400 658561162 /nfs/dbraw/zinc/56/11/62/658561162.db2.gz XXNBSZAPCZSCAW-LRDDRELGSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)oc(C)c2C)C1 ZINC000967284453 658563170 /nfs/dbraw/zinc/56/31/70/658563170.db2.gz NEMURXVMTCCWBJ-WBMJQRKESA-N 0 1 304.434 3.221 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC000967291951 658564343 /nfs/dbraw/zinc/56/43/43/658564343.db2.gz PMNWEEAWOKVCJD-DNVCBOLYSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC000967291163 658565004 /nfs/dbraw/zinc/56/50/04/658565004.db2.gz JYEFEQPVWGLOGV-CJNGLKHVSA-N 0 1 322.399 3.290 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C2CCCCCCC2)C1 ZINC000967314750 658567290 /nfs/dbraw/zinc/56/72/90/658567290.db2.gz PPVBREFTSFMVRE-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC000967309378 658567767 /nfs/dbraw/zinc/56/77/67/658567767.db2.gz FIVZEEPMRBTJRG-KGLIPLIRSA-N 0 1 314.385 3.166 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cccc(C(F)F)c2)C1 ZINC000967335967 658571120 /nfs/dbraw/zinc/57/11/20/658571120.db2.gz MBXAOVFYAQUYNR-XJKSGUPXSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc3c(c2)CCC3)C1 ZINC000989778191 658576044 /nfs/dbraw/zinc/57/60/44/658576044.db2.gz ASFHPTKGTQPWQZ-SUMWQHHRSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(C(F)(F)F)CCCCC2)CC1 ZINC000989788805 658579119 /nfs/dbraw/zinc/57/91/19/658579119.db2.gz LSBITCNXNWFELB-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424118 658580349 /nfs/dbraw/zinc/58/03/49/658580349.db2.gz PAVJPAUMJSFDER-UZLBHIALSA-N 0 1 324.468 3.336 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccn2C(C)C)C1 ZINC000989807628 658584367 /nfs/dbraw/zinc/58/43/67/658584367.db2.gz YDZWDTBHPBJELE-KBPBESRZSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001019145176 658610266 /nfs/dbraw/zinc/61/02/66/658610266.db2.gz XYFCWZFSJOROMN-IRXDYDNUSA-N 0 1 318.848 3.123 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC000967676738 658618853 /nfs/dbraw/zinc/61/88/53/658618853.db2.gz JVHWDHGGABMKAF-KDOFPFPSSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001019538185 658653163 /nfs/dbraw/zinc/65/31/63/658653163.db2.gz RAYAHTPJYSWCEB-HOTGVXAUSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](F)CC2CCCCC2)C1 ZINC001019657937 658659530 /nfs/dbraw/zinc/65/95/30/658659530.db2.gz BFKKAQHJTTYIBA-LSDHHAIUSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc3ccc(F)cc23)C1 ZINC001019714212 658667289 /nfs/dbraw/zinc/66/72/89/658667289.db2.gz KJRYINUCSHPZJW-LBPRGKRZSA-N 0 1 322.767 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CCC(C(F)F)CC2)C1 ZINC001019718684 658667608 /nfs/dbraw/zinc/66/76/08/658667608.db2.gz SZJCQGQDVSHVGW-BPCQOVAHSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2C2CC2)C1 ZINC001019738584 658670616 /nfs/dbraw/zinc/67/06/16/658670616.db2.gz GMHQZXJQBVKVOK-LBPRGKRZSA-N 0 1 310.850 3.182 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321212 658696787 /nfs/dbraw/zinc/69/67/87/658696787.db2.gz HFAFULVQGBGNCY-QAPCUYQASA-N 0 1 312.457 3.364 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC000968336319 658700610 /nfs/dbraw/zinc/70/06/10/658700610.db2.gz RYZFDSPHVMOGNE-KSSFIOAISA-N 0 1 315.461 3.140 20 30 DGEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000968344724 658703001 /nfs/dbraw/zinc/70/30/01/658703001.db2.gz DKRLMHJOSMCIOV-LIRRHRJNSA-N 0 1 324.399 3.052 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1oc2c(cccc2F)c1C ZINC001038356381 658745852 /nfs/dbraw/zinc/74/58/52/658745852.db2.gz IMVZNIRDZMIRIE-ZDUSSCGKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3sccc3Cl)CCC[C@H]12 ZINC000990792014 658752960 /nfs/dbraw/zinc/75/29/60/658752960.db2.gz PSTKLCGFHBCSJG-SWLSCSKDSA-N 0 1 310.850 3.314 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(OC)c(C(C)C)c1 ZINC001038469332 658753829 /nfs/dbraw/zinc/75/38/29/658753829.db2.gz VOKFUTSONBCPAI-MRXNPFEDSA-N 0 1 316.445 3.199 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3sccc3Cl)CCC[C@H]12 ZINC000990792899 658753953 /nfs/dbraw/zinc/75/39/53/658753953.db2.gz WKOHFMOXXHJWCN-XJKSGUPXSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccccc1O[C@H](C)CC ZINC001038758497 658796335 /nfs/dbraw/zinc/79/63/35/658796335.db2.gz YEMIQKFVDPCMNG-CVEARBPZSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC001038797764 658807329 /nfs/dbraw/zinc/80/73/29/658807329.db2.gz DJZXZUFQXHHPNW-YESZJQIVSA-N 0 1 318.848 3.210 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cscc3Cl)CCC[C@H]12 ZINC000991250102 658846118 /nfs/dbraw/zinc/84/61/18/658846118.db2.gz YJUMAAGUPIRWFB-GOEBONIOSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccccc1C(F)(F)F ZINC001038946191 658961329 /nfs/dbraw/zinc/96/13/29/658961329.db2.gz YGAGNRKTJUEHDH-LBPRGKRZSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1c(Cl)cccc1Cl ZINC001038950338 658962643 /nfs/dbraw/zinc/96/26/43/658962643.db2.gz NHUYFSPPFMJIRE-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2c(cccc2F)s1 ZINC001038999906 658977803 /nfs/dbraw/zinc/97/78/03/658977803.db2.gz OKVYSYILDADHIU-GFCCVEGCSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001039000888 658977951 /nfs/dbraw/zinc/97/79/51/658977951.db2.gz RMKXNGQEZWNMNJ-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCCc2ccccc21 ZINC001039058647 658989921 /nfs/dbraw/zinc/98/99/21/658989921.db2.gz CAMYILVSFKMQHZ-IEBWSBKVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1CC(c2ccc(F)cc2)C1 ZINC001039087762 658995989 /nfs/dbraw/zinc/99/59/89/658995989.db2.gz KAYMGGYUTMDAHD-HTWSVDAQSA-N 0 1 316.420 3.086 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccc(CC)s3)CCC[C@H]12 ZINC000991676560 659120801 /nfs/dbraw/zinc/12/08/01/659120801.db2.gz CJCGYAIULOJQEN-WMZOPIPTSA-N 0 1 316.470 3.061 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C)cc1 ZINC000171037442 659256264 /nfs/dbraw/zinc/25/62/64/659256264.db2.gz MJZZBAAZKDBHRG-XJKSGUPXSA-N 0 1 316.401 3.415 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2CC23CCC3)CC1 ZINC000948817201 659256423 /nfs/dbraw/zinc/25/64/23/659256423.db2.gz SYLJQEFHRXVQLM-GJZGRUSLSA-N 0 1 310.869 3.242 20 30 DGEDMN CCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000173972423 659407211 /nfs/dbraw/zinc/40/72/11/659407211.db2.gz OYSJOZVSCFCSIM-STQMWFEESA-N 0 1 302.374 3.169 20 30 DGEDMN Cc1ccc(N2CC[C@@H](N[C@H](C)c3cccc(C#N)c3)C2=O)cc1 ZINC000174358084 659431921 /nfs/dbraw/zinc/43/19/21/659431921.db2.gz QESNQXSAJUHFBS-DNVCBOLYSA-N 0 1 319.408 3.323 20 30 DGEDMN C#Cc1ccc(C(=O)NC2CN(CC3CCCCCC3)C2)cc1 ZINC001030776806 659466834 /nfs/dbraw/zinc/46/68/34/659466834.db2.gz ZNKUPSMBUCDYCS-UHFFFAOYSA-N 0 1 310.441 3.052 20 30 DGEDMN C#CCC[N@H+]1CC[C@]2(NC(=O)c3ccc(Cl)s3)CCC[C@H]12 ZINC000992495358 659564269 /nfs/dbraw/zinc/56/42/69/659564269.db2.gz RVOKQUUPWFJLAG-XJKSGUPXSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc4cccc(C)c4o3)CCC[C@@H]12 ZINC000992531322 659571734 /nfs/dbraw/zinc/57/17/34/659571734.db2.gz VCDGODYZNZNSGT-XLIONFOSSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2sccc2C(C)C)C[C@H]1C ZINC000948060740 659680121 /nfs/dbraw/zinc/68/01/21/659680121.db2.gz IYNORQUEUXFXQU-ZIAGYGMSSA-N 0 1 304.459 3.087 20 30 DGEDMN CC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000130353753 659690121 /nfs/dbraw/zinc/69/01/21/659690121.db2.gz ILNVBHLDWDNCRK-KOLCDFICSA-N 0 1 308.765 3.042 20 30 DGEDMN CC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000130353538 659690334 /nfs/dbraw/zinc/69/03/34/659690334.db2.gz ILNVBHLDWDNCRK-GXSJLCMTSA-N 0 1 308.765 3.042 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)noc2CCC)[C@H]1C ZINC000993360802 659720777 /nfs/dbraw/zinc/72/07/77/659720777.db2.gz SLZXGWBRJNWQOB-HUUCEWRRSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(OCCC)c2)[C@@H]1C ZINC000993381151 659724219 /nfs/dbraw/zinc/72/42/19/659724219.db2.gz NVCYWXMZCYJPEP-MAUKXSAKSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(OCCC)c2)[C@H]1C ZINC000993381155 659725199 /nfs/dbraw/zinc/72/51/99/659725199.db2.gz NVCYWXMZCYJPEP-QAPCUYQASA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@@H]1C ZINC000993398691 659727008 /nfs/dbraw/zinc/72/70/08/659727008.db2.gz RYAZKNLSYNTZLS-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1C ZINC000993410361 659729134 /nfs/dbraw/zinc/72/91/34/659729134.db2.gz JITZYKOJCRNEHL-ZBFHGGJFSA-N 0 1 318.486 3.307 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C)(C)C2CCCC2)[C@@H]1C ZINC000993442066 659741385 /nfs/dbraw/zinc/74/13/85/659741385.db2.gz IZCOHPRBRBMOLH-DOTOQJQBSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)ccc2CC)[C@@H]1C ZINC000993532656 659756742 /nfs/dbraw/zinc/75/67/42/659756742.db2.gz VIWYKBFNXDMJDQ-GUYCJALGSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2F)[C@H]1C ZINC000993563497 659763241 /nfs/dbraw/zinc/76/32/41/659763241.db2.gz GFKSEWKWFJMQLT-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@@H]1C ZINC000993566542 659763944 /nfs/dbraw/zinc/76/39/44/659763944.db2.gz SUGGFIVMFIPIQC-SWLSCSKDSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@H]1C ZINC000993569070 659764286 /nfs/dbraw/zinc/76/42/86/659764286.db2.gz ZTXLMLJZRGBRGR-CZUORRHYSA-N 0 1 322.399 3.432 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H]1C ZINC000993614848 659766422 /nfs/dbraw/zinc/76/64/22/659766422.db2.gz NJJQGCLXKZBMOS-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)[C@@H]1C ZINC000993618202 659767128 /nfs/dbraw/zinc/76/71/28/659767128.db2.gz DPQRWYZDDSRGCJ-HNAYVOBHSA-N 0 1 310.441 3.088 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@H]1C ZINC000993648106 659770706 /nfs/dbraw/zinc/77/07/06/659770706.db2.gz UGYODTPYQJRUKA-NVXWUHKLSA-N 0 1 318.505 3.441 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(CCC)cc2)[C@@H]1C ZINC000993727931 659786490 /nfs/dbraw/zinc/78/64/90/659786490.db2.gz MFXMYYXJJNFRQR-LPHOPBHVSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2C)[C@@H]1C ZINC000993728227 659786624 /nfs/dbraw/zinc/78/66/24/659786624.db2.gz HKQUHBDEOQPIRJ-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@H]1C ZINC000993771855 659789181 /nfs/dbraw/zinc/78/91/81/659789181.db2.gz HIVVPKADYPONGL-TZMCWYRMSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)[C@@H]1C ZINC000993858503 659793439 /nfs/dbraw/zinc/79/34/39/659793439.db2.gz IFOQJJCUXINBGC-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)[C@H]1C ZINC000993858498 659793793 /nfs/dbraw/zinc/79/37/93/659793793.db2.gz IFOQJJCUXINBGC-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(F)c3ccccc23)[C@@H]1C ZINC000993850258 659795907 /nfs/dbraw/zinc/79/59/07/659795907.db2.gz UTELBZJFZIPXHK-LIRRHRJNSA-N 0 1 324.399 3.195 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)[C@@H]1C ZINC000993853984 659796036 /nfs/dbraw/zinc/79/60/36/659796036.db2.gz BCXNZWJWPNUSOD-BLLLJJGKSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(F)c2Cl)[C@@H]1C ZINC000993880368 659796913 /nfs/dbraw/zinc/79/69/13/659796913.db2.gz SZNSOBJDOHYCLX-FZMZJTMJSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(F)c2Cl)[C@H]1C ZINC000993867102 659798643 /nfs/dbraw/zinc/79/86/43/659798643.db2.gz WYOFTSHLPIHZRS-DOMZBBRYSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccn(C(CC)CC)n2)[C@@H]1C ZINC000993933557 659799582 /nfs/dbraw/zinc/79/95/82/659799582.db2.gz XWSXWNGNASZRCM-HOCLYGCPSA-N 0 1 318.465 3.013 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(Cl)cs2)[C@@H]1C ZINC000993918048 659802795 /nfs/dbraw/zinc/80/27/95/659802795.db2.gz OHVCFIOZOOHQEK-WCQYABFASA-N 0 1 310.850 3.008 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2scnc2C(C)C)[C@H]1C ZINC000993951103 659807412 /nfs/dbraw/zinc/80/74/12/659807412.db2.gz NERWSWOBLIPPOL-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C(F)F)cc2)[C@@H]1C ZINC000994002195 659808541 /nfs/dbraw/zinc/80/85/41/659808541.db2.gz DHDACKACFQVCGN-XJKSGUPXSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C)c2Cl)[C@H]1C ZINC000994025834 659809506 /nfs/dbraw/zinc/80/95/06/659809506.db2.gz WFDBZKCZWNVXNR-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@@H]1C ZINC000994067624 659812060 /nfs/dbraw/zinc/81/20/60/659812060.db2.gz GIEFZVSZDWLHRK-LRDDRELGSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3scnc3c2)[C@@H]1C ZINC000994137630 659816826 /nfs/dbraw/zinc/81/68/26/659816826.db2.gz WJMRMIKIXLIPRU-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncsc2C(C)C)[C@@H]1C ZINC000994222738 659821582 /nfs/dbraw/zinc/82/15/82/659821582.db2.gz UGSOVFCXTBARCT-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@H]1C ZINC000994251598 659825162 /nfs/dbraw/zinc/82/51/62/659825162.db2.gz NGEHBLNIFRMERR-CZUORRHYSA-N 0 1 320.383 3.230 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(F)cc3ccoc32)CC1 ZINC000949008879 659825246 /nfs/dbraw/zinc/82/52/46/659825246.db2.gz LMSPBFMFZLAFSC-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@@H]1C ZINC000994258560 659827125 /nfs/dbraw/zinc/82/71/25/659827125.db2.gz VRROHUGFLZQQGC-DZGCQCFKSA-N 0 1 304.434 3.173 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C(C)(C)C)CCCN1Cc1cccc(C#N)c1 ZINC000994264607 659828259 /nfs/dbraw/zinc/82/82/59/659828259.db2.gz RAYBWXCYCXXYIT-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ccccc3n2)[C@H]1C ZINC000994270983 659829878 /nfs/dbraw/zinc/82/98/78/659829878.db2.gz AXPAKEZPHFUXLQ-ZBFHGGJFSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3ccccc3c2)[C@@H]1C ZINC000994301883 659836161 /nfs/dbraw/zinc/83/61/61/659836161.db2.gz SZXKRODUDDITBR-OXJNMPFZSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1C ZINC000994353708 659842555 /nfs/dbraw/zinc/84/25/55/659842555.db2.gz QLWPKCUWPBRGHG-MLGOLLRUSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1C ZINC000994353708 659842558 /nfs/dbraw/zinc/84/25/58/659842558.db2.gz QLWPKCUWPBRGHG-MLGOLLRUSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@@H]1C ZINC000994380401 659849013 /nfs/dbraw/zinc/84/90/13/659849013.db2.gz RGZOPJQDNIBIHD-GXTWGEPZSA-N 0 1 304.459 3.006 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@H]1C ZINC000994453992 659857198 /nfs/dbraw/zinc/85/71/98/659857198.db2.gz SEPCUBJAGXRXAE-NVXWUHKLSA-N 0 1 316.420 3.049 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sccc2CC)[C@@H]1C ZINC000994489735 659859998 /nfs/dbraw/zinc/85/99/98/659859998.db2.gz UYVZPEJABLRNQG-DZGCQCFKSA-N 0 1 306.475 3.469 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)s2)[C@@H]1C ZINC000994490458 659860722 /nfs/dbraw/zinc/86/07/22/659860722.db2.gz VIYOVQYNVSYASZ-LSDHHAIUSA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccccc2CC(C)C)[C@@H]1C ZINC000994497210 659863073 /nfs/dbraw/zinc/86/30/73/659863073.db2.gz QLQIHFOTEWCXSX-LPHOPBHVSA-N 0 1 312.457 3.101 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2coc3ccccc23)[C@H]1C ZINC000994527351 659872474 /nfs/dbraw/zinc/87/24/74/659872474.db2.gz GOBNKBADUYJCJI-PBHICJAKSA-N 0 1 310.397 3.039 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CC2)[C@H]1C ZINC000994530469 659873499 /nfs/dbraw/zinc/87/34/99/659873499.db2.gz IUFZDEZFFLAVRO-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(CC(C)C)s2)C1 ZINC001031735430 659934373 /nfs/dbraw/zinc/93/43/73/659934373.db2.gz JWOLEARYGUOBEP-UHFFFAOYSA-N 0 1 306.475 3.184 20 30 DGEDMN Cc1ccc2cccc(C(=O)Nc3cc(C#N)ccc3O)c2n1 ZINC000179740799 659940154 /nfs/dbraw/zinc/94/01/54/659940154.db2.gz FUWSKOUCGQLWFX-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CC[C@H](Oc1ccccc1F)C(=O)Nc1cc(C#N)ccc1O ZINC000179738982 659940247 /nfs/dbraw/zinc/94/02/47/659940247.db2.gz LAMPZELMTCCQCB-HNNXBMFYSA-N 0 1 314.316 3.199 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001031810258 659993849 /nfs/dbraw/zinc/99/38/49/659993849.db2.gz BLOAHJRTAMDUCY-UHFFFAOYSA-N 0 1 318.364 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(CC(C)C)c[nH]2)C[C@H]1C ZINC000939552469 660003702 /nfs/dbraw/zinc/00/37/02/660003702.db2.gz YROSQSMHYQFVLN-MLGOLLRUSA-N 0 1 323.868 3.016 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000070032815 660033955 /nfs/dbraw/zinc/03/39/55/660033955.db2.gz HLHHRVLWEABFGR-GDBMZVCRSA-N 0 1 300.402 3.084 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)oc(C)c2C)C(C)(C)C1 ZINC000940874319 660071500 /nfs/dbraw/zinc/07/15/00/660071500.db2.gz IOJBVVKNLKIPBM-INIZCTEOSA-N 0 1 316.445 3.058 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000941003156 660077821 /nfs/dbraw/zinc/07/78/21/660077821.db2.gz UDJNBYUGEZKLSR-HNNXBMFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(F)c(C)c2)C(C)(C)C1 ZINC000940988375 660078383 /nfs/dbraw/zinc/07/83/83/660078383.db2.gz RLLJHRDMDIBPLO-MRXNPFEDSA-N 0 1 304.409 3.150 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2C2(C)CC2)C(C)(C)C1 ZINC000941026047 660080447 /nfs/dbraw/zinc/08/04/47/660080447.db2.gz ILEJARHSFAYQAS-SFHVURJKSA-N 0 1 324.468 3.202 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000941105584 660086004 /nfs/dbraw/zinc/08/60/04/660086004.db2.gz NUMPCYMDALCGRQ-OAHLLOKOSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@H](C)c2ccccc2)C(C)(C)C1 ZINC000941173974 660091585 /nfs/dbraw/zinc/09/15/85/660091585.db2.gz CZODIXKNJABBOT-AEFFLSMTSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)[C@]2(C)CC=C(C)CC2)C(C)(C)C1 ZINC000941232863 660095806 /nfs/dbraw/zinc/09/58/06/660095806.db2.gz PTHLCNIETRPADK-YLJYHZDGSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cncc3ccccc32)C(C)(C)C1 ZINC000941233439 660096012 /nfs/dbraw/zinc/09/60/12/660096012.db2.gz UZXNKJAAJYTVOP-GOSISDBHSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2CCC(C)(C)CC2)C(C)(C)C1 ZINC000941242988 660097319 /nfs/dbraw/zinc/09/73/19/660097319.db2.gz OMTFBHXEUYGJQW-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)Cc1n[nH]c2ccccc12 ZINC000183110657 660102173 /nfs/dbraw/zinc/10/21/73/660102173.db2.gz YEULFMUZZYLKRY-GFCCVEGCSA-N 0 1 320.352 3.035 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)[C@@H](C)C1 ZINC000942062382 660133749 /nfs/dbraw/zinc/13/37/49/660133749.db2.gz YOEMSCPAONUCFO-KSSFIOAISA-N 0 1 324.424 3.069 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2c(C)oc3ccccc32)[C@@H](C)C1 ZINC000942079698 660135986 /nfs/dbraw/zinc/13/59/86/660135986.db2.gz PADYVOJEPVSADP-YOEHRIQHSA-N 0 1 324.424 3.205 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H](C)Sc1ccccc1Cl ZINC000131062625 660159147 /nfs/dbraw/zinc/15/91/47/660159147.db2.gz GKBKMXOXYAIIKT-WDEREUQCSA-N 0 1 324.833 3.054 20 30 DGEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@H](C)C1 ZINC000942940900 660173612 /nfs/dbraw/zinc/17/36/12/660173612.db2.gz TYNWCUUSCUKPOF-NVXWUHKLSA-N 0 1 322.408 3.020 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@H](C)C1 ZINC000942940900 660173613 /nfs/dbraw/zinc/17/36/13/660173613.db2.gz TYNWCUUSCUKPOF-NVXWUHKLSA-N 0 1 322.408 3.020 20 30 DGEDMN CC(C)CN(CCC#N)C(=O)c1ccc(CN2CCCCC2)o1 ZINC000930905958 661438495 /nfs/dbraw/zinc/43/84/95/661438495.db2.gz YAJCUNZGAIMQTC-UHFFFAOYSA-N 0 1 317.433 3.277 20 30 DGEDMN C=CCc1cccc(C(C)C)c1NC(=O)NC[C@@H]1CCN1C ZINC000931061489 661448844 /nfs/dbraw/zinc/44/88/44/661448844.db2.gz HFZZNOHHQNCCBY-HNNXBMFYSA-N 0 1 301.434 3.364 20 30 DGEDMN N#CCC[C@@H](C#N)CN1CCC[C@H](c2c[nH]c3ncccc23)C1 ZINC000931366455 661470926 /nfs/dbraw/zinc/47/09/26/661470926.db2.gz UCJNZTSPIJRGLL-GJZGRUSLSA-N 0 1 307.401 3.186 20 30 DGEDMN CN(C)[C@@H](CNC(=O)Nc1sccc1C#N)c1ccsc1 ZINC000931845398 661515543 /nfs/dbraw/zinc/51/55/43/661515543.db2.gz JWLWLBNXJGJWML-LBPRGKRZSA-N 0 1 320.443 3.106 20 30 DGEDMN Cn1ccc([C@H]2CCCN(CC#Cc3cccc(Cl)c3)C2)n1 ZINC000932134182 661540733 /nfs/dbraw/zinc/54/07/33/661540733.db2.gz ZCYVTHZVQDGRED-INIZCTEOSA-N 0 1 313.832 3.305 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(CCN2CCC[C@@H](C#N)C2)CC1 ZINC000932147550 661542322 /nfs/dbraw/zinc/54/23/22/661542322.db2.gz FPWHFLGRDGPBLQ-INIZCTEOSA-N 0 1 321.465 3.259 20 30 DGEDMN N#CCCCN1CCC(Oc2ccc(C(F)(F)F)cn2)CC1 ZINC000932231248 661551527 /nfs/dbraw/zinc/55/15/27/661551527.db2.gz ZBTUDDQIOZWLSR-UHFFFAOYSA-N 0 1 313.323 3.247 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCC[C@H]1C(=O)NC(C)(C)C ZINC000932434273 661569347 /nfs/dbraw/zinc/56/93/47/661569347.db2.gz FXHKBIPAROIBFN-KRWDZBQOSA-N 0 1 313.445 3.054 20 30 DGEDMN CC(C)(C)c1cc2n(n1)CCN(CC1CCC(C#N)CC1)C2 ZINC000932611603 661585604 /nfs/dbraw/zinc/58/56/04/661585604.db2.gz HUOLSBWTVQFTHC-UHFFFAOYSA-N 0 1 300.450 3.326 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(C)[C@@H](C(C)C)C2)c(Cl)c1 ZINC000933351064 661629853 /nfs/dbraw/zinc/62/98/53/661629853.db2.gz CMGFFIOVDJIWBI-MRXNPFEDSA-N 0 1 319.836 3.125 20 30 DGEDMN CN(CCC(=O)Nc1ccc(F)cc1)Cc1cc(C#N)cs1 ZINC000933774249 661656892 /nfs/dbraw/zinc/65/68/92/661656892.db2.gz MQGSOBDPXREAQH-UHFFFAOYSA-N 0 1 317.389 3.219 20 30 DGEDMN CC(=O)Oc1ccc(CN(C)Cc2cc(C#N)cs2)cc1 ZINC000933774086 661657116 /nfs/dbraw/zinc/65/71/16/661657116.db2.gz KBZZFKNAXUCVIX-UHFFFAOYSA-N 0 1 300.383 3.177 20 30 DGEDMN N#Cc1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)s1 ZINC000933999890 661672535 /nfs/dbraw/zinc/67/25/35/661672535.db2.gz ZWYLUDGQHHNQIY-UHFFFAOYSA-N 0 1 312.394 3.170 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](Cc3nccs3)C2)c1F ZINC000934334933 661698643 /nfs/dbraw/zinc/69/86/43/661698643.db2.gz ZQNAQDCSEVWAJH-GFCCVEGCSA-N 0 1 301.390 3.218 20 30 DGEDMN COc1ccc([C@H]2CCC[N@H+]2CCOc2ccccc2C#N)nc1 ZINC000934349906 661700793 /nfs/dbraw/zinc/70/07/93/661700793.db2.gz UNLLMHKBLFVETB-GOSISDBHSA-N 0 1 323.396 3.178 20 30 DGEDMN COc1ccc([C@H]2CCCN2CCOc2ccccc2C#N)nc1 ZINC000934349906 661700795 /nfs/dbraw/zinc/70/07/95/661700795.db2.gz UNLLMHKBLFVETB-GOSISDBHSA-N 0 1 323.396 3.178 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1=O ZINC000934458747 661708360 /nfs/dbraw/zinc/70/83/60/661708360.db2.gz CYNXDUGFCFTZJZ-IAOVAPTHSA-N 0 1 302.393 3.138 20 30 DGEDMN Cc1ccc(CN2Cc3ncccc3N3CCC[C@H]3C2)cc1C#N ZINC000934491001 661711075 /nfs/dbraw/zinc/71/10/75/661711075.db2.gz CWXDWNRZRXNOJW-SFHVURJKSA-N 0 1 318.424 3.246 20 30 DGEDMN Cc1c(Cl)cccc1N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000934729059 661730768 /nfs/dbraw/zinc/73/07/68/661730768.db2.gz XDPQAEWGEAXHEQ-LSDHHAIUSA-N 0 1 303.837 3.463 20 30 DGEDMN N#CC(C(=O)[C@@H]1COc2ccc(Cl)cc2C1)c1ccccn1 ZINC000041492671 661834635 /nfs/dbraw/zinc/83/46/35/661834635.db2.gz INALRKNIEIRFSK-GXTWGEPZSA-N 0 1 312.756 3.163 20 30 DGEDMN CCc1ccccc1OCC(=O)C(C#N)c1nc(C)cs1 ZINC000041519732 661835126 /nfs/dbraw/zinc/83/51/26/661835126.db2.gz XHPRWJQNKLPHOD-CYBMUJFWSA-N 0 1 300.383 3.269 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000155481801 661847572 /nfs/dbraw/zinc/84/75/72/661847572.db2.gz YRXVWUGOLSQSEA-WFASDCNBSA-N 0 1 302.374 3.169 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc3ccsc32)C[C@@H]1C ZINC000947899274 661936586 /nfs/dbraw/zinc/93/65/86/661936586.db2.gz HXNFIQFVNDVFDV-DZGCQCFKSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)C)s2)C[C@H]1C ZINC000948075122 661948665 /nfs/dbraw/zinc/94/86/65/661948665.db2.gz WVMDRJXTRPLGHH-ZIAGYGMSSA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2[nH]ccc2C)CC1 ZINC000948344797 661969734 /nfs/dbraw/zinc/96/97/34/661969734.db2.gz DPBWLKIBAOGXQI-CQSZACIVSA-N 0 1 309.841 3.002 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2cccnc2C)CC1 ZINC000948729866 661993701 /nfs/dbraw/zinc/99/37/01/661993701.db2.gz PTCROSUKPCZMDW-HNNXBMFYSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC000948848631 662002638 /nfs/dbraw/zinc/00/26/38/662002638.db2.gz FOXFURLVUGQLTG-IXDOHACOSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC000948848633 662002703 /nfs/dbraw/zinc/00/27/03/662002703.db2.gz FOXFURLVUGQLTG-YESZJQIVSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)c1cc[nH]c1C ZINC000948902138 662006250 /nfs/dbraw/zinc/00/62/50/662006250.db2.gz MYOCOFLYIFWHSK-LJQANCHMSA-N 0 1 323.440 3.228 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2c3ccccc3CCN2CC)cc1 ZINC000948946975 662008873 /nfs/dbraw/zinc/00/88/73/662008873.db2.gz RZLFJSDOMHFFBH-HXUWFJFHSA-N 0 1 318.420 3.017 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)c1c[nH]cc1C ZINC000948985790 662010730 /nfs/dbraw/zinc/01/07/30/662010730.db2.gz FQWVTBZDUFYRFV-LJQANCHMSA-N 0 1 323.440 3.228 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2ccc(CC(C)C)cc2)CC1 ZINC000949166587 662012149 /nfs/dbraw/zinc/01/21/49/662012149.db2.gz MYZHFQXKZHECIG-UHFFFAOYSA-N 0 1 314.473 3.148 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CCc3c2cccc3Cl)CC1 ZINC000949323725 662014430 /nfs/dbraw/zinc/01/44/30/662014430.db2.gz OIJKQSHPOFGUOW-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CC(C)(C)OC(C)(C)C2)cc1 ZINC000191194906 662056341 /nfs/dbraw/zinc/05/63/41/662056341.db2.gz LCDFSZQZNHPXJC-AWEZNQCLSA-N 0 1 302.418 3.367 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(Cl)c(F)c2)CC1 ZINC000950677002 662078040 /nfs/dbraw/zinc/07/80/40/662078040.db2.gz HQJOJDNMSDMXSL-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(C)n(CC)c2C)C1 ZINC000950790705 662088271 /nfs/dbraw/zinc/08/82/71/662088271.db2.gz RGCZQDHXRDKPTR-UHFFFAOYSA-N 0 1 317.477 3.237 20 30 DGEDMN C#CCCCC(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC000192304744 662095015 /nfs/dbraw/zinc/09/50/15/662095015.db2.gz OJLFNGQTPQRNMX-UHFFFAOYSA-N 0 1 304.353 3.367 20 30 DGEDMN O=C(/C=C/c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1O ZINC000193047402 662121489 /nfs/dbraw/zinc/12/14/89/662121489.db2.gz OHRIIBJCUUSMSF-SOFGYWHQSA-N 0 1 314.253 3.105 20 30 DGEDMN N#CC(C(=O)C1CCOCC1)c1nc2ccccc2n1C(F)F ZINC000193284997 662129547 /nfs/dbraw/zinc/12/95/47/662129547.db2.gz YBVVTBNYFZNBLM-NSHDSACASA-N 0 1 319.311 3.034 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)CC1 ZINC000951712079 662148425 /nfs/dbraw/zinc/14/84/25/662148425.db2.gz NRGDKXVLIWKDRX-RBUKOAKNSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)C2CCC(F)(F)CC2)C1 ZINC000952357816 662184811 /nfs/dbraw/zinc/18/48/11/662184811.db2.gz VRXXIJBXGXEBKO-UHFFFAOYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C)O[C@H](CC)C2)c1O ZINC000246987447 662295415 /nfs/dbraw/zinc/29/54/15/662295415.db2.gz TWZYSHNGXPUGMD-CZUORRHYSA-N 0 1 305.418 3.129 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2C[C@H]2C2CCCC2)C1 ZINC000957059921 662346890 /nfs/dbraw/zinc/34/68/90/662346890.db2.gz WLFZGBBKOXBTGT-ROUUACIJSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C(C)(C)C1 ZINC000941091308 685329295 /nfs/dbraw/zinc/32/92/95/685329295.db2.gz PPUPBKMEAQYDOR-OPYAIIAOSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc(F)c2C)C1 ZINC000988869348 685355627 /nfs/dbraw/zinc/35/56/27/685355627.db2.gz YBOKAYXTYQUDDL-DGCLKSJQSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2CCC)CC[C@H]1C ZINC001071501836 686670205 /nfs/dbraw/zinc/67/02/05/686670205.db2.gz VJFWIGVZUIFCAI-HIFRSBDPSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(Cl)c2C)CC[C@H]1C ZINC001071527666 686679866 /nfs/dbraw/zinc/67/98/66/686679866.db2.gz GYOYWGLKSWUIQE-TZMCWYRMSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(CCC)s2)CC[C@H]1C ZINC001071542170 686684619 /nfs/dbraw/zinc/68/46/19/686684619.db2.gz DJQPMOSWEVPGEK-ZIAGYGMSSA-N 0 1 306.475 3.469 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(F)ccc2F)CC[C@H]1C ZINC001071569029 686690739 /nfs/dbraw/zinc/69/07/39/686690739.db2.gz VWJCATCELWMTSG-OCCSQVGLSA-N 0 1 308.372 3.124 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccccc2C(C)(C)C)CC[C@H]1C ZINC001071599867 686696982 /nfs/dbraw/zinc/69/69/82/686696982.db2.gz BTEBCVOFKCELLA-CVEARBPZSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)CC[C@H]1C ZINC001071613016 686702032 /nfs/dbraw/zinc/70/20/32/686702032.db2.gz QINNTTUPCWBHEN-CJNGLKHVSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@@H]2CC[C@@H](C)N(CC=C)C2)cc1 ZINC001071619352 686703668 /nfs/dbraw/zinc/70/36/68/686703668.db2.gz NGOBBGAKSWARFY-NVXWUHKLSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@@H]2CC[C@H](C)N(CC=C)C2)cc1 ZINC001071619351 686703681 /nfs/dbraw/zinc/70/36/81/686703681.db2.gz NGOBBGAKSWARFY-DOTOQJQBSA-N 0 1 314.429 3.020 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)[nH]c3ccccc32)CC[C@H]1C ZINC001071670007 686719513 /nfs/dbraw/zinc/71/95/13/686719513.db2.gz DNJWREJFSOYCEA-GDBMZVCRSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-c3ccco3)o2)CC[C@H]1C ZINC001071674488 686721177 /nfs/dbraw/zinc/72/11/77/686721177.db2.gz VAGNHQVADTZOMM-KGLIPLIRSA-N 0 1 314.385 3.308 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)CC[C@H]1C ZINC001071718870 686733085 /nfs/dbraw/zinc/73/30/85/686733085.db2.gz RGTUMSZKFXQVPT-ZJUUUORDSA-N 0 1 316.232 3.090 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)Cc2cc3ccccc3o2)CC[C@H]1C ZINC001071724214 686734446 /nfs/dbraw/zinc/73/44/46/686734446.db2.gz CXGCJQSGJGOFFN-ZBFHGGJFSA-N 0 1 312.413 3.130 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@H]1C ZINC001071772931 686747425 /nfs/dbraw/zinc/74/74/25/686747425.db2.gz TWIIEGYPPZJJMT-PBHICJAKSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(CCC)cc2)CC[C@@H]1C ZINC001071779873 686750730 /nfs/dbraw/zinc/75/07/30/686750730.db2.gz PYFITPQLHSXJHW-QFBILLFUSA-N 0 1 312.457 3.245 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CC[C@H](C)N(CCC(F)(F)F)C2)C1 ZINC001071807478 686759960 /nfs/dbraw/zinc/75/99/60/686759960.db2.gz SOLKSVVGNURCSE-STQMWFEESA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc[nH]c2C2CCC2)CC[C@H]1C ZINC001071828752 686763071 /nfs/dbraw/zinc/76/30/71/686763071.db2.gz TWOHFTDXWDWWGI-HIFRSBDPSA-N 0 1 301.434 3.051 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C3CC3)nc2C)CC[C@H]1C ZINC001071832125 686764468 /nfs/dbraw/zinc/76/44/68/686764468.db2.gz RDALHTIKORWFEL-CJNGLKHVSA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(F)c2Cl)CC[C@@H]1C ZINC001071839506 686767488 /nfs/dbraw/zinc/76/74/88/686767488.db2.gz FQBPIQQWBLVMTC-QWHCGFSZSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(F)c2Cl)CC[C@H]1C ZINC001071839801 686767753 /nfs/dbraw/zinc/76/77/53/686767753.db2.gz HMXIOWHHQWFACT-NEPJUHHUSA-N 0 1 310.800 3.248 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(Cl)cs2)CC[C@@H]1C ZINC001071868251 686776514 /nfs/dbraw/zinc/77/65/14/686776514.db2.gz IIVQOVFWGXJJPM-AAEUAGOBSA-N 0 1 310.850 3.008 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(CC)nc2C)CC[C@@H]1C ZINC001071890227 686783967 /nfs/dbraw/zinc/78/39/67/686783967.db2.gz IOSXNSDDOCDCBY-GXTWGEPZSA-N 0 1 321.490 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@H]1C ZINC001071899944 686787004 /nfs/dbraw/zinc/78/70/04/686787004.db2.gz FYDPZCOLTPUNBZ-CJNGLKHVSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)CC[C@@H]1C ZINC001071917186 686790396 /nfs/dbraw/zinc/79/03/96/686790396.db2.gz YFUVQGYQIMAMQS-WFASDCNBSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@@H]1C ZINC001071934907 686793107 /nfs/dbraw/zinc/79/31/07/686793107.db2.gz UKHWBIFMVVEKRZ-CADBVGFASA-N 0 1 318.505 3.441 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2nc(C3CC3)oc2C)CC[C@H]1C ZINC001071945705 686794354 /nfs/dbraw/zinc/79/43/54/686794354.db2.gz GZQBYTQNZSZBHY-IUODEOHRSA-N 0 1 317.433 3.019 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C3CCC3)n2)CC[C@H]1C ZINC001071972525 686800473 /nfs/dbraw/zinc/80/04/73/686800473.db2.gz WWRSBDKJGWFYJM-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)CC[C@@H]1C ZINC001071974347 686802557 /nfs/dbraw/zinc/80/25/57/686802557.db2.gz MOPAHWGTGFQWPZ-KXBFYZLASA-N 0 1 322.408 3.163 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ncsc2C(C)C)CC[C@H]1C ZINC001071986128 686805505 /nfs/dbraw/zinc/80/55/05/686805505.db2.gz RFHQIMDTOUOTNH-CHWSQXEVSA-N 0 1 307.463 3.035 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC001072012660 686818985 /nfs/dbraw/zinc/81/89/85/686818985.db2.gz QUJFYKHBIIUEGB-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc3ccccc3c2)CC[C@H]1C ZINC001072018943 686824504 /nfs/dbraw/zinc/82/45/04/686824504.db2.gz AQTOCMIGEDYYDJ-BEFAXECRSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ccccc3n2)CC[C@@H]1C ZINC001072019733 686826297 /nfs/dbraw/zinc/82/62/97/686826297.db2.gz NDFZMQIGPNSASX-HOCLYGCPSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2oc3ccccc3c2C)CC[C@H]1C ZINC001072047695 686842807 /nfs/dbraw/zinc/84/28/07/686842807.db2.gz GADDMJUXECAWOP-GDBMZVCRSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@@H]1C ZINC001072088916 686859696 /nfs/dbraw/zinc/85/96/96/686859696.db2.gz SCYMHSPEDLVBBP-GOEBONIOSA-N 0 1 304.409 3.211 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C3CC3)cc2)CC[C@@H]1C ZINC001072092477 686861696 /nfs/dbraw/zinc/86/16/96/686861696.db2.gz SCPYQHPROFNCSV-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@@H]1C ZINC001072099544 686865080 /nfs/dbraw/zinc/86/50/80/686865080.db2.gz SIXVLQKFCYYTRV-FUHWJXTLSA-N 0 1 312.457 3.182 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)CC[C@H]1C ZINC001072101109 686866846 /nfs/dbraw/zinc/86/68/46/686866846.db2.gz DHZSULJLAHVFGQ-HZPDHXFCSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@@H]1C ZINC001072109274 686869967 /nfs/dbraw/zinc/86/99/67/686869967.db2.gz DRIASYCMYRTEBF-WINGESFGSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)CC[C@@H]1C ZINC001072112999 686872963 /nfs/dbraw/zinc/87/29/63/686872963.db2.gz LQVBTIMLVGSTBJ-DOTOQJQBSA-N 0 1 323.440 3.450 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2C)CC[C@@H]1C ZINC001072113635 686873820 /nfs/dbraw/zinc/87/38/20/686873820.db2.gz ZGXRHDLYLJPXIE-DLBZAZTESA-N 0 1 314.473 3.428 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccccc2F)[C@H]1C ZINC001074975863 687322124 /nfs/dbraw/zinc/32/21/24/687322124.db2.gz RNTGLOAIUFYOQW-WWGRRREGSA-N 0 1 324.827 3.261 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4cscc4s3)[C@@H]2C1 ZINC001076058023 687472223 /nfs/dbraw/zinc/47/22/23/687472223.db2.gz NJKOHSYDAYKTLP-WCQYABFASA-N 0 1 318.467 3.295 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@@H](F)CC3CCCCC3)[C@@H]2C1 ZINC001076464323 687526130 /nfs/dbraw/zinc/52/61/30/687526130.db2.gz FGZZFKVLHQIJNL-YESZJQIVSA-N 0 1 308.441 3.014 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc4c(cccc4C)o3)[C@@H]2C1 ZINC001076620502 687544776 /nfs/dbraw/zinc/54/47/76/687544776.db2.gz AZFBDJMOGWOXQU-DOTOQJQBSA-N 0 1 324.424 3.464 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001079706037 687875965 /nfs/dbraw/zinc/87/59/65/687875965.db2.gz MBWKAVXDHKRLIN-RDTXWAMCSA-N 0 1 324.424 3.069 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001079810063 687891388 /nfs/dbraw/zinc/89/13/88/687891388.db2.gz NDJYSYWHFFKRGX-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001080478416 687983633 /nfs/dbraw/zinc/98/36/33/687983633.db2.gz VQHPYOWGYLYUBK-VBSBHUPXSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC(CCCC)CC2)C1 ZINC001080898686 688025106 /nfs/dbraw/zinc/02/51/06/688025106.db2.gz LJUCTWSGUODWPK-AQEOSJORSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001081070952 688047291 /nfs/dbraw/zinc/04/72/91/688047291.db2.gz HFOFXBWJLOGWPY-OXQOHEQNSA-N 0 1 322.452 3.327 20 30 DGEDMN CCC[C@H](C(=O)OCC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001620623031 1192047308 /nfs/dbraw/zinc/04/73/08/1192047308.db2.gz JGRHQZNPUHVSTA-GOSISDBHSA-N 0 1 314.429 3.469 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001662328716 1196708245 /nfs/dbraw/zinc/70/82/45/1196708245.db2.gz FKLFFVSPMIPYPZ-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4ccncc4c3)[nH]c2c1 ZINC001587347409 1192394523 /nfs/dbraw/zinc/39/45/23/1192394523.db2.gz KWIPPYNQUKVOFK-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1cc(Cl)cc(-c2nn[nH]n2)c1 ZINC001588527569 1192448400 /nfs/dbraw/zinc/44/84/00/1192448400.db2.gz OZQRGUIHMZLXLJ-CQSZACIVSA-N 0 1 303.797 3.061 20 30 DGEDMN CC(C)(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCCCC2)o1 ZINC001589238222 1192460916 /nfs/dbraw/zinc/46/09/16/1192460916.db2.gz OXINGVGTLYMCIN-UHFFFAOYSA-N 0 1 317.397 3.274 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@@H](C)[C@H](C)C2)o1 ZINC001589773964 1192473062 /nfs/dbraw/zinc/47/30/62/1192473062.db2.gz UHYCAOWHBVRUPZ-NXEZZACHSA-N 0 1 317.397 3.202 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2(F)CCCCC2)C1 ZINC001664750670 1197050178 /nfs/dbraw/zinc/05/01/78/1197050178.db2.gz RSDZPILRUKSEMH-CQSZACIVSA-N 0 1 316.848 3.240 20 30 DGEDMN Fc1cccc(Cl)c1N=NCc1ccc(-c2nn[nH]n2)s1 ZINC001592656854 1192624809 /nfs/dbraw/zinc/62/48/09/1192624809.db2.gz SIZBTGHFSLTVHJ-UHFFFAOYSA-N 0 1 322.756 3.167 20 30 DGEDMN C#CC[C@@H](CO)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC001620948709 1192643593 /nfs/dbraw/zinc/64/35/93/1192643593.db2.gz OAIIBZDWTUIXGQ-LBPRGKRZSA-N 0 1 312.394 3.030 20 30 DGEDMN C[C@H](Cc1cccc(F)c1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595734243 1192668457 /nfs/dbraw/zinc/66/84/57/1192668457.db2.gz IRIWWFZKBVWUKY-LLVKDONJSA-N 0 1 322.343 3.391 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1ccc(C)c(OC)c1 ZINC001690980951 1176063639 /nfs/dbraw/zinc/06/36/39/1176063639.db2.gz WREKWWHRJWQBDL-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)C1CC1 ZINC001670500851 1176152431 /nfs/dbraw/zinc/15/24/31/1176152431.db2.gz GTOTYSVLVUDGSC-JONQDZQNSA-N 0 1 310.869 3.050 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001670677865 1176214214 /nfs/dbraw/zinc/21/42/14/1176214214.db2.gz WGQKZGKUMGAAAO-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1c(C)nsc1C ZINC001670730471 1176251121 /nfs/dbraw/zinc/25/11/21/1176251121.db2.gz ZPBRCOZGRUZADZ-OAHLLOKOSA-N 0 1 321.490 3.310 20 30 DGEDMN C[C@H](CCNC(=O)CC(C)(C)C)NCc1cc(F)ccc1C#N ZINC001690831534 1176257999 /nfs/dbraw/zinc/25/79/99/1176257999.db2.gz JFGILBQYSOALPD-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCC1CCCCCC1 ZINC001691050665 1176277258 /nfs/dbraw/zinc/27/72/58/1176277258.db2.gz NIQQWXYHQHEADW-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NC/C(Cl)=C\Cl)[C@@H](C)C1 ZINC001724937753 1176292935 /nfs/dbraw/zinc/29/29/35/1176292935.db2.gz JTCPBDVWTIQEAT-MZGZCWAVSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1cnccc1C ZINC001691187634 1176369164 /nfs/dbraw/zinc/36/91/64/1176369164.db2.gz PJGXBPWEBDVUBL-UHFFFAOYSA-N 0 1 317.477 3.321 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001700376275 1176411460 /nfs/dbraw/zinc/41/14/60/1176411460.db2.gz HDMWQGFITKKCLU-KPKJPENVSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1c(F)cccc1F ZINC001691395310 1176462992 /nfs/dbraw/zinc/46/29/92/1176462992.db2.gz IMTCAPPILIXUJR-CQSZACIVSA-N 0 1 322.399 3.006 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](CC)CC1CCCC1 ZINC001691401956 1176468771 /nfs/dbraw/zinc/46/87/71/1176468771.db2.gz CGJHYAONQMDQPE-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1[C@H]2CCCN(CCF)[C@H]2C1(C)C ZINC001691427503 1176511846 /nfs/dbraw/zinc/51/18/46/1176511846.db2.gz ATGWKOGNIMOXAZ-KBAYOESNSA-N 0 1 322.468 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001725464156 1176589231 /nfs/dbraw/zinc/58/92/31/1176589231.db2.gz RSPZZTYSOVVECI-RPHSKFLZSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001725464157 1176589473 /nfs/dbraw/zinc/58/94/73/1176589473.db2.gz RSPZZTYSOVVECI-YQCJOKCJSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001691443930 1176614862 /nfs/dbraw/zinc/61/48/62/1176614862.db2.gz MAYPZPASPWLMHH-GASCZTMLSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCNC/C(Cl)=C/Cl)C1 ZINC001699132731 1176619445 /nfs/dbraw/zinc/61/94/45/1176619445.db2.gz BDPKYHXLNAACDK-HQQGHWSLSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ccncc2Cl)CCC1 ZINC001691464899 1176627396 /nfs/dbraw/zinc/62/73/96/1176627396.db2.gz HEWHSRAKYYJCAF-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN CCCCCCC(=O)NCC1CN(Cc2ccc(C#N)cc2)C1 ZINC001692347471 1176791104 /nfs/dbraw/zinc/79/11/04/1176791104.db2.gz LGMPQIOVFBHBOJ-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCCCC(=O)NCC1(N[C@H](C)c2cc(F)ccc2F)CC1 ZINC001670772352 1176803303 /nfs/dbraw/zinc/80/33/03/1176803303.db2.gz RMPSIIVNRJBDLP-CYBMUJFWSA-N 0 1 320.383 3.068 20 30 DGEDMN C=CCCC(=O)N[C@@](C)(CNCc1nc(C)c(C)s1)C1CC1 ZINC001665979253 1197223660 /nfs/dbraw/zinc/22/36/60/1197223660.db2.gz AOGDJRYNPXAVLW-KRWDZBQOSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@](C)(F)CCCC)CC1(C)C ZINC001700920556 1177018193 /nfs/dbraw/zinc/01/81/93/1177018193.db2.gz BXZRLMHOTDNONF-CZUORRHYSA-N 0 1 318.864 3.484 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](C)CCCCC)C2)C1 ZINC001755457929 1177041354 /nfs/dbraw/zinc/04/13/54/1177041354.db2.gz XLKWOVXMFAIUCX-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001752821459 1177202381 /nfs/dbraw/zinc/20/23/81/1177202381.db2.gz MYLCKJCQAWNELG-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001752923854 1177444026 /nfs/dbraw/zinc/44/40/26/1177444026.db2.gz HGLRLZOWNRWPTN-MBVDDHJVSA-N 0 1 306.837 3.245 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2scnc2C)C1 ZINC001691845363 1177624474 /nfs/dbraw/zinc/62/44/74/1177624474.db2.gz CLWRSTLQVDIOLN-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccc(C)s1 ZINC001691681335 1177684263 /nfs/dbraw/zinc/68/42/63/1177684263.db2.gz ATYPAEWHAGUHIJ-OAHLLOKOSA-N 0 1 306.475 3.098 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(CC2CCC2)CC1)c1ccccc1 ZINC001113661432 1177726581 /nfs/dbraw/zinc/72/65/81/1177726581.db2.gz TVUDFYZNOLCFIA-IBGZPJMESA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)CCc2cccc(F)c2)CC1 ZINC001113661322 1177727212 /nfs/dbraw/zinc/72/72/12/1177727212.db2.gz OQGQNHOHBAXEMY-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccc(C)s2)CC1 ZINC001113742191 1177729804 /nfs/dbraw/zinc/72/98/04/1177729804.db2.gz RCWKQJXRGAJLTD-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(CF)cc2)C1 ZINC001691869630 1177790545 /nfs/dbraw/zinc/79/05/45/1177790545.db2.gz LVLZDEOIDYVSBD-KRWDZBQOSA-N 0 1 304.409 3.269 20 30 DGEDMN CCCCCC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001178116248 1177793306 /nfs/dbraw/zinc/79/33/06/1177793306.db2.gz KSRRIDJSKBPFIH-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C/C=C/Cc2ccccc2)C1 ZINC001671141869 1178046350 /nfs/dbraw/zinc/04/63/50/1178046350.db2.gz GWSBMDWEYYLKKS-BQYQJAHWSA-N 0 1 312.457 3.284 20 30 DGEDMN Cc1ccc(C(=O)C=CC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC001292190350 1178083857 /nfs/dbraw/zinc/08/38/57/1178083857.db2.gz VDRSBMBHOFWNPH-CMDGGOBGSA-N 0 1 305.337 3.249 20 30 DGEDMN C=CCCC[C@H](C(=O)NCc1cc(N)ccn1)c1ccccc1 ZINC001330982535 1178105131 /nfs/dbraw/zinc/10/51/31/1178105131.db2.gz BQPXOIDYBFOWLJ-SFHVURJKSA-N 0 1 309.413 3.420 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)[C@@H](C)C(=O)NCc1ccco1 ZINC001331326063 1178186144 /nfs/dbraw/zinc/18/61/44/1178186144.db2.gz KTCNEXQANKDUHW-INIZCTEOSA-N 0 1 312.413 3.015 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H](C)C(=O)NCc1ccco1 ZINC001331326063 1178186149 /nfs/dbraw/zinc/18/61/49/1178186149.db2.gz KTCNEXQANKDUHW-INIZCTEOSA-N 0 1 312.413 3.015 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCCc1ccsc1 ZINC001331474510 1178222583 /nfs/dbraw/zinc/22/25/83/1178222583.db2.gz LPULOHBBKIPODM-ZDUSSCGKSA-N 0 1 314.882 3.260 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001331516529 1178232087 /nfs/dbraw/zinc/23/20/87/1178232087.db2.gz APHVOCRZNSJCKR-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001331545046 1178240981 /nfs/dbraw/zinc/24/09/81/1178240981.db2.gz DKEGLGZHOOFWNV-HNAYVOBHSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@@H](C)NCc2ncc(C)s2)CC1 ZINC001331548609 1178242559 /nfs/dbraw/zinc/24/25/59/1178242559.db2.gz RGTIXVHCAASPDW-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)[C@@H]1C ZINC001331859717 1178292935 /nfs/dbraw/zinc/29/29/35/1178292935.db2.gz JDYNGXKPMAAATA-SWLSCSKDSA-N 0 1 308.372 3.010 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCCCC1(F)F)C(C)C ZINC001332720607 1178481977 /nfs/dbraw/zinc/48/19/77/1178481977.db2.gz SZGOPUVCEKWBAP-OAHLLOKOSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(Cl)c1)C(C)C ZINC001332740354 1178488886 /nfs/dbraw/zinc/48/88/86/1178488886.db2.gz IJVJHNYIQRVDMP-UHFFFAOYSA-N 0 1 306.837 3.146 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C2CCN(CCF)CC2)CCCC1 ZINC001332971680 1178531280 /nfs/dbraw/zinc/53/12/80/1178531280.db2.gz CTGBBZYWKIFIIK-OAHLLOKOSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H](C)C1CCN(CCF)CC1)c1ccccc1 ZINC001333015017 1178541132 /nfs/dbraw/zinc/54/11/32/1178541132.db2.gz PHRCTCIYKPWQMY-YJBOKZPZSA-N 0 1 318.436 3.142 20 30 DGEDMN N#Cc1ccc(CN2C3CCC2(CO)CC3)c(C(F)(F)F)c1 ZINC001333319073 1178587533 /nfs/dbraw/zinc/58/75/33/1178587533.db2.gz OMNZBPSRGHBQIZ-UHFFFAOYSA-N 0 1 310.319 3.066 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)cc1 ZINC001601076633 1192916749 /nfs/dbraw/zinc/91/67/49/1192916749.db2.gz MYUPPVCNFRWTNS-OKZBNKHCSA-N 0 1 312.413 3.218 20 30 DGEDMN CCc1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)cc(Cl)n1 ZINC001341931520 1179082633 /nfs/dbraw/zinc/08/26/33/1179082633.db2.gz NGRLJFINNZQGOH-ZDUSSCGKSA-N 0 1 314.776 3.194 20 30 DGEDMN Cc1c(C(=O)[C@@H](C#N)c2nc3ccccc3[nH]2)ccc2cncn21 ZINC001342026518 1179094899 /nfs/dbraw/zinc/09/48/99/1179094899.db2.gz CJHCMJGBXQOIDO-CQSZACIVSA-N 0 1 315.336 3.009 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC[C@H](CC)C1 ZINC001342218617 1179117473 /nfs/dbraw/zinc/11/74/73/1179117473.db2.gz NQKDCYTXWOTNBZ-AWEZNQCLSA-N 0 1 314.437 3.179 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC(C)(C)CC1 ZINC001342218954 1179117746 /nfs/dbraw/zinc/11/77/46/1179117746.db2.gz RGGYQQGMYPLBQQ-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@H](CC)C1 ZINC001342218618 1179117893 /nfs/dbraw/zinc/11/78/93/1179117893.db2.gz NQKDCYTXWOTNBZ-CQSZACIVSA-N 0 1 314.437 3.179 20 30 DGEDMN C=C(C)Cn1c([C@H]2CCC[N@@H+](C)C2)nnc1N(CC)[C@@H](C)CC ZINC001342354573 1179133229 /nfs/dbraw/zinc/13/32/29/1179133229.db2.gz HIMGAAIQTROMSO-HOTGVXAUSA-N 0 1 319.497 3.288 20 30 DGEDMN N#CC(C(=O)CC1(C(F)(F)F)CC1)C(=O)Nc1ccccc1 ZINC001342597798 1179157881 /nfs/dbraw/zinc/15/78/81/1179157881.db2.gz OLXMVJCSKOQRKT-NSHDSACASA-N 0 1 310.275 3.067 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC001342599715 1179159374 /nfs/dbraw/zinc/15/93/74/1179159374.db2.gz KDAKNKVMHNLDPC-INIZCTEOSA-N 0 1 324.380 3.281 20 30 DGEDMN N#CC(C(=O)Cc1ccc(OC(F)F)cc1)c1cccc(F)n1 ZINC001342639664 1179165051 /nfs/dbraw/zinc/16/50/51/1179165051.db2.gz GVGVHRLNHSKBCP-LBPRGKRZSA-N 0 1 320.270 3.241 20 30 DGEDMN C#CC[C@H](OC(=O)c1cccc2nc(C(F)F)[nH]c21)C1CC1 ZINC001342852666 1179197188 /nfs/dbraw/zinc/19/71/88/1179197188.db2.gz DWYYXDZHTMTZAS-LBPRGKRZSA-N 0 1 304.296 3.459 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC[C@H]1C ZINC001343295356 1179253216 /nfs/dbraw/zinc/25/32/16/1179253216.db2.gz OKXSNBIECCQLIJ-CYBMUJFWSA-N 0 1 322.416 3.386 20 30 DGEDMN N#C[C@@H](C(=O)CSc1ccc(F)c(F)c1)c1cccc(F)n1 ZINC001343312282 1179253979 /nfs/dbraw/zinc/25/39/79/1179253979.db2.gz LYSLVEGSDGFNAE-SNVBAGLBSA-N 0 1 322.311 3.467 20 30 DGEDMN N#CC(C(=O)c1ccoc1C1CC1)c1nc(N)c2ccccc2n1 ZINC001343375134 1179263249 /nfs/dbraw/zinc/26/32/49/1179263249.db2.gz ARXYKYXATVTLPJ-ZDUSSCGKSA-N 0 1 318.336 3.172 20 30 DGEDMN N#C[C@@H](C(=O)c1ccoc1C1CC1)c1nc(N)c2ccccc2n1 ZINC001343375134 1179263259 /nfs/dbraw/zinc/26/32/59/1179263259.db2.gz ARXYKYXATVTLPJ-ZDUSSCGKSA-N 0 1 318.336 3.172 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC2(CCC2)CO1)c1cccc(F)n1 ZINC001343407213 1179268918 /nfs/dbraw/zinc/26/89/18/1179268918.db2.gz ZFOJDZQRTYLSKP-QWHCGFSZSA-N 0 1 302.349 3.136 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(C)c(Cl)c2)nc(C)n1 ZINC001343494394 1179284862 /nfs/dbraw/zinc/28/48/62/1179284862.db2.gz GMLMHNSBBOMMPC-CQSZACIVSA-N 0 1 313.788 3.474 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C(C)(C)c1cccc(Cl)c1F ZINC001343705690 1179319308 /nfs/dbraw/zinc/31/93/08/1179319308.db2.gz TUBOPXNFWFHQSS-JTQLQIEISA-N 0 1 319.767 3.367 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)c1nnc2n1CCCCC2 ZINC001343823029 1179335185 /nfs/dbraw/zinc/33/51/85/1179335185.db2.gz NDUQIAQAGZYUJQ-XQLPTFJDSA-N 0 1 312.417 3.007 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)[C@@]1(c2ccc(F)cc2)CC1(C)C ZINC001343841624 1179336211 /nfs/dbraw/zinc/33/62/11/1179336211.db2.gz GWXCGXBAGNBNIP-KSSFIOAISA-N 0 1 311.360 3.103 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1sccc1OC(F)F ZINC001344325659 1179413643 /nfs/dbraw/zinc/41/36/43/1179413643.db2.gz BCROKJDZOPKLOW-MRVPVSSYSA-N 0 1 311.313 3.056 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1C(=O)[C@@H](C#N)c1cccc(F)n1 ZINC001344597191 1179456573 /nfs/dbraw/zinc/45/65/73/1179456573.db2.gz ULUUKUZEQBBMFR-LBPRGKRZSA-N 0 1 314.364 3.279 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1C(=O)C(C#N)c1cccc(F)n1 ZINC001344597191 1179456576 /nfs/dbraw/zinc/45/65/76/1179456576.db2.gz ULUUKUZEQBBMFR-LBPRGKRZSA-N 0 1 314.364 3.279 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CCSc2ccccc21 ZINC001344875709 1179490637 /nfs/dbraw/zinc/49/06/37/1179490637.db2.gz XUTUBBZTHKSCBI-KBPBESRZSA-N 0 1 311.410 3.359 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@]12CCO[C@H]1CCCC2 ZINC001345951159 1179629357 /nfs/dbraw/zinc/62/93/57/1179629357.db2.gz FXKUSWQBMBGUKS-ACWOFJMJSA-N 0 1 323.396 3.099 20 30 DGEDMN Cc1cc(F)ccc1C(=O)[C@H](C#N)c1nc(N)c2ccccc2n1 ZINC001346054108 1179644683 /nfs/dbraw/zinc/64/46/83/1179644683.db2.gz LKFAZFOOXCRYJE-AWEZNQCLSA-N 0 1 320.327 3.150 20 30 DGEDMN Cc1cc(F)ccc1C(=O)C(C#N)c1nc(N)c2ccccc2n1 ZINC001346054108 1179644688 /nfs/dbraw/zinc/64/46/88/1179644688.db2.gz LKFAZFOOXCRYJE-AWEZNQCLSA-N 0 1 320.327 3.150 20 30 DGEDMN CCC(=O)c1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1 ZINC001346095256 1179652123 /nfs/dbraw/zinc/65/21/23/1179652123.db2.gz AVJVONUWDUTOFI-GFCCVEGCSA-N 0 1 315.760 3.156 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)Cc1ccccc1OC1CCCC1 ZINC001346548105 1179718863 /nfs/dbraw/zinc/71/88/63/1179718863.db2.gz HOLPUEFZQPDTMB-MRXNPFEDSA-N 0 1 323.396 3.160 20 30 DGEDMN CC[C@@H](C#N)N(C)C(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001349776832 1179949279 /nfs/dbraw/zinc/94/92/79/1179949279.db2.gz HNIMZPNMUPBOAU-ROUUACIJSA-N 0 1 313.445 3.110 20 30 DGEDMN C#CCCCCCC[N@@H+]1C[C@@H](c2ccc(O)cc2)[C@H](C(=O)[O-])C1 ZINC001602705007 1192995139 /nfs/dbraw/zinc/99/51/39/1192995139.db2.gz ALSOHAAPPDQJPQ-ZWKOTPCHSA-N 0 1 315.413 3.076 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@@H+](C)Cc1cc(Br)ccc1Cl ZINC001602804813 1192997990 /nfs/dbraw/zinc/99/79/90/1192997990.db2.gz SRGKEXFDCIPNAI-NSHDSACASA-N 0 1 318.598 3.174 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)Cc1cc(C(=O)[O-])c(C)n1C ZINC001602890963 1193001944 /nfs/dbraw/zinc/00/19/44/1193001944.db2.gz NISGNPGXCDMTOE-UHFFFAOYSA-N 0 1 312.413 3.262 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2ccccc2OC2CCCCC2)=NO1 ZINC001602919537 1193002888 /nfs/dbraw/zinc/00/28/88/1193002888.db2.gz PYINCRWZHIFNJL-GFCCVEGCSA-N 0 1 302.374 3.250 20 30 DGEDMN CC(C)C#CC(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC001603053387 1193006113 /nfs/dbraw/zinc/00/61/13/1193006113.db2.gz IQYAQEKOJFIHND-UHFFFAOYSA-N 0 1 310.401 3.367 20 30 DGEDMN C[C@H](C#N)C[N@@H+](Cc1c(O)c(C(=O)[O-])cc2ccccc21)C1CC1 ZINC001603129740 1193008540 /nfs/dbraw/zinc/00/85/40/1193008540.db2.gz MAMQQKUJTFAFIV-GFCCVEGCSA-N 0 1 324.380 3.368 20 30 DGEDMN CC(C)(C#N)CC[N@@H+]1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1 ZINC001603229985 1193011869 /nfs/dbraw/zinc/01/18/69/1193011869.db2.gz MBNRHMVIHCUASV-LSDHHAIUSA-N 0 1 320.820 3.380 20 30 DGEDMN COCC[N@@H+](Cc1cccnc1)Cc1c(C)cc(C#N)cc1C ZINC001351363149 1180204606 /nfs/dbraw/zinc/20/46/06/1180204606.db2.gz MERUPHNHZXRONX-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN COCCN(Cc1cccnc1)Cc1c(C)cc(C#N)cc1C ZINC001351363149 1180204608 /nfs/dbraw/zinc/20/46/08/1180204608.db2.gz MERUPHNHZXRONX-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc3ccc(F)c(F)c3n2)C1 ZINC001352860247 1180412102 /nfs/dbraw/zinc/41/21/02/1180412102.db2.gz CXXRBDSZDJOFPM-AWEZNQCLSA-N 0 1 304.340 3.290 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc3c(s2)CCNC3)ccc1C1CC1 ZINC001353147240 1180474823 /nfs/dbraw/zinc/47/48/23/1180474823.db2.gz SCMLUFOURANQQX-UHFFFAOYSA-N 0 1 323.421 3.395 20 30 DGEDMN CC(C)C[N@@H+](Cc1ccc(F)c(C#N)c1)CC(C)(C)C(=O)[O-] ZINC001603811376 1193033292 /nfs/dbraw/zinc/03/32/92/1193033292.db2.gz PMGAUGBVPVLAKZ-UHFFFAOYSA-N 0 1 306.381 3.266 20 30 DGEDMN C=CCNC(=S)Nc1c(O)c(Br)ccc1Cl ZINC001200295337 1180520733 /nfs/dbraw/zinc/52/07/33/1180520733.db2.gz GBEKXQVGPFVGHF-UHFFFAOYSA-N 0 1 321.627 3.281 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc2nccnc2c1 ZINC001200544715 1180711940 /nfs/dbraw/zinc/71/19/40/1180711940.db2.gz JUXFXYQZSBIVCZ-UHFFFAOYSA-N 0 1 305.381 3.484 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100596126 1180836283 /nfs/dbraw/zinc/83/62/83/1180836283.db2.gz LPXUWMVVPIRJPQ-KGEOQBBFSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(NCc2csc(CC)n2)CC1 ZINC001200828487 1180946334 /nfs/dbraw/zinc/94/63/34/1180946334.db2.gz AGDQMVFNDKBULX-UHFFFAOYSA-N 0 1 321.490 3.142 20 30 DGEDMN C[C@H](Cc1cccs1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001604233969 1193062413 /nfs/dbraw/zinc/06/24/13/1193062413.db2.gz JXDXYUGWNTVTNW-GFCCVEGCSA-N 0 1 314.410 3.381 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100711314 1180955017 /nfs/dbraw/zinc/95/50/17/1180955017.db2.gz IUEQDMVWOZNODR-XYOKQWHBSA-N 0 1 319.276 3.392 20 30 DGEDMN CC[C@](O)(CN[C@@H](CCC#N)c1ccccc1)C(F)(F)F ZINC001356031675 1181128654 /nfs/dbraw/zinc/12/86/54/1181128654.db2.gz DANPALHGHLGLMF-KBPBESRZSA-N 0 1 300.324 3.324 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CCc2ccccc21 ZINC001604786745 1193093157 /nfs/dbraw/zinc/09/31/57/1193093157.db2.gz QNIWPRXTTBWPBN-AUUYWEPGSA-N 0 1 320.392 3.346 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001604980214 1193103552 /nfs/dbraw/zinc/10/35/52/1193103552.db2.gz BCNLQTXVIQQQFR-NEPJUHHUSA-N 0 1 300.280 3.113 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCC2)o1)c1cccc(C#N)c1 ZINC001442190193 1182077479 /nfs/dbraw/zinc/07/74/79/1182077479.db2.gz XKNLXQGVJIGULK-UHFFFAOYSA-N 0 1 309.369 3.024 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc(O)c2Br)cs1 ZINC001449940495 1182236778 /nfs/dbraw/zinc/23/67/78/1182236778.db2.gz POBIVQDKJVQYDN-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C=CCSc1ccccc1C(=O)NCc1cnc(CC)[nH]1 ZINC001456144867 1182400366 /nfs/dbraw/zinc/40/03/66/1182400366.db2.gz PXNAXNRPHUERRZ-UHFFFAOYSA-N 0 1 301.415 3.180 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(-c3cccc(OC)c3)n[nH]2)cc1 ZINC001457017453 1182440025 /nfs/dbraw/zinc/44/00/25/1182440025.db2.gz QLROWLPWZILNJR-UHFFFAOYSA-N 0 1 317.348 3.319 20 30 DGEDMN C#CC1(Nc2nc(C(F)(F)F)c(C(=O)OCC)o2)CCCC1 ZINC001458545232 1182537497 /nfs/dbraw/zinc/53/74/97/1182537497.db2.gz HYVBZBSRXJYWIJ-UHFFFAOYSA-N 0 1 316.279 3.228 20 30 DGEDMN C#CCN(Cc1ccc(Br)nc1N)C1CCCCC1 ZINC001459395316 1182585517 /nfs/dbraw/zinc/58/55/17/1182585517.db2.gz XQDZABSZJLSIFF-UHFFFAOYSA-N 0 1 322.250 3.194 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CC[C@H](CSc2ccccc2)C1 ZINC001459596375 1182596762 /nfs/dbraw/zinc/59/67/62/1182596762.db2.gz RJBSOAWDJGPYHO-HOCLYGCPSA-N 0 1 305.443 3.218 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(CC#Cc2ccc(F)cc2)C1 ZINC001460205482 1182662702 /nfs/dbraw/zinc/66/27/02/1182662702.db2.gz ZHWVJAICSNVJIY-LJQANCHMSA-N 0 1 317.404 3.231 20 30 DGEDMN C#C[C@H]1CCCN(CCc2cc(Cl)c3c(c2)OCCCO3)C1 ZINC001460309662 1182676023 /nfs/dbraw/zinc/67/60/23/1182676023.db2.gz MLGTURBECGXKTC-AWEZNQCLSA-N 0 1 319.832 3.389 20 30 DGEDMN C[C@@H]1CN(CCOc2cccc(C#N)c2)CC2(CCCCC2)O1 ZINC001460319897 1182677569 /nfs/dbraw/zinc/67/75/69/1182677569.db2.gz ZCUVSCHCEWGWOI-MRXNPFEDSA-N 0 1 314.429 3.361 20 30 DGEDMN C=CCC[C@@H](O)CN(CC)Cc1nc2c(s1)C[C@H](C)CC2 ZINC001460964537 1182747736 /nfs/dbraw/zinc/74/77/36/1182747736.db2.gz IOXNNUVJVKZGBE-ZIAGYGMSSA-N 0 1 308.491 3.417 20 30 DGEDMN C=CCC[C@@H](CO)NCc1c(F)cc(Br)cc1F ZINC001461500007 1182820570 /nfs/dbraw/zinc/82/05/70/1182820570.db2.gz FIPNZJXNGSQMJV-JTQLQIEISA-N 0 1 320.177 3.144 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1CNCc1ccc(C#N)s1 ZINC001461589817 1182832771 /nfs/dbraw/zinc/83/27/71/1182832771.db2.gz SALGUMBOYSGJKC-UHFFFAOYSA-N 0 1 323.425 3.107 20 30 DGEDMN CSc1ccc(CNCc2cccc(F)c2N)cc1C#N ZINC001461752017 1182850125 /nfs/dbraw/zinc/85/01/25/1182850125.db2.gz HRPKDQLEQFJXJB-UHFFFAOYSA-N 0 1 301.390 3.291 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3coc4ccccc34)[nH]c2c1 ZINC001461915896 1182876802 /nfs/dbraw/zinc/87/68/02/1182876802.db2.gz ANCOBJKSZFMZKJ-UHFFFAOYSA-N 0 1 302.293 3.433 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1)N(C)C ZINC001464545408 1183098542 /nfs/dbraw/zinc/09/85/42/1183098542.db2.gz LHIYTDLVVOKAPU-INIZCTEOSA-N 0 1 324.384 3.024 20 30 DGEDMN CCOc1nc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc2o1 ZINC001468257723 1183321262 /nfs/dbraw/zinc/32/12/62/1183321262.db2.gz KKZQTKLEMCZHJT-UHFFFAOYSA-N 0 1 323.308 3.056 20 30 DGEDMN CON=CC(=O)Nc1ccccc1-c1nc2ccc(C)cc2[nH]1 ZINC001469823388 1183441284 /nfs/dbraw/zinc/44/12/84/1183441284.db2.gz YCKZWJVMUDQBEN-UHFFFAOYSA-N 0 1 308.341 3.109 20 30 DGEDMN CC(C)(NC(=O)Cc1[nH]nc2ccccc21)c1cccc(C#N)c1 ZINC001470060021 1183458370 /nfs/dbraw/zinc/45/83/70/1183458370.db2.gz MPNSTPQQRBXMBS-UHFFFAOYSA-N 0 1 318.380 3.029 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001470599151 1183499823 /nfs/dbraw/zinc/49/98/23/1183499823.db2.gz NNNZLVHFGWDDCK-LJQANCHMSA-N 0 1 309.413 3.466 20 30 DGEDMN Cc1ccc(CNC(=O)c2ccc(Cl)c(O)c2)cc1C#N ZINC001471760516 1183587492 /nfs/dbraw/zinc/58/74/92/1183587492.db2.gz HIXBPPREHMJUCB-UHFFFAOYSA-N 0 1 300.745 3.156 20 30 DGEDMN C#CCC1(NC(=O)c2ccc(-c3ccc(C=O)o3)cc2O)CCC1 ZINC001472002080 1183607274 /nfs/dbraw/zinc/60/72/74/1183607274.db2.gz OALXUBOKOANIBK-UHFFFAOYSA-N 0 1 323.348 3.141 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2cccc(C#N)c2C)n[nH]1 ZINC001472103981 1183616511 /nfs/dbraw/zinc/61/65/11/1183616511.db2.gz LNPGTJPASHDXTJ-KRWDZBQOSA-N 0 1 308.385 3.266 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2c[nH]c3ccc(C)cc3c2=O)C1 ZINC001472595052 1183655833 /nfs/dbraw/zinc/65/58/33/1183655833.db2.gz ABADWCZLKWDISO-CQSZACIVSA-N 0 1 310.397 3.265 20 30 DGEDMN O=C(c1ccc(F)cc1O)N(O)CCCCc1ccccc1 ZINC001472619967 1183656772 /nfs/dbraw/zinc/65/67/72/1183656772.db2.gz ZGBJPLNZUXAHEO-UHFFFAOYSA-N 0 1 303.333 3.386 20 30 DGEDMN O=C(c1[nH]nc2ccc(Cl)cc21)N(O)Cc1ccc(F)cc1 ZINC001472622634 1183658121 /nfs/dbraw/zinc/65/81/21/1183658121.db2.gz MNVAYZLPDKPZFF-UHFFFAOYSA-N 0 1 319.723 3.387 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)c3ccoc3C3CC3)n2)c1 ZINC001472634775 1183659747 /nfs/dbraw/zinc/65/97/47/1183659747.db2.gz AAMIGNDVGDMUAG-UHFFFAOYSA-N 0 1 319.324 3.066 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC001607566041 1193237413 /nfs/dbraw/zinc/23/74/13/1193237413.db2.gz YEMFFIHAXLAUMH-LJQANCHMSA-N 0 1 320.392 3.187 20 30 DGEDMN Cc1cc([C@H]([NH2+]Cc2ccc(F)cc2C#N)C(=O)[O-])ccc1F ZINC001607611818 1193240573 /nfs/dbraw/zinc/24/05/73/1193240573.db2.gz IZULVJPUKFZEGX-INIZCTEOSA-N 0 1 316.307 3.060 20 30 DGEDMN CCC#C[C@@H](C)N1CCN(Cc2cccc3cccnc32)CC1 ZINC001473721647 1183793250 /nfs/dbraw/zinc/79/32/50/1183793250.db2.gz BSDFNJPZWAARRF-QGZVFWFLSA-N 0 1 307.441 3.154 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN([C@H](C)c1cccc(C#N)c1)C2 ZINC001473761165 1183799494 /nfs/dbraw/zinc/79/94/94/1183799494.db2.gz RNLPYWWGEADUEW-CQSZACIVSA-N 0 1 320.392 3.464 20 30 DGEDMN C=C(Cl)CN[C@@](C)(CO)Cc1ccc(Br)cc1 ZINC001473840268 1183809502 /nfs/dbraw/zinc/80/95/02/1183809502.db2.gz USPNKAQPYHYLCH-CYBMUJFWSA-N 0 1 318.642 3.085 20 30 DGEDMN C=C(Cl)CN[C@](C)(CO)Cc1ccc(Br)cc1 ZINC001473840269 1183810923 /nfs/dbraw/zinc/81/09/23/1183810923.db2.gz USPNKAQPYHYLCH-ZDUSSCGKSA-N 0 1 318.642 3.085 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCc2c(C#N)c(N)sc2C1 ZINC001473858688 1183812760 /nfs/dbraw/zinc/81/27/60/1183812760.db2.gz VUIWLJPFSIKAIQ-UHFFFAOYSA-N 0 1 322.437 3.249 20 30 DGEDMN C#C[C@@H]1CCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001473868002 1183814667 /nfs/dbraw/zinc/81/46/67/1183814667.db2.gz DMAMFEIGLPKGJX-GFCCVEGCSA-N 0 1 318.218 3.313 20 30 DGEDMN C[C@H]1CC[C@](CO)(NCc2ccc(C#N)s2)c2ccccc21 ZINC001473885768 1183818995 /nfs/dbraw/zinc/81/89/95/1183818995.db2.gz OSBHLQCALMSZDM-SCLBCKFNSA-N 0 1 312.438 3.494 20 30 DGEDMN C=CC[C@@H](NCc1cnnn1-c1ccccc1)c1ccncc1 ZINC001474007959 1183848162 /nfs/dbraw/zinc/84/81/62/1183848162.db2.gz QYWLAWOWXNQWRI-GOSISDBHSA-N 0 1 305.385 3.069 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(-c2ccccc2Cl)s1 ZINC001474086794 1183865095 /nfs/dbraw/zinc/86/50/95/1183865095.db2.gz IHJNZAOXXHQABJ-UHFFFAOYSA-N 0 1 320.845 3.460 20 30 DGEDMN C#CC[N@@H+](Cc1ncc(Br)cc1[O-])C1CCCCC1 ZINC001474340297 1183906104 /nfs/dbraw/zinc/90/61/04/1183906104.db2.gz YCJDKSDNCWEQGJ-UHFFFAOYSA-N 0 1 323.234 3.318 20 30 DGEDMN C#CC[N@H+](Cc1ncc(Br)cc1[O-])C1CCCCC1 ZINC001474340297 1183906107 /nfs/dbraw/zinc/90/61/07/1183906107.db2.gz YCJDKSDNCWEQGJ-UHFFFAOYSA-N 0 1 323.234 3.318 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001475819810 1184019284 /nfs/dbraw/zinc/01/92/84/1184019284.db2.gz WSCKMZYGRSDHIJ-UHFFFAOYSA-N 0 1 307.397 3.040 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CCC)C1 ZINC001479625140 1184277289 /nfs/dbraw/zinc/27/72/89/1184277289.db2.gz HAAUVIHCYHYCPK-IAGOWNOFSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001479714252 1184296014 /nfs/dbraw/zinc/29/60/14/1184296014.db2.gz GIYOPCALTYNLJA-HIFRSBDPSA-N 0 1 306.475 3.429 20 30 DGEDMN Cc1sc([C@@H]2CCC[N@H+]2CCC(C)(C)C#N)nc1C(=O)[O-] ZINC001608068627 1193277525 /nfs/dbraw/zinc/27/75/25/1193277525.db2.gz OZCDNUIMHULRQA-NSHDSACASA-N 0 1 307.419 3.227 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1ccnc(Cl)c1 ZINC001479794000 1184328239 /nfs/dbraw/zinc/32/82/39/1184328239.db2.gz BLGXVQOWNRUPLH-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001479804871 1184332629 /nfs/dbraw/zinc/33/26/29/1184332629.db2.gz PXJDUIAMUGSBOR-ROUUACIJSA-N 0 1 314.473 3.158 20 30 DGEDMN N#CC1(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@H]32)CCCCC1 ZINC001608175120 1193281153 /nfs/dbraw/zinc/28/11/53/1193281153.db2.gz PSFKRVODWLYSAF-HRCADAONSA-N 0 1 304.434 3.426 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@@]1(C)C(C)C ZINC001479858675 1184354873 /nfs/dbraw/zinc/35/48/73/1184354873.db2.gz QPHZQLSOWFKWEB-HOCLYGCPSA-N 0 1 300.874 3.249 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2cccnc2C)CC1 ZINC001479891071 1184366823 /nfs/dbraw/zinc/36/68/23/1184366823.db2.gz XQKPJBMSZKOIAX-UHFFFAOYSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001479950773 1184385191 /nfs/dbraw/zinc/38/51/91/1184385191.db2.gz JGDBUWOODMXRQX-VSRDTVRMSA-N 0 1 310.441 3.084 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001479985106 1184403391 /nfs/dbraw/zinc/40/33/91/1184403391.db2.gz XWUYYISCIMMVRY-XNISGLLXSA-N 0 1 308.853 3.232 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2sccc2C)CC1 ZINC001479989907 1184404449 /nfs/dbraw/zinc/40/44/49/1184404449.db2.gz ODGJTZARWQPDLJ-UHFFFAOYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@H](C)c1ccco1)C1CC1 ZINC001480060503 1184421170 /nfs/dbraw/zinc/42/11/70/1184421170.db2.gz RFWAMEKVWQKEMD-FZMZJTMJSA-N 0 1 310.825 3.010 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CN(C)Cc2nc(C)cs2)C1 ZINC001480071206 1184425481 /nfs/dbraw/zinc/42/54/81/1184425481.db2.gz CBCVYPOQGLGOFI-HNNXBMFYSA-N 0 1 321.490 3.088 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1cccnc1C ZINC001480319861 1184492049 /nfs/dbraw/zinc/49/20/49/1184492049.db2.gz RLSZHUNCHWUBDY-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001480477916 1184534893 /nfs/dbraw/zinc/53/48/93/1184534893.db2.gz MWWSNFGPXMMFIH-HNNXBMFYSA-N 0 1 320.864 3.246 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1ccc(C)cc1Cl ZINC001480517831 1184541432 /nfs/dbraw/zinc/54/14/32/1184541432.db2.gz JGIQVKHSENZTAQ-HNNXBMFYSA-N 0 1 320.864 3.436 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc2ccsc21 ZINC001480564848 1184549321 /nfs/dbraw/zinc/54/93/21/1184549321.db2.gz DGHVQQPLXVJUSL-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cc(C)no2)CCCCC1 ZINC001480598784 1184556422 /nfs/dbraw/zinc/55/64/22/1184556422.db2.gz VERQSKFFVWVGAW-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001480732668 1184592979 /nfs/dbraw/zinc/59/29/79/1184592979.db2.gz FWBRRAPTTFNIQF-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1nocc1C ZINC001480827061 1184622814 /nfs/dbraw/zinc/62/28/14/1184622814.db2.gz QQKHZPOOSQZOTE-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001493063170 1184640552 /nfs/dbraw/zinc/64/05/52/1184640552.db2.gz VDAGUMRGSFBHSR-INIZCTEOSA-N 0 1 300.446 3.275 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1cc(C)ccc1F ZINC001493087436 1184645462 /nfs/dbraw/zinc/64/54/62/1184645462.db2.gz WVTOFBCNVOORPE-INIZCTEOSA-N 0 1 304.409 3.181 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1cccc(Cl)c1 ZINC001493098299 1184650253 /nfs/dbraw/zinc/65/02/53/1184650253.db2.gz VRAYHZYREDDINT-KRWDZBQOSA-N 0 1 318.848 3.224 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2sccc2Cl)C1 ZINC001493183752 1184664910 /nfs/dbraw/zinc/66/49/10/1184664910.db2.gz CJGZRFXMNYXFTN-GFCCVEGCSA-N 0 1 312.866 3.306 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)C)c1ccc(C(C)C)cc1 ZINC001493878177 1184755762 /nfs/dbraw/zinc/75/57/62/1184755762.db2.gz HENRCQCUEIEAQA-GOSISDBHSA-N 0 1 300.446 3.236 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCCC)c1ccc(C(C)C)cc1 ZINC001493880060 1184757762 /nfs/dbraw/zinc/75/77/62/1184757762.db2.gz ZGYAAPWMGYJLKV-SFHVURJKSA-N 0 1 300.446 3.380 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc2c(c1)CCC2 ZINC001493908148 1184763496 /nfs/dbraw/zinc/76/34/96/1184763496.db2.gz KVIKSHZRMDYOGX-BGYRXZFFSA-N 0 1 324.468 3.317 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001493962789 1184777503 /nfs/dbraw/zinc/77/75/03/1184777503.db2.gz CRGYQQCYTSZZLI-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](CCC)C(C)C)C1 ZINC001493968413 1184778940 /nfs/dbraw/zinc/77/89/40/1184778940.db2.gz RCTOHEHPNKYHSF-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC001494041503 1184795911 /nfs/dbraw/zinc/79/59/11/1184795911.db2.gz ACVSSGHVKLBUSA-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CCCC23CC3)C1 ZINC001494144824 1184816588 /nfs/dbraw/zinc/81/65/88/1184816588.db2.gz GLQCCWVXCBGHMU-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001494406845 1184867128 /nfs/dbraw/zinc/86/71/28/1184867128.db2.gz PNGFYKUUNCLUBK-DNVCBOLYSA-N 0 1 312.457 3.262 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@@H]2C[C@H]1CN2CC(=C)C)c1ccccc1 ZINC001494686322 1184905879 /nfs/dbraw/zinc/90/58/79/1184905879.db2.gz SXGYSWSCYRITBD-NEWSRXKRSA-N 0 1 310.441 3.208 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN([C@@H](CC)c2ccc(F)cc2)C1 ZINC001494748636 1184919930 /nfs/dbraw/zinc/91/99/30/1184919930.db2.gz YKBHLBSLLYBSRO-SJORKVTESA-N 0 1 304.409 3.434 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001494842856 1184939571 /nfs/dbraw/zinc/93/95/71/1184939571.db2.gz JRYLJRHDASAGTC-MCBDIONPSA-N 0 1 323.440 3.113 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001494852651 1184943731 /nfs/dbraw/zinc/94/37/31/1184943731.db2.gz XWRNMBDOGPXKQG-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001494853712 1184945957 /nfs/dbraw/zinc/94/59/57/1184945957.db2.gz UPOAPRRAVURPDN-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C#C[C@@H]([NH2+]Cc1cc(C(=O)[O-])c(C)n1C)c1ccc(Cl)cc1 ZINC001609057783 1193322479 /nfs/dbraw/zinc/32/24/79/1193322479.db2.gz FNARZWSHAIYRHX-MRXNPFEDSA-N 0 1 316.788 3.149 20 30 DGEDMN C=CCN(Cc1cccs1)Cc1ccc(-c2nn[nH]n2)cc1 ZINC001609055662 1193322665 /nfs/dbraw/zinc/32/26/65/1193322665.db2.gz NWAGSIIOIOXNMN-UHFFFAOYSA-N 0 1 311.414 3.116 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)CCC2CCCCC2)C1 ZINC001495882843 1185108898 /nfs/dbraw/zinc/10/88/98/1185108898.db2.gz LVDKWHBYVJVFMY-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001496071013 1185130903 /nfs/dbraw/zinc/13/09/03/1185130903.db2.gz IOYNQMOXUZBJIG-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001496072847 1185131796 /nfs/dbraw/zinc/13/17/96/1185131796.db2.gz XHCSMNDXTYXOCU-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(Cl)[nH]1 ZINC001496287969 1185159417 /nfs/dbraw/zinc/15/94/17/1185159417.db2.gz SJEIKUUYVLEMAK-LLVKDONJSA-N 0 1 318.248 3.155 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])c(C)n1C)Cc1cccc(C#N)c1 ZINC001609371746 1193335825 /nfs/dbraw/zinc/33/58/25/1193335825.db2.gz SGFDRBFMKXPZMB-UHFFFAOYSA-N 0 1 323.396 3.092 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])c(C)n1C)Cc1cccc(C#N)c1 ZINC001609371746 1193335827 /nfs/dbraw/zinc/33/58/27/1193335827.db2.gz SGFDRBFMKXPZMB-UHFFFAOYSA-N 0 1 323.396 3.092 20 30 DGEDMN CCCC(C)(C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001497448625 1185334841 /nfs/dbraw/zinc/33/48/41/1185334841.db2.gz ZGNOHFZVQPRFJJ-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C=CCCC(=O)NC/C=C/CN[C@H](C)c1ccc(F)cc1F ZINC001497539793 1185350468 /nfs/dbraw/zinc/35/04/68/1185350468.db2.gz DCWXQWGWNHTJDC-URWSZGRFSA-N 0 1 308.372 3.254 20 30 DGEDMN C=CCCC(=O)NC/C=C\CN[C@H](C)c1ccc(F)cc1F ZINC001497539790 1185350693 /nfs/dbraw/zinc/35/06/93/1185350693.db2.gz DCWXQWGWNHTJDC-CFHLNLSMSA-N 0 1 308.372 3.254 20 30 DGEDMN C=CCCC(=O)NC/C=C\CN[C@H]1CCc2c1c(F)ccc2F ZINC001497540209 1185350775 /nfs/dbraw/zinc/35/07/75/1185350775.db2.gz HTKQCPYHIAQZRU-AWFCHZOYSA-N 0 1 320.383 3.180 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1c(C)cccc1Cl ZINC001497573303 1185355594 /nfs/dbraw/zinc/35/55/94/1185355594.db2.gz OEIUSPFXRCHKIB-SREVYHEPSA-N 0 1 318.848 3.214 20 30 DGEDMN Cc1cccc(Cl)c1CNC/C=C\CNC(=O)C#CC(C)C ZINC001497577745 1185357475 /nfs/dbraw/zinc/35/74/75/1185357475.db2.gz FNCMLRMJYRVHPN-PLNGDYQASA-N 0 1 318.848 3.070 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C\CNCc1ccccc1Cl ZINC001497589639 1185357918 /nfs/dbraw/zinc/35/79/18/1185357918.db2.gz APMGREBNDVIGSQ-WAYWQWQTSA-N 0 1 306.837 3.458 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1ccc(Cl)nc1 ZINC001497671475 1185372957 /nfs/dbraw/zinc/37/29/57/1185372957.db2.gz LPEHCPFQQRDBBD-LXXRFIIISA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H]1CCc2ccccc21 ZINC001497705301 1185379192 /nfs/dbraw/zinc/37/91/92/1185379192.db2.gz HMDBAHIRRSXUIR-AWFCHZOYSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc2ccccc2c1 ZINC001497737705 1185384345 /nfs/dbraw/zinc/38/43/45/1185384345.db2.gz DWYTUWLWBPFZEU-PLNGDYQASA-N 0 1 314.816 3.468 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001497986269 1185418974 /nfs/dbraw/zinc/41/89/74/1185418974.db2.gz FEBNGBGLGXIIQZ-OAHLLOKOSA-N 0 1 320.864 3.182 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2coc(C)n2)[C@H](CC)C1 ZINC001498900191 1185566727 /nfs/dbraw/zinc/56/67/27/1185566727.db2.gz WZCWZZOOZBQJIS-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1ccoc1Cl ZINC001498968897 1185582933 /nfs/dbraw/zinc/58/29/33/1185582933.db2.gz USGLYFAOABJSQW-ZDUSSCGKSA-N 0 1 310.825 3.484 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1cccc(OC)c1C ZINC001499047259 1185597655 /nfs/dbraw/zinc/59/76/55/1185597655.db2.gz DRXUARRPTHAZIF-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC001499080556 1185606514 /nfs/dbraw/zinc/60/65/14/1185606514.db2.gz NUBBAEGZRCFKPZ-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cc(F)cc2C)CC1 ZINC001499312171 1185636300 /nfs/dbraw/zinc/63/63/00/1185636300.db2.gz SDENWYHJLOSXSI-UHFFFAOYSA-N 0 1 310.800 3.047 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(F)ccc2Cl)CC1 ZINC001499357766 1185643005 /nfs/dbraw/zinc/64/30/05/1185643005.db2.gz AJQLJFGKMFKELL-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC001499418974 1185648359 /nfs/dbraw/zinc/64/83/59/1185648359.db2.gz MWMLEQRLKWJXIL-UHFFFAOYSA-N 0 1 316.832 3.251 20 30 DGEDMN C#CC[N@@H+]1CC[C@H]([C@H]2CCCCN2C(=O)CC(C)=C(C)C)C1 ZINC001499480494 1185654926 /nfs/dbraw/zinc/65/49/26/1185654926.db2.gz HHEWTCLIFFTRJF-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN CCCO[C@H]1CCC[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)CC1 ZINC001609979313 1193370262 /nfs/dbraw/zinc/37/02/62/1193370262.db2.gz GOXLLVOWPPVBNO-HNNXBMFYSA-N 0 1 316.401 3.038 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CO[C@H]2CCCC[C@H]2CC)C1 ZINC001499664769 1185683972 /nfs/dbraw/zinc/68/39/72/1185683972.db2.gz OJBFJROMEFZGEW-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](OCC)C2CCCC2)C1 ZINC001499713641 1185686605 /nfs/dbraw/zinc/68/66/05/1185686605.db2.gz CMSKWLRYLISZMN-CRAIPNDOSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ncsc2C(C)C)C1 ZINC001499771031 1185694362 /nfs/dbraw/zinc/69/43/62/1185694362.db2.gz PUQHTXDKZFVDQB-CYBMUJFWSA-N 0 1 321.490 3.377 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)Cc2ccccc2)C1 ZINC001499795228 1185696169 /nfs/dbraw/zinc/69/61/69/1185696169.db2.gz KPTHNXGMMKUGKX-SJORKVTESA-N 0 1 314.473 3.363 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN([C@@H](C)CCC=C)C2)CCCCC1 ZINC001499804712 1185697870 /nfs/dbraw/zinc/69/78/70/1185697870.db2.gz JKTYIAMLAYSLMZ-KRWDZBQOSA-N 0 1 316.489 3.458 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ncc(C)o2)C[C@@H]1C ZINC001499832290 1185702627 /nfs/dbraw/zinc/70/26/27/1185702627.db2.gz LUQLRNAVNAYPJI-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ncc(C)o2)C[C@H]1C ZINC001499832288 1185702992 /nfs/dbraw/zinc/70/29/92/1185702992.db2.gz LUQLRNAVNAYPJI-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cscn2)C[C@@H]1C ZINC001499833439 1185703288 /nfs/dbraw/zinc/70/32/88/1185703288.db2.gz ZRZPSTDPXSBYPD-UONOGXRCSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccsc1Cl ZINC001499932011 1185719281 /nfs/dbraw/zinc/71/92/81/1185719281.db2.gz SPCAZXXLGFMTNL-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN CC/C(C)=C\C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001499982060 1185727016 /nfs/dbraw/zinc/72/70/16/1185727016.db2.gz PMHDJZQSZXYBGY-ZCXUNETKSA-N 0 1 324.468 3.365 20 30 DGEDMN C#CCCCCCC(=O)NC1(C)CCN(C/C=C\Cl)CC1 ZINC001499992032 1185729052 /nfs/dbraw/zinc/72/90/52/1185729052.db2.gz KRYXFYOJLFQHLO-WQLSENKSSA-N 0 1 310.869 3.293 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@]12C[C@@H]1CCCC2)c1ccccc1CC ZINC001501023404 1185856826 /nfs/dbraw/zinc/85/68/26/1185856826.db2.gz YDPLDIJMOBWZRT-DSKINZAPSA-N 0 1 324.468 3.209 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)C1CC1)c1ccccc1CC ZINC001501021726 1185856960 /nfs/dbraw/zinc/85/69/60/1185856960.db2.gz JNOURZRJGOUKGS-GOSISDBHSA-N 0 1 312.457 3.065 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CN(CC(=C)Cl)C[C@H]3C2)CCCC1 ZINC001501245305 1185887757 /nfs/dbraw/zinc/88/77/57/1185887757.db2.gz KWTWQMAZUGWHIM-IYBDPMFKSA-N 0 1 322.880 3.266 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC001501668502 1185918881 /nfs/dbraw/zinc/91/88/81/1185918881.db2.gz DVFWSPFIVSZTFL-INIZCTEOSA-N 0 1 312.457 3.194 20 30 DGEDMN C[C@@H](C(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CCC1 ZINC001501966636 1185941938 /nfs/dbraw/zinc/94/19/38/1185941938.db2.gz NXWHPUNHZPYYHJ-QGZVFWFLSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C(C)C)nc2)CCC1 ZINC001501962365 1185942100 /nfs/dbraw/zinc/94/21/00/1185942100.db2.gz USPXAWBUJYUTJT-UHFFFAOYSA-N 0 1 321.852 3.200 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)CC(C)C)CCC1 ZINC001502017020 1185947821 /nfs/dbraw/zinc/94/78/21/1185947821.db2.gz ZTVBLUPHXXLJSE-UHFFFAOYSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)CC[C@@H](C)CC)O2 ZINC001502440955 1185983298 /nfs/dbraw/zinc/98/32/98/1185983298.db2.gz OGPRHGAXSOTBQJ-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C(C)(C)C(=C)C ZINC001502531650 1185992686 /nfs/dbraw/zinc/99/26/86/1185992686.db2.gz AGPQTCLLIFVWMP-IBGZPJMESA-N 0 1 324.468 3.155 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)sc2C)[C@@H](C)C1 ZINC001502738122 1186005363 /nfs/dbraw/zinc/00/53/63/1186005363.db2.gz JEBVFZZQNQFUOP-LRDDRELGSA-N 0 1 321.490 3.053 20 30 DGEDMN CCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001502815352 1186015990 /nfs/dbraw/zinc/01/59/90/1186015990.db2.gz SQNFPRGOECLAJJ-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001502972267 1186034250 /nfs/dbraw/zinc/03/42/50/1186034250.db2.gz YBCZSWIMRVBYRT-AZUAARDMSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1cccnc1 ZINC001505443747 1186081784 /nfs/dbraw/zinc/08/17/84/1186081784.db2.gz QCHQBBZNHFMYLQ-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC001505443747 1186081788 /nfs/dbraw/zinc/08/17/88/1186081788.db2.gz QCHQBBZNHFMYLQ-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc2cc(C)ccc2o1 ZINC001505466181 1186091196 /nfs/dbraw/zinc/09/11/96/1186091196.db2.gz UDCZKXYARDBDGY-QGZVFWFLSA-N 0 1 324.424 3.301 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2cc(C)cn2c1 ZINC001505619021 1186115686 /nfs/dbraw/zinc/11/56/86/1186115686.db2.gz SWIZEFVOUYDDON-ZIAGYGMSSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc(C)cs1 ZINC001505671954 1186122487 /nfs/dbraw/zinc/12/24/87/1186122487.db2.gz YPGIMQPWFVZTME-STQMWFEESA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1ccc(Cl)s1 ZINC001505704978 1186126877 /nfs/dbraw/zinc/12/68/77/1186126877.db2.gz CWKOLWOVDAIJRK-UWVGGRQHSA-N 0 1 321.273 3.179 20 30 DGEDMN Cc1[nH]ncc1CN(C)c1ccc(C#N)c(Br)c1F ZINC001655598580 1195938564 /nfs/dbraw/zinc/93/85/64/1195938564.db2.gz SCBQBWVYQBZCIY-UHFFFAOYSA-N 0 1 323.169 3.128 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001505873943 1186155615 /nfs/dbraw/zinc/15/56/15/1186155615.db2.gz KJWQDZLPHHIGMP-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)[C@@H](C)c1ccccc1Cl ZINC001505916340 1186164030 /nfs/dbraw/zinc/16/40/30/1186164030.db2.gz JDIQDPVZZNSRGL-CABCVRRESA-N 0 1 320.864 3.497 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001505968974 1186172880 /nfs/dbraw/zinc/17/28/80/1186172880.db2.gz HADSOOBYVMJVEO-HNNXBMFYSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1conc1C ZINC001506571493 1186254033 /nfs/dbraw/zinc/25/40/33/1186254033.db2.gz HFUALZNVVKTSAV-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@@H](C)c2ccccc2F)C1 ZINC001506593037 1186258514 /nfs/dbraw/zinc/25/85/14/1186258514.db2.gz NMEUCEGCMQPGRO-KGLIPLIRSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001506650063 1186268602 /nfs/dbraw/zinc/26/86/02/1186268602.db2.gz LZVFGSIBFBTXJS-ZDUSSCGKSA-N 0 1 320.864 3.240 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CN(Cc3cccc(F)c3)C2)C1 ZINC001506656012 1186269369 /nfs/dbraw/zinc/26/93/69/1186269369.db2.gz WHRKRDIUAILFJT-AWEZNQCLSA-N 0 1 316.420 3.119 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)[C@@H](C)CCCC)C2)C1 ZINC001506718863 1186277911 /nfs/dbraw/zinc/27/79/11/1186277911.db2.gz BAQKBHXGUNROLV-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CN(Cc2cccnc2)CC1(C)C ZINC001506746960 1186286291 /nfs/dbraw/zinc/28/62/91/1186286291.db2.gz BWUSYZZSYIYIBY-RDJZCZTQSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@]2(C)CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001506863916 1186297800 /nfs/dbraw/zinc/29/78/00/1186297800.db2.gz MTFDNKRPDBXWRD-CZIQXLRQSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC001506864289 1186298741 /nfs/dbraw/zinc/29/87/41/1186298741.db2.gz CQAQFROVJQPNFZ-VFADXPBXSA-N 0 1 310.869 3.266 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001507058554 1186351698 /nfs/dbraw/zinc/35/16/98/1186351698.db2.gz CSRCRMIHZHUBEL-JVDKPVQESA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)c1ccccc1F ZINC001507138458 1186370457 /nfs/dbraw/zinc/37/04/57/1186370457.db2.gz PWFBUXWSNMHFRW-OLZOCXBDSA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2scnc2C)[C@@H]1C ZINC001507374635 1186406587 /nfs/dbraw/zinc/40/65/87/1186406587.db2.gz KAWGKCWPYPWLRD-CABCVRRESA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ncc(C)cc1C)C(C)(C)C ZINC001507983808 1186464698 /nfs/dbraw/zinc/46/46/98/1186464698.db2.gz GOWWAJXMBWPJAI-CQSZACIVSA-N 0 1 323.868 3.185 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001508227759 1186491967 /nfs/dbraw/zinc/49/19/67/1186491967.db2.gz RHDIUCDTZNPYES-LJQANCHMSA-N 0 1 324.468 3.342 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(CC(C)C)CC(C)C)CC1 ZINC001508228179 1186492428 /nfs/dbraw/zinc/49/24/28/1186492428.db2.gz CWDAKTBPOGFZRR-UHFFFAOYSA-N 0 1 318.505 3.466 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CC2(C(F)(F)F)CC2)c1 ZINC001515144125 1186745965 /nfs/dbraw/zinc/74/59/65/1186745965.db2.gz AXDWNYPLKMERCW-LBPRGKRZSA-N 0 1 324.302 3.375 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccco2)n1)c1cccc(F)n1 ZINC001516711516 1186790035 /nfs/dbraw/zinc/79/00/35/1186790035.db2.gz WDVILMZOBDLYLC-SECBINFHSA-N 0 1 313.313 3.427 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(-c2ccco2)n1)c1cccc(F)n1 ZINC001516711516 1186790041 /nfs/dbraw/zinc/79/00/41/1186790041.db2.gz WDVILMZOBDLYLC-SECBINFHSA-N 0 1 313.313 3.427 20 30 DGEDMN CCOCc1nc(C)c(C(=O)C(C#N)c2cccc(F)n2)s1 ZINC001516819654 1186793451 /nfs/dbraw/zinc/79/34/51/1186793451.db2.gz IZBCKVULUMWOLH-SNVBAGLBSA-N 0 1 319.361 3.012 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CCCc2ccc(OC)cc21 ZINC001520035275 1186911758 /nfs/dbraw/zinc/91/17/58/1186911758.db2.gz AHYVPWLRNBVLGS-DOTOQJQBSA-N 0 1 323.396 3.208 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCc2c1cccc2C ZINC001520297118 1186931030 /nfs/dbraw/zinc/93/10/30/1186931030.db2.gz WFQAVLNPQOHCQC-UHFFFAOYSA-N 0 1 320.400 3.165 20 30 DGEDMN C#CCN1CCC[C@H](Nc2cc(C(C)C)nc(C(C)C)n2)C1 ZINC001612894031 1193463400 /nfs/dbraw/zinc/46/34/00/1193463400.db2.gz IJQKPWZYVIHAOO-HNNXBMFYSA-N 0 1 300.450 3.233 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)CC[C@@H]1C ZINC001524337218 1187235591 /nfs/dbraw/zinc/23/55/91/1187235591.db2.gz GSKBGFUZGDXCLT-OLZOCXBDSA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)Nc1ccc(-c2nnc[nH]2)cc1F ZINC001524729823 1187264343 /nfs/dbraw/zinc/26/43/43/1187264343.db2.gz MQWAZAHWHOGRNO-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)Nc1ccc(F)c(-c2nc[nH]n2)c1 ZINC001524733923 1187265491 /nfs/dbraw/zinc/26/54/91/1187265491.db2.gz CWGVBZDWFUMPCQ-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C[C@@H](OC(=O)c1ccc(CN2CCCCC2)o1)C(C)(C)C#N ZINC001525019813 1187291571 /nfs/dbraw/zinc/29/15/71/1187291571.db2.gz NZCNHTZPHKYZIO-CYBMUJFWSA-N 0 1 304.390 3.361 20 30 DGEDMN C#C[C@@H](NC(=O)c1cccc(F)c1O)c1ccc(Cl)cc1 ZINC001525039712 1187292084 /nfs/dbraw/zinc/29/20/84/1187292084.db2.gz ZUGWCPWCQUVRPU-CQSZACIVSA-N 0 1 303.720 3.289 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CSc2ccccc21 ZINC001526586841 1187376987 /nfs/dbraw/zinc/37/69/87/1187376987.db2.gz FLVHKDBUZQPGBT-WDEREUQCSA-N 0 1 317.801 3.139 20 30 DGEDMN C=C(CC)C(=O)N1CCC(c2nnc(-c3ccccc3)[nH]2)CC1 ZINC001527639271 1187440409 /nfs/dbraw/zinc/44/04/09/1187440409.db2.gz BSJNZQLSSCIDSO-UHFFFAOYSA-N 0 1 310.401 3.144 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3cc(Cl)c(F)cc3[nH]2)co1 ZINC001533658929 1187840058 /nfs/dbraw/zinc/84/00/58/1187840058.db2.gz GRYIWKRLBJGELU-UHFFFAOYSA-N 0 1 304.668 3.072 20 30 DGEDMN CCCCCCO[C@@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001534068056 1187873198 /nfs/dbraw/zinc/87/31/98/1187873198.db2.gz SLSADESDFZFACY-LBPRGKRZSA-N 0 1 314.389 3.358 20 30 DGEDMN C=CCCOCC(=O)Nc1nc2cc(Cl)cc(Cl)c2[nH]1 ZINC001534067126 1187873575 /nfs/dbraw/zinc/87/35/75/1187873575.db2.gz HPWJDLGIKVIZPL-UHFFFAOYSA-N 0 1 314.172 3.401 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cscc3Cl)[nH]c2c1 ZINC001534357747 1187893341 /nfs/dbraw/zinc/89/33/41/1187893341.db2.gz INVLQBGNWHYUAY-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](CCCC)C(C)C ZINC001566124716 1188139620 /nfs/dbraw/zinc/13/96/20/1188139620.db2.gz FUMHDHMTXYXSKT-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCCC(=O)Nc1nc2cc(Br)c(F)cc2[nH]1 ZINC001539516929 1188193016 /nfs/dbraw/zinc/19/30/16/1188193016.db2.gz GHLPFYJMCKBWLF-UHFFFAOYSA-N 0 1 324.153 3.207 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(F)c(F)c(F)c3)[nH]c2c1 ZINC001543403554 1188330877 /nfs/dbraw/zinc/33/08/77/1188330877.db2.gz BSXMGAKDEKZMRS-UHFFFAOYSA-N 0 1 316.242 3.104 20 30 DGEDMN Cc1cccc(CN2CCCC[C@@H]2C(=O)N(C)[C@H](C)CC#N)c1 ZINC001617525377 1193571982 /nfs/dbraw/zinc/57/19/82/1193571982.db2.gz JGMUKHMKBKMBDC-SJLPKXTDSA-N 0 1 313.445 3.110 20 30 DGEDMN C=CC[C@@H]1[N@H+](CC(=O)[N-]OCc2ccccc2)CCCC1(C)C ZINC001617604249 1193574898 /nfs/dbraw/zinc/57/48/98/1193574898.db2.gz PTOGGFCLULSFFA-KRWDZBQOSA-N 0 1 316.445 3.301 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)Nc2sccc2C#N)n[nH]1 ZINC001563025038 1188876926 /nfs/dbraw/zinc/87/69/26/1188876926.db2.gz YJUMXJZWIHDBMK-DTWKUNHWSA-N 0 1 318.406 3.134 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001556214685 1188990334 /nfs/dbraw/zinc/99/03/34/1188990334.db2.gz YOPVNPWUSDRLSK-CALCHBBNSA-N 0 1 316.445 3.396 20 30 DGEDMN N#CC[C@@H](C(=O)Nc1ccc(F)c(F)c1O)c1ccccc1 ZINC001556934299 1189030166 /nfs/dbraw/zinc/03/01/66/1189030166.db2.gz FHRWRHYLJYBCEE-LLVKDONJSA-N 0 1 302.280 3.306 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC001557333723 1189057892 /nfs/dbraw/zinc/05/78/92/1189057892.db2.gz DLPJGXQXRWSFAM-BZSNNMDCSA-N 0 1 312.457 3.156 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)NC(C)(C)c3cccc(C#N)c3)c2C1 ZINC001557469157 1189066246 /nfs/dbraw/zinc/06/62/46/1189066246.db2.gz ZAWCQFKYWKFVKY-LBPRGKRZSA-N 0 1 322.412 3.071 20 30 DGEDMN C=C[C@H](CC(=O)NC1CN(C[C@@H](C)CC)C1)c1ccccc1 ZINC001567818183 1189129845 /nfs/dbraw/zinc/12/98/45/1189129845.db2.gz DMNHBSJTVHXTOU-JKSUJKDBSA-N 0 1 300.446 3.193 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2cc(C#N)cs2)n[nH]1 ZINC001558570300 1189135900 /nfs/dbraw/zinc/13/59/00/1189135900.db2.gz PXGROGISRIVJMM-CYBMUJFWSA-N 0 1 300.387 3.019 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC001560204318 1189290473 /nfs/dbraw/zinc/29/04/73/1189290473.db2.gz GYTHHOUSWXBELO-UHFFFAOYSA-N 0 1 310.467 3.062 20 30 DGEDMN C=CC[C@@H](NCc1cc2c(cc1OC)OCO2)c1ccncc1 ZINC001560338767 1189314094 /nfs/dbraw/zinc/31/40/94/1189314094.db2.gz MBVGDVZPNPURST-OAHLLOKOSA-N 0 1 312.369 3.226 20 30 DGEDMN N#Cc1cc(CNC[C@@H](O)c2c(F)cccc2Cl)cs1 ZINC001560350271 1189316512 /nfs/dbraw/zinc/31/65/12/1189316512.db2.gz OFLDQQIERVAYNH-CYBMUJFWSA-N 0 1 310.781 3.235 20 30 DGEDMN N#Cc1ccc(CNCc2cccc3[nH]c(=O)oc32)cc1Cl ZINC001560452404 1189330436 /nfs/dbraw/zinc/33/04/36/1189330436.db2.gz ZNSKCNYZHPNFHZ-UHFFFAOYSA-N 0 1 313.744 3.348 20 30 DGEDMN N#Cc1ccc(CNCc2c(F)cccc2-n2cccn2)cc1 ZINC001560474859 1189332095 /nfs/dbraw/zinc/33/20/95/1189332095.db2.gz FWWDXVDPLBHSSU-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN C#CCN1CCC(NC(=O)NC2CCC(CCCC)CC2)CC1 ZINC001563733997 1189530581 /nfs/dbraw/zinc/53/05/81/1189530581.db2.gz LQMPZMIJYHWWIO-UHFFFAOYSA-N 0 1 319.493 3.132 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CC[C@@H](N[C@H](C)c2ncc(C)o2)C1 ZINC001565907583 1189702477 /nfs/dbraw/zinc/70/24/77/1189702477.db2.gz ODVGYMZZFCYULQ-OWCLPIDISA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](C)C(C)C ZINC001565973633 1189708975 /nfs/dbraw/zinc/70/89/75/1189708975.db2.gz AZTQDVGUNZDIQD-SNPRPXQTSA-N 0 1 300.874 3.248 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@H]1CN(C)C/C=C\Cl ZINC001566119557 1189737366 /nfs/dbraw/zinc/73/73/66/1189737366.db2.gz MAUOSDRMHDQFGF-CKDIWJPDSA-N 0 1 310.869 3.245 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C[C@@H]1CC=CCC1 ZINC001566481407 1189779514 /nfs/dbraw/zinc/77/95/14/1189779514.db2.gz AYZFDSYFWZUZJD-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C[C@@H](C(=O)NCCCN(C)C/C=C\Cl)c1ccccc1 ZINC001566518649 1189789218 /nfs/dbraw/zinc/78/92/18/1189789218.db2.gz IQQLCIMHUYNSKB-MRDWYFFCSA-N 0 1 306.837 3.147 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccnc(C2CC2)c1 ZINC001566556530 1189800102 /nfs/dbraw/zinc/80/01/02/1189800102.db2.gz LFPSZOITRDSYDW-UHFFFAOYSA-N 0 1 321.852 3.153 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2cnccc2C)CC1 ZINC001566609829 1189821412 /nfs/dbraw/zinc/82/14/12/1189821412.db2.gz PIHIOHSUKMBMQB-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2cccc(OCCC)c2)C1 ZINC001566658072 1189844838 /nfs/dbraw/zinc/84/48/38/1189844838.db2.gz JLEPVVDPXGCSJH-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001566664462 1189846195 /nfs/dbraw/zinc/84/61/95/1189846195.db2.gz OKKDKFCKSSVPEJ-AEFFLSMTSA-N 0 1 316.420 3.360 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001566670903 1189851061 /nfs/dbraw/zinc/85/10/61/1189851061.db2.gz HFMCUDMHMCTTFV-INIZCTEOSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001566697431 1189863624 /nfs/dbraw/zinc/86/36/24/1189863624.db2.gz YTKZEIOTPFCUPX-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN Cc1cc(C=O)c(O)c(C(=O)Nc2ccccc2O[C@@H](C)C#N)c1 ZINC001566737543 1189883488 /nfs/dbraw/zinc/88/34/88/1189883488.db2.gz IGWTYMFABQSVOR-LBPRGKRZSA-N 0 1 324.336 3.056 20 30 DGEDMN CC1(C)CC(CC(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)C1 ZINC001566772140 1189896826 /nfs/dbraw/zinc/89/68/26/1189896826.db2.gz VWCAITDMKWYYDB-IBGZPJMESA-N 0 1 324.468 3.055 20 30 DGEDMN CC1(C(=O)NC[C@@H]2CCCN(CC#Cc3ccccc3)C2)CCC1 ZINC001566874767 1189933950 /nfs/dbraw/zinc/93/39/50/1189933950.db2.gz HPPJGQNMNSQMHZ-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)Cc1ccccc1 ZINC001566929008 1189957684 /nfs/dbraw/zinc/95/76/84/1189957684.db2.gz RHNZQKLCTLUVDG-SFHVURJKSA-N 0 1 314.473 3.412 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)Cc1ccccc1 ZINC001566930249 1189959476 /nfs/dbraw/zinc/95/94/76/1189959476.db2.gz SXNJLRDTNJUNTI-SJLPKXTDSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)C[C@H](CC)C(C)C ZINC001567071303 1190020651 /nfs/dbraw/zinc/02/06/51/1190020651.db2.gz NFJDWLGTJQJETM-OLZOCXBDSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@@H](C)NCC(=C)Cl)c1ccccc1 ZINC001567080891 1190025181 /nfs/dbraw/zinc/02/51/81/1190025181.db2.gz XBNWWVHPEVEHJK-ZBFHGGJFSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001567105977 1190031736 /nfs/dbraw/zinc/03/17/36/1190031736.db2.gz HIFDBPCPMUIKTC-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC001567187441 1190056628 /nfs/dbraw/zinc/05/66/28/1190056628.db2.gz BPZPCQUZGNWHSK-KRWDZBQOSA-N 0 1 322.399 3.354 20 30 DGEDMN CC/C(C)=C/C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001567191402 1190060319 /nfs/dbraw/zinc/06/03/19/1190060319.db2.gz GXNGZAYWGIWEJC-IETCZJMGSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001567305707 1190122218 /nfs/dbraw/zinc/12/22/18/1190122218.db2.gz LIWSSZPLKOGNCN-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1coc2cc(C)c(C)cc12 ZINC001567340058 1190139229 /nfs/dbraw/zinc/13/92/29/1190139229.db2.gz DUTNPZZMVOZVJT-UHFFFAOYSA-N 0 1 312.413 3.125 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(c2cccc(F)c2)CCC1 ZINC001567376250 1190158881 /nfs/dbraw/zinc/15/88/81/1190158881.db2.gz DMGONBXIFJWRJU-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001567411094 1190177383 /nfs/dbraw/zinc/17/73/83/1190177383.db2.gz AOCVBGBNWPBQFC-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(Cc1cnccc1C)C1CC1 ZINC001567449186 1190199339 /nfs/dbraw/zinc/19/93/39/1190199339.db2.gz PRRKXVKYOSDQHI-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001567496488 1190210561 /nfs/dbraw/zinc/21/05/61/1190210561.db2.gz MVGVCVKIIKWSNM-CVEARBPZSA-N 0 1 320.864 3.227 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN[C@@H](C)c1cc(F)ccc1F ZINC001567503917 1190211692 /nfs/dbraw/zinc/21/16/92/1190211692.db2.gz PAVAPSHTHIMKRJ-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN CC(C)C(=O)NC[C@@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC001567656358 1190239012 /nfs/dbraw/zinc/23/90/12/1190239012.db2.gz NPBUVSRZICLOGY-LJQANCHMSA-N 0 1 321.424 3.161 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccc3[nH]ccc32)C1 ZINC001567689174 1190255356 /nfs/dbraw/zinc/25/53/56/1190255356.db2.gz SMLLKYJFTDCEKO-INIZCTEOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccccc2F)C1 ZINC001567693207 1190257734 /nfs/dbraw/zinc/25/77/34/1190257734.db2.gz LJTYWWNBCDLVNU-QGZVFWFLSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CCc2ccccc2)C1 ZINC001567713162 1190270448 /nfs/dbraw/zinc/27/04/48/1190270448.db2.gz XVFQXKGHMANTFQ-QGZVFWFLSA-N 0 1 320.864 3.200 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)C2CCCC2)C1 ZINC001567742919 1190282819 /nfs/dbraw/zinc/28/28/19/1190282819.db2.gz SNUFSLDLFPWAOY-GJZGRUSLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(CCC(F)(F)F)C1 ZINC001567774844 1190294543 /nfs/dbraw/zinc/29/45/43/1190294543.db2.gz HZYSQROFRBLKFF-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCN(C)C(=O)c1cc(-c2c(C)nn([C@H](C)CC)c2C)[nH]n1 ZINC001567821285 1190310071 /nfs/dbraw/zinc/31/00/71/1190310071.db2.gz KKXCCAFNYGQBMF-LLVKDONJSA-N 0 1 315.421 3.119 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001567880887 1190332445 /nfs/dbraw/zinc/33/24/45/1190332445.db2.gz SRSCOQYLHKNGBA-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(OC)c2)C1 ZINC001567895746 1190338565 /nfs/dbraw/zinc/33/85/65/1190338565.db2.gz LOBGWMYTNRSGJD-NVXWUHKLSA-N 0 1 316.445 3.303 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001567895745 1190338912 /nfs/dbraw/zinc/33/89/12/1190338912.db2.gz LOBGWMYTNRSGJD-DOTOQJQBSA-N 0 1 316.445 3.303 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CCNCc2ncc(C)o2)CC1 ZINC001568086759 1190416488 /nfs/dbraw/zinc/41/64/88/1190416488.db2.gz BBENZMGBBBGVMC-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1ccccc1C ZINC001568151877 1190436441 /nfs/dbraw/zinc/43/64/41/1190436441.db2.gz SABDQWYUUFMLIG-HZPDHXFCSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1cc(C)ccc1C ZINC001568296924 1190477774 /nfs/dbraw/zinc/47/77/74/1190477774.db2.gz LGLNNDFYFYBIGN-HNNXBMFYSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001568314356 1190486825 /nfs/dbraw/zinc/48/68/25/1190486825.db2.gz LEEPYPUIZJOZMW-IILDEKEXSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1ccccc1Cl ZINC001568315438 1190487721 /nfs/dbraw/zinc/48/77/21/1190487721.db2.gz FIADZTYGFFHCSY-GFCCVEGCSA-N 0 1 315.244 3.072 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1coc2ccc(CC)cc12 ZINC001568482945 1190539893 /nfs/dbraw/zinc/53/98/93/1190539893.db2.gz CALMGAUSNLKVHZ-UHFFFAOYSA-N 0 1 312.413 3.022 20 30 DGEDMN CN(CCN(C)C(=O)CCC1(C)CC1)Cc1ccc(C#N)cc1 ZINC001568500254 1190550366 /nfs/dbraw/zinc/55/03/66/1190550366.db2.gz HSMQVVPYEQEUFS-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2ccccc2n1 ZINC001568793201 1190589444 /nfs/dbraw/zinc/58/94/44/1190589444.db2.gz AVBADTQYBFSPAZ-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(F)F)o1 ZINC001568795231 1190589680 /nfs/dbraw/zinc/58/96/80/1190589680.db2.gz PGMITXCTBFPCQG-UHFFFAOYSA-N 0 1 306.740 3.021 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)CC1(c2ccccc2)CC1 ZINC001568860422 1190595702 /nfs/dbraw/zinc/59/57/02/1190595702.db2.gz NXWZMRZJULLZPQ-WAYWQWQTSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1ccncc1Cl ZINC001568896993 1190597457 /nfs/dbraw/zinc/59/74/57/1190597457.db2.gz AMUVAEUUROVOSG-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCc1ccc(C)cc1C ZINC001569009404 1190607656 /nfs/dbraw/zinc/60/76/56/1190607656.db2.gz GTZLSEGFGRBDPJ-OAHLLOKOSA-N 0 1 308.853 3.083 20 30 DGEDMN Cc1ccc(C(=O)N[C@H](C)CNCc2ccccc2C#N)cc1C ZINC001569033946 1190611540 /nfs/dbraw/zinc/61/15/40/1190611540.db2.gz NPDADENJPNSEFD-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1cccc(Cl)c1F ZINC001569077160 1190617629 /nfs/dbraw/zinc/61/76/29/1190617629.db2.gz JKTMULOAQDZWBT-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(CCc2ccccc2)CC1 ZINC001569102630 1190622281 /nfs/dbraw/zinc/62/22/81/1190622281.db2.gz ZCDZFEAIIBISQB-HNNXBMFYSA-N 0 1 320.864 3.246 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CC)CC1CCCCC1 ZINC001569376330 1190683094 /nfs/dbraw/zinc/68/30/94/1190683094.db2.gz IPFMMCQUEVIRSE-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1c(C)oc2ccccc21 ZINC001569387700 1190688549 /nfs/dbraw/zinc/68/85/49/1190688549.db2.gz SDTTURDXOWFEEM-OAHLLOKOSA-N 0 1 312.413 3.050 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001569401717 1190691849 /nfs/dbraw/zinc/69/18/49/1190691849.db2.gz BZOUWSHJLXGXBI-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C(F)F)nc2)C1 ZINC001569492003 1190707258 /nfs/dbraw/zinc/70/72/58/1190707258.db2.gz IIBBPBHMTAJEGI-LBPRGKRZSA-N 0 1 323.387 3.130 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(F)ccc2C)C1 ZINC001569495578 1190708152 /nfs/dbraw/zinc/70/81/52/1190708152.db2.gz HYNZIFHEWOPDEM-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001569496205 1190708269 /nfs/dbraw/zinc/70/82/69/1190708269.db2.gz FZLBFCQVZLYNNV-HIFRSBDPSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CCCCC2(F)F)C1 ZINC001569496204 1190708554 /nfs/dbraw/zinc/70/85/54/1190708554.db2.gz FZLBFCQVZLYNNV-DZGCQCFKSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2sc(C)cc2C)C1 ZINC001569504618 1190709866 /nfs/dbraw/zinc/70/98/66/1190709866.db2.gz ONSYTCHNJJDSPE-CQSZACIVSA-N 0 1 320.502 3.405 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc2ccccc2[nH]1 ZINC001569563762 1190717287 /nfs/dbraw/zinc/71/72/87/1190717287.db2.gz WAPVEHUOTSJYIH-CQSZACIVSA-N 0 1 317.820 3.115 20 30 DGEDMN C#CCN(C(=O)[C@@H](CCC)C(C)C)C1CCN(CC#CC)CC1 ZINC001569593984 1190720642 /nfs/dbraw/zinc/72/06/42/1190720642.db2.gz SLTZVGLKLGMOSI-IBGZPJMESA-N 0 1 316.489 3.008 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2ncccc2C)C1 ZINC001569647020 1190731532 /nfs/dbraw/zinc/73/15/32/1190731532.db2.gz WUFAYBCRWVWWBW-LPHOPBHVSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001569647824 1190732397 /nfs/dbraw/zinc/73/23/97/1190732397.db2.gz NLXHLSFRJFLLEV-PKOBYXMFSA-N 0 1 304.478 3.363 20 30 DGEDMN CC1(C(=O)NCC2(C)CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001569701102 1190743387 /nfs/dbraw/zinc/74/33/87/1190743387.db2.gz WYPGKRVEDJUSLE-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](C)C2CCC2)CC1 ZINC001569702072 1190743439 /nfs/dbraw/zinc/74/34/39/1190743439.db2.gz MXEQOWHPZSLSKU-CQSZACIVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2ocnc2C)C1 ZINC001569715188 1190747545 /nfs/dbraw/zinc/74/75/45/1190747545.db2.gz KVXSHCGIQSDCSV-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](C=C)c2ccccc2)C1 ZINC001569726389 1190751245 /nfs/dbraw/zinc/75/12/45/1190751245.db2.gz PGXLCPGBDUPIHP-RXVVDRJESA-N 0 1 324.468 3.198 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@]1(C)C=CCC1)c1ccccc1CC ZINC001569802717 1190755993 /nfs/dbraw/zinc/75/59/93/1190755993.db2.gz ZLWVYZPORYQBJD-CTNGQTDRSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1c(C)oc(C)c1C ZINC001570026890 1190784167 /nfs/dbraw/zinc/78/41/67/1190784167.db2.gz NHITYNLKFDKSDL-UHFFFAOYSA-N 0 1 324.424 3.200 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001570106650 1190797379 /nfs/dbraw/zinc/79/73/79/1190797379.db2.gz HTXYXKMYLHAEFH-UHFFFAOYSA-N 0 1 311.429 3.043 20 30 DGEDMN CCC(CC)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001570192527 1190814556 /nfs/dbraw/zinc/81/45/56/1190814556.db2.gz JRWMYAZHZZLVOO-UHFFFAOYSA-N 0 1 314.473 3.255 20 30 DGEDMN C=C1CC(C)(C(=O)NCc2ccc3c(c2)CN(CCCF)C3)C1 ZINC001570312377 1190838219 /nfs/dbraw/zinc/83/82/19/1190838219.db2.gz CNQRDPNYPWXIAP-UHFFFAOYSA-N 0 1 316.420 3.334 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]2CN(C)C[C@H](F)CC)CC1 ZINC001570541089 1190881686 /nfs/dbraw/zinc/88/16/86/1190881686.db2.gz XCEJACCGWCXXEL-SJORKVTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001570606692 1190900115 /nfs/dbraw/zinc/90/01/15/1190900115.db2.gz AWYLARQQBOUBBS-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(c2cccc(F)c2)CC1 ZINC001570636743 1190907581 /nfs/dbraw/zinc/90/75/81/1190907581.db2.gz MZFKKVBJDSFCRB-OLZOCXBDSA-N 0 1 324.827 3.093 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)Cc1csc(C)c1 ZINC001570730838 1190934763 /nfs/dbraw/zinc/93/47/63/1190934763.db2.gz WOBAMFDXJDWFKX-CQSZACIVSA-N 0 1 306.475 3.187 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1ocnc1C ZINC001570822547 1190958481 /nfs/dbraw/zinc/95/84/81/1190958481.db2.gz FZZWJWICDBBTKB-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2sc(C)nc2C)CC1(C)C ZINC001570891397 1190971063 /nfs/dbraw/zinc/97/10/63/1190971063.db2.gz QNTBFYNFUQNZHW-HNNXBMFYSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccoc1C1CC1 ZINC001571366520 1191003065 /nfs/dbraw/zinc/00/30/65/1191003065.db2.gz QRTMGBXTYSFFJV-OAHLLOKOSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@@H](C)n1cccc1 ZINC001571370741 1191004384 /nfs/dbraw/zinc/00/43/84/1191004384.db2.gz CBWVYAHIKQYLSX-GDBMZVCRSA-N 0 1 323.868 3.018 20 30 DGEDMN CC(C)=CC(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001571376669 1191006827 /nfs/dbraw/zinc/00/68/27/1191006827.db2.gz WGRVOMXSRRWBHS-HNNXBMFYSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(Cl)ccc1F ZINC001571395789 1191013515 /nfs/dbraw/zinc/01/35/15/1191013515.db2.gz NYUGMHFWMMUKOX-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1ccc(C)cc1C ZINC001571398639 1191013840 /nfs/dbraw/zinc/01/38/40/1191013840.db2.gz AJLYQCNFDQSTHL-INIZCTEOSA-N 0 1 322.880 3.425 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)CCCC(C)C ZINC001571407380 1191017230 /nfs/dbraw/zinc/01/72/30/1191017230.db2.gz VTOWQCBNAURENW-ZDUSSCGKSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2nc(C)cs2)[C@H]1C ZINC001571457257 1191023123 /nfs/dbraw/zinc/02/31/23/1191023123.db2.gz RKVCWQKAOKHIKO-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CC(C)(C)CC(F)F)C1 ZINC001571482669 1191027985 /nfs/dbraw/zinc/02/79/85/1191027985.db2.gz GYTJYMOGTJVRIN-VXGBXAGGSA-N 0 1 322.827 3.389 20 30 DGEDMN C=C[C@H](C(=O)N[C@]12CCC[C@H]1N(CCF)CC2)c1ccccc1 ZINC001571505078 1191034690 /nfs/dbraw/zinc/03/46/90/1191034690.db2.gz MSHNLLHNNXQJBF-SCTDSRPQSA-N 0 1 316.420 3.039 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)Cc2ccccc2)[C@@H]1C ZINC001571525371 1191043567 /nfs/dbraw/zinc/04/35/67/1191043567.db2.gz RECAFRRAEXHMTH-WMZOPIPTSA-N 0 1 314.473 3.410 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)Cc2ccccc2)[C@@H]1C ZINC001571525369 1191043986 /nfs/dbraw/zinc/04/39/86/1191043986.db2.gz RECAFRRAEXHMTH-FUHWJXTLSA-N 0 1 314.473 3.410 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1csc(CC)n1)C(C)(C)C ZINC001571620819 1191065886 /nfs/dbraw/zinc/06/58/86/1191065886.db2.gz QUBAXZZQCAMDFQ-AWEZNQCLSA-N 0 1 323.506 3.292 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1csc(CC)n1)C(C)(C)C ZINC001571620820 1191066287 /nfs/dbraw/zinc/06/62/87/1191066287.db2.gz QUBAXZZQCAMDFQ-CQSZACIVSA-N 0 1 323.506 3.292 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCCC12CC2)C(C)(C)C ZINC001571626850 1191068076 /nfs/dbraw/zinc/06/80/76/1191068076.db2.gz IYEOLOASRJZWMD-ZIAGYGMSSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCCC12CC2)C(C)(C)C ZINC001571626849 1191068086 /nfs/dbraw/zinc/06/80/86/1191068086.db2.gz IYEOLOASRJZWMD-UONOGXRCSA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)/C=C/C(C)(C)C)c(F)c1 ZINC001571702487 1191086437 /nfs/dbraw/zinc/08/64/37/1191086437.db2.gz WVZJDZIQFRDZLL-MDZDMXLPSA-N 0 1 316.420 3.157 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(Oc2cccc(CC)c2)nc1 ZINC001574970656 1191460746 /nfs/dbraw/zinc/46/07/46/1191460746.db2.gz XNHDGOJSXWLMRB-KRWDZBQOSA-N 0 1 323.396 3.116 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(N2CCCC[C@@H]2C)cc1C ZINC001574970453 1191461173 /nfs/dbraw/zinc/46/11/73/1191461173.db2.gz WDNCVHQIKRKVAP-DOTOQJQBSA-N 0 1 313.445 3.053 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)[C@H]1C[C@H](C)Sc2sccc21 ZINC001575118931 1191466937 /nfs/dbraw/zinc/46/69/37/1191466937.db2.gz UCIIKGSJYXSRBD-FZMZJTMJSA-N 0 1 318.471 3.317 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC001575117936 1191467291 /nfs/dbraw/zinc/46/72/91/1191467291.db2.gz HSDCUAKVJYCVHH-OALUTQOASA-N 0 1 308.429 3.140 20 30 DGEDMN CCCOc1ccc(CNCC2(C#N)CCOCC2)c(C)c1 ZINC001621102187 1193759652 /nfs/dbraw/zinc/75/96/52/1193759652.db2.gz BNOSKMPNOPESQZ-UHFFFAOYSA-N 0 1 302.418 3.194 20 30 DGEDMN C=CC[C@H]1CCCN([C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC001620214859 1193765381 /nfs/dbraw/zinc/76/53/81/1193765381.db2.gz NPPDUAGAKXSBPL-RDJZCZTQSA-N 0 1 314.429 3.089 20 30 DGEDMN CN(C)c1cc(F)c(CNCc2cccc(C#N)c2)cc1F ZINC001620714783 1193794550 /nfs/dbraw/zinc/79/45/50/1193794550.db2.gz OOHOEUYPAGLCDQ-UHFFFAOYSA-N 0 1 301.340 3.192 20 30 DGEDMN C=CCCCCCN(C)Cc1ccc(O)c(OC)c1[N+](=O)[O-] ZINC001621175321 1193813321 /nfs/dbraw/zinc/81/33/21/1193813321.db2.gz WNKUANUTOAOGAG-UHFFFAOYSA-N 0 1 308.378 3.487 20 30 DGEDMN C[C@@H]1CCN(Cc2csc(C#N)c2)C[C@@H]1C(=O)OC(C)(C)C ZINC001621409527 1193839610 /nfs/dbraw/zinc/83/96/10/1193839610.db2.gz ZEOFBCJNHYAMLD-DOMZBBRYSA-N 0 1 320.458 3.419 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cnc4ccsc4c3)[nH]c2c1 ZINC001623604978 1193941244 /nfs/dbraw/zinc/94/12/44/1193941244.db2.gz PAFCHYRCNIOCKB-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN C=C[C@@H](C(=O)Nc1cnn(-c2ccncc2)c1)c1ccccc1 ZINC001626747314 1194093351 /nfs/dbraw/zinc/09/33/51/1194093351.db2.gz RJOJBPIKFPMKKC-QGZVFWFLSA-N 0 1 304.353 3.176 20 30 DGEDMN CCCCCCCCC(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC001627182972 1194115406 /nfs/dbraw/zinc/11/54/06/1194115406.db2.gz NLFOVWYJKCKBBQ-UHFFFAOYSA-N 0 1 318.421 3.259 20 30 DGEDMN N#Cc1c[nH]nc1NC(=O)c1cc(Oc2ccccc2)ccc1O ZINC001627170687 1194115557 /nfs/dbraw/zinc/11/55/57/1194115557.db2.gz LSMBLJLPJHNTJO-UHFFFAOYSA-N 0 1 320.308 3.032 20 30 DGEDMN N#CCCc1ccc(NC(=O)NCc2ccc3cncn3c2)cc1 ZINC001627517644 1194132459 /nfs/dbraw/zinc/13/24/59/1194132459.db2.gz QYYMYIJQDGXVKC-UHFFFAOYSA-N 0 1 319.368 3.112 20 30 DGEDMN C=C[C@@H](C(=O)NCc1n[nH]c(-c2ccccc2)n1)c1ccccc1 ZINC001627764895 1194143832 /nfs/dbraw/zinc/14/38/32/1194143832.db2.gz IPPSTBIXUXNTLE-MRXNPFEDSA-N 0 1 318.380 3.058 20 30 DGEDMN C=C[C@@H](C(=O)NCc1nc(-c2ccccc2)n[nH]1)c1ccccc1 ZINC001627764895 1194143835 /nfs/dbraw/zinc/14/38/35/1194143835.db2.gz IPPSTBIXUXNTLE-MRXNPFEDSA-N 0 1 318.380 3.058 20 30 DGEDMN C[C@H](C#N)N(C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)C1CC1 ZINC001628756185 1194194679 /nfs/dbraw/zinc/19/46/79/1194194679.db2.gz IXRHSKFLKGTOKI-SNVBAGLBSA-N 0 1 314.776 3.247 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@](C)(C#N)C3CCCCC3)c2C1 ZINC001629385384 1194230435 /nfs/dbraw/zinc/23/04/35/1194230435.db2.gz UGTLTSSAFFVBTK-KZULUSFZSA-N 0 1 314.433 3.127 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001630276069 1194278197 /nfs/dbraw/zinc/27/81/97/1194278197.db2.gz GMXORMCCSAVABC-LLVKDONJSA-N 0 1 316.792 3.352 20 30 DGEDMN C=C[C@](C)(O)CC(=O)Nc1ccc2nc(CCCC)[nH]c2c1 ZINC001635455355 1194553161 /nfs/dbraw/zinc/55/31/61/1194553161.db2.gz IFGPTPFDYJFZHD-KRWDZBQOSA-N 0 1 301.390 3.171 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(C)C[C@H]1CC(C)C)c1ccccc1 ZINC001635697115 1194566526 /nfs/dbraw/zinc/56/65/26/1194566526.db2.gz IPLBVFYKPBXDPO-QZTJIDSGSA-N 0 1 300.446 3.145 20 30 DGEDMN C#Cc1ccc(NC(=O)c2ccc(-c3nnc[nH]3)cc2)c(Cl)c1 ZINC001636512576 1194617318 /nfs/dbraw/zinc/61/73/18/1194617318.db2.gz LKCBECSLDIMZTP-UHFFFAOYSA-N 0 1 322.755 3.359 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2ccccc2OCC)[nH]n1)C1CC1 ZINC001637243584 1194659525 /nfs/dbraw/zinc/65/95/25/1194659525.db2.gz CFTBGZFJBBOSIU-UHFFFAOYSA-N 0 1 311.385 3.266 20 30 DGEDMN CCc1ccsc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001639135455 1194752449 /nfs/dbraw/zinc/75/24/49/1194752449.db2.gz JKULQVNRGZACAA-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1[nH]nc2ccccc21 ZINC001639667281 1194776021 /nfs/dbraw/zinc/77/60/21/1194776021.db2.gz DXEUNJUSYANOIH-LBPRGKRZSA-N 0 1 304.353 3.268 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(Cc2ccccc2)[C@H](C(C)C)C1 ZINC001641478892 1194856511 /nfs/dbraw/zinc/85/65/11/1194856511.db2.gz RPOUYFDXTLTKSO-IBGZPJMESA-N 0 1 312.457 3.015 20 30 DGEDMN N#CCCCC(=O)Nc1ccc2nc(-c3ccncc3)[nH]c2c1 ZINC001641842825 1194874542 /nfs/dbraw/zinc/87/45/42/1194874542.db2.gz ASHYUCUYGWOXBX-UHFFFAOYSA-N 0 1 305.341 3.257 20 30 DGEDMN CC(C)(C)N1CC[C@H]1C(=O)N(O)CCCCc1ccccc1 ZINC001641865242 1194876141 /nfs/dbraw/zinc/87/61/41/1194876141.db2.gz YBNONXAAQHDBBF-INIZCTEOSA-N 0 1 304.434 3.100 20 30 DGEDMN CCC(CC)(CC)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641929794 1194879641 /nfs/dbraw/zinc/87/96/41/1194879641.db2.gz HNVFHAGXFRLGMF-UHFFFAOYSA-N 0 1 311.389 3.498 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C1CN(CCC2CC2)C1)c1ccccc1 ZINC001671201849 1194886143 /nfs/dbraw/zinc/88/61/43/1194886143.db2.gz HTGXPROKQSYYRL-QGZVFWFLSA-N 0 1 312.457 3.289 20 30 DGEDMN C[C@H](CN1CCCCC1)Nc1ncc(C#N)cc1Br ZINC001642052686 1194886167 /nfs/dbraw/zinc/88/61/67/1194886167.db2.gz JDOAXJLZLVXYNC-LLVKDONJSA-N 0 1 323.238 3.002 20 30 DGEDMN C[C@H]1CCCN(CCNc2c(Br)cccc2C#N)C1 ZINC001642068758 1194886663 /nfs/dbraw/zinc/88/66/63/1194886663.db2.gz MORNCCWMCAEBAJ-LBPRGKRZSA-N 0 1 322.250 3.465 20 30 DGEDMN C[C@@H]1CCCN(CCNc2c(Br)cccc2C#N)C1 ZINC001642068757 1194886795 /nfs/dbraw/zinc/88/67/95/1194886795.db2.gz MORNCCWMCAEBAJ-GFCCVEGCSA-N 0 1 322.250 3.465 20 30 DGEDMN C#CCCCC(=O)NC1(C)CCN(Cc2ccc(F)cc2)CC1 ZINC001671412079 1194895080 /nfs/dbraw/zinc/89/50/80/1194895080.db2.gz KXXCVMPRGRYYRM-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN N#CCc1ccccc1CN1CCN(Cc2ccccc2)CC1 ZINC000057660989 1194907895 /nfs/dbraw/zinc/90/78/95/1194907895.db2.gz KRSKRXZOKHHACJ-UHFFFAOYSA-N 0 1 305.425 3.070 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)[C@H]2CCCN2Cc2ccccc2)c1 ZINC001642592269 1194921689 /nfs/dbraw/zinc/92/16/89/1194921689.db2.gz DTFLATVWOZSXSG-LJQANCHMSA-N 0 1 319.408 3.470 20 30 DGEDMN CC(C)[C@H](Cc1ccccc1)C(=O)NOc1cccc(C#N)c1 ZINC001642624770 1194923954 /nfs/dbraw/zinc/92/39/54/1194923954.db2.gz AVVCPRPTFHXQIM-SFHVURJKSA-N 0 1 308.381 3.483 20 30 DGEDMN N#Cc1cnc2c(Cl)cccc2c1N1CCCc2[nH]ncc2C1 ZINC001642997045 1194959358 /nfs/dbraw/zinc/95/93/58/1194959358.db2.gz KSRAKSMUUVASTR-UHFFFAOYSA-N 0 1 323.787 3.436 20 30 DGEDMN COc1ccc(NC(=O)c2cccc3nc(C)[nH]c32)cc1C#N ZINC001643953782 1195067468 /nfs/dbraw/zinc/06/74/68/1195067468.db2.gz YZMMMGIJKFFQMH-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN CCC#C[C@H](C)N1CCC[C@H](c2ncc(Br)cn2)C1 ZINC001644496824 1195112844 /nfs/dbraw/zinc/11/28/44/1195112844.db2.gz PHKVLAPFBWYZIX-STQMWFEESA-N 0 1 322.250 3.220 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1c(F)ccc(O)c1F ZINC001644880685 1195139527 /nfs/dbraw/zinc/13/95/27/1195139527.db2.gz XMLPVFLOEMKDDH-UHFFFAOYSA-N 0 1 306.243 3.242 20 30 DGEDMN COc1cccc2c(C(=O)Nc3ccc(CCC#N)cc3)n[nH]c21 ZINC001644954673 1195145887 /nfs/dbraw/zinc/14/58/87/1195145887.db2.gz CDAQYQAEVJDTSD-UHFFFAOYSA-N 0 1 320.352 3.280 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2cc(F)ccc2F)CC1 ZINC001646149045 1195215827 /nfs/dbraw/zinc/21/58/27/1195215827.db2.gz WCXVWKCVQQPHQO-KRWDZBQOSA-N 0 1 323.383 3.337 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CC[C@H](C3CCCCC3)C2)c1 ZINC001647128247 1195245386 /nfs/dbraw/zinc/24/53/86/1195245386.db2.gz JYDOMSGSHBORKF-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN C=CC[C@H]1CCN1C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC001648409293 1195297840 /nfs/dbraw/zinc/29/78/40/1195297840.db2.gz FFNXQTVPBIBAEP-LBPRGKRZSA-N 0 1 306.369 3.349 20 30 DGEDMN CN(C)CC#CCNC(=O)CC1CCC(c2ccccc2)CC1 ZINC001648651909 1195317907 /nfs/dbraw/zinc/31/79/07/1195317907.db2.gz OSCCOWNWWMECLG-UHFFFAOYSA-N 0 1 312.457 3.032 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1coc(C2CC2)n1 ZINC001649457596 1195344134 /nfs/dbraw/zinc/34/41/34/1195344134.db2.gz XMFFWKBWISSZSM-CYBMUJFWSA-N 0 1 319.449 3.139 20 30 DGEDMN COc1cc(CNc2ccncc2C(F)(F)F)ccc1C#N ZINC001651041567 1195407738 /nfs/dbraw/zinc/40/77/38/1195407738.db2.gz BJBBJUHPXDIYSZ-UHFFFAOYSA-N 0 1 307.275 3.015 20 30 DGEDMN C=CCC[C@@H](CO)NCc1c(F)cccc1OC(F)(F)F ZINC001651074354 1195411118 /nfs/dbraw/zinc/41/11/18/1195411118.db2.gz YQCOWRCHYUGWFG-JTQLQIEISA-N 0 1 307.287 3.141 20 30 DGEDMN CN(CC(=O)N(O)CCCCc1ccccc1)CC(C)(C)C ZINC001651227445 1195428568 /nfs/dbraw/zinc/42/85/68/1195428568.db2.gz MMLFIVSKSKAYJB-UHFFFAOYSA-N 0 1 306.450 3.205 20 30 DGEDMN N#CCC[C@H](Nc1nc(Cl)nc2[nH]cnc21)c1ccccc1 ZINC001651664863 1195493573 /nfs/dbraw/zinc/49/35/73/1195493573.db2.gz SHEVCUWISGQKAM-NSHDSACASA-N 0 1 312.764 3.463 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2C[C@]3(CCOC3)Oc3ccccc32)cc1 ZINC001651709915 1195499978 /nfs/dbraw/zinc/49/99/78/1195499978.db2.gz UIFPOMGAQYADQL-QUCCMNQESA-N 0 1 320.392 3.331 20 30 DGEDMN C=CC[C@H](Sc1nc(C=Cc2ccccc2)n[nH]1)C(=O)OC ZINC001652588680 1195622670 /nfs/dbraw/zinc/62/26/70/1195622670.db2.gz ALAHVIQEFDZPBG-OEYXZAGESA-N 0 1 315.398 3.185 20 30 DGEDMN C[C@@H](CSc1ccc(C#N)cc1Cl)CN1CCOCC1 ZINC001652723013 1195640674 /nfs/dbraw/zinc/64/06/74/1195640674.db2.gz AKHYJQJSEMILNL-GFCCVEGCSA-N 0 1 310.850 3.272 20 30 DGEDMN C=C(C)CN(C)Cc1c2cc(F)ccc2n(C)c1C(=O)OC ZINC001652852237 1195661139 /nfs/dbraw/zinc/66/11/39/1195661139.db2.gz MVLBHHATUNBVFJ-UHFFFAOYSA-N 0 1 304.365 3.112 20 30 DGEDMN C#CC1(O)CCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC001652874953 1195664739 /nfs/dbraw/zinc/66/47/39/1195664739.db2.gz SHVOQUPAMWIIPB-UHFFFAOYSA-N 0 1 312.240 3.386 20 30 DGEDMN C=CCO[C@H]1CCN([C@@H](CC)C(=O)N(C)c2ccc(C)cc2)C1 ZINC001652993493 1195678789 /nfs/dbraw/zinc/67/87/89/1195678789.db2.gz GCRWCBOUSHPTGN-ROUUACIJSA-N 0 1 316.445 3.013 20 30 DGEDMN C=CCN(CCCC(=O)NCC(C)C)Cc1ccccc1F ZINC001653202533 1195697928 /nfs/dbraw/zinc/69/79/28/1195697928.db2.gz OSSOJHKYQRSDID-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)CCN(CCC#N)CC1CC1 ZINC001653501863 1195728909 /nfs/dbraw/zinc/72/89/09/1195728909.db2.gz ZHQGNJSIRDGZRC-UHFFFAOYSA-N 0 1 303.381 3.088 20 30 DGEDMN C#CCOCCN(C)Cc1ccccc1OCc1ccccc1 ZINC001654035918 1195769794 /nfs/dbraw/zinc/76/97/94/1195769794.db2.gz MWZSDXCQYQLTQD-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN CCC[C@H](C)CCCN1CCN(c2cnccc2C#N)CC1 ZINC001654591672 1195820636 /nfs/dbraw/zinc/82/06/36/1195820636.db2.gz QPPMGTVUGXCPNQ-INIZCTEOSA-N 0 1 300.450 3.292 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H](C3CCC3)CC2)cc1 ZINC001654598568 1195822081 /nfs/dbraw/zinc/82/20/81/1195822081.db2.gz XGSNKXDOROOEHQ-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)c3cccc(Cl)c3)n2)c1 ZINC001654861993 1195849056 /nfs/dbraw/zinc/84/90/56/1195849056.db2.gz INQVVOJUBRQPBP-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC001654998660 1195863172 /nfs/dbraw/zinc/86/31/72/1195863172.db2.gz FDVMAMOXSJHDEA-CQSZACIVSA-N 0 1 317.389 3.272 20 30 DGEDMN C[C@@H](CCC#N)N1CCC[C@@H](c2ncc(Br)cn2)C1 ZINC001655580853 1195935389 /nfs/dbraw/zinc/93/53/89/1195935389.db2.gz IOFXWIMHBSURNB-NWDGAFQWSA-N 0 1 323.238 3.111 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001656112793 1196004937 /nfs/dbraw/zinc/00/49/37/1196004937.db2.gz KJRZEUGTNNWUSQ-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C#Cc1ccc(CNCc2cncc(Br)c2C)cc1 ZINC001656277433 1196026603 /nfs/dbraw/zinc/02/66/03/1196026603.db2.gz MQAGBFBCANCUMW-UHFFFAOYSA-N 0 1 315.214 3.424 20 30 DGEDMN N#Cc1ccccc1OCCN1CCO[C@H](c2ccccc2)CC1 ZINC001656523557 1196047584 /nfs/dbraw/zinc/04/75/84/1196047584.db2.gz MRYNWLBVNURXRV-FQEVSTJZSA-N 0 1 322.408 3.401 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](C)c1ccccc1 ZINC001657079576 1196101826 /nfs/dbraw/zinc/10/18/26/1196101826.db2.gz KGVUEVJVRNMZJK-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2ccc(F)cc2)CC1 ZINC001657135675 1196109860 /nfs/dbraw/zinc/10/98/60/1196109860.db2.gz SDQMSPSTEYMNJF-KRWDZBQOSA-N 0 1 305.393 3.198 20 30 DGEDMN C#CCCCCCCN1CCN(c2ccc(C#N)cc2F)CC1 ZINC001657154654 1196111944 /nfs/dbraw/zinc/11/19/44/1196111944.db2.gz UBTQZMYQEJWHEG-UHFFFAOYSA-N 0 1 313.420 3.403 20 30 DGEDMN CCn1nncc1CNCc1cccc(-c2ccc(C#N)s2)c1 ZINC001657260363 1196124508 /nfs/dbraw/zinc/12/45/08/1196124508.db2.gz WNCYHADKHWLEKH-UHFFFAOYSA-N 0 1 323.425 3.188 20 30 DGEDMN N#CCc1ccc(CNCc2cnn(-c3ccccc3)c2)cc1 ZINC001658187335 1196222054 /nfs/dbraw/zinc/22/20/54/1196222054.db2.gz NIDQFONCFRBSLJ-UHFFFAOYSA-N 0 1 302.381 3.228 20 30 DGEDMN Cc1cc(CN(C)CCOCc2ccc(C#N)cc2)cnc1F ZINC001658233683 1196228976 /nfs/dbraw/zinc/22/89/76/1196228976.db2.gz TYBOCHWSPWSCIT-UHFFFAOYSA-N 0 1 313.376 3.049 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@@H](NCc1nc3cc(F)ccc3o1)C2 ZINC001658472062 1196262120 /nfs/dbraw/zinc/26/21/20/1196262120.db2.gz FZYLEFFCSDNJBS-HNNXBMFYSA-N 0 1 307.328 3.096 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(C#N)c(C)c3)CC2)c1 ZINC001658662616 1196285179 /nfs/dbraw/zinc/28/51/79/1196285179.db2.gz NBDDNZHIZVCBGF-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H]1CCC[C@@H]1NCc1cccc(F)c1C#N ZINC001659054327 1196338404 /nfs/dbraw/zinc/33/84/04/1196338404.db2.gz NGANRDJUZUYCJD-CJNGLKHVSA-N 0 1 318.392 3.297 20 30 DGEDMN C#CCCCCCCN1CCC12CN(C(=O)OC(C)(C)C)C2 ZINC001659106863 1196344933 /nfs/dbraw/zinc/34/49/33/1196344933.db2.gz NEFQSUSUOFUFSB-UHFFFAOYSA-N 0 1 306.450 3.265 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC001659620909 1196399558 /nfs/dbraw/zinc/39/95/58/1196399558.db2.gz OETGTOKZAGKPKM-AWEZNQCLSA-N 0 1 300.381 3.195 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1cnc(C)o1 ZINC001660448470 1196485208 /nfs/dbraw/zinc/48/52/08/1196485208.db2.gz YSSNKKSOLUINIM-YOEHRIQHSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001661152460 1196573535 /nfs/dbraw/zinc/57/35/35/1196573535.db2.gz QQCOHOMUXFBZJE-FFXRNRBCSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1ccsc1)C1CC1 ZINC001661166872 1196574727 /nfs/dbraw/zinc/57/47/27/1196574727.db2.gz AZBCVUPUJFETRK-RISCZKNCSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)CC(F)F ZINC001661257985 1196589537 /nfs/dbraw/zinc/58/95/37/1196589537.db2.gz RLPCACXPXGPOPN-OKILXGFUSA-N 0 1 314.420 3.309 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001661487033 1196611327 /nfs/dbraw/zinc/61/13/27/1196611327.db2.gz MJALALGDPOTMCU-ZTFGCOKTSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@H]1CCCN(CCF)C1)c1ccccc1 ZINC001661752335 1196641110 /nfs/dbraw/zinc/64/11/10/1196641110.db2.gz JDJTZQNEWFMTQE-SJORKVTESA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1[C@@H]1CCCN1CCF ZINC001661977323 1196669250 /nfs/dbraw/zinc/66/92/50/1196669250.db2.gz KYZFAZJBNDISPV-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)/C=C(/C)C2CC2)C1 ZINC001661982278 1196669801 /nfs/dbraw/zinc/66/98/01/1196669801.db2.gz FXGZUBWJMAQSFL-NNRPAZIYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C(C)=C2CCC2)C1 ZINC001661990990 1196671703 /nfs/dbraw/zinc/67/17/03/1196671703.db2.gz ZGGCDDLKAJXGDI-ZBFHGGJFSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(C)CC=CC2)C1 ZINC001661995386 1196672972 /nfs/dbraw/zinc/67/29/72/1196672972.db2.gz GILJTBCEFRKYKB-LSDHHAIUSA-N 0 1 310.869 3.312 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001662207844 1196695225 /nfs/dbraw/zinc/69/52/25/1196695225.db2.gz QYYAZBATSKLKLH-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001662233964 1196697455 /nfs/dbraw/zinc/69/74/55/1196697455.db2.gz FOXPTOQDLOKXFG-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001662383524 1196714342 /nfs/dbraw/zinc/71/43/42/1196714342.db2.gz PDADWBGCDBYYPC-STQMWFEESA-N 0 1 320.820 3.383 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(OCC(C)C)c1 ZINC001662409942 1196718205 /nfs/dbraw/zinc/71/82/05/1196718205.db2.gz IIFRLQDTZCNUPL-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cccc(F)c2Cl)C1 ZINC001662470424 1196723742 /nfs/dbraw/zinc/72/37/42/1196723742.db2.gz WEZKNABEVMAZED-ZDUSSCGKSA-N 0 1 310.800 3.136 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001662863308 1196763685 /nfs/dbraw/zinc/76/36/85/1196763685.db2.gz UPDPKULXHVKVDF-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC/C(Cl)=C/Cl ZINC001662959923 1196776470 /nfs/dbraw/zinc/77/64/70/1196776470.db2.gz QFNHXDUVSMQCKI-HQQGHWSLSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2ccc(F)cc2C)C1 ZINC001663272216 1196825287 /nfs/dbraw/zinc/82/52/87/1196825287.db2.gz VDOKTWCNTRPMKT-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001663519501 1196893169 /nfs/dbraw/zinc/89/31/69/1196893169.db2.gz SLQWVQLLAQENQV-IRXDYDNUSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2ccc(Cl)c(F)c2)C1 ZINC001663572611 1196906657 /nfs/dbraw/zinc/90/66/57/1196906657.db2.gz QTNVIFZQGWSMBV-AWEZNQCLSA-N 0 1 324.827 3.383 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1cccc(C2CCCCC2)c1 ZINC001663659256 1196923057 /nfs/dbraw/zinc/92/30/57/1196923057.db2.gz DSWKISIZYUVXAN-UHFFFAOYSA-N 0 1 313.445 3.421 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C1CC2(CC2)C1 ZINC001663679472 1196927301 /nfs/dbraw/zinc/92/73/01/1196927301.db2.gz IYFFPAJLDYLQKO-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN CN(CCNCc1ccccc1C#N)C(=O)CC1(C)CCCC1 ZINC001663915486 1196956264 /nfs/dbraw/zinc/95/62/64/1196956264.db2.gz PZXIAMNWIBLEMZ-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001663903198 1196956873 /nfs/dbraw/zinc/95/68/73/1196956873.db2.gz IULIWJBDMKCFMT-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)CC1CCCCC1 ZINC001664226690 1196981814 /nfs/dbraw/zinc/98/18/14/1196981814.db2.gz CTDVZDQPBXPAEW-ZDUSSCGKSA-N 0 1 300.874 3.393 20 30 DGEDMN COC(=O)c1cc(CNCc2ccc(C#N)c(Cl)c2)cs1 ZINC001664435740 1196998957 /nfs/dbraw/zinc/99/89/57/1196998957.db2.gz USKBQHNIGUYJFF-UHFFFAOYSA-N 0 1 320.801 3.350 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCC1CCCC1)c1ccccc1 ZINC001664567941 1197015657 /nfs/dbraw/zinc/01/56/57/1197015657.db2.gz PTGMOGPILYTTKX-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)c2cccc3ccccc32)C1 ZINC001664687357 1197036760 /nfs/dbraw/zinc/03/67/60/1197036760.db2.gz SBEHJAHCQQGFFL-SFHVURJKSA-N 0 1 320.436 3.400 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C2CC(C(C)(C)C)C2)C1 ZINC001664687181 1197037108 /nfs/dbraw/zinc/03/71/08/1197037108.db2.gz QHWXIYYYYZYHMJ-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cccc(C)c2)C1 ZINC001664785632 1197055926 /nfs/dbraw/zinc/05/59/26/1197055926.db2.gz BVAKAWLBHVBPOG-HNNXBMFYSA-N 0 1 306.837 3.141 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CCCC2(C)C)C1 ZINC001664831015 1197065880 /nfs/dbraw/zinc/06/58/80/1197065880.db2.gz YPASTPONYDTRJV-CABCVRRESA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001664864474 1197071708 /nfs/dbraw/zinc/07/17/08/1197071708.db2.gz YBVNYHGMDJQHEF-UHFFFAOYSA-N 0 1 324.827 3.362 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(C/C=C\c3cccc(F)c3)C2)nc1 ZINC001664867565 1197072862 /nfs/dbraw/zinc/07/28/62/1197072862.db2.gz FDKSGABJIVMRKK-HCABJEFNSA-N 0 1 323.371 3.259 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001665085233 1197095504 /nfs/dbraw/zinc/09/55/04/1197095504.db2.gz QBWBNJBWRMEBOD-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3scnc32)C1 ZINC001665134388 1197100844 /nfs/dbraw/zinc/10/08/44/1197100844.db2.gz LSWOTRUABQGTAR-LBPRGKRZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001665196606 1197108145 /nfs/dbraw/zinc/10/81/45/1197108145.db2.gz XCEMDLKALPFLBQ-HZPDHXFCSA-N 0 1 300.446 3.254 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001665225471 1197111570 /nfs/dbraw/zinc/11/15/70/1197111570.db2.gz XMGAMPMTDNVGSU-INIZCTEOSA-N 0 1 300.446 3.381 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001665284561 1197118366 /nfs/dbraw/zinc/11/83/66/1197118366.db2.gz ZLTIVBQSGDHNIL-AWEZNQCLSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CC[C@H]1CCN(Cc2cn(-c3ccc(F)cc3Cl)nn2)C1 ZINC001665340213 1197125614 /nfs/dbraw/zinc/12/56/14/1197125614.db2.gz HEVHWHHHWCPFCM-LBPRGKRZSA-N 0 1 320.799 3.458 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cocc1C ZINC001665405658 1197132723 /nfs/dbraw/zinc/13/27/23/1197132723.db2.gz OEUPHQMFMKFNPZ-HOTGVXAUSA-N 0 1 304.434 3.457 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001665659544 1197166776 /nfs/dbraw/zinc/16/67/76/1197166776.db2.gz NQBFQJQVLWARAV-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001665670343 1197168203 /nfs/dbraw/zinc/16/82/03/1197168203.db2.gz GCBSWMUXIYFKRA-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)[C@H]1CCN(Cc2cccnc2)C1 ZINC001665725903 1197181483 /nfs/dbraw/zinc/18/14/83/1197181483.db2.gz KXXXWZMDWGVKJW-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001665732816 1197182284 /nfs/dbraw/zinc/18/22/84/1197182284.db2.gz YKIXTKLRSBBCJZ-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001665797788 1197199072 /nfs/dbraw/zinc/19/90/72/1197199072.db2.gz BZPHSGAEZPPXRQ-MRXNPFEDSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(Cc2ccccc2)CC1 ZINC001665798482 1197199094 /nfs/dbraw/zinc/19/90/94/1197199094.db2.gz KTAQFYQPJYNEJW-MRXNPFEDSA-N 0 1 320.864 3.246 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](CC)NCc1c(F)cccc1F ZINC001665833282 1197203921 /nfs/dbraw/zinc/20/39/21/1197203921.db2.gz GJMAXMBKVQSAAE-AWEZNQCLSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H](CC)NCC(=C)Cl)c1ccccc1 ZINC001665888467 1197212067 /nfs/dbraw/zinc/21/20/67/1197212067.db2.gz SQCCMLNYVQZZPK-CVEARBPZSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2ncc(C)s2)CCCC1 ZINC001665925564 1197217166 /nfs/dbraw/zinc/21/71/66/1197217166.db2.gz MAVFWSCAAJYKJH-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2nccs2)CCCC[C@@H]1C ZINC001666051165 1197231839 /nfs/dbraw/zinc/23/18/39/1197231839.db2.gz WJBSFPGDMJOZMC-WMLDXEAASA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2(CCF)CC2)cc1 ZINC001666337342 1197253160 /nfs/dbraw/zinc/25/31/60/1197253160.db2.gz AOSZENTXRVGPNK-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CCC2(F)F)CC1 ZINC001666368305 1197256225 /nfs/dbraw/zinc/25/62/25/1197256225.db2.gz ULXKQHHWKFZBGT-ZDUSSCGKSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@]2(C)C=CCC2)CC1 ZINC001666374619 1197256666 /nfs/dbraw/zinc/25/66/66/1197256666.db2.gz GVFZSIMDPKWTNK-QGZVFWFLSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)CNCc2cscn2)CCCC1 ZINC001666678553 1197279268 /nfs/dbraw/zinc/27/92/68/1197279268.db2.gz RIXKRFCLAPYELW-AWEZNQCLSA-N 0 1 321.490 3.122 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)CCCC2CCCC2)C1 ZINC001666734337 1197280708 /nfs/dbraw/zinc/28/07/08/1197280708.db2.gz HCFQSVVBQBRFOJ-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CCCC2CCCC2)C1 ZINC001666734337 1197280709 /nfs/dbraw/zinc/28/07/09/1197280709.db2.gz HCFQSVVBQBRFOJ-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl ZINC001667003640 1197308283 /nfs/dbraw/zinc/30/82/83/1197308283.db2.gz ZTHRVXSAFFADCE-LCFDYFRESA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(C)CCC(F)(F)CC1 ZINC001668473896 1197416077 /nfs/dbraw/zinc/41/60/77/1197416077.db2.gz VBRYVFMTLKPBMG-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@H]1CCCC[C@@H]1C ZINC001668656892 1197423671 /nfs/dbraw/zinc/42/36/71/1197423671.db2.gz PXBGNGPJDBAQPM-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1cc(F)cc(F)c1 ZINC001668843313 1197432538 /nfs/dbraw/zinc/43/25/38/1197432538.db2.gz JMNPMZAYQSUBBL-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCC=C(Cl)Cl ZINC001668972727 1197435678 /nfs/dbraw/zinc/43/56/78/1197435678.db2.gz DFWVMNWVMDCONT-WAYWQWQTSA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C1CCC1)C1CCC1 ZINC001669001156 1197437055 /nfs/dbraw/zinc/43/70/55/1197437055.db2.gz LVVMVFWWCPSRND-NSCUHMNNSA-N 0 1 310.869 3.217 20 30 DGEDMN C=CCC1(C(=O)NC/C=C/CNCc2ccccc2F)CCC1 ZINC001669021184 1197437618 /nfs/dbraw/zinc/43/76/18/1197437618.db2.gz RVIVFEYVDMBPFV-AATRIKPKSA-N 0 1 316.420 3.334 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)COc1cccc(C(C)C)c1 ZINC001669297236 1197447765 /nfs/dbraw/zinc/44/77/65/1197447765.db2.gz LRUPQOPSXHCTQY-CQSZACIVSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001669644333 1197467754 /nfs/dbraw/zinc/46/77/54/1197467754.db2.gz APWLJXFXMWJWCY-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@H](CC(=O)N[C@@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001669750199 1197474719 /nfs/dbraw/zinc/47/47/19/1197474719.db2.gz INODOAQNSNRNRA-LSDHHAIUSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cccc(F)c2)CC1 ZINC001671820125 1197572676 /nfs/dbraw/zinc/57/26/76/1197572676.db2.gz JZRJLXBRBAALIR-UHFFFAOYSA-N 0 1 324.827 3.410 20 30 DGEDMN C#CCN[C@H](CNC(=O)/C=C/C(C)(C)C)c1ccccc1CC ZINC001672176835 1197594849 /nfs/dbraw/zinc/59/48/49/1197594849.db2.gz OTVHPZXDWHFKCF-QFQMRYFISA-N 0 1 312.457 3.231 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CC1CCC1)C2 ZINC001672343383 1197606104 /nfs/dbraw/zinc/60/61/04/1197606104.db2.gz LOWRRLWBCUCOFY-MUJYYYPQSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCCCNC(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC001672386046 1197609168 /nfs/dbraw/zinc/60/91/68/1197609168.db2.gz RZXZSVXWGVQBOC-GOSISDBHSA-N 0 1 315.461 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001673013028 1197634684 /nfs/dbraw/zinc/63/46/84/1197634684.db2.gz JDBPKBHCPPMDBM-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CCCC#CC ZINC001673634637 1197682417 /nfs/dbraw/zinc/68/24/17/1197682417.db2.gz TVWPQUHRXGBPFC-FQEVSTJZSA-N 0 1 324.468 3.299 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CCCC#CC ZINC001673634638 1197682565 /nfs/dbraw/zinc/68/25/65/1197682565.db2.gz TVWPQUHRXGBPFC-HXUWFJFHSA-N 0 1 324.468 3.299 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CCC(C)C)C2 ZINC001673640624 1197683251 /nfs/dbraw/zinc/68/32/51/1197683251.db2.gz ZFQDZJOXXBEWFS-QGZVFWFLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@]3(CCN(CCF)C3)C2)CCCC1 ZINC001673654842 1197684819 /nfs/dbraw/zinc/68/48/19/1197684819.db2.gz BIHRHVXGNFOJGD-SFHVURJKSA-N 0 1 322.468 3.407 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC[C@@H](C)CC)cc2C1 ZINC001673769672 1197692453 /nfs/dbraw/zinc/69/24/53/1197692453.db2.gz RTGRFRXAZGXACN-INIZCTEOSA-N 0 1 312.457 3.468 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001674434746 1197772190 /nfs/dbraw/zinc/77/21/90/1197772190.db2.gz HRVDEKZRJQPHCJ-LJQANCHMSA-N 0 1 312.457 3.007 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001674457772 1197779692 /nfs/dbraw/zinc/77/96/92/1197779692.db2.gz MQSMAQGSTPXPIQ-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1Cl ZINC001674659910 1197824010 /nfs/dbraw/zinc/82/40/10/1197824010.db2.gz BBMJATOPNTVNOJ-QWHCGFSZSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1c(C)cc(C)cc1C ZINC001674722723 1197848345 /nfs/dbraw/zinc/84/83/45/1197848345.db2.gz RGTLVODECOZVGC-HOTGVXAUSA-N 0 1 322.880 3.390 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2cc[nH]c21 ZINC001674728887 1197850193 /nfs/dbraw/zinc/85/01/93/1197850193.db2.gz KHGAAYVDCMHRJC-NWDGAFQWSA-N 0 1 305.809 3.017 20 30 DGEDMN CCC(CC)CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001674748654 1197858134 /nfs/dbraw/zinc/85/81/34/1197858134.db2.gz RLNLYJWUHTUODF-LSDHHAIUSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2c(s1)CCC2 ZINC001674765924 1197865410 /nfs/dbraw/zinc/86/54/10/1197865410.db2.gz KAJJDPURXAVAOK-MNOVXSKESA-N 0 1 312.866 3.086 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)C1CC(F)(F)C1 ZINC001675219055 1198010004 /nfs/dbraw/zinc/01/00/04/1198010004.db2.gz WKVCZOAPFVGMNT-GFCCVEGCSA-N 0 1 308.800 3.049 20 30 DGEDMN O=C(CC1CC1)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001675241865 1198018009 /nfs/dbraw/zinc/01/80/09/1198018009.db2.gz SSHBCURNBDMIBC-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001675317565 1198043405 /nfs/dbraw/zinc/04/34/05/1198043405.db2.gz JDRDTXFMTGWCJK-AWEZNQCLSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(C/C=C\c2ccccc2)CC1(C)C ZINC001675441509 1198066571 /nfs/dbraw/zinc/06/65/71/1198066571.db2.gz JNONZDKQXOHGJI-ZVBPTUHVSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(F)cc1 ZINC001676194037 1198247314 /nfs/dbraw/zinc/24/73/14/1198247314.db2.gz SDOVTPOFPQNTMV-HNNXBMFYSA-N 0 1 310.800 3.018 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](CNCC(=C)Cl)C2CC2)CCC1 ZINC001676250602 1198262563 /nfs/dbraw/zinc/26/25/63/1198262563.db2.gz ZRXASNCJRILITG-OAHLLOKOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001676407341 1198315319 /nfs/dbraw/zinc/31/53/19/1198315319.db2.gz RIBFLCJHKVRNSH-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001676456038 1198325317 /nfs/dbraw/zinc/32/53/17/1198325317.db2.gz ZSKVNZSVKUHARR-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1sc(C)cc1C ZINC001676473817 1198332308 /nfs/dbraw/zinc/33/23/08/1198332308.db2.gz YHODHNNQJQXYCG-LBPRGKRZSA-N 0 1 314.882 3.096 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(c2cccc(C)c2)CC1 ZINC001676489058 1198336800 /nfs/dbraw/zinc/33/68/00/1198336800.db2.gz YGBHPVWAYOSRBN-OAHLLOKOSA-N 0 1 320.864 3.216 20 30 DGEDMN C/C=C(\C)C(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001676795751 1198392882 /nfs/dbraw/zinc/39/28/82/1198392882.db2.gz FCWMOTHCBGOVDW-QAFJMWMSSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H]1[C@H](C=C(C)C)C1(C)C)C(C)C ZINC001677204803 1198487904 /nfs/dbraw/zinc/48/79/04/1198487904.db2.gz FYGZSYYNNOIEKD-IRXDYDNUSA-N 0 1 304.478 3.027 20 30 DGEDMN CC(C)CC(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001677222650 1198492838 /nfs/dbraw/zinc/49/28/38/1198492838.db2.gz GWJOAOMBEUHDML-KRWDZBQOSA-N 0 1 315.461 3.225 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(CC)c(CC)c1)C(C)C ZINC001677222813 1198493378 /nfs/dbraw/zinc/49/33/78/1198493378.db2.gz JSTBRHFMFSKNLR-UHFFFAOYSA-N 0 1 314.473 3.227 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2ccc(C)cc2C)c(F)c1 ZINC001677648211 1198568278 /nfs/dbraw/zinc/56/82/78/1198568278.db2.gz IJXHGAAFLZIBMI-UHFFFAOYSA-N 0 1 324.399 3.095 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3sccc3C#N)[nH]c2c1 ZINC001678962801 1198745593 /nfs/dbraw/zinc/74/55/93/1198745593.db2.gz KCKUQRSRSBCOLN-UHFFFAOYSA-N 0 1 311.370 3.126 20 30 DGEDMN CCCCCCCNC(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC001684058234 1199336375 /nfs/dbraw/zinc/33/63/75/1199336375.db2.gz RQSMNUPBKMYJIY-UHFFFAOYSA-N 0 1 317.477 3.483 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccccc1F ZINC001688890704 1199946297 /nfs/dbraw/zinc/94/62/97/1199946297.db2.gz BLEALQDRCMIAQS-HUUCEWRRSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001688893892 1199946803 /nfs/dbraw/zinc/94/68/03/1199946803.db2.gz MVEKXDQVQAHYQN-RDJZCZTQSA-N 0 1 324.424 3.092 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)c1ccccc1C ZINC001688897662 1199950397 /nfs/dbraw/zinc/95/03/97/1199950397.db2.gz AJTOEHVZPHKAHK-KRWDZBQOSA-N 0 1 314.473 3.381 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001753862564 1200012061 /nfs/dbraw/zinc/01/20/61/1200012061.db2.gz DIWAXAGRWXZRIR-SFHVURJKSA-N 0 1 314.473 3.292 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]2[C@H](CCN2CC=C(Cl)Cl)C1 ZINC001753870850 1200024639 /nfs/dbraw/zinc/02/46/39/1200024639.db2.gz HILPANKCZBYSPC-CHWSQXEVSA-N 0 1 317.260 3.194 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001753963090 1200052519 /nfs/dbraw/zinc/05/25/19/1200052519.db2.gz DYQIQFZMNVUFBI-XXYUJHKVSA-N 0 1 317.408 3.038 20 30 DGEDMN O=C(NC/C=C\CNCC#Cc1ccccc1)C1=CCCCCC1 ZINC001754115627 1200108644 /nfs/dbraw/zinc/10/86/44/1200108644.db2.gz RRBWBYPWAKGBCH-HJWRWDBZSA-N 0 1 322.452 3.191 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1c(F)cccc1Cl ZINC001754227953 1200141883 /nfs/dbraw/zinc/14/18/83/1200141883.db2.gz VPFGWKPDTNSLCW-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)c1cccc(F)c1 ZINC001754241274 1200149600 /nfs/dbraw/zinc/14/96/00/1200149600.db2.gz VJSZWXZBSWHNLA-WCQYABFASA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)oc1C(F)(F)F ZINC001754243000 1200149639 /nfs/dbraw/zinc/14/96/39/1200149639.db2.gz XLGVFGWZLGPDDC-MRVPVSSYSA-N 0 1 324.730 3.067 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C(C)(C)C)oc1C ZINC001754284885 1200172161 /nfs/dbraw/zinc/17/21/61/1200172161.db2.gz YUQZMNRAGVBWFK-NSHDSACASA-N 0 1 312.841 3.346 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN(C)Cc2ccc(Cl)nc2)C1 ZINC001754323360 1200213270 /nfs/dbraw/zinc/21/32/70/1200213270.db2.gz PNHSQEFPEAQVTI-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CC(C)(C)C1CCCCC1 ZINC001754330610 1200224000 /nfs/dbraw/zinc/22/40/00/1200224000.db2.gz JLTIICDJYXHQBQ-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCCc1cc(C)sc1C ZINC001754336420 1200230660 /nfs/dbraw/zinc/23/06/60/1200230660.db2.gz LTDAMYQFTKPRIE-CQSZACIVSA-N 0 1 320.502 3.147 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCCCc1ccc(C)cc1 ZINC001754337380 1200232313 /nfs/dbraw/zinc/23/23/13/1200232313.db2.gz UZPUAOAEWSVMFP-SFHVURJKSA-N 0 1 314.473 3.168 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNC/C(Cl)=C/Cl ZINC001754388653 1200248466 /nfs/dbraw/zinc/24/84/66/1200248466.db2.gz HKYCIMHBUPBTHI-XFXZXTDPSA-N 0 1 307.265 3.346 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1cc(C2CC2)no1 ZINC001689820793 1200297752 /nfs/dbraw/zinc/29/77/52/1200297752.db2.gz DIVLHCMMDJRKQW-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001754489850 1200298974 /nfs/dbraw/zinc/29/89/74/1200298974.db2.gz FNRMEORIUZRHPP-LJQANCHMSA-N 0 1 312.457 3.269 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001754492255 1200302088 /nfs/dbraw/zinc/30/20/88/1200302088.db2.gz YXYCGKKZPWDHDY-FQEVSTJZSA-N 0 1 324.468 3.448 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001754495254 1200309220 /nfs/dbraw/zinc/30/92/20/1200309220.db2.gz HZSWAVNXXLVRGB-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001690046608 1200382478 /nfs/dbraw/zinc/38/24/78/1200382478.db2.gz MRDCDFGYCDPGKX-ZBFHGGJFSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001690050542 1200384435 /nfs/dbraw/zinc/38/44/35/1200384435.db2.gz NECMHHNORCMLAO-ZWKOTPCHSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001690099406 1200399311 /nfs/dbraw/zinc/39/93/11/1200399311.db2.gz VKLHKWIEHSBWCH-KRWDZBQOSA-N 0 1 318.436 3.449 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001690100638 1200399722 /nfs/dbraw/zinc/39/97/22/1200399722.db2.gz UUIWESHIFWBXME-QGZVFWFLSA-N 0 1 318.486 3.331 20 30 DGEDMN CCC(=O)NC1(C)CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC001754623055 1200402115 /nfs/dbraw/zinc/40/21/15/1200402115.db2.gz QBDSFBVQYDBDFR-UHFFFAOYSA-N 0 1 318.848 3.072 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccoc1Cl)c1ccccc1 ZINC001691783051 1200404621 /nfs/dbraw/zinc/40/46/21/1200404621.db2.gz UCOVASJDUNNUKO-HNNXBMFYSA-N 0 1 316.788 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001690160283 1200427238 /nfs/dbraw/zinc/42/72/38/1200427238.db2.gz CRTDHBDXGYQGMF-FZKCQIBNSA-N 0 1 310.869 3.050 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001754680691 1200439317 /nfs/dbraw/zinc/43/93/17/1200439317.db2.gz RHGMNCOEIGUGRT-MJGOQNOKSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)C(C)C)C1 ZINC001690195410 1200448967 /nfs/dbraw/zinc/44/89/67/1200448967.db2.gz VDXIAYKYSZSDGE-CABCVRRESA-N 0 1 300.874 3.201 20 30 DGEDMN CCC(CC)CC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001690252079 1200464124 /nfs/dbraw/zinc/46/41/24/1200464124.db2.gz VASZBYMTZIQIDZ-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](N[C@@H](C)c2nc3ccccc3o2)C1 ZINC001690268951 1200468985 /nfs/dbraw/zinc/46/89/85/1200468985.db2.gz DNCVQAGLOMCVIB-IHRRRGAJSA-N 0 1 313.401 3.092 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2CCC(C)(C)CC2)C1 ZINC001754735302 1200482384 /nfs/dbraw/zinc/48/23/84/1200482384.db2.gz YTXYNDMALIYHGW-IBGZPJMESA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@H](CC)C(C)C)C[C@@H]2C1 ZINC001754846546 1200519969 /nfs/dbraw/zinc/51/99/69/1200519969.db2.gz LFNVHCTTXUUCJK-HRCADAONSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C/Cl ZINC001754866256 1200522913 /nfs/dbraw/zinc/52/29/13/1200522913.db2.gz WNCHBXVJANVXSF-SIEMXDPISA-N 0 1 310.869 3.407 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001754930561 1200540874 /nfs/dbraw/zinc/54/08/74/1200540874.db2.gz KSTHEJGVJKKSSK-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001690468937 1200579315 /nfs/dbraw/zinc/57/93/15/1200579315.db2.gz YLMJPHCNGQLTER-CYBMUJFWSA-N 0 1 312.866 3.393 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001755025693 1200587095 /nfs/dbraw/zinc/58/70/95/1200587095.db2.gz ZCBNUESDBJWRKN-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](CC)CCC)cc2C1 ZINC001755101437 1200634488 /nfs/dbraw/zinc/63/44/88/1200634488.db2.gz FXKOUPBBSAYIEE-QGZVFWFLSA-N 0 1 312.457 3.468 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001690633947 1200660049 /nfs/dbraw/zinc/66/00/49/1200660049.db2.gz NDUYWQAQELPXRW-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](CCC)CC(C)C)C(C)(C)C1 ZINC001755143021 1200660858 /nfs/dbraw/zinc/66/08/58/1200660858.db2.gz GZGZXBXENLTTIT-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@H](C)C1 ZINC001755159733 1200666334 /nfs/dbraw/zinc/66/63/34/1200666334.db2.gz JBKPMLMWCBXXTN-CABCVRRESA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CCCF ZINC001755172072 1200678277 /nfs/dbraw/zinc/67/82/77/1200678277.db2.gz JPOHUDPVMVSVAL-KRWDZBQOSA-N 0 1 304.409 3.028 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@@H]1C=CCCC1 ZINC001755186169 1200684669 /nfs/dbraw/zinc/68/46/69/1200684669.db2.gz JBHHYUFPNGWLPG-OZOXKJRCSA-N 0 1 322.452 3.128 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001755198739 1200696260 /nfs/dbraw/zinc/69/62/60/1200696260.db2.gz GSEWLRKSTAPVDN-WNRNVDISSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001755198737 1200696357 /nfs/dbraw/zinc/69/63/57/1200696357.db2.gz GSEWLRKSTAPVDN-GVDBMIGSSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CCCF)C2)C1 ZINC001755205023 1200701586 /nfs/dbraw/zinc/70/15/86/1200701586.db2.gz OWSWMVHWGKVBJL-HUUCEWRRSA-N 0 1 316.848 3.049 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NCc2ncc(C(C)C)o2)C1 ZINC001690766978 1200704675 /nfs/dbraw/zinc/70/46/75/1200704675.db2.gz WXHKNIWPWUXUIE-HUUCEWRRSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NCc2ncc(C3CC3)o2)C1 ZINC001690766982 1200704788 /nfs/dbraw/zinc/70/47/88/1200704788.db2.gz WZFNCZBNMPHUPD-HUUCEWRRSA-N 0 1 317.433 3.035 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001690776882 1200708793 /nfs/dbraw/zinc/70/87/93/1200708793.db2.gz FFBMZSCCBXXQEK-CABCVRRESA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1c(Cl)cccc1OC ZINC001755229007 1200718364 /nfs/dbraw/zinc/71/83/64/1200718364.db2.gz WBQJFKJJNFMCTC-STQMWFEESA-N 0 1 324.852 3.298 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1Cl ZINC001755254283 1200739609 /nfs/dbraw/zinc/73/96/09/1200739609.db2.gz OFVYFNDEDFAZFA-STQMWFEESA-N 0 1 324.827 3.121 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1Cl ZINC001755254281 1200740017 /nfs/dbraw/zinc/74/00/17/1200740017.db2.gz OFVYFNDEDFAZFA-OLZOCXBDSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(F)cc(Cl)c1 ZINC001755258742 1200742447 /nfs/dbraw/zinc/74/24/47/1200742447.db2.gz VIWJJUHVYRAOEU-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN Cc1ccc(C(=O)N[C@H](C)[C@H](C)NCc2ccccc2C#N)cc1 ZINC001755262575 1200744816 /nfs/dbraw/zinc/74/48/16/1200744816.db2.gz GPCPLXCYAQPNAZ-JKSUJKDBSA-N 0 1 321.424 3.163 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2ocnc2C)CCCC1 ZINC001755268821 1200750964 /nfs/dbraw/zinc/75/09/64/1200750964.db2.gz LBWZNZQJSDGQQG-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)N[C@@H](C)c2ncc(C)o2)CCC1 ZINC001690861300 1200754331 /nfs/dbraw/zinc/75/43/31/1200754331.db2.gz YTXIUCLTBYFKKY-HIFRSBDPSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1ncc(C)o1 ZINC001755284683 1200761496 /nfs/dbraw/zinc/76/14/96/1200761496.db2.gz AHGNINRNBWETNR-GOSISDBHSA-N 0 1 321.465 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1oc(CC)nc1C ZINC001698609547 1200776179 /nfs/dbraw/zinc/77/61/79/1200776179.db2.gz PFEXULKLMBGWOG-CQSZACIVSA-N 0 1 321.465 3.132 20 30 DGEDMN C=CCCC(=O)NCCN(C)C/C=C/c1ccc(Cl)cc1 ZINC001690929685 1200791916 /nfs/dbraw/zinc/79/19/16/1200791916.db2.gz GCBSYAGIGCUCGO-AATRIKPKSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)/C=C\c1ccco1 ZINC001691785037 1200807042 /nfs/dbraw/zinc/80/70/42/1200807042.db2.gz CVEANAWBUAQMMZ-YTELKSAQSA-N 0 1 322.836 3.262 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(F)c(C)c1)c1ccccc1 ZINC001691791888 1200810082 /nfs/dbraw/zinc/81/00/82/1200810082.db2.gz JJJKAFFTHMHBLK-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(CC)cc2)C1 ZINC001691866596 1200847692 /nfs/dbraw/zinc/84/76/92/1200847692.db2.gz BPSLFHGLJUZBEO-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccc3c2CCC3)C1 ZINC001691869673 1200848628 /nfs/dbraw/zinc/84/86/28/1200848628.db2.gz MUIHGENTAXKSSL-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001755377050 1200864172 /nfs/dbraw/zinc/86/41/72/1200864172.db2.gz PBNMABPIFAENAW-AWEZNQCLSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001691908573 1200866792 /nfs/dbraw/zinc/86/67/92/1200866792.db2.gz KXWVFEIMMDUJSW-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001755390071 1200873361 /nfs/dbraw/zinc/87/33/61/1200873361.db2.gz KJYGAGXLWXMQTJ-QAPCUYQASA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001755390069 1200874135 /nfs/dbraw/zinc/87/41/35/1200874135.db2.gz KJYGAGXLWXMQTJ-CRAIPNDOSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)Cc2ccccc2F)C(C)(C)C1 ZINC001755411193 1200886685 /nfs/dbraw/zinc/88/66/85/1200886685.db2.gz IFCNNNYUAWSDHQ-RHSMWYFYSA-N 0 1 318.436 3.017 20 30 DGEDMN CC(C)CCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001692137787 1200944893 /nfs/dbraw/zinc/94/48/93/1200944893.db2.gz KDRBSIMKANATEV-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CC(C)(F)F)C1 ZINC001692150373 1200950966 /nfs/dbraw/zinc/95/09/66/1200950966.db2.gz MSEIKBCHMFNOJE-HZPDHXFCSA-N 0 1 322.399 3.192 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](CNCc1ccncc1Cl)C1CC1 ZINC001755556766 1200951237 /nfs/dbraw/zinc/95/12/37/1200951237.db2.gz SWDLDXQNLACXPB-INIZCTEOSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C)(C)C(C)C ZINC001755562757 1200956015 /nfs/dbraw/zinc/95/60/15/1200956015.db2.gz UPIOVAXOLJMWGW-CQSZACIVSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(F)s1 ZINC001755573906 1200963133 /nfs/dbraw/zinc/96/31/33/1200963133.db2.gz UNKVZCACWSEKNX-NSHDSACASA-N 0 1 316.829 3.080 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001755583085 1200968588 /nfs/dbraw/zinc/96/85/88/1200968588.db2.gz CCTYCCLWBTTXSS-NUKWSGDCSA-N 0 1 318.848 3.094 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CCC)CC(C)C)[C@H]1CC ZINC001692227136 1200976783 /nfs/dbraw/zinc/97/67/83/1200976783.db2.gz SZWGVKSREIYOBU-OKZBNKHCSA-N 0 1 306.494 3.441 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001755605250 1200983322 /nfs/dbraw/zinc/98/33/22/1200983322.db2.gz HXAMZHSDSPPKEA-SJKOYZFVSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001755604777 1200983480 /nfs/dbraw/zinc/98/34/80/1200983480.db2.gz CSLCEMDRIHTUGU-QAPCUYQASA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1coc2ccccc21 ZINC001755619408 1200995248 /nfs/dbraw/zinc/99/52/48/1200995248.db2.gz DDRFZFHEQGQATN-LBPRGKRZSA-N 0 1 306.793 3.235 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)c(F)c(C)c1 ZINC001755618513 1200995560 /nfs/dbraw/zinc/99/55/60/1200995560.db2.gz VOOZGWKQAAICGJ-CYBMUJFWSA-N 0 1 312.816 3.245 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001692323765 1201019025 /nfs/dbraw/zinc/01/90/25/1201019025.db2.gz ZSVSGIIWSFKAKN-ZDUSSCGKSA-N 0 1 304.409 3.147 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2ccccn2)[C@@H]1C ZINC001755716774 1201037659 /nfs/dbraw/zinc/03/76/59/1201037659.db2.gz BYJHVQQENVJWLF-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(CC(C)C)CCCC1 ZINC001692378289 1201044795 /nfs/dbraw/zinc/04/47/95/1201044795.db2.gz MKCVZLAGCOKSPT-IRXDYDNUSA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)[C@H]1C ZINC001755732147 1201052282 /nfs/dbraw/zinc/05/22/82/1201052282.db2.gz SDNIHZVPZJZTBA-DOTOQJQBSA-N 0 1 318.486 3.329 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001692399754 1201054122 /nfs/dbraw/zinc/05/41/22/1201054122.db2.gz ZIISSLAEUXSLLQ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001755825118 1201089553 /nfs/dbraw/zinc/08/95/53/1201089553.db2.gz OYIGLESGFWQFPY-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCc2cc(C)cc(C)c2)CC1 ZINC001755896205 1201123958 /nfs/dbraw/zinc/12/39/58/1201123958.db2.gz KCLZPGWBSRPLAR-UHFFFAOYSA-N 0 1 324.468 3.008 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001698568427 1201239493 /nfs/dbraw/zinc/23/94/93/1201239493.db2.gz CCPJYADYZUQBAX-MJGOQNOKSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001698569705 1201239526 /nfs/dbraw/zinc/23/95/26/1201239526.db2.gz SOMQCYKGVIHJCK-INIZCTEOSA-N 0 1 318.436 3.379 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001698605867 1201274664 /nfs/dbraw/zinc/27/46/64/1201274664.db2.gz JWHDTNJPIDYTNQ-IRXDYDNUSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1cc(C)ccc1C ZINC001698613566 1201283568 /nfs/dbraw/zinc/28/35/68/1201283568.db2.gz KRLCSPRKLRHJOI-INIZCTEOSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2scnc21 ZINC001753472038 1201353165 /nfs/dbraw/zinc/35/31/65/1201353165.db2.gz YSZRUWMEYYRLNR-LLVKDONJSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(F)c(Cl)c1 ZINC001698841510 1201495512 /nfs/dbraw/zinc/49/55/12/1201495512.db2.gz OPGQFOPKGVPOCO-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001698843469 1201497820 /nfs/dbraw/zinc/49/78/20/1201497820.db2.gz PDZYNOUKGIUOHY-SJCJKPOMSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)[C@@H](CC)CCC ZINC001698852457 1201508550 /nfs/dbraw/zinc/50/85/50/1201508550.db2.gz HPKZHASXBRNXFF-OLZOCXBDSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cncc(CC)c1 ZINC001698876115 1201537322 /nfs/dbraw/zinc/53/73/22/1201537322.db2.gz XMXLIIIKJVHBLJ-MRXNPFEDSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cc(C)oc1C ZINC001698949447 1201595585 /nfs/dbraw/zinc/59/55/85/1201595585.db2.gz YEOOPYLBYGQVTN-BZNIZROVSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cccn1CC ZINC001698951357 1201599586 /nfs/dbraw/zinc/59/95/86/1201599586.db2.gz FQDAIRYOBUHFQV-XJKSGUPXSA-N 0 1 323.868 3.091 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](C)CCCc1ccccc1 ZINC001699011264 1201681460 /nfs/dbraw/zinc/68/14/60/1201681460.db2.gz TUNSMZXDKRMEPW-GOSISDBHSA-N 0 1 314.473 3.059 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCCC1(C)C ZINC001750931850 1201728058 /nfs/dbraw/zinc/72/80/58/1201728058.db2.gz SVAPEHMETRUVMZ-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@H]1CCCC12CC2 ZINC001751997812 1201763979 /nfs/dbraw/zinc/76/39/79/1201763979.db2.gz NVGAEUMPAYQEPE-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001752085162 1201774898 /nfs/dbraw/zinc/77/48/98/1201774898.db2.gz QEVOCBRRPCIYTP-HZPDHXFCSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001699320011 1201787919 /nfs/dbraw/zinc/78/79/19/1201787919.db2.gz DCZHEKARIYATPL-IYKSTZQJSA-N 0 1 320.864 3.367 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001752299278 1201825373 /nfs/dbraw/zinc/82/53/73/1201825373.db2.gz BHRADKCRMCSTIT-ISLYRVAYSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CC/C=C/c2ccccc2)CC1 ZINC001752308350 1201827035 /nfs/dbraw/zinc/82/70/35/1201827035.db2.gz NHYJCCXUSOCMIG-XYOKQWHBSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001699404556 1201843154 /nfs/dbraw/zinc/84/31/54/1201843154.db2.gz QIWDGOXBGWTMBT-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(CC)s1)C1CC1 ZINC001752473210 1201849967 /nfs/dbraw/zinc/84/99/67/1201849967.db2.gz ZJIJTELFFQLMKW-CYBMUJFWSA-N 0 1 312.866 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc2cc[nH]c21)C1CC1 ZINC001752484488 1201850583 /nfs/dbraw/zinc/85/05/83/1201850583.db2.gz LRESCHJZSIZCOP-HNNXBMFYSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1OCC(C)C ZINC001699425783 1201858120 /nfs/dbraw/zinc/85/81/20/1201858120.db2.gz RRZLOLORROVVBR-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccn1 ZINC001752526258 1201869273 /nfs/dbraw/zinc/86/92/73/1201869273.db2.gz TUYDHKBIYDQOQN-CALCHBBNSA-N 0 1 313.445 3.117 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001699462071 1201899325 /nfs/dbraw/zinc/89/93/25/1201899325.db2.gz OLQIBNNIKPGLMC-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001699462072 1201899820 /nfs/dbraw/zinc/89/98/20/1201899820.db2.gz OLQIBNNIKPGLMC-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2cc(C)ccc2s1 ZINC001699469886 1201913608 /nfs/dbraw/zinc/91/36/08/1201913608.db2.gz ACYWRCUKDAIDLN-AWEZNQCLSA-N 0 1 314.454 3.283 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001752785471 1201920866 /nfs/dbraw/zinc/92/08/66/1201920866.db2.gz ASTXMZBNVZTFQD-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN[C@H](C)c2ncc(C)o2)CC1 ZINC001699531058 1201937688 /nfs/dbraw/zinc/93/76/88/1201937688.db2.gz TZXTUJIQTUMWNB-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C12CCC(CC1)C2 ZINC001752828898 1201957208 /nfs/dbraw/zinc/95/72/08/1201957208.db2.gz JSZFJPBWIRQITC-ISXOHVOVSA-N 0 1 310.869 3.290 20 30 DGEDMN Cc1cc(C)cc(CN2CC[C@@H]2CNC(=O)C#CC(C)(C)C)c1 ZINC001699622978 1201984589 /nfs/dbraw/zinc/98/45/89/1201984589.db2.gz XBPRUPOCGUJTIU-GOSISDBHSA-N 0 1 312.457 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C)c2cccnc12 ZINC001752888433 1201994916 /nfs/dbraw/zinc/99/49/16/1201994916.db2.gz CIWVCEGNQFIIQP-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnc2ccccc2c1C ZINC001752888456 1201995515 /nfs/dbraw/zinc/99/55/15/1201995515.db2.gz ROOYORYXYDTAJY-LBPRGKRZSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1ccc(Cl)s1 ZINC001752917723 1202012435 /nfs/dbraw/zinc/01/24/35/1202012435.db2.gz SAEHPZHDFJJBCV-SNVBAGLBSA-N 0 1 321.273 3.181 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC(C)(C)c1ccccc1 ZINC001752921380 1202013970 /nfs/dbraw/zinc/01/39/70/1202013970.db2.gz QYSIPUMGYSVVLB-CQSZACIVSA-N 0 1 308.853 3.201 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C3CC3)cc2)CC1 ZINC001699670413 1202026376 /nfs/dbraw/zinc/02/63/76/1202026376.db2.gz IYTYQWUAZJFVRV-UHFFFAOYSA-N 0 1 304.821 3.169 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2ccc(C)s2)C1 ZINC001699726666 1202056207 /nfs/dbraw/zinc/05/62/07/1202056207.db2.gz NSEXGNSCSAEPMY-UHFFFAOYSA-N 0 1 320.502 3.488 20 30 DGEDMN CC(C)=CC(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001699764502 1202071135 /nfs/dbraw/zinc/07/11/35/1202071135.db2.gz BSRDYRRPCKLJTP-UHFFFAOYSA-N 0 1 317.458 3.057 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C12CCC(CC1)CC2 ZINC001699767999 1202073033 /nfs/dbraw/zinc/07/30/33/1202073033.db2.gz ZNOVSTHOGINAQR-CKDBGZEDSA-N 0 1 310.869 3.290 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC001699773733 1202075495 /nfs/dbraw/zinc/07/54/95/1202075495.db2.gz CZZVPZZFQPFDIL-HNNXBMFYSA-N 0 1 324.424 3.140 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001699804879 1202085236 /nfs/dbraw/zinc/08/52/36/1202085236.db2.gz HSNJRFTYTZRWEQ-SFHVURJKSA-N 0 1 310.457 3.263 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@]1(C)CCN(C/C=C\c2ccccc2)C1 ZINC001699815234 1202093687 /nfs/dbraw/zinc/09/36/87/1202093687.db2.gz IBWRSWQWAZJABX-OMCJBDSBSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(F)=C2CCCC2)C1 ZINC001699889735 1202138070 /nfs/dbraw/zinc/13/80/70/1202138070.db2.gz KIARZDOAAJWWOG-ZDUSSCGKSA-N 0 1 316.367 3.043 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001753111373 1202140676 /nfs/dbraw/zinc/14/06/76/1202140676.db2.gz HPXKVEXGGIRGQU-MJGOQNOKSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@](C)(F)CCCC)C[C@@H]2C1 ZINC001699990151 1202160210 /nfs/dbraw/zinc/16/02/10/1202160210.db2.gz UUUNPOKIARJYTC-IJEWVQPXSA-N 0 1 316.848 3.048 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)CC1CCC1 ZINC001753143557 1202161003 /nfs/dbraw/zinc/16/10/03/1202161003.db2.gz XAQSIEWZJAXQKG-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCCN(CCNC(=O)C1=CCCC1)Cc1ccc(C#N)s1 ZINC001753143839 1202161826 /nfs/dbraw/zinc/16/18/26/1202161826.db2.gz AZKNDGMFWMIXHD-UHFFFAOYSA-N 0 1 317.458 3.058 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CCC)CCC1 ZINC001700010371 1202162007 /nfs/dbraw/zinc/16/20/07/1202162007.db2.gz IPUYEOLOWLLYPE-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001700007821 1202162687 /nfs/dbraw/zinc/16/26/87/1202162687.db2.gz OZAMMVXOALPCTN-PMACEKPBSA-N 0 1 322.452 3.070 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CCC)CCC1 ZINC001700010367 1202162770 /nfs/dbraw/zinc/16/27/70/1202162770.db2.gz IPUYEOLOWLLYPE-IAGOWNOFSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1cncs1 ZINC001700014600 1202164614 /nfs/dbraw/zinc/16/46/14/1202164614.db2.gz XWNYIBLOLXVAIP-HOTGVXAUSA-N 0 1 319.474 3.065 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)CC1(C)CCCC1 ZINC001753185656 1202181410 /nfs/dbraw/zinc/18/14/10/1202181410.db2.gz UNBGAEOVWKOBFU-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN[C@@H](C)c1cc(F)ccc1F ZINC001753181398 1202181413 /nfs/dbraw/zinc/18/14/13/1202181413.db2.gz IFPSDOSPDLTOHG-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3occc3s2)CCC1 ZINC001700138230 1202190174 /nfs/dbraw/zinc/19/01/74/1202190174.db2.gz MXDHBDMYMHPSMD-UHFFFAOYSA-N 0 1 324.833 3.489 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cc(C)ns2)CC1 ZINC001700176646 1202196516 /nfs/dbraw/zinc/19/65/16/1202196516.db2.gz LJGKNUOVBXBDGQ-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(F)cc1C)c1ccccc1 ZINC001753302616 1202201287 /nfs/dbraw/zinc/20/12/87/1202201287.db2.gz GKKFOGKIBHSRNF-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccn2C(C)C)C1 ZINC001753322596 1202209732 /nfs/dbraw/zinc/20/97/32/1202209732.db2.gz IUMNTAOTPRFQSS-QGZVFWFLSA-N 0 1 315.461 3.019 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)CC)O2 ZINC001700204732 1202210153 /nfs/dbraw/zinc/21/01/53/1202210153.db2.gz HTAWTVNKPFSXES-CVEARBPZSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@@H](CNC(=O)C=C(CC)CC)O2 ZINC001700205940 1202211260 /nfs/dbraw/zinc/21/12/60/1202211260.db2.gz IHEIVUAUKAWPLD-KRWDZBQOSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](C)C(C)C)cc2C1 ZINC001700252858 1202218398 /nfs/dbraw/zinc/21/83/98/1202218398.db2.gz ZLOZCQLDBPIXFE-HNNXBMFYSA-N 0 1 300.446 3.487 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@@H](C)c2ccco2)C1 ZINC001753340267 1202219506 /nfs/dbraw/zinc/21/95/06/1202219506.db2.gz ZXRXVSCUDKXHIZ-HIFRSBDPSA-N 0 1 324.852 3.354 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001753349959 1202222996 /nfs/dbraw/zinc/22/29/96/1202222996.db2.gz ZMKHMGIFYXOZTK-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001700292668 1202228390 /nfs/dbraw/zinc/22/83/90/1202228390.db2.gz MQQLBPNUIHNDFP-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN CCCN1CCc2ccccc2[C@H]1CNC(=O)C#CC(C)(C)C ZINC001700329522 1202238845 /nfs/dbraw/zinc/23/88/45/1202238845.db2.gz GCPSBRSUNBQIIZ-GOSISDBHSA-N 0 1 312.457 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1(CC)CC1 ZINC001700329485 1202239126 /nfs/dbraw/zinc/23/91/26/1202239126.db2.gz DYFQIDNUAXSTQN-SFHVURJKSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc3ncccc3c2)C1 ZINC001753404986 1202242856 /nfs/dbraw/zinc/24/28/56/1202242856.db2.gz YZMGAUDNTHTYSR-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001753410972 1202244711 /nfs/dbraw/zinc/24/47/11/1202244711.db2.gz WURDHFUEYVAQJA-AWEZNQCLSA-N 0 1 304.409 3.291 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001753423002 1202249643 /nfs/dbraw/zinc/24/96/43/1202249643.db2.gz SAZJVYZQFXBCOM-PMACEKPBSA-N 0 1 324.468 3.098 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](C)c1ccccc1 ZINC001700363231 1202250653 /nfs/dbraw/zinc/25/06/53/1202250653.db2.gz GGMRZJFYGHQQTH-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(C)s1 ZINC001753427039 1202250660 /nfs/dbraw/zinc/25/06/60/1202250660.db2.gz AUXFVFHRRYRTMB-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001753434334 1202253235 /nfs/dbraw/zinc/25/32/35/1202253235.db2.gz BUMNKWJHRVIFBR-ADYPVZHUSA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001753437063 1202253469 /nfs/dbraw/zinc/25/34/69/1202253469.db2.gz RWPLUAQTDUEBOL-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001753437307 1202253726 /nfs/dbraw/zinc/25/37/26/1202253726.db2.gz JHENIORPUDLYFG-JKSUJKDBSA-N 0 1 316.420 3.079 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001700384744 1202257486 /nfs/dbraw/zinc/25/74/86/1202257486.db2.gz AMOOYIFULVDXKU-UONOGXRCSA-N 0 1 319.424 3.260 20 30 DGEDMN CCN(C(=O)C=C1CCC1)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001753453976 1202261189 /nfs/dbraw/zinc/26/11/89/1202261189.db2.gz ANVMOEYHWINIFX-IBGZPJMESA-N 0 1 323.440 3.091 20 30 DGEDMN C#CCCCCC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001753456187 1202261642 /nfs/dbraw/zinc/26/16/42/1202261642.db2.gz ZLVIKWUWUHGIPB-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2c(C)cccn2c1 ZINC001700423435 1202269113 /nfs/dbraw/zinc/26/91/13/1202269113.db2.gz AKOKNYPTPYQUBP-ZIAGYGMSSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C)nc1C(C)C ZINC001753481860 1202270918 /nfs/dbraw/zinc/27/09/18/1202270918.db2.gz ZQJUCEUHGWCSIF-CQSZACIVSA-N 0 1 323.868 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(Cl)cn1CC ZINC001700432012 1202271165 /nfs/dbraw/zinc/27/11/65/1202271165.db2.gz UWQLBOUJISEFEM-QWRGUYRKSA-N 0 1 318.248 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(c2ccccc2F)CC1 ZINC001753491885 1202273943 /nfs/dbraw/zinc/27/39/43/1202273943.db2.gz BYMBVCGAJWCNCL-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CC(C)(C)CNCc1cc(C)on1 ZINC001700444096 1202274185 /nfs/dbraw/zinc/27/41/85/1202274185.db2.gz GJUFRNANMRXIOO-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCCCC1(C)C ZINC001753497192 1202275689 /nfs/dbraw/zinc/27/56/89/1202275689.db2.gz LIJZELJPHMJDLB-KBPBESRZSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001700483173 1202292555 /nfs/dbraw/zinc/29/25/55/1202292555.db2.gz LJBLVMNGQJRTHV-CQSZACIVSA-N 0 1 320.864 3.366 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2c(s1)CCCCC2 ZINC001700484446 1202293001 /nfs/dbraw/zinc/29/30/01/1202293001.db2.gz BBLAYHFIRIHOJB-AWEZNQCLSA-N 0 1 318.486 3.090 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001753595799 1202296526 /nfs/dbraw/zinc/29/65/26/1202296526.db2.gz IQEWFAUVZNRXJH-BBWFWOEESA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001700532036 1202306972 /nfs/dbraw/zinc/30/69/72/1202306972.db2.gz XSEBFNMWNCARCX-CQSZACIVSA-N 0 1 312.413 3.336 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001700547811 1202310863 /nfs/dbraw/zinc/31/08/63/1202310863.db2.gz XUGQWBIVHZBYGH-KBPBESRZSA-N 0 1 320.864 3.141 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001753684431 1202312768 /nfs/dbraw/zinc/31/27/68/1202312768.db2.gz DMZHBWSHCBUUNL-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@@](C)(CC)CCC)C2)C1 ZINC001700562859 1202313395 /nfs/dbraw/zinc/31/33/95/1202313395.db2.gz POKPIHZWEKPSJE-OALUTQOASA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1F ZINC001753692679 1202314983 /nfs/dbraw/zinc/31/49/83/1202314983.db2.gz GOTAENCOOGPAIG-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(F)F)o1 ZINC001753722494 1202321271 /nfs/dbraw/zinc/32/12/71/1202321271.db2.gz RHSMZXBPIYVGBP-VIFPVBQESA-N 0 1 306.740 3.020 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cc(C)[nH]c1C ZINC001753811723 1202337858 /nfs/dbraw/zinc/33/78/58/1202337858.db2.gz MMXVBOKEHASLBO-BZNIZROVSA-N 0 1 323.868 3.214 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@@H](C)NCc2ncc(C)o2)C1 ZINC001753813108 1202338237 /nfs/dbraw/zinc/33/82/37/1202338237.db2.gz GBTZNQVKAQXMFP-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001753819980 1202340050 /nfs/dbraw/zinc/34/00/50/1202340050.db2.gz KDJHFMNMSMRVAA-UHFFFAOYSA-N 0 1 324.896 3.349 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001753825817 1202342189 /nfs/dbraw/zinc/34/21/89/1202342189.db2.gz KCDPPSASANEXEG-QAPCUYQASA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001700755070 1202348992 /nfs/dbraw/zinc/34/89/92/1202348992.db2.gz GBEDAMRXTKGSKR-WSNITJDQSA-N 0 1 324.827 3.418 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2ccncc2Cl)C1 ZINC001700766389 1202351000 /nfs/dbraw/zinc/35/10/00/1202351000.db2.gz GGVDMMXPMLYOHV-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001700779805 1202354056 /nfs/dbraw/zinc/35/40/56/1202354056.db2.gz GAZBXHVRWZUJCP-GOEBONIOSA-N 0 1 322.880 3.363 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(CC(C)C)c[nH]1 ZINC001700795419 1202354456 /nfs/dbraw/zinc/35/44/56/1202354456.db2.gz FMRRZDBVEKOPCD-ZDUSSCGKSA-N 0 1 311.857 3.016 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)c2cnns2)[C@H]1C ZINC001700880503 1202364604 /nfs/dbraw/zinc/36/46/04/1202364604.db2.gz SRJIONRTSQDDTI-ZIAGYGMSSA-N 0 1 324.494 3.091 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCC2CCCCC2)[C@H]1C ZINC001700888200 1202366199 /nfs/dbraw/zinc/36/61/99/1202366199.db2.gz OGJUMVJRGFYCSY-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCc1ccc(C)s1)C(C)C ZINC001700980388 1202375819 /nfs/dbraw/zinc/37/58/19/1202375819.db2.gz LEQVCCPKGWYLIM-UHFFFAOYSA-N 0 1 320.502 3.181 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001707053861 1202570006 /nfs/dbraw/zinc/57/00/06/1202570006.db2.gz NLNFGCOSKNNHNU-QFBILLFUSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]cc1C1CC1 ZINC001707057591 1202570585 /nfs/dbraw/zinc/57/05/85/1202570585.db2.gz YXCWILCFCZQJLA-HNNXBMFYSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C\c2ccco2)C1 ZINC001707093825 1202573206 /nfs/dbraw/zinc/57/32/06/1202573206.db2.gz FBHDHMSHTYCJEZ-MWLUNVDJSA-N 0 1 322.408 3.063 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C=C(C)C)CCN1Cc1ccc(C#N)s1 ZINC001707187867 1202575591 /nfs/dbraw/zinc/57/55/91/1202575591.db2.gz PKBURYOOOQOZEK-JKSUJKDBSA-N 0 1 317.458 3.055 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(Cl)cc2)[C@@H]1C ZINC001707243038 1202578002 /nfs/dbraw/zinc/57/80/02/1202578002.db2.gz JYYRDKALVPDIRV-CJNGLKHVSA-N 0 1 306.837 3.385 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001707255740 1202578447 /nfs/dbraw/zinc/57/84/47/1202578447.db2.gz OOEVZYYRGJGBII-CVEARBPZSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001707261555 1202578942 /nfs/dbraw/zinc/57/89/42/1202578942.db2.gz WCSFXSZLKLPVTH-FMKPAKJESA-N 0 1 306.475 3.429 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C(C)C)cn1 ZINC001707462838 1202586541 /nfs/dbraw/zinc/58/65/41/1202586541.db2.gz UERWDNUGVJNEFD-UHFFFAOYSA-N 0 1 323.868 3.399 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001712969887 1202607018 /nfs/dbraw/zinc/60/70/18/1202607018.db2.gz OVYHCKARQBBIEF-KRWDZBQOSA-N 0 1 319.474 3.160 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(CC)CCCCC2)CC1 ZINC001713056846 1202613375 /nfs/dbraw/zinc/61/33/75/1202613375.db2.gz QKEXFFVGHHSMSM-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CCCC2CCCCC2)CC1 ZINC001713056721 1202613475 /nfs/dbraw/zinc/61/34/75/1202613475.db2.gz MSKWNVIUNPEOCE-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C1CCN(CC#CC)CC1)c1ccccc1 ZINC001713056487 1202613503 /nfs/dbraw/zinc/61/35/03/1202613503.db2.gz BSUXLTNFVWNHDK-GOSISDBHSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(F)c(C)c2)CC1 ZINC001713101447 1202617161 /nfs/dbraw/zinc/61/71/61/1202617161.db2.gz UCKIESOQMDREQT-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc(C)c1C)C1CC1 ZINC001713124067 1202619078 /nfs/dbraw/zinc/61/90/78/1202619078.db2.gz HVOVZWQMGNFHCM-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H](CC)SC)C1 ZINC001713128610 1202620060 /nfs/dbraw/zinc/62/00/60/1202620060.db2.gz JRZAXJDTCTUFMJ-KGLIPLIRSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)c1ccccc1 ZINC001713143527 1202621699 /nfs/dbraw/zinc/62/16/99/1202621699.db2.gz IKGQILZNHZTCBX-FGTMMUONSA-N 0 1 316.420 3.339 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cncs2)C1 ZINC001713274770 1202634362 /nfs/dbraw/zinc/63/43/62/1202634362.db2.gz ACXUSXJJKYLZAO-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C[C@@H](CNC(=O)CC(C)(C)C)NCC#Cc1cccc(Cl)c1 ZINC001713295774 1202636882 /nfs/dbraw/zinc/63/68/82/1202636882.db2.gz IYIHFBVKUGEMNM-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001713306685 1202637688 /nfs/dbraw/zinc/63/76/88/1202637688.db2.gz BPQYWLZHSWWUGD-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2ccc(Cl)cc2F)C1 ZINC001713337327 1202640460 /nfs/dbraw/zinc/64/04/60/1202640460.db2.gz NLVBWSRIGDWDSX-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001713396477 1202645012 /nfs/dbraw/zinc/64/50/12/1202645012.db2.gz YRUQUIHUYVHBTK-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@@H](C)NCc1csc(C)n1 ZINC001713416444 1202647483 /nfs/dbraw/zinc/64/74/83/1202647483.db2.gz VPGXQXIYMKFKHU-WBMJQRKESA-N 0 1 309.479 3.038 20 30 DGEDMN CC(C)CCCC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001713468663 1202654493 /nfs/dbraw/zinc/65/44/93/1202654493.db2.gz HXMOFNIQXPYGJT-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC001713512155 1202662840 /nfs/dbraw/zinc/66/28/40/1202662840.db2.gz IBBYRCWLKJTUHF-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CC12CCC2)c1ccc(C(C)C)cc1 ZINC001713696928 1202678103 /nfs/dbraw/zinc/67/81/03/1202678103.db2.gz BSPQAPZJRLHQFH-OALUTQOASA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc2occc2s1)c1ccccc1 ZINC001713700787 1202678626 /nfs/dbraw/zinc/67/86/26/1202678626.db2.gz HUDWOGOVNNZIQE-AWEZNQCLSA-N 0 1 324.405 3.188 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1sc(C)cc1C)c1ccccc1 ZINC001713702220 1202678721 /nfs/dbraw/zinc/67/87/21/1202678721.db2.gz HQZKYHABSQAARP-INIZCTEOSA-N 0 1 312.438 3.059 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC001713779715 1202689628 /nfs/dbraw/zinc/68/96/28/1202689628.db2.gz ILPLWKCGYBQLOC-UHFFFAOYSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2cc(C)cc(C)c2)C1 ZINC001713849523 1202695535 /nfs/dbraw/zinc/69/55/35/1202695535.db2.gz FZIATWMLOPFDDZ-QGZVFWFLSA-N 0 1 314.473 3.391 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC001713857411 1202696190 /nfs/dbraw/zinc/69/61/90/1202696190.db2.gz UBIGUNJIPMEXNF-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H]2C=CCCC2)CC1 ZINC001713857151 1202696192 /nfs/dbraw/zinc/69/61/92/1202696192.db2.gz JSSSLVJCNMAYOH-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccc(CC)cc2)C1 ZINC001713855779 1202696378 /nfs/dbraw/zinc/69/63/78/1202696378.db2.gz ISXXWXTYXTZPCX-MRXNPFEDSA-N 0 1 314.473 3.337 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001713858786 1202696438 /nfs/dbraw/zinc/69/64/38/1202696438.db2.gz WICNXTZUITZGQG-IEBWSBKVSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc3ccccc3[nH]2)CC1 ZINC001713859032 1202696662 /nfs/dbraw/zinc/69/66/62/1202696662.db2.gz WNXCQOMTNHBEDS-UHFFFAOYSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001713878394 1202698151 /nfs/dbraw/zinc/69/81/51/1202698151.db2.gz ACSDTTIFVGODDA-HUUCEWRRSA-N 0 1 318.436 3.159 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1cccc(F)c1)C1CC1 ZINC001713884085 1202698624 /nfs/dbraw/zinc/69/86/24/1202698624.db2.gz PUUQFWXWUYXVAC-BLLLJJGKSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001713905333 1202701199 /nfs/dbraw/zinc/70/11/99/1202701199.db2.gz QJFRCGANSNZVDH-UHFFFAOYSA-N 0 1 304.409 3.060 20 30 DGEDMN O=C(CCC1CCCC1)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001713915774 1202701900 /nfs/dbraw/zinc/70/19/00/1202701900.db2.gz YYWTWMKPACXMMO-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)cn1 ZINC001713930412 1202702894 /nfs/dbraw/zinc/70/28/94/1202702894.db2.gz CETCNQCXEVGBPP-HOTGVXAUSA-N 0 1 319.424 3.090 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001713994074 1202719778 /nfs/dbraw/zinc/71/97/78/1202719778.db2.gz ZRNCMZBGZFQPDW-QGZVFWFLSA-N 0 1 316.445 3.003 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001714046570 1202749597 /nfs/dbraw/zinc/74/95/97/1202749597.db2.gz HGKHOCPHMCJPEZ-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1nc(C)sc1C ZINC001714096695 1202772722 /nfs/dbraw/zinc/77/27/22/1202772722.db2.gz XTIAMZSMGGFZDZ-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN CC[C@H](CNC(=O)C(C)(C)CC)NCc1ccc(C#N)cc1F ZINC001714096562 1202772928 /nfs/dbraw/zinc/77/29/28/1202772928.db2.gz AAZWNZSSWAYUSJ-OAHLLOKOSA-N 0 1 319.424 3.118 20 30 DGEDMN Cc1ccc(C[N@H+]2CCCC[C@@H]2CNC(=O)C#CC2CC2)c(C)c1 ZINC001714105200 1202778652 /nfs/dbraw/zinc/77/86/52/1202778652.db2.gz YYZNXWXPBZZZDC-HXUWFJFHSA-N 0 1 324.468 3.188 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001714104590 1202778782 /nfs/dbraw/zinc/77/87/82/1202778782.db2.gz SQCZPINLLLAJJJ-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NCc1ncc(C)s1 ZINC001714106599 1202779676 /nfs/dbraw/zinc/77/96/76/1202779676.db2.gz KYPSZJUHMOAACX-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001753335711 1202780574 /nfs/dbraw/zinc/78/05/74/1202780574.db2.gz UFULUIUDLWPLJX-BZUAXINKSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(CNCc2ocnc2C)CCCC1 ZINC001714140564 1202797962 /nfs/dbraw/zinc/79/79/62/1202797962.db2.gz OILFQNVBYZJOTN-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@H]1CCCN1CC(=C)Cl ZINC001714143403 1202798685 /nfs/dbraw/zinc/79/86/85/1202798685.db2.gz BPLHXCKLXMZQAQ-IAGOWNOFSA-N 0 1 322.880 3.388 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1ccc(Cl)cc1F ZINC001714225510 1202839005 /nfs/dbraw/zinc/83/90/05/1202839005.db2.gz MDKIYWVZKLKDKI-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001714228644 1202840577 /nfs/dbraw/zinc/84/05/77/1202840577.db2.gz MWJDRPOHZCUIML-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1ccc(Cl)cc1F ZINC001714232895 1202841374 /nfs/dbraw/zinc/84/13/74/1202841374.db2.gz OHSNWAIFDXYZRL-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001714248381 1202847779 /nfs/dbraw/zinc/84/77/79/1202847779.db2.gz DTXDKUHQLZQNRG-RDTXWAMCSA-N 0 1 319.424 3.071 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001714290848 1202865607 /nfs/dbraw/zinc/86/56/07/1202865607.db2.gz MBLBILFUPKEMLD-ZWKOTPCHSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001714329565 1202885512 /nfs/dbraw/zinc/88/55/12/1202885512.db2.gz XRVAVUKZXFSJEP-HIFRSBDPSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1CCC2(CCN(CC(=C)Cl)C2)CC1 ZINC001714366855 1202898756 /nfs/dbraw/zinc/89/87/56/1202898756.db2.gz VOJZQFTUOVTDEI-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2nocc2C)C1 ZINC001714408880 1202917142 /nfs/dbraw/zinc/91/71/42/1202917142.db2.gz YOSNZGMHRGDKIP-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H](N(C)C/C=C/Cl)C2)CC1 ZINC001714446814 1202939207 /nfs/dbraw/zinc/93/92/07/1202939207.db2.gz WPAPQVFYGRZROP-IGKXFLDPSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](CC)C(C)(C)C)CC2 ZINC001714523686 1202977416 /nfs/dbraw/zinc/97/74/16/1202977416.db2.gz PFEXBIUAWUHVBD-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1ccon1 ZINC001714521926 1202977629 /nfs/dbraw/zinc/97/76/29/1202977629.db2.gz HVXQATZVPXLZEQ-INIZCTEOSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2ncccc2C)CCC1 ZINC001714589031 1203027758 /nfs/dbraw/zinc/02/77/58/1203027758.db2.gz HDRAUQIAXNKATH-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC001714600354 1203040449 /nfs/dbraw/zinc/04/04/49/1203040449.db2.gz HAJHEPYRKQMYFQ-KRWDZBQOSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1ccccc1Cl ZINC001714607762 1203047050 /nfs/dbraw/zinc/04/70/50/1203047050.db2.gz VEYTWEHAYITKTJ-HNNXBMFYSA-N 0 1 306.837 3.387 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001714615480 1203049692 /nfs/dbraw/zinc/04/96/92/1203049692.db2.gz WVJMWXANWIEUSZ-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1)C1CC1 ZINC001714673603 1203094283 /nfs/dbraw/zinc/09/42/83/1203094283.db2.gz MTRFZGYCLUWCJG-VQTJNVASSA-N 0 1 324.468 3.011 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(F)cc(C)cc1F ZINC001714685326 1203100224 /nfs/dbraw/zinc/10/02/24/1203100224.db2.gz CYZCJCIWZRBADQ-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000278923104 1203126443 /nfs/dbraw/zinc/12/64/43/1203126443.db2.gz SAWOEHHCZNLUGR-UHFFFAOYSA-N 0 1 303.753 3.003 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC1CCC1)c1ccc(C(C)C)cc1 ZINC001714833442 1203145175 /nfs/dbraw/zinc/14/51/75/1203145175.db2.gz QMPDYHSWJVFGMV-IBGZPJMESA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccsc1C)c1ccccc1 ZINC001714837425 1203145638 /nfs/dbraw/zinc/14/56/38/1203145638.db2.gz SJWBFMWBQRPUTL-KRWDZBQOSA-N 0 1 312.438 3.141 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(F)c(C)c2)C1 ZINC001714873267 1203168654 /nfs/dbraw/zinc/16/86/54/1203168654.db2.gz WERSUMSHIJXKDA-INIZCTEOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)/C=C/c1ccco1 ZINC001714901683 1203186752 /nfs/dbraw/zinc/18/67/52/1203186752.db2.gz BILPWCIZIAUOGQ-PSKZRQQASA-N 0 1 310.825 3.166 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001714913300 1203196938 /nfs/dbraw/zinc/19/69/38/1203196938.db2.gz LCVTYXYECQNCDE-IKGGRYGDSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001714953473 1203214653 /nfs/dbraw/zinc/21/46/53/1203214653.db2.gz PMMSHJMAZLZFPW-KBPBESRZSA-N 0 1 304.409 3.084 20 30 DGEDMN O=C(CC#Cc1ccccc1)NC1CN(CCC2CCCCC2)C1 ZINC001714951880 1203215011 /nfs/dbraw/zinc/21/50/11/1203215011.db2.gz GBSBHIWYHWBUMI-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C[C@H](C(=O)NCC1CN(Cc2ccccc2)C1)c1ccccc1 ZINC001714981447 1203225557 /nfs/dbraw/zinc/22/55/57/1203225557.db2.gz NTNPHHCSWPWDOF-FQEVSTJZSA-N 0 1 320.436 3.204 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCc2cc(C)sc2C)C1 ZINC001714980921 1203225703 /nfs/dbraw/zinc/22/57/03/1203225703.db2.gz FGSFFGGFFDBPGM-UHFFFAOYSA-N 0 1 320.502 3.312 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H](C)c1ccc2c(c1)CCC2 ZINC001714984746 1203226474 /nfs/dbraw/zinc/22/64/74/1203226474.db2.gz FMRRLNPXYLASRK-XPGWFJOJSA-N 0 1 324.468 3.488 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001714993006 1203228805 /nfs/dbraw/zinc/22/88/05/1203228805.db2.gz YNVCQHNBMZIJOY-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001715003504 1203233276 /nfs/dbraw/zinc/23/32/76/1203233276.db2.gz ZAGXZMUMKOTCKE-GOSISDBHSA-N 0 1 316.420 3.040 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001715041663 1203250493 /nfs/dbraw/zinc/25/04/93/1203250493.db2.gz GACYOPXVNFIROE-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(F)c(Cl)c1 ZINC001715071612 1203262979 /nfs/dbraw/zinc/26/29/79/1203262979.db2.gz IQPDUPUFUKCHHF-LLVKDONJSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CCC2(C)C)CC1 ZINC001715206245 1203296147 /nfs/dbraw/zinc/29/61/47/1203296147.db2.gz UQCUCCOZIWCHFM-HNNXBMFYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C(C)(C)CC(C)C)C1 ZINC001715289264 1203307415 /nfs/dbraw/zinc/30/74/15/1203307415.db2.gz CCBHKSUDLVLVAB-DLBZAZTESA-N 0 1 324.509 3.230 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)OCCCCCC)CC2 ZINC001715313489 1203313595 /nfs/dbraw/zinc/31/35/95/1203313595.db2.gz GCXUTAZKGZAWHD-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@@H](C)NCc2coc(C)n2)C1 ZINC001715316117 1203313885 /nfs/dbraw/zinc/31/38/85/1203313885.db2.gz QQADZYSEOBZYIJ-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc2ncccc21 ZINC001715353027 1203322431 /nfs/dbraw/zinc/32/24/31/1203322431.db2.gz NVEIMXXORPUTAE-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1oc(CC)nc1C ZINC001715358683 1203323598 /nfs/dbraw/zinc/32/35/98/1203323598.db2.gz VAHQPMYTSZJUAH-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(C(F)F)CCCC1 ZINC001715362424 1203325188 /nfs/dbraw/zinc/32/51/88/1203325188.db2.gz WQOUKVLYAJIPRF-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN CCC(CC)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001715368063 1203326090 /nfs/dbraw/zinc/32/60/90/1203326090.db2.gz LLVUWBVVUHZHOA-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2cc(C)no2)CCCC1 ZINC001715378990 1203328940 /nfs/dbraw/zinc/32/89/40/1203328940.db2.gz GNPFZTPPAOSKKZ-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1(CC)CCC1 ZINC001715395937 1203333906 /nfs/dbraw/zinc/33/39/06/1203333906.db2.gz VNOBYAAZMDCFLN-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1cnc(C)o1 ZINC001715482057 1203349157 /nfs/dbraw/zinc/34/91/57/1203349157.db2.gz ZLLQCEXONRGZNE-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1csc(C)n1)C(C)C ZINC001715503968 1203354419 /nfs/dbraw/zinc/35/44/19/1203354419.db2.gz SSMCDOJAJOSCJO-RDJZCZTQSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCNCc1csc(C(C)(C)C)n1 ZINC001715624573 1203375478 /nfs/dbraw/zinc/37/54/78/1203375478.db2.gz QSFPFKIRZFUQFW-CYBMUJFWSA-N 0 1 323.506 3.391 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1c(F)cccc1F)C(C)C ZINC001715726363 1203384672 /nfs/dbraw/zinc/38/46/72/1203384672.db2.gz LKIIMVLWNRNRIU-MRXNPFEDSA-N 0 1 324.415 3.407 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1cccc(C(C)C)n1 ZINC001715752277 1203387773 /nfs/dbraw/zinc/38/77/73/1203387773.db2.gz ZWWIMJLHIMACPO-UHFFFAOYSA-N 0 1 317.477 3.355 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2cc(F)ccc2o1 ZINC001715761038 1203389303 /nfs/dbraw/zinc/38/93/03/1203389303.db2.gz GXGIKFUVRJHUDY-UHFFFAOYSA-N 0 1 324.783 3.376 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN(C)Cc1cccc(C(F)F)c1 ZINC001715816273 1203394960 /nfs/dbraw/zinc/39/49/60/1203394960.db2.gz ALEHOUHTVCZGOB-UHFFFAOYSA-N 0 1 322.399 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1cc(OC)ccc1Cl ZINC001715943498 1203408186 /nfs/dbraw/zinc/40/81/86/1203408186.db2.gz PCKYVXAHIODCQV-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2oc(C(C)C)nc2C)C1 ZINC001715996788 1203418000 /nfs/dbraw/zinc/41/80/00/1203418000.db2.gz XYJCVSKXAMGITC-ZDUSSCGKSA-N 0 1 319.449 3.057 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2cncc(C)c2)CCC1 ZINC001716039659 1203432306 /nfs/dbraw/zinc/43/23/06/1203432306.db2.gz KDNUCRAHNRSODK-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(C)cccc1Cl ZINC001716237481 1203452219 /nfs/dbraw/zinc/45/22/19/1203452219.db2.gz DRAQPTFTHFGZNP-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2ocnc2C)CCCC1 ZINC001716257039 1203454568 /nfs/dbraw/zinc/45/45/68/1203454568.db2.gz GEDSTBSLAACJCJ-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CC#CCCCC(=O)NC/C=C\CN[C@@H](C)c1ccccc1F ZINC001716332133 1203459773 /nfs/dbraw/zinc/45/97/73/1203459773.db2.gz ABASJXPBRGMGHL-YDZSZYSISA-N 0 1 316.420 3.342 20 30 DGEDMN CC#CCCCC(=O)NC/C=C\CN[C@H](C)c1ccccc1F ZINC001716332134 1203459801 /nfs/dbraw/zinc/45/98/01/1203459801.db2.gz ABASJXPBRGMGHL-ZBTGYSDGSA-N 0 1 316.420 3.342 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C/CNCc2ccc(F)cc2F)C1 ZINC001716342287 1203461145 /nfs/dbraw/zinc/46/11/45/1203461145.db2.gz QHRMHSPDPNEFAP-ONEGZZNKSA-N 0 1 320.383 3.083 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1coc2ccccc21 ZINC001716383227 1203463768 /nfs/dbraw/zinc/46/37/68/1203463768.db2.gz WIAZZDCLGQOZFK-SNAWJCMRSA-N 0 1 304.777 3.061 20 30 DGEDMN Cc1cc(CN(C)C[C@@H](C)NC(=O)C#CC(C)(C)C)cs1 ZINC001716478805 1203484319 /nfs/dbraw/zinc/48/43/19/1203484319.db2.gz UUURTFMAEMTDGV-CYBMUJFWSA-N 0 1 306.475 3.043 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2cccc(Cl)c2o1 ZINC001716507808 1203496059 /nfs/dbraw/zinc/49/60/59/1203496059.db2.gz SSDJHPZNUQEMHC-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001716662287 1203518160 /nfs/dbraw/zinc/51/81/60/1203518160.db2.gz CWHJTGHKIIJXSC-HKUYNNGSSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1c(F)cccc1Cl ZINC001716669610 1203521016 /nfs/dbraw/zinc/52/10/16/1203521016.db2.gz SFNHXEOWULRILH-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC=C)c1ccccc1 ZINC001716712482 1203530086 /nfs/dbraw/zinc/53/00/86/1203530086.db2.gz MNXQPIOSZKSFKM-AABGKKOBSA-N 0 1 322.452 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CC(C)C ZINC001716712535 1203530573 /nfs/dbraw/zinc/53/05/73/1203530573.db2.gz OSKAEVWJKRWQCV-KSZLIROESA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(CCCc2ccc(C)cc2)C1 ZINC001716742017 1203535709 /nfs/dbraw/zinc/53/57/09/1203535709.db2.gz BWMRWUWRNOYQCG-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001716750043 1203536850 /nfs/dbraw/zinc/53/68/50/1203536850.db2.gz UXKFGMZEDFKWQK-ZIAGYGMSSA-N 0 1 314.420 3.309 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(CC)s1 ZINC001716781116 1203541802 /nfs/dbraw/zinc/54/18/02/1203541802.db2.gz KRXYFPLMEOOALK-LBPRGKRZSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)CSC)C1CCCC1 ZINC001716815481 1203546846 /nfs/dbraw/zinc/54/68/46/1203546846.db2.gz VMXLMKUQTWESNO-SMDDNHRTSA-N 0 1 318.914 3.003 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001716839352 1203551973 /nfs/dbraw/zinc/55/19/73/1203551973.db2.gz ZFNVMZVAWJIROC-DNVCBOLYSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)CCC)C1 ZINC001716911285 1203567940 /nfs/dbraw/zinc/56/79/40/1203567940.db2.gz UZCNOMXRIDKHAF-UONOGXRCSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC(C)(F)F)c1ccccc1CC ZINC001716954255 1203569496 /nfs/dbraw/zinc/56/94/96/1203569496.db2.gz QWKNOLPOCWCYRW-MRXNPFEDSA-N 0 1 322.399 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC12CCC2)c1ccccc1CC ZINC001716955171 1203569850 /nfs/dbraw/zinc/56/98/50/1203569850.db2.gz HYXGUZBUPMJSLA-RTBURBONSA-N 0 1 324.468 3.209 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C[C@H](C)CC)cccc2C1 ZINC001717143448 1203589765 /nfs/dbraw/zinc/58/97/65/1203589765.db2.gz JLUXETNXMBEHGG-MRXNPFEDSA-N 0 1 312.457 3.120 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C1(CC)CCC1 ZINC001717243691 1203609264 /nfs/dbraw/zinc/60/92/64/1203609264.db2.gz JMROOKWMBKZXML-IBGZPJMESA-N 0 1 324.468 3.133 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)CCCCCC)C(C)(C)C1 ZINC001717311603 1203617816 /nfs/dbraw/zinc/61/78/16/1203617816.db2.gz DVZKDTBXUFTCKF-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)CCCCC)C(C)(C)C1 ZINC001717311751 1203617856 /nfs/dbraw/zinc/61/78/56/1203617856.db2.gz HEPOEOMQQDPXNZ-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](F)C(C)C ZINC001717343770 1203622463 /nfs/dbraw/zinc/62/24/63/1203622463.db2.gz AJRHGKRHSPEGTH-MSOLQXFVSA-N 0 1 318.436 3.272 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001717389774 1203633591 /nfs/dbraw/zinc/63/35/91/1203633591.db2.gz ZXMXMDZTJAAPOI-NFOMZHRRSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1Cl ZINC001717408269 1203638302 /nfs/dbraw/zinc/63/83/02/1203638302.db2.gz XKDHADHBKBSLOV-QWHCGFSZSA-N 0 1 324.827 3.265 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001717425632 1203641566 /nfs/dbraw/zinc/64/15/66/1203641566.db2.gz UZQVJVQMKLSLNT-NWDGAFQWSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1coc(C(F)F)c1 ZINC001717424604 1203641599 /nfs/dbraw/zinc/64/15/99/1203641599.db2.gz ICROTDVMEQKIJL-DTWKUNHWSA-N 0 1 306.740 3.066 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(Cl)c(F)c1 ZINC001717426706 1203641916 /nfs/dbraw/zinc/64/19/16/1203641916.db2.gz JXNCTSRBBYGBSA-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC001717426920 1203642161 /nfs/dbraw/zinc/64/21/61/1203642161.db2.gz MOEGAAZNYPZVKJ-GJZGRUSLSA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(Cl)c1 ZINC001717434475 1203643514 /nfs/dbraw/zinc/64/35/14/1203643514.db2.gz GTGBKKKWQILCKJ-GHMZBOCLSA-N 0 1 301.217 3.189 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001717486429 1203660899 /nfs/dbraw/zinc/66/08/99/1203660899.db2.gz GYZBKKLENBDGGE-AWEZNQCLSA-N 0 1 320.864 3.077 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001717555082 1203680900 /nfs/dbraw/zinc/68/09/00/1203680900.db2.gz NTHFTXCHHRBNRB-OAHLLOKOSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001717556665 1203681144 /nfs/dbraw/zinc/68/11/44/1203681144.db2.gz IFNKZEAIEBYGSW-HNNXBMFYSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001717558985 1203682137 /nfs/dbraw/zinc/68/21/37/1203682137.db2.gz NJIALOTUCGHWQY-HZPDHXFCSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CCC3CCCC3)[C@@H]2C1 ZINC001717589541 1203687824 /nfs/dbraw/zinc/68/78/24/1203687824.db2.gz DGNQCBUUYOSQEN-PHZGNYQRSA-N 0 1 310.869 3.003 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCNCc1nc(C)sc1C ZINC001717680178 1203697799 /nfs/dbraw/zinc/69/77/99/1203697799.db2.gz GXRBNQYXCKMDEH-LBPRGKRZSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCN[C@H](C)c2ncc(C)o2)C1 ZINC001717684339 1203698480 /nfs/dbraw/zinc/69/84/80/1203698480.db2.gz KRHPDOUSGOVZGC-DZGCQCFKSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1oc(C)cc1C ZINC001717728076 1203705615 /nfs/dbraw/zinc/70/56/15/1203705615.db2.gz WHUXJPAEPCQMCD-AWEZNQCLSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)Cc1ccc(C)cc1 ZINC001717740311 1203707972 /nfs/dbraw/zinc/70/79/72/1203707972.db2.gz SDUJNAMAHKCRFC-KRWDZBQOSA-N 0 1 320.864 3.117 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1cc(C)ns1)CC2 ZINC001717833783 1203718192 /nfs/dbraw/zinc/71/81/92/1203718192.db2.gz YJQDFKYYQMNGRI-DOTOQJQBSA-N 0 1 319.474 3.031 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)C(C)(C)C ZINC001717967485 1203737517 /nfs/dbraw/zinc/73/75/17/1203737517.db2.gz GDEOVYMGVBUOEN-UMVBOHGHSA-N 0 1 324.852 3.256 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001718027147 1203744143 /nfs/dbraw/zinc/74/41/43/1203744143.db2.gz ANNHYTMXHCJUMZ-OAHLLOKOSA-N 0 1 318.383 3.289 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(C#N)ccc2O)nc2ccccc12 ZINC000176408809 1203930185 /nfs/dbraw/zinc/93/01/85/1203930185.db2.gz MUJPGBMRWLTBLJ-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001723329413 1203963883 /nfs/dbraw/zinc/96/38/83/1203963883.db2.gz RWTSKAQNCKJPAU-SIRQDLBWSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1[C@H](C)c1cccc(C)c1 ZINC001723423460 1203970143 /nfs/dbraw/zinc/97/01/43/1203970143.db2.gz OOQOEKRBPAEHED-MJGOQNOKSA-N 0 1 312.457 3.440 20 30 DGEDMN Cc1ccc(CN2CC[C@@H]2CNC(=O)C#CC(C)(C)C)c(C)c1 ZINC001723423972 1203970156 /nfs/dbraw/zinc/97/01/56/1203970156.db2.gz LRBVVSZJOQCBQL-GOSISDBHSA-N 0 1 312.457 3.043 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001723454087 1203973921 /nfs/dbraw/zinc/97/39/21/1203973921.db2.gz UZSLVHYSUGOGMT-SJLPKXTDSA-N 0 1 320.502 3.474 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001723551436 1203981907 /nfs/dbraw/zinc/98/19/07/1203981907.db2.gz FQTWUTDJKYAHLD-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC001723777266 1203992933 /nfs/dbraw/zinc/99/29/33/1203992933.db2.gz VMYCHBYDNKSYFX-RHSMWYFYSA-N 0 1 316.445 3.054 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C(C)(C)C(F)(F)F)C1 ZINC001723814602 1203994443 /nfs/dbraw/zinc/99/44/43/1203994443.db2.gz KAEWBLLLGBLCJC-LLVKDONJSA-N 0 1 306.372 3.072 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001723814499 1203994588 /nfs/dbraw/zinc/99/45/88/1203994588.db2.gz GIPIWLUZIUGEEB-WBVHZDCISA-N 0 1 322.493 3.079 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001724174551 1204010977 /nfs/dbraw/zinc/01/09/77/1204010977.db2.gz IVOBKNNHISLNGD-VQIMIIECSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCC(=O)NCC1(C)CCN(Cc2ccns2)CC1 ZINC001724285513 1204017959 /nfs/dbraw/zinc/01/79/59/1204017959.db2.gz MVDLOGGWCPJBMO-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C[C@@H](C)CCC)C1 ZINC001724377297 1204025232 /nfs/dbraw/zinc/02/52/32/1204025232.db2.gz WHZZTTJXTWVWTI-LSDHHAIUSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H]1CC=CCC1)c1ccccc1CC ZINC001724512401 1204026777 /nfs/dbraw/zinc/02/67/77/1204026777.db2.gz SQIOUQPCGDSSPI-PXNSSMCTSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H](C)CC ZINC001724614972 1204033197 /nfs/dbraw/zinc/03/31/97/1204033197.db2.gz JHJVFLBKGNNZGK-AWEZNQCLSA-N 0 1 308.853 3.403 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H](C)C(C)C)cccc2C1 ZINC001724777271 1204045212 /nfs/dbraw/zinc/04/52/12/1204045212.db2.gz PFHAVQZBTYFSDU-OAHLLOKOSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1cncc(F)c1 ZINC001724854524 1204057517 /nfs/dbraw/zinc/05/75/17/1204057517.db2.gz ACJCUVSYLLFPLR-SFHVURJKSA-N 0 1 321.440 3.103 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001724969959 1204073241 /nfs/dbraw/zinc/07/32/41/1204073241.db2.gz JIJKHLPCLRJOQW-DLBZAZTESA-N 0 1 322.468 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)c(OC)c(C)c1 ZINC001725093854 1204093188 /nfs/dbraw/zinc/09/31/88/1204093188.db2.gz PKSJRUUDXPPVGC-KGLIPLIRSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)c(OC)c(C)c1 ZINC001725093862 1204093275 /nfs/dbraw/zinc/09/32/75/1204093275.db2.gz PKSJRUUDXPPVGC-ZIAGYGMSSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)c(OC)c(C)c1 ZINC001725093858 1204093374 /nfs/dbraw/zinc/09/33/74/1204093374.db2.gz PKSJRUUDXPPVGC-UONOGXRCSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cnc2ccccc2c1 ZINC001725106879 1204096246 /nfs/dbraw/zinc/09/62/46/1204096246.db2.gz JQAFHDRAOGELSF-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(Cl)ccc1C ZINC001725112881 1204097305 /nfs/dbraw/zinc/09/73/05/1204097305.db2.gz CUVDXYBUKMHSML-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001725136663 1204101446 /nfs/dbraw/zinc/10/14/46/1204101446.db2.gz UKNUOJBMIJTOLE-CVEARBPZSA-N 0 1 313.445 3.287 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CC(C)(C)CNCc1ncc(C)o1 ZINC001725145881 1204102846 /nfs/dbraw/zinc/10/28/46/1204102846.db2.gz BTJCABDTUPJNPS-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCc1cc(C)sc1C ZINC001725199333 1204117910 /nfs/dbraw/zinc/11/79/10/1204117910.db2.gz BENQXLUPUHIUGQ-CQSZACIVSA-N 0 1 320.502 3.147 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](CC)CCCCC)C(C)(C)C1 ZINC001725301473 1204135426 /nfs/dbraw/zinc/13/54/26/1204135426.db2.gz XCOJSDHMTYCIOB-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)cs1 ZINC001725450392 1204152479 /nfs/dbraw/zinc/15/24/79/1204152479.db2.gz GHPFZIGXLHHXKI-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccnc2ccccc21 ZINC001725498033 1204159537 /nfs/dbraw/zinc/15/95/37/1204159537.db2.gz DBUUPAUFTRVVQW-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC/C=C\c2ccccc2)[C@H]1C ZINC001725642253 1204189162 /nfs/dbraw/zinc/18/91/62/1204189162.db2.gz UZHCBOIQFYEWEM-OJECNVDMSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1C[C@H]1c1ccsc1)C(C)C ZINC001725727461 1204201754 /nfs/dbraw/zinc/20/17/54/1204201754.db2.gz HFNJEIMSCKNKMJ-DLBZAZTESA-N 0 1 318.486 3.044 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CC1CCCC1)C(C)(C)C ZINC001725734990 1204206383 /nfs/dbraw/zinc/20/63/83/1204206383.db2.gz GZJHZYHFHJZGNG-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC[C@H]1CC ZINC001731314970 1204370764 /nfs/dbraw/zinc/37/07/64/1204370764.db2.gz CDYICEZPHUBGKX-CABCVRRESA-N 0 1 300.874 3.393 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2ccnc(Cl)c2)C1 ZINC001731265843 1204357212 /nfs/dbraw/zinc/35/72/12/1204357212.db2.gz LZOPGNSFJBURRB-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCCC[C@H]1C ZINC001731314659 1204370986 /nfs/dbraw/zinc/37/09/86/1204370986.db2.gz QLHFDHPVJQJOIQ-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC/C=C\c2ccccc2)C1 ZINC001731354372 1204383802 /nfs/dbraw/zinc/38/38/02/1204383802.db2.gz SPZDZLFTJNOBPR-DIBVOSKASA-N 0 1 310.441 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001731374170 1204387767 /nfs/dbraw/zinc/38/77/67/1204387767.db2.gz LCRZIHNRKYPMGT-VQIMIIECSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2nc(C)c(C)s2)CC1 ZINC001731387014 1204391844 /nfs/dbraw/zinc/39/18/44/1204391844.db2.gz CWOMUJIIZIZKKU-UHFFFAOYSA-N 0 1 321.490 3.263 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2sccc2Cl)CC1 ZINC001731386121 1204391894 /nfs/dbraw/zinc/39/18/94/1204391894.db2.gz PDMYSADEKOHKFU-UHFFFAOYSA-N 0 1 324.877 3.351 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1csc(C)n1)C1CC1 ZINC001731404994 1204399425 /nfs/dbraw/zinc/39/94/25/1204399425.db2.gz HWEPUBRRZRTTEZ-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)SC ZINC001731421621 1204408190 /nfs/dbraw/zinc/40/81/90/1204408190.db2.gz FHESQAUGCCEQTM-OAGGEKHMSA-N 0 1 310.507 3.160 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001731485135 1204427695 /nfs/dbraw/zinc/42/76/95/1204427695.db2.gz LNPFZKHYEDYZPM-AHIWAGSCSA-N 0 1 321.490 3.045 20 30 DGEDMN CCC1(C(=O)NC[C@H]2CCCN(CC#Cc3ccccc3)C2)CC1 ZINC001731503543 1204436040 /nfs/dbraw/zinc/43/60/40/1204436040.db2.gz QEUOZDLALPIANY-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1cccc(F)c1 ZINC001731543323 1204453852 /nfs/dbraw/zinc/45/38/52/1204453852.db2.gz WUSWHUNFJWBRDT-RHSMWYFYSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cncs2)C1 ZINC001731558515 1204461158 /nfs/dbraw/zinc/46/11/58/1204461158.db2.gz KWNNZBZTGILANQ-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(F)c(Cl)c1F ZINC001731614738 1204483581 /nfs/dbraw/zinc/48/35/81/1204483581.db2.gz KDJVFNBOFJQOJE-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@@H](NCc2coc(C)n2)C1 ZINC001731691139 1204505969 /nfs/dbraw/zinc/50/59/69/1204505969.db2.gz WCSXBCLTLHAYTI-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN CCC[C@H](C)C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001731726702 1204525377 /nfs/dbraw/zinc/52/53/77/1204525377.db2.gz WSWYQOXRZWJUJE-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)CCCC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001731788442 1204560007 /nfs/dbraw/zinc/56/00/07/1204560007.db2.gz UYPPYLGKJROZGR-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1[C@@H](C)c1ccc(F)cc1 ZINC001731823642 1204587023 /nfs/dbraw/zinc/58/70/23/1204587023.db2.gz IBOKTFBEYXYGJB-MAUKXSAKSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cccc(F)c1 ZINC001731830703 1204590630 /nfs/dbraw/zinc/59/06/30/1204590630.db2.gz BUPFHVIVQVAZJT-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C(C)=C1CCC1 ZINC001731864097 1204608335 /nfs/dbraw/zinc/60/83/35/1204608335.db2.gz SEYPTDOAOFSRMQ-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cc(Cl)ccc1OC ZINC001731941238 1204632604 /nfs/dbraw/zinc/63/26/04/1204632604.db2.gz NXVOQRHNEODFQR-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)nc(C)c1)C(C)C ZINC001732009566 1204647990 /nfs/dbraw/zinc/64/79/90/1204647990.db2.gz JRLSKOFBJDBAGQ-UHFFFAOYSA-N 0 1 323.868 3.281 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC1(C)CC1)c1ccc(C(C)C)cc1 ZINC001732017149 1204649574 /nfs/dbraw/zinc/64/95/74/1204649574.db2.gz CHUSCPQXHQKFHA-SFHVURJKSA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](NC/C=C/Cl)c1ccccc1 ZINC001732021817 1204652486 /nfs/dbraw/zinc/65/24/86/1204652486.db2.gz IVEROWULSFAXLZ-VEMDQMBVSA-N 0 1 318.848 3.380 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001732040119 1204661700 /nfs/dbraw/zinc/66/17/00/1204661700.db2.gz WAONEEXHXBHLST-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc3ccccn3c2)C1 ZINC001732077752 1204682002 /nfs/dbraw/zinc/68/20/02/1204682002.db2.gz QOZJCXWZNZBCHK-GOSISDBHSA-N 0 1 311.429 3.052 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc3ccccn3c2)C1 ZINC001732077753 1204682960 /nfs/dbraw/zinc/68/29/60/1204682960.db2.gz QOZJCXWZNZBCHK-SFHVURJKSA-N 0 1 311.429 3.052 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001732079193 1204683845 /nfs/dbraw/zinc/68/38/45/1204683845.db2.gz CMTFNBKCNHRFKL-LSDHHAIUSA-N 0 1 321.490 3.026 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC001732091731 1204691516 /nfs/dbraw/zinc/69/15/16/1204691516.db2.gz HCJRGKQGGFBWQC-SFHVURJKSA-N 0 1 315.461 3.135 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@H](C)c2cccc(OC)c2)C1 ZINC001732130949 1204706487 /nfs/dbraw/zinc/70/64/87/1204706487.db2.gz FNQOWHJFBMVZFT-OAHLLOKOSA-N 0 1 316.445 3.161 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001732139122 1204710379 /nfs/dbraw/zinc/71/03/79/1204710379.db2.gz SYHCXHWGMGPVFG-ZDUSSCGKSA-N 0 1 306.837 3.068 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C(C)C)cc1 ZINC001732143746 1204712065 /nfs/dbraw/zinc/71/20/65/1204712065.db2.gz ZWIFFIOTKMKKCZ-PMACEKPBSA-N 0 1 324.468 3.399 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001732158438 1204720031 /nfs/dbraw/zinc/72/00/31/1204720031.db2.gz OYFROOPCIIOLAC-PBHICJAKSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001732165268 1204722440 /nfs/dbraw/zinc/72/24/40/1204722440.db2.gz LPHIBKXXGNCTBC-MRXNPFEDSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001732164807 1204722918 /nfs/dbraw/zinc/72/29/18/1204722918.db2.gz HILKPWUVLSFPDI-INIZCTEOSA-N 0 1 306.475 3.446 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001732168762 1204725492 /nfs/dbraw/zinc/72/54/92/1204725492.db2.gz NWBLFFFSDOERHQ-MRXNPFEDSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001732169905 1204725923 /nfs/dbraw/zinc/72/59/23/1204725923.db2.gz WZPUTNGAKHZRNL-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001732168687 1204726077 /nfs/dbraw/zinc/72/60/77/1204726077.db2.gz KPBOLBKEQFFCPI-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001732170109 1204726367 /nfs/dbraw/zinc/72/63/67/1204726367.db2.gz TXXIAUYVKSJNMU-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001732198021 1204745979 /nfs/dbraw/zinc/74/59/79/1204745979.db2.gz NYYATIBGGMHZDL-OIQJVACTSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@@H]1CCc2ccccc21 ZINC001732221301 1204757517 /nfs/dbraw/zinc/75/75/17/1204757517.db2.gz ZZYMPXHMPQSKJR-JKSUJKDBSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001732325686 1204792377 /nfs/dbraw/zinc/79/23/77/1204792377.db2.gz ACHBIXLGOSYQMY-DLBZAZTESA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CNCc1csc(CCC)n1 ZINC001732326641 1204793111 /nfs/dbraw/zinc/79/31/11/1204793111.db2.gz UDPVYDNOZKTHIY-OAHLLOKOSA-N 0 1 321.490 3.142 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)sc1C ZINC001732427684 1204835294 /nfs/dbraw/zinc/83/52/94/1204835294.db2.gz NRUMOSROXBXNRJ-SNVBAGLBSA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001732437650 1204840322 /nfs/dbraw/zinc/84/03/22/1204840322.db2.gz NIGUCWGSBDYEOX-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)c1cccc(F)c1 ZINC001732456351 1204851248 /nfs/dbraw/zinc/85/12/48/1204851248.db2.gz YUQQRCBFGLRWSD-CHWSQXEVSA-N 0 1 312.816 3.118 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732577713 1204905159 /nfs/dbraw/zinc/90/51/59/1204905159.db2.gz YJAUJOHLVIMDGQ-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN CC(C)=C(C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001732588549 1204911202 /nfs/dbraw/zinc/91/12/02/1204911202.db2.gz NRSALRXSEUXZIO-UHFFFAOYSA-N 0 1 313.445 3.195 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001732789861 1204943549 /nfs/dbraw/zinc/94/35/49/1204943549.db2.gz QOZASJOOLDCCBZ-UHFFFAOYSA-N 0 1 319.836 3.281 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCc1nc(C)c(C)s1 ZINC001732795758 1204945330 /nfs/dbraw/zinc/94/53/30/1204945330.db2.gz SNJMCKJNYLVHAO-KRWDZBQOSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccsc1C(F)F ZINC001732808691 1204946491 /nfs/dbraw/zinc/94/64/91/1204946491.db2.gz QCKCXXIREKJSHG-UHFFFAOYSA-N 0 1 322.808 3.490 20 30 DGEDMN C[C@H](NC/C=C\CNC(=O)C#CC(C)(C)C)c1ccccc1F ZINC001732850500 1204952073 /nfs/dbraw/zinc/95/20/73/1204952073.db2.gz VSHIOPBHEFLNDU-LZNQSLFOSA-N 0 1 316.420 3.198 20 30 DGEDMN CC1(C)CCC[C@H]1C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001732891419 1204955460 /nfs/dbraw/zinc/95/54/60/1204955460.db2.gz ZLPODSHKYZPDJO-RURPWVQCSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(F)c2ccccc12 ZINC001732973039 1204969872 /nfs/dbraw/zinc/96/98/72/1204969872.db2.gz RASOVQODPCZVIL-GFCCVEGCSA-N 0 1 320.795 3.439 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001732996545 1204977320 /nfs/dbraw/zinc/97/73/20/1204977320.db2.gz MCIMVKIHMYCTLF-YNEHKIRRSA-N 0 1 312.866 3.007 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1coc2ccc(CC)cc12 ZINC001733037225 1204993023 /nfs/dbraw/zinc/99/30/23/1204993023.db2.gz DWEOYOWADULPRJ-CQSZACIVSA-N 0 1 312.413 3.069 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC(C)=C(C)C1)C1CC1 ZINC001733170708 1205032798 /nfs/dbraw/zinc/03/27/98/1205032798.db2.gz IVRAFMVQZNLSCI-CVEARBPZSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001733213068 1205046668 /nfs/dbraw/zinc/04/66/68/1205046668.db2.gz MMIGHBMCSTYVPK-KRWDZBQOSA-N 0 1 318.436 3.449 20 30 DGEDMN Cc1cccc(Cl)c1CNC1(CNC(=O)C#CC(C)C)CC1 ZINC001733242773 1205067379 /nfs/dbraw/zinc/06/73/79/1205067379.db2.gz KJQVWBKKJYXJBT-UHFFFAOYSA-N 0 1 318.848 3.046 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CCC3CC3)C2)CCCCC1 ZINC001733317704 1205093837 /nfs/dbraw/zinc/09/38/37/1205093837.db2.gz HNSBCYAVNBCECD-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C1CN(CCCC)C1)c1ccccc1 ZINC001733317309 1205094464 /nfs/dbraw/zinc/09/44/64/1205094464.db2.gz CISPIXIVJWVWAV-INIZCTEOSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1ccsc1 ZINC001733348216 1205102012 /nfs/dbraw/zinc/10/20/12/1205102012.db2.gz HLFFMIFHHZNPKC-JSGCOSHPSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C)CC(=C)C1)C1CCCC1 ZINC001733382617 1205111646 /nfs/dbraw/zinc/11/16/46/1205111646.db2.gz JXAJHPISRAKPMN-OAHLLOKOSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)CC)C1CCCC1 ZINC001733383621 1205112491 /nfs/dbraw/zinc/11/24/91/1205112491.db2.gz XIERPOKNJUJDPJ-DOMZBBRYSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001733450211 1205136394 /nfs/dbraw/zinc/13/63/94/1205136394.db2.gz OWKYJCNKVPPLFV-FICVDOATSA-N 0 1 310.869 3.003 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](CCC)CC(C)C)C1 ZINC001733468893 1205147296 /nfs/dbraw/zinc/14/72/96/1205147296.db2.gz SYCMLIQWUSOMPO-IEBWSBKVSA-N 0 1 306.494 3.300 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C[C@@H](C)CC)C1 ZINC001733474063 1205148196 /nfs/dbraw/zinc/14/81/96/1205148196.db2.gz BWVKKCHQCNTSPW-KBPBESRZSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](CCC)C(C)C)C1 ZINC001733476590 1205149046 /nfs/dbraw/zinc/14/90/46/1205149046.db2.gz JDFCFTJWBATEIS-CABCVRRESA-N 0 1 316.436 3.318 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001733475595 1205149559 /nfs/dbraw/zinc/14/95/59/1205149559.db2.gz BKVYOWZIIXRTPG-GOEBONIOSA-N 0 1 314.420 3.238 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)CC)C1 ZINC001733478957 1205150088 /nfs/dbraw/zinc/15/00/88/1205150088.db2.gz WGAJCRPVCPYECY-ZDUSSCGKSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)c1ccccc1CC ZINC001733518202 1205151882 /nfs/dbraw/zinc/15/18/82/1205151882.db2.gz MRIXYJNKGYXGMU-ZCNNSNEGSA-N 0 1 324.468 3.065 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CC(C)C1)c1ccccc1CC ZINC001733519436 1205152862 /nfs/dbraw/zinc/15/28/62/1205152862.db2.gz YIVIVBLPHBZBEZ-KVWWFHCMSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cc(C)ns2)CC1 ZINC001733761844 1205202008 /nfs/dbraw/zinc/20/20/08/1205202008.db2.gz ZHANDSISXPEACA-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001733761828 1205202109 /nfs/dbraw/zinc/20/21/09/1205202109.db2.gz YZOCDMOFOIBLLJ-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCC1(C(=O)N(C)CCCN(C)C/C=C\Cl)CCCCC1 ZINC001733781238 1205217618 /nfs/dbraw/zinc/21/76/18/1205217618.db2.gz GDHHEIDIWOONEX-JYRVWZFOSA-N 0 1 324.896 3.493 20 30 DGEDMN C=C(Cl)CN1CCC(CCCCCNC(=O)C(F)F)CC1 ZINC001733807594 1205222005 /nfs/dbraw/zinc/22/20/05/1205222005.db2.gz DXJRVDBCTNTDLB-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001733814167 1205225066 /nfs/dbraw/zinc/22/50/66/1205225066.db2.gz PFXXCUSRFMUBLQ-PRCIHIDRSA-N 0 1 322.880 3.103 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCCC(C)(C)C)C(C)(C)C1 ZINC001733873915 1205239345 /nfs/dbraw/zinc/23/93/45/1205239345.db2.gz XKWOCAFINLFJAX-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)CC ZINC001733906725 1205250476 /nfs/dbraw/zinc/25/04/76/1205250476.db2.gz GGWGHFAVGHVXPA-SFHVURJKSA-N 0 1 312.457 3.162 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](CC)CC(C)C ZINC001733924349 1205256147 /nfs/dbraw/zinc/25/61/47/1205256147.db2.gz FVQZHHRPDRROPD-KFKAGJAMSA-N 0 1 312.457 3.064 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C/C=C/c1ccc(F)cc1 ZINC001733932359 1205261998 /nfs/dbraw/zinc/26/19/98/1205261998.db2.gz NWBXUFNEZHCILX-JXTAAOLFSA-N 0 1 316.420 3.338 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001733943139 1205267456 /nfs/dbraw/zinc/26/74/56/1205267456.db2.gz GMDCHUVPLNRHKV-KMFTYDHNSA-N 0 1 324.468 3.217 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(C)CCC(F)(F)CC1 ZINC001733980878 1205277757 /nfs/dbraw/zinc/27/77/57/1205277757.db2.gz XLNKQIKYIDQEAL-VXGBXAGGSA-N 0 1 322.827 3.437 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)cc(OC)c1C ZINC001733982085 1205278542 /nfs/dbraw/zinc/27/85/42/1205278542.db2.gz DZWZRXNOTDMAFT-UONOGXRCSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001733985363 1205279270 /nfs/dbraw/zinc/27/92/70/1205279270.db2.gz PHKNJBWAEKBPLP-NEPJUHHUSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C(C1CCC1)C1CCC1 ZINC001733990106 1205281400 /nfs/dbraw/zinc/28/14/00/1205281400.db2.gz BYJHFUMYVWINNZ-STQMWFEESA-N 0 1 312.885 3.438 20 30 DGEDMN CCC1(C(=O)N[C@H](C)[C@H](C)NCc2ccccc2C#N)CCC1 ZINC001733993548 1205281872 /nfs/dbraw/zinc/28/18/72/1205281872.db2.gz LLFMWFIEBTTWMA-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(C)C(C)(C)C1(C)C ZINC001734005995 1205286133 /nfs/dbraw/zinc/28/61/33/1205286133.db2.gz OTIBYIIBUKRYKT-VXGBXAGGSA-N 0 1 300.874 3.294 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001734150778 1205336420 /nfs/dbraw/zinc/33/64/20/1205336420.db2.gz VBEBUPVCWJWLHN-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1CC2(CCC2)C1 ZINC001734303761 1205359931 /nfs/dbraw/zinc/35/99/31/1205359931.db2.gz BOBYAQDPTZYMDC-HNNXBMFYSA-N 0 1 310.869 3.146 20 30 DGEDMN C[C@H](CN(C)C(=O)CC(C)(C)C)NCc1ccc(C#N)cc1F ZINC001734313067 1205362487 /nfs/dbraw/zinc/36/24/87/1205362487.db2.gz KIOYYSLLABBCFZ-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(CC)C(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001734314985 1205362897 /nfs/dbraw/zinc/36/28/97/1205362897.db2.gz UNAOVRCPANIQLA-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001734320538 1205364227 /nfs/dbraw/zinc/36/42/27/1205364227.db2.gz LOAUVBRMCRSCQQ-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001734335297 1205366484 /nfs/dbraw/zinc/36/64/84/1205366484.db2.gz BBJFCBFFRDFBIG-MRVPVSSYSA-N 0 1 324.639 3.124 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1cnc(Cl)s1 ZINC001734339735 1205367092 /nfs/dbraw/zinc/36/70/92/1205367092.db2.gz BMTUDQHRHYFMMR-LLVKDONJSA-N 0 1 315.870 3.089 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1F ZINC001734340966 1205367446 /nfs/dbraw/zinc/36/74/46/1205367446.db2.gz KZFAZEMUCKZJTO-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)C[C@@H]1C ZINC001734417881 1205374684 /nfs/dbraw/zinc/37/46/84/1205374684.db2.gz RWLPSKMATMYUDH-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001734449458 1205379161 /nfs/dbraw/zinc/37/91/61/1205379161.db2.gz FUYPQYIDUBJPGL-RHSMWYFYSA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cccnc2C)[C@H]1C ZINC001734457435 1205380494 /nfs/dbraw/zinc/38/04/94/1205380494.db2.gz JIXVLVNMWKMVSE-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1C=CC=CC=C1)C(C)(C)C ZINC001734561824 1205395064 /nfs/dbraw/zinc/39/50/64/1205395064.db2.gz REOPGIYWHMJAFQ-HNNXBMFYSA-N 0 1 308.853 3.158 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)c2ccccc2C)CC1 ZINC001734639461 1205408271 /nfs/dbraw/zinc/40/82/71/1205408271.db2.gz RWPUXHJHXGFRNV-UHFFFAOYSA-N 0 1 324.468 3.044 20 30 DGEDMN C=CCN1CCN(c2cc(Cl)nc3c(OC)cccc23)CC1 ZINC001165033605 721863213 /nfs/dbraw/zinc/86/32/13/721863213.db2.gz SLDWGCYDQHXBPA-UHFFFAOYSA-N 0 1 317.820 3.205 20 30 DGEDMN C[C@]([NH2+]CC#Cc1ccccc1Cl)(C(=O)[O-])c1ccccc1 ZINC001589122128 956132038 /nfs/dbraw/zinc/13/20/38/956132038.db2.gz DWWACRRDMKYMHP-GOSISDBHSA-N 0 1 313.784 3.281 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)CCOc1ccccc1C(=O)[O-] ZINC001589174984 956487998 /nfs/dbraw/zinc/48/79/98/956487998.db2.gz LQXFHIQTVGEOBJ-AWEZNQCLSA-N 0 1 324.380 3.328 20 30 DGEDMN C[C@H](C#N)C[N@H+](C)Cc1c(C(=O)[O-])sc2cccc(F)c21 ZINC000042455932 957418181 /nfs/dbraw/zinc/41/81/81/957418181.db2.gz MHLYDQOLCYZIGI-SECBINFHSA-N 0 1 306.362 3.330 20 30 DGEDMN C[C@H](C#N)C[N@@H+](C)Cc1c(C(=O)[O-])sc2cccc(F)c21 ZINC000042455932 957418185 /nfs/dbraw/zinc/41/81/85/957418185.db2.gz MHLYDQOLCYZIGI-SECBINFHSA-N 0 1 306.362 3.330 20 30 DGEDMN COc1ccc(C[N@@H+](CCC(=O)[O-])Cc2ccccc2)cc1C#N ZINC000701239944 976999699 /nfs/dbraw/zinc/99/96/99/976999699.db2.gz UBHGYMGNAYVRLQ-UHFFFAOYSA-N 0 1 324.380 3.044 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001588664443 983800353 /nfs/dbraw/zinc/80/03/53/983800353.db2.gz VTBYTRASLHYBIV-TZMCWYRMSA-N 0 1 317.282 3.215 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](CC)Cc1cccc(Br)c1 ZINC001588728404 983981833 /nfs/dbraw/zinc/98/18/33/983981833.db2.gz JQZIVUOIAIYMRL-ZDUSSCGKSA-N 0 1 312.207 3.300 20 30 DGEDMN CC(=[NH+]Nc1cccc(F)c1C(=O)[O-])c1ccc(N)cc1C ZINC001589017608 985004772 /nfs/dbraw/zinc/00/47/72/985004772.db2.gz VZIKZUJFCROMBM-UHFFFAOYSA-N 0 1 301.321 3.251 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CCC[C@H](CCC(=O)[O-])C2)cc1 ZINC001589336317 986221712 /nfs/dbraw/zinc/22/17/12/986221712.db2.gz YXBLNSTYHIEFAQ-LSDHHAIUSA-N 0 1 316.401 3.054 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593687403 996127501 /nfs/dbraw/zinc/12/75/01/996127501.db2.gz ZDBDDLVBWODFTF-CYBMUJFWSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593687403 996127502 /nfs/dbraw/zinc/12/75/02/996127502.db2.gz ZDBDDLVBWODFTF-CYBMUJFWSA-N 0 1 322.364 3.212 20 30 DGEDMN COc1ccc([C@@H](C)[NH2+]Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001599508250 997250055 /nfs/dbraw/zinc/25/00/55/997250055.db2.gz MYQKNEZPFMVTAQ-GFCCVEGCSA-N 0 1 310.353 3.116 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccccc2Cl)C1 ZINC001671188180 1194762630 /nfs/dbraw/zinc/76/26/30/1194762630.db2.gz HWRFBDUPKBZDGG-ZDUSSCGKSA-N 0 1 306.837 3.451 20 30 DGEDMN CCC[C@H](C)C(=O)NCCN(CC)Cc1ccc(F)c(C#N)c1 ZINC001266381419 1081721771 /nfs/dbraw/zinc/72/17/71/1081721771.db2.gz ZBBKQDSWHQZHOE-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@@H](NCC(=C)Cl)C2CC2)cc1 ZINC001266432300 1081800403 /nfs/dbraw/zinc/80/04/03/1081800403.db2.gz YOVIKNIIAZRPMM-MRXNPFEDSA-N 0 1 304.821 3.180 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(Cc3ccccc3)CCC1)C2 ZINC001109414726 1081830760 /nfs/dbraw/zinc/83/07/60/1081830760.db2.gz IPOFTQBNCYDANV-QYZOEREBSA-N 0 1 324.468 3.307 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001266464612 1081860832 /nfs/dbraw/zinc/86/08/32/1081860832.db2.gz DRVOAAKTMRYYTN-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)C(C)(F)F)C1 ZINC001266466307 1081863534 /nfs/dbraw/zinc/86/35/34/1081863534.db2.gz MGLIXQCCQHODAS-CHWSQXEVSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)C(C)(F)F)C1 ZINC001266466309 1081863917 /nfs/dbraw/zinc/86/39/17/1081863917.db2.gz MGLIXQCCQHODAS-QWHCGFSZSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCN2Cc2ccsc2)C1 ZINC001266482642 1081886125 /nfs/dbraw/zinc/88/61/25/1081886125.db2.gz FTWAKIAAPFFNTP-OAHLLOKOSA-N 0 1 304.459 3.185 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccccc1C ZINC001109625354 1081888471 /nfs/dbraw/zinc/88/84/71/1081888471.db2.gz ZZGCKEFLGQKVJE-AABGKKOBSA-N 0 1 324.468 3.410 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2cccc(F)c2Cl)C1 ZINC001266503439 1081911100 /nfs/dbraw/zinc/91/11/00/1081911100.db2.gz VJJZNKSOOPMGPF-ZDUSSCGKSA-N 0 1 324.827 3.383 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](CC)C(C)(C)C)C2 ZINC001109966345 1081942005 /nfs/dbraw/zinc/94/20/05/1081942005.db2.gz MLBOUXMXDWKYDI-NCOADZHNSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CCC)c1ccccc1)C2 ZINC001110067542 1081958354 /nfs/dbraw/zinc/95/83/54/1081958354.db2.gz HGJKVHSMURAWHU-XWSJACJDSA-N 0 1 312.457 3.478 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC[C@H]2CCC[C@@H](C)C2)CC1 ZINC001112809748 1082008390 /nfs/dbraw/zinc/00/83/90/1082008390.db2.gz PBUQNQWMMMJRBU-QZTJIDSGSA-N 0 1 304.478 3.151 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1Nc1ccccc1C#N ZINC001210739804 1082012691 /nfs/dbraw/zinc/01/26/91/1082012691.db2.gz LRNNZKSVOSATKA-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C[C@H]1CCC[C@H](CCN2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001112834405 1082015434 /nfs/dbraw/zinc/01/54/34/1082015434.db2.gz LUYSRQKFYHAYAR-ZWKOTPCHSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCN(CC/C=C\CC)CC1 ZINC001112850750 1082022792 /nfs/dbraw/zinc/02/27/92/1082022792.db2.gz DKBZQYYQDOKCJP-ALCCZGGFSA-N 0 1 322.493 3.250 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H](OCC)C2=CCCC2)CC1 ZINC001112857090 1082026964 /nfs/dbraw/zinc/02/69/64/1082026964.db2.gz XIIGPZOQJJWUOM-SFHVURJKSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2nsc3ccccc32)CC1 ZINC001112880921 1082033985 /nfs/dbraw/zinc/03/39/85/1082033985.db2.gz UCZZQQAQJZMGHJ-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CCC(=O)NCCN(Cc1ccc(C)nc1C)C1CC1 ZINC001266594741 1082045932 /nfs/dbraw/zinc/04/59/32/1082045932.db2.gz QOXMNSCNXLGTGF-UHFFFAOYSA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(CCCc2ccccc2C)CC1 ZINC001112904042 1082046419 /nfs/dbraw/zinc/04/64/19/1082046419.db2.gz CEPBAZBKSAPLRY-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN COc1ncnc(Nc2cc(Cl)c(O)c(Cl)c2)c1C#N ZINC001210927120 1082047979 /nfs/dbraw/zinc/04/79/79/1082047979.db2.gz LLOIOSBYNCDTKB-UHFFFAOYSA-N 0 1 311.128 3.113 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CCCCCC)CC1)OCC ZINC001112908601 1082049138 /nfs/dbraw/zinc/04/91/38/1082049138.db2.gz BODVKYVPBDDILQ-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC001112940000 1082057305 /nfs/dbraw/zinc/05/73/05/1082057305.db2.gz QGLPMPXVHUSFCY-UHFFFAOYSA-N 0 1 315.461 3.396 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3c(o2)CCCC3)CC1 ZINC001112944434 1082060443 /nfs/dbraw/zinc/06/04/43/1082060443.db2.gz DGNIXZPSRFQDDL-OAHLLOKOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C\c2ccc(F)cc2)CC1 ZINC001113008547 1082083177 /nfs/dbraw/zinc/08/31/77/1082083177.db2.gz UKALKDBNKMZUAZ-WAYWQWQTSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001086575051 1082106652 /nfs/dbraw/zinc/10/66/52/1082106652.db2.gz VXRVKLKQSIZXRQ-RBUKOAKNSA-N 0 1 324.424 3.280 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@]23CCC[C@H]2OCC3)CC1 ZINC001113094375 1082113813 /nfs/dbraw/zinc/11/38/13/1082113813.db2.gz UHEZIRIZUWSCPF-MJGOQNOKSA-N 0 1 322.493 3.060 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C)c(C)cn2)CC1 ZINC001113133899 1082122654 /nfs/dbraw/zinc/12/26/54/1082122654.db2.gz HEFVDYWFUFJCDR-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(CC/C=C\CCC)CC1 ZINC001113164531 1082129758 /nfs/dbraw/zinc/12/97/58/1082129758.db2.gz QUSUIBFNIIEQHF-QWUACUGRSA-N 0 1 322.493 3.106 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001113232542 1082144870 /nfs/dbraw/zinc/14/48/70/1082144870.db2.gz UAHJROYRYSBHHT-IBGZPJMESA-N 0 1 310.441 3.012 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc[nH]c2C(C)C)CC1 ZINC001113241540 1082146899 /nfs/dbraw/zinc/14/68/99/1082146899.db2.gz KFOSFKQRKVKFHD-OAHLLOKOSA-N 0 1 303.450 3.251 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001113247953 1082147748 /nfs/dbraw/zinc/14/77/48/1082147748.db2.gz LOMIWKNJFDOBHC-HUUCEWRRSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2CC[C@@H](C(F)(F)F)C2)CC1 ZINC001113260965 1082151644 /nfs/dbraw/zinc/15/16/44/1082151644.db2.gz UWMZBVQOKWRJFI-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(Cl)c(C)c2)CC1 ZINC001113287707 1082170730 /nfs/dbraw/zinc/17/07/30/1082170730.db2.gz UHZDEWKZCDPUTN-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)CCc2ccccc2F)CC1 ZINC001113343393 1082181403 /nfs/dbraw/zinc/18/14/03/1082181403.db2.gz IZFNCYHSKJXEJO-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)=C2CCC2)C1 ZINC001086587836 1082181557 /nfs/dbraw/zinc/18/15/57/1082181557.db2.gz PTUHULSPYOFSDW-RBUKOAKNSA-N 0 1 310.441 3.257 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C)c(OCC)c2)CC1 ZINC001113397568 1082199517 /nfs/dbraw/zinc/19/95/17/1082199517.db2.gz DSYIBNQRLLXQQE-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001266770504 1082206066 /nfs/dbraw/zinc/20/60/66/1082206066.db2.gz XOAGBPIBTBORTC-MRXNPFEDSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)CC1 ZINC001113416775 1082209065 /nfs/dbraw/zinc/20/90/65/1082209065.db2.gz UKZXVOMVZLBGJC-HZPDHXFCSA-N 0 1 318.486 3.270 20 30 DGEDMN C=CC1(CC(=O)N2CCN(CC3CCC3)CC2)CCCCC1 ZINC001113423750 1082210681 /nfs/dbraw/zinc/21/06/81/1082210681.db2.gz OHRGSXGMFIYWIP-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1csc2ccccc21 ZINC001266791392 1082218514 /nfs/dbraw/zinc/21/85/14/1082218514.db2.gz AOXPGLMJIHZEMK-UHFFFAOYSA-N 0 1 308.834 3.315 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC001113500633 1082234485 /nfs/dbraw/zinc/23/44/85/1082234485.db2.gz CFQNKACVXUCYII-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2coc(C3CCC3)n2)CC1 ZINC001113505829 1082235805 /nfs/dbraw/zinc/23/58/05/1082235805.db2.gz MQTUSYBSGWWBDG-AWEZNQCLSA-N 0 1 317.433 3.055 20 30 DGEDMN CCCCCCCN1CCN(C(=O)COc2ccsc2)CC1 ZINC001113540571 1082242211 /nfs/dbraw/zinc/24/22/11/1082242211.db2.gz VSDXHXMRIFDSOC-UHFFFAOYSA-N 0 1 324.490 3.242 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2oc(CCC)nc2C)CC1 ZINC001113543772 1082243714 /nfs/dbraw/zinc/24/37/14/1082243714.db2.gz CUFFOHVEJBYNGW-CQSZACIVSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)ccc2C)CC1 ZINC001113578725 1082252160 /nfs/dbraw/zinc/25/21/60/1082252160.db2.gz PWPNUQQUKRDSIX-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C#Cc1ccccc1CC(=O)N1CCN(CCCCCC=C)CC1 ZINC001113582106 1082257510 /nfs/dbraw/zinc/25/75/10/1082257510.db2.gz ZKUYVRMALQHVRZ-UHFFFAOYSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2Cc3ccc(Cl)cc32)CC1 ZINC001113593700 1082260097 /nfs/dbraw/zinc/26/00/97/1082260097.db2.gz QAUPCFNAKJZEIZ-QGZVFWFLSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC001113656483 1082271883 /nfs/dbraw/zinc/27/18/83/1082271883.db2.gz JMFJGERANIVLPZ-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCC=C)c1ccccc1 ZINC001266859235 1082276498 /nfs/dbraw/zinc/27/64/98/1082276498.db2.gz KCLMPPYDDVDUSE-UXHICEINSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cncc3ccccc32)CC1 ZINC001113673445 1082277689 /nfs/dbraw/zinc/27/76/89/1082277689.db2.gz RTWVOMWIVUZLHO-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001086603884 1082278285 /nfs/dbraw/zinc/27/82/85/1082278285.db2.gz APDSCWZPIILCKS-NSHGMRRFSA-N 0 1 310.441 3.113 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C[C@@H](C)CC)CC2)CCCCC1 ZINC001113704083 1082285363 /nfs/dbraw/zinc/28/53/63/1082285363.db2.gz YTKLLBHOYPEEJB-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001266869892 1082290619 /nfs/dbraw/zinc/29/06/19/1082290619.db2.gz FJNWHSKEMQXKKR-DLBZAZTESA-N 0 1 320.864 3.207 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2csc(C3CC3)n2)CC1 ZINC001113722500 1082291379 /nfs/dbraw/zinc/29/13/79/1082291379.db2.gz XUYYADHSTADBTR-ZDUSSCGKSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001086608176 1082299836 /nfs/dbraw/zinc/29/98/36/1082299836.db2.gz SNHGRUKSFUCTME-HUUJSLGLSA-N 0 1 324.468 3.337 20 30 DGEDMN CCCCCC(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266923357 1082343436 /nfs/dbraw/zinc/34/34/36/1082343436.db2.gz FJVOTLLUNVCRRA-OAHLLOKOSA-N 0 1 320.864 3.366 20 30 DGEDMN CC(C)CCC(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266922700 1082343576 /nfs/dbraw/zinc/34/35/76/1082343576.db2.gz SCGJJRUYVPMQDV-HNNXBMFYSA-N 0 1 320.864 3.222 20 30 DGEDMN N#CC1(c2ccccc2)CCC(Nc2cccnc2CN)CC1 ZINC001170364864 1082354308 /nfs/dbraw/zinc/35/43/08/1082354308.db2.gz ITBMHVADELXQNQ-UHFFFAOYSA-N 0 1 306.413 3.356 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cccc(OC)c1Cl ZINC001266942662 1082361324 /nfs/dbraw/zinc/36/13/24/1082361324.db2.gz KEIAUMLMWJXHRO-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001266994466 1082416220 /nfs/dbraw/zinc/41/62/20/1082416220.db2.gz VDSBFXFTTBFYGL-HNNXBMFYSA-N 0 1 320.864 3.182 20 30 DGEDMN O=C(/C=C\c1cncc(Br)c1)c1ccccc1O ZINC000588476455 1082421616 /nfs/dbraw/zinc/42/16/16/1082421616.db2.gz NGBXYGOTVZSUGJ-WAYWQWQTSA-N 0 1 304.143 3.446 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2nccs2)CCCC1 ZINC001267156001 1082575275 /nfs/dbraw/zinc/57/52/75/1082575275.db2.gz NRVGHHKOMKZUJP-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)Cc1ccc(F)c(Cl)c1 ZINC001267197525 1082626467 /nfs/dbraw/zinc/62/64/67/1082626467.db2.gz OCXUGVFSLIXJOQ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1ccc(C(C)C)nc1C ZINC001267211990 1082644266 /nfs/dbraw/zinc/64/42/66/1082644266.db2.gz JGIFDZQGWPNZGN-UHFFFAOYSA-N 0 1 317.477 3.274 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)Cc1ccc(C)c(C)c1 ZINC001267215687 1082649070 /nfs/dbraw/zinc/64/90/70/1082649070.db2.gz OWYWRDKQFKDWHN-IBGZPJMESA-N 0 1 302.462 3.454 20 30 DGEDMN CCC1(C(=O)NCC[N@@H+](C)Cc2ccc(C#N)cc2)CCCC1 ZINC001267224362 1082660620 /nfs/dbraw/zinc/66/06/20/1082660620.db2.gz CZGAJTOAYGUYPG-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CCC1(C(=O)NCCN(C)Cc2ccc(C#N)cc2)CCCC1 ZINC001267224362 1082660624 /nfs/dbraw/zinc/66/06/24/1082660624.db2.gz CZGAJTOAYGUYPG-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cscc2s1 ZINC001131475707 1082675550 /nfs/dbraw/zinc/67/55/50/1082675550.db2.gz WTIWSMSTWZKTIN-UHFFFAOYSA-N 0 1 300.836 3.035 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3ccc(Cl)nc3)[nH]c2c1 ZINC001131674304 1082705216 /nfs/dbraw/zinc/70/52/16/1082705216.db2.gz NBKANZRTMGQPIP-ZZXKWVIFSA-N 0 1 323.743 3.135 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001267267385 1082728007 /nfs/dbraw/zinc/72/80/07/1082728007.db2.gz NWXISESNHDSCST-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN1Cc1cccc(F)c1 ZINC001267268669 1082728063 /nfs/dbraw/zinc/72/80/63/1082728063.db2.gz CRCCTADKMZXHJE-QGZVFWFLSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001267272882 1082734151 /nfs/dbraw/zinc/73/41/51/1082734151.db2.gz ADTOPOFRHUEOBR-INIZCTEOSA-N 0 1 320.864 3.384 20 30 DGEDMN CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131855860 1082749248 /nfs/dbraw/zinc/74/92/48/1082749248.db2.gz CZCXVPZYYXEPAU-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC001267294496 1082757533 /nfs/dbraw/zinc/75/75/33/1082757533.db2.gz IXDUOLVCGZYFFU-HNNXBMFYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001267299619 1082761735 /nfs/dbraw/zinc/76/17/35/1082761735.db2.gz ZIRHTZHPYKWXQD-ZDUSSCGKSA-N 0 1 322.399 3.115 20 30 DGEDMN CCCN(CCNC(=O)C(C)(C)CC)Cc1ccc(C#N)s1 ZINC001267316902 1082785095 /nfs/dbraw/zinc/78/50/95/1082785095.db2.gz HDKSFWDCABXRMC-UHFFFAOYSA-N 0 1 321.490 3.384 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccc(F)c2)C1 ZINC001131995494 1082788994 /nfs/dbraw/zinc/78/89/94/1082788994.db2.gz LAHLBRVOJUZRRT-MAUKXSAKSA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccc(F)cc2)C1 ZINC001132003463 1082790870 /nfs/dbraw/zinc/79/08/70/1082790870.db2.gz WAAKESBZDUWIIK-CRAIPNDOSA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001132002871 1082790953 /nfs/dbraw/zinc/79/09/53/1082790953.db2.gz NYQYRYOALNIEAB-ZBFHGGJFSA-N 0 1 304.459 3.021 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(C/C=C/c2ccccc2)C1 ZINC001132001531 1082791184 /nfs/dbraw/zinc/79/11/84/1082791184.db2.gz ANGUUOVEQYPUJN-IEYVCLCVSA-N 0 1 324.468 3.472 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C#CC(C)(C)C)CN1Cc1ccsc1 ZINC001132037333 1082798976 /nfs/dbraw/zinc/79/89/76/1082798976.db2.gz AARNOOCYLXFWBH-HOCLYGCPSA-N 0 1 318.486 3.267 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C#CC2CC2)CN1C/C=C\c1ccccc1 ZINC001132045759 1082806256 /nfs/dbraw/zinc/80/62/56/1082806256.db2.gz IJLQMYHQZRISOG-HMEHFPCLSA-N 0 1 322.452 3.082 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)c1ccccc1F)c1ccccc1 ZINC001267376156 1082844332 /nfs/dbraw/zinc/84/43/32/1082844332.db2.gz AMFUIXQSKIPSST-KXBFYZLASA-N 0 1 324.399 3.010 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2cccnc2C)C1 ZINC001132217250 1082844388 /nfs/dbraw/zinc/84/43/88/1082844388.db2.gz AFGOJNZMWHARQI-YOEHRIQHSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cnccc2C)C1 ZINC001132257532 1082861144 /nfs/dbraw/zinc/86/11/44/1082861144.db2.gz XCIGRVWGMNUODO-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1ccc(CC(C)C)cc1 ZINC001267398569 1082869839 /nfs/dbraw/zinc/86/98/39/1082869839.db2.gz QDAIKGHVWMRVKC-UHFFFAOYSA-N 0 1 322.880 3.228 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC2(C=C)CCCCC2)CC[C@H]1C ZINC001132348143 1082889392 /nfs/dbraw/zinc/88/93/92/1082889392.db2.gz IGYUOKAEZRMJNH-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(CCOCC)CCCC2)CC[C@@H]1C ZINC001132381553 1082895235 /nfs/dbraw/zinc/89/52/35/1082895235.db2.gz YVHTZVPHFUVKNH-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccoc2Cl)C1 ZINC001267460244 1082899655 /nfs/dbraw/zinc/89/96/55/1082899655.db2.gz SYKVMXXPWNBEJV-ZDUSSCGKSA-N 0 1 310.825 3.436 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc2ccccc21 ZINC001267461918 1082901141 /nfs/dbraw/zinc/90/11/41/1082901141.db2.gz APMJERRJPZHREH-UHFFFAOYSA-N 0 1 302.805 3.254 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1ccc(C)cc1Cl ZINC001132403806 1082901274 /nfs/dbraw/zinc/90/12/74/1082901274.db2.gz FYCWYANQKQBZIQ-UHFFFAOYSA-N 0 1 320.864 3.438 20 30 DGEDMN C#CCCCCCC(=O)NCCN[C@H](C)c1ccccc1F ZINC001132407449 1082902874 /nfs/dbraw/zinc/90/28/74/1082902874.db2.gz GWAMYHDETPIGQZ-OAHLLOKOSA-N 0 1 304.409 3.176 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)Cc2ccccc2)CC[C@@H]1C ZINC001132409927 1082904513 /nfs/dbraw/zinc/90/45/13/1082904513.db2.gz GYOLKKFGOHMQGF-FUHWJXTLSA-N 0 1 314.473 3.410 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001267473262 1082926508 /nfs/dbraw/zinc/92/65/08/1082926508.db2.gz BPVOANRPYJPHGQ-AKHDSKFASA-N 0 1 316.489 3.149 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132568569 1082947488 /nfs/dbraw/zinc/94/74/88/1082947488.db2.gz AKWIGTCEERDHLR-NEPJUHHUSA-N 0 1 312.816 3.143 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](F)Cc2ccccc2)C1 ZINC001267496217 1082953815 /nfs/dbraw/zinc/95/38/15/1082953815.db2.gz OZGITHGKXJCXQX-QZTJIDSGSA-N 0 1 318.436 3.066 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132653221 1082968107 /nfs/dbraw/zinc/96/81/07/1082968107.db2.gz HMZTZHURYRSADZ-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132653601 1082968238 /nfs/dbraw/zinc/96/82/38/1082968238.db2.gz PLTVCGWDMBXODO-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132653728 1082968970 /nfs/dbraw/zinc/96/89/70/1082968970.db2.gz QYUFOQPRJDWCKV-AWEZNQCLSA-N 0 1 308.853 3.457 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1coc(C)c1)c1ccc(C(C)C)cc1 ZINC001267512502 1082972094 /nfs/dbraw/zinc/97/20/94/1082972094.db2.gz LXXXKAXKLCBSIF-LJQANCHMSA-N 0 1 324.424 3.405 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](F)C(C)C)c1ccc(C(C)C)cc1 ZINC001267516263 1082975439 /nfs/dbraw/zinc/97/54/39/1082975439.db2.gz AZLIBWSATXOPFV-QZTJIDSGSA-N 0 1 318.436 3.184 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001267531172 1082993311 /nfs/dbraw/zinc/99/33/11/1082993311.db2.gz NMOWIZLDHFHGAS-NVXWUHKLSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN(Cc2nc(C)cs2)C1 ZINC001267535825 1082998126 /nfs/dbraw/zinc/99/81/26/1082998126.db2.gz GLCVZXADKIUGQU-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001267542039 1083009070 /nfs/dbraw/zinc/00/90/70/1083009070.db2.gz NPTRVTFFKYCYBF-OAHLLOKOSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cc(F)ccc2F)C1 ZINC001267546042 1083015650 /nfs/dbraw/zinc/01/56/50/1083015650.db2.gz HMKQGWJUIACBIM-INIZCTEOSA-N 0 1 322.399 3.006 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@H]2CC=CCC2)C1 ZINC001267547374 1083017836 /nfs/dbraw/zinc/01/78/36/1083017836.db2.gz WYFXIZBVFIGHAI-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(Cl)cc2)C1 ZINC001267548397 1083018939 /nfs/dbraw/zinc/01/89/39/1083018939.db2.gz FAPVSRBEWJHASR-MRXNPFEDSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2cc(C)ccc2C)C1 ZINC001267548420 1083019525 /nfs/dbraw/zinc/01/95/25/1083019525.db2.gz FJYZXXYEPQWTLZ-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)ccc2C)C1 ZINC001267548420 1083019530 /nfs/dbraw/zinc/01/95/30/1083019530.db2.gz FJYZXXYEPQWTLZ-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)C1CCCC1 ZINC001158744085 1083021509 /nfs/dbraw/zinc/02/15/09/1083021509.db2.gz ABERZQXLDMLKGZ-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCC(CCC)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001133023497 1083030281 /nfs/dbraw/zinc/03/02/81/1083030281.db2.gz OIMBWVOGIXNQPL-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2CCC(F)CC2)C1 ZINC001267565127 1083044907 /nfs/dbraw/zinc/04/49/07/1083044907.db2.gz JJJKUEIICJZXCE-WLYUNCDWSA-N 0 1 316.848 3.095 20 30 DGEDMN C=CCCCC(=O)NCCN[C@@H](CC)c1ccc(F)cc1F ZINC001133144878 1083063048 /nfs/dbraw/zinc/06/30/48/1083063048.db2.gz FYVIUDGPEWBZOI-INIZCTEOSA-N 0 1 310.388 3.478 20 30 DGEDMN CCCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001481026966 1083097724 /nfs/dbraw/zinc/09/77/24/1083097724.db2.gz XULZBRCJORTCTQ-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2cc(F)ccc2Cl)CC1 ZINC001133368686 1083107065 /nfs/dbraw/zinc/10/70/65/1083107065.db2.gz DPKWEROLGGDXAO-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001133382884 1083111514 /nfs/dbraw/zinc/11/15/14/1083111514.db2.gz CJRITMPZIBJOKK-PXAZEXFGSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCC1(C(=O)NCCN[C@H](C)c2ccccc2F)CCC1 ZINC001133398613 1083112814 /nfs/dbraw/zinc/11/28/14/1083112814.db2.gz FTJNTFMOBBWIRU-CQSZACIVSA-N 0 1 304.409 3.339 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(CF)cc2)C1 ZINC001267595652 1083127223 /nfs/dbraw/zinc/12/72/23/1083127223.db2.gz JUQAWQNPGAXEOA-HNNXBMFYSA-N 0 1 324.827 3.303 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001133757017 1083189672 /nfs/dbraw/zinc/18/96/72/1083189672.db2.gz DWBZXKMIHBIPHA-HNNXBMFYSA-N 0 1 308.853 3.337 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(Cl)n1 ZINC001133884019 1083205465 /nfs/dbraw/zinc/20/54/65/1083205465.db2.gz KICOHXURBSXVNZ-GHMZBOCLSA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C(C)C)o1 ZINC001133884150 1083205722 /nfs/dbraw/zinc/20/57/22/1083205722.db2.gz LWOFIDOMNGMDBE-ZIAGYGMSSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1ncoc1C(C)C ZINC001133883599 1083205752 /nfs/dbraw/zinc/20/57/52/1083205752.db2.gz CYQNXHIPWBPJRF-KBPBESRZSA-N 0 1 307.438 3.137 20 30 DGEDMN N#Cc1ccnc(CN[C@H]2c3ccccc3CC23CCOCC3)c1 ZINC001170722374 1083231378 /nfs/dbraw/zinc/23/13/78/1083231378.db2.gz GKFIONASSYRNJW-IBGZPJMESA-N 0 1 319.408 3.137 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccc(C)cc2)C1 ZINC001267651997 1083246995 /nfs/dbraw/zinc/24/69/95/1083246995.db2.gz CNUZYHDZZLNZOY-MRXNPFEDSA-N 0 1 300.446 3.083 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccc(C)cc2)C1 ZINC001267651996 1083247127 /nfs/dbraw/zinc/24/71/27/1083247127.db2.gz CNUZYHDZZLNZOY-INIZCTEOSA-N 0 1 300.446 3.083 20 30 DGEDMN CCCCCCC[NH2+][C@@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001134161032 1083248305 /nfs/dbraw/zinc/24/83/05/1083248305.db2.gz TWQAKUQQEIUGGX-GJZGRUSLSA-N 0 1 321.465 3.244 20 30 DGEDMN CC(C)CCCC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001134196022 1083255621 /nfs/dbraw/zinc/25/56/21/1083255621.db2.gz VUZYKXZUTOCZEM-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2occc2C)CC1 ZINC001267714957 1083279168 /nfs/dbraw/zinc/27/91/68/1083279168.db2.gz VZCRLEBPZCLXPV-UHFFFAOYSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C)o1 ZINC001134417903 1083286908 /nfs/dbraw/zinc/28/69/08/1083286908.db2.gz AXEOZQFPXBUMPS-ZIAGYGMSSA-N 0 1 319.449 3.124 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1coc(C2CC2)n1 ZINC001134475615 1083297933 /nfs/dbraw/zinc/29/79/33/1083297933.db2.gz GFCOYCIKHOAEPN-UONOGXRCSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001267750209 1083317959 /nfs/dbraw/zinc/31/79/59/1083317959.db2.gz ZLBSOGSHRZYHQI-RHSMWYFYSA-N 0 1 321.490 3.053 20 30 DGEDMN CN(Cc1sc(N)c(C#N)c1Cl)CC1CC(F)(F)C1 ZINC001141114074 1083339540 /nfs/dbraw/zinc/33/95/40/1083339540.db2.gz CWPGVRWKYWVGJG-UHFFFAOYSA-N 0 1 305.781 3.332 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001267785166 1083346391 /nfs/dbraw/zinc/34/63/91/1083346391.db2.gz CNQVLTLDNMCJKJ-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2oc(C)nc2C)C1 ZINC001267788647 1083347942 /nfs/dbraw/zinc/34/79/42/1083347942.db2.gz BQGKKGNKAUNTNW-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H](CC)CC2CCCC2)C1 ZINC001267789454 1083348291 /nfs/dbraw/zinc/34/82/91/1083348291.db2.gz FUBDLELLFOXWSN-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](Cc1ccccc1)c1ccccc1 ZINC001181822533 1083547463 /nfs/dbraw/zinc/54/74/63/1083547463.db2.gz DUHMQVAKMBAPGA-INIZCTEOSA-N 0 1 316.364 3.246 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)Cc1n[nH]c2ccccc21 ZINC001182058024 1083618665 /nfs/dbraw/zinc/61/86/65/1083618665.db2.gz UPWAJDKBVDQYMW-UHFFFAOYSA-N 0 1 316.320 3.362 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)Cc1n[nH]c2ccccc12 ZINC001182057695 1083618754 /nfs/dbraw/zinc/61/87/54/1083618754.db2.gz MWWVLGJVAAITJO-UHFFFAOYSA-N 0 1 321.384 3.172 20 30 DGEDMN CCCCCCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)no1)C2 ZINC001110278909 1083685094 /nfs/dbraw/zinc/68/50/94/1083685094.db2.gz IJVUCPWYKJCFNM-OAGGEKHMSA-N 0 1 319.449 3.289 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)Cc2ccc3nc[nH]c3c2)cc1 ZINC001182845252 1083807741 /nfs/dbraw/zinc/80/77/41/1083807741.db2.gz XEKHXMNKDQEYLN-GFCCVEGCSA-N 0 1 304.353 3.371 20 30 DGEDMN CCC(C)(C)CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001182848752 1083812102 /nfs/dbraw/zinc/81/21/02/1083812102.db2.gz SREPPJUYUMZBLJ-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(CC)CC)c1ccccc1 ZINC001481373820 1083834174 /nfs/dbraw/zinc/83/41/74/1083834174.db2.gz WQZARWVEOIXRPX-SFHVURJKSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cccc(C(C)C)n2)C1 ZINC001182932255 1083848000 /nfs/dbraw/zinc/84/80/00/1083848000.db2.gz ILRJXNXMDGRXMS-QGZVFWFLSA-N 0 1 315.461 3.252 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)C(CC)CC)C1 ZINC001481401814 1083869243 /nfs/dbraw/zinc/86/92/43/1083869243.db2.gz PNTJSRFZYDXRAP-SJLPKXTDSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cscc2C)C1 ZINC001481423495 1083899047 /nfs/dbraw/zinc/89/90/47/1083899047.db2.gz HRTXMGDGWNFVHM-CYBMUJFWSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C/Cc2ccccc2)C1 ZINC001183421628 1083946949 /nfs/dbraw/zinc/94/69/49/1083946949.db2.gz DMEOJKITTBALNC-FUTAKVPZSA-N 0 1 318.848 3.118 20 30 DGEDMN C[C@@H](C(=O)Nc1nc[nH]c1C#N)c1ccc(F)c2ccccc21 ZINC001183499927 1083961309 /nfs/dbraw/zinc/96/13/09/1083961309.db2.gz DEDXNTKDJGDEBJ-SNVBAGLBSA-N 0 1 308.316 3.316 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@]2(C)CC=CCC2)C1 ZINC001481484612 1083997846 /nfs/dbraw/zinc/99/78/46/1083997846.db2.gz ARTXRGLXAUUUSZ-RDJZCZTQSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)CCCCC(C)C)CC2 ZINC001268047171 1084005774 /nfs/dbraw/zinc/00/57/74/1084005774.db2.gz UTRPTZDRWCLCFR-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN COc1nc(CNCc2ccc(SC)c(C#N)c2)ccc1C ZINC001183800206 1084034842 /nfs/dbraw/zinc/03/48/42/1084034842.db2.gz NQUWPQRSNXXQAV-UHFFFAOYSA-N 0 1 313.426 3.282 20 30 DGEDMN C=C(Cl)C[N@H+](C)CC1CCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001481518556 1084058771 /nfs/dbraw/zinc/05/87/71/1084058771.db2.gz YAHUKQNRYPKIOE-GFCCVEGCSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001481518556 1084058780 /nfs/dbraw/zinc/05/87/80/1084058780.db2.gz YAHUKQNRYPKIOE-GFCCVEGCSA-N 0 1 322.827 3.201 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001481532956 1084091717 /nfs/dbraw/zinc/09/17/17/1084091717.db2.gz OBABSFOFXDXJIM-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001481537626 1084107302 /nfs/dbraw/zinc/10/73/02/1084107302.db2.gz NYPPONPULWJNEJ-ROUUACIJSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001268079658 1084116104 /nfs/dbraw/zinc/11/61/04/1084116104.db2.gz DCTMHSXCEQPYAR-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1nccc2ccccc21 ZINC001268080641 1084118229 /nfs/dbraw/zinc/11/82/29/1084118229.db2.gz KPBDKWDNTKUWLC-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN N#Cc1ccc(-c2ccccc2)nc1NCCN1CCSCC1 ZINC001167832521 1084138372 /nfs/dbraw/zinc/13/83/72/1084138372.db2.gz IUSDNQQUOXGGOK-UHFFFAOYSA-N 0 1 324.453 3.081 20 30 DGEDMN C=C(CC(=O)OCCCC)C(=O)Nc1ccc2c(C)[nH]nc2c1 ZINC001184310346 1084144825 /nfs/dbraw/zinc/14/48/25/1084144825.db2.gz MBQDAGFFZJICAN-UHFFFAOYSA-N 0 1 315.373 3.099 20 30 DGEDMN CCCC#CC(=O)NCCCc1nc2ccc(Cl)cc2[nH]1 ZINC001184276597 1084149481 /nfs/dbraw/zinc/14/94/81/1084149481.db2.gz REZQSPXOWXPWOX-UHFFFAOYSA-N 0 1 303.793 3.069 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cc3ccccc3o2)C1 ZINC001481574091 1084156432 /nfs/dbraw/zinc/15/64/32/1084156432.db2.gz INNNQTUAWFOGDZ-CQSZACIVSA-N 0 1 312.413 3.130 20 30 DGEDMN CC[C@@H]1[C@@H](C(=O)N([O-])Cc2cccc3ccccc32)CC[N@@H+]1C ZINC001184340336 1084164379 /nfs/dbraw/zinc/16/43/79/1084164379.db2.gz MGKRVMUTPJIDQV-ZWKOTPCHSA-N 0 1 312.413 3.288 20 30 DGEDMN CC[C@@H]1[C@@H](C(=O)N([O-])Cc2cccc3ccccc32)CC[N@H+]1C ZINC001184340336 1084164383 /nfs/dbraw/zinc/16/43/83/1084164383.db2.gz MGKRVMUTPJIDQV-ZWKOTPCHSA-N 0 1 312.413 3.288 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CNCc2cscn2)[C@@H](C)C1 ZINC001184515214 1084208517 /nfs/dbraw/zinc/20/85/17/1084208517.db2.gz ZGGBZUXHAYYKDI-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001481606944 1084249782 /nfs/dbraw/zinc/24/97/82/1084249782.db2.gz YMZXSFVSEUFAHM-CQSZACIVSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CCNCc1cc(C)on1 ZINC001268162037 1084257895 /nfs/dbraw/zinc/25/78/95/1084257895.db2.gz HCCVXNHRLKCOCB-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN CN1CCc2ccc(NC(=O)Nc3ccc(C#N)cc3)cc2C1 ZINC001184762202 1084259151 /nfs/dbraw/zinc/25/91/51/1084259151.db2.gz QBIBDDJZZVUSJH-UHFFFAOYSA-N 0 1 306.369 3.190 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001184900335 1084281775 /nfs/dbraw/zinc/28/17/75/1084281775.db2.gz QRJVPVWCERVYMB-CYBMUJFWSA-N 0 1 317.260 3.080 20 30 DGEDMN N#Cc1cccc(ONC(=O)CCOc2ccc(Cl)cc2)c1 ZINC001151432240 1084294381 /nfs/dbraw/zinc/29/43/81/1084294381.db2.gz SWPMDXUAKYLLQB-UHFFFAOYSA-N 0 1 316.744 3.091 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001268184505 1084298257 /nfs/dbraw/zinc/29/82/57/1084298257.db2.gz SQDOEEZLWFQOBD-INIZCTEOSA-N 0 1 304.459 3.022 20 30 DGEDMN C[C@H](Cc1ccccc1)c1cc(C(=O)N2CCC[C@H](C#N)C2)n[nH]1 ZINC001184984092 1084314571 /nfs/dbraw/zinc/31/45/71/1084314571.db2.gz KDLDEVGHIAYFLC-GDBMZVCRSA-N 0 1 322.412 3.132 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CCCC)CC1 ZINC001268201248 1084319126 /nfs/dbraw/zinc/31/91/26/1084319126.db2.gz XPMOGVHQATVKDW-UHFFFAOYSA-N 0 1 300.874 3.490 20 30 DGEDMN C#CC1CCN(C(=O)c2cc([C@@H](C)Cc3ccccc3)[nH]n2)CC1 ZINC001184995976 1084319663 /nfs/dbraw/zinc/31/96/63/1084319663.db2.gz DRNRGXHBUIMBDJ-HNNXBMFYSA-N 0 1 321.424 3.241 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC001185051123 1084321974 /nfs/dbraw/zinc/32/19/74/1084321974.db2.gz YQEBXFMQEJMMCX-UKRRQHHQSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001185064886 1084328725 /nfs/dbraw/zinc/32/87/25/1084328725.db2.gz ZMDUKHMPYQUFRB-XJKSGUPXSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001481638332 1084330756 /nfs/dbraw/zinc/33/07/56/1084330756.db2.gz KLURQUKJPJCPTN-UHFFFAOYSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001185296444 1084408821 /nfs/dbraw/zinc/40/88/21/1084408821.db2.gz OJBBWFXEAQRCBR-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001481671017 1084464569 /nfs/dbraw/zinc/46/45/69/1084464569.db2.gz NKCAKPCBVKLDTG-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Nc2c(F)cc(F)cc2F)c1 ZINC001186057133 1084584137 /nfs/dbraw/zinc/58/41/37/1084584137.db2.gz MRJQTFOWLHHKTH-UHFFFAOYSA-N 0 1 307.231 3.325 20 30 DGEDMN C[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccc(C#N)cc1 ZINC001648946800 1084586200 /nfs/dbraw/zinc/58/62/00/1084586200.db2.gz UGLAOJBUGAZPHA-CYBMUJFWSA-N 0 1 303.369 3.194 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001481772996 1084624667 /nfs/dbraw/zinc/62/46/67/1084624667.db2.gz NCYNHXWYBFWAJN-UONOGXRCSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001268455996 1084636611 /nfs/dbraw/zinc/63/66/11/1084636611.db2.gz KHYGLOJMZJRRPY-FUHWJXTLSA-N 0 1 314.473 3.410 20 30 DGEDMN CC[C@@H](CNC(=O)CCC(C)C)NCc1cc(C#N)ccc1F ZINC001481790947 1084640024 /nfs/dbraw/zinc/64/00/24/1084640024.db2.gz UVKXYFZEUPWJQT-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001481809912 1084647344 /nfs/dbraw/zinc/64/73/44/1084647344.db2.gz NIZRUWJVYKELPX-YJJOXIQCSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1nc(C)c(C)s1 ZINC001481818205 1084649505 /nfs/dbraw/zinc/64/95/05/1084649505.db2.gz SCDDTVXRWWOWMN-CQSZACIVSA-N 0 1 309.479 3.101 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2nc(C)sc2C)C1 ZINC001481846824 1084664433 /nfs/dbraw/zinc/66/44/33/1084664433.db2.gz ISMJMHWLHFUOBG-AWEZNQCLSA-N 0 1 321.490 3.101 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)NC23CC4CC(CC(C4)C2)C3)c1 ZINC001186677254 1084692181 /nfs/dbraw/zinc/69/21/81/1084692181.db2.gz CBKUMLAFZDUXQK-UHFFFAOYSA-N 0 1 311.385 3.354 20 30 DGEDMN C[C@H](C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1)C1CCCC1 ZINC001186975748 1084734233 /nfs/dbraw/zinc/73/42/33/1084734233.db2.gz UKQABQUEPLUQCE-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC001268500421 1084734343 /nfs/dbraw/zinc/73/43/43/1084734343.db2.gz ZBFCFOSWCBIYQJ-CHWSQXEVSA-N 0 1 300.874 3.249 20 30 DGEDMN O=C(C[C@@H]1C=CCCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001268557781 1084806181 /nfs/dbraw/zinc/80/61/81/1084806181.db2.gz UBNNONCZMDAUEC-WHXUGTBJSA-N 0 1 322.452 3.047 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001496678490 1084885046 /nfs/dbraw/zinc/88/50/46/1084885046.db2.gz BTKWOAFNWWDYSM-CQSZACIVSA-N 0 1 319.424 3.024 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c(C)cc(C)cc1C ZINC001268618223 1084896839 /nfs/dbraw/zinc/89/68/39/1084896839.db2.gz DTYCPIYOVKDSFR-WAYWQWQTSA-N 0 1 306.837 3.240 20 30 DGEDMN CCc1ccccc1C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001268660236 1084924094 /nfs/dbraw/zinc/92/40/94/1084924094.db2.gz PFCADSDYVUCQFB-OAHLLOKOSA-N 0 1 321.424 3.029 20 30 DGEDMN CN(CC[N@@H+](C)Cc1cccc(C#N)c1)C(=O)/C=C/C(C)(C)C ZINC001496680976 1084926415 /nfs/dbraw/zinc/92/64/15/1084926415.db2.gz ZWZXYWYTXSCFOZ-MDZDMXLPSA-N 0 1 313.445 3.051 20 30 DGEDMN CN(CCN(C)C(=O)/C=C/C(C)(C)C)Cc1cccc(C#N)c1 ZINC001496680976 1084926417 /nfs/dbraw/zinc/92/64/17/1084926417.db2.gz ZWZXYWYTXSCFOZ-MDZDMXLPSA-N 0 1 313.445 3.051 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CN(CCC2CCCCC2)CCO1 ZINC001482189340 1084929380 /nfs/dbraw/zinc/92/93/80/1084929380.db2.gz RDWHCEXQADTGHF-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001268688032 1084947197 /nfs/dbraw/zinc/94/71/97/1084947197.db2.gz RERLQXQFSYPVTD-BLLLJJGKSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)c(C)cc1Cl ZINC001268698585 1084956490 /nfs/dbraw/zinc/95/64/90/1084956490.db2.gz VQTVBRBYTMOUFP-LBPRGKRZSA-N 0 1 315.244 3.417 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)C[N@@H+](C)Cc1ccc(F)c(C#N)c1 ZINC001268721969 1084981300 /nfs/dbraw/zinc/98/13/00/1084981300.db2.gz KPVXFYMNIOIZJT-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001268721969 1084981310 /nfs/dbraw/zinc/98/13/10/1084981310.db2.gz KPVXFYMNIOIZJT-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)C[N@@H+](C)Cc1ccc(C#N)c(F)c1 ZINC001268741872 1085007502 /nfs/dbraw/zinc/00/75/02/1085007502.db2.gz AFWTWNKDGSYBSG-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001268741872 1085007510 /nfs/dbraw/zinc/00/75/10/1085007510.db2.gz AFWTWNKDGSYBSG-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001268749380 1085025778 /nfs/dbraw/zinc/02/57/78/1085025778.db2.gz WFUUXAVUSJFYLK-IEBWSBKVSA-N 0 1 314.473 3.024 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN([C@H]2CCc3c2cccc3Cl)C1 ZINC001269009962 1085098627 /nfs/dbraw/zinc/09/86/27/1085098627.db2.gz TZOKQAQIOWPONY-GUYCJALGSA-N 0 1 318.848 3.484 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001482203968 1085116775 /nfs/dbraw/zinc/11/67/75/1085116775.db2.gz XMRIGWRVMMQNCH-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001482203968 1085116777 /nfs/dbraw/zinc/11/67/77/1085116777.db2.gz XMRIGWRVMMQNCH-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)c1ccccc1)C1CC1 ZINC001269145083 1085159744 /nfs/dbraw/zinc/15/97/44/1085159744.db2.gz MTYPJEHHGMYHCZ-INIZCTEOSA-N 0 1 320.864 3.201 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001269228174 1085221071 /nfs/dbraw/zinc/22/10/71/1085221071.db2.gz ZHFGROFCMDLEQB-QAPCUYQASA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1[C@@H]1CCc2ccccc21 ZINC001269271530 1085254431 /nfs/dbraw/zinc/25/44/31/1085254431.db2.gz ATXHDSJPPRZORF-FUHWJXTLSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2c(C)noc2C)C1 ZINC001269330679 1085310891 /nfs/dbraw/zinc/31/08/91/1085310891.db2.gz JWEUOSWZKLCZSJ-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1cc(Cl)ccc1F ZINC001269377349 1085350492 /nfs/dbraw/zinc/35/04/92/1085350492.db2.gz DRJMKKFPNYBSPP-AWEZNQCLSA-N 0 1 312.816 3.430 20 30 DGEDMN CC[C@@H](CNC(=O)C(C)=C1CCC1)NCc1ccccc1C#N ZINC001269403567 1085366742 /nfs/dbraw/zinc/36/67/42/1085366742.db2.gz XYKWHLLPROWYAQ-SFHVURJKSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C/C=C\Cc2ccccc2)CC1 ZINC001269428043 1085380842 /nfs/dbraw/zinc/38/08/42/1085380842.db2.gz FEASZVAHQNRKGJ-WAYWQWQTSA-N 0 1 318.848 3.166 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NCc1ncc(C)s1 ZINC001269465917 1085401690 /nfs/dbraw/zinc/40/16/90/1085401690.db2.gz AHSMPXZQYVMVEW-CJNGLKHVSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1coc2ccccc12 ZINC001269488167 1085417346 /nfs/dbraw/zinc/41/73/46/1085417346.db2.gz ZLIYZCNJAMGADB-CQSZACIVSA-N 0 1 320.820 3.212 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3cc(C)ccc3o2)CC1 ZINC001269544652 1085456014 /nfs/dbraw/zinc/45/60/14/1085456014.db2.gz NHLIZVAYOLVGFA-UHFFFAOYSA-N 0 1 318.804 3.346 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001284629395 1085567719 /nfs/dbraw/zinc/56/77/19/1085567719.db2.gz BSUCWODBQUAEFA-CYBMUJFWSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C1CC1)C1CC1)C(C)(C)C ZINC001284643853 1085577132 /nfs/dbraw/zinc/57/71/32/1085577132.db2.gz VZTNLQAJZCWPQA-CQSZACIVSA-N 0 1 312.885 3.296 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](C)CC(C)(C)C)CC2 ZINC001482245176 1085579103 /nfs/dbraw/zinc/57/91/03/1085579103.db2.gz RKWGCLFHKOUYQE-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccsc2)C1 ZINC001269849653 1085585025 /nfs/dbraw/zinc/58/50/25/1085585025.db2.gz ZCMHKJQEKKYPSE-KGLIPLIRSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C[C@H](C(=O)N(C)C1CN(CC2CC(C)C2)C1)c1ccccc1 ZINC001269894030 1085601182 /nfs/dbraw/zinc/60/11/82/1085601182.db2.gz ZMEMMHMXIPDCCM-RJYAGPCLSA-N 0 1 312.457 3.145 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001269900512 1085603567 /nfs/dbraw/zinc/60/35/67/1085603567.db2.gz YQUFLKJOTAIZQX-QGZVFWFLSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(Br)CNC[C@H]1CCCN1C(=O)CCCCC ZINC001270006098 1085649769 /nfs/dbraw/zinc/64/97/69/1085649769.db2.gz CLGXCFRCSDQTMT-CYBMUJFWSA-N 0 1 317.271 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001270059791 1085675268 /nfs/dbraw/zinc/67/52/68/1085675268.db2.gz ZLUHWGGXEVAEPX-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CCc2ccco2)CC1 ZINC001270094869 1085688999 /nfs/dbraw/zinc/68/89/99/1085688999.db2.gz IWOLZUMFJZPXTL-UHFFFAOYSA-N 0 1 324.852 3.183 20 30 DGEDMN C=CCCC(=O)NCCC1CCN(Cc2nc(C)cs2)CC1 ZINC001270103074 1085691978 /nfs/dbraw/zinc/69/19/78/1085691978.db2.gz RYPOFIGSURUXDB-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001270143552 1085710221 /nfs/dbraw/zinc/71/02/21/1085710221.db2.gz LIVZFSICUDDFCY-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1sccc1Cl ZINC001482285308 1085796030 /nfs/dbraw/zinc/79/60/30/1085796030.db2.gz GCWOQFZKHSDYOV-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)[C@@H](C)C(C)(C)C ZINC001482299657 1085879405 /nfs/dbraw/zinc/87/94/05/1085879405.db2.gz SDRRNJKCVXMMKN-VXGBXAGGSA-N 0 1 319.287 3.014 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001482318672 1085957320 /nfs/dbraw/zinc/95/73/20/1085957320.db2.gz FPYAISNWJNKIKY-LBPRGKRZSA-N 0 1 324.852 3.194 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cnc(Cl)c(Br)c1 ZINC001226377799 1086053152 /nfs/dbraw/zinc/05/31/52/1086053152.db2.gz BVCDDVCXTIZSIZ-YFKPBYRVSA-N 0 1 318.554 3.096 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ncccc1CC ZINC001482387050 1086113816 /nfs/dbraw/zinc/11/38/16/1086113816.db2.gz KIOPTMJWYTYHCB-HNNXBMFYSA-N 0 1 323.868 3.131 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CCNC/C(Cl)=C\Cl)C1 ZINC001501612516 1086128323 /nfs/dbraw/zinc/12/83/23/1086128323.db2.gz RWXBRINMNWTZPK-LFGHMXSPSA-N 0 1 319.276 3.490 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001271081669 1086279684 /nfs/dbraw/zinc/27/96/84/1086279684.db2.gz GCDSVKHLZHSCPC-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1cc(C2CC2)no1 ZINC001271094017 1086288167 /nfs/dbraw/zinc/28/81/67/1086288167.db2.gz SYAMJSQFIQDAHR-ACJLOTCBSA-N 0 1 319.449 3.091 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3c2CCCC3)[C@H]1CC ZINC001087585200 1086321935 /nfs/dbraw/zinc/32/19/35/1086321935.db2.gz UGRINPSHKNOGPG-VQTJNVASSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(F)cc(C)cc2F)[C@H]1CC ZINC001087651614 1086340737 /nfs/dbraw/zinc/34/07/37/1086340737.db2.gz YKBXRQCPKACQAE-LSDHHAIUSA-N 0 1 308.372 3.042 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)[C@H]1CC ZINC001087739145 1086387142 /nfs/dbraw/zinc/38/71/42/1086387142.db2.gz ICOOIMWMTMFVKO-ZWJWXYIHSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)[C@H]1CC ZINC001087749833 1086394950 /nfs/dbraw/zinc/39/49/50/1086394950.db2.gz BWEUHOJXXKITTK-GGXPGOJBSA-N 0 1 304.478 3.051 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)[C@H]1CC ZINC001087757651 1086400294 /nfs/dbraw/zinc/40/02/94/1086400294.db2.gz FENKOGGYLIQYBT-ZGXWSNOMSA-N 0 1 324.468 3.091 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(F)F)cc2)[C@H]1CC ZINC001087763048 1086402066 /nfs/dbraw/zinc/40/20/66/1086402066.db2.gz AIOMVDGBFAMAOQ-JKSUJKDBSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2sccc2C(F)F)[C@H]1CC ZINC001087814124 1086422132 /nfs/dbraw/zinc/42/21/32/1086422132.db2.gz YPUGZXVPEISRLC-NWDGAFQWSA-N 0 1 314.401 3.454 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(C3CC3)c2)[C@H]1CC ZINC001087843152 1086439990 /nfs/dbraw/zinc/43/99/90/1086439990.db2.gz GQXCCOKNMDZRMK-RBUKOAKNSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)=C3CCC3)C[C@@H]2C1 ZINC001087962453 1086498233 /nfs/dbraw/zinc/49/82/33/1086498233.db2.gz RAOOBNBZGVCHRY-CVEARBPZSA-N 0 1 308.853 3.020 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001126566891 1086524877 /nfs/dbraw/zinc/52/48/77/1086524877.db2.gz UQMOPTUIPXBPPE-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN COc1cccc(CN2CCC(C#Cc3ccccc3)CC2)n1 ZINC001137535446 1086539775 /nfs/dbraw/zinc/53/97/75/1086539775.db2.gz ONTIUWUVFIGQKD-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)Cc1ccccc1 ZINC001271215057 1086546985 /nfs/dbraw/zinc/54/69/85/1086546985.db2.gz BIQYTQDTRKOWIQ-ZFWWWQNUSA-N 0 1 308.853 3.054 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](CNC/C(Cl)=C\Cl)CC(C)C ZINC001271261396 1086559284 /nfs/dbraw/zinc/55/92/84/1086559284.db2.gz JUKZBDIGVLKURP-MAUPQMMJSA-N 0 1 319.276 3.085 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1CC ZINC001271448215 1086642291 /nfs/dbraw/zinc/64/22/91/1086642291.db2.gz WCKVPADEJYJYNG-ZGXWSNOMSA-N 0 1 324.468 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)Cc1ccccc1 ZINC001271714953 1086710271 /nfs/dbraw/zinc/71/02/71/1086710271.db2.gz LYRUQIHMDOJSSG-PBHICJAKSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C1C=CC=CC=C1 ZINC001482493383 1086713564 /nfs/dbraw/zinc/71/35/64/1086713564.db2.gz TVPCQYKZXJCLME-RHSMWYFYSA-N 0 1 320.864 3.254 20 30 DGEDMN CCCC(=O)N1CCCCC[C@@H](NCc2ccccc2C#N)C1 ZINC001088250705 1086721029 /nfs/dbraw/zinc/72/10/29/1086721029.db2.gz XPILNFNFOAFTKZ-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H]2CC23CC3)CC1 ZINC001482506942 1086733413 /nfs/dbraw/zinc/73/34/13/1086733413.db2.gz NSHSXRGAGBPFGP-OAHLLOKOSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CC)CCCCC ZINC001272043496 1087023389 /nfs/dbraw/zinc/02/33/89/1087023389.db2.gz MTPJTHAAYQQWGB-FGTMMUONSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CCCc1ccccc1Cl ZINC001482601429 1087044119 /nfs/dbraw/zinc/04/41/19/1087044119.db2.gz ILCSDLIMAVVYCU-UHFFFAOYSA-N 0 1 320.864 3.076 20 30 DGEDMN CCC1(C(=O)N(C)CCN(C)Cc2cccc(C#N)c2)CCC1 ZINC001272087672 1087117604 /nfs/dbraw/zinc/11/76/04/1087117604.db2.gz WBNWCHNEOFLSNH-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C3CCC3)cc2)[C@H]1C ZINC001088606634 1087130340 /nfs/dbraw/zinc/13/03/40/1087130340.db2.gz FQULQLKKDWQPPO-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001482818305 1087166463 /nfs/dbraw/zinc/16/64/63/1087166463.db2.gz MXNCIGOJBGZKSV-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)CCNCc2ccccc2F)cc1 ZINC001482943814 1087194305 /nfs/dbraw/zinc/19/43/05/1087194305.db2.gz ZTJQNBQIWADGMR-UHFFFAOYSA-N 0 1 324.399 3.059 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(CCC)s1 ZINC001483013740 1087234640 /nfs/dbraw/zinc/23/46/40/1087234640.db2.gz BHZNSSHQJGSTHO-SNAWJCMRSA-N 0 1 312.866 3.329 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001483083372 1087334170 /nfs/dbraw/zinc/33/41/70/1087334170.db2.gz IATDNUSGWVLXFR-YVACAVLKSA-N 0 1 316.848 3.309 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2ccccc2o1 ZINC001483090193 1087337838 /nfs/dbraw/zinc/33/78/38/1087337838.db2.gz RKSZZBKMQOQKDM-PLNGDYQASA-N 0 1 304.777 3.061 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001483112927 1087355352 /nfs/dbraw/zinc/35/53/52/1087355352.db2.gz VYHQGHGMDMBBGH-UJMHRVFCSA-N 0 1 318.848 3.142 20 30 DGEDMN CCOC(=O)c1ccc(CN(C)Cc2ccc(C#N)cc2)cc1 ZINC001142595058 1087374471 /nfs/dbraw/zinc/37/44/71/1087374471.db2.gz KWWVQVWCXSJNPL-UHFFFAOYSA-N 0 1 308.381 3.367 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001483373693 1087484155 /nfs/dbraw/zinc/48/41/55/1087484155.db2.gz DYWJJHOIFBAVFY-IUODEOHRSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)Cc2ncccc2C)CC1 ZINC001483380364 1087486600 /nfs/dbraw/zinc/48/66/00/1087486600.db2.gz HQJLVYFOCIJRAN-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc(-c2ccoc2)c1 ZINC001483402377 1087492274 /nfs/dbraw/zinc/49/22/74/1087492274.db2.gz FPTKIYAQAMNQJN-OAHLLOKOSA-N 0 1 310.397 3.020 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1CNCc1coc(C)n1 ZINC001483433427 1087501295 /nfs/dbraw/zinc/50/12/95/1087501295.db2.gz FWHHEEOTQQLTOW-DOTOQJQBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CNC/C(Cl)=C\Cl)C2CC2)C1 ZINC001483585300 1087530135 /nfs/dbraw/zinc/53/01/35/1087530135.db2.gz IZECIUQSNJIIGA-BWODNOAJSA-N 0 1 317.260 3.146 20 30 DGEDMN C=CCCCC(=O)N1CCC(OC2CN(CCC(C)C)C2)CC1 ZINC001105721510 1087543362 /nfs/dbraw/zinc/54/33/62/1087543362.db2.gz CICAWQYVPVRZQD-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN Cc1ccc(C[N@@H+](CCO)Cc2c(C)cc(C#N)cc2C)cc1 ZINC001168392256 1087550562 /nfs/dbraw/zinc/55/05/62/1087550562.db2.gz FOHNIMCMTYSWKQ-UHFFFAOYSA-N 0 1 308.425 3.478 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cc(F)ccc3o2)[C@H]1C ZINC001088893831 1087599199 /nfs/dbraw/zinc/59/91/99/1087599199.db2.gz WMFXIZBEWBCJIL-DOMZBBRYSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)[C@H]1C ZINC001088968550 1087612241 /nfs/dbraw/zinc/61/22/41/1087612241.db2.gz BRJOYVABPODIIB-INMHGKMJSA-N 0 1 324.424 3.139 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H]1C ZINC001089028732 1087624075 /nfs/dbraw/zinc/62/40/75/1087624075.db2.gz LTJYHFMWKBELHR-QAPCUYQASA-N 0 1 300.446 3.264 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H]1C ZINC001089031254 1087625092 /nfs/dbraw/zinc/62/50/92/1087625092.db2.gz ZGCQPXAQOOWFPE-APWZRJJASA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)[C@H]1C ZINC001089043616 1087626598 /nfs/dbraw/zinc/62/65/98/1087626598.db2.gz MKEXJTYVQYJSJN-AEFFLSMTSA-N 0 1 312.457 3.100 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001483674021 1087626668 /nfs/dbraw/zinc/62/66/68/1087626668.db2.gz VRYOJTIBAFVFHY-QGZVFWFLSA-N 0 1 318.486 3.065 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)[C@H]1C ZINC001089066087 1087630666 /nfs/dbraw/zinc/63/06/66/1087630666.db2.gz PVNMAOBKYZSFKF-AHRSYUTCSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)sc1C ZINC001483675558 1087631735 /nfs/dbraw/zinc/63/17/35/1087631735.db2.gz FQGVYUXBKODBOV-INIZCTEOSA-N 0 1 306.475 3.412 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CC(C)C)CC1 ZINC001099101934 1087634034 /nfs/dbraw/zinc/63/40/34/1087634034.db2.gz GOJHFNGYVDMLEX-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1cccc(F)c1F ZINC001493684299 1087651134 /nfs/dbraw/zinc/65/11/34/1087651134.db2.gz HVNMATHTQYMBAZ-KRWDZBQOSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(F)CCCCC2)CCC1 ZINC001280526077 1087656745 /nfs/dbraw/zinc/65/67/45/1087656745.db2.gz NWQJDJISFJKFKL-UHFFFAOYSA-N 0 1 302.821 3.040 20 30 DGEDMN CCCCCCCCN1CC2(C1)COCC(=O)N2CC=C(C)C ZINC001272478090 1087723174 /nfs/dbraw/zinc/72/31/74/1087723174.db2.gz FFSKJGLHAQTCGF-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@H]2c2cc(F)ccc2F)c1 ZINC000176407145 1087726573 /nfs/dbraw/zinc/72/65/73/1087726573.db2.gz WMEOHJQJKBJPQX-AAEUAGOBSA-N 0 1 314.291 3.284 20 30 DGEDMN N#CCc1cccc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)c1 ZINC001158294998 1087764807 /nfs/dbraw/zinc/76/48/07/1087764807.db2.gz HVSYASYFSCUIOM-UHFFFAOYSA-N 0 1 318.336 3.101 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001158300539 1087766546 /nfs/dbraw/zinc/76/65/46/1087766546.db2.gz CVQZUCKARGDXHH-QGZVFWFLSA-N 0 1 323.440 3.036 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2cc(C#N)cs2)cc1 ZINC000176460262 1087810227 /nfs/dbraw/zinc/81/02/27/1087810227.db2.gz HFXFDVZYOAIKFV-CQSZACIVSA-N 0 1 323.377 3.143 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1cccs1 ZINC001158480197 1087857239 /nfs/dbraw/zinc/85/72/39/1087857239.db2.gz IBMQOMGSASCMCO-HZPDHXFCSA-N 0 1 318.486 3.188 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2c(C)noc2C)CCCCC1 ZINC001151998059 1087866870 /nfs/dbraw/zinc/86/68/70/1087866870.db2.gz OXZFVKCLHIGZHP-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)ccc1F ZINC001158521760 1087892594 /nfs/dbraw/zinc/89/25/94/1087892594.db2.gz DLHOFFIDTUKNNT-MRXNPFEDSA-N 0 1 316.420 3.084 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1)C1CC1 ZINC000176534605 1087893034 /nfs/dbraw/zinc/89/30/34/1087893034.db2.gz UQOIVXREVNWOBI-LDYMZIIASA-N 0 1 308.740 3.173 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](CCC)C(C)C ZINC001158539797 1087902369 /nfs/dbraw/zinc/90/23/69/1087902369.db2.gz CLKXSHHEXNKTLL-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C2CC2)s1 ZINC001158739486 1087999876 /nfs/dbraw/zinc/99/98/76/1087999876.db2.gz LDGYQGAFLFCXLW-OAHLLOKOSA-N 0 1 316.470 3.185 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C)c1ccco1 ZINC001158751542 1088007111 /nfs/dbraw/zinc/00/71/11/1088007111.db2.gz WVBDBJAGUQXLJW-IAGOWNOFSA-N 0 1 316.445 3.109 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc2ccccc21 ZINC001158812129 1088044184 /nfs/dbraw/zinc/04/41/84/1088044184.db2.gz YPZCZZFYBZMLGK-GOSISDBHSA-N 0 1 320.436 3.400 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C)c1ccccc1 ZINC001158825053 1088052311 /nfs/dbraw/zinc/05/23/11/1088052311.db2.gz KGVUEVJVRNMZJK-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1(CCCC)CC1 ZINC001099220100 1088055276 /nfs/dbraw/zinc/05/52/76/1088055276.db2.gz HIHISYQFGAXEEB-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CCCC)CC1 ZINC001099220100 1088055287 /nfs/dbraw/zinc/05/52/87/1088055287.db2.gz HIHISYQFGAXEEB-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(CF)cc1 ZINC001158928536 1088105786 /nfs/dbraw/zinc/10/57/86/1088105786.db2.gz ZRNPLIFUCDDMCE-GOSISDBHSA-N 0 1 316.420 3.106 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCCC1(C)C ZINC001158949946 1088113767 /nfs/dbraw/zinc/11/37/67/1088113767.db2.gz XEPCGGJPQVCTIP-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1coc(C)c1 ZINC001152955636 1088121376 /nfs/dbraw/zinc/12/13/76/1088121376.db2.gz WICIXQBFLIRIRT-MRXNPFEDSA-N 0 1 324.424 3.080 20 30 DGEDMN CC(C)C[C@@](C)(C#N)NC(=O)c1cnc2[nH]ccc(Cl)c1-2 ZINC001153110740 1088170186 /nfs/dbraw/zinc/17/01/86/1088170186.db2.gz MDNWMQOOPWZSFT-HNNXBMFYSA-N 0 1 304.781 3.274 20 30 DGEDMN N#Cc1ccc(CNc2nc3[nH]cnc3cc2Cl)cc1F ZINC001159084936 1088175124 /nfs/dbraw/zinc/17/51/24/1088175124.db2.gz WEUYBQFHGLZBBJ-UHFFFAOYSA-N 0 1 301.712 3.234 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCC(C)(C)c1ccccc1 ZINC001153325750 1088218619 /nfs/dbraw/zinc/21/86/19/1088218619.db2.gz YOCMQHNXNAYNCV-UHFFFAOYSA-N 0 1 308.853 3.203 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN([C@H](C)Cc2cccc(F)c2)C1 ZINC001168501205 1088386965 /nfs/dbraw/zinc/38/69/65/1088386965.db2.gz MSAFXPFXRRIPNT-RHSMWYFYSA-N 0 1 320.408 3.133 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C2=CCCC2)CC(C)(C)C1 ZINC001089357031 1088463150 /nfs/dbraw/zinc/46/31/50/1088463150.db2.gz HJHGFRAGAUZIAH-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)C1CCCC1 ZINC001099296382 1088495681 /nfs/dbraw/zinc/49/56/81/1088495681.db2.gz AGZDFXZDQSMEOJ-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCCCC(=O)NCC1(N[C@H](C)c2ccccc2F)CC1 ZINC001483760812 1088558006 /nfs/dbraw/zinc/55/80/06/1088558006.db2.gz ZRIZXOVQNOVBFR-OAHLLOKOSA-N 0 1 316.420 3.319 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001154584613 1088660839 /nfs/dbraw/zinc/66/08/39/1088660839.db2.gz PRIPGCMWWVVOMO-OAHLLOKOSA-N 0 1 317.477 3.319 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C(C)(C)C ZINC001272669662 1088734296 /nfs/dbraw/zinc/73/42/96/1088734296.db2.gz FLAFQZXPNJGJDV-INIZCTEOSA-N 0 1 314.473 3.440 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001154742564 1088736962 /nfs/dbraw/zinc/73/69/62/1088736962.db2.gz HTDRNFXNAFWUMQ-ZIAGYGMSSA-N 0 1 321.465 3.220 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)c(CC)s2)CC1 ZINC001483789725 1088887078 /nfs/dbraw/zinc/88/70/78/1088887078.db2.gz XORUGLKTXNIWKO-UHFFFAOYSA-N 0 1 312.866 3.223 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001155067108 1088926567 /nfs/dbraw/zinc/92/65/67/1088926567.db2.gz SAEXOYSLFSUGRO-CRAIPNDOSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001155073599 1088933827 /nfs/dbraw/zinc/93/38/27/1088933827.db2.gz YYCQROUSNDFAAO-FZKQIMNGSA-N 0 1 321.465 3.220 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CC2(c3ccccc3)CC2)C1 ZINC001483866958 1088963633 /nfs/dbraw/zinc/96/36/33/1088963633.db2.gz OCOQEROFFAZEIP-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CC1(CC(=O)N(C)C2CN(CCC3CC3)C2)CCCCC1 ZINC001483883033 1088970632 /nfs/dbraw/zinc/97/06/32/1088970632.db2.gz OJVPQYHCVROWLM-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)ccc2F)C1 ZINC001483881639 1088982669 /nfs/dbraw/zinc/98/26/69/1088982669.db2.gz IVGLRSRXYNOCTQ-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160856816 1088991671 /nfs/dbraw/zinc/99/16/71/1088991671.db2.gz RMVPKSXEQAWNLO-INIZCTEOSA-N 0 1 310.457 3.475 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1cccc(C)c1 ZINC001483947326 1089031047 /nfs/dbraw/zinc/03/10/47/1089031047.db2.gz YTPINCZPKKWCRM-DOTOQJQBSA-N 0 1 320.864 3.432 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(CC)o1 ZINC001089659150 1089034759 /nfs/dbraw/zinc/03/47/59/1089034759.db2.gz UIAUPOMJQOHDDX-HNNXBMFYSA-N 0 1 316.445 3.086 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(CC)o1 ZINC001089659364 1089037614 /nfs/dbraw/zinc/03/76/14/1089037614.db2.gz YRDMFDFLBLDTFM-AWEZNQCLSA-N 0 1 304.434 3.248 20 30 DGEDMN C=CCN1CCC[C@H]1CNc1nccnc1Oc1ccccc1 ZINC001160957233 1089041159 /nfs/dbraw/zinc/04/11/59/1089041159.db2.gz ILGFUPQXAVDBQM-HNNXBMFYSA-N 0 1 310.401 3.331 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160991598 1089059256 /nfs/dbraw/zinc/05/92/56/1089059256.db2.gz REFNLJDQMYHLIJ-DOTOQJQBSA-N 0 1 310.457 3.475 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)c2cccc(Cl)c2)CC1 ZINC001483976383 1089064829 /nfs/dbraw/zinc/06/48/29/1089064829.db2.gz SYIXZXPRSMIMMD-AWEZNQCLSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN(C(=O)C(C)=C1CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001483984728 1089068525 /nfs/dbraw/zinc/06/85/25/1089068525.db2.gz WTUJJGFNHNYRJQ-BJMVGYQFSA-N 0 1 320.864 3.166 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c[nH]c2ccccc12 ZINC001089666745 1089068650 /nfs/dbraw/zinc/06/86/50/1089068650.db2.gz VROWKOYWTRGUGP-HNNXBMFYSA-N 0 1 323.440 3.022 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001155489573 1089134092 /nfs/dbraw/zinc/13/40/92/1089134092.db2.gz GZJMPWRVWMMOHZ-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001155489647 1089134660 /nfs/dbraw/zinc/13/46/60/1089134660.db2.gz HURWUCDBGHROBO-CYBMUJFWSA-N 0 1 323.506 3.380 20 30 DGEDMN C=CCCC(=O)NCCCNCc1csc(CCCC)n1 ZINC001155593313 1089177843 /nfs/dbraw/zinc/17/78/43/1089177843.db2.gz HNVOOBPJRCSEPE-UHFFFAOYSA-N 0 1 309.479 3.048 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)cccc1C ZINC001089695122 1089208216 /nfs/dbraw/zinc/20/82/16/1089208216.db2.gz CYXLHFDHFIJIDU-KRWDZBQOSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC2CCCCCC2)CC1 ZINC001161363471 1089211498 /nfs/dbraw/zinc/21/14/98/1089211498.db2.gz CCCYWSYSCSPAGY-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCCCC(C)C)CC1 ZINC001161390971 1089226626 /nfs/dbraw/zinc/22/66/26/1089226626.db2.gz MMPMAZVGUAJDGN-UHFFFAOYSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)CCCCCC)CC1 ZINC001161510052 1089266653 /nfs/dbraw/zinc/26/66/53/1089266653.db2.gz WVWPXCOLNDPPRX-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc(Cl)ccc2C)CC1 ZINC001161530467 1089270951 /nfs/dbraw/zinc/27/09/51/1089270951.db2.gz BQSHAQUCZQARCD-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(CCC)on1 ZINC001089719164 1089293930 /nfs/dbraw/zinc/29/39/30/1089293930.db2.gz YTMIYWGROKYUOS-AWEZNQCLSA-N 0 1 319.449 3.034 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(F)cccc1F ZINC001089727026 1089305556 /nfs/dbraw/zinc/30/55/56/1089305556.db2.gz ULEDJIXQPWNBEH-CYBMUJFWSA-N 0 1 322.399 3.371 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC(C)(C)C1 ZINC001089737553 1089319652 /nfs/dbraw/zinc/31/96/52/1089319652.db2.gz WTONIVITCDLKLY-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccoc1C)c1ccccc1CC ZINC001484325913 1089339280 /nfs/dbraw/zinc/33/92/80/1089339280.db2.gz SDQVXULFMFCJMQ-IBGZPJMESA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc(C3CCC3)c2)CC1 ZINC001161704932 1089361387 /nfs/dbraw/zinc/36/13/87/1089361387.db2.gz KZQDMQOBRZNGJL-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](CC)CC(C)C)C[C@@H]2C1 ZINC001484413942 1089411712 /nfs/dbraw/zinc/41/17/12/1089411712.db2.gz XCRGAKKTWDIPGG-OAGGEKHMSA-N 0 1 312.885 3.201 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2oc3ccccc3c2C)CC1 ZINC001161817907 1089422641 /nfs/dbraw/zinc/42/26/41/1089422641.db2.gz HAOHIDWOQHJJKJ-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC3(C=C)CCCCC3)[C@@H]2C1 ZINC001484472209 1089438241 /nfs/dbraw/zinc/43/82/41/1089438241.db2.gz IUNSKJOLXXGNAW-ZWKOTPCHSA-N 0 1 314.473 3.069 20 30 DGEDMN N#CCc1cc(NCc2cc(Cl)c3cccnc3c2O)ccn1 ZINC001168525824 1089453727 /nfs/dbraw/zinc/45/37/27/1089453727.db2.gz MCLKPULCJZKXIM-UHFFFAOYSA-N 0 1 324.771 3.089 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001484553765 1089488510 /nfs/dbraw/zinc/48/85/10/1089488510.db2.gz INGUANDJEYMXDX-AHRSYUTCSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H](C)CCC ZINC001484555720 1089497161 /nfs/dbraw/zinc/49/71/61/1089497161.db2.gz VTHIQZISHARALE-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C3(C)CCCC3)cccc2C1 ZINC001484612626 1089529587 /nfs/dbraw/zinc/52/95/87/1089529587.db2.gz JRTIDWFATFGWPZ-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C(C)CCC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001484612920 1089531467 /nfs/dbraw/zinc/53/14/67/1089531467.db2.gz TZWNDQDJJOXRQV-UHFFFAOYSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)/C=C/C(C)(C)C)cccc2C1 ZINC001484611210 1089537202 /nfs/dbraw/zinc/53/72/02/1089537202.db2.gz DKQJWRKGZIPBMU-PKNBQFBNSA-N 0 1 312.457 3.449 20 30 DGEDMN CCC(=CC(=O)NCC1(NCC#Cc2ccccc2)CCC1)CC ZINC001484629330 1089554026 /nfs/dbraw/zinc/55/40/26/1089554026.db2.gz NLODXJMPHKUMOQ-UHFFFAOYSA-N 0 1 324.468 3.413 20 30 DGEDMN C=CCN1CCC2(CCN(Cc3sccc3Cl)CC2)C1=O ZINC001272687412 1089556992 /nfs/dbraw/zinc/55/69/92/1089556992.db2.gz PKPGIPBMUVUJPQ-UHFFFAOYSA-N 0 1 324.877 3.402 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)/C=C(/C)CC)O2 ZINC001484784118 1089673717 /nfs/dbraw/zinc/67/37/17/1089673717.db2.gz XXERSZWESOXHEV-CZAONCGOSA-N 0 1 320.477 3.047 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC2(CN(CCCC(C)(C)C)C2)O1 ZINC001484785053 1089673889 /nfs/dbraw/zinc/67/38/89/1089673889.db2.gz RBUWDOXYKAYMLQ-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@H](CNC(=O)C(CC)CC)O2 ZINC001484783849 1089674425 /nfs/dbraw/zinc/67/44/25/1089674425.db2.gz XAUDKSDVSSCRTH-NVXWUHKLSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001484811014 1089689600 /nfs/dbraw/zinc/68/96/00/1089689600.db2.gz SSJYPSKGPSGRSN-UHFFFAOYSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCCCC(=O)N1CCC(CC)(NCc2ncc(C)o2)CC1 ZINC001484815908 1089693121 /nfs/dbraw/zinc/69/31/21/1089693121.db2.gz ZYPBJODTKDJLNC-UHFFFAOYSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@](C)(C=C)CC ZINC001484822868 1089702517 /nfs/dbraw/zinc/70/25/17/1089702517.db2.gz IWUJQPILWQOCDV-CTNGQTDRSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001484822199 1089704753 /nfs/dbraw/zinc/70/47/53/1089704753.db2.gz NWKNROSFFUKXIJ-QGRTWZNFSA-N 0 1 324.468 3.462 20 30 DGEDMN C#CCN(C(=O)C[N@H+](CC)C1CC1)[C@H]1CCCc2ccccc21 ZINC001156937709 1089759240 /nfs/dbraw/zinc/75/92/40/1089759240.db2.gz INIKRZMPMHXWMO-IBGZPJMESA-N 0 1 310.441 3.010 20 30 DGEDMN C#CCN(C(=O)CN(CC)C1CC1)[C@H]1CCCc2ccccc21 ZINC001156937709 1089759252 /nfs/dbraw/zinc/75/92/52/1089759252.db2.gz INIKRZMPMHXWMO-IBGZPJMESA-N 0 1 310.441 3.010 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001484941639 1089777058 /nfs/dbraw/zinc/77/70/58/1089777058.db2.gz JJWLHIRXJVZUFQ-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2ccc(F)c(C#N)c2)n[nH]1 ZINC001157198885 1089869598 /nfs/dbraw/zinc/86/95/98/1089869598.db2.gz DSCZOVXFYWGZPD-MRXNPFEDSA-N 0 1 312.348 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(c2cccc(C)c2)CC1 ZINC001485228758 1090036270 /nfs/dbraw/zinc/03/62/70/1090036270.db2.gz FEHJAOBOZYJICL-GJZGRUSLSA-N 0 1 320.864 3.262 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1ccc(C)s1 ZINC001485233147 1090038970 /nfs/dbraw/zinc/03/89/70/1090038970.db2.gz CMZFNTNNYHFMTD-OLZOCXBDSA-N 0 1 314.882 3.224 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C=C1CCCCC1 ZINC001157641929 1090055160 /nfs/dbraw/zinc/05/51/60/1090055160.db2.gz KCRUJFDPLIKACV-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001485296733 1090087633 /nfs/dbraw/zinc/08/76/33/1090087633.db2.gz DNABPASOUIGSGB-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1CN(C)Cc1ccsc1 ZINC001157907693 1090147454 /nfs/dbraw/zinc/14/74/54/1090147454.db2.gz AESSKCCQEOJAOC-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](C)CCC(C)(C)C ZINC001485485266 1090193397 /nfs/dbraw/zinc/19/33/97/1090193397.db2.gz PHMQBBLRQXRDBV-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001485505993 1090196900 /nfs/dbraw/zinc/19/69/00/1090196900.db2.gz SOVMAEIWZBTBJA-OAHLLOKOSA-N 0 1 324.424 3.173 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCCN(C[C@@H](F)CC)C3)CCC1 ZINC001485664945 1090233847 /nfs/dbraw/zinc/23/38/47/1090233847.db2.gz SFKWUOJQSSWONA-INIZCTEOSA-N 0 1 322.468 3.405 20 30 DGEDMN CC(=O)CC(C)=Nc1nc2cc([N+](=O)[O-])ccc2nc1Cl ZINC001163736671 1090246024 /nfs/dbraw/zinc/24/60/24/1090246024.db2.gz AFNCGKYSMHSFPV-ALCCZGGFSA-N 0 1 306.709 3.096 20 30 DGEDMN N#Cc1nc(NC(=N)C23CC4CC(CC(C4)C2)C3)cc2nc[nH]c21 ZINC001163840447 1090270270 /nfs/dbraw/zinc/27/02/70/1090270270.db2.gz XQWYNECLIMKVLT-UHFFFAOYSA-N 0 1 320.400 3.435 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN[C@@H](C)c1nc2ccccc2o1 ZINC001163953080 1090296450 /nfs/dbraw/zinc/29/64/50/1090296450.db2.gz HEUZROVCYVJFIV-ZDUSSCGKSA-N 0 1 315.417 3.197 20 30 DGEDMN C=CC(=NCOC)N[C@@H](C)c1ccc(Br)s1 ZINC001164086666 1090337775 /nfs/dbraw/zinc/33/77/75/1090337775.db2.gz SMSVTNQDRZFUHW-QMMMGPOBSA-N 0 1 303.225 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CCCC12CC2 ZINC001485817930 1090347962 /nfs/dbraw/zinc/34/79/62/1090347962.db2.gz WXRZDKDCGQVRBW-GJZGRUSLSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCCC2 ZINC001299259267 1090378500 /nfs/dbraw/zinc/37/85/00/1090378500.db2.gz LFISEWZOKAAWFY-OAHLLOKOSA-N 0 1 309.413 3.206 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164365677 1090414365 /nfs/dbraw/zinc/41/43/65/1090414365.db2.gz DXOTURZNTPGJGB-AWEZNQCLSA-N 0 1 321.465 3.170 20 30 DGEDMN N#CCN(Cc1ccccc1)c1ncccc1CN1CCCC1 ZINC001165134059 1090469776 /nfs/dbraw/zinc/46/97/76/1090469776.db2.gz QCEQANMXQGNJAK-UHFFFAOYSA-N 0 1 306.413 3.208 20 30 DGEDMN C[C@H]1CN(c2nccc(F)c2C#N)[C@@H](C)CN1Cc1ccccc1 ZINC001165201683 1090479263 /nfs/dbraw/zinc/47/92/63/1090479263.db2.gz JFDBGFYEGBSFCB-GJZGRUSLSA-N 0 1 324.403 3.192 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C=C2CCCCC2)C1 ZINC001107787468 1090504299 /nfs/dbraw/zinc/50/42/99/1090504299.db2.gz DPORVXLQJWYCLJ-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN CCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1ccc(C#N)cc1 ZINC001098839840 1090538577 /nfs/dbraw/zinc/53/85/77/1090538577.db2.gz PCJMRPQDXPHVSD-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001165921446 1090561974 /nfs/dbraw/zinc/56/19/74/1090561974.db2.gz LQAGANYUPJWAJH-KRWDZBQOSA-N 0 1 321.490 3.219 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@]1(C)CN(CC/C=C/CC)CCO1 ZINC001107971763 1090595553 /nfs/dbraw/zinc/59/55/53/1090595553.db2.gz YAALTSMXANISID-SGQUHAKNSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CN(CCC2CCCC2)CCO1 ZINC001107976303 1090606210 /nfs/dbraw/zinc/60/62/10/1090606210.db2.gz IVOIZCZPEFRKAR-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CN(CC/C=C/CCC)CCO1 ZINC001107976659 1090606596 /nfs/dbraw/zinc/60/65/96/1090606596.db2.gz SAGAUIUWAKCQOB-UCFODXPJSA-N 0 1 322.493 3.296 20 30 DGEDMN N#CCCN(c1nc[nH]c2nc(=S)sc1-2)C1CCCC1 ZINC001166534254 1090614987 /nfs/dbraw/zinc/61/49/87/1090614987.db2.gz UQTBYTKMXXDKTN-UHFFFAOYSA-N 0 1 305.432 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1cc(C(C)(C)C)on1 ZINC001272815707 1090635754 /nfs/dbraw/zinc/63/57/54/1090635754.db2.gz ZBEPNDJBQOLHEV-AWEZNQCLSA-N 0 1 321.465 3.313 20 30 DGEDMN COc1cc2cnc(N3CCC[C@H](CC#N)C3)cc2cc1OC ZINC001166740871 1090649188 /nfs/dbraw/zinc/64/91/88/1090649188.db2.gz GVXVTJNCGBSJBY-CYBMUJFWSA-N 0 1 311.385 3.382 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCC1(CNCc2cscn2)CC1 ZINC001166770459 1090654272 /nfs/dbraw/zinc/65/42/72/1090654272.db2.gz YKCXBXOXTGTZTH-AWEZNQCLSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2ccc3occc3c2)C1=O ZINC001272879974 1090665944 /nfs/dbraw/zinc/66/59/44/1090665944.db2.gz AOFZQPPMIKVWSV-LJQANCHMSA-N 0 1 310.397 3.186 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C)(C)CC)cccc2C1 ZINC001272916523 1090679606 /nfs/dbraw/zinc/67/96/06/1090679606.db2.gz ALXJJMZRKYOVJE-UHFFFAOYSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001485876032 1090718414 /nfs/dbraw/zinc/71/84/14/1090718414.db2.gz CBHPIZRZYQUIAT-NSHDSACASA-N 0 1 307.265 3.344 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001485913209 1090725430 /nfs/dbraw/zinc/72/54/30/1090725430.db2.gz SJNOFOHFQJTXDR-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1oc(CC)nc1C ZINC001485920182 1090728330 /nfs/dbraw/zinc/72/83/30/1090728330.db2.gz RJXJTNMPZWGWFB-FZKQIMNGSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(CC(C)C)CCC1 ZINC001485899118 1090730901 /nfs/dbraw/zinc/73/09/01/1090730901.db2.gz UGZUIARODOUCRT-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H](NCc2sccc2Cl)C[C@@H]1C ZINC001486108768 1090751505 /nfs/dbraw/zinc/75/15/05/1090751505.db2.gz KFBOOXBQKNXSQG-QWHCGFSZSA-N 0 1 324.877 3.140 20 30 DGEDMN N#Cc1csc(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)n1 ZINC001203593755 1090763739 /nfs/dbraw/zinc/76/37/39/1090763739.db2.gz YQGLSDPVNOAMLW-TZMCWYRMSA-N 0 1 305.353 3.153 20 30 DGEDMN COc1ccc(O[C@H]2CCCN(Cc3cccc(C#N)c3)C2)nc1 ZINC001203763866 1090799676 /nfs/dbraw/zinc/79/96/76/1090799676.db2.gz PXUGBCJKYCIQBA-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1cccnc1O[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001203764153 1090801160 /nfs/dbraw/zinc/80/11/60/1090801160.db2.gz ZASIKDLODJLAJF-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CCCC(=O)N(CCN(C)Cc1ccc(F)c(C#N)c1)C(C)C ZINC001486360193 1090803723 /nfs/dbraw/zinc/80/37/23/1090803723.db2.gz HEWOMEZRQPJAKJ-UHFFFAOYSA-N 0 1 319.424 3.166 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(Oc3ccccc3)cc2)CCC1=O ZINC001203944016 1090846735 /nfs/dbraw/zinc/84/67/35/1090846735.db2.gz KUUSOLFEAFZMJE-MRXNPFEDSA-N 0 1 306.365 3.393 20 30 DGEDMN C=CCC(C)(C)C(=O)NCc1ccc(CNCCF)cc1F ZINC001486525311 1090868726 /nfs/dbraw/zinc/86/87/26/1090868726.db2.gz HITBSIVMSHMNKR-UHFFFAOYSA-N 0 1 310.388 3.103 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@H](CCC)C(C)C)c(F)c1 ZINC001486525415 1090869238 /nfs/dbraw/zinc/86/92/38/1090869238.db2.gz JVMTVCINHHPRQE-QGZVFWFLSA-N 0 1 318.436 3.237 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCNCc1ccccc1Cl ZINC001128184263 1090880861 /nfs/dbraw/zinc/88/08/61/1090880861.db2.gz CSFOJWTYNWOGTK-UHFFFAOYSA-N 0 1 315.244 3.325 20 30 DGEDMN Cc1cccc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)n1 ZINC001204070049 1090890988 /nfs/dbraw/zinc/89/09/88/1090890988.db2.gz DTSWQLQXLMBCQH-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN CCOc1cc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)ccc1OC ZINC001204190697 1090918325 /nfs/dbraw/zinc/91/83/25/1090918325.db2.gz UEOYUDVOKVVCTQ-PHZGNYQRSA-N 0 1 300.402 3.360 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cc(Cl)ccc1OC ZINC001128253054 1090924089 /nfs/dbraw/zinc/92/40/89/1090924089.db2.gz XDTHBEVZUMGTGK-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cc3ccccc3o2)C1=O ZINC001272964906 1090935106 /nfs/dbraw/zinc/93/51/06/1090935106.db2.gz SCWFZWFBDCGVMG-IBGZPJMESA-N 0 1 310.397 3.186 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001276454707 1090938151 /nfs/dbraw/zinc/93/81/51/1090938151.db2.gz ZAQLVIVXSFKTIY-DOTOQJQBSA-N 0 1 312.457 3.119 20 30 DGEDMN CCCCCCC/C=C/CN1CC2(C1)CN(Cc1cn[nH]c1)C2 ZINC001276457056 1090952490 /nfs/dbraw/zinc/95/24/90/1090952490.db2.gz FDLGRAIISFBFKD-CMDGGOBGSA-N 0 1 316.493 3.444 20 30 DGEDMN CCCCCCCOc1ccc(CN2CCOC[C@H](O)C2)cc1 ZINC001204369131 1090957923 /nfs/dbraw/zinc/95/79/23/1090957923.db2.gz OIPYUKFCOBLRFD-GOSISDBHSA-N 0 1 321.461 3.229 20 30 DGEDMN CCCC#Cc1nc(-c2ncccc2/C=C/N2CCCC2)no1 ZINC001204707887 1091020440 /nfs/dbraw/zinc/02/04/40/1091020440.db2.gz HBCZURJHTAGPSE-GXDHUFHOSA-N 0 1 308.385 3.350 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C(C)(C)C(C)(C)C)C1 ZINC001108129709 1091117209 /nfs/dbraw/zinc/11/72/09/1091117209.db2.gz MGKLJXLOXGCEDN-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1ccc(C)c(F)c1)C2 ZINC001205228470 1091138760 /nfs/dbraw/zinc/13/87/60/1091138760.db2.gz VVJPQCOFRXHHKZ-IEBWSBKVSA-N 0 1 319.420 3.460 20 30 DGEDMN CC(C)(C)c1[nH]c2ccccc2c1CN1CCN(CCC#N)CC1 ZINC001138312974 1091138866 /nfs/dbraw/zinc/13/88/66/1091138866.db2.gz IOLFIEDFSQWXAB-UHFFFAOYSA-N 0 1 324.472 3.497 20 30 DGEDMN C#CCOc1ccc(CN2CCCC[C@@](C)(F)C2)cc1OC ZINC001138343050 1091147170 /nfs/dbraw/zinc/14/71/70/1091147170.db2.gz FVFOULALSWOCPV-GOSISDBHSA-N 0 1 305.393 3.421 20 30 DGEDMN C#CCC1(C(=O)NCCN[C@@H](C)c2ccccc2Cl)CCC1 ZINC001129142497 1091200668 /nfs/dbraw/zinc/20/06/68/1091200668.db2.gz JFTPZGSZEAUAFL-AWEZNQCLSA-N 0 1 318.848 3.300 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)C[C@H]1c1ccccc1 ZINC001205478857 1091231103 /nfs/dbraw/zinc/23/11/03/1091231103.db2.gz BCORRPNFJNVASJ-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccsc2)CCC1 ZINC001273154199 1091250556 /nfs/dbraw/zinc/25/05/56/1091250556.db2.gz KVZOPBNKWBUODI-GFCCVEGCSA-N 0 1 312.866 3.233 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@H](n2ccnn2)C1 ZINC001138666136 1091282080 /nfs/dbraw/zinc/28/20/80/1091282080.db2.gz JSJYAOBBXWHNSI-KRWDZBQOSA-N 0 1 312.417 3.070 20 30 DGEDMN C#CCn1ccc(CN(CC)CC2(OC)CCCCCC2)n1 ZINC001205622944 1091283536 /nfs/dbraw/zinc/28/35/36/1091283536.db2.gz ZPXDTBRKQKJLMR-UHFFFAOYSA-N 0 1 303.450 3.078 20 30 DGEDMN C#CCSCCNC(=O)c1cc(Cl)c2ccccc2c1O ZINC001293737230 1091291129 /nfs/dbraw/zinc/29/11/29/1091291129.db2.gz GPGOWHXUYRDMDZ-UHFFFAOYSA-N 0 1 319.813 3.295 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(Cl)s2)C1 ZINC001494464220 1091296858 /nfs/dbraw/zinc/29/68/58/1091296858.db2.gz XYNQCDPMGOWSCN-NSHDSACASA-N 0 1 312.866 3.099 20 30 DGEDMN Cc1ccc(F)c(CN2CCCN(c3ccc(C#N)cn3)CC2)c1 ZINC001205655027 1091298137 /nfs/dbraw/zinc/29/81/37/1091298137.db2.gz HSESVLAEIMOSBR-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1cccc(Oc2ccc(C#N)cn2)c1 ZINC001293880639 1091319047 /nfs/dbraw/zinc/31/90/47/1091319047.db2.gz UFROYENHFRCVMD-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN COc1cc(OC(F)(F)F)ccc1CN1CCC(S)CC1 ZINC001138850067 1091356145 /nfs/dbraw/zinc/35/61/45/1091356145.db2.gz SEUUKEUIBIDKOO-UHFFFAOYSA-N 0 1 321.364 3.488 20 30 DGEDMN CC(C)COc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001138968427 1091397431 /nfs/dbraw/zinc/39/74/31/1091397431.db2.gz VOCZXSYIFOWJPF-QGZVFWFLSA-N 0 1 317.454 3.496 20 30 DGEDMN C#C[C@@H](NC1CC(C)(C(=O)OCC)C1)c1ccc(Cl)cc1 ZINC001206084417 1091485930 /nfs/dbraw/zinc/48/59/30/1091485930.db2.gz JURHXAOUZWJIQP-ISXOHVOVSA-N 0 1 305.805 3.336 20 30 DGEDMN N#Cc1nc(-c2cc(F)cc(N3CCCC3)c2)cc2[nH]cnc21 ZINC001206093356 1091488840 /nfs/dbraw/zinc/48/88/40/1091488840.db2.gz ICOFKEWQQZKUDX-UHFFFAOYSA-N 0 1 307.332 3.236 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cscc3Cl)[C@@H]2C1 ZINC001084432523 1091491192 /nfs/dbraw/zinc/49/11/92/1091491192.db2.gz SNVWJATYKWRLCL-BXUZGUMPSA-N 0 1 310.850 3.124 20 30 DGEDMN C=CCCCC(=O)N1CCC(CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001206105209 1091493683 /nfs/dbraw/zinc/49/36/83/1091493683.db2.gz ITZANRAVLHRYFM-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(CC)s3)[C@@H]2C1 ZINC001084495250 1091495105 /nfs/dbraw/zinc/49/51/05/1091495105.db2.gz NGPMGESEBKQOHW-UKRRQHHQSA-N 0 1 304.459 3.033 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C)ccc3C3CC3)[C@@H]2C1 ZINC001084544791 1091502134 /nfs/dbraw/zinc/50/21/34/1091502134.db2.gz HKSMVPGZKATXGA-VQIMIIECSA-N 0 1 310.441 3.205 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C(C)(C)c3cccs3)[C@@H]2C1 ZINC001084573309 1091505096 /nfs/dbraw/zinc/50/50/96/1091505096.db2.gz NJEJEDKCRYPOLR-HUUCEWRRSA-N 0 1 318.486 3.135 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(C)cc3Cl)[C@@H]2C1 ZINC001084678163 1091532580 /nfs/dbraw/zinc/53/25/80/1091532580.db2.gz ZPFHTEPDQISEAL-RHSMWYFYSA-N 0 1 318.848 3.371 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CC[C@H](C)C3(C)C)[C@@H]2C1 ZINC001084702642 1091544827 /nfs/dbraw/zinc/54/48/27/1091544827.db2.gz JUZJTZRHEVQUPV-MKSNKDDYSA-N 0 1 304.478 3.168 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)c3ccc(CC)cc3)[C@@H]2C1 ZINC001084723284 1091548513 /nfs/dbraw/zinc/54/85/13/1091548513.db2.gz BGOYXKXLFILGRS-ATZDWAIDSA-N 0 1 312.457 3.071 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(CC)c(C)s3)[C@@H]2C1 ZINC001084819351 1091583587 /nfs/dbraw/zinc/58/35/87/1091583587.db2.gz WTGILUSVESZULR-HZPDHXFCSA-N 0 1 318.486 3.341 20 30 DGEDMN N#Cc1ccc(CN2CCC(O)(Cc3ccccc3)CC2)cc1F ZINC001139526149 1091586692 /nfs/dbraw/zinc/58/66/92/1091586692.db2.gz WCQBZXQFVMAWMH-UHFFFAOYSA-N 0 1 324.399 3.267 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(CC)c(CC)c3)[C@@H]2C1 ZINC001084875352 1091601868 /nfs/dbraw/zinc/60/18/68/1091601868.db2.gz PFRQPBOMBMZPOH-RTBURBONSA-N 0 1 312.457 3.144 20 30 DGEDMN CCOc1ccc(CN2CCC3SC(=O)C=C3C2)cc1CC ZINC001139619922 1091623232 /nfs/dbraw/zinc/62/32/32/1091623232.db2.gz MDYGRTQPAGROFV-QGZVFWFLSA-N 0 1 317.454 3.422 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2[nH]nc3ccc(Br)cc32)C1 ZINC001139660383 1091635592 /nfs/dbraw/zinc/63/55/92/1091635592.db2.gz AGLRPYSGWDKYLH-AWEZNQCLSA-N 0 1 319.206 3.061 20 30 DGEDMN COc1cnccc1CN1CCC[C@H](Oc2cccc(C#N)c2)C1 ZINC001206480273 1091644249 /nfs/dbraw/zinc/64/42/49/1091644249.db2.gz QCRVGCKIFGIIFJ-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CCN(C/C=C\Cl)[C@H]3C2)C1 ZINC001085027435 1091645876 /nfs/dbraw/zinc/64/58/76/1091645876.db2.gz KQLJSRMGHNSUSS-IDQBRBTGSA-N 0 1 308.853 3.018 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cccc(Cl)c2)C[C@H]1C ZINC001206490039 1091647076 /nfs/dbraw/zinc/64/70/76/1091647076.db2.gz GJOJACQCYLNOLR-CZUORRHYSA-N 0 1 306.837 3.243 20 30 DGEDMN COc1cnccc1CN1CCC[C@H](Oc2ccc(C#N)cc2)C1 ZINC001206493894 1091648241 /nfs/dbraw/zinc/64/82/41/1091648241.db2.gz PILHEGFHHPSVID-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN CNc1ccc(C(=O)Nc2cc(C#N)ccc2O)c(Cl)c1 ZINC001295827579 1091648755 /nfs/dbraw/zinc/64/87/55/1091648755.db2.gz DYBWSMLHJCHTMO-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccc(C)c(C#N)c3)CC2)c1 ZINC001139723204 1091654100 /nfs/dbraw/zinc/65/41/00/1091654100.db2.gz VLNZANUHWQODHQ-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)c2cccc(F)c2)C[C@H]1C ZINC001206510282 1091654478 /nfs/dbraw/zinc/65/44/78/1091654478.db2.gz ZERVZBYKLCISKJ-JKIFEVAISA-N 0 1 304.409 3.289 20 30 DGEDMN CCc1nnc(C2CCN(Cc3ccc(C)c(C#N)c3)CC2)o1 ZINC001139725858 1091655116 /nfs/dbraw/zinc/65/51/16/1091655116.db2.gz GZSKUKNRCAUPIG-UHFFFAOYSA-N 0 1 310.401 3.192 20 30 DGEDMN CCOC(=O)C[C@@H]1CCCN(Cc2ccc(C)c(C#N)c2)C1 ZINC001139722813 1091655275 /nfs/dbraw/zinc/65/52/75/1091655275.db2.gz HOHKEAXLZMVCKM-HNNXBMFYSA-N 0 1 300.402 3.032 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3cccnc3)C2)cc1C#N ZINC001139726260 1091656069 /nfs/dbraw/zinc/65/60/69/1091656069.db2.gz CUPCSJGGAZMNGP-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN C[N@H+](Cc1cccc(Cl)c1[O-])C[C@@H](O)c1cccc(C#N)c1 ZINC001206577400 1091675154 /nfs/dbraw/zinc/67/51/54/1091675154.db2.gz KPLGLUIHEAXILA-MRXNPFEDSA-N 0 1 316.788 3.083 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCCC[C@H]1NC(=O)C1=CCCC1 ZINC001085168251 1091694427 /nfs/dbraw/zinc/69/44/27/1091694427.db2.gz FPHDUIWJHKBQOI-RTBURBONSA-N 0 1 323.440 3.186 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001139933303 1091718034 /nfs/dbraw/zinc/71/80/34/1091718034.db2.gz WLNZMXCMPFNCIH-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NCc2nccs2)C12CCC2 ZINC001202985599 1091719785 /nfs/dbraw/zinc/71/97/85/1091719785.db2.gz DPEJLHLQOUJAIR-KBPBESRZSA-N 0 1 319.474 3.016 20 30 DGEDMN CSc1nccc(CN2CCC(c3ccc(C#N)cc3)CC2)n1 ZINC001140037407 1091746304 /nfs/dbraw/zinc/74/63/04/1091746304.db2.gz VARUOUYIVAFGIJ-UHFFFAOYSA-N 0 1 324.453 3.450 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1ccc(C#N)cc1F ZINC001140140008 1091781420 /nfs/dbraw/zinc/78/14/20/1091781420.db2.gz IZWDYJMZSLJWJB-OAHLLOKOSA-N 0 1 318.392 3.394 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C#N)cc2F)C[C@H]1C ZINC001140141633 1091782951 /nfs/dbraw/zinc/78/29/51/1091782951.db2.gz ZMVURRIWDGUTII-HIFRSBDPSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1cc(CN2CCN(c3ccc(C#N)cc3)CC2)c(C)cc1O ZINC001140199776 1091798307 /nfs/dbraw/zinc/79/83/07/1091798307.db2.gz BORVTAQSTYQVTF-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CCCN(Cc1ccccc1)Cc1cnc(SC)nc1N ZINC001140251023 1091808782 /nfs/dbraw/zinc/80/87/82/1091808782.db2.gz VDAPNJOWTAOPQZ-UHFFFAOYSA-N 0 1 314.458 3.359 20 30 DGEDMN CCCCCCCN(C)Cc1nc(Br)cnc1N ZINC001140260751 1091809866 /nfs/dbraw/zinc/80/98/66/1091809866.db2.gz FJBPQNBZVBSUST-UHFFFAOYSA-N 0 1 315.259 3.224 20 30 DGEDMN Cn1cc(CN2CCC[C@H](Sc3ccncc3)C2)cc1C#N ZINC001207106206 1091846589 /nfs/dbraw/zinc/84/65/89/1091846589.db2.gz GSCZMKVOODMGFY-KRWDZBQOSA-N 0 1 312.442 3.048 20 30 DGEDMN Cc1cncc(CN2CCC[C@@H](Oc3ccccc3C#N)C2)c1 ZINC001207162610 1091872136 /nfs/dbraw/zinc/87/21/36/1091872136.db2.gz SXHZIAYDIOSLJP-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCN(CCN1CCC(F)(F)[C@H](C)C1)C(=O)OC(C)(C)C ZINC001207199609 1091884693 /nfs/dbraw/zinc/88/46/93/1091884693.db2.gz NLHVJWSKWLATIX-CYBMUJFWSA-N 0 1 318.408 3.387 20 30 DGEDMN C=CCN(CCN1CCC(F)(F)[C@@H](C)C1)C(=O)OC(C)(C)C ZINC001207199610 1091884836 /nfs/dbraw/zinc/88/48/36/1091884836.db2.gz NLHVJWSKWLATIX-ZDUSSCGKSA-N 0 1 318.408 3.387 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1cc(COC)cs1 ZINC001085701286 1091885762 /nfs/dbraw/zinc/88/57/62/1091885762.db2.gz LOKUWXROWZNPMN-OAHLLOKOSA-N 0 1 322.474 3.007 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001207207002 1091887723 /nfs/dbraw/zinc/88/77/23/1091887723.db2.gz ZHOIFGIVMZIXOZ-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1cc(F)c(F)cc1[O-] ZINC001207217697 1091890744 /nfs/dbraw/zinc/89/07/44/1091890744.db2.gz BBFNZYMCKLIGSZ-UHFFFAOYSA-N 0 1 302.324 3.217 20 30 DGEDMN Cc1ccccc1O[C@@H]1CCCN(Cc2ccc(C#N)nc2)C1 ZINC001140543953 1091904561 /nfs/dbraw/zinc/90/45/61/1091904561.db2.gz WIKKFBBIHHIENF-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1ccc(F)cc1CN1CCN(c2cccc(C#N)c2)CC1 ZINC001140592523 1091925182 /nfs/dbraw/zinc/92/51/82/1091925182.db2.gz PGJYMZQIMFPXNP-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccccc1C1(C)CC1 ZINC001085815170 1091951151 /nfs/dbraw/zinc/95/11/51/1091951151.db2.gz PQQRBNVOYGPXKX-INIZCTEOSA-N 0 1 312.457 3.461 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1scnc1C(C)C ZINC001085862375 1091986333 /nfs/dbraw/zinc/98/63/33/1091986333.db2.gz WWFYNKJSDRNULR-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN N#Cc1c(F)cc(CN2CCC[C@@H]2Cc2ccccn2)cc1F ZINC001140754040 1091986984 /nfs/dbraw/zinc/98/69/84/1091986984.db2.gz NBQYNRSTJXCDIB-OAHLLOKOSA-N 0 1 313.351 3.439 20 30 DGEDMN N#Cc1cccc(N2CCN(CCCc3ccccc3F)CC2)c1 ZINC001207496368 1091991415 /nfs/dbraw/zinc/99/14/15/1091991415.db2.gz MVQIZARXFFOHAJ-UHFFFAOYSA-N 0 1 323.415 3.452 20 30 DGEDMN Cc1cc(F)ccc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001140782038 1091996798 /nfs/dbraw/zinc/99/67/98/1091996798.db2.gz BLGFOJCUWNIZIJ-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(F)cc1Cl ZINC001085956701 1092049826 /nfs/dbraw/zinc/04/98/26/1092049826.db2.gz JHMDZDKDRLSSCO-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)c(CC)s1 ZINC001085962433 1092054052 /nfs/dbraw/zinc/05/40/52/1092054052.db2.gz GCCZUCMYHQSVFW-CQSZACIVSA-N 0 1 306.475 3.341 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCC[N@H+](Cc1ccc(C)cc1O)C2 ZINC001140950231 1092077189 /nfs/dbraw/zinc/07/71/89/1092077189.db2.gz WLAZMGSCRIYLAT-MJGOQNOKSA-N 0 1 317.429 3.027 20 30 DGEDMN CCCCN(CCC#N)CCC1CN(C(=O)OC(C)(C)C)C1 ZINC001207765926 1092094937 /nfs/dbraw/zinc/09/49/37/1092094937.db2.gz RHVXEKQDHDUSNJ-UHFFFAOYSA-N 0 1 309.454 3.259 20 30 DGEDMN N#C[C@H]1CN(CCCc2cccc(C(F)(F)F)c2)CCC1=O ZINC001207845669 1092118889 /nfs/dbraw/zinc/11/88/89/1092118889.db2.gz IPUJCZVGCPDWRW-ZDUSSCGKSA-N 0 1 310.319 3.053 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001207914702 1092141627 /nfs/dbraw/zinc/14/16/27/1092141627.db2.gz NKIFLUCBXWNMML-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)CC(C)(C)C)C1 ZINC001108260976 1092207336 /nfs/dbraw/zinc/20/73/36/1092207336.db2.gz YUZUCBMPNFRQBW-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC[C@@H](C(=O)NO[C@H](CO)C(C)C)c1ccccc1 ZINC001141345944 1092213172 /nfs/dbraw/zinc/21/31/72/1092213172.db2.gz UXCLTIFOTKHWNE-IAGOWNOFSA-N 0 1 305.418 3.191 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCN(c3cc(C#N)ccn3)CC2)CC1 ZINC001208178239 1092243551 /nfs/dbraw/zinc/24/35/51/1092243551.db2.gz OUJISRNJSJWKDR-LJQANCHMSA-N 0 1 322.456 3.378 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001208325761 1092313345 /nfs/dbraw/zinc/31/33/45/1092313345.db2.gz XGAOCIZUAHEYKS-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1CN(Cc2cccc(C#N)c2)C[C@H]1C ZINC001208350641 1092325782 /nfs/dbraw/zinc/32/57/82/1092325782.db2.gz NUKXRTKQKGYJSL-FCSMGOPFSA-N 0 1 323.440 3.017 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2ccc(Cl)c(O)c2)c1 ZINC001141761899 1092332769 /nfs/dbraw/zinc/33/27/69/1092332769.db2.gz DOIPXQZTQUEPEL-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208393189 1092338438 /nfs/dbraw/zinc/33/84/38/1092338438.db2.gz KGZMOPGJIQXZFS-IIDMSEBBSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208388731 1092339465 /nfs/dbraw/zinc/33/94/65/1092339465.db2.gz PQLQVOOKEAIORS-HDMKZQKVSA-N 0 1 318.436 3.365 20 30 DGEDMN CCCN(CCOC)Cc1cc(Br)ccc1C#N ZINC001141894442 1092358156 /nfs/dbraw/zinc/35/81/56/1092358156.db2.gz KWSGYJFZBJUZLJ-UHFFFAOYSA-N 0 1 311.223 3.179 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C[C@@H](C)C(C)(C)C)C1 ZINC001108366505 1092358180 /nfs/dbraw/zinc/35/81/80/1092358180.db2.gz VBJPJOKXPVXBJQ-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN CSc1nc(CN2CC[C@@H](c3ccccn3)C2)ccc1C#N ZINC001141938459 1092365213 /nfs/dbraw/zinc/36/52/13/1092365213.db2.gz CUIQWRRBQBAFMH-CQSZACIVSA-N 0 1 310.426 3.060 20 30 DGEDMN COc1ccc(CCN(CCC#N)C2CCCC2)cc1OC ZINC001208758356 1092493932 /nfs/dbraw/zinc/49/39/32/1092493932.db2.gz YIQPCTZICXJICS-UHFFFAOYSA-N 0 1 302.418 3.405 20 30 DGEDMN CC[C@H](NC[C@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001169103623 1092532845 /nfs/dbraw/zinc/53/28/45/1092532845.db2.gz XBFLLQFJWSIMOF-GJZGRUSLSA-N 0 1 301.415 3.098 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC001209105799 1092655067 /nfs/dbraw/zinc/65/50/67/1092655067.db2.gz DLAJPUBXHWOYHP-BHIYHBOVSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001209146517 1092671025 /nfs/dbraw/zinc/67/10/25/1092671025.db2.gz PFTJDCVUHXFMHF-HIFRSBDPSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001209183468 1092684197 /nfs/dbraw/zinc/68/41/97/1092684197.db2.gz NDEBNGCZGNYPDP-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(-c2cccs2)o1 ZINC001149584358 1092712299 /nfs/dbraw/zinc/71/22/99/1092712299.db2.gz WARZNFNUIOEKAS-UHFFFAOYSA-N 0 1 310.806 3.080 20 30 DGEDMN C=CCCCCC[N@H+]1CCc2onc(Cn3cccn3)c2C1 ZINC001209424173 1092770235 /nfs/dbraw/zinc/77/02/35/1092770235.db2.gz MWOBZCZQWRGKHV-UHFFFAOYSA-N 0 1 300.406 3.024 20 30 DGEDMN C=CCCCCCN1CCc2onc(Cn3cccn3)c2C1 ZINC001209424173 1092770239 /nfs/dbraw/zinc/77/02/39/1092770239.db2.gz MWOBZCZQWRGKHV-UHFFFAOYSA-N 0 1 300.406 3.024 20 30 DGEDMN C=CCCCCCN1Cc2nccn2C[C@H](COCC2CC2)C1 ZINC001209424335 1092770373 /nfs/dbraw/zinc/77/03/73/1092770373.db2.gz PSBRSUBIWMQLRG-GOSISDBHSA-N 0 1 317.477 3.488 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001150350408 1092772271 /nfs/dbraw/zinc/77/22/71/1092772271.db2.gz HORCAJILTQEWQF-ZWKOTPCHSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCCCCN1Cc2ncn(C)c2[C@H](COCC)C1 ZINC001209435220 1092773406 /nfs/dbraw/zinc/77/34/06/1092773406.db2.gz GMIPVCSBEWOVOZ-INIZCTEOSA-N 0 1 305.466 3.492 20 30 DGEDMN C=CCCCCCCN1CCc2onc(Cn3ccnc3)c2C1 ZINC001209435485 1092773558 /nfs/dbraw/zinc/77/35/58/1092773558.db2.gz KHYGGBHMLNKOET-UHFFFAOYSA-N 0 1 314.433 3.414 20 30 DGEDMN C=CCCCCCCCN1Cc2nccn2C[C@@H](COC)C1 ZINC001209441710 1092776537 /nfs/dbraw/zinc/77/65/37/1092776537.db2.gz LFRUWSOVFOZDPT-KRWDZBQOSA-N 0 1 305.466 3.488 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2c(cccc2F)s1 ZINC001150479613 1092784839 /nfs/dbraw/zinc/78/48/39/1092784839.db2.gz PQTXJCWXHFNRQK-UHFFFAOYSA-N 0 1 312.797 3.112 20 30 DGEDMN N#CCCN(Cc1ccc(Cl)cc1)Cc1cnccc1N ZINC001209736575 1092896811 /nfs/dbraw/zinc/89/68/11/1092896811.db2.gz FUWWREUYYBTEDZ-UHFFFAOYSA-N 0 1 300.793 3.063 20 30 DGEDMN N#Cc1cc[nH]c1NC(=O)c1cc2cc(C(F)(F)F)c[nH]c-2n1 ZINC001151454518 1092903267 /nfs/dbraw/zinc/90/32/67/1092903267.db2.gz GOOKJFSNHZWZHX-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN Cc1cc(N)ncc1Nc1c(Br)cccc1C#N ZINC001209968898 1092999831 /nfs/dbraw/zinc/99/98/31/1092999831.db2.gz DDLDFPXOMZWUKX-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)CC(C)(C)C)C1 ZINC001209993359 1093007382 /nfs/dbraw/zinc/00/73/82/1093007382.db2.gz PVCFGHGYNKUETA-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN COc1ccc(C(C)=O)cc1Nc1cc(C#N)c(F)cc1O ZINC001210080701 1093037678 /nfs/dbraw/zinc/03/76/78/1093037678.db2.gz ZONJKHCFFOGZIZ-UHFFFAOYSA-N 0 1 300.289 3.358 20 30 DGEDMN C#Cc1ccc(Nc2ccc(N3CCN(C)CC3)cc2OC)cc1 ZINC001210115092 1093047219 /nfs/dbraw/zinc/04/72/19/1093047219.db2.gz PWNYVNVVRMEPRG-UHFFFAOYSA-N 0 1 321.424 3.172 20 30 DGEDMN Cc1ccc(C#N)cc1Nc1ccccc1N1CCN(C)CC1 ZINC001210501343 1093190446 /nfs/dbraw/zinc/19/04/46/1093190446.db2.gz YUTBAPHEIYEOPR-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(F)cc3C#N)sc2C1 ZINC001210551961 1093207090 /nfs/dbraw/zinc/20/70/90/1093207090.db2.gz WMEXXKLUBGBBQS-UHFFFAOYSA-N 0 1 312.373 3.362 20 30 DGEDMN COc1cc(Nc2sc3c(c2C#N)CCN(C)C3)cc(C)n1 ZINC001210775118 1093279749 /nfs/dbraw/zinc/27/97/49/1093279749.db2.gz DSYBBCQSJZFGJY-UHFFFAOYSA-N 0 1 314.414 3.063 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(O)ccc3F)sc2C1 ZINC001210799092 1093284924 /nfs/dbraw/zinc/28/49/24/1093284924.db2.gz PAZPXTVFWOTJIB-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)c(N)c(F)c3)sc2C1 ZINC001210905929 1093319029 /nfs/dbraw/zinc/31/90/29/1093319029.db2.gz UIPMONKHUUFKRL-UHFFFAOYSA-N 0 1 320.368 3.212 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(=Nc2cc(Cl)cnc2C#N)C1 ZINC001211315026 1093456257 /nfs/dbraw/zinc/45/62/57/1093456257.db2.gz LVZHROSVCNNLCY-UHFFFAOYSA-N 0 1 320.780 3.153 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1Nc1cccc(C#N)c1 ZINC001213545474 1093609000 /nfs/dbraw/zinc/60/90/00/1093609000.db2.gz YMESJWHEOUQTTE-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2cc(F)ccc2F)C1 ZINC001214741407 1093844837 /nfs/dbraw/zinc/84/48/37/1093844837.db2.gz JSGGWMBOQQMDSC-CXAGYDPISA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2ccncc2Cl)C1 ZINC001214741490 1093844889 /nfs/dbraw/zinc/84/48/89/1093844889.db2.gz NFTCSIRGEXRPDQ-CZUORRHYSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215376119 1093971417 /nfs/dbraw/zinc/97/14/17/1093971417.db2.gz FAQQKBLTQGTWJQ-VYLAHINDSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215379725 1093972655 /nfs/dbraw/zinc/97/26/55/1093972655.db2.gz XUHVZKGLTNCCHH-FPPRYDGLSA-N 0 1 319.276 3.344 20 30 DGEDMN COC(=O)c1cc(Nc2cc3[nH]ncc3c(F)c2)ccc1C#N ZINC001215557884 1094001947 /nfs/dbraw/zinc/00/19/47/1094001947.db2.gz DEUREPCDORVDOL-UHFFFAOYSA-N 0 1 310.288 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)CC(C)(C)C)C[C@H]1C ZINC001215788768 1094059325 /nfs/dbraw/zinc/05/93/25/1094059325.db2.gz DCCPESMWTGHKTP-OUCADQQQSA-N 0 1 300.874 3.248 20 30 DGEDMN Cc1cc(-c2noc(-c3ccc4[nH]cnc4c3)n2)ccc1C#N ZINC001217131781 1094313577 /nfs/dbraw/zinc/31/35/77/1094313577.db2.gz ZEGAIQWEBMXWJL-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001134941163 1094340662 /nfs/dbraw/zinc/34/06/62/1094340662.db2.gz VKXYGOHRIHVFDD-UHFFFAOYSA-N 0 1 315.373 3.280 20 30 DGEDMN Cc1ccc2nc(-c3nc(-c4cccc(C#N)c4)no3)[nH]c2c1 ZINC001217257279 1094351866 /nfs/dbraw/zinc/35/18/66/1094351866.db2.gz PHYLEZIBOGLEFT-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C[C@H](CCC#N)CN[C@@H](c1nccn1C)c1ccc(F)c(F)c1 ZINC001120072584 1094371636 /nfs/dbraw/zinc/37/16/36/1094371636.db2.gz XOTJMRCKZSYCLN-MLGOLLRUSA-N 0 1 318.371 3.317 20 30 DGEDMN N#Cc1ccc(-c2noc(-c3cc4[nH]cc(Cl)cc-4n3)n2)nc1 ZINC001217383944 1094392555 /nfs/dbraw/zinc/39/25/55/1094392555.db2.gz XWQHSPSVGMRDQB-UHFFFAOYSA-N 0 1 322.715 3.200 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C2CC2)o1 ZINC001135358686 1094503441 /nfs/dbraw/zinc/50/34/41/1094503441.db2.gz WQVWXRRHEGOZJY-KBPBESRZSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1ncc(C2CC2)o1 ZINC001135358688 1094503540 /nfs/dbraw/zinc/50/35/40/1094503540.db2.gz WQVWXRRHEGOZJY-UONOGXRCSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2cc(C)on2)CC1 ZINC001135383739 1094512164 /nfs/dbraw/zinc/51/21/64/1094512164.db2.gz VVXSVBBGRKAXJS-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2nocc2C)CCC1 ZINC001135395794 1094515489 /nfs/dbraw/zinc/51/54/89/1094515489.db2.gz UHQYFKXWLGBBFN-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@@H](C)NCc2ncc(C)o2)CC1 ZINC001135415543 1094526538 /nfs/dbraw/zinc/52/65/38/1094526538.db2.gz LIOFIGJWCMIQAA-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C[C@H](C)NCc2csc(C)n2)C1 ZINC001135426828 1094534786 /nfs/dbraw/zinc/53/47/86/1094534786.db2.gz IIXPXDHNFVHDGC-QWHCGFSZSA-N 0 1 321.490 3.181 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H](C)C1CC[NH2+]CC1 ZINC001218002822 1094552342 /nfs/dbraw/zinc/55/23/42/1094552342.db2.gz LFQYJNNMYAQASA-ZDUSSCGKSA-N 0 1 303.402 3.090 20 30 DGEDMN CCC(C)(C)N(CCC#N)C(=O)c1c[nH]c2ccccc2c1=O ZINC001121101653 1094580549 /nfs/dbraw/zinc/58/05/49/1094580549.db2.gz AAJWOABDWJMWMY-UHFFFAOYSA-N 0 1 311.385 3.073 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1c2ccccc2c(O)c2ccccc21 ZINC001218199561 1094654427 /nfs/dbraw/zinc/65/44/27/1094654427.db2.gz FZLHZWUAXBGURX-PXAZEXFGSA-N 0 1 304.349 3.189 20 30 DGEDMN Cc1cc(C)c2ccc3cccc(O[C@H]4CNC[C@H]4C#N)c3c2n1 ZINC001218200262 1094654769 /nfs/dbraw/zinc/65/47/69/1094654769.db2.gz FPNYJZSLPBSJPD-QAPCUYQASA-N 0 1 317.392 3.495 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(-c2ccc(F)cc2F)cc1 ZINC001218202970 1094656466 /nfs/dbraw/zinc/65/64/66/1094656466.db2.gz OOYNZAQDKLBQKM-SJKOYZFVSA-N 0 1 300.308 3.122 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(-c2ccc(F)cc2F)cc1 ZINC001218202969 1094657042 /nfs/dbraw/zinc/65/70/42/1094657042.db2.gz OOYNZAQDKLBQKM-SJCJKPOMSA-N 0 1 300.308 3.122 20 30 DGEDMN Cc1cc(O[C@@H]2CNC[C@H]2C#N)ccc1OCc1ccccc1 ZINC001218205039 1094658706 /nfs/dbraw/zinc/65/87/06/1094658706.db2.gz XNTHNYFVJPLGGQ-VQIMIIECSA-N 0 1 308.381 3.064 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135863046 1094721770 /nfs/dbraw/zinc/72/17/70/1094721770.db2.gz BYMGNKDVNOBHHQ-LSDHHAIUSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@@H](C)[C@H]1C ZINC001121711434 1094752468 /nfs/dbraw/zinc/75/24/68/1094752468.db2.gz XKNMQSKQOKIKQS-CHWSQXEVSA-N 0 1 322.416 3.242 20 30 DGEDMN O=C(CN1CCCC1)Nc1cccc(C#Cc2ccccc2)c1 ZINC001136025975 1094805890 /nfs/dbraw/zinc/80/58/90/1094805890.db2.gz GDWUBFOIZXPPEX-UHFFFAOYSA-N 0 1 304.393 3.121 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1CCc1ccc(Cl)cc1 ZINC001276799754 1094906148 /nfs/dbraw/zinc/90/61/48/1094906148.db2.gz FBUIRPWAGPONCT-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc2n1CCN[C@@H]2C ZINC001122136952 1094910393 /nfs/dbraw/zinc/91/03/93/1094910393.db2.gz RWLBRCDMODNGLP-RBSFLKMASA-N 0 1 301.434 3.017 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111759787 1094960256 /nfs/dbraw/zinc/96/02/56/1094960256.db2.gz JDEXKPFAFZFIAX-NFDFFSOTSA-N 0 1 322.880 3.478 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1c1cc(F)ccc1F)c1cccc(F)n1 ZINC001122631087 1095022010 /nfs/dbraw/zinc/02/20/10/1095022010.db2.gz AHRPREKFFSUGAV-UHTWSYAYSA-N 0 1 316.282 3.479 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@H]1c1c(F)cccc1F)c1cccc(F)n1 ZINC001122713610 1095041732 /nfs/dbraw/zinc/04/17/32/1095041732.db2.gz BWZDLRZJOLNARA-AXFHLTTASA-N 0 1 316.282 3.479 20 30 DGEDMN N#CC(C(=O)C1([C@H]2CCCCO2)CCC1)c1cccc(F)n1 ZINC001122736048 1095052254 /nfs/dbraw/zinc/05/22/54/1095052254.db2.gz PBXYZYRHUACLJX-GXTWGEPZSA-N 0 1 302.349 3.136 20 30 DGEDMN CCC(C)(C)C1CCC2(C[C@@H]2C(=O)NCC#CCN(C)C)CC1 ZINC001170993582 1095092686 /nfs/dbraw/zinc/09/26/86/1095092686.db2.gz FNJZFHGWLBJXLN-OHTSDLOESA-N 0 1 318.505 3.300 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001170998693 1095110900 /nfs/dbraw/zinc/11/09/00/1095110900.db2.gz UUIJGJNWSWUUMM-CQSZACIVSA-N 0 1 307.438 3.042 20 30 DGEDMN C#Cc1ccc(NC2CCN(Cc3cccc(C#N)c3)CC2)nc1 ZINC001337915939 1095137632 /nfs/dbraw/zinc/13/76/32/1095137632.db2.gz IAEJKLZUFXWQNL-UHFFFAOYSA-N 0 1 316.408 3.011 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCCCCCC ZINC001115165716 1095144749 /nfs/dbraw/zinc/14/47/49/1095144749.db2.gz MVKYXJGPMDYFKO-DZFIZOCASA-N 0 1 304.478 3.197 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1cc2c(o1)CCCC2 ZINC001123234346 1095196511 /nfs/dbraw/zinc/19/65/11/1095196511.db2.gz UFRFWUDZXNRQPO-SNVBAGLBSA-N 0 1 303.749 3.035 20 30 DGEDMN C[C@@H]1CCC[C@@H](OCC(=O)[C@H](C#N)c2ncc(F)cc2F)C1 ZINC001123382918 1095272023 /nfs/dbraw/zinc/27/20/23/1095272023.db2.gz XWALBMKZJNKZRW-RTXFEEFZSA-N 0 1 308.328 3.131 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)c1ccc2cncn2c1C ZINC001338392013 1095288228 /nfs/dbraw/zinc/28/82/28/1095288228.db2.gz XKVNWXUSQAFCGP-UHFFFAOYSA-N 0 1 305.337 3.180 20 30 DGEDMN COc1ccc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001137037550 1095299437 /nfs/dbraw/zinc/29/94/37/1095299437.db2.gz HCPUFSQMZQHFCD-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221585141 1095306570 /nfs/dbraw/zinc/30/65/70/1095306570.db2.gz VBLVKHHADDACTN-HZPDHXFCSA-N 0 1 304.459 3.137 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](CC)CCCC)[C@@H]2C1 ZINC001221699080 1095328745 /nfs/dbraw/zinc/32/87/45/1095328745.db2.gz JFNNJVZIPZNESG-RCCFBDPRSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2coc(C)n2)CCCCC1 ZINC001115701248 1095341923 /nfs/dbraw/zinc/34/19/23/1095341923.db2.gz FMDCTPPSRYKLNM-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)C(C)(C)C1 ZINC001338773436 1095383977 /nfs/dbraw/zinc/38/39/77/1095383977.db2.gz PKVQKAYUPBWPQR-GFCCVEGCSA-N 0 1 314.437 3.035 20 30 DGEDMN N#Cc1cnc(CN[C@@H]2CCc3cc(Cl)ccc3C2)s1 ZINC001171109756 1095392339 /nfs/dbraw/zinc/39/23/39/1095392339.db2.gz MFLIAQKETUJHJD-CYBMUJFWSA-N 0 1 303.818 3.315 20 30 DGEDMN Cc1cc(N=Cc2ccccc2O)ccc1N1CCN(C)CC1 ZINC001115818812 1095401895 /nfs/dbraw/zinc/40/18/95/1095401895.db2.gz BLAHVKUIKFNLBV-UHFFFAOYSA-N 0 1 309.413 3.203 20 30 DGEDMN CCCCCCCNC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC001222093918 1095430938 /nfs/dbraw/zinc/43/09/38/1095430938.db2.gz DAYKIJOWVOQHAJ-UHFFFAOYSA-N 0 1 315.421 3.241 20 30 DGEDMN CCCCCCCNC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001222093918 1095430946 /nfs/dbraw/zinc/43/09/46/1095430946.db2.gz DAYKIJOWVOQHAJ-UHFFFAOYSA-N 0 1 315.421 3.241 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N(CC)C(C)C ZINC001339076032 1095488972 /nfs/dbraw/zinc/48/89/72/1095488972.db2.gz NMYHUZYDUQQOFD-UHFFFAOYSA-N 0 1 310.405 3.242 20 30 DGEDMN C=C[C@@H](COC)N[C@H](C)c1ccc(N2CCOCC2)cc1Cl ZINC001116621594 1095618958 /nfs/dbraw/zinc/61/89/58/1095618958.db2.gz YDASIOONSPCEDN-KGLIPLIRSA-N 0 1 324.852 3.028 20 30 DGEDMN C[C@@H](c1ccsc1)N1CCN(c2cccc(CC#N)n2)CC1 ZINC001116661530 1095627428 /nfs/dbraw/zinc/62/74/28/1095627428.db2.gz FBYVNKYLAJCBGH-AWEZNQCLSA-N 0 1 312.442 3.092 20 30 DGEDMN CC(C)(C)CCCC(=O)NCCNCc1cc(C#N)ccc1F ZINC001124782832 1095628747 /nfs/dbraw/zinc/62/87/47/1095628747.db2.gz VAFKELJNQZARDG-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C#CCCCC(=O)Nc1ccc2nc(CN3CCCCC3)[nH]c2c1 ZINC001116783899 1095659028 /nfs/dbraw/zinc/65/90/28/1095659028.db2.gz SIUMAEDPRXCYDC-UHFFFAOYSA-N 0 1 324.428 3.291 20 30 DGEDMN N#Cc1cc(C#N)cc(NC(=N)c2ccc3cc(O)ccc3c2)c1 ZINC001171182935 1095660864 /nfs/dbraw/zinc/66/08/64/1095660864.db2.gz XBBPZNPTMQFRAZ-UHFFFAOYSA-N 0 1 312.332 3.326 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(OC)cc3C)CC2)cc1 ZINC001144578319 1095714890 /nfs/dbraw/zinc/71/48/90/1095714890.db2.gz ADZGELSOHOHGEU-UHFFFAOYSA-N 0 1 320.436 3.307 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2ncccc2C#N)C1 ZINC001222745017 1095739682 /nfs/dbraw/zinc/73/96/82/1095739682.db2.gz DPGAAPGSMNALSG-RDJZCZTQSA-N 0 1 322.412 3.090 20 30 DGEDMN Cc1cccnc1N1CCN([C@@H](C)Cc2cccc(C#N)c2)CC1 ZINC001171202808 1095742827 /nfs/dbraw/zinc/74/28/27/1095742827.db2.gz HANSMVNFKYEIRB-KRWDZBQOSA-N 0 1 320.440 3.015 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1c(C)coc1C ZINC001276842960 1095767019 /nfs/dbraw/zinc/76/70/19/1095767019.db2.gz SRNJYLMQBHTTPP-MRXNPFEDSA-N 0 1 304.434 3.447 20 30 DGEDMN C#C[C@@H](COc1cccc(C(F)(F)F)c1)OCc1cnc[nH]1 ZINC001223008369 1095828315 /nfs/dbraw/zinc/82/83/15/1095828315.db2.gz XOZWQXRNRPCDST-ZDUSSCGKSA-N 0 1 310.275 3.026 20 30 DGEDMN N#C[C@H]1CN(Cc2cccc(OCc3ccccc3)c2)CCC1=O ZINC001138079952 1095865878 /nfs/dbraw/zinc/86/58/78/1095865878.db2.gz HVZXEGKOQKIEHO-SFHVURJKSA-N 0 1 320.392 3.180 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](C)CC(C)(C)C)C2)C1 ZINC001276878132 1095926717 /nfs/dbraw/zinc/92/67/17/1095926717.db2.gz OFHQLZPARDJEBU-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(C)CCC(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001223353675 1095947266 /nfs/dbraw/zinc/94/72/66/1095947266.db2.gz QRPJPIALAREVDR-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN CCCN1CCCC[C@H]1C(=O)OCc1ccc(CC#N)cc1 ZINC001118155314 1095948102 /nfs/dbraw/zinc/94/81/02/1095948102.db2.gz OJCPVKTWGXBMIR-KRWDZBQOSA-N 0 1 300.402 3.060 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCc1cc(=O)oc2ccc(C)cc12 ZINC001223543718 1095997171 /nfs/dbraw/zinc/99/71/71/1095997171.db2.gz MIANWASWMALZIV-AWEZNQCLSA-N 0 1 314.337 3.227 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCc1cc(=O)oc2ccc(C)cc12 ZINC001223543719 1095997283 /nfs/dbraw/zinc/99/72/83/1095997283.db2.gz MIANWASWMALZIV-CQSZACIVSA-N 0 1 314.337 3.227 20 30 DGEDMN CNc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)cn1 ZINC001171276746 1096030544 /nfs/dbraw/zinc/03/05/44/1096030544.db2.gz HAWFCMLCIUKKRC-UHFFFAOYSA-N 0 1 321.428 3.094 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2Cc2ccccc2C)CCC1 ZINC001276923630 1096074222 /nfs/dbraw/zinc/07/42/22/1096074222.db2.gz LFKWFGFKQOTAQQ-SFHVURJKSA-N 0 1 312.457 3.432 20 30 DGEDMN CC(C)(C)[NH+]1CCC(O/C(=N\[O-])c2cc(F)ccc2F)CC1 ZINC001223889629 1096087924 /nfs/dbraw/zinc/08/79/24/1096087924.db2.gz HWMXRUIKKYKYAR-CYVLTUHYSA-N 0 1 312.360 3.380 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(C)c(C)c1Cl ZINC001147797160 1096274557 /nfs/dbraw/zinc/27/45/57/1096274557.db2.gz QEUZHHKOTFLCJB-UHFFFAOYSA-N 0 1 301.217 3.029 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCCc1ccccc1C(=O)OCC ZINC001224848863 1096299648 /nfs/dbraw/zinc/29/96/48/1096299648.db2.gz JSHMIWGUSXIPFJ-HNNXBMFYSA-N 0 1 318.369 3.032 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCC1CC(OCc2ccccc2)C1 ZINC001225057683 1096343540 /nfs/dbraw/zinc/34/35/40/1096343540.db2.gz UPCMBJBBKIPHBA-UWTIGNOOSA-N 0 1 302.370 3.218 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@H](C)CCCCCC)C2)C1 ZINC001148599899 1096400693 /nfs/dbraw/zinc/40/06/93/1096400693.db2.gz QLKRWRAUGSCHNT-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2)C[C@H]1Oc1ncccc1C#N ZINC001225406485 1096420149 /nfs/dbraw/zinc/42/01/49/1096420149.db2.gz ZOCDCSKRZBCKBC-MAUKXSAKSA-N 0 1 307.397 3.243 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1ccc(C(F)(F)F)cc1O ZINC001148794742 1096448736 /nfs/dbraw/zinc/44/87/36/1096448736.db2.gz LIAOITVFAVCSOS-UHFFFAOYSA-N 0 1 321.258 3.238 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cnc(C)cn1 ZINC001148820020 1096453003 /nfs/dbraw/zinc/45/30/03/1096453003.db2.gz ADOQMISCOXQFPT-UHFFFAOYSA-N 0 1 320.481 3.132 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cc(C)ncn1 ZINC001148821054 1096454887 /nfs/dbraw/zinc/45/48/87/1096454887.db2.gz UCTREMBJJZZUTJ-UHFFFAOYSA-N 0 1 320.481 3.132 20 30 DGEDMN CC1=C(Oc2ccc(C(=O)c3ccc([O-])cc3)cc2)C(=O)[C@H](C)O1 ZINC001225808950 1096535546 /nfs/dbraw/zinc/53/55/46/1096535546.db2.gz VCHMYCIIBNAKLE-NSHDSACASA-N 0 1 324.332 3.221 20 30 DGEDMN Cc1cc(C)c(C#N)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)n1 ZINC001226088475 1096609132 /nfs/dbraw/zinc/60/91/32/1096609132.db2.gz PNYWZJASYDKXSD-JKSUJKDBSA-N 0 1 322.412 3.179 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2=CCCCCC2)[C@H](C)C1 ZINC001092566420 1096615419 /nfs/dbraw/zinc/61/54/19/1096615419.db2.gz PEVIKQKUYSSJEU-CZUORRHYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc([N+](=O)[O-])cc1C(F)(F)F ZINC001226311635 1096659464 /nfs/dbraw/zinc/65/94/64/1096659464.db2.gz TXWONZVTUZTGMN-LURJTMIESA-N 0 1 317.219 3.212 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cccc(C)c2C)[C@H](C)C1 ZINC001093171834 1096667584 /nfs/dbraw/zinc/66/75/84/1096667584.db2.gz HNDLERSYMVWUSJ-CZUORRHYSA-N 0 1 320.864 3.354 20 30 DGEDMN C=CCCC(=O)NC1CCN([C@@H](C)c2ccccc2F)CC1 ZINC001226518091 1096711271 /nfs/dbraw/zinc/71/12/71/1096711271.db2.gz BLCDWFDMYNUQLD-AWEZNQCLSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2oc(C(C)C)nc2C)CC1 ZINC001226528290 1096714473 /nfs/dbraw/zinc/71/44/73/1096714473.db2.gz VWICPHVYBLYGAU-UHFFFAOYSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncc(Br)c2occc21 ZINC001226578361 1096726277 /nfs/dbraw/zinc/72/62/77/1096726277.db2.gz UJALHVDTWVYHTM-LURJTMIESA-N 0 1 324.130 3.188 20 30 DGEDMN CCC[C@@H](C)C(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001226665161 1096746302 /nfs/dbraw/zinc/74/63/02/1096746302.db2.gz UAFHPHPIDOISTG-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cnc(Br)c(Cl)c1 ZINC001226885851 1096803415 /nfs/dbraw/zinc/80/34/15/1096803415.db2.gz QZKRSSRXFHHILU-RXMQYKEDSA-N 0 1 318.554 3.096 20 30 DGEDMN COc1cccc2c1[C@@H](Oc1nc3ccc(C#N)cc3[nH]1)CCO2 ZINC001227215527 1096897226 /nfs/dbraw/zinc/89/72/26/1096897226.db2.gz FAIBJEFRYRFUKW-INIZCTEOSA-N 0 1 321.336 3.346 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001171490049 1096897304 /nfs/dbraw/zinc/89/73/04/1096897304.db2.gz MZBURPVQRAVRDV-ZDUSSCGKSA-N 0 1 305.805 3.347 20 30 DGEDMN C[C@@H](Oc1nc2ccc(C#N)cc2[nH]1)c1ccccc1[N+](=O)[O-] ZINC001227217070 1096897656 /nfs/dbraw/zinc/89/76/56/1096897656.db2.gz ZXILBEVTFJJEDT-SNVBAGLBSA-N 0 1 308.297 3.483 20 30 DGEDMN COc1ccc(OC[C@@H](C)Oc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001227220108 1096898607 /nfs/dbraw/zinc/89/86/07/1096898607.db2.gz PYZYGZHPTQAOGL-GFCCVEGCSA-N 0 1 323.352 3.289 20 30 DGEDMN Cc1ccc2nc(=O)[nH]c(O[C@@H](C#N)c3ccccc3F)c2c1 ZINC001227345787 1096918910 /nfs/dbraw/zinc/91/89/10/1096918910.db2.gz DSCBOVBOWBXIAU-HNNXBMFYSA-N 0 1 309.300 3.427 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001227536671 1096946628 /nfs/dbraw/zinc/94/66/28/1096946628.db2.gz WQLHQLCEGGJBHY-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001227536796 1096946847 /nfs/dbraw/zinc/94/68/47/1096946847.db2.gz YAWKPDHSEVEGLJ-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCCCCCN1CCc2c([nH]nc2C(=O)NC(C)(C)C)C1 ZINC001277224984 1096960252 /nfs/dbraw/zinc/96/02/52/1096960252.db2.gz OJSQOEHGFUHYFZ-UHFFFAOYSA-N 0 1 320.481 3.267 20 30 DGEDMN CCCCCCCN1CCc2cc(C(=O)N3CC=CC3)[nH]c2C1 ZINC001277226098 1096963616 /nfs/dbraw/zinc/96/36/16/1096963616.db2.gz QLSCCXFZWGGKMS-UHFFFAOYSA-N 0 1 315.461 3.355 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](CC)C2CCC(C)CC2)CC1 ZINC001227774943 1096986542 /nfs/dbraw/zinc/98/65/42/1096986542.db2.gz PIQKYUVZLWZGKX-TVPLGVNVSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC[C@H](C#N)C2)CC12CCC2 ZINC001171857935 1096993109 /nfs/dbraw/zinc/99/31/09/1096993109.db2.gz MFQQSSPFHQIIJJ-CABCVRRESA-N 0 1 319.449 3.154 20 30 DGEDMN N#C[C@@H](Oc1[nH]c(=O)nc2sccc21)c1ccccc1F ZINC001227846632 1096998976 /nfs/dbraw/zinc/99/89/76/1096998976.db2.gz XZXLEXMVVXEIMK-LLVKDONJSA-N 0 1 301.302 3.180 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001227916388 1097011888 /nfs/dbraw/zinc/01/18/88/1097011888.db2.gz DDJUTJZWMMSFOA-HZPDHXFCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001227925274 1097014368 /nfs/dbraw/zinc/01/43/68/1097014368.db2.gz IYLIKSYNMCGPAU-HZPDHXFCSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cc(O)ccc2C#N)C1 ZINC001228007091 1097031776 /nfs/dbraw/zinc/03/17/76/1097031776.db2.gz MYSIZUZZAJGXDX-PBHICJAKSA-N 0 1 323.396 3.263 20 30 DGEDMN Cc1ccnc(OC(C(=O)c2ccco2)c2ccco2)c1C#N ZINC001228304817 1097092481 /nfs/dbraw/zinc/09/24/81/1097092481.db2.gz HTFMDYLXYBICHV-MRXNPFEDSA-N 0 1 308.293 3.451 20 30 DGEDMN CSc1ncc2c(n1)CN([C@@H](C)Cc1ccc(C#N)cc1)CC2 ZINC001172310799 1097096812 /nfs/dbraw/zinc/09/68/12/1097096812.db2.gz JGLHHGKTAZVRDC-ZDUSSCGKSA-N 0 1 324.453 3.059 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)NCC(=O)c1cc(F)ccc1F ZINC001172300092 1097110046 /nfs/dbraw/zinc/11/00/46/1097110046.db2.gz QUYBAAPXJDTISG-GFCCVEGCSA-N 0 1 314.335 3.240 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@@]23C[C@@H]2CCN3CC2CCC2)c1 ZINC001277296351 1097112364 /nfs/dbraw/zinc/11/23/64/1097112364.db2.gz CQQJZZXYFCEFGE-QFBILLFUSA-N 0 1 309.413 3.070 20 30 DGEDMN CCCCCCC[C@@H](CC)NCc1nnc(C(=O)OCC)o1 ZINC001172346658 1097120390 /nfs/dbraw/zinc/12/03/90/1097120390.db2.gz LFFLAIMEWVLXCD-CYBMUJFWSA-N 0 1 311.426 3.475 20 30 DGEDMN CCC[C@@H](C#Cc1ccccc1)Oc1[nH]c(=O)ncc1Cl ZINC001228481529 1097127726 /nfs/dbraw/zinc/12/77/26/1097127726.db2.gz AQLDJEHIZCZIOX-ZDUSSCGKSA-N 0 1 302.761 3.435 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCN(Cc2c(C)nsc2C)CC1 ZINC001228622608 1097154971 /nfs/dbraw/zinc/15/49/71/1097154971.db2.gz ZPWMOJNGMDDYIL-UHFFFAOYSA-N 0 1 321.490 3.053 20 30 DGEDMN C[C@H](CCCC#N)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@H](F)C1 ZINC001172491969 1097168068 /nfs/dbraw/zinc/16/80/68/1097168068.db2.gz INSGJMGVIGWAIA-MGPQQGTHSA-N 0 1 313.417 3.006 20 30 DGEDMN C[C@H](CCCC#N)N1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001172494988 1097169254 /nfs/dbraw/zinc/16/92/54/1097169254.db2.gz AOERXTIBJLMLFU-KFWWJZLASA-N 0 1 307.438 3.152 20 30 DGEDMN C[C@@H](CCCC#N)N1CC2(C1)CCCN2C(=O)OC(C)(C)C ZINC001172496151 1097170060 /nfs/dbraw/zinc/17/00/60/1097170060.db2.gz KKNTWVHJFRZQOT-AWEZNQCLSA-N 0 1 307.438 3.154 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(c2ccc3c(c2)CCC3=O)CC1 ZINC001172506068 1097174113 /nfs/dbraw/zinc/17/41/13/1097174113.db2.gz MVYUWYHJYFEJFJ-HNNXBMFYSA-N 0 1 311.429 3.020 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001228801484 1097192878 /nfs/dbraw/zinc/19/28/78/1097192878.db2.gz PTIVBXZZZLUZLM-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)C[C@@H](Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-])C(=C)C ZINC001228815980 1097196621 /nfs/dbraw/zinc/19/66/21/1097196621.db2.gz YZBPFPPEJBFFEC-CQSZACIVSA-N 0 1 321.329 3.377 20 30 DGEDMN C#C[C@@H](CCCCC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228812936 1097196662 /nfs/dbraw/zinc/19/66/62/1097196662.db2.gz ZOECRYDSVKLXJR-NSHDSACASA-N 0 1 321.329 3.048 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228828286 1097198440 /nfs/dbraw/zinc/19/84/40/1097198440.db2.gz CMBZUWTVQCUYAF-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN CC(C)OC(=O)CC[C@@H](C)N1CCc2ccc(C#N)cc2C1 ZINC001172755081 1097230557 /nfs/dbraw/zinc/23/05/57/1097230557.db2.gz LIPMQUDMLMMGBH-CQSZACIVSA-N 0 1 300.402 3.037 20 30 DGEDMN C=CCCC1(C(=O)NC2CCN(CCC(F)(F)F)CC2)CC1 ZINC001229037485 1097242433 /nfs/dbraw/zinc/24/24/33/1097242433.db2.gz NFWKHQOLZZAFJQ-UHFFFAOYSA-N 0 1 318.383 3.266 20 30 DGEDMN COc1ccc2c(c1)nc(=O)[nH]c2O[C@H](CC#N)c1ccccc1 ZINC001229137932 1097262437 /nfs/dbraw/zinc/26/24/37/1097262437.db2.gz PXRPTLMZARGYCV-MRXNPFEDSA-N 0 1 321.336 3.378 20 30 DGEDMN C[C@@H](N[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1)c1ccoc1 ZINC001172982540 1097298037 /nfs/dbraw/zinc/29/80/37/1097298037.db2.gz DHLYLRYUBHJHTA-SNPRPXQTSA-N 0 1 319.405 3.222 20 30 DGEDMN C=C(C)[C@@H]1CC=C(C)[C@H](Oc2nc(C)[nH]c(=O)c2[N+](=O)[O-])C1 ZINC001229382891 1097310073 /nfs/dbraw/zinc/31/00/73/1097310073.db2.gz XGQFTZYEBQVJDZ-VXGBXAGGSA-N 0 1 305.334 3.079 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](C)CC2CCCCC2)CC1 ZINC001229445421 1097322281 /nfs/dbraw/zinc/32/22/81/1097322281.db2.gz OXNOCRHBHSZENR-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)cc(F)c1Br ZINC001229589526 1097349980 /nfs/dbraw/zinc/34/99/80/1097349980.db2.gz BIHCVQKTULSMDY-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C(=O)OC)cc1Cl ZINC001229751748 1097377826 /nfs/dbraw/zinc/37/78/26/1097377826.db2.gz SJUHHOHGBTZHRN-LLVKDONJSA-N 0 1 310.733 3.115 20 30 DGEDMN N#CCC1CCC(n2nc(COCC3CC3)c3c2CNC3)CC1 ZINC001173335212 1097420926 /nfs/dbraw/zinc/42/09/26/1097420926.db2.gz CUBLPBAMSCGKNC-UHFFFAOYSA-N 0 1 314.433 3.058 20 30 DGEDMN C=CCOC1CCC(NC2(c3ccccc3OC)COC2)CC1 ZINC001173315857 1097427869 /nfs/dbraw/zinc/42/78/69/1097427869.db2.gz APXXDHMUPYSERO-UHFFFAOYSA-N 0 1 317.429 3.024 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001230017123 1097428594 /nfs/dbraw/zinc/42/85/94/1097428594.db2.gz UJZNCQGZIVBBTE-KRWDZBQOSA-N 0 1 312.457 3.174 20 30 DGEDMN C#C[C@@H](C)Oc1nc(C)c(C(=O)c2ccc(SC)cc2)[nH]1 ZINC001230129237 1097448448 /nfs/dbraw/zinc/44/84/48/1097448448.db2.gz FGKRXJQJNIVUSP-SNVBAGLBSA-N 0 1 300.383 3.072 20 30 DGEDMN CC1(C#N)CCC([N@H+]2Cc3cnn(C4CCOCC4)c3C2)CC1 ZINC001173600346 1097468910 /nfs/dbraw/zinc/46/89/10/1097468910.db2.gz BGRJOEQWMHNFHC-UHFFFAOYSA-N 0 1 314.433 3.023 20 30 DGEDMN CC1(C#N)CCC(N2Cc3cnn(C4CCOCC4)c3C2)CC1 ZINC001173600346 1097468915 /nfs/dbraw/zinc/46/89/15/1097468915.db2.gz BGRJOEQWMHNFHC-UHFFFAOYSA-N 0 1 314.433 3.023 20 30 DGEDMN CCC1(NC(=O)OC(C)(C)C)CN(C2CCC(C)(C#N)CC2)C1 ZINC001173600889 1097469330 /nfs/dbraw/zinc/46/93/30/1097469330.db2.gz LURXDUKOOCSORA-UHFFFAOYSA-N 0 1 321.465 3.448 20 30 DGEDMN C=C[C@@H](C(=O)NC1CCN(C/C=C/Cl)CC1)c1ccccc1 ZINC001230333989 1097477988 /nfs/dbraw/zinc/47/79/88/1097477988.db2.gz BLDALFPNQIRLQV-SCBHQAGPSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H]1CCC1(C)C)Cc1cccnc1 ZINC001230820104 1097597361 /nfs/dbraw/zinc/59/73/61/1097597361.db2.gz RWWBIWWBKRKFPO-KRWDZBQOSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)C1(CCC)CC1)Cc1cccnc1 ZINC001230838487 1097604069 /nfs/dbraw/zinc/60/40/69/1097604069.db2.gz QUADZFIBMPGWDR-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN COc1ccc2nc(=O)[nH]c(O[C@@H](C)c3ccccc3C#N)c2c1 ZINC001230862213 1097608826 /nfs/dbraw/zinc/60/88/26/1097608826.db2.gz MUGJRWNJJJKAJV-NSHDSACASA-N 0 1 321.336 3.356 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H]1CC[C@H](C)C1)Cc1cccnc1 ZINC001230861610 1097608864 /nfs/dbraw/zinc/60/88/64/1097608864.db2.gz NWIOVOMBQJXYLL-FUHWJXTLSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)CC[C@@H](C)CC)Cc1cccnc1 ZINC001230877052 1097612007 /nfs/dbraw/zinc/61/20/07/1097612007.db2.gz YPMLEJINRDIDDD-KRWDZBQOSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H]1C[C@]1(C)CC)Cc1cccnc1 ZINC001230882793 1097612385 /nfs/dbraw/zinc/61/23/85/1097612385.db2.gz SZYXKXNMTBSDKL-MJGOQNOKSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CC[N@H+]1Cc1cc(C)ccc1C ZINC001231115369 1097672067 /nfs/dbraw/zinc/67/20/67/1097672067.db2.gz UYSUMSNTGRPQKU-SFHVURJKSA-N 0 1 300.446 3.302 20 30 DGEDMN N#Cc1cnn(-c2ccccc2)c1Nc1cccc2[nH]ncc21 ZINC001174342754 1097683061 /nfs/dbraw/zinc/68/30/61/1097683061.db2.gz FWXYTFWKYJAPMV-UHFFFAOYSA-N 0 1 300.325 3.364 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CCCc1ccsc1 ZINC001231170027 1097687090 /nfs/dbraw/zinc/68/70/90/1097687090.db2.gz MJCFHYUCWDSCDE-INIZCTEOSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001231171092 1097687320 /nfs/dbraw/zinc/68/73/20/1097687320.db2.gz XQXBGVWAYYIGFR-QGZVFWFLSA-N 0 1 311.429 3.167 20 30 DGEDMN CC[C@@H](C#Cc1ccccc1)Oc1nc(C)cc(O)c1[N+](=O)[O-] ZINC001231262943 1097706482 /nfs/dbraw/zinc/70/64/82/1097706482.db2.gz IAARGYOLINUHBR-AWEZNQCLSA-N 0 1 312.325 3.213 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(OC)c(OCCCOC)c1 ZINC001231609677 1097791541 /nfs/dbraw/zinc/79/15/41/1097791541.db2.gz FLCXJKNAHDZFNF-UHFFFAOYSA-N 0 1 305.418 3.285 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@@H](C(=O)c2ccccc2)C1 ZINC001231622489 1097794748 /nfs/dbraw/zinc/79/47/48/1097794748.db2.gz XYKXPPHUWNLBFX-GOSISDBHSA-N 0 1 320.392 3.272 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001174673241 1097795885 /nfs/dbraw/zinc/79/58/85/1097795885.db2.gz VXDMXLWMMUBTEA-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCN[C@H](C)c1ncccn1 ZINC001174681993 1097799302 /nfs/dbraw/zinc/79/93/02/1097799302.db2.gz IFYYFKIAWPQUJS-LSDHHAIUSA-N 0 1 318.465 3.014 20 30 DGEDMN C#Cc1cccc(CN2CCN(Cc3ccc(C)cc3)CC2)c1 ZINC001231659651 1097806298 /nfs/dbraw/zinc/80/62/98/1097806298.db2.gz QLNAIOBZFDKYLF-UHFFFAOYSA-N 0 1 304.437 3.294 20 30 DGEDMN Cc1ccc(Nc2ccc(N3CCN(C)CC3)cc2)cc1C#N ZINC001174815162 1097845041 /nfs/dbraw/zinc/84/50/41/1097845041.db2.gz LPXNCEMDDBLWCX-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN Cc1ccc(C#N)cc1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174814912 1097845619 /nfs/dbraw/zinc/84/56/19/1097845619.db2.gz KCFAAVYFTGIGIA-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN Cc1cc(C#N)cc(Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC001174817758 1097847509 /nfs/dbraw/zinc/84/75/09/1097847509.db2.gz VHPVUWIHWVSGIC-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001174848307 1097866595 /nfs/dbraw/zinc/86/65/95/1097866595.db2.gz BFUHXHSPCTWNFX-GDBMZVCRSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001174849010 1097868007 /nfs/dbraw/zinc/86/80/07/1097868007.db2.gz IUMJDSRCBCGIRW-GJZGRUSLSA-N 0 1 321.465 3.350 20 30 DGEDMN COc1ccc(Nc2cc(C#N)c(F)cc2O)c(OC)c1OC ZINC001174902869 1097881010 /nfs/dbraw/zinc/88/10/10/1097881010.db2.gz UTWDOVREGKDNDT-UHFFFAOYSA-N 0 1 318.304 3.172 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@H](C)c1csnn1 ZINC001174977371 1097892304 /nfs/dbraw/zinc/89/23/04/1097892304.db2.gz AHHVIOWKXDRDAK-ZIAGYGMSSA-N 0 1 324.494 3.220 20 30 DGEDMN COc1ccnc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001231971475 1097903208 /nfs/dbraw/zinc/90/32/08/1097903208.db2.gz BQQOAUMLMFOZKX-LJQANCHMSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1nc(C)no1 ZINC001174979436 1097914401 /nfs/dbraw/zinc/91/44/01/1097914401.db2.gz ZWTMHCQTQMBCEC-KGLIPLIRSA-N 0 1 322.453 3.060 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1ncc(CC)o1 ZINC001174986150 1097917466 /nfs/dbraw/zinc/91/74/66/1097917466.db2.gz GDPDWQJVALKICY-HNNXBMFYSA-N 0 1 321.465 3.358 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001174987946 1097918477 /nfs/dbraw/zinc/91/84/77/1097918477.db2.gz TXUIMNFYBCWHTJ-CQSZACIVSA-N 0 1 321.465 3.412 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc(OCCC)c2)C1 ZINC001277603197 1097924123 /nfs/dbraw/zinc/92/41/23/1097924123.db2.gz POXXYVRMSMHVDD-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)CCCCNCc2ccon2)CC1 ZINC001175073864 1097939361 /nfs/dbraw/zinc/93/93/61/1097939361.db2.gz JRFCAOMQJBTPQN-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(Cc2n[nH]c3c2CCC3)CC1 ZINC001232430679 1098036972 /nfs/dbraw/zinc/03/69/72/1098036972.db2.gz GQEQPTHWCZGUJO-UHFFFAOYSA-N 0 1 324.403 3.095 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001277670219 1098052273 /nfs/dbraw/zinc/05/22/73/1098052273.db2.gz XNKDXMGLHMEGDC-HRCADAONSA-N 0 1 310.869 3.002 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3cc(C#N)ccn3)CC2)c1 ZINC001232481114 1098054268 /nfs/dbraw/zinc/05/42/68/1098054268.db2.gz VBQCBLWSEGJDCV-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001175469004 1098054471 /nfs/dbraw/zinc/05/44/71/1098054471.db2.gz LYAUJGHWNAVIAH-CQSZACIVSA-N 0 1 307.438 3.042 20 30 DGEDMN C[N@@H+](Cc1ccc(-n2ccnc2)cc1)Cc1cc(C#N)ccc1[O-] ZINC001232677938 1098112293 /nfs/dbraw/zinc/11/22/93/1098112293.db2.gz MCRNSCZLLIQORB-UHFFFAOYSA-N 0 1 318.380 3.082 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001277699637 1098113531 /nfs/dbraw/zinc/11/35/31/1098113531.db2.gz LXOMDMBVLWSOFN-UHFFFAOYSA-N 0 1 304.409 3.165 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CC12CCCC2 ZINC001277716612 1098140625 /nfs/dbraw/zinc/14/06/25/1098140625.db2.gz ADLBHTRELYGVGF-GJZGRUSLSA-N 0 1 310.869 3.146 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCN(Cc2ccc(C#N)s2)C1 ZINC001232846942 1098151344 /nfs/dbraw/zinc/15/13/44/1098151344.db2.gz PFMXOIDDCVHPFM-GFCCVEGCSA-N 0 1 306.431 3.173 20 30 DGEDMN CN(C)c1ccc(CN2CCC[C@@](C)(F)CC2)c(F)c1C#N ZINC001233096765 1098225661 /nfs/dbraw/zinc/22/56/61/1098225661.db2.gz KXKPKYGEBMVTRD-QGZVFWFLSA-N 0 1 307.388 3.477 20 30 DGEDMN CN(C)c1ccc(CN2CCC3(CC(F)C3)CC2)c(F)c1C#N ZINC001233099903 1098227037 /nfs/dbraw/zinc/22/70/37/1098227037.db2.gz WVOSNCBOHWEJOX-UHFFFAOYSA-N 0 1 319.399 3.477 20 30 DGEDMN Cc1cccc(O[C@H]2CCC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)n1 ZINC001233387902 1098310405 /nfs/dbraw/zinc/31/04/05/1098310405.db2.gz CWFBFDPZVIUYNH-KRWDZBQOSA-N 0 1 323.396 3.011 20 30 DGEDMN N#Cc1cccc(Cl)c1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001233551592 1098374859 /nfs/dbraw/zinc/37/48/59/1098374859.db2.gz QPOQWYYBGLEPLW-GASCZTMLSA-N 0 1 320.820 3.234 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc(Br)c1OC ZINC001233692791 1098409747 /nfs/dbraw/zinc/40/97/47/1098409747.db2.gz UVPZSDASBSEDFS-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Cl)nc(C(F)(F)F)c1 ZINC001233807376 1098435143 /nfs/dbraw/zinc/43/51/43/1098435143.db2.gz CBFYEEAARCWXBH-RXMQYKEDSA-N 0 1 307.655 3.352 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1coc(CC)n1 ZINC001233810610 1098435984 /nfs/dbraw/zinc/43/59/84/1098435984.db2.gz QXFAALFXBBQGME-HNNXBMFYSA-N 0 1 319.449 3.130 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1nc(CC)c(C)s1 ZINC001176771928 1098436738 /nfs/dbraw/zinc/43/67/38/1098436738.db2.gz XZPRKXGRFUQCMP-ZDUSSCGKSA-N 0 1 307.463 3.383 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3cc(C#N)c(F)cc3C)c2[nH]1 ZINC001177036600 1098460303 /nfs/dbraw/zinc/46/03/03/1098460303.db2.gz RVRFVBDEMUBGFC-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN C=CCCCN1CCO[C@@H]2CCN(C(=O)CC(C)=C(C)C)C[C@H]21 ZINC001177074071 1098471312 /nfs/dbraw/zinc/47/13/12/1098471312.db2.gz UIGHWGYMDCGBRX-QZTJIDSGSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(OC(F)(F)F)ccc1F ZINC001234370957 1098544600 /nfs/dbraw/zinc/54/46/00/1098544600.db2.gz PENVWYWQOCFIDZ-LURJTMIESA-N 0 1 306.211 3.322 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc(C)c1F ZINC001277857667 1098557643 /nfs/dbraw/zinc/55/76/43/1098557643.db2.gz FZAJILLDTFSDEC-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CCC[C@H](C)CCC ZINC001234546513 1098594091 /nfs/dbraw/zinc/59/40/91/1098594091.db2.gz LNRIBQMMZGIFAU-QZTJIDSGSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CC1(C(F)(F)F)CC1 ZINC001277866484 1098607148 /nfs/dbraw/zinc/60/71/48/1098607148.db2.gz UXEYJMRUOQJWFY-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCCc2cnccc21 ZINC001177918176 1098648368 /nfs/dbraw/zinc/64/83/68/1098648368.db2.gz RCRDQKDTPVWVCY-XJKSGUPXSA-N 0 1 311.410 3.019 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CCc2ccccc21 ZINC001177918241 1098648738 /nfs/dbraw/zinc/64/87/38/1098648738.db2.gz SIXJFHVMIWLEOL-LSDHHAIUSA-N 0 1 310.422 3.277 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCCc2cccnc21 ZINC001177916343 1098649045 /nfs/dbraw/zinc/64/90/45/1098649045.db2.gz VCXNRTXYJQEIKG-HIFRSBDPSA-N 0 1 311.410 3.019 20 30 DGEDMN COC(=O)[C@@H](Oc1ccccc1C=NO)c1cccc(Cl)c1 ZINC001234819117 1098669073 /nfs/dbraw/zinc/66/90/73/1098669073.db2.gz HUMJYTFVUOGTBG-HNNXBMFYSA-N 0 1 319.744 3.441 20 30 DGEDMN C=CCCC(=O)NCCCCCCN[C@@H](C)c1nnc(C)s1 ZINC001178007917 1098676320 /nfs/dbraw/zinc/67/63/20/1098676320.db2.gz OFIKDJMWJYXDIZ-ZDUSSCGKSA-N 0 1 324.494 3.140 20 30 DGEDMN C#CCN(Cc1c[nH]c(C(=O)OCC)c1)[C@@H]1CCc2ccccc21 ZINC001234945916 1098721188 /nfs/dbraw/zinc/72/11/88/1098721188.db2.gz HGWBWOCUFBPJEL-LJQANCHMSA-N 0 1 322.408 3.314 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCc1ccc(C)s1)C2 ZINC001110217834 1098757752 /nfs/dbraw/zinc/75/77/52/1098757752.db2.gz HIIRXOUKHSUIHS-PVAVHDDUSA-N 0 1 318.486 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCCCCNCc1ccon1 ZINC001178579970 1098864676 /nfs/dbraw/zinc/86/46/76/1098864676.db2.gz KSAFQRQJRIRUAO-UHFFFAOYSA-N 0 1 307.438 3.043 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001277932523 1098906702 /nfs/dbraw/zinc/90/67/02/1098906702.db2.gz HOGRTZKGILSHAU-KIWPFMIBSA-N 0 1 305.249 3.242 20 30 DGEDMN Cc1cc(CNCc2c(F)cccc2-n2cccn2)ccc1C#N ZINC001178731499 1098920027 /nfs/dbraw/zinc/92/00/27/1098920027.db2.gz RPNIWQFLHLPBFB-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN COc1cccc(-c2n[nH]cc2CNCc2ccc(C#N)s2)c1 ZINC001178981251 1098975056 /nfs/dbraw/zinc/97/50/56/1098975056.db2.gz CHWOYEDPIUFGCG-UHFFFAOYSA-N 0 1 324.409 3.308 20 30 DGEDMN CCOCc1cc(CNCc2ccc(C#N)s2)ccc1OC ZINC001178981513 1098975837 /nfs/dbraw/zinc/97/58/37/1098975837.db2.gz KLHFXHIKZWQXSY-UHFFFAOYSA-N 0 1 316.426 3.455 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(C#N)cc2)cc(F)c1F ZINC001235449702 1099041212 /nfs/dbraw/zinc/04/12/12/1099041212.db2.gz WTSLWOVMJQSYIK-UHFFFAOYSA-N 0 1 302.324 3.477 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@@H](C)c1nc2ccccc2o1 ZINC001235451081 1099042948 /nfs/dbraw/zinc/04/29/48/1099042948.db2.gz BYXFVIVSMDQYFE-AWEZNQCLSA-N 0 1 315.417 3.293 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCCCCNCc1cscn1 ZINC001179306275 1099057937 /nfs/dbraw/zinc/05/79/37/1099057937.db2.gz GCEINQXYPKFKKB-CABCVRRESA-N 0 1 323.506 3.368 20 30 DGEDMN CN1CCN(Cc2ccccc2CC#N)[C@@H](c2ccccc2)C1 ZINC001235510484 1099068616 /nfs/dbraw/zinc/06/86/16/1099068616.db2.gz BGPYMVHLISUVKE-HXUWFJFHSA-N 0 1 305.425 3.241 20 30 DGEDMN COC(=O)[C@@H]1CN(Cc2ccccc2CC#N)Cc2ccccc21 ZINC001235524688 1099074699 /nfs/dbraw/zinc/07/46/99/1099074699.db2.gz FWDFTVOTHAYCRX-LJQANCHMSA-N 0 1 320.392 3.025 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001179384180 1099087969 /nfs/dbraw/zinc/08/79/69/1099087969.db2.gz GBNCSKNTFRAHAX-SGZBENJWSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001179384177 1099089381 /nfs/dbraw/zinc/08/93/81/1099089381.db2.gz GBNCSKNTFRAHAX-CXFBKOSJSA-N 0 1 319.276 3.487 20 30 DGEDMN N#CC1(CNCc2cc(Cl)cc3cccnc32)CCOCC1 ZINC001179780062 1099195219 /nfs/dbraw/zinc/19/52/19/1099195219.db2.gz DFAWJVLZTURFET-UHFFFAOYSA-N 0 1 315.804 3.298 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C=Cc1cccc(C(F)(F)F)c1F ZINC001179782625 1099196635 /nfs/dbraw/zinc/19/66/35/1099196635.db2.gz VBXKLHOYXPKYKO-SNAWJCMRSA-N 0 1 324.237 3.091 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2cccc(C#N)c2)cc1C ZINC001180392725 1099393473 /nfs/dbraw/zinc/39/34/73/1099393473.db2.gz HCTRCZDEQWZSGC-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN Cc1cc(CN2CCN(Cc3ccccc3C)CC2)ccc1C#N ZINC001180412769 1099413018 /nfs/dbraw/zinc/41/30/18/1099413018.db2.gz GTTNDTKZTBTVPZ-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN CCCCCCC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001187581997 1099555343 /nfs/dbraw/zinc/55/53/43/1099555343.db2.gz MKPOOPKGFXYMST-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C[C@@H](CC(=O)N1CC[C@@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001188040725 1099660333 /nfs/dbraw/zinc/66/03/33/1099660333.db2.gz VZAHSGPJHFURPJ-DOTOQJQBSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CN(Cc3ccccc3F)C[C@H]21 ZINC001188074733 1099679142 /nfs/dbraw/zinc/67/91/42/1099679142.db2.gz JGTFESICQLDABO-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1c(C)noc1C ZINC001273553620 1099683550 /nfs/dbraw/zinc/68/35/50/1099683550.db2.gz DJGVCIYIHVUSJR-GOSISDBHSA-N 0 1 321.465 3.174 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(Cl)c(F)c(Cl)c2)CCC1=O ZINC001236660710 1099728627 /nfs/dbraw/zinc/72/86/27/1099728627.db2.gz DEKBWCZUBFLCQT-VIFPVBQESA-N 0 1 301.148 3.047 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(O)c(C(F)(F)F)c1)c1ccccc1 ZINC001188564667 1099784243 /nfs/dbraw/zinc/78/42/43/1099784243.db2.gz YGSPCZFRWZPREM-CYBMUJFWSA-N 0 1 320.270 3.406 20 30 DGEDMN N#CC1(c2ccc(NS(=O)(=O)c3ccoc3)cc2)CCCC1 ZINC001188610636 1099793288 /nfs/dbraw/zinc/79/32/88/1099793288.db2.gz WCTIKBVNNGEPRU-UHFFFAOYSA-N 0 1 316.382 3.416 20 30 DGEDMN CC(C)C[C@H](C#N)NC(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188588539 1099800628 /nfs/dbraw/zinc/80/06/28/1099800628.db2.gz RXQXQPGSWHIFJN-SNVBAGLBSA-N 0 1 300.280 3.079 20 30 DGEDMN CCCCCCC/C=C/CN1CC2(C1)SCC[C@@H]2C(N)=O ZINC001278036816 1099904869 /nfs/dbraw/zinc/90/48/69/1099904869.db2.gz FXCWZLHZZDXAGM-XVJNWHFHSA-N 0 1 310.507 3.196 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2c(O)cccc2OC(F)(F)F)CC1 ZINC001237122699 1099981314 /nfs/dbraw/zinc/98/13/14/1099981314.db2.gz KZYOGQWOCPGUMC-LLVKDONJSA-N 0 1 314.307 3.416 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H](C)c2ccccc2F)C1 ZINC001189269044 1100011424 /nfs/dbraw/zinc/01/14/24/1100011424.db2.gz FUPKMWHPSHQGTC-HUUCEWRRSA-N 0 1 304.409 3.386 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)CCCCC)[C@@H]2C1 ZINC001189341661 1100033035 /nfs/dbraw/zinc/03/30/35/1100033035.db2.gz HZSARKGRNBIUFQ-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@H]3CN(CC#CC)C[C@H]32)CCCCC1 ZINC001189406785 1100048809 /nfs/dbraw/zinc/04/88/09/1100048809.db2.gz YHQIXOPCNGVRRR-ZWKOTPCHSA-N 0 1 314.473 3.069 20 30 DGEDMN CCC[C@H](C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001189461845 1100064442 /nfs/dbraw/zinc/06/44/42/1100064442.db2.gz RLLIVECKVIQUKQ-PKOBYXMFSA-N 0 1 312.457 3.007 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001189461843 1100065259 /nfs/dbraw/zinc/06/52/59/1100065259.db2.gz RLLIVECKVIQUKQ-IEBWSBKVSA-N 0 1 312.457 3.007 20 30 DGEDMN COc1ccc2c(c1)n[nH]c2CN(CCC#N)Cc1ccccc1 ZINC001237395361 1100109029 /nfs/dbraw/zinc/10/90/29/1100109029.db2.gz HRFNRBBFGBJMQO-UHFFFAOYSA-N 0 1 320.396 3.487 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3ccnc(C#N)c3)CC2)cc1 ZINC001237467927 1100133987 /nfs/dbraw/zinc/13/39/87/1100133987.db2.gz BLITZGFGSUKUMN-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN CCN(Cc1cn(C)c2ccc(C#N)cc12)Cc1ccccn1 ZINC001237473823 1100135644 /nfs/dbraw/zinc/13/56/44/1100135644.db2.gz VYPKDRZODZORMM-UHFFFAOYSA-N 0 1 304.397 3.467 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001189883197 1100144868 /nfs/dbraw/zinc/14/48/68/1100144868.db2.gz RODQCVNKIDTXEE-IEBWSBKVSA-N 0 1 312.457 3.007 20 30 DGEDMN CN(CCc1ccc(F)cc1)Cc1cn2cc(C#N)ccc2n1 ZINC001237615020 1100188071 /nfs/dbraw/zinc/18/80/71/1100188071.db2.gz SLNFWIBKOQCCFU-UHFFFAOYSA-N 0 1 308.360 3.020 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1nnc[nH]1)Cc1ccc(C#N)cc1 ZINC001237612293 1100188367 /nfs/dbraw/zinc/18/83/67/1100188367.db2.gz VXECBKVDNIRQBG-OAHLLOKOSA-N 0 1 317.396 3.440 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(-c3ccc(F)cc3)ccc2O)CCC1=O ZINC001237648336 1100204560 /nfs/dbraw/zinc/20/45/60/1100204560.db2.gz ZOHUAIFGQLBGSJ-MRXNPFEDSA-N 0 1 324.355 3.113 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CC[C@H](COCC3CC3)C2)c1 ZINC001237753756 1100239387 /nfs/dbraw/zinc/23/93/87/1100239387.db2.gz OJDIGAZPVUVGRJ-AWEZNQCLSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CCN1CCN(Cc2cc(C)c(Br)cc2C)CC1 ZINC001238280519 1100408635 /nfs/dbraw/zinc/40/86/35/1100408635.db2.gz CAMPAIPBGKJUDC-UHFFFAOYSA-N 0 1 323.278 3.370 20 30 DGEDMN COc1cc(CN2CCC[C@@H](Oc3cccnc3)C2)ccc1C#N ZINC001238408089 1100435911 /nfs/dbraw/zinc/43/59/11/1100435911.db2.gz YCPLJHPRYKVIQY-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cc(CN2CCN(C)[C@@H](c3ccccc3)C2)ccc1C#N ZINC001238407344 1100436043 /nfs/dbraw/zinc/43/60/43/1100436043.db2.gz NRKYPUTXNNNAJB-LJQANCHMSA-N 0 1 321.424 3.056 20 30 DGEDMN N#C[C@H]1CCCCN1C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001191366781 1100458584 /nfs/dbraw/zinc/45/85/84/1100458584.db2.gz QPQDXBZJLKRONI-CYBMUJFWSA-N 0 1 314.776 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cocc1C)C1CCCC1 ZINC001278259010 1100489703 /nfs/dbraw/zinc/48/97/03/1100489703.db2.gz JESWERXDTKXYQM-OAHLLOKOSA-N 0 1 310.825 3.219 20 30 DGEDMN CC(C)=C(C)CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191763959 1100507395 /nfs/dbraw/zinc/50/73/95/1100507395.db2.gz QWYNFLLFJHPDMF-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191789112 1100522089 /nfs/dbraw/zinc/52/20/89/1100522089.db2.gz CLGOIMJMEAYNOJ-JKALPCAWSA-N 0 1 322.452 3.093 20 30 DGEDMN CCc1cc(CN2CC[C@]3(C2)CC(F)(F)CO3)ccc1C#N ZINC001238769898 1100524501 /nfs/dbraw/zinc/52/45/01/1100524501.db2.gz WCKKORHNWJECSF-MRXNPFEDSA-N 0 1 306.356 3.121 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC001191868587 1100536376 /nfs/dbraw/zinc/53/63/76/1100536376.db2.gz WCXZFVHGIYBBHU-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC001191911731 1100536834 /nfs/dbraw/zinc/53/68/34/1100536834.db2.gz JKYPDBOOUDCDBY-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC001191867881 1100537323 /nfs/dbraw/zinc/53/73/23/1100537323.db2.gz KLLBQZMSMKCEBN-YJBOKZPZSA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCCCCCN(C)Cc1cn(-c2cnccn2)cn1 ZINC001238809239 1100537461 /nfs/dbraw/zinc/53/74/61/1100537461.db2.gz IAOOJRRYKBGLNJ-UHFFFAOYSA-N 0 1 301.438 3.455 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001191868068 1100537484 /nfs/dbraw/zinc/53/74/84/1100537484.db2.gz NNZJFHCUBUXCAB-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191913343 1100549539 /nfs/dbraw/zinc/54/95/39/1100549539.db2.gz XDFQYJVLESJJIO-ICSRJNTNSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(C)C(=O)c1ccc(-c2nccc3[nH]cc(C#N)c32)cc1Cl ZINC001239004948 1100571625 /nfs/dbraw/zinc/57/16/25/1100571625.db2.gz WAOVSOIJQORDDC-UHFFFAOYSA-N 0 1 324.771 3.457 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001192162737 1100579857 /nfs/dbraw/zinc/57/98/57/1100579857.db2.gz RGSLVHLYTLGXEG-GXTWGEPZSA-N 0 1 306.372 3.074 20 30 DGEDMN N#CCN(Cc1ccccc1)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001192211895 1100586384 /nfs/dbraw/zinc/58/63/84/1100586384.db2.gz KLELLWRMVVTGLJ-UHFFFAOYSA-N 0 1 316.364 3.243 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](N(C)CCC(F)(F)F)C2)CCC1 ZINC001192227703 1100592859 /nfs/dbraw/zinc/59/28/59/1100592859.db2.gz TTZONQQJCIBPFH-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN CCCCCCCNC(=O)N1CCNC[C@@H]1Cc1ccccc1 ZINC001239286659 1100621816 /nfs/dbraw/zinc/62/18/16/1100621816.db2.gz NOFMWVQXOYBGCR-SFHVURJKSA-N 0 1 317.477 3.183 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC001192631962 1100646641 /nfs/dbraw/zinc/64/66/41/1100646641.db2.gz LQQLWOPVYBYJKU-MOPGFXCFSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001192687945 1100650878 /nfs/dbraw/zinc/65/08/78/1100650878.db2.gz MUEXACZUDATLRF-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CC(C)(Cc1ccc(F)cc1)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193513006 1100759415 /nfs/dbraw/zinc/75/94/15/1100759415.db2.gz WRJHKNOBVGOVAZ-UHFFFAOYSA-N 0 1 312.344 3.154 20 30 DGEDMN N#Cc1cc(C(=O)NC(=N)C23CC4CC(CC(C4)C2)C3)ccc1O ZINC001193513014 1100759695 /nfs/dbraw/zinc/75/96/95/1100759695.db2.gz XBGAVNAHTCXDLL-UHFFFAOYSA-N 0 1 323.396 3.187 20 30 DGEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001193600691 1100780236 /nfs/dbraw/zinc/78/02/36/1100780236.db2.gz JJAAAGWDKPDEPN-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001193600691 1100780238 /nfs/dbraw/zinc/78/02/38/1100780238.db2.gz JJAAAGWDKPDEPN-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)Nc2sc(C)c(C)c2C#N)[C@@H]1C ZINC001193604793 1100782091 /nfs/dbraw/zinc/78/20/91/1100782091.db2.gz HFXPBDAHQBVFDX-DGCLKSJQSA-N 0 1 305.447 3.296 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc3ccccc3c2)C1 ZINC001193675218 1100789159 /nfs/dbraw/zinc/78/91/59/1100789159.db2.gz UCDUSMFSARFFBV-IBGZPJMESA-N 0 1 306.409 3.009 20 30 DGEDMN CCCCOC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC001193722706 1100792873 /nfs/dbraw/zinc/79/28/73/1100792873.db2.gz XGRKBUWGXRZLGJ-UHFFFAOYSA-N 0 1 315.417 3.049 20 30 DGEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2c3ccccc3oc2CC)C1 ZINC001194305296 1100908850 /nfs/dbraw/zinc/90/88/50/1100908850.db2.gz IBNUPXPABTYIIE-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c3ccccc3oc2CC)C1 ZINC001194305296 1100908853 /nfs/dbraw/zinc/90/88/53/1100908853.db2.gz IBNUPXPABTYIIE-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001194358456 1100918065 /nfs/dbraw/zinc/91/80/65/1100918065.db2.gz HMGQLHIVHHICQW-IEBWSBKVSA-N 0 1 302.462 3.069 20 30 DGEDMN C/C(=N\O)c1ccc(-c2cccc(CCN3CCOCC3)c2)cc1 ZINC001240276586 1100920015 /nfs/dbraw/zinc/92/00/15/1100920015.db2.gz DKHHCMAPQVRGPG-LTGZKZEYSA-N 0 1 324.424 3.427 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@H](N(C)C/C=C/Cl)C2)CCCCC1 ZINC001194367962 1100920403 /nfs/dbraw/zinc/92/04/03/1100920403.db2.gz NNLQXOZLFLPKRU-MZTACXPWSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001194335753 1100924766 /nfs/dbraw/zinc/92/47/66/1100924766.db2.gz BPNSHHCTXXTAJZ-PKOBYXMFSA-N 0 1 314.473 3.364 20 30 DGEDMN Cc1cc(F)cc(-c2cccc(CN3CC[NH+](C)CC3)c2)c1C#N ZINC001240298000 1100930580 /nfs/dbraw/zinc/93/05/80/1100930580.db2.gz DQFBZHNZXGGPMP-UHFFFAOYSA-N 0 1 323.415 3.420 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC2CCC(C)(C)CC2)C1 ZINC001194545776 1100962670 /nfs/dbraw/zinc/96/26/70/1100962670.db2.gz OQEKNZIGFJHFAL-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](N(C)C[C@H](F)CC)C2)CCCC1 ZINC001194556436 1100965350 /nfs/dbraw/zinc/96/53/50/1100965350.db2.gz WBTYAZQJASHBAN-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCOc1ccc(C(=O)Nc2cc(=O)[nH]c(Cl)n2)cc1 ZINC001195124806 1101077690 /nfs/dbraw/zinc/07/76/90/1101077690.db2.gz OMYONZVDDMCUOR-UHFFFAOYSA-N 0 1 319.748 3.043 20 30 DGEDMN C=CCCCCC[N@H+]1CCCN(C(=O)c2cscn2)CC1 ZINC001195209999 1101098604 /nfs/dbraw/zinc/09/86/04/1101098604.db2.gz KMUJVOMNPQRAHY-UHFFFAOYSA-N 0 1 307.463 3.037 20 30 DGEDMN CC(C)(C#N)CCCCN1CCNC(=O)[C@@H]1CC1CCCCC1 ZINC001195251186 1101105616 /nfs/dbraw/zinc/10/56/16/1101105616.db2.gz RJQRHYHORSGJBP-KRWDZBQOSA-N 0 1 319.493 3.477 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H]2Cc2cc(F)ccc2F)c1 ZINC001195268446 1101109209 /nfs/dbraw/zinc/10/92/09/1101109209.db2.gz DMCCZASLOCNLND-QGZVFWFLSA-N 0 1 313.351 3.439 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)c1c(F)cncc1Cl ZINC001195479504 1101145132 /nfs/dbraw/zinc/14/51/32/1101145132.db2.gz UXTPYOKVOIRRKD-UHFFFAOYSA-N 0 1 311.650 3.276 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001278320511 1101151848 /nfs/dbraw/zinc/15/18/48/1101151848.db2.gz ONDBYGUZYYYKJA-HOKBCBGSSA-N 0 1 310.869 3.407 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(-n3cccc3)cc2)c(O)c1 ZINC001195733804 1101182707 /nfs/dbraw/zinc/18/27/07/1101182707.db2.gz WLZWBCJSBZOHQN-UHFFFAOYSA-N 0 1 303.321 3.307 20 30 DGEDMN C#CCC1(C(=O)N2CCC[N@H+]([C@H](C)c3ccccc3)CC2)CCC1 ZINC001196108212 1101243318 /nfs/dbraw/zinc/24/33/18/1101243318.db2.gz ZTYHXNOIOMIGBP-GOSISDBHSA-N 0 1 324.468 3.476 20 30 DGEDMN C=CCCCC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196984797 1101450331 /nfs/dbraw/zinc/45/03/31/1101450331.db2.gz QDPVVFZGLQPZLQ-UHFFFAOYSA-N 0 1 300.446 3.386 20 30 DGEDMN CCc1cc(C(=O)Nc2ccccc2-c2nnc[nH]2)ccc1C#N ZINC001197291974 1101532878 /nfs/dbraw/zinc/53/28/78/1101532878.db2.gz FOJYZSMELDSTAS-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001197428282 1101567600 /nfs/dbraw/zinc/56/76/00/1101567600.db2.gz VOMYCDMJKHPNND-SJORKVTESA-N 0 1 324.509 3.042 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1ccc2n[nH]c(C)c2c1 ZINC001241667685 1101620091 /nfs/dbraw/zinc/62/00/91/1101620091.db2.gz UFWJCDJAPRJKIY-UHFFFAOYSA-N 0 1 308.341 3.096 20 30 DGEDMN C=CCN1CCCN(C(=O)CC(C)(C)CC(F)(F)F)CC1 ZINC001197951238 1101713292 /nfs/dbraw/zinc/71/32/92/1101713292.db2.gz PFKCQTRWFXQUGU-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN N#CC1(c2ccccc2-c2ccc3[nH]nnc3c2)CCOCC1 ZINC001241943490 1101795065 /nfs/dbraw/zinc/79/50/65/1101795065.db2.gz PDYADNGRGZOTRN-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN N#CC1(c2ccccc2-c2ccc3nn[nH]c3c2)CCOCC1 ZINC001241943490 1101795070 /nfs/dbraw/zinc/79/50/70/1101795070.db2.gz PDYADNGRGZOTRN-UHFFFAOYSA-N 0 1 304.353 3.197 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)CCCc2cc(C)sc2C)CC1 ZINC001198290377 1101805650 /nfs/dbraw/zinc/80/56/50/1101805650.db2.gz FPKBNOOOZKRAOC-UHFFFAOYSA-N 0 1 320.502 3.408 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2cc(C)sc2C)CC1 ZINC001198290377 1101805669 /nfs/dbraw/zinc/80/56/69/1101805669.db2.gz FPKBNOOOZKRAOC-UHFFFAOYSA-N 0 1 320.502 3.408 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H](C)CC)c1ccccc1OC ZINC001198540120 1101912259 /nfs/dbraw/zinc/91/22/59/1101912259.db2.gz GBPBCKVSRRPRJW-HOCLYGCPSA-N 0 1 304.434 3.064 20 30 DGEDMN CCc1nc(C#N)c(C#N)nc1-c1ccc(O)c(C(F)(F)F)c1 ZINC001242158322 1101949039 /nfs/dbraw/zinc/94/90/39/1101949039.db2.gz YLBSJJKCSOMCAW-UHFFFAOYSA-N 0 1 318.258 3.174 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](C)CCCC)c1ccccc1OC ZINC001198705992 1101968522 /nfs/dbraw/zinc/96/85/22/1101968522.db2.gz UVENAORKYMOFBS-WBVHZDCISA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H]1CCC1(C)C)c1ccccc1OC ZINC001198855480 1102002304 /nfs/dbraw/zinc/00/23/04/1102002304.db2.gz CGHZASQIXUKHLM-JKSUJKDBSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@@H](C=C)CC)c1ccccc1OC ZINC001198857577 1102004820 /nfs/dbraw/zinc/00/48/20/1102004820.db2.gz RPOMQFAUXNHNID-RDJZCZTQSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(C)CC=C)c1ccccc1OC ZINC001198916114 1102026873 /nfs/dbraw/zinc/02/68/73/1102026873.db2.gz HQBVCTBANJBXHQ-MRXNPFEDSA-N 0 1 316.445 3.230 20 30 DGEDMN CC(C)(C)OC(=O)c1ncccc1-c1nccc2[nH]cc(C#N)c21 ZINC001242868651 1102389462 /nfs/dbraw/zinc/38/94/62/1102389462.db2.gz FEMFJAQMAGIPES-UHFFFAOYSA-N 0 1 320.352 3.452 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C(C)C)nc2)cc1C#N ZINC001201295113 1102546551 /nfs/dbraw/zinc/54/65/51/1102546551.db2.gz MWZHGNQHCGUXKX-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)NC[C@H]1CCCN1C ZINC001201839842 1102745257 /nfs/dbraw/zinc/74/52/57/1102745257.db2.gz YGPRUNDKEMSNIM-MRXNPFEDSA-N 0 1 318.527 3.141 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCCN(C(=O)C(C)C)C2)C1 ZINC001201776917 1102733361 /nfs/dbraw/zinc/73/33/61/1102733361.db2.gz PAUPSAYJVLNRAW-LJQANCHMSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCN1CCc2onc(Cn3cccn3)c2C1 ZINC001201776834 1102734483 /nfs/dbraw/zinc/73/44/83/1102734483.db2.gz NDFRJNFCTZVUKW-UHFFFAOYSA-N 0 1 302.422 3.248 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(C#N)cc4[nH]ccc43)c2)CC1 ZINC001243670620 1102906734 /nfs/dbraw/zinc/90/67/34/1102906734.db2.gz KROYDCRRTJHMIF-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN Cc1cc(-c2cccc(N3CCN(C)CC3)c2)cc(F)c1C#N ZINC001243671706 1102908551 /nfs/dbraw/zinc/90/85/51/1102908551.db2.gz XZBKLSNVNQWCNQ-UHFFFAOYSA-N 0 1 309.388 3.425 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001153001414 1102987188 /nfs/dbraw/zinc/98/71/88/1102987188.db2.gz QZFFZDQCGLMFGW-UONOGXRCSA-N 0 1 321.490 3.383 20 30 DGEDMN CCC(=CC(=O)NC/C=C/CNCc1ccc(C#N)s1)CC ZINC001273947644 1102994888 /nfs/dbraw/zinc/99/48/88/1102994888.db2.gz OFKCMGRLRPOZBD-AATRIKPKSA-N 0 1 317.458 3.128 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)Cc1ccccc1)C2 ZINC001111084917 1103039990 /nfs/dbraw/zinc/03/99/90/1103039990.db2.gz WGJAFDXUSMPBOD-WTGUMLROSA-N 0 1 324.468 3.000 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CCCCC)C2 ZINC001111104234 1103046493 /nfs/dbraw/zinc/04/64/93/1103046493.db2.gz WFBSVTUAZILELF-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@H](C)c1ccccc1)C2 ZINC001111107294 1103047206 /nfs/dbraw/zinc/04/72/06/1103047206.db2.gz OVHQTOFTLWMURZ-AITUJVMLSA-N 0 1 312.457 3.478 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1cccc(C)c1Cl ZINC001488642662 1103080542 /nfs/dbraw/zinc/08/05/42/1103080542.db2.gz KKDARCHSPRJTER-INIZCTEOSA-N 0 1 320.864 3.300 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C(CC(C)C)CC(C)C ZINC001488697765 1103114263 /nfs/dbraw/zinc/11/42/63/1103114263.db2.gz HDPBLSVCYGRYAQ-SFHVURJKSA-N 0 1 306.494 3.251 20 30 DGEDMN N#C[C@H]1CC=C(Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC001212863841 1103117648 /nfs/dbraw/zinc/11/76/48/1103117648.db2.gz IDKVHFCDRMTOCH-KRWDZBQOSA-N 0 1 311.429 3.181 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(C(F)F)cc1)C2 ZINC001095749339 1103131190 /nfs/dbraw/zinc/13/11/90/1103131190.db2.gz DYYBFAMCUPGADC-PMPSAXMXSA-N 0 1 320.383 3.074 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC(C)(C)c1ccccc1 ZINC001488700322 1103132050 /nfs/dbraw/zinc/13/20/50/1103132050.db2.gz VRQOFQKIHZIXSF-SFHVURJKSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C[C@@H]1C ZINC001278377382 1103238737 /nfs/dbraw/zinc/23/87/37/1103238737.db2.gz FNLHTOFJLJTFQO-BBRMVZONSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@@H](C)c1ccccc1 ZINC001495103509 1103238992 /nfs/dbraw/zinc/23/89/92/1103238992.db2.gz IYKXPHXLCBUWNW-CZUORRHYSA-N 0 1 308.853 3.417 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)CCC(C)(C)C)[C@H]1CC ZINC001489142446 1103297856 /nfs/dbraw/zinc/29/78/56/1103297856.db2.gz VOISEFAXRALPFC-IXDOHACOSA-N 0 1 306.494 3.441 20 30 DGEDMN CCC(=O)NCc1ccccc1CN(C)Cc1cccc(C#N)c1 ZINC001326444854 1103316781 /nfs/dbraw/zinc/31/67/81/1103316781.db2.gz FZDYSXNRGLITSY-UHFFFAOYSA-N 0 1 321.424 3.216 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)CCCCCC ZINC001114665396 1103361093 /nfs/dbraw/zinc/36/10/93/1103361093.db2.gz GUPNHHZXBWKOMV-WNRNVDISSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2cccc(C)c2o1 ZINC001489333122 1103363719 /nfs/dbraw/zinc/36/37/19/1103363719.db2.gz JPJWIZRJIFQOLW-KRWDZBQOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(CCC)CC3)cccc2C1 ZINC001326675765 1103403153 /nfs/dbraw/zinc/40/31/53/1103403153.db2.gz RSRHASIAAHNMIZ-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN CC(C)(C#N)CCS(=O)(=O)Nc1ccn(C2CCCCC2)n1 ZINC001326715678 1103416552 /nfs/dbraw/zinc/41/65/52/1103416552.db2.gz NEQVHIOPWDIDNP-UHFFFAOYSA-N 0 1 324.450 3.070 20 30 DGEDMN COCOc1cccc(Cl)c1-c1cc2[nH]cnc2c(C#N)n1 ZINC001244433056 1103433631 /nfs/dbraw/zinc/43/36/31/1103433631.db2.gz ONGZNFSMHIENSL-UHFFFAOYSA-N 0 1 314.732 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1cccnc1 ZINC001276312364 1103483686 /nfs/dbraw/zinc/48/36/86/1103483686.db2.gz PXJGCBHLYROCQI-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1cccnc1 ZINC001276312363 1103484119 /nfs/dbraw/zinc/48/41/19/1103484119.db2.gz PXJGCBHLYROCQI-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001327097563 1103518933 /nfs/dbraw/zinc/51/89/33/1103518933.db2.gz GGNSVOGVXLQBPX-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)CC(=O)N(C)CCCN(C)Cc1ccc(C#N)cc1F ZINC001327176527 1103569790 /nfs/dbraw/zinc/56/97/90/1103569790.db2.gz IYPJMXYACVNYNR-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1oc(C)nc1C ZINC001327258957 1103603558 /nfs/dbraw/zinc/60/35/58/1103603558.db2.gz XGTZCUPTHZRJPL-GOSISDBHSA-N 0 1 321.465 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2cnc(C)s2)CC1 ZINC001490414873 1103615590 /nfs/dbraw/zinc/61/55/90/1103615590.db2.gz RKQUORBQSRMSMM-UHFFFAOYSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001490416779 1103616075 /nfs/dbraw/zinc/61/60/75/1103616075.db2.gz RFCUIAMROOHTIY-SFHVURJKSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCN1CCC[C@H]([C@H]2CCCCN2C(=O)CCCC(C)=O)C1 ZINC001327299626 1103618827 /nfs/dbraw/zinc/61/88/27/1103618827.db2.gz PIXAKTAYBQDXRZ-ZWKOTPCHSA-N 0 1 320.477 3.025 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001490454627 1103632134 /nfs/dbraw/zinc/63/21/34/1103632134.db2.gz KWLBMFIGNKRCCL-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN COc1ccc(-c2nc(C(C)(C)C)nc3nc[nH]c32)cc1C#N ZINC001244707579 1103641707 /nfs/dbraw/zinc/64/17/07/1103641707.db2.gz PBWBNBZHWDEISL-UHFFFAOYSA-N 0 1 307.357 3.149 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001490558182 1103655147 /nfs/dbraw/zinc/65/51/47/1103655147.db2.gz BPHWYXFKGMOXCK-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1cc(F)ccc1F)C1CC1 ZINC001490672311 1103690911 /nfs/dbraw/zinc/69/09/11/1103690911.db2.gz MNQDMCVEKACYCM-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)c(C)c1)C2 ZINC001095960277 1103714302 /nfs/dbraw/zinc/71/43/02/1103714302.db2.gz AYYUUNJTGUNNDX-PVAVHDDUSA-N 0 1 316.832 3.007 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1ccccc1C)C1CC1 ZINC001490742795 1103717138 /nfs/dbraw/zinc/71/71/38/1103717138.db2.gz ULZUKYAJFDOXKF-WMLDXEAASA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1cccs1)C2 ZINC001095999932 1103726406 /nfs/dbraw/zinc/72/64/06/1103726406.db2.gz SOAXJZSVHNREFQ-KBXIAJHMSA-N 0 1 304.459 3.149 20 30 DGEDMN C=CCOc1ccc(-c2cccc(CN(C)C)c2F)nc1C#N ZINC001244863867 1103731829 /nfs/dbraw/zinc/73/18/29/1103731829.db2.gz LSJHOXUOMCMZOY-UHFFFAOYSA-N 0 1 311.360 3.386 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1ccccc1F)C2 ZINC001096173503 1103777037 /nfs/dbraw/zinc/77/70/37/1103777037.db2.gz HOGVBJPHSHLFNM-COXVUDFISA-N 0 1 316.420 3.011 20 30 DGEDMN CCc1cc(-c2ccc3nc(C(=O)OC)[nH]c3c2)ccc1C#N ZINC001244952060 1103777350 /nfs/dbraw/zinc/77/73/50/1103777350.db2.gz HSRXYGINGWAMGC-UHFFFAOYSA-N 0 1 305.337 3.451 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C(C)(C)C)c1)C2 ZINC001096220758 1103786586 /nfs/dbraw/zinc/78/65/86/1103786586.db2.gz FUENACGCBPHZMO-QYZOEREBSA-N 0 1 324.468 3.343 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1ccc(F)cc1)C2 ZINC001096374115 1103814342 /nfs/dbraw/zinc/81/43/42/1103814342.db2.gz PKSRJWNFPLWFFN-IKGGRYGDSA-N 0 1 316.420 3.011 20 30 DGEDMN CC(C)CC(=O)N[C@]12CCC[C@H]1CN(CC#Cc1ccccc1)C2 ZINC001111571549 1103832745 /nfs/dbraw/zinc/83/27/45/1103832745.db2.gz TZYFBBHWDYOMNA-FPOVZHCZSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3[nH]ccc3s1)C2 ZINC001096437069 1103833666 /nfs/dbraw/zinc/83/36/66/1103833666.db2.gz XKBPXGZRKCNFDH-DYEKYZERSA-N 0 1 315.442 3.141 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cccnc2)CC1(C)C ZINC001328084024 1103838489 /nfs/dbraw/zinc/83/84/89/1103838489.db2.gz XNYOEFPVQGPSJC-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1(C)CCC1 ZINC001328469449 1103959558 /nfs/dbraw/zinc/95/95/58/1103959558.db2.gz QWKAVZWPUMUJDJ-SFHVURJKSA-N 0 1 312.457 3.468 20 30 DGEDMN N#Cc1cc(-c2[nH]ccc3ncnc2-3)ccc1OC1CCOCC1 ZINC001245303143 1103972485 /nfs/dbraw/zinc/97/24/85/1103972485.db2.gz IYWUKALDBJEKBF-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN N#Cc1cc(-c2nccc3[nH]cnc32)ccc1OC1CCOCC1 ZINC001245303143 1103972493 /nfs/dbraw/zinc/97/24/93/1103972493.db2.gz IYWUKALDBJEKBF-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(Cl)o1 ZINC001328595634 1103994325 /nfs/dbraw/zinc/99/43/25/1103994325.db2.gz AZMUKXPYWPKKHS-NSHDSACASA-N 0 1 317.216 3.270 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001328647750 1104010893 /nfs/dbraw/zinc/01/08/93/1104010893.db2.gz PFAOBPNXEMUIFL-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN N#CCc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c(F)c1 ZINC001245427252 1104048705 /nfs/dbraw/zinc/04/87/05/1104048705.db2.gz VUYXXQUKDIVONU-INIZCTEOSA-N 0 1 300.377 3.160 20 30 DGEDMN N#Cc1cccc(-c2noc(-c3cc(-c4ccncc4)n[nH]3)n2)c1 ZINC001212797280 1104060359 /nfs/dbraw/zinc/06/03/59/1104060359.db2.gz HVVYNQUGSQXTNM-UHFFFAOYSA-N 0 1 314.308 3.060 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001328795657 1104074660 /nfs/dbraw/zinc/07/46/60/1104074660.db2.gz COEMJNDYLUWFGH-QUCCMNQESA-N 0 1 316.489 3.459 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC[C@@H]1CN(C)CC#CC)c1ccccc1 ZINC001328795945 1104074691 /nfs/dbraw/zinc/07/46/91/1104074691.db2.gz FMYPPNJZSCWNPC-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1nsc2ccccc12 ZINC001328996768 1104119183 /nfs/dbraw/zinc/11/91/83/1104119183.db2.gz QMFLNXANAOKTNM-OLZOCXBDSA-N 0 1 317.458 3.245 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(OC)c1Cl ZINC001328996185 1104119507 /nfs/dbraw/zinc/11/95/07/1104119507.db2.gz NUBCKMNZNOKBDB-STQMWFEESA-N 0 1 324.852 3.298 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(F)F)cc1 ZINC001329148837 1104170589 /nfs/dbraw/zinc/17/05/89/1104170589.db2.gz SHBJUECTSJBRON-WDEREUQCSA-N 0 1 316.779 3.473 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2c(c1)CCCC2 ZINC001329182192 1104181886 /nfs/dbraw/zinc/18/18/86/1104181886.db2.gz BEWBUYFKQBSJDZ-KGLIPLIRSA-N 0 1 320.864 3.414 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cnc(C(C)C)s1 ZINC001329215157 1104190896 /nfs/dbraw/zinc/19/08/96/1104190896.db2.gz RQHFAYJXIAREGH-MNOVXSKESA-N 0 1 315.870 3.115 20 30 DGEDMN COc1cc(OC)c(-c2cc3[nH]cnc3c(C#N)n2)cc1Cl ZINC001245724961 1104210397 /nfs/dbraw/zinc/21/03/97/1104210397.db2.gz WMEAKGIHLYBBRT-UHFFFAOYSA-N 0 1 314.732 3.167 20 30 DGEDMN Cc1cc(F)ncc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001212813015 1104215667 /nfs/dbraw/zinc/21/56/67/1104215667.db2.gz ZUIQBSKHNJVKCT-UHFFFAOYSA-N 0 1 302.378 3.194 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(C)C3CCC3)cc2C1 ZINC001274036702 1104229704 /nfs/dbraw/zinc/22/97/04/1104229704.db2.gz QOESKSKNFPVNGV-UHFFFAOYSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(F)ccc1CC ZINC001274164297 1104268162 /nfs/dbraw/zinc/26/81/62/1104268162.db2.gz RSXRRZXEVWGLNK-SNAWJCMRSA-N 0 1 310.800 3.016 20 30 DGEDMN COc1ccc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)cc1F ZINC001245938030 1104324380 /nfs/dbraw/zinc/32/43/80/1104324380.db2.gz ZFLDWVJRWZIQDO-UHFFFAOYSA-N 0 1 309.300 3.264 20 30 DGEDMN CC(=O)Nc1ccc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)cc1 ZINC001245937646 1104325638 /nfs/dbraw/zinc/32/56/38/1104325638.db2.gz RBYRVWKXJKZNIB-UHFFFAOYSA-N 0 1 318.336 3.075 20 30 DGEDMN COc1cccc(Cc2cc(=O)n(-c3ccc(C#N)cc3)[nH]2)c1 ZINC001245939068 1104325662 /nfs/dbraw/zinc/32/56/62/1104325662.db2.gz BRWIKOUMRBSLNO-UHFFFAOYSA-N 0 1 305.337 3.049 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H](NC/C(Cl)=C/Cl)[C@@H](C)C1 ZINC001274237596 1104333240 /nfs/dbraw/zinc/33/32/40/1104333240.db2.gz ATVQRILALYWJTQ-XTRMEEPQSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2nc(C)oc2C)CCCCC1 ZINC001329803863 1104390282 /nfs/dbraw/zinc/39/02/82/1104390282.db2.gz WBNWEZODHGDHSQ-UHFFFAOYSA-N 0 1 319.449 3.166 20 30 DGEDMN CC(C)CC(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001278431880 1104395290 /nfs/dbraw/zinc/39/52/90/1104395290.db2.gz SKEDWMULUUSQFF-CTNGQTDRSA-N 0 1 324.468 3.197 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C(C)(C)C(C)(F)F ZINC001329955185 1104438612 /nfs/dbraw/zinc/43/86/12/1104438612.db2.gz ZIRYKJAYRSSMSR-AWEZNQCLSA-N 0 1 314.420 3.052 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1cnc(C)s1)CC2 ZINC001278439094 1104462817 /nfs/dbraw/zinc/46/28/17/1104462817.db2.gz GCOPGMBUHXBZAU-DOTOQJQBSA-N 0 1 319.474 3.031 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(Cl)c1C ZINC001274768106 1104483707 /nfs/dbraw/zinc/48/37/07/1104483707.db2.gz VCIAFWLIMADGLT-SNVBAGLBSA-N 0 1 301.217 3.109 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CCC=C)c2ccccc2)C(C)(C)C1 ZINC001330263132 1104524267 /nfs/dbraw/zinc/52/42/67/1104524267.db2.gz PNZJIESGOFLAMJ-MOPGFXCFSA-N 0 1 324.468 3.196 20 30 DGEDMN CCCCCCCCN1CC2(CCN2Cc2cc(C)nn2C)C1 ZINC001275131829 1104641835 /nfs/dbraw/zinc/64/18/35/1104641835.db2.gz YJOYIANCCZTROQ-UHFFFAOYSA-N 0 1 318.509 3.349 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](C)CCCNCc1cc(F)ccc1C#N ZINC001330779337 1104642693 /nfs/dbraw/zinc/64/26/93/1104642693.db2.gz GPQXDLWZCMVJAA-QJIMOZNHSA-N 0 1 317.408 3.038 20 30 DGEDMN C[C@@H](NC[C@H](C)NC(=O)C#CC(C)(C)C)c1ccc(F)cc1F ZINC001275150053 1104650874 /nfs/dbraw/zinc/65/08/74/1104650874.db2.gz VSAIUWMQQMXSFL-QWHCGFSZSA-N 0 1 322.399 3.170 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](CCCCC)C(C)C ZINC001275160723 1104658200 /nfs/dbraw/zinc/65/82/00/1104658200.db2.gz PXGTTZDCHJOZDM-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CCCCC)C(C)C ZINC001275160723 1104658202 /nfs/dbraw/zinc/65/82/02/1104658202.db2.gz PXGTTZDCHJOZDM-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCN[C@H](C)c1ncccn1 ZINC001330884650 1104669859 /nfs/dbraw/zinc/66/98/59/1104669859.db2.gz ZCZIZYYIGBQWGP-LSDHHAIUSA-N 0 1 318.465 3.014 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001275291222 1104710050 /nfs/dbraw/zinc/71/00/50/1104710050.db2.gz GRKNJSVKBAQGKR-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1ccc(C#N)cc1)[C@H]1CNC(=O)C1 ZINC001246889115 1104724483 /nfs/dbraw/zinc/72/44/83/1104724483.db2.gz DDIWRHFCKIGYMP-HNAYVOBHSA-N 0 1 319.408 3.010 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001275403727 1104748107 /nfs/dbraw/zinc/74/81/07/1104748107.db2.gz LDVWFPCURNIMDY-NEPJUHHUSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001275461816 1104766511 /nfs/dbraw/zinc/76/65/11/1104766511.db2.gz RLXRXZBZXWDETE-VXGBXAGGSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001275629597 1104840811 /nfs/dbraw/zinc/84/08/11/1104840811.db2.gz NAWZAUZDLBWAKH-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN N#Cc1ccc(-c2noc(CN3CCCC3)n2)c2ccccc12 ZINC001247458804 1104907368 /nfs/dbraw/zinc/90/73/68/1104907368.db2.gz YQCHPCRFLVKMQH-UHFFFAOYSA-N 0 1 304.353 3.357 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1cccc(C(C)C)n1 ZINC001275844261 1104930360 /nfs/dbraw/zinc/93/03/60/1104930360.db2.gz LCPDPQHENPWGDB-INIZCTEOSA-N 0 1 317.477 3.498 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)c(F)ccc1Cl)C2 ZINC001095648255 1105094649 /nfs/dbraw/zinc/09/46/49/1105094649.db2.gz QACJMFKGLNRXDY-UGFHNGPFSA-N 0 1 322.811 3.309 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)cc3ccoc31)C2 ZINC001095652238 1105094874 /nfs/dbraw/zinc/09/48/74/1105094874.db2.gz LJQFHVZOCTZGPK-KBMXLJTQSA-N 0 1 314.360 3.093 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)sc1C)C2 ZINC001095706794 1105099835 /nfs/dbraw/zinc/09/98/35/1105099835.db2.gz BYHFHAROHIPDII-KBMXLJTQSA-N 0 1 304.459 3.276 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1csc(C)c1 ZINC001110898892 1105106106 /nfs/dbraw/zinc/10/61/06/1105106106.db2.gz XFKGICGUXWYFDN-OAGGEKHMSA-N 0 1 318.486 3.490 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(F)c1 ZINC001110964395 1105127501 /nfs/dbraw/zinc/12/75/01/1105127501.db2.gz JEMALOAFZVAMJB-KURKYZTESA-N 0 1 316.420 3.404 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1 ZINC001110964717 1105127982 /nfs/dbraw/zinc/12/79/82/1105127982.db2.gz XXZQWFZNFINQNC-KURKYZTESA-N 0 1 316.420 3.404 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2ncccc2C)CC1 ZINC001248748548 1105154651 /nfs/dbraw/zinc/15/46/51/1105154651.db2.gz NFBXHEJQPGSSTM-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN CCc1cc(C#N)ccc1CN(C)Cc1cccc2c1OCO2 ZINC001248752948 1105156504 /nfs/dbraw/zinc/15/65/04/1105156504.db2.gz JAUPRLRRAZLTFY-UHFFFAOYSA-N 0 1 308.381 3.481 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3nc4ccccc4cc3C)no2)C1 ZINC001249232088 1105252596 /nfs/dbraw/zinc/25/25/96/1105252596.db2.gz VLIBMJGNLHJEAW-SFHVURJKSA-N 0 1 306.369 3.358 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc(C(C)C)oc1C)C2 ZINC001097067700 1105339780 /nfs/dbraw/zinc/33/97/80/1105339780.db2.gz MDKRFOHPOFIELP-KFWWJZLASA-N 0 1 317.433 3.018 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)cc3ccoc31)C2 ZINC001097385527 1105388169 /nfs/dbraw/zinc/38/81/69/1105388169.db2.gz LJQFHVZOCTZGPK-BMFZPTHFSA-N 0 1 314.360 3.093 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2ccc(Br)c(Cl)n2)C1 ZINC001254641978 1105460638 /nfs/dbraw/zinc/46/06/38/1105460638.db2.gz BYZHHINFUDBNMV-RKDXNWHRSA-N 0 1 314.614 3.279 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1[C@@H]3CCCCCC[C@@H]31)C2 ZINC001097912664 1105463028 /nfs/dbraw/zinc/46/30/28/1105463028.db2.gz CBTVDPJATGWSBQ-ZXXIGWHRSA-N 0 1 302.462 3.110 20 30 DGEDMN N#Cc1cnn(-c2ccccc2)c1Nc1ccc2cncn2c1 ZINC001250327465 1105500043 /nfs/dbraw/zinc/50/00/43/1105500043.db2.gz XVYODGYEEZPSFS-UHFFFAOYSA-N 0 1 300.325 3.135 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccc(CC)cc1)C2 ZINC001098046668 1105536648 /nfs/dbraw/zinc/53/66/48/1105536648.db2.gz WITSCEROQYXGHY-PIKADFDJSA-N 0 1 312.457 3.260 20 30 DGEDMN COCOc1ccc2nc(CCc3cccc(C#N)c3)[nH]c2c1 ZINC001250581517 1105541289 /nfs/dbraw/zinc/54/12/89/1105541289.db2.gz CMLFIXFIGZIUIC-UHFFFAOYSA-N 0 1 307.353 3.202 20 30 DGEDMN C=CCN(Cc1nc2ccc3ncccc3c2[nH]1)C(=O)OCC ZINC001250591749 1105544009 /nfs/dbraw/zinc/54/40/09/1105544009.db2.gz YAHZAEVMRXHNOQ-UHFFFAOYSA-N 0 1 310.357 3.256 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1ccc(F)cc1)C2 ZINC001098240305 1105563052 /nfs/dbraw/zinc/56/30/52/1105563052.db2.gz PKSRJWNFPLWFFN-ZACQAIPSSA-N 0 1 316.420 3.011 20 30 DGEDMN C#CCOc1ccc(-c2nc3ccc(OCOC)cc3[nH]2)cc1 ZINC001250705489 1105563586 /nfs/dbraw/zinc/56/35/86/1105563586.db2.gz YWCOQNUZGVACKQ-UHFFFAOYSA-N 0 1 308.337 3.225 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1C(F)F)C2 ZINC001098300006 1105566931 /nfs/dbraw/zinc/56/69/31/1105566931.db2.gz HWPYRIRZABCCOT-RTXFEEFZSA-N 0 1 324.396 3.044 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc3ccccc3c1C)C2 ZINC001098331951 1105569383 /nfs/dbraw/zinc/56/93/83/1105569383.db2.gz VQADQIMIPGUVSO-OIISXLGYSA-N 0 1 322.408 3.100 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CC(C)C)cc1)C2 ZINC001098357724 1105572134 /nfs/dbraw/zinc/57/21/34/1105572134.db2.gz ISDHMSIWAOPKJH-QRVBRYPASA-N 0 1 312.457 3.406 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCCCC1(C)C)C2 ZINC001098517369 1105581199 /nfs/dbraw/zinc/58/11/99/1105581199.db2.gz BUHXUCJFPRZICZ-TVFCKZIOSA-N 0 1 316.489 3.338 20 30 DGEDMN CC(C)c1ccc(OC[C@@H](O)CNC2(CC#N)CCCC2)cc1 ZINC001250787179 1105583023 /nfs/dbraw/zinc/58/30/23/1105583023.db2.gz QFCDZFBJQYGGSN-KRWDZBQOSA-N 0 1 316.445 3.366 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001098864776 1105609511 /nfs/dbraw/zinc/60/95/11/1105609511.db2.gz YMGREQWKFIINFP-FPOVZHCZSA-N 0 1 322.452 3.172 20 30 DGEDMN COc1cc2[nH]c(-c3ccc(C#N)cc3[N+](=O)[O-])nc2cc1C ZINC001250954122 1105610344 /nfs/dbraw/zinc/61/03/44/1105610344.db2.gz XYIFZVUARXQDRN-UHFFFAOYSA-N 0 1 308.297 3.327 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C=C1CCCCC1 ZINC001098944342 1105615682 /nfs/dbraw/zinc/61/56/82/1105615682.db2.gz LFCRXAXIAMQRGB-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001099094893 1105641323 /nfs/dbraw/zinc/64/13/23/1105641323.db2.gz AQYPPLRPWKVWQF-JPLJXNOCSA-N 0 1 316.489 3.053 20 30 DGEDMN CN(C)c1ccc(-c2nc3cc4c(cc3[nH]2)OCC4)c(F)c1C#N ZINC001251264691 1105646273 /nfs/dbraw/zinc/64/62/73/1105646273.db2.gz IIIZSVBSZVNWMJ-UHFFFAOYSA-N 0 1 322.343 3.242 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001099132868 1105647124 /nfs/dbraw/zinc/64/71/24/1105647124.db2.gz CXNUXEJXHCDMJX-RXVVDRJESA-N 0 1 324.468 3.202 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(C)c(C)s2)C1 ZINC001099154122 1105650975 /nfs/dbraw/zinc/65/09/75/1105650975.db2.gz SFKUOCZROSKSRN-YOEHRIQHSA-N 0 1 304.459 3.135 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C)CCCC1 ZINC001099158196 1105651811 /nfs/dbraw/zinc/65/18/11/1105651811.db2.gz COYORMBNWNJORT-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099170991 1105652953 /nfs/dbraw/zinc/65/29/53/1105652953.db2.gz MQHFUNOMKFALOJ-STKCSNAVSA-N 0 1 302.462 3.139 20 30 DGEDMN N#CCc1ccccc1-c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001251427392 1105658183 /nfs/dbraw/zinc/65/81/83/1105658183.db2.gz ZJEILRFRUQTVCG-UHFFFAOYSA-N 0 1 318.380 3.133 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)c1cccs1 ZINC001099234380 1105660563 /nfs/dbraw/zinc/66/05/63/1105660563.db2.gz IXPKBHWVILPTKQ-LSDHHAIUSA-N 0 1 318.486 3.092 20 30 DGEDMN N#Cc1cc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)ccn1 ZINC001251604788 1105672770 /nfs/dbraw/zinc/67/27/70/1105672770.db2.gz YDUFXJKCNDFVIE-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN C=C(CN1CCCCC1)c1nnc(Cc2ccc(F)cc2)o1 ZINC001251911259 1105708229 /nfs/dbraw/zinc/70/82/29/1105708229.db2.gz BYGJHWKSPOXIOY-UHFFFAOYSA-N 0 1 301.365 3.299 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001495466173 1105726094 /nfs/dbraw/zinc/72/60/94/1105726094.db2.gz DMDYVXKJINFFKX-HDJSIYSDSA-N 0 1 307.463 3.016 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]2CN(CCF)CC[C@H]21 ZINC001490982868 1105731557 /nfs/dbraw/zinc/73/15/57/1105731557.db2.gz DZLZVXDCJANYNG-DLBZAZTESA-N 0 1 308.441 3.037 20 30 DGEDMN CCCCCCCC[C@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001252119827 1105743771 /nfs/dbraw/zinc/74/37/71/1105743771.db2.gz GZVJCMJHMQMLRA-HOTGVXAUSA-N 0 1 318.465 3.491 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)C2(CC(C)C)CCC2)C1 ZINC001491111324 1105753876 /nfs/dbraw/zinc/75/38/76/1105753876.db2.gz NNQSQNBEVOHIAP-QGZVFWFLSA-N 0 1 304.478 3.054 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001491236606 1105784277 /nfs/dbraw/zinc/78/42/77/1105784277.db2.gz MNGJFIPMBRMTPD-QZTJIDSGSA-N 0 1 318.436 3.476 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2C)CC1 ZINC001491237554 1105785195 /nfs/dbraw/zinc/78/51/95/1105785195.db2.gz NSCBBYZYPGQXQC-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)/C=C/c2ccco2)C1 ZINC001491278038 1105793286 /nfs/dbraw/zinc/79/32/86/1105793286.db2.gz BBCYPXQJJQTKLJ-PEGRTIRESA-N 0 1 322.836 3.262 20 30 DGEDMN CC(C)=CC(=O)N[C@@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491279646 1105795577 /nfs/dbraw/zinc/79/55/77/1105795577.db2.gz JNHNSADOMHOYLV-ICSRJNTNSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCC[C@@H](O)CNCc1c(F)cc(Br)cc1F ZINC001252618689 1105805667 /nfs/dbraw/zinc/80/56/67/1105805667.db2.gz CSGCLBFVYPVGGZ-SNVBAGLBSA-N 0 1 320.177 3.144 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001252876073 1105850096 /nfs/dbraw/zinc/85/00/96/1105850096.db2.gz IHGLHPICPUWKIU-NVXWUHKLSA-N 0 1 320.396 3.199 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCCN(C)Cc1ccccc1Cl ZINC001280806232 1105856625 /nfs/dbraw/zinc/85/66/25/1105856625.db2.gz SQFOLRBXETZXDG-UHFFFAOYSA-N 0 1 320.864 3.280 20 30 DGEDMN C#CCN1CCN(C[C@H](O)CCCCCCCCCC)CC1 ZINC001252986073 1105865274 /nfs/dbraw/zinc/86/52/74/1105865274.db2.gz SECQTLCOYVHQOJ-LJQANCHMSA-N 0 1 308.510 3.129 20 30 DGEDMN CCCC[C@H](CC)COC[C@@H](O)CNC1(CC#N)CCCC1 ZINC001253034371 1105880032 /nfs/dbraw/zinc/88/00/32/1105880032.db2.gz PLVHJFUPOBDULC-IRXDYDNUSA-N 0 1 310.482 3.396 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCc1cc2ccccn2n1 ZINC001253253755 1105912534 /nfs/dbraw/zinc/91/25/34/1105912534.db2.gz KLBVSQKJSDKFQZ-GOSISDBHSA-N 0 1 301.434 3.311 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@]2(C)CC=CCC2)[C@H](C)C1 ZINC001101997704 1106007791 /nfs/dbraw/zinc/00/77/91/1106007791.db2.gz DWAZHRNAEUVCEN-UNEWFSDZSA-N 0 1 310.869 3.169 20 30 DGEDMN N#CC[C@H](Cc1nc([C@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC000350079993 1106011681 /nfs/dbraw/zinc/01/16/81/1106011681.db2.gz UMWMEVLFWGBPDH-SJORKVTESA-N 0 1 322.412 3.119 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H]2Cc3ccc(Br)cc3C2)C1 ZINC001254284941 1106051300 /nfs/dbraw/zinc/05/13/00/1106051300.db2.gz QVRZJUYTKHEBDK-MLGOLLRUSA-N 0 1 304.231 3.262 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H](C)C(C)(C)C)[C@H](C)C1 ZINC001102529943 1106069967 /nfs/dbraw/zinc/06/99/67/1106069967.db2.gz VVSDNXAQEKMUMF-UMVBOHGHSA-N 0 1 314.901 3.495 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N[C@H]2COc3cc(Br)ccc32)C1 ZINC001254648790 1106121515 /nfs/dbraw/zinc/12/15/15/1106121515.db2.gz OHRCYULNIKBODX-XWIASGKRSA-N 0 1 307.191 3.164 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2cn(Cc3ccc(Cl)cc3)cn2)C1 ZINC001254647542 1106121980 /nfs/dbraw/zinc/12/19/80/1106121980.db2.gz HBCWTROUUUDFGX-HOCLYGCPSA-N 0 1 314.820 3.367 20 30 DGEDMN Cc1csc([C@H]2C[C@@H]3CCN([C@@H]4CC[C@@H](C#N)C4)C[C@H]3O2)n1 ZINC001254659188 1106125326 /nfs/dbraw/zinc/12/53/26/1106125326.db2.gz LQAMOCJVBWFWFR-YIDVYQOGSA-N 0 1 317.458 3.296 20 30 DGEDMN COc1ccc([C@@H]2CSCCN2[C@H]2CC[C@H](C#N)C2)cc1 ZINC001254668986 1106128753 /nfs/dbraw/zinc/12/87/53/1106128753.db2.gz MCTGIGSQDIPEIO-QRTARXTBSA-N 0 1 302.443 3.477 20 30 DGEDMN COc1cccc([C@@H]2CSCCN2[C@@H]2CC[C@@H](C#N)C2)c1 ZINC001254676585 1106131694 /nfs/dbraw/zinc/13/16/94/1106131694.db2.gz MHYOSENSRZMWTL-UNEWFSDZSA-N 0 1 302.443 3.477 20 30 DGEDMN CC[C@H](CC#N)N[C@@H]1CC12CCN(C(=O)OC(C)(C)C)CC2 ZINC001255151973 1106191983 /nfs/dbraw/zinc/19/19/83/1106191983.db2.gz JWSXBLZYIMXKTF-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@H](CC#N)N[C@@]12CCC[C@@H]1N(C(=O)OC(C)(C)C)CC2 ZINC001255152076 1106192172 /nfs/dbraw/zinc/19/21/72/1106192172.db2.gz MJOCKACFAOPKKZ-JKIFEVAISA-N 0 1 307.438 3.200 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001255167200 1106197617 /nfs/dbraw/zinc/19/76/17/1106197617.db2.gz VWPSYVHKNJWXET-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN CC[C@H](CC#N)N1CCC(CN(C)C(=O)OC(C)(C)C)CC1 ZINC001255171898 1106198490 /nfs/dbraw/zinc/19/84/90/1106198490.db2.gz DJBQUMAETKIQDV-OAHLLOKOSA-N 0 1 309.454 3.258 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN([C@H](C)CC(F)(F)F)C2)CC1 ZINC001255645121 1106283583 /nfs/dbraw/zinc/28/35/83/1106283583.db2.gz AZQWLEDZXXMXJB-GFCCVEGCSA-N 0 1 320.355 3.048 20 30 DGEDMN Cc1cc2c(c(C)c1Cl)C(=NNc1ccn(C)n1)CCO2 ZINC001255670168 1106290870 /nfs/dbraw/zinc/29/08/70/1106290870.db2.gz BZEOXZBCNBDOPS-UHFFFAOYSA-N 0 1 304.781 3.289 20 30 DGEDMN C[C@H](Cc1ccccc1F)N1CCN(c2ccc(C#N)cc2)CC1 ZINC001255851647 1106327902 /nfs/dbraw/zinc/32/79/02/1106327902.db2.gz STTJCUBIHWIRTE-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C(=O)[C@H](C)c1ccc(C)o1)C(C)C ZINC001278922011 1106395633 /nfs/dbraw/zinc/39/56/33/1106395633.db2.gz FHUYXFSTQHCIJL-MRXNPFEDSA-N 0 1 306.450 3.436 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CSC(C)C)C(C)(C)C ZINC001278938507 1106425676 /nfs/dbraw/zinc/42/56/76/1106425676.db2.gz ADDYXQBOYQVMDK-GFCCVEGCSA-N 0 1 306.903 3.001 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNC/C(Cl)=C\Cl)C(C)(C)C ZINC001278945686 1106442058 /nfs/dbraw/zinc/44/20/58/1106442058.db2.gz MZISBOXXNZMJHQ-OBIHZWKSSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001278948811 1106451238 /nfs/dbraw/zinc/45/12/38/1106451238.db2.gz ZLLFMWFPTJQSHH-ZNMIVQPWSA-N 0 1 310.869 3.310 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cccs1)c1ccccc1CC ZINC001278964201 1106481821 /nfs/dbraw/zinc/48/18/21/1106481821.db2.gz OVFLGFRJFFTJHE-INIZCTEOSA-N 0 1 312.438 3.004 20 30 DGEDMN Cn1cnc2c1CCN(C1CCC(c3ccc(C#N)cc3)CC1)C2 ZINC001257019198 1106524807 /nfs/dbraw/zinc/52/48/07/1106524807.db2.gz KIBHUUGOJOFQRI-UHFFFAOYSA-N 0 1 320.440 3.376 20 30 DGEDMN CN1CCC(C#N)(NC2CCC(c3ccc(C#N)cc3)CC2)CC1 ZINC001257014225 1106524885 /nfs/dbraw/zinc/52/48/85/1106524885.db2.gz PBEADOVRHOBBIB-UHFFFAOYSA-N 0 1 322.456 3.162 20 30 DGEDMN CC[C@@H]1C[C@@H](N2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257139720 1106543367 /nfs/dbraw/zinc/54/33/67/1106543367.db2.gz LNMYFTGCGQVLGW-ZNMIVQPWSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CCN1[C@H](CC)CCCC ZINC001257420170 1106585923 /nfs/dbraw/zinc/58/59/23/1106585923.db2.gz JRKAPNMIGAXOCL-WBVHZDCISA-N 0 1 320.481 3.369 20 30 DGEDMN CN(CCC#N)[C@H]1CCC[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001257803692 1106629955 /nfs/dbraw/zinc/62/99/55/1106629955.db2.gz MLQWXOTZLUHXBD-MAUKXSAKSA-N 0 1 321.465 3.402 20 30 DGEDMN C=C1C[C@@H]2CC(N3CC[C@@](O)(C(F)(F)F)[C@H](F)C3)C[C@H](C1)C2 ZINC001257892525 1106642581 /nfs/dbraw/zinc/64/25/81/1106642581.db2.gz ZEGWJNXDMQCXGU-WQGXVZNYSA-N 0 1 321.358 3.459 20 30 DGEDMN Cc1cccc2ccc(NS(=O)(=O)c3ccc(C#N)cc3)nc12 ZINC001258035552 1106662536 /nfs/dbraw/zinc/66/25/36/1106662536.db2.gz HJPAKXYLESIGTI-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cccnc2C2CCC2)cc1 ZINC001258054180 1106664912 /nfs/dbraw/zinc/66/49/12/1106664912.db2.gz YEQRSQHYJPMBJC-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@H](CC)CCCCC ZINC001258440781 1106746052 /nfs/dbraw/zinc/74/60/52/1106746052.db2.gz WQYBBISJNGVAHO-NVXWUHKLSA-N 0 1 320.481 3.276 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)C1CCN(Cc2ccsc2)CC1 ZINC001316930458 1106776153 /nfs/dbraw/zinc/77/61/53/1106776153.db2.gz CZZQVOYISBZLMZ-UHFFFAOYSA-N 0 1 318.486 3.220 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001316952464 1106818930 /nfs/dbraw/zinc/81/89/30/1106818930.db2.gz GAXXEYCUHBMJBE-YOEHRIQHSA-N 0 1 320.864 3.285 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CC(C1CCC1)C1CCC1 ZINC001320049527 1106821704 /nfs/dbraw/zinc/82/17/04/1106821704.db2.gz LJEHIOHVROYDPC-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001316982209 1106843425 /nfs/dbraw/zinc/84/34/25/1106843425.db2.gz WZAXCPTYTLTHTJ-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN CCCCCCC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001316992704 1106869726 /nfs/dbraw/zinc/86/97/26/1106869726.db2.gz HRIZUFRUAUJMHE-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1ccc2cncn2c1C ZINC001320144307 1106896448 /nfs/dbraw/zinc/89/64/48/1106896448.db2.gz AQPIJOABIAJEGA-UHFFFAOYSA-N 0 1 321.380 3.138 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CSC(C)C)C1 ZINC001317035087 1106928018 /nfs/dbraw/zinc/92/80/18/1106928018.db2.gz HNXQYTINFZGIBK-AWEZNQCLSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001258727521 1106931084 /nfs/dbraw/zinc/93/10/84/1106931084.db2.gz IAHMBUYPSSTGLB-PMPSAXMXSA-N 0 1 324.465 3.051 20 30 DGEDMN C=CCC[C@H](C)N1Cc2nn(C)cc2[C@@H](COCC2CC2)C1 ZINC001258729718 1106934077 /nfs/dbraw/zinc/93/40/77/1106934077.db2.gz XYHWRXQNOJEFQK-GOEBONIOSA-N 0 1 303.450 3.101 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H]2[C@@H](Oc3ncccc3F)CC[C@@H]21 ZINC001258730726 1106937784 /nfs/dbraw/zinc/93/77/84/1106937784.db2.gz MWFIBPNGMZTWRO-BSWAZPDLSA-N 0 1 320.408 3.186 20 30 DGEDMN C=CCC[C@H](C)N1CCc2onc(COc3ccccn3)c2C1 ZINC001258730913 1106938657 /nfs/dbraw/zinc/93/86/57/1106938657.db2.gz QIIFFHVRMPRXTQ-AWEZNQCLSA-N 0 1 313.401 3.361 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001320397341 1106993105 /nfs/dbraw/zinc/99/31/05/1106993105.db2.gz VPWJRCXXDWZZJN-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2ccc(F)cc2Cl)cc1 ZINC001258916725 1107057959 /nfs/dbraw/zinc/05/79/59/1107057959.db2.gz SGSFHDATFPROHO-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1ccc(OC)cc1 ZINC001317165244 1107067167 /nfs/dbraw/zinc/06/71/67/1107067167.db2.gz HXAWWSWSBWENSN-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1Cc1cccc(F)c1 ZINC001317170863 1107076884 /nfs/dbraw/zinc/07/68/84/1107076884.db2.gz JCCOUSLNIHDASC-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C[C@H](CNc1cccc(CC#N)n1)N1CCc2sccc2C1 ZINC001320655674 1107090205 /nfs/dbraw/zinc/09/02/05/1107090205.db2.gz DBVSGCHNYIAUHF-CYBMUJFWSA-N 0 1 312.442 3.068 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1[C@@H]1CCCN1CCF ZINC001317210553 1107131192 /nfs/dbraw/zinc/13/11/92/1107131192.db2.gz YXAMUUNZOBMFDB-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@H]1CCCC1(F)F ZINC001320819630 1107149406 /nfs/dbraw/zinc/14/94/06/1107149406.db2.gz KAHQCMGCCGAMEU-GFCCVEGCSA-N 0 1 308.800 3.003 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001317235465 1107160744 /nfs/dbraw/zinc/16/07/44/1107160744.db2.gz QQGURZDKVZPNJK-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001320864813 1107168488 /nfs/dbraw/zinc/16/84/88/1107168488.db2.gz REMPUJKBEAQOFJ-ZIAGYGMSSA-N 0 1 302.890 3.495 20 30 DGEDMN CCCN1CCCC[C@H]1C(=O)O[C@H](C)c1ccc(C#N)cc1 ZINC001320873921 1107172014 /nfs/dbraw/zinc/17/20/14/1107172014.db2.gz HUZPRHVAUDULRH-PBHICJAKSA-N 0 1 300.402 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1c(Cl)cccc1OC ZINC001317258544 1107178686 /nfs/dbraw/zinc/17/86/86/1107178686.db2.gz SFBJDZVAXIFWPR-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN Cn1ccc(NN=Cc2cc(Cl)c3ccccc3c2O)n1 ZINC001321014165 1107222207 /nfs/dbraw/zinc/22/22/07/1107222207.db2.gz KACSPGIVYACLRZ-UHFFFAOYSA-N 0 1 300.749 3.378 20 30 DGEDMN Cc1cc(C#N)ccc1NS(=O)(=O)c1cnc2ccccc2c1 ZINC001260004422 1107234506 /nfs/dbraw/zinc/23/45/06/1107234506.db2.gz OAVPGGFUMHKMDG-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001321154944 1107267372 /nfs/dbraw/zinc/26/73/72/1107267372.db2.gz CFBHZEYMBVGWKK-RTRPANQVSA-N 0 1 318.848 3.195 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2ccc(F)cc2)CCC1 ZINC001321605517 1107391592 /nfs/dbraw/zinc/39/15/92/1107391592.db2.gz WHVWOASXIVUYGM-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)C/C=C\c1ccccc1 ZINC001317460419 1107412366 /nfs/dbraw/zinc/41/23/66/1107412366.db2.gz WEGSAUNBBIHNCF-QTJNJRLBSA-N 0 1 300.446 3.350 20 30 DGEDMN C=Cc1ccc(C(=O)NCCN(C)Cc2ccc(C#N)cc2)cc1 ZINC001317461007 1107413480 /nfs/dbraw/zinc/41/34/80/1107413480.db2.gz ONQGEZREHPOXRU-UHFFFAOYSA-N 0 1 319.408 3.063 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CC)Cc2cncc(C)c2)CC1 ZINC001317485674 1107443727 /nfs/dbraw/zinc/44/37/27/1107443727.db2.gz COKMUFFLDAEGCJ-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C[C@@H](CNCc1cc(Cl)ccc1F)NC(=O)C#CC(C)(C)C ZINC001321842687 1107445907 /nfs/dbraw/zinc/44/59/07/1107445907.db2.gz XBQRSYMQPOFCKQ-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CCCCc1ccccc1 ZINC001317506793 1107468027 /nfs/dbraw/zinc/46/80/27/1107468027.db2.gz FVZHFOWDOISFJH-IBGZPJMESA-N 0 1 312.457 3.003 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001321950121 1107469903 /nfs/dbraw/zinc/46/99/03/1107469903.db2.gz RWQJUSBXDTZEIQ-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001322043172 1107491972 /nfs/dbraw/zinc/49/19/72/1107491972.db2.gz GWBBMIFNYMNTAX-UWVGGRQHSA-N 0 1 321.273 3.352 20 30 DGEDMN C[C@@H](NCCN(C)C(=O)C#CC(C)(C)C)c1ccc(F)cc1F ZINC001317584765 1107555056 /nfs/dbraw/zinc/55/50/56/1107555056.db2.gz HVJOUMFGKYBBJN-CYBMUJFWSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1[nH]ccc1-c1ccccc1 ZINC001317647499 1107578030 /nfs/dbraw/zinc/57/80/30/1107578030.db2.gz GQCUXBYLJRQDBO-UHFFFAOYSA-N 0 1 317.820 3.096 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001322239244 1107585593 /nfs/dbraw/zinc/58/55/93/1107585593.db2.gz YVIUFAKZIRBXRI-HNAYVOBHSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(Cl)cc1Cl ZINC001322285823 1107612918 /nfs/dbraw/zinc/61/29/18/1107612918.db2.gz PKGMKTNTFTWNMC-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C)co1)c1ccc(C(C)C)cc1 ZINC001317711091 1107615186 /nfs/dbraw/zinc/61/51/86/1107615186.db2.gz ISWLYBQBNSEBAO-SFHVURJKSA-N 0 1 324.424 3.405 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2c(s1)CCCCC2 ZINC001322294715 1107617069 /nfs/dbraw/zinc/61/70/69/1107617069.db2.gz VSONPBIKEGOCCS-AWEZNQCLSA-N 0 1 318.486 3.090 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC1(C)CCCCC1)c1ccccc1 ZINC001317721204 1107621321 /nfs/dbraw/zinc/62/13/21/1107621321.db2.gz SBIUSSGUZGOBFB-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccccc1Cl)c1ccccc1 ZINC001317727028 1107625229 /nfs/dbraw/zinc/62/52/29/1107625229.db2.gz IRLIQEKDIDXGHH-QGZVFWFLSA-N 0 1 312.800 3.034 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@](C)(CC)CCC)c1ccccc1 ZINC001317727553 1107626423 /nfs/dbraw/zinc/62/64/23/1107626423.db2.gz UNNXWEVGIZVRHM-MJGOQNOKSA-N 0 1 300.446 3.283 20 30 DGEDMN Cc1c(C(=O)N[C@@H](C)c2cccc(C#N)c2)ccc2cncn21 ZINC001262127676 1107626950 /nfs/dbraw/zinc/62/69/50/1107626950.db2.gz KLXRYXZDXVCVNV-LBPRGKRZSA-N 0 1 304.353 3.005 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001317799597 1107676670 /nfs/dbraw/zinc/67/66/70/1107676670.db2.gz ASHPZXUKQUTBAK-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001322528793 1107698309 /nfs/dbraw/zinc/69/83/09/1107698309.db2.gz MUIJJUMEZVBUCO-AWEZNQCLSA-N 0 1 307.438 3.084 20 30 DGEDMN COC(=O)c1cccc(CN=Nc2ccc(C(F)(F)F)cn2)c1 ZINC001322546295 1107700492 /nfs/dbraw/zinc/70/04/92/1107700492.db2.gz GVOAYGOYIRKPRC-UHFFFAOYSA-N 0 1 323.274 3.333 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCNC/C(Cl)=C/Cl ZINC001322677415 1107732138 /nfs/dbraw/zinc/73/21/38/1107732138.db2.gz BCUPGDXEVRHRSR-GAJOTYCWSA-N 0 1 307.265 3.346 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2n[nH]c(C(F)(F)F)c2C)C1 ZINC001262631636 1107750854 /nfs/dbraw/zinc/75/08/54/1107750854.db2.gz KSJPJSSVFRPJLN-SNVBAGLBSA-N 0 1 301.312 3.165 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001317949032 1107760987 /nfs/dbraw/zinc/76/09/87/1107760987.db2.gz BWWXIKYLZGLWGJ-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001318070705 1107821981 /nfs/dbraw/zinc/82/19/81/1107821981.db2.gz FRKHMJXMXOTPCM-LBPRGKRZSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCCC(=O)NCC1CN(Cc2ccc(C(C)C)cc2)C1 ZINC001318117462 1107847668 /nfs/dbraw/zinc/84/76/68/1107847668.db2.gz FJYKLBMUYFVMGD-UHFFFAOYSA-N 0 1 312.457 3.162 20 30 DGEDMN C=CCC[C@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(COC)CCCCC1 ZINC001323245901 1107902355 /nfs/dbraw/zinc/90/23/55/1107902355.db2.gz IBESJXSNTWFBCB-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001323339486 1107942957 /nfs/dbraw/zinc/94/29/57/1107942957.db2.gz HHSPFYLNWIMZRU-ROUUACIJSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001323358541 1107951468 /nfs/dbraw/zinc/95/14/68/1107951468.db2.gz VIWNNXBXWIDRGZ-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001318387837 1107975481 /nfs/dbraw/zinc/97/54/81/1107975481.db2.gz VJGJCMVAESRPPI-LSDHHAIUSA-N 0 1 304.409 3.386 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3nccs3)[nH]c21 ZINC001263290687 1108000227 /nfs/dbraw/zinc/00/02/27/1108000227.db2.gz ZVSZWVXUVAYQIP-ISALQUGTSA-N 0 1 308.366 3.218 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001323530037 1108001650 /nfs/dbraw/zinc/00/16/50/1108001650.db2.gz HYILBJHZJTXLTB-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2oc(C)nc2C)C1 ZINC001318473296 1108035049 /nfs/dbraw/zinc/03/50/49/1108035049.db2.gz LZTDTPROBLRIRC-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)CC(C)(C)C ZINC001323706164 1108058158 /nfs/dbraw/zinc/05/81/58/1108058158.db2.gz YATVQQDDFIKIQK-CVEARBPZSA-N 0 1 304.478 3.147 20 30 DGEDMN CN(C)c1ncccc1CN1CC=C(c2ccc(C#N)cc2)CC1 ZINC001323711469 1108063390 /nfs/dbraw/zinc/06/33/90/1108063390.db2.gz HWKSDMXGPVBFRD-UHFFFAOYSA-N 0 1 318.424 3.309 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1ccncc1Cl ZINC001318558280 1108094529 /nfs/dbraw/zinc/09/45/29/1108094529.db2.gz ZQUZLJJCSUADHU-AWEZNQCLSA-N 0 1 323.868 3.322 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(Cl)ccc1C ZINC001318582719 1108108293 /nfs/dbraw/zinc/10/82/93/1108108293.db2.gz LZOJTKFIOIYZKS-CYBMUJFWSA-N 0 1 315.244 3.499 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cnc(CC(C)(C)C)s1 ZINC001263615836 1108109751 /nfs/dbraw/zinc/10/97/51/1108109751.db2.gz GXVZNDLWPIGFEY-LLVKDONJSA-N 0 1 316.430 3.438 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc(Cl)s2)C1 ZINC001323992413 1108140085 /nfs/dbraw/zinc/14/00/85/1108140085.db2.gz BURSZKICTVDVMC-UHFFFAOYSA-N 0 1 312.866 3.053 20 30 DGEDMN CN(C)c1ncccc1CN=Nc1ncc(Cl)cc1Cl ZINC001324048124 1108158996 /nfs/dbraw/zinc/15/89/96/1108158996.db2.gz BBXXLMMTDQRWNP-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN Clc1cncc([N-][NH+]=Cc2ccc(N3CCCC3)cc2)n1 ZINC001318702528 1108160293 /nfs/dbraw/zinc/16/02/93/1108160293.db2.gz NPQLRKACGVJFFW-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCCCC1(F)F ZINC001324198085 1108203702 /nfs/dbraw/zinc/20/37/02/1108203702.db2.gz AOEFPPUGEAMOMO-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC=CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001324363103 1108263704 /nfs/dbraw/zinc/26/37/04/1108263704.db2.gz ZNAJIPJFDFJEGB-SJORKVTESA-N 0 1 320.452 3.017 20 30 DGEDMN COC(=O)c1cccc(/C=C/C(=O)c2ccc(OC)cc2O)c1 ZINC001319123997 1108320836 /nfs/dbraw/zinc/32/08/36/1108320836.db2.gz ZVWOQSFIYXLERK-RMKNXTFCSA-N 0 1 312.321 3.084 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CCCC)C1 ZINC001264035381 1108345643 /nfs/dbraw/zinc/34/56/43/1108345643.db2.gz MYQPSFYGVQNRBH-QZTJIDSGSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)Cc1cccnc1C ZINC001264180649 1108416002 /nfs/dbraw/zinc/41/60/02/1108416002.db2.gz LNSTVPGWXXZVQT-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001264181867 1108417463 /nfs/dbraw/zinc/41/74/63/1108417463.db2.gz BMNUNJKNSUFLGE-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC2 ZINC001319407031 1108449413 /nfs/dbraw/zinc/44/94/13/1108449413.db2.gz AIBGXSNYNNBSRP-DLBZAZTESA-N 0 1 318.505 3.252 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1cc(O)ccc1Cl ZINC001319463866 1108481491 /nfs/dbraw/zinc/48/14/91/1108481491.db2.gz KMFKFGXAEXFVFQ-CYBMUJFWSA-N 0 1 324.852 3.338 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1conc1C ZINC001264371365 1108482096 /nfs/dbraw/zinc/48/20/96/1108482096.db2.gz UOKXXJUBFQHHJI-HNNXBMFYSA-N 0 1 307.438 3.100 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCCc2ccccc21 ZINC001319477802 1108486283 /nfs/dbraw/zinc/48/62/83/1108486283.db2.gz PZTODCHBYGBICF-PBHICJAKSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCCc2ccccc21 ZINC001319477804 1108487073 /nfs/dbraw/zinc/48/70/73/1108487073.db2.gz PZTODCHBYGBICF-RHSMWYFYSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(Cl)ccc1F ZINC001319492432 1108491842 /nfs/dbraw/zinc/49/18/42/1108491842.db2.gz HOAAXGZGLUOAIO-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCCc2ccccc21 ZINC001264378813 1108494541 /nfs/dbraw/zinc/49/45/41/1108494541.db2.gz RNCGXPRPDFNNKC-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC1(C(=O)NC[C@]2(C)CCCN(CC#Cc3ccccc3)C2)CC1 ZINC001324937320 1108523052 /nfs/dbraw/zinc/52/30/52/1108523052.db2.gz UPISDKANSABZHS-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2c(C)cccn2c1 ZINC001319560818 1108525676 /nfs/dbraw/zinc/52/56/76/1108525676.db2.gz PTVWFMFHEZLSPF-AWEZNQCLSA-N 0 1 319.836 3.050 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2cnoc2C)C1 ZINC001324956882 1108535051 /nfs/dbraw/zinc/53/50/51/1108535051.db2.gz GHQPTJWLHJQRKY-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1nccs1 ZINC001264509278 1108559053 /nfs/dbraw/zinc/55/90/53/1108559053.db2.gz RLSXCCBOWSEHLI-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](F)CC2CCCCC2)[C@H]1CC ZINC001264623892 1108597631 /nfs/dbraw/zinc/59/76/31/1108597631.db2.gz SVRYEPLMANBSBF-OKZBNKHCSA-N 0 1 322.468 3.287 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)[C@@H]1C ZINC001264698398 1108625157 /nfs/dbraw/zinc/62/51/57/1108625157.db2.gz DFTSUEBNSLIBFG-QAPCUYQASA-N 0 1 316.420 3.017 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC)CCC1)c1ccccc1CC ZINC001325365683 1108635370 /nfs/dbraw/zinc/63/53/70/1108635370.db2.gz JVPKZKAPBIWEIL-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCCN1C(=O)CCc1ccsc1 ZINC001264741503 1108641206 /nfs/dbraw/zinc/64/12/06/1108641206.db2.gz DJNRHYCGDANIFW-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CCc1ccsc1 ZINC001264741503 1108641209 /nfs/dbraw/zinc/64/12/09/1108641209.db2.gz DJNRHYCGDANIFW-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1ccc(CCC)cc1 ZINC001264742780 1108641228 /nfs/dbraw/zinc/64/12/28/1108641228.db2.gz GYBCHPVZBYEINR-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(CCC)cc1 ZINC001264742780 1108641233 /nfs/dbraw/zinc/64/12/33/1108641233.db2.gz GYBCHPVZBYEINR-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1coc(C(F)F)c1 ZINC001264743280 1108643425 /nfs/dbraw/zinc/64/34/25/1108643425.db2.gz ZICKHDOOVMCTCX-AWEZNQCLSA-N 0 1 324.371 3.167 20 30 DGEDMN Cc1nc(N2CCCCC2)sc1CN1CCC(C#N)CC1 ZINC001319815285 1108648150 /nfs/dbraw/zinc/64/81/50/1108648150.db2.gz QMEKUFULIQUIKC-UHFFFAOYSA-N 0 1 304.463 3.177 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001264979755 1108690076 /nfs/dbraw/zinc/69/00/76/1108690076.db2.gz DDUWMUBHUBNLRW-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1nc(C)cs1)C(C)C ZINC001319927734 1108698060 /nfs/dbraw/zinc/69/80/60/1108698060.db2.gz HGZUNHNJZKEHGB-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN CN(CCCNC(=O)C1(C)CCCC1)Cc1ccccc1C#N ZINC001265018184 1108699103 /nfs/dbraw/zinc/69/91/03/1108699103.db2.gz HAHWXZGMAFGDHH-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC(C)(C)C(=O)NCCCN(Cc1ccccc1C#N)C1CC1 ZINC001265180860 1108761127 /nfs/dbraw/zinc/76/11/27/1108761127.db2.gz DCVVWVKSYJTZTL-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)C(=O)C(C)(C)C)C1 ZINC001265208424 1108777814 /nfs/dbraw/zinc/77/78/14/1108777814.db2.gz TXWWLQBVNCTNKJ-OAHLLOKOSA-N 0 1 310.482 3.153 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@]23C[C@H]2CCC3)C1 ZINC001265393350 1108860764 /nfs/dbraw/zinc/86/07/64/1108860764.db2.gz XADZGCXRPYBBJA-ZMSDIMECSA-N 0 1 310.869 3.100 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC001265428933 1108870674 /nfs/dbraw/zinc/87/06/74/1108870674.db2.gz GJHWENJXEQWEKN-HNNXBMFYSA-N 0 1 318.848 3.080 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001265541484 1108904242 /nfs/dbraw/zinc/90/42/42/1108904242.db2.gz FFSKKQNQPDCOHW-OKILXGFUSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1ccsc1 ZINC001265649749 1108949405 /nfs/dbraw/zinc/94/94/05/1108949405.db2.gz WTYXVXJRKRLBBK-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001265658294 1108955036 /nfs/dbraw/zinc/95/50/36/1108955036.db2.gz VXEYCNCKQNWDMN-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(C)c(F)c1 ZINC001265675467 1108961073 /nfs/dbraw/zinc/96/10/73/1108961073.db2.gz IIMIVZFHNVNYTG-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc[nH]c1CCC ZINC001265679868 1108963210 /nfs/dbraw/zinc/96/32/10/1108963210.db2.gz ISPLVJVLAMNDKP-CQSZACIVSA-N 0 1 323.868 3.304 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](C)c1ccco1 ZINC001265681932 1108964150 /nfs/dbraw/zinc/96/41/50/1108964150.db2.gz HTMVDXTXDCPZDF-UONOGXRCSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001265738784 1108986680 /nfs/dbraw/zinc/98/66/80/1108986680.db2.gz NGIOFZOWPKEESK-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001265740706 1108988481 /nfs/dbraw/zinc/98/84/81/1108988481.db2.gz MRRXWDAHQKQOPW-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001265754615 1108994553 /nfs/dbraw/zinc/99/45/53/1108994553.db2.gz IGSPWJGIWQTEJV-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001265809177 1109010221 /nfs/dbraw/zinc/01/02/21/1109010221.db2.gz SDOVZBARLMDCLP-CYBMUJFWSA-N 0 1 321.465 3.303 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001265816571 1109013746 /nfs/dbraw/zinc/01/37/46/1109013746.db2.gz XZVYLJYLRMPDQJ-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001265816100 1109013785 /nfs/dbraw/zinc/01/37/85/1109013785.db2.gz OOLHLTYPAHNNTC-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001265820529 1109015380 /nfs/dbraw/zinc/01/53/80/1109015380.db2.gz FKFWGRWKZHVSAU-ACJLOTCBSA-N 0 1 321.465 3.303 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001265843641 1109023371 /nfs/dbraw/zinc/02/33/71/1109023371.db2.gz KFJJQQNZKCVRAX-CVEARBPZSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@H](C)NCc1ccccn1)c1ccccc1 ZINC001265867699 1109030643 /nfs/dbraw/zinc/03/06/43/1109030643.db2.gz WJHIUXUJBSZLMV-IRXDYDNUSA-N 0 1 323.440 3.036 20 30 DGEDMN CC1=CC[C@@](C)(C(=O)NC[C@H](C)NCC#Cc2ccccc2)CC1 ZINC001265867713 1109030864 /nfs/dbraw/zinc/03/08/64/1109030864.db2.gz WNGBZSHICVVHGX-GHTZIAJQSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@H](C)NCc1ccccn1)c1ccccc1 ZINC001265867697 1109031044 /nfs/dbraw/zinc/03/10/44/1109031044.db2.gz WJHIUXUJBSZLMV-DLBZAZTESA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC/C=C/c2ccccc2)C1 ZINC001265930986 1109044981 /nfs/dbraw/zinc/04/49/81/1109044981.db2.gz RQSYVBNTWGKEEQ-MPNRVQBSSA-N 0 1 318.848 3.423 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001266054809 1109075132 /nfs/dbraw/zinc/07/51/32/1109075132.db2.gz AZNXSYODVUQITL-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccccc1CC ZINC001266077174 1109081923 /nfs/dbraw/zinc/08/19/23/1109081923.db2.gz BLVIZZKPXBTXDP-UHFFFAOYSA-N 0 1 308.853 3.443 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC(C)(C)C1 ZINC001266080505 1109082935 /nfs/dbraw/zinc/08/29/35/1109082935.db2.gz KSKTXTVFRBGXGE-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2ccccc2F)C1 ZINC001266093260 1109087339 /nfs/dbraw/zinc/08/73/39/1109087339.db2.gz DMBLOSGIRXRMMU-INIZCTEOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(C)cc(C)c1 ZINC001266103297 1109090214 /nfs/dbraw/zinc/09/02/14/1109090214.db2.gz VXRBGRDNDRLJBL-UHFFFAOYSA-N 0 1 308.853 3.498 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001266110193 1109094459 /nfs/dbraw/zinc/09/44/59/1109094459.db2.gz COWXXEXSRUXGKJ-UKRRQHHQSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2cnccc2C)CC1 ZINC001266189480 1109121192 /nfs/dbraw/zinc/12/11/92/1109121192.db2.gz FOFYZEJULVKTDW-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001266201769 1109125517 /nfs/dbraw/zinc/12/55/17/1109125517.db2.gz ZYOLMRJLFQDAIJ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)c2cncs2)C1 ZINC001266204709 1109126041 /nfs/dbraw/zinc/12/60/41/1109126041.db2.gz FTTQPJUNUPQUBM-CQSZACIVSA-N 0 1 309.479 3.308 20 30 DGEDMN C=CCCC(=O)NCCC[C@H]1CCCN1Cc1nc(C)c(C)o1 ZINC001266204907 1109126632 /nfs/dbraw/zinc/12/66/32/1109126632.db2.gz ZXUNOSNFMBVMMS-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@]1(C)CCC[C@@H]1C ZINC001280334551 1109186824 /nfs/dbraw/zinc/18/68/24/1109186824.db2.gz ZUMSVGLKSRUXLP-OXJNMPFZSA-N 0 1 312.457 3.194 20 30 DGEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)[C@](C)(CC)CCC)O2 ZINC001280932405 1109276044 /nfs/dbraw/zinc/27/60/44/1109276044.db2.gz YGZVSEGGSZDKOG-SJLPKXTDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)[C@](C)(CC)CCC)O2 ZINC001280932404 1109276158 /nfs/dbraw/zinc/27/61/58/1109276158.db2.gz YGZVSEGGSZDKOG-FUHWJXTLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001281409066 1109346571 /nfs/dbraw/zinc/34/65/71/1109346571.db2.gz STOKMFKOZJKCEL-DOMZBBRYSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCCC(C)C)C[C@H]1C ZINC001281457727 1109358424 /nfs/dbraw/zinc/35/84/24/1109358424.db2.gz QLCSKXRMPHGGNM-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1sccc1Cl ZINC001282133440 1109456145 /nfs/dbraw/zinc/45/61/45/1109456145.db2.gz MZNVWSFYURSLOP-IUCAKERBSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(F)ccc1CC ZINC001282161463 1109459611 /nfs/dbraw/zinc/45/96/11/1109459611.db2.gz PTLLFKCDJQEIGJ-NEPJUHHUSA-N 0 1 312.816 3.237 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1ccc(C)c(C)c1 ZINC001282226778 1109471515 /nfs/dbraw/zinc/47/15/15/1109471515.db2.gz FCXNKDWQDNLBND-GJZGRUSLSA-N 0 1 308.853 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cccc(F)c1 ZINC001282636635 1109540345 /nfs/dbraw/zinc/54/03/45/1109540345.db2.gz REAVDZAERHBSJW-HNNXBMFYSA-N 0 1 312.816 3.456 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001282734479 1109558264 /nfs/dbraw/zinc/55/82/64/1109558264.db2.gz DUJZDQXCTHYUMF-OAHLLOKOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001282741995 1109560812 /nfs/dbraw/zinc/56/08/12/1109560812.db2.gz WIEVLGLPCRRBCW-UONOGXRCSA-N 0 1 320.864 3.141 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2csc(C)c2)CC1(C)C ZINC001282790515 1109565838 /nfs/dbraw/zinc/56/58/38/1109565838.db2.gz GOPAQOLKLRLQHE-OAHLLOKOSA-N 0 1 306.475 3.349 20 30 DGEDMN Cc1cc(CN2C[C@@H](NC(=O)C#CC(C)C)C(C)(C)C2)cs1 ZINC001282800236 1109567494 /nfs/dbraw/zinc/56/74/94/1109567494.db2.gz KDMSFRSBMSNQRR-MRXNPFEDSA-N 0 1 318.486 3.043 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2cncc(C)c2)CC1(C)C ZINC001282809674 1109568138 /nfs/dbraw/zinc/56/81/38/1109568138.db2.gz GFISBTMVZRBPJQ-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CCC)CC2CCCC2)C(C)(C)C1 ZINC001282821557 1109571143 /nfs/dbraw/zinc/57/11/43/1109571143.db2.gz PDDNTYNAGLNSFR-ZWKOTPCHSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CCC)c2ccccc2)C(C)(C)C1 ZINC001282834577 1109572446 /nfs/dbraw/zinc/57/24/46/1109572446.db2.gz TVEMDBFAYANVHM-QZTJIDSGSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1Cl ZINC001283606754 1109659930 /nfs/dbraw/zinc/65/99/30/1109659930.db2.gz ULNQGYBPUZGTCX-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001283653175 1109667048 /nfs/dbraw/zinc/66/70/48/1109667048.db2.gz OIBDWXRUWWOWHU-IZNAZMGOSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001283653176 1109667068 /nfs/dbraw/zinc/66/70/68/1109667068.db2.gz OIBDWXRUWWOWHU-KMPOOHAWSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001283678862 1109672940 /nfs/dbraw/zinc/67/29/40/1109672940.db2.gz JHEKVZCXJUSKSW-WCVJEAGWSA-N 0 1 312.885 3.392 20 30 DGEDMN CCCCCC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@H]1C ZINC001283845880 1109688694 /nfs/dbraw/zinc/68/86/94/1109688694.db2.gz KSRRIDJSKBPFIH-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2sccc2Cl)C[C@@H]1C ZINC001283966295 1109707065 /nfs/dbraw/zinc/70/70/65/1109707065.db2.gz SJKJGHFSWXSGLZ-NWDGAFQWSA-N 0 1 312.866 3.447 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)CCCC)CC1(C)C ZINC001284296271 1109770994 /nfs/dbraw/zinc/77/09/94/1109770994.db2.gz OELAUUWHTUCHTG-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N[C@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001284625361 1109827613 /nfs/dbraw/zinc/82/76/13/1109827613.db2.gz PNPCDPLREVNSML-CQSZACIVSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](C(F)(F)F)[C@@H](NC/C=C\Cl)C1 ZINC001284731951 1109851838 /nfs/dbraw/zinc/85/18/38/1109851838.db2.gz UDMZEFVLRRIVCU-OCWOOEOGSA-N 0 1 324.774 3.074 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2cc(F)ccc2C)CC1 ZINC001284888871 1109877150 /nfs/dbraw/zinc/87/71/50/1109877150.db2.gz JDPHMXGTFCJHDZ-UHFFFAOYSA-N 0 1 316.420 3.349 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](C)CCCCC)CC1 ZINC001284968607 1109886474 /nfs/dbraw/zinc/88/64/74/1109886474.db2.gz XIBSLJOCJRDUIV-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCC(C)(C)C(=O)NCc1ccc(CNCC#CC)cc1F ZINC001285016381 1109893912 /nfs/dbraw/zinc/89/39/12/1109893912.db2.gz VJIWHKFGMUEVFY-UHFFFAOYSA-N 0 1 316.420 3.157 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001316637973 1109928914 /nfs/dbraw/zinc/92/89/14/1109928914.db2.gz VRIIHHKCROIPNA-ZQIUZPCESA-N 0 1 321.490 3.038 20 30 DGEDMN Cc1cnc(Cl)c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001287395114 1110111271 /nfs/dbraw/zinc/11/12/71/1110111271.db2.gz NVTLSLJOHAPLNB-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CC(C)(C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccc(F)cc1 ZINC001287395232 1110111694 /nfs/dbraw/zinc/11/16/94/1110111694.db2.gz UJXSEEAQAXJXNL-UHFFFAOYSA-N 0 1 322.343 3.490 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001316644831 1110156726 /nfs/dbraw/zinc/15/67/26/1110156726.db2.gz WZVDPJWFDFNMDR-CWRNSKLLSA-N 0 1 322.399 3.048 20 30 DGEDMN O=C([C@H]1CCC[N@H+]1C1CCCC1)N(O)Cc1ccccc1Cl ZINC001306894102 1110253251 /nfs/dbraw/zinc/25/32/51/1110253251.db2.gz FWQOSZGTZRMVBX-MRXNPFEDSA-N 0 1 322.836 3.465 20 30 DGEDMN O=C([C@H]1CCC[N@@H+]1C1CCCC1)N([O-])Cc1ccccc1Cl ZINC001306894102 1110253254 /nfs/dbraw/zinc/25/32/54/1110253254.db2.gz FWQOSZGTZRMVBX-MRXNPFEDSA-N 0 1 322.836 3.465 20 30 DGEDMN O=C([C@H]1CCC[N@H+]1C1CCCC1)N([O-])Cc1ccccc1Cl ZINC001306894102 1110253257 /nfs/dbraw/zinc/25/32/57/1110253257.db2.gz FWQOSZGTZRMVBX-MRXNPFEDSA-N 0 1 322.836 3.465 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2cc(C#N)nc(C3CC3)n2)n[nH]1 ZINC001307110460 1111267177 /nfs/dbraw/zinc/26/71/77/1111267177.db2.gz CTEUUODEDMSOOT-UWVGGRQHSA-N 0 1 311.393 3.030 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001307970783 1111361956 /nfs/dbraw/zinc/36/19/56/1111361956.db2.gz KGTRSNVVJZDHDY-NSHDSACASA-N 0 1 323.352 3.264 20 30 DGEDMN CCc1cccc(CN2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC001308648723 1111455973 /nfs/dbraw/zinc/45/59/73/1111455973.db2.gz CSSFGJHWVFLLQD-UHFFFAOYSA-N 0 1 319.452 3.438 20 30 DGEDMN C#C[C@H](N[C@H](C)Cc1ccno1)c1ccc(Br)cc1 ZINC001308783690 1111474691 /nfs/dbraw/zinc/47/46/91/1111474691.db2.gz CQBOWUJKOHQHSH-ABAIWWIYSA-N 0 1 319.202 3.332 20 30 DGEDMN Cc1cc(CN(C)CCOCc2ccc(C#N)cc2)cc(C)n1 ZINC001308793705 1111475937 /nfs/dbraw/zinc/47/59/37/1111475937.db2.gz GCEZJKDIUMRTTJ-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN CC[C@@](C)(C(=O)OC)N(Cc1ccc(C#N)c(C)c1)CC1CC1 ZINC001308828427 1111481267 /nfs/dbraw/zinc/48/12/67/1111481267.db2.gz QWJVBEKTORFYOT-IBGZPJMESA-N 0 1 314.429 3.420 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC001311619591 1111610016 /nfs/dbraw/zinc/61/00/16/1111610016.db2.gz PEGXXWDVEOEOIG-INIZCTEOSA-N 0 1 321.440 3.330 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2cccc(F)c2)CC1 ZINC001316934340 1111728503 /nfs/dbraw/zinc/72/85/03/1111728503.db2.gz VBEPODQTXPWNFX-UHFFFAOYSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN(C)Cc2ccns2)C1 ZINC001317037527 1111741545 /nfs/dbraw/zinc/74/15/45/1111741545.db2.gz UVLHQLGJPWJEMK-HNNXBMFYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1csc(C)c1C ZINC001329051536 1111742187 /nfs/dbraw/zinc/74/21/87/1111742187.db2.gz XSHSZOZNKACOHN-WDEREUQCSA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1C ZINC001316790949 1111767600 /nfs/dbraw/zinc/76/76/00/1111767600.db2.gz ULBJLLATCRYPGU-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ncc(Cl)cc2C)ccc1C#N ZINC000313886074 231126251 /nfs/dbraw/zinc/12/62/51/231126251.db2.gz OFYZVTSDERJPQY-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1ncc(Cl)cc1C ZINC000313924863 231127023 /nfs/dbraw/zinc/12/70/23/231127023.db2.gz XBCDFLGEUHTCRM-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1ccccc1SCC#N ZINC000185617950 529483628 /nfs/dbraw/zinc/48/36/28/529483628.db2.gz BEEPFRZJXUKOBI-UKRRQHHQSA-N 0 1 317.458 3.361 20 30 DGEDMN CC[C@H](O)CN(CC#Cc1ccc(F)cc1)Cc1ccccc1 ZINC000441116092 529694562 /nfs/dbraw/zinc/69/45/62/529694562.db2.gz PFPVZGMIOPVYQJ-FQEVSTJZSA-N 0 1 311.400 3.450 20 30 DGEDMN Cc1csc([C@H](NCCOc2ccc(C#N)cc2)C2CC2)n1 ZINC000181622826 199328416 /nfs/dbraw/zinc/32/84/16/199328416.db2.gz AOHGGRZECKKUEZ-MRXNPFEDSA-N 0 1 313.426 3.443 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@H](C(F)(F)F)[C@H](CO)C1 ZINC000286645548 219101600 /nfs/dbraw/zinc/10/16/00/219101600.db2.gz TZZBSRDTTQLGIB-UGFHNGPFSA-N 0 1 312.335 3.112 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Cl)C[C@H](O)Cc1ccccc1 ZINC000433076662 529984201 /nfs/dbraw/zinc/98/42/01/529984201.db2.gz OUHZGZKJTUWCEB-QGZVFWFLSA-N 0 1 314.816 3.247 20 30 DGEDMN C#CCOc1ccc(CN[C@H]2CCOc3ccc(F)cc32)cc1 ZINC000172120387 198042486 /nfs/dbraw/zinc/04/24/86/198042486.db2.gz NCKMHYRITUAPLH-SFHVURJKSA-N 0 1 311.356 3.451 20 30 DGEDMN N#Cc1ccc(F)cc1CSc1nc(-c2cccnc2)n[nH]1 ZINC000130631982 432028367 /nfs/dbraw/zinc/02/83/67/432028367.db2.gz MCYHSYOKSHDOCZ-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN N#Cc1ccc(F)cc1CSc1nc(-c2ccccn2)n[nH]1 ZINC000130766975 432029066 /nfs/dbraw/zinc/02/90/66/432029066.db2.gz PKJNSGRHNYKEBQ-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C(C)C)CC2)c1 ZINC000067319457 432029754 /nfs/dbraw/zinc/02/97/54/432029754.db2.gz VEMYQBLCRWGOMD-UHFFFAOYSA-N 0 1 317.433 3.199 20 30 DGEDMN CCNC(=O)c1cccc(CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000173925374 198270023 /nfs/dbraw/zinc/27/00/23/198270023.db2.gz WGTXMSXJVRJOPY-CQSZACIVSA-N 0 1 307.397 3.159 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000174358412 198324736 /nfs/dbraw/zinc/32/47/36/198324736.db2.gz SDCSXMVWGMKUNB-KBXCAEBGSA-N 0 1 305.381 3.014 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC000277105310 213296080 /nfs/dbraw/zinc/29/60/80/213296080.db2.gz WAQBZLTXAUXRQC-WDEREUQCSA-N 0 1 312.377 3.073 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC000277040450 213257458 /nfs/dbraw/zinc/25/74/58/213257458.db2.gz LYBNOUICKDSEGA-GHMZBOCLSA-N 0 1 312.377 3.073 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC000277040456 213257060 /nfs/dbraw/zinc/25/70/60/213257060.db2.gz LYBNOUICKDSEGA-WDEREUQCSA-N 0 1 312.377 3.073 20 30 DGEDMN Cc1ccnc(N2CCN([C@H](C)c3ccc(C#N)cc3)CC2)c1 ZINC000166136845 432058421 /nfs/dbraw/zinc/05/84/21/432058421.db2.gz MSHNBKKXADXCCF-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1cc(C(F)(F)F)n[nH]1 ZINC000418142087 283194501 /nfs/dbraw/zinc/19/45/01/283194501.db2.gz GVQBMBDGBKHCGR-CQSZACIVSA-N 0 1 320.318 3.467 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)NC1CCCC1 ZINC000298007373 283293570 /nfs/dbraw/zinc/29/35/70/283293570.db2.gz YNLVWHVDTZGYNG-HNNXBMFYSA-N 0 1 313.445 3.218 20 30 DGEDMN CC[C@H](O)CN(Cc1ccccc1)Cc1cc(C#N)ccc1OC ZINC000134507072 432078388 /nfs/dbraw/zinc/07/83/88/432078388.db2.gz CLPGEDYXNDMZDG-IBGZPJMESA-N 0 1 324.424 3.340 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)c(Cl)c2)c(C)o1 ZINC000180177351 432079813 /nfs/dbraw/zinc/07/98/13/432079813.db2.gz YEOLWNMYKKLVNH-UHFFFAOYSA-N 0 1 310.762 3.222 20 30 DGEDMN N#Cc1ccccc1-c1ccc(CNCc2cncnc2)cc1 ZINC000180334102 432084600 /nfs/dbraw/zinc/08/46/00/432084600.db2.gz UAYUDTJYIAFBBS-UHFFFAOYSA-N 0 1 300.365 3.305 20 30 DGEDMN Cc1cc(F)cc(C(=O)[C@H](C#N)c2nc3c(nccc3C)[nH]2)c1 ZINC000429570310 238055532 /nfs/dbraw/zinc/05/55/32/238055532.db2.gz UQZCYKXEWXQXAR-ZDUSSCGKSA-N 0 1 308.316 3.204 20 30 DGEDMN Cc1ccc([C@@H](CNC(=O)c2ccc(C#N)o2)N2CCCC2)cc1 ZINC000429807933 238061164 /nfs/dbraw/zinc/06/11/64/238061164.db2.gz ZUAKFKKGGSNVPE-QGZVFWFLSA-N 0 1 323.396 3.027 20 30 DGEDMN COCCOc1ccccc1CN[C@H](C)c1cccc(C#N)c1 ZINC000271908259 209315753 /nfs/dbraw/zinc/31/57/53/209315753.db2.gz XHHIIJXKEQTRDF-OAHLLOKOSA-N 0 1 310.397 3.434 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)[C@H](C)C1 ZINC000441379364 239044143 /nfs/dbraw/zinc/04/41/43/239044143.db2.gz PCMAWXMNFONGRX-RISCZKNCSA-N 0 1 310.344 3.159 20 30 DGEDMN N#C[C@@H]1CC[C@H](Nc2ccccc2CN2CCSCC2)C1 ZINC000442863550 239138936 /nfs/dbraw/zinc/13/89/36/239138936.db2.gz XQRBIHOVOVVQBS-ZBFHGGJFSA-N 0 1 301.459 3.340 20 30 DGEDMN C=CCC[C@@H](O)CN(C)Cc1csc(Br)c1 ZINC000268528900 432101495 /nfs/dbraw/zinc/10/14/95/432101495.db2.gz IALYEWQTRATHHL-LLVKDONJSA-N 0 1 304.253 3.270 20 30 DGEDMN CC(C)OCCOC1CCN(Cc2cc(C#N)cs2)CC1 ZINC000449725420 240384386 /nfs/dbraw/zinc/38/43/86/240384386.db2.gz IMCYYRVHWPZGGE-UHFFFAOYSA-N 0 1 308.447 3.026 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)c3c(F)cc(C#N)cc3F)ccc12 ZINC000091833865 193114992 /nfs/dbraw/zinc/11/49/92/193114992.db2.gz MEVMDGCGNPIIDG-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN COC(=O)c1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1F ZINC000271789177 209216256 /nfs/dbraw/zinc/21/62/56/209216256.db2.gz NAVFXJIHCKSPOW-UHFFFAOYSA-N 0 1 324.355 3.263 20 30 DGEDMN CC[C@@](C)(NC[C@@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000268636145 432113317 /nfs/dbraw/zinc/11/33/17/432113317.db2.gz GBDXTMPHMZUUDP-NVXWUHKLSA-N 0 1 315.442 3.272 20 30 DGEDMN Cc1cc([C@H](C)N[C@H]2CCN(c3ccc(C#N)cc3)C2=O)c(C)o1 ZINC000411523262 229795173 /nfs/dbraw/zinc/79/51/73/229795173.db2.gz YCLXDHMHXLRWHM-UGSOOPFHSA-N 0 1 323.396 3.224 20 30 DGEDMN C=CCC1(CNC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)CCCC1 ZINC000459053177 243237951 /nfs/dbraw/zinc/23/79/51/243237951.db2.gz QKBOFMVREXQYRY-ZDUSSCGKSA-N 0 1 319.453 3.425 20 30 DGEDMN CN(CC#Cc1ccc(Cl)cc1)CCC(=O)OC(C)(C)C ZINC000468149204 244026306 /nfs/dbraw/zinc/02/63/06/244026306.db2.gz XJDSYAZADVPXMJ-UHFFFAOYSA-N 0 1 307.821 3.355 20 30 DGEDMN CCc1cc(NC(=O)c2ccc(C#N)c(O)c2)c2ccccc2n1 ZINC000487050519 245017445 /nfs/dbraw/zinc/01/74/45/245017445.db2.gz VTOTXVOZXWRAKI-UHFFFAOYSA-N 0 1 317.348 3.049 20 30 DGEDMN Cc1cc(CN2CCN(C)C[C@@H]2c2ccccc2)ccc1C#N ZINC000488160590 245154157 /nfs/dbraw/zinc/15/41/57/245154157.db2.gz JWTOUNORODTTEU-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2[nH]nc3c2CCCCC3)cc1 ZINC000489948714 245365039 /nfs/dbraw/zinc/36/50/39/245365039.db2.gz BUACWCRNKYVMSP-QGZVFWFLSA-N 0 1 322.412 3.236 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2n[nH]c3ccccc32)cc1 ZINC000489957055 245365231 /nfs/dbraw/zinc/36/52/31/245365231.db2.gz USSIPECOLRVROZ-MRXNPFEDSA-N 0 1 304.353 3.120 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C1CC1)C1CCCC1)c1ccccc1 ZINC000271719364 209154852 /nfs/dbraw/zinc/15/48/52/209154852.db2.gz PRIFJDIBTHWNEP-LJQANCHMSA-N 0 1 310.441 3.274 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@H](C)Cc1ccsc1 ZINC000495202237 246247298 /nfs/dbraw/zinc/24/72/98/246247298.db2.gz NSPHTYKYGAJWCQ-OAHLLOKOSA-N 0 1 306.475 3.202 20 30 DGEDMN C[C@@H](NCC1(C#N)CC1)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000496015751 246341210 /nfs/dbraw/zinc/34/12/10/246341210.db2.gz PHCNLXQFTYUGIH-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)OC(C)(C)C)CC1 ZINC000496400396 246390751 /nfs/dbraw/zinc/39/07/51/246390751.db2.gz FUOXTCDYTBNYAV-UHFFFAOYSA-N 0 1 302.846 3.366 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@H](c1cccs1)N(C)C ZINC000183501278 335032407 /nfs/dbraw/zinc/03/24/07/335032407.db2.gz IQVRBJKGUAWESD-ZIAGYGMSSA-N 0 1 309.479 3.395 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3ccc(C#N)cn3)cc2[nH]1 ZINC000171588436 432148813 /nfs/dbraw/zinc/14/88/13/432148813.db2.gz RVLQGLJGWHHVSF-UHFFFAOYSA-N 0 1 319.368 3.379 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000041519692 183196796 /nfs/dbraw/zinc/19/67/96/183196796.db2.gz SIVDEQPJIFOENF-NSHDSACASA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000041519692 183196798 /nfs/dbraw/zinc/19/67/98/183196798.db2.gz SIVDEQPJIFOENF-NSHDSACASA-N 0 1 301.327 3.158 20 30 DGEDMN N#Cc1cccc(NC(=O)NC[C@@H](c2ccco2)N2CCCC2)c1 ZINC000043330125 183356227 /nfs/dbraw/zinc/35/62/27/183356227.db2.gz ZPVNTJWBUGQMJQ-INIZCTEOSA-N 0 1 324.384 3.110 20 30 DGEDMN Cc1ncc(CN2CCC(Oc3cccc(C#N)c3)CC2)s1 ZINC000104716312 194029609 /nfs/dbraw/zinc/02/96/09/194029609.db2.gz NJWVJJDIFYNPRV-UHFFFAOYSA-N 0 1 313.426 3.367 20 30 DGEDMN COc1ncc([C@@H](C)NCc2cccc(C#N)c2)cc1Cl ZINC000284597166 218092878 /nfs/dbraw/zinc/09/28/78/218092878.db2.gz ZZFOWECIIYWVET-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN COc1ccc(C(=O)CCN(C)Cc2cccc(C#N)c2)cc1 ZINC000285111518 218294450 /nfs/dbraw/zinc/29/44/50/218294450.db2.gz HXVVQERMWNHSEF-UHFFFAOYSA-N 0 1 308.381 3.272 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)Nc2cc(Cl)ccc2C#N)CC1 ZINC000119693772 195019018 /nfs/dbraw/zinc/01/90/18/195019018.db2.gz URVKMFVXMILWLY-GFCCVEGCSA-N 0 1 305.809 3.272 20 30 DGEDMN C=C(C)[C@H](CC(=O)NC[C@H](c1ccco1)N(CC)CC)OCC ZINC000270041531 208095813 /nfs/dbraw/zinc/09/58/13/208095813.db2.gz JYKDWQAHNIFYJS-WBVHZDCISA-N 0 1 322.449 3.150 20 30 DGEDMN CCOc1ccccc1NS(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000336862644 253012609 /nfs/dbraw/zinc/01/26/09/253012609.db2.gz KPNZOMGWDGFKAU-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CC[C@H](c2ccccc2)C1 ZINC000120161674 195109493 /nfs/dbraw/zinc/10/94/93/195109493.db2.gz ZBSIUCGULPQLQX-YJBOKZPZSA-N 0 1 319.408 3.375 20 30 DGEDMN CN(CCOc1ccc(C#N)cc1)C[C@@H]1OCCc2ccccc21 ZINC000337676661 253157893 /nfs/dbraw/zinc/15/78/93/253157893.db2.gz LRJVITFTEFEQSN-FQEVSTJZSA-N 0 1 322.408 3.183 20 30 DGEDMN N#Cc1cccc(CN[C@@H](Cc2ccccn2)c2cccnc2)c1 ZINC000338199022 253241677 /nfs/dbraw/zinc/24/16/77/253241677.db2.gz LWFBJDTUNJBCMM-FQEVSTJZSA-N 0 1 314.392 3.422 20 30 DGEDMN CC(=O)c1cccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000338344102 253270053 /nfs/dbraw/zinc/27/00/53/253270053.db2.gz CQCKPKYAMDPDEZ-CYBMUJFWSA-N 0 1 321.380 3.050 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCCCC[C@@H]1c1ccc(C)o1 ZINC000158654507 197292036 /nfs/dbraw/zinc/29/20/36/197292036.db2.gz QAXDXYIYPHBAFP-MRXNPFEDSA-N 0 1 317.433 3.267 20 30 DGEDMN C#CCOc1ccccc1CNCc1cn(C)nc1C(C)(C)C ZINC000271278674 208770487 /nfs/dbraw/zinc/77/04/87/208770487.db2.gz PVSOHUCKVZUTKF-UHFFFAOYSA-N 0 1 311.429 3.019 20 30 DGEDMN Cc1cc(C)c(C#N)c(NCc2ccc(CN(C)C)c(F)c2)n1 ZINC000342782137 254049265 /nfs/dbraw/zinc/04/92/65/254049265.db2.gz RTUNUFOSUDIVCV-UHFFFAOYSA-N 0 1 312.392 3.383 20 30 DGEDMN N#Cc1cc2c(nc1NCCc1ccc3cn[nH]c3c1)CCCC2 ZINC000343051137 254091559 /nfs/dbraw/zinc/09/15/59/254091559.db2.gz LWKIMWZUGYOMHY-UHFFFAOYSA-N 0 1 317.396 3.363 20 30 DGEDMN Cc1nc(C)c(CN(C)CCOCc2ccc(C#N)cc2)s1 ZINC000344098093 254224877 /nfs/dbraw/zinc/22/48/77/254224877.db2.gz DBGCWLSSICEKEA-UHFFFAOYSA-N 0 1 315.442 3.280 20 30 DGEDMN COCc1cc(CNCc2ccc(C#N)cc2F)ccc1F ZINC000344217302 254240260 /nfs/dbraw/zinc/24/02/60/254240260.db2.gz RFCUHMQUHFBQDO-UHFFFAOYSA-N 0 1 302.324 3.273 20 30 DGEDMN COc1cc(C)ccc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC000563859135 335062823 /nfs/dbraw/zinc/06/28/23/335062823.db2.gz QSDNSLWKSJDPSM-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN COc1c(Cl)cccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000442643832 533426227 /nfs/dbraw/zinc/42/62/27/533426227.db2.gz HGQYYRXEJGHMSX-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN Cc1cc2c(cc1C)O[C@H](C(=O)N[C@H]1CCCc3cn[nH]c31)C2 ZINC000330163277 292004301 /nfs/dbraw/zinc/00/43/01/292004301.db2.gz VBQIPRMAIZKPSU-HOCLYGCPSA-N 0 1 311.385 3.364 20 30 DGEDMN N#Cc1ccccc1CN1CCC(C(=O)Nc2ccccc2)CC1 ZINC000008004386 400116239 /nfs/dbraw/zinc/11/62/39/400116239.db2.gz MKRIQHBYJCBODQ-UHFFFAOYSA-N 0 1 319.408 3.409 20 30 DGEDMN C=CCN(CCO[C@H]1CC1(F)F)Cc1cccc([N+](=O)[O-])c1 ZINC000595296731 400120838 /nfs/dbraw/zinc/12/08/38/400120838.db2.gz HZWADBHYDLIXGV-AWEZNQCLSA-N 0 1 312.316 3.007 20 30 DGEDMN N#C[C@@H](c1ccc(F)cc1)N1CCN(CCCCCF)CC1 ZINC000585753887 400138515 /nfs/dbraw/zinc/13/85/15/400138515.db2.gz INNAJDQYMTVXFE-KRWDZBQOSA-N 0 1 307.388 3.148 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H]2C2CC2)cc1Cl ZINC000609133613 400142707 /nfs/dbraw/zinc/14/27/07/400142707.db2.gz MEMFXDQIAWZCNY-OAHLLOKOSA-N 0 1 303.793 3.025 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)c1F)c1ccc2[nH]c(=O)oc2c1 ZINC000586794058 400158703 /nfs/dbraw/zinc/15/87/03/400158703.db2.gz XJZBLXKQVRGXBB-SNVBAGLBSA-N 0 1 311.316 3.395 20 30 DGEDMN C[C@H](c1ccccc1)N(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000015523750 400166080 /nfs/dbraw/zinc/16/60/80/400166080.db2.gz KEXXGBBGZDVRGR-MRXNPFEDSA-N 0 1 313.445 3.364 20 30 DGEDMN COc1ccc(CNc2ccc(F)c(-c3nc[nH]n3)c2)cc1C#N ZINC000600847059 400168015 /nfs/dbraw/zinc/16/80/15/400168015.db2.gz PJINQESHINPWHI-UHFFFAOYSA-N 0 1 323.331 3.103 20 30 DGEDMN C[C@H](CO)[C@@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000595680371 400224485 /nfs/dbraw/zinc/22/44/85/400224485.db2.gz ZYROTWFLJIJBBY-DOMZBBRYSA-N 0 1 324.371 3.143 20 30 DGEDMN CC(C)[C@@H](NCC(=O)N[C@](C)(C#N)C(C)C)c1ccccc1F ZINC000595692151 400229889 /nfs/dbraw/zinc/22/98/89/400229889.db2.gz LBBYBJLLBTZDNI-QZTJIDSGSA-N 0 1 319.424 3.167 20 30 DGEDMN COCCc1ncc(CN[C@@H](C)c2cccc(C#N)c2)s1 ZINC000601107888 400233480 /nfs/dbraw/zinc/23/34/80/400233480.db2.gz CJHQRIHLMZNXRL-LBPRGKRZSA-N 0 1 301.415 3.054 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](c3ccccc3)[C@H](CO)C2)c(F)c1 ZINC000595747878 400248603 /nfs/dbraw/zinc/24/86/03/400248603.db2.gz OAPIHFRCAAHLKZ-RBUKOAKNSA-N 0 1 324.399 3.295 20 30 DGEDMN Cc1ccc(CCN2CCN(c3ccc(C#N)cc3F)CC2)cc1 ZINC000609894475 400200429 /nfs/dbraw/zinc/20/04/29/400200429.db2.gz QZOBYZJETJWGKL-UHFFFAOYSA-N 0 1 323.415 3.371 20 30 DGEDMN C[C@@]12COC[C@H]1CN(Cc1ccc(C#N)cc1C(F)(F)F)C2 ZINC000595770992 400254407 /nfs/dbraw/zinc/25/44/07/400254407.db2.gz YNQPNRKJJKFKKS-UKRRQHHQSA-N 0 1 310.319 3.045 20 30 DGEDMN CC(C)(C)CC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000023450952 400210248 /nfs/dbraw/zinc/21/02/48/400210248.db2.gz LZUUKFJNNPVDTB-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CN(C)C(=O)c1cccc(CNC(C)(C)c2cccc(C#N)c2)c1 ZINC000595634895 400213540 /nfs/dbraw/zinc/21/35/40/400213540.db2.gz HPEICFXQPWARDT-UHFFFAOYSA-N 0 1 321.424 3.285 20 30 DGEDMN CC(C)(NCC(=O)NCC1CCCCC1)c1cccc(C#N)c1 ZINC000595642709 400216123 /nfs/dbraw/zinc/21/61/23/400216123.db2.gz QWYCSJAXKWKFCI-UHFFFAOYSA-N 0 1 313.445 3.079 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595641126 400216213 /nfs/dbraw/zinc/21/62/13/400216213.db2.gz BQZKVZCBCWGGMY-PBHICJAKSA-N 0 1 313.445 3.078 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595642748 400216386 /nfs/dbraw/zinc/21/63/86/400216386.db2.gz SCCYXYWAMFYFNK-QGZVFWFLSA-N 0 1 313.445 3.174 20 30 DGEDMN CN(c1ccccc1)[C@@H]1CCN(Cc2cc(C#N)ccc2F)C1 ZINC000595829776 400272652 /nfs/dbraw/zinc/27/26/52/400272652.db2.gz YSMMUBVJRRTEKH-GOSISDBHSA-N 0 1 309.388 3.408 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)cc1)C[C@@H]1CCc2ccccc21 ZINC000595881086 400286289 /nfs/dbraw/zinc/28/62/89/400286289.db2.gz PUJCATFUFNPFHL-KRWDZBQOSA-N 0 1 319.408 3.159 20 30 DGEDMN CC(C)[C@H](N[C@H](C)c1ccc(C#N)cc1F)[C@H](O)C(F)(F)F ZINC000601300983 400286404 /nfs/dbraw/zinc/28/64/04/400286404.db2.gz OCTSMDVUUFLPDO-IIMNLJJBSA-N 0 1 318.314 3.296 20 30 DGEDMN CN(C)c1cccnc1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000589344267 400290893 /nfs/dbraw/zinc/29/08/93/400290893.db2.gz BIXTZJUVATXTIM-KRWDZBQOSA-N 0 1 306.413 3.186 20 30 DGEDMN CCn1nccc1CNCc1ccc(C#N)cc1C(F)(F)F ZINC000596061721 400307519 /nfs/dbraw/zinc/30/75/19/400307519.db2.gz JFPRJJFBNLVOFO-UHFFFAOYSA-N 0 1 308.307 3.083 20 30 DGEDMN CC[C@H](C(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1)N(C)C ZINC000610798722 400307718 /nfs/dbraw/zinc/30/77/18/400307718.db2.gz VTPFPJOXAHKFML-MRXNPFEDSA-N 0 1 324.384 3.024 20 30 DGEDMN COc1cc(CNCc2cnccc2C(F)(F)F)ccc1C#N ZINC000596063166 400307781 /nfs/dbraw/zinc/30/77/81/400307781.db2.gz UEVXDLPIDQTWIQ-UHFFFAOYSA-N 0 1 321.302 3.270 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000595786875 400259336 /nfs/dbraw/zinc/25/93/36/400259336.db2.gz IDSBSBIYLYPLAF-QAPCUYQASA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)cc2F)c(=O)c1CCC#N ZINC000601935479 400379912 /nfs/dbraw/zinc/37/99/12/400379912.db2.gz VVWGOROJFQUOFE-JTQLQIEISA-N 0 1 324.153 3.231 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CCC[C@H]2C2CC2)c1C#N ZINC000611346662 400382767 /nfs/dbraw/zinc/38/27/67/400382767.db2.gz AYFYWOWQIMFTBK-SFHVURJKSA-N 0 1 306.413 3.427 20 30 DGEDMN CCc1[nH]n(-c2cc(C#N)ccc2Br)c(=O)c1C ZINC000601947022 400383383 /nfs/dbraw/zinc/38/33/83/400383383.db2.gz HRVRGRDQPWUGGJ-QMMMGPOBSA-N 0 1 306.163 3.069 20 30 DGEDMN C[C@@H]1CCc2c1[nH]n(-c1cc(C#N)ccc1Br)c2=O ZINC000601948270 400384066 /nfs/dbraw/zinc/38/40/66/400384066.db2.gz MWLQERFWZCZTDJ-PSASIEDQSA-N 0 1 318.174 3.069 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)c1F ZINC000611374769 400386734 /nfs/dbraw/zinc/38/67/34/400386734.db2.gz GQPCWWNUKFXFML-GJZGRUSLSA-N 0 1 302.393 3.336 20 30 DGEDMN Cc1cc(C)cc(CN2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC000034887343 400386771 /nfs/dbraw/zinc/38/67/71/400386771.db2.gz MKXRMVNBIPOELC-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN CCc1ccccc1NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611386788 400388628 /nfs/dbraw/zinc/38/86/28/400388628.db2.gz VDIGPTOYQXHRBX-CQSZACIVSA-N 0 1 307.397 3.410 20 30 DGEDMN C[C@@H](NCC(=O)Nc1cc(F)ccc1F)c1cccc(C#N)c1 ZINC000611387819 400390208 /nfs/dbraw/zinc/39/02/08/400390208.db2.gz SXTNPXIHLZQBDI-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000611387839 400390450 /nfs/dbraw/zinc/39/04/50/400390450.db2.gz UITKSPSKMRFUKV-XUWVNRHRSA-N 0 1 313.445 3.102 20 30 DGEDMN Cc1nn(C(C)C)cc1C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000579256763 400337379 /nfs/dbraw/zinc/33/73/79/400337379.db2.gz FVIQHTXJCOBTMH-CYBMUJFWSA-N 0 1 321.384 3.447 20 30 DGEDMN C=C(CC)C[N@H+]1CCc2cc(OC)c(OC)cc2[C@H]1CC(=O)[O-] ZINC000579365402 400337859 /nfs/dbraw/zinc/33/78/59/400337859.db2.gz ZUHQCILEFHCGPM-OAHLLOKOSA-N 0 1 319.401 3.044 20 30 DGEDMN CN(C)c1ccc(CN(Cc2ccc(C#N)cc2)C2CC2)cn1 ZINC000611254275 400365275 /nfs/dbraw/zinc/36/52/75/400365275.db2.gz MODYDVMFYCQARC-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN O=C(Nc1nc(-c2ccc(Cl)cc2)n[nH]1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000073110217 400431259 /nfs/dbraw/zinc/43/12/59/400431259.db2.gz POVBLYMWXUDVHU-UTUOFQBUSA-N 0 1 318.764 3.281 20 30 DGEDMN N#Cc1cc2c(nc1NC[C@@H]1CCN1Cc1ccccc1)CCC2 ZINC000596558925 400444219 /nfs/dbraw/zinc/44/42/19/400444219.db2.gz URBSNXHNJVNZCV-SFHVURJKSA-N 0 1 318.424 3.128 20 30 DGEDMN Cc1ccc(CNC(=O)[C@H](C)N[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000127555129 400503746 /nfs/dbraw/zinc/50/37/46/400503746.db2.gz BPNPTSXGOOUGPC-HOTGVXAUSA-N 0 1 321.424 3.222 20 30 DGEDMN C[C@H](CCC#N)CN[C@H](c1nccn1C)c1ccc(F)cc1 ZINC000602593136 400507510 /nfs/dbraw/zinc/50/75/10/400507510.db2.gz LFWZIDFACHVUKU-CJNGLKHVSA-N 0 1 300.381 3.178 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](N1CCC(C)(C#N)CC1)CC2 ZINC000602604753 400509822 /nfs/dbraw/zinc/50/98/22/400509822.db2.gz HFNHIQXKGHTKRJ-HNNXBMFYSA-N 0 1 300.402 3.317 20 30 DGEDMN C=CCNC(=O)CN(CC)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000123304439 400467772 /nfs/dbraw/zinc/46/77/72/400467772.db2.gz FORUXJWDXRZJDJ-GFCCVEGCSA-N 0 1 314.351 3.391 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccc(-c2nc(C)c(C)o2)cc1 ZINC000591338868 400511790 /nfs/dbraw/zinc/51/17/90/400511790.db2.gz VOIFDSXSWFKAJF-CQSZACIVSA-N 0 1 319.386 3.002 20 30 DGEDMN COC[C@@H](C)Oc1ccc(CNCC2(C#N)CCCC2)cc1 ZINC000597159261 400574228 /nfs/dbraw/zinc/57/42/28/400574228.db2.gz WBHXMSKJZBQYTK-OAHLLOKOSA-N 0 1 302.418 3.274 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N1CCC[C@@](C)(C#N)C1)c1ccccc1 ZINC000612372189 400533362 /nfs/dbraw/zinc/53/33/62/400533362.db2.gz UROMASWEXBTOIU-MJGOQNOKSA-N 0 1 313.445 3.222 20 30 DGEDMN CCCc1nc(C)c(CN[C@H](C)CC2(C#N)CCOCC2)o1 ZINC000602672536 400534635 /nfs/dbraw/zinc/53/46/35/400534635.db2.gz MHCNHXSFIXYEFV-CYBMUJFWSA-N 0 1 305.422 3.124 20 30 DGEDMN Cc1ccc(CN2CCSCC2)cc1NC(=O)[C@H](C)CC#N ZINC000597031865 400543161 /nfs/dbraw/zinc/54/31/61/400543161.db2.gz OGMISJOWYGFTLM-CQSZACIVSA-N 0 1 317.458 3.032 20 30 DGEDMN CC(C)(C#N)CNC[C@H](O)Cn1c2ccccc2c2ccccc21 ZINC000591759591 400553748 /nfs/dbraw/zinc/55/37/48/400553748.db2.gz OTMLNQTYTAAJIZ-HNNXBMFYSA-N 0 1 321.424 3.295 20 30 DGEDMN CC(C)(C#N)CCCN1C[C@@H]2C[C@H]1C[N@H+]2CCCC(C)(C)C#N ZINC000602988306 400622351 /nfs/dbraw/zinc/62/23/51/400622351.db2.gz GOBHXMVHLBSVCP-IRXDYDNUSA-N 0 1 316.493 3.405 20 30 DGEDMN CCCN(CC(=O)N(C)c1ccccc1)Cc1ccc(C#N)cc1 ZINC000047741970 400629936 /nfs/dbraw/zinc/62/99/36/400629936.db2.gz ATSNAYUVPZLZQW-UHFFFAOYSA-N 0 1 321.424 3.433 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1cc(Cl)cc(Cl)c1 ZINC000602839406 400588154 /nfs/dbraw/zinc/58/81/54/400588154.db2.gz DSNINFTWEHOWRP-CHWSQXEVSA-N 0 1 317.216 3.015 20 30 DGEDMN C=CCC[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000612708772 400589966 /nfs/dbraw/zinc/58/99/66/400589966.db2.gz RYUUUBCTRJZNRU-LSDHHAIUSA-N 0 1 310.401 3.048 20 30 DGEDMN C[C@@H](CC#N)NC[C@H](O)Cn1c2ccccc2c2ccccc21 ZINC000602864478 400594293 /nfs/dbraw/zinc/59/42/93/400594293.db2.gz ROVZKVZJWLQIOW-GJZGRUSLSA-N 0 1 307.397 3.047 20 30 DGEDMN COc1ccc(O)c(/C=N/C[C@@H](c2ccsc2)N(C)C)c1 ZINC000075580885 400597221 /nfs/dbraw/zinc/59/72/21/400597221.db2.gz UWQSAONSQVZGEV-ZUZCKIMGSA-N 0 1 304.415 3.184 20 30 DGEDMN Cc1cc(C)c(C#N)c(NC[C@H](c2ccsc2)N(C)C)n1 ZINC000075606800 400598373 /nfs/dbraw/zinc/59/83/73/400598373.db2.gz JLHLUTWJYMERPH-OAHLLOKOSA-N 0 1 300.431 3.346 20 30 DGEDMN N#CCCCOc1cccc(CN2CCOC[C@H]2C2CCC2)c1 ZINC000592523094 400663564 /nfs/dbraw/zinc/66/35/64/400663564.db2.gz JFVAUONEBGUEQO-IBGZPJMESA-N 0 1 314.429 3.370 20 30 DGEDMN Cn1c2ccccc2nc1CN[C@@H]1CCc2cc(C#N)ccc21 ZINC000618741027 400679462 /nfs/dbraw/zinc/67/94/62/400679462.db2.gz BSWCBUIOHUTURD-MRXNPFEDSA-N 0 1 302.381 3.222 20 30 DGEDMN COc1cccc(CN[C@@H]2CCc3cc(C#N)ccc32)c1OC ZINC000618742214 400680014 /nfs/dbraw/zinc/68/00/14/400680014.db2.gz KZPZRRVKKPLLMC-QGZVFWFLSA-N 0 1 308.381 3.353 20 30 DGEDMN C[C@@H](NCc1cnc2c(C#N)cnn2c1)c1cc2ccccc2o1 ZINC000603892962 400730442 /nfs/dbraw/zinc/73/04/42/400730442.db2.gz MEKHDUACVFPZDF-GFCCVEGCSA-N 0 1 317.352 3.198 20 30 DGEDMN Cc1[nH]n(-c2cccc(Br)c2)c(=O)c1CCC#N ZINC000603923996 400732880 /nfs/dbraw/zinc/73/28/80/400732880.db2.gz UEBIOGXBXCKNDM-LBPRGKRZSA-N 0 1 306.163 3.092 20 30 DGEDMN C=CCNC(=O)Nc1ccc(NCc2[nH]nc3ccccc32)cc1 ZINC000604068397 400747380 /nfs/dbraw/zinc/74/73/80/400747380.db2.gz QTDVEUYRHJKDJM-UHFFFAOYSA-N 0 1 321.384 3.483 20 30 DGEDMN COC(=O)c1coc([C@H](C)N[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000618806121 400693032 /nfs/dbraw/zinc/69/30/32/400693032.db2.gz NVZJZEVQEMYSGD-ZBEGNZNMSA-N 0 1 310.353 3.276 20 30 DGEDMN Cc1cc(CNC(=O)c2ccc(C#Cc3ccccc3)cc2)n[nH]1 ZINC000603489199 400693728 /nfs/dbraw/zinc/69/37/28/400693728.db2.gz JWJPUMDPJIVOOW-UHFFFAOYSA-N 0 1 315.376 3.048 20 30 DGEDMN CCCCN(C)CC(=O)N(CCC#N)c1ccc(OCC)cc1 ZINC000052869805 400752482 /nfs/dbraw/zinc/75/24/82/400752482.db2.gz GIHSKHVIPOZRSI-UHFFFAOYSA-N 0 1 317.433 3.064 20 30 DGEDMN N#Cc1c(F)cccc1Nc1cccc(N2CCC[NH+]=C2[O-])c1 ZINC000078508975 400806102 /nfs/dbraw/zinc/80/61/02/400806102.db2.gz IXUNPKOCPHWAMN-UHFFFAOYSA-N 0 1 310.332 3.361 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000078587573 400812453 /nfs/dbraw/zinc/81/24/53/400812453.db2.gz QXVXGQNYLVMNJG-KXBFYZLASA-N 0 1 324.424 3.065 20 30 DGEDMN CCN(CCC#N)C(=O)CSc1nc2ccc(Cl)cc2[nH]1 ZINC000053189746 400770005 /nfs/dbraw/zinc/77/00/05/400770005.db2.gz FGYVQIZQCKWFKM-UHFFFAOYSA-N 0 1 322.821 3.071 20 30 DGEDMN N#CC(CCc1ccccc1)C(=O)NCC1(C#N)CCCCC1 ZINC000598313809 400782037 /nfs/dbraw/zinc/78/20/37/400782037.db2.gz TZQOFPBXBBNTKT-QGZVFWFLSA-N 0 1 309.413 3.349 20 30 DGEDMN COc1cc(CNC(C)(C)C(=O)Nc2ccccc2)ccc1C#N ZINC000619653846 400878233 /nfs/dbraw/zinc/87/82/33/400878233.db2.gz DOXSTNOMUQRPIV-UHFFFAOYSA-N 0 1 323.396 3.074 20 30 DGEDMN C=CCNC(=O)CN[C@@H](CC)c1ccc(Cl)c(Cl)c1 ZINC000180028472 400881805 /nfs/dbraw/zinc/88/18/05/400881805.db2.gz CWNWRVBYXBEZPT-ZDUSSCGKSA-N 0 1 301.217 3.336 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN[C@@H](c1ccccc1)c1ccccn1 ZINC000598596282 400840226 /nfs/dbraw/zinc/84/02/26/400840226.db2.gz ZGQRCBWZLCWQHT-AEFFLSMTSA-N 0 1 309.413 3.061 20 30 DGEDMN C[C@@H](NC[C@H](O)CC(C)(C)C#N)c1nc(C(F)(F)F)cs1 ZINC000598612871 400843870 /nfs/dbraw/zinc/84/38/70/400843870.db2.gz DQFURIUOKNVANT-RKDXNWHRSA-N 0 1 321.368 3.113 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000598625688 400846622 /nfs/dbraw/zinc/84/66/22/400846622.db2.gz UIGSONOZZVJJHP-GOEBONIOSA-N 0 1 302.418 3.497 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000598625686 400846691 /nfs/dbraw/zinc/84/66/91/400846691.db2.gz UIGSONOZZVJJHP-GDBMZVCRSA-N 0 1 302.418 3.497 20 30 DGEDMN Cc1cccc([C@@H](NC[C@H](O)CC(C)(C)C#N)c2ccccn2)c1 ZINC000598722158 400865804 /nfs/dbraw/zinc/86/58/04/400865804.db2.gz AFTJCCRQZDSJOF-IEBWSBKVSA-N 0 1 323.440 3.370 20 30 DGEDMN COc1ncc(Br)cc1CN[C@H]1CCC[C@@H](C#N)C1 ZINC000599069712 400948349 /nfs/dbraw/zinc/94/83/49/400948349.db2.gz GWAMQUXFYAQFAM-MFKMUULPSA-N 0 1 324.222 3.025 20 30 DGEDMN CCSc1ccc([C@H](C)NCC(=O)N(CC)CCC#N)cc1 ZINC000182113470 400891193 /nfs/dbraw/zinc/89/11/93/400891193.db2.gz XXDNZUHFDKBVOL-AWEZNQCLSA-N 0 1 319.474 3.211 20 30 DGEDMN CCC[N@@H+](C)[C@@H](CNC(=O)[C@H](C#N)CC)c1ccc(Cl)cc1 ZINC000593700857 400896494 /nfs/dbraw/zinc/89/64/94/400896494.db2.gz COSRXWYIZOFOFP-BBRMVZONSA-N 0 1 321.852 3.389 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@H](c1cccs1)N(C)C ZINC000183501264 400901283 /nfs/dbraw/zinc/90/12/83/400901283.db2.gz IQVRBJKGUAWESD-UONOGXRCSA-N 0 1 309.479 3.395 20 30 DGEDMN Cc1oc(NC(=O)CN[C@@H](C)c2ccsc2)c(C#N)c1C ZINC000619782678 400906401 /nfs/dbraw/zinc/90/64/01/400906401.db2.gz JLKNOXCUGDDTJG-JTQLQIEISA-N 0 1 303.387 3.119 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@H](C)c3cccc(C#N)c3)c2[nH]1 ZINC000619807361 400908144 /nfs/dbraw/zinc/90/81/44/400908144.db2.gz UZVCKHVJYBMSQG-LLVKDONJSA-N 0 1 304.353 3.234 20 30 DGEDMN CN(C)c1c(F)c(F)ccc1CNCc1ccc(CC#N)cc1 ZINC000598982933 400920628 /nfs/dbraw/zinc/92/06/28/400920628.db2.gz SWPBDCQGXNDRSA-UHFFFAOYSA-N 0 1 315.367 3.387 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@@H]2CCC[C@@H]21 ZINC000614600979 400921564 /nfs/dbraw/zinc/92/15/64/400921564.db2.gz ZNQIWBCUAVTBLX-NHYWBVRUSA-N 0 1 303.793 3.025 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(C#Cc2cccs2)c1 ZINC000620173126 400997379 /nfs/dbraw/zinc/99/73/79/400997379.db2.gz KMTAWKCSQSWIRK-UHFFFAOYSA-N 0 1 312.438 3.428 20 30 DGEDMN CN(Cc1cccc(Cl)c1Cl)C[C@H](O)CC1(C#N)CC1 ZINC000599252539 401002669 /nfs/dbraw/zinc/00/26/69/401002669.db2.gz QJXBALPZAGRVJS-GFCCVEGCSA-N 0 1 313.228 3.480 20 30 DGEDMN CCC(C)(C)N1CCN(C(=O)c2cc(C#N)ccc2Cl)CC1 ZINC000594388865 401055703 /nfs/dbraw/zinc/05/57/03/401055703.db2.gz BXCBJLJGDNEUCN-UHFFFAOYSA-N 0 1 319.836 3.158 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000599526488 401068777 /nfs/dbraw/zinc/06/87/77/401068777.db2.gz MYUXQRSDBHRQQI-GFCCVEGCSA-N 0 1 314.345 3.043 20 30 DGEDMN COC(=O)[C@H]1CN([C@@H](C)c2cccc(C#N)c2)Cc2ccccc21 ZINC000599668907 401108441 /nfs/dbraw/zinc/10/84/41/401108441.db2.gz ZVDPTWVSROZXLY-LIRRHRJNSA-N 0 1 320.392 3.392 20 30 DGEDMN CCC(C)(C)N1CCN(c2nc(C)c(C#N)cc2Cl)CC1 ZINC000594590857 401119789 /nfs/dbraw/zinc/11/97/89/401119789.db2.gz GQFGXNCEAQYNBM-UHFFFAOYSA-N 0 1 306.841 3.226 20 30 DGEDMN Cc1c(CN2CCSCC2)cccc1NC(=O)C(C)(C)C#N ZINC000061307582 401151290 /nfs/dbraw/zinc/15/12/90/401151290.db2.gz BUEKZUAVWAKKKS-UHFFFAOYSA-N 0 1 317.458 3.032 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1cccc2n[nH]cc21 ZINC000065911971 401285282 /nfs/dbraw/zinc/28/52/82/401285282.db2.gz ZSUPHBTYMOBZPM-UHFFFAOYSA-N 0 1 305.381 3.434 20 30 DGEDMN C=CCCOCCNCc1ccc(Br)c(F)c1F ZINC000623473700 401526162 /nfs/dbraw/zinc/52/61/62/401526162.db2.gz FCYAPGWIDDGHIR-UHFFFAOYSA-N 0 1 320.177 3.410 20 30 DGEDMN C=C(C)[C@H](CO)N[C@H](C)c1ccc(Br)cc1OC ZINC000682540401 549336707 /nfs/dbraw/zinc/33/67/07/549336707.db2.gz SJSLJHRMYVJEOW-MFKMUULPSA-N 0 1 314.223 3.045 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CCN(CCC(F)(F)F)CC2)C1 ZINC000633370126 401677484 /nfs/dbraw/zinc/67/74/84/401677484.db2.gz ZLDLISFALHPCOU-UHFFFAOYSA-N 0 1 318.383 3.218 20 30 DGEDMN N#Cc1cc(CN2CCC(n3cc(Cl)cn3)CC2)ccc1F ZINC000365023450 287088148 /nfs/dbraw/zinc/08/81/48/287088148.db2.gz WNCUQYKAZKWAAP-UHFFFAOYSA-N 0 1 318.783 3.384 20 30 DGEDMN COc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1C#N ZINC000186445451 165043611 /nfs/dbraw/zinc/04/36/11/165043611.db2.gz WMSINYSKTCRYFW-HXUWFJFHSA-N 0 1 320.392 3.198 20 30 DGEDMN C[C@@H]1CCN(Cc2cn3ccccc3c2C#N)C[C@H]1n1ccnc1 ZINC000246660121 432151146 /nfs/dbraw/zinc/15/11/46/432151146.db2.gz DHBJDSMGZSOPHF-DNVCBOLYSA-N 0 1 319.412 3.091 20 30 DGEDMN N#CC(C(=O)c1cccc([N+](=O)[O-])c1)c1cnc2ccccc2n1 ZINC000190967361 432206990 /nfs/dbraw/zinc/20/69/90/432206990.db2.gz SMJQLFINVZCOOA-CYBMUJFWSA-N 0 1 318.292 3.028 20 30 DGEDMN CN(Cc1ccccc1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182627817 432212072 /nfs/dbraw/zinc/21/20/72/432212072.db2.gz VSUFRMQBEIYXIF-LJQANCHMSA-N 0 1 319.408 3.186 20 30 DGEDMN CC[C@]1(C)CN(Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000191150491 432227661 /nfs/dbraw/zinc/22/76/61/432227661.db2.gz YZLGXDDNDNPPDJ-GOSISDBHSA-N 0 1 301.434 3.037 20 30 DGEDMN N#CC1(NC(=O)C(F)(F)c2c(F)cccc2F)CCCCC1 ZINC000270092608 432227821 /nfs/dbraw/zinc/22/78/21/432227821.db2.gz AFNBHVRHMGVSTL-UHFFFAOYSA-N 0 1 314.282 3.399 20 30 DGEDMN C[C@@H](NCC(=O)N[C@@H](C)c1cccs1)c1ccc(C#N)cc1 ZINC000271638337 209083689 /nfs/dbraw/zinc/08/36/89/209083689.db2.gz GNOPCYMYMBXNMJ-OLZOCXBDSA-N 0 1 313.426 3.148 20 30 DGEDMN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271641561 209086360 /nfs/dbraw/zinc/08/63/60/209086360.db2.gz HAYRASYIBUTUSR-GJZGRUSLSA-N 0 1 301.434 3.102 20 30 DGEDMN Cc1cscc1NS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000161630478 228253097 /nfs/dbraw/zinc/25/30/97/228253097.db2.gz UNTPJPDKPAGABT-UHFFFAOYSA-N 0 1 312.803 3.382 20 30 DGEDMN N#C[C@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccccc1Cl ZINC000172023581 228273819 /nfs/dbraw/zinc/27/38/19/228273819.db2.gz MWOISVKUUNLDEX-INIZCTEOSA-N 0 1 324.771 3.140 20 30 DGEDMN C[C@@H]1[C@@H](c2ccccc2)CCN1CC(=O)Nc1ccc(C#N)cc1 ZINC000177535996 228331585 /nfs/dbraw/zinc/33/15/85/228331585.db2.gz MJJGUHITCPGSFP-BEFAXECRSA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2CC(=O)N[C@](C)(C#N)C(C)C)c1 ZINC000298857768 228889245 /nfs/dbraw/zinc/88/92/45/228889245.db2.gz QMTYNQYMNSAGKK-PKOBYXMFSA-N 0 1 313.445 3.186 20 30 DGEDMN C[C@@H](c1cccnc1)N1CCN(Cc2ccc(CC#N)cc2)CC1 ZINC000343525755 229126942 /nfs/dbraw/zinc/12/69/42/229126942.db2.gz JVLKTMUXKNLWRD-KRWDZBQOSA-N 0 1 320.440 3.026 20 30 DGEDMN N#CCCOCCN1CCC[C@H](Oc2ccccc2Cl)C1 ZINC000339051616 229073875 /nfs/dbraw/zinc/07/38/75/229073875.db2.gz RRIIOISSHBIFEQ-AWEZNQCLSA-N 0 1 308.809 3.113 20 30 DGEDMN C[C@@H]1CN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C[C@H]1C ZINC000347383749 229187984 /nfs/dbraw/zinc/18/79/84/229187984.db2.gz WKXBSTMAYZFGFB-ZIAGYGMSSA-N 0 1 319.836 3.174 20 30 DGEDMN COc1cccc(OC(F)(F)F)c1CN[C@H]1CC[C@@H](C#N)C1 ZINC000353959306 229287313 /nfs/dbraw/zinc/28/73/13/229287313.db2.gz UBQFKDSOMGVKNO-MNOVXSKESA-N 0 1 314.307 3.376 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1cc(F)cc(Cl)c1 ZINC000355840354 229318937 /nfs/dbraw/zinc/31/89/37/229318937.db2.gz ZVKQXQNJWYHPRQ-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN COc1ccnc2c(NC(=O)c3ccc(C#N)c(O)c3)cccc12 ZINC000527487798 269797785 /nfs/dbraw/zinc/79/77/85/269797785.db2.gz CPFOVMFNAOIEJK-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000358020800 269879658 /nfs/dbraw/zinc/87/96/58/269879658.db2.gz DVROOQPQWBMZSB-GJZGRUSLSA-N 0 1 319.408 3.014 20 30 DGEDMN C[C@H](NCc1cccc(C#N)c1F)c1ccc([S@](C)=O)cc1 ZINC000360210355 269879872 /nfs/dbraw/zinc/87/98/72/269879872.db2.gz XHTGNWNJWWJVCC-YTEVENLXSA-N 0 1 316.401 3.286 20 30 DGEDMN C[C@H](N[C@H](CO)c1ccc(F)cc1)c1ccc(C#N)c(F)c1 ZINC000346140332 263967996 /nfs/dbraw/zinc/96/79/96/263967996.db2.gz PAYFIAHMECBEKC-APPDUMDISA-N 0 1 302.324 3.221 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1C ZINC000346445930 264033101 /nfs/dbraw/zinc/03/31/01/264033101.db2.gz DPOVZQJWRCSLPF-RYUDHWBXSA-N 0 1 320.824 3.158 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)Nc2ccc(C#N)cc2Cl)C[C@@H]1C ZINC000346751475 264090396 /nfs/dbraw/zinc/09/03/96/264090396.db2.gz CGRJMJFMSVLJTR-RYUDHWBXSA-N 0 1 320.824 3.158 20 30 DGEDMN CCN(CCc1cccs1)CC(=O)Nc1ccc(C#N)cc1 ZINC000346885272 264115380 /nfs/dbraw/zinc/11/53/80/264115380.db2.gz OBKYTSWCVZQEKF-UHFFFAOYSA-N 0 1 313.426 3.123 20 30 DGEDMN CC[C@H](NCc1ccc(Br)cc1C#N)[C@@H]1CCCO1 ZINC000347253929 264184323 /nfs/dbraw/zinc/18/43/23/264184323.db2.gz SKEHAHCDIWEKBF-GJZGRUSLSA-N 0 1 323.234 3.368 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2c(C#N)cnc3ccccc32)C1 ZINC000534530836 270005328 /nfs/dbraw/zinc/00/53/28/270005328.db2.gz QJZYTJLYFCTQPC-LJQANCHMSA-N 0 1 314.392 3.127 20 30 DGEDMN Cn1cc(CN2CCC[C@@H]2c2ccc3c(c2)OCCO3)cc1C#N ZINC000191182720 432231763 /nfs/dbraw/zinc/23/17/63/432231763.db2.gz ZDRSBGVXBGYMQV-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN CCO[C@@H]1C[C@@H](N(C)Cc2cn3ccccc3c2C#N)C1(C)C ZINC000354458450 266291173 /nfs/dbraw/zinc/29/11/73/266291173.db2.gz PUJRVLITAISKAA-QZTJIDSGSA-N 0 1 311.429 3.446 20 30 DGEDMN CC[C@@H]1CN(c2cccnc2C#N)CCN1Cc1ccccc1 ZINC000357445898 266384527 /nfs/dbraw/zinc/38/45/27/266384527.db2.gz WKXPJSORGJIBSF-QGZVFWFLSA-N 0 1 306.413 3.054 20 30 DGEDMN CCc1cnccc1[C@@H](C)N[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000361136639 266447327 /nfs/dbraw/zinc/44/73/27/266447327.db2.gz RNPDIAGECUABGB-ZIAGYGMSSA-N 0 1 322.412 3.193 20 30 DGEDMN CO[C@H]1CCCN(Cc2cc(C#N)ccc2Br)CC1 ZINC000372795696 266772410 /nfs/dbraw/zinc/77/24/10/266772410.db2.gz AMYSXFCNLIRLLI-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C#N)cc1F ZINC000353563936 267233954 /nfs/dbraw/zinc/23/39/54/267233954.db2.gz CUSOZFITIUWBER-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C#N)c(F)c1 ZINC000361825577 267235481 /nfs/dbraw/zinc/23/54/81/267235481.db2.gz MUDPVQGGLFOGOD-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360458394 267367555 /nfs/dbraw/zinc/36/75/55/267367555.db2.gz YQNDELKPONMWJG-LBPRGKRZSA-N 0 1 304.353 3.485 20 30 DGEDMN N#Cc1ccc(C2=CCN(C(=O)c3ccc(F)cc3O)CC2)cc1 ZINC000371357264 268053639 /nfs/dbraw/zinc/05/36/39/268053639.db2.gz JAQDBJSTSDDWQY-UHFFFAOYSA-N 0 1 322.339 3.332 20 30 DGEDMN Cc1nc2ccc(NC(=O)Cc3c(F)cccc3C#N)cc2[nH]1 ZINC000505236132 268081080 /nfs/dbraw/zinc/08/10/80/268081080.db2.gz UUGQJVOGZMNIKI-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2ccc3cncn3c2)c1 ZINC000355643012 268151270 /nfs/dbraw/zinc/15/12/70/268151270.db2.gz LLDPVGPHGYLBBB-UHFFFAOYSA-N 0 1 317.352 3.033 20 30 DGEDMN N#Cc1ccnc(N2CCN(Cc3coc4ccccc34)CC2)c1 ZINC000349962748 268293242 /nfs/dbraw/zinc/29/32/42/268293242.db2.gz HHZGFSHQZHJUKY-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN CC(C)(C)[C@H](C#N)NC(=O)C(F)(F)c1c(F)cccc1F ZINC000270504433 432253335 /nfs/dbraw/zinc/25/33/35/432253335.db2.gz ZDXHHGFDFYXCMU-JTQLQIEISA-N 0 1 302.271 3.111 20 30 DGEDMN Cc1ccc2ncc(C#N)c(N3CCN(C4CCC4)CC3)c2c1 ZINC000291752239 274785417 /nfs/dbraw/zinc/78/54/17/274785417.db2.gz AHFBMWVIIYJKAQ-UHFFFAOYSA-N 0 1 306.413 3.089 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCN(c3cncc4ccccc43)CC2)C1 ZINC000294685055 274916910 /nfs/dbraw/zinc/91/69/10/274916910.db2.gz STVZMPCQOVZMLE-WBVHZDCISA-N 0 1 306.413 3.049 20 30 DGEDMN O[C@@H](C[C@H]1CCCN1CC#Cc1ccc(F)cc1)c1ccco1 ZINC000156903178 275052765 /nfs/dbraw/zinc/05/27/65/275052765.db2.gz CSVMTYKKUWCHJZ-MSOLQXFVSA-N 0 1 313.372 3.358 20 30 DGEDMN O[C@@H]1C[C@@H](c2ccccc2)N(CC#Cc2cccc(Cl)c2)C1 ZINC000411952897 275055098 /nfs/dbraw/zinc/05/50/98/275055098.db2.gz TVVLVKQBFCRIRQ-MOPGFXCFSA-N 0 1 311.812 3.499 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC(C)(C)C[C@H]2c2ccccc2)CC1 ZINC000491384810 275381617 /nfs/dbraw/zinc/38/16/17/275381617.db2.gz PRQHUOARJGPBOT-IBGZPJMESA-N 0 1 324.468 3.331 20 30 DGEDMN C=C[C@@H](CO)N[C@H]1CCSc2ccc(Br)cc21 ZINC000289632174 275436117 /nfs/dbraw/zinc/43/61/17/275436117.db2.gz FEQARBBDLQSMEN-JQWIXIFHSA-N 0 1 314.248 3.123 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCCC[C@H]1c1ccccc1 ZINC000358029456 276137569 /nfs/dbraw/zinc/13/75/69/276137569.db2.gz WXLOSGUGXFGJJM-AEFFLSMTSA-N 0 1 313.445 3.222 20 30 DGEDMN CN(C)C(=O)C1(NCC#Cc2cccc(Cl)c2)CCCCC1 ZINC000451410032 276749197 /nfs/dbraw/zinc/74/91/97/276749197.db2.gz IYJCFAFWBOXMHL-UHFFFAOYSA-N 0 1 318.848 3.072 20 30 DGEDMN CC1(C)C[C@H]([NH+]=C([O-])N[C@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330176724 278376753 /nfs/dbraw/zinc/37/67/53/278376753.db2.gz CMMFVKDKLPZQFS-BBRMVZONSA-N 0 1 324.428 3.124 20 30 DGEDMN CC1(C)C[C@H](NC([O-])=[NH+][C@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330176724 278376755 /nfs/dbraw/zinc/37/67/55/278376755.db2.gz CMMFVKDKLPZQFS-BBRMVZONSA-N 0 1 324.428 3.124 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1ccccc1C#N ZINC000109812507 431177885 /nfs/dbraw/zinc/17/78/85/431177885.db2.gz SHJBIQDGNMZICD-UHFFFAOYSA-N 0 1 312.417 3.149 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1ccc(F)cc1 ZINC000109813441 431180916 /nfs/dbraw/zinc/18/09/16/431180916.db2.gz OZIRCRCENZPNJF-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1cccc(F)c1 ZINC000112601865 431289037 /nfs/dbraw/zinc/28/90/37/431289037.db2.gz GGPKHWQHJHPSMA-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN Cc1ccccc1CN(C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000007420791 431347569 /nfs/dbraw/zinc/34/75/69/431347569.db2.gz CFOOCWABRPJFCQ-HNNXBMFYSA-N 0 1 307.397 3.326 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC[C@@H](C)C1 ZINC000008142745 431381689 /nfs/dbraw/zinc/38/16/89/431381689.db2.gz JUWWRARNQDXDKR-NEPJUHHUSA-N 0 1 305.809 3.271 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)[C@@H](C)c1ccc(OC)cc1 ZINC000074255185 431409703 /nfs/dbraw/zinc/40/97/03/431409703.db2.gz CUUZRUJJEWXWEN-HNNXBMFYSA-N 0 1 304.434 3.113 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC000076008571 431515988 /nfs/dbraw/zinc/51/59/88/431515988.db2.gz JKEFHGDDDZMONS-MRXNPFEDSA-N 0 1 315.417 3.047 20 30 DGEDMN Cc1cccc([C@H](C)N2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000075645121 431487302 /nfs/dbraw/zinc/48/73/02/431487302.db2.gz QXIKMRZSNYJMHB-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(F)cc2Cl)CC1 ZINC000117875813 431583223 /nfs/dbraw/zinc/58/32/23/431583223.db2.gz BUQQRFIQLSDODA-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN COc1ccc(C)cc1NC(=O)[C@H]1CCCN1CCCCC#N ZINC000117892858 431583610 /nfs/dbraw/zinc/58/36/10/431583610.db2.gz NHPUKABYVBGLBM-MRXNPFEDSA-N 0 1 315.417 3.100 20 30 DGEDMN N#Cc1cc(NC(=O)[C@H]2CCCN2Cc2ccccc2)ccc1F ZINC000078478916 431672849 /nfs/dbraw/zinc/67/28/49/431672849.db2.gz WINMLDWZKOHGNR-GOSISDBHSA-N 0 1 323.371 3.300 20 30 DGEDMN COc1ccc(/C=C/C(=O)c2ccc(O)cc2O)c(OC)c1 ZINC000027519054 431688176 /nfs/dbraw/zinc/68/81/76/431688176.db2.gz JIEXXTPDFRDQKC-XBXARRHUSA-N 0 1 300.310 3.011 20 30 DGEDMN C=CCCOCCNCc1cc(Br)ccc1F ZINC000120886416 431738604 /nfs/dbraw/zinc/73/86/04/431738604.db2.gz YDQSYEXUMWAKBD-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN N#Cc1ccc(OCCNC2(c3nccs3)CCCC2)cc1 ZINC000081577836 431796395 /nfs/dbraw/zinc/79/63/95/431796395.db2.gz KGSAHXLFRPCITH-UHFFFAOYSA-N 0 1 313.426 3.453 20 30 DGEDMN COc1ccc2cc(C(=O)C(C#N)c3ccccn3)c(C)nc2c1 ZINC000121997929 431808521 /nfs/dbraw/zinc/80/85/21/431808521.db2.gz RJZRWQNEEMIKFM-MRXNPFEDSA-N 0 1 317.348 3.437 20 30 DGEDMN N#CCC[N@@H+](CCCc1nc(-c2ccccc2)no1)CC1CC1 ZINC000081043621 431783421 /nfs/dbraw/zinc/78/34/21/431783421.db2.gz KDPLBQBZUMFDAO-UHFFFAOYSA-N 0 1 310.401 3.295 20 30 DGEDMN N#CCCN(CCCc1nc(-c2ccccc2)no1)CC1CC1 ZINC000081043621 431783426 /nfs/dbraw/zinc/78/34/26/431783426.db2.gz KDPLBQBZUMFDAO-UHFFFAOYSA-N 0 1 310.401 3.295 20 30 DGEDMN COCC[N@@H+](C)CC[C@@H](Nc1cccc(C#N)n1)c1ccccc1 ZINC000122815940 431845358 /nfs/dbraw/zinc/84/53/58/431845358.db2.gz SPDDXZSJWMUJLK-GOSISDBHSA-N 0 1 324.428 3.075 20 30 DGEDMN C=CCC[C@@H](O)CN[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000123180491 431857402 /nfs/dbraw/zinc/85/74/02/431857402.db2.gz ZIGVESBPVIIUFQ-MOPGFXCFSA-N 0 1 324.424 3.283 20 30 DGEDMN CC(C)(C#N)CCCN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000088523973 431858010 /nfs/dbraw/zinc/85/80/10/431858010.db2.gz HRYHNCLXZVLVQY-GOSISDBHSA-N 0 1 310.445 3.199 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000041520015 431859376 /nfs/dbraw/zinc/85/93/76/431859376.db2.gz BMQCLHUKQRTUSX-NSHDSACASA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc([N+](=O)[O-])c(C)c2)n1 ZINC000041520015 431859378 /nfs/dbraw/zinc/85/93/78/431859378.db2.gz BMQCLHUKQRTUSX-NSHDSACASA-N 0 1 301.327 3.158 20 30 DGEDMN COc1cc(OC)cc(C(=O)C(C#N)c2nc3ccccc3o2)c1 ZINC000041545793 431860345 /nfs/dbraw/zinc/86/03/45/431860345.db2.gz JDPJPPKBLVLBNF-CQSZACIVSA-N 0 1 322.320 3.335 20 30 DGEDMN N#CC(C(=O)c1ccc2c(c1)OCO2)c1nc2ccccc2o1 ZINC000041545897 431860757 /nfs/dbraw/zinc/86/07/57/431860757.db2.gz GEPUYEHKXIBMQW-LLVKDONJSA-N 0 1 306.277 3.047 20 30 DGEDMN N#C[C@H](C(=O)c1ccc2c(c1)OCO2)c1nc2ccccc2o1 ZINC000041545897 431860760 /nfs/dbraw/zinc/86/07/60/431860760.db2.gz GEPUYEHKXIBMQW-LLVKDONJSA-N 0 1 306.277 3.047 20 30 DGEDMN CCc1c(-c2ccncc2)n[nH]c1NC(=O)c1cc(C#N)cs1 ZINC000084293000 431822069 /nfs/dbraw/zinc/82/20/69/431822069.db2.gz UKJVKHHWCKQCKT-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN Cc1nnc(NC(=O)[C@H](Oc2cccc(Cl)c2)C(C)C)[nH]1 ZINC000094106761 431919952 /nfs/dbraw/zinc/91/99/52/431919952.db2.gz QFRLFGRUVZBYKR-GFCCVEGCSA-N 0 1 308.769 3.458 20 30 DGEDMN Cc1n[nH]c(NC(=O)[C@H](Oc2cccc(Cl)c2)C(C)C)n1 ZINC000094106761 431919954 /nfs/dbraw/zinc/91/99/54/431919954.db2.gz QFRLFGRUVZBYKR-GFCCVEGCSA-N 0 1 308.769 3.458 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000125318656 431920898 /nfs/dbraw/zinc/92/08/98/431920898.db2.gz KSNIKYMYFUBYHZ-QGZVFWFLSA-N 0 1 304.409 3.313 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2cccc(N(C)C)n2)cc1 ZINC000090852433 431896983 /nfs/dbraw/zinc/89/69/83/431896983.db2.gz UDXJEMZPORCARY-HNNXBMFYSA-N 0 1 309.413 3.010 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC(OC2CCCCC2)CC1 ZINC000125593871 431930719 /nfs/dbraw/zinc/93/07/19/431930719.db2.gz LITKGDSHVIPZBU-UHFFFAOYSA-N 0 1 320.477 3.001 20 30 DGEDMN Cc1csc(C(C#N)=C([O-])c2ccccc2-n2cccn2)n1 ZINC000048788129 431931958 /nfs/dbraw/zinc/93/19/58/431931958.db2.gz CLFIUDMNQPFIGT-ZDUSSCGKSA-N 0 1 308.366 3.127 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccccc2-n2cccn2)n1 ZINC000048788129 431931961 /nfs/dbraw/zinc/93/19/61/431931961.db2.gz CLFIUDMNQPFIGT-ZDUSSCGKSA-N 0 1 308.366 3.127 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCCCCC1 ZINC000125690923 431933605 /nfs/dbraw/zinc/93/36/05/431933605.db2.gz IGBYSPPYKHYHJO-HNNXBMFYSA-N 0 1 317.408 3.337 20 30 DGEDMN CC[C@H]1CCN(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000125856020 431937562 /nfs/dbraw/zinc/93/75/62/431937562.db2.gz XIJWUHZZLPJVRX-HNNXBMFYSA-N 0 1 309.413 3.470 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N(C)CCc1ccccc1 ZINC000051999050 431953761 /nfs/dbraw/zinc/95/37/61/431953761.db2.gz POADRIMWIOHGGV-HNNXBMFYSA-N 0 1 307.397 3.060 20 30 DGEDMN Cc1cc(C)c(NC(=O)CNCc2ccc(C#N)cc2)c(C)c1 ZINC000052660171 431957835 /nfs/dbraw/zinc/95/78/35/431957835.db2.gz WURPSKVFVPXQIO-UHFFFAOYSA-N 0 1 307.397 3.212 20 30 DGEDMN C[C@H](C#N)CSc1ccccc1NC(=O)c1ncccc1O ZINC000126948991 431961318 /nfs/dbraw/zinc/96/13/18/431961318.db2.gz OHYNBDFXIPDTJT-LLVKDONJSA-N 0 1 313.382 3.291 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)COc1ccc(F)cc1 ZINC000053420123 431963269 /nfs/dbraw/zinc/96/32/69/431963269.db2.gz ZZJHHTABRUGCAP-HNNXBMFYSA-N 0 1 321.417 3.315 20 30 DGEDMN C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1ccc(C#N)s1 ZINC000127154043 431966078 /nfs/dbraw/zinc/96/60/78/431966078.db2.gz CTURREREUKCDBU-CYBMUJFWSA-N 0 1 323.425 3.393 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2sccc2C#N)[C@H]2CCCC[C@@H]12 ZINC000248422637 432288375 /nfs/dbraw/zinc/28/83/75/432288375.db2.gz MRHGFLWUFJKVMU-XBFCOCLRSA-N 0 1 303.431 3.069 20 30 DGEDMN C[C@@H](N[C@@H](C[S@](C)=O)c1ccccc1)c1ccc(C#N)cc1 ZINC000183159749 432263279 /nfs/dbraw/zinc/26/32/79/432263279.db2.gz YBXYQSPRCDTRFB-GHNFSYLKSA-N 0 1 312.438 3.329 20 30 DGEDMN C#CCCCC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000192056455 432328807 /nfs/dbraw/zinc/32/88/07/432328807.db2.gz WVTZYNKDUYSQJP-UHFFFAOYSA-N 0 1 318.848 3.224 20 30 DGEDMN C=C1CCN(Cc2ccc(OC(=O)C3CC3)c(OC)c2)CC1 ZINC000271164201 432340450 /nfs/dbraw/zinc/34/04/50/432340450.db2.gz MQRHNEZECYMPID-UHFFFAOYSA-N 0 1 301.386 3.163 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000192187590 432342237 /nfs/dbraw/zinc/34/22/37/432342237.db2.gz HXBFHKJIZMIOSH-HNNXBMFYSA-N 0 1 309.413 3.381 20 30 DGEDMN CCS[C@H]1CC[C@@H](N(C)CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000173869921 432304657 /nfs/dbraw/zinc/30/46/57/432304657.db2.gz XGAHPIMKSUTITN-CVEARBPZSA-N 0 1 317.458 3.103 20 30 DGEDMN C=C(Br)CN1CCCC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000253086214 432388555 /nfs/dbraw/zinc/38/85/55/432388555.db2.gz AUPGIQCKALLSRM-MCIONIFRSA-N 0 1 302.256 3.301 20 30 DGEDMN N#Cc1ccccc1OCCNC1(c2nccs2)CCCC1 ZINC000175013140 432390135 /nfs/dbraw/zinc/39/01/35/432390135.db2.gz HJQYSQUFXVEMRI-UHFFFAOYSA-N 0 1 313.426 3.453 20 30 DGEDMN CCc1ccc([C@H](COC)NCCOc2cccc(C#N)c2)o1 ZINC000184777659 432431392 /nfs/dbraw/zinc/43/13/92/432431392.db2.gz ZFTLMGABTSOLOW-KRWDZBQOSA-N 0 1 314.385 3.070 20 30 DGEDMN CC(C)(C#N)CCN1CCN(c2ccc3ccccc3n2)CC1 ZINC000272019580 432432677 /nfs/dbraw/zinc/43/26/77/432432677.db2.gz MPNJJWTZQUYUAA-UHFFFAOYSA-N 0 1 308.429 3.297 20 30 DGEDMN COCCOc1cc(C)ccc1CNCc1ccc(C#N)s1 ZINC000153465864 432412008 /nfs/dbraw/zinc/41/20/08/432412008.db2.gz HQLIYKTVXXMGJR-UHFFFAOYSA-N 0 1 316.426 3.243 20 30 DGEDMN C[C@H](N[C@H](CO)c1ccc(F)cc1)c1ccc(OCC#N)cc1 ZINC000271810876 432424540 /nfs/dbraw/zinc/42/45/40/432424540.db2.gz AQLBCPFCHHIIGP-SCLBCKFNSA-N 0 1 314.360 3.112 20 30 DGEDMN CCc1nc([C@H](C)NCCOc2ccccc2C#N)cs1 ZINC000184717060 432425743 /nfs/dbraw/zinc/42/57/43/432425743.db2.gz NLLVQROWHKMZIR-LBPRGKRZSA-N 0 1 301.415 3.307 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCNC[C@@H]1c1ccc(CC)cc1 ZINC000262297418 432480070 /nfs/dbraw/zinc/48/00/70/432480070.db2.gz WWKJLWBZZAEVQI-LJQANCHMSA-N 0 1 312.457 3.490 20 30 DGEDMN COc1cccc2c1CC[N@@H+](Cc1cc(C#N)ccc1F)CC2 ZINC000193706728 432493151 /nfs/dbraw/zinc/49/31/51/432493151.db2.gz YBVDPCWLAIOCIO-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN COc1cccc2c1CCN(Cc1cc(C#N)ccc1F)CC2 ZINC000193706728 432493156 /nfs/dbraw/zinc/49/31/56/432493156.db2.gz YBVDPCWLAIOCIO-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H]1CCSc2ccc(Cl)cc21 ZINC000193348210 432467784 /nfs/dbraw/zinc/46/77/84/432467784.db2.gz IZFRXLIDFJVSOP-GXFFZTMASA-N 0 1 310.850 3.157 20 30 DGEDMN C[C@H](CC#N)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000194621320 432563975 /nfs/dbraw/zinc/56/39/75/432563975.db2.gz MWGWQJGAMLWTLD-MRXNPFEDSA-N 0 1 321.424 3.351 20 30 DGEDMN N#Cc1ccc(OCCNCc2nc(-c3ccccc3)c[nH]2)cc1 ZINC000194340102 432543917 /nfs/dbraw/zinc/54/39/17/432543917.db2.gz JWYQBLVBSQKORD-UHFFFAOYSA-N 0 1 318.380 3.117 20 30 DGEDMN N#Cc1ccc(C[N@H+](C[C@@H](O)Cc2ccccc2)C2CC2)cc1 ZINC000194960754 432589841 /nfs/dbraw/zinc/58/98/41/432589841.db2.gz ZVBZAIAZCVHZIX-FQEVSTJZSA-N 0 1 306.409 3.126 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3ccc(C#N)cn3)c2C1 ZINC000156267755 432592536 /nfs/dbraw/zinc/59/25/36/432592536.db2.gz DVMWNXCLONNBLN-UHFFFAOYSA-N 0 1 320.396 3.177 20 30 DGEDMN C=CCN(CCCCO)Cc1cc(Br)ccc1F ZINC000263975636 432598105 /nfs/dbraw/zinc/59/81/05/432598105.db2.gz DCYMAJCONWSTCY-UHFFFAOYSA-N 0 1 316.214 3.349 20 30 DGEDMN N#Cc1cc(CSc2nc(-c3ccccn3)n[nH]2)ccc1F ZINC000158618010 432650963 /nfs/dbraw/zinc/65/09/63/432650963.db2.gz AYVUATZXTKAQOJ-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN N#Cc1csc(CNCc2ccnc(N3CCCCC3)c2)c1 ZINC000177686603 432651672 /nfs/dbraw/zinc/65/16/72/432651672.db2.gz JOYHJBYTWSNZMT-UHFFFAOYSA-N 0 1 312.442 3.295 20 30 DGEDMN CN(C)[C@H](CNc1cc(C#N)ccc1[N+](=O)[O-])c1ccsc1 ZINC000274326107 432653074 /nfs/dbraw/zinc/65/30/74/432653074.db2.gz LQQUVFLELMAHAK-OAHLLOKOSA-N 0 1 316.386 3.243 20 30 DGEDMN C=C[C@@H](CC(=O)Nc1nc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC000158716178 432655589 /nfs/dbraw/zinc/65/55/89/432655589.db2.gz DVSKQBYJHAFHDB-ZDUSSCGKSA-N 0 1 319.368 3.165 20 30 DGEDMN C=CC[C@H](C(=O)Nc1cc(CN(C)C)ccn1)c1ccccc1 ZINC000188442704 432726067 /nfs/dbraw/zinc/72/60/67/432726067.db2.gz IPYWWLYOLDOVMP-KRWDZBQOSA-N 0 1 309.413 3.442 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(COCC3CC3)c2)cc1O ZINC000188374437 432722555 /nfs/dbraw/zinc/72/25/55/432722555.db2.gz HQHSONCLYUQDJG-UHFFFAOYSA-N 0 1 322.364 3.443 20 30 DGEDMN CC(C)n1ncc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc21 ZINC000188396158 432723406 /nfs/dbraw/zinc/72/34/06/432723406.db2.gz WSTZUODERBPINF-UHFFFAOYSA-N 0 1 320.352 3.447 20 30 DGEDMN Cc1noc(-c2ccccc2)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188853975 432748850 /nfs/dbraw/zinc/74/88/50/432748850.db2.gz CATWUAMTORSSSY-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=C(Br)CNC1(c2ccc(F)cc2)CCOCC1 ZINC000178853123 432782251 /nfs/dbraw/zinc/78/22/51/432782251.db2.gz JYWOEIHBFLJLEX-UHFFFAOYSA-N 0 1 314.198 3.330 20 30 DGEDMN N#C[C@@H](NC(=O)CCc1cnc[nH]1)c1cccc(Cl)c1Cl ZINC000188962779 432755982 /nfs/dbraw/zinc/75/59/82/432755982.db2.gz SLTPJXKEWTWLNC-GFCCVEGCSA-N 0 1 323.183 3.030 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(Cl)cc2O)ccc1C#N ZINC000235895065 432746269 /nfs/dbraw/zinc/74/62/69/432746269.db2.gz XLMUAOMKIMPFTI-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(N3CCCCC3)nc2)s1 ZINC000267224919 432824373 /nfs/dbraw/zinc/82/43/73/432824373.db2.gz UKHABXRVXUMGGX-UHFFFAOYSA-N 0 1 312.442 3.295 20 30 DGEDMN Cn1cc(CN2CC=C(c3c[nH]c4ncccc34)CC2)cc1C#N ZINC000276794893 432826167 /nfs/dbraw/zinc/82/61/67/432826167.db2.gz UIKCVXILOFAOLE-UHFFFAOYSA-N 0 1 317.396 3.062 20 30 DGEDMN N#Cc1ccc(CN2CCCN(C(=O)C3=CCCCC3)CC2)cc1 ZINC000189674742 432810657 /nfs/dbraw/zinc/81/06/57/432810657.db2.gz SRXLHVACLRVFCO-UHFFFAOYSA-N 0 1 323.440 3.093 20 30 DGEDMN C[C@H]1C[C@@H](NCCSCc2ccccc2C#N)c2nccn21 ZINC000296186264 432881851 /nfs/dbraw/zinc/88/18/51/432881851.db2.gz YHZXJDRYTYFUHG-XJKSGUPXSA-N 0 1 312.442 3.284 20 30 DGEDMN Cc1noc(C(C)C)c1C(=O)NC[C@H]1CCCCN1C1CC1 ZINC000329947446 432884626 /nfs/dbraw/zinc/88/46/26/432884626.db2.gz KAETXKMVIQMEPW-CQSZACIVSA-N 0 1 305.422 3.428 20 30 DGEDMN Cc1cc(C[C@@H](C)NC(=O)[C@H]2CCc3cc(C)ccc3O2)n[nH]1 ZINC000330033171 432890286 /nfs/dbraw/zinc/89/02/86/432890286.db2.gz VIQPIENKVMXREG-SJKOYZFVSA-N 0 1 313.401 3.308 20 30 DGEDMN Cc1ccc2c(c1)CCN(C([O-])=[NH+]C[C@@H]1CCCn3ccnc31)C2 ZINC000330050480 432890898 /nfs/dbraw/zinc/89/08/98/432890898.db2.gz LULMYWUYXHWZEN-INIZCTEOSA-N 0 1 324.428 3.041 20 30 DGEDMN CCCN1CCC[C@@H]1c1nc(CC(=O)NC2CCCCC2)no1 ZINC000330100760 432892720 /nfs/dbraw/zinc/89/27/20/432892720.db2.gz FBIVMDXIEWAXSN-CQSZACIVSA-N 0 1 320.437 3.448 20 30 DGEDMN CCc1nc(C2(NC(=O)CN3[C@H](C)CC[C@@H]3C)CCCC2)no1 ZINC000330095394 432892788 /nfs/dbraw/zinc/89/27/88/432892788.db2.gz VJVWSWOPGWEOTI-BETUJISGSA-N 0 1 320.437 3.231 20 30 DGEDMN CC[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCc2cnn(C(C)C)c2C1 ZINC000330116290 432892954 /nfs/dbraw/zinc/89/29/54/432892954.db2.gz VERDGEFIPGUTKX-HZPDHXFCSA-N 0 1 318.465 3.500 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCC[C@@H](C)[C@H]1C)CC2 ZINC000330109395 432893494 /nfs/dbraw/zinc/89/34/94/432893494.db2.gz PCEOTRZSPAJZDZ-IIAWOOMASA-N 0 1 318.465 3.356 20 30 DGEDMN C[C@@H](Cn1cccn1)[NH+]=C([O-])N(C1CC1)C1CCC(C)CC1 ZINC000330136389 432894124 /nfs/dbraw/zinc/89/41/24/432894124.db2.gz NHNTUUMPWGRWGJ-SLTAFYQDSA-N 0 1 304.438 3.229 20 30 DGEDMN CCS[C@@H]1CC[C@H](N(C)C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000330123555 432894711 /nfs/dbraw/zinc/89/47/11/432894711.db2.gz HRENWRAIUKJSRC-MJBXVCDLSA-N 0 1 322.478 3.307 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)CC2 ZINC000330164036 432894905 /nfs/dbraw/zinc/89/49/05/432894905.db2.gz SWMSDAVJWPQHAQ-LZWOXQAQSA-N 0 1 318.465 3.151 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2ccc(Cl)c(C#N)n2)n[nH]1 ZINC000277386238 432844963 /nfs/dbraw/zinc/84/49/63/432844963.db2.gz JBLANHPVOXJXTI-RKDXNWHRSA-N 0 1 304.785 3.411 20 30 DGEDMN CC[C@H]1CC[C@H](C)N1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000330647230 432922886 /nfs/dbraw/zinc/92/28/86/432922886.db2.gz HHYSMMXSNOEFRM-GWCFXTLKSA-N 0 1 305.447 3.438 20 30 DGEDMN N#CCCN(C(=O)CN1CCC[C@@H](C2CC2)C1)c1ccccc1 ZINC000289159244 432928623 /nfs/dbraw/zinc/92/86/23/432928623.db2.gz DLDAOOSMMAQCPU-QGZVFWFLSA-N 0 1 311.429 3.055 20 30 DGEDMN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000331166438 432933706 /nfs/dbraw/zinc/93/37/06/432933706.db2.gz TZRQSVGXVQSINA-ZWNOBZJWSA-N 0 1 305.447 3.296 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000331556275 432948788 /nfs/dbraw/zinc/94/87/88/432948788.db2.gz MVBJPIBWNIUZTE-YGRLFVJLSA-N 0 1 316.792 3.430 20 30 DGEDMN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)[C@@H]2CCCN2C2CC2)C1 ZINC000330220380 432898470 /nfs/dbraw/zinc/89/84/70/432898470.db2.gz KVDADVWGBPSRJI-YCPHGPKFSA-N 0 1 321.465 3.493 20 30 DGEDMN CCn1c2ccc(F)cc2nc1NC(=O)[C@H]1CCCN1C1CC1 ZINC000330219656 432898573 /nfs/dbraw/zinc/89/85/73/432898573.db2.gz KGQIYXPMQBBSFA-OAHLLOKOSA-N 0 1 316.380 3.410 20 30 DGEDMN [O-]C(=[NH+][C@@H]1C[C@H]1c1ccccc1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000330310494 432903135 /nfs/dbraw/zinc/90/31/35/432903135.db2.gz UZVPHWNJNIDQKQ-OWCLPIDISA-N 0 1 310.401 3.059 20 30 DGEDMN Cc1ccccc1[C@@H](C)N(C)CC(=O)NC1(C#N)CCCCC1 ZINC000330500769 432913723 /nfs/dbraw/zinc/91/37/23/432913723.db2.gz QYCOKDJXVTZYPE-MRXNPFEDSA-N 0 1 313.445 3.331 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](c3ccc(C)cc3)C[C@H]2C)CC1 ZINC000278913128 432916846 /nfs/dbraw/zinc/91/68/46/432916846.db2.gz KWJADSAMKCJPOB-YLJYHZDGSA-N 0 1 324.468 3.045 20 30 DGEDMN N#Cc1cccc(OC2CCN(CCc3cscn3)CC2)c1 ZINC000297136278 432964561 /nfs/dbraw/zinc/96/45/61/432964561.db2.gz NIWACJFKFYQZNX-UHFFFAOYSA-N 0 1 313.426 3.101 20 30 DGEDMN C[C@@H]1CCc2nc(NC(=O)c3ccc(C#N)c(O)c3)sc2C1 ZINC000332129482 432976099 /nfs/dbraw/zinc/97/60/99/432976099.db2.gz DKVQMPWKQQJOGR-SECBINFHSA-N 0 1 313.382 3.097 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000280104543 433002284 /nfs/dbraw/zinc/00/22/84/433002284.db2.gz ZYDKEVSQAOAVHV-HNNXBMFYSA-N 0 1 308.385 3.018 20 30 DGEDMN C=CCCCCCN(C)C(=O)NCc1ccc2cncn2c1 ZINC000297388884 432994219 /nfs/dbraw/zinc/99/42/19/432994219.db2.gz FMGWSLQNRZDJSO-UHFFFAOYSA-N 0 1 300.406 3.222 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000280104545 433001876 /nfs/dbraw/zinc/00/18/76/433001876.db2.gz ZYDKEVSQAOAVHV-OAHLLOKOSA-N 0 1 308.385 3.018 20 30 DGEDMN Clc1cccc(C#CCN2CCC(c3ccncn3)CC2)c1 ZINC000298100432 433049616 /nfs/dbraw/zinc/04/96/16/433049616.db2.gz IVDFTPMLHBVBOJ-UHFFFAOYSA-N 0 1 311.816 3.361 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1N[C@H](C)c1ncc(Br)s1 ZINC000453362632 433121898 /nfs/dbraw/zinc/12/18/98/433121898.db2.gz RBPUMXUTMAOFNX-BBBLOLIVSA-N 0 1 317.252 3.290 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](C)c2ccccc2C(F)(F)F)C1=O ZINC000337174062 433207747 /nfs/dbraw/zinc/20/77/47/433207747.db2.gz GUEMPLITAUNGJZ-SMDDNHRTSA-N 0 1 312.335 3.143 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000298526909 433170397 /nfs/dbraw/zinc/17/03/97/433170397.db2.gz IVUAQLOFVMPAFD-XQLPTFJDSA-N 0 1 315.417 3.177 20 30 DGEDMN COc1cc(CN[C@@H]2CCOc3c(F)cccc32)ccc1C#N ZINC000374145105 433177513 /nfs/dbraw/zinc/17/75/13/433177513.db2.gz AWCQSACEWFOBQP-MRXNPFEDSA-N 0 1 312.344 3.319 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000298631971 433197756 /nfs/dbraw/zinc/19/77/56/433197756.db2.gz CFXFWMCOJYWCRV-MRXNPFEDSA-N 0 1 317.433 3.190 20 30 DGEDMN Cc1nn(C)c2ncc(CN(C)[C@@H](C)c3cccc(C#N)c3)cc12 ZINC000425365122 433199820 /nfs/dbraw/zinc/19/98/20/433199820.db2.gz IVMACBDKJNLSRW-AWEZNQCLSA-N 0 1 319.412 3.341 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC[C@@H]2c2ccc(OCC)cc2)C1=O ZINC000337129582 433206422 /nfs/dbraw/zinc/20/64/22/433206422.db2.gz JDCNEPSFRTVGKV-QZTJIDSGSA-N 0 1 314.429 3.009 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@H](c2ccccc2F)C1 ZINC000337530338 433241093 /nfs/dbraw/zinc/24/10/93/433241093.db2.gz RXSVKOUWESIDCO-CVEARBPZSA-N 0 1 318.436 3.428 20 30 DGEDMN CCC1(C)CCN([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000337700080 433252078 /nfs/dbraw/zinc/25/20/78/433252078.db2.gz VYAYFMDHEYSPHZ-OAHLLOKOSA-N 0 1 305.466 3.230 20 30 DGEDMN COC(=O)c1cnc([C@@H](C)NCCCCC(C)(C)C#N)s1 ZINC000425477076 433257654 /nfs/dbraw/zinc/25/76/54/433257654.db2.gz AWLMGFRCUVTCKQ-LLVKDONJSA-N 0 1 309.435 3.300 20 30 DGEDMN COc1cccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C#N)c1 ZINC000298855273 433263679 /nfs/dbraw/zinc/26/36/79/433263679.db2.gz FFZXIKGNWHMOPA-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H]1CN(c2c(C#N)cnc3ccc(Cl)cc32)C[C@H](C)N1C ZINC000338795344 433322911 /nfs/dbraw/zinc/32/29/11/433322911.db2.gz OQLCWYYTNJHWRA-TXEJJXNPSA-N 0 1 314.820 3.289 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](C)c2cccc(C(F)(F)F)c2)C1=O ZINC000281271837 433280111 /nfs/dbraw/zinc/28/01/11/433280111.db2.gz RKGWOBLOEUKGRJ-SMDDNHRTSA-N 0 1 312.335 3.143 20 30 DGEDMN CC(C)(C)[C@@H]1CN(CCCOc2cccc(C#N)c2)CCO1 ZINC000447832046 433293247 /nfs/dbraw/zinc/29/32/47/433293247.db2.gz LHMBXJULJLGPKI-KRWDZBQOSA-N 0 1 302.418 3.074 20 30 DGEDMN COc1cc(CN[C@@H]2CCOc3ccc(F)cc32)ccc1C#N ZINC000281674472 433344969 /nfs/dbraw/zinc/34/49/69/433344969.db2.gz LVRYXEJEVUMPNJ-MRXNPFEDSA-N 0 1 312.344 3.319 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(C#N)c(F)c3)CC2)c1 ZINC000361691520 433347807 /nfs/dbraw/zinc/34/78/07/433347807.db2.gz DQMZXPVASINFLG-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN([C@@H](C)c1ccccc1)C1CC1 ZINC000299347654 433364091 /nfs/dbraw/zinc/36/40/91/433364091.db2.gz GFUZNYVQPPBCHR-KXBFYZLASA-N 0 1 313.445 3.266 20 30 DGEDMN C#CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2)C[C@@H]1C ZINC000375122892 433367428 /nfs/dbraw/zinc/36/74/28/433367428.db2.gz DGTBKTUKYGWDEN-FUHWJXTLSA-N 0 1 312.457 3.063 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@H](CC(F)F)c1ccccc1 ZINC000420988472 433367739 /nfs/dbraw/zinc/36/77/39/433367739.db2.gz UNOOMFNWFDGQPK-RHSMWYFYSA-N 0 1 323.387 3.027 20 30 DGEDMN CC1(C)CN(C[C@H](O)c2ccc(C#N)cc2)[C@H]1c1cccnc1 ZINC000291840037 433369228 /nfs/dbraw/zinc/36/92/28/433369228.db2.gz DTKOVMBIVYLYFV-ROUUACIJSA-N 0 1 307.397 3.070 20 30 DGEDMN N#CCc1ccc(NC(=O)[C@H]2CCCN2Cc2ccccc2)cc1 ZINC000299141838 433331808 /nfs/dbraw/zinc/33/18/08/433331808.db2.gz JKRSOLXYKHAFLE-LJQANCHMSA-N 0 1 319.408 3.356 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420941274 433335625 /nfs/dbraw/zinc/33/56/25/433335625.db2.gz FIEFTXYQFDVVFR-KGLIPLIRSA-N 0 1 320.399 3.464 20 30 DGEDMN COCc1cc(CNCc2ccc(C#N)c(OC)c2)ccc1F ZINC000281817958 433395099 /nfs/dbraw/zinc/39/50/99/433395099.db2.gz WQKCVDMJZAZVBW-UHFFFAOYSA-N 0 1 314.360 3.142 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCOc1ccccc1F ZINC000448416888 433408801 /nfs/dbraw/zinc/40/88/01/433408801.db2.gz LJRLDZPZABCNQM-UHFFFAOYSA-N 0 1 301.336 3.327 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@@H](c1ccccc1)C(C)(C)CO ZINC000448510117 433412320 /nfs/dbraw/zinc/41/23/20/433412320.db2.gz MBXUHUDIHOSVML-IBGZPJMESA-N 0 1 324.424 3.416 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)c(OC(F)F)c1 ZINC000342026812 433422856 /nfs/dbraw/zinc/42/28/56/433422856.db2.gz VPXWGSIMLSGVKW-LSDHHAIUSA-N 0 1 322.355 3.303 20 30 DGEDMN COc1ccccc1[C@@H](CNc1ccc(C#N)cc1F)N(C)C ZINC000301155189 433435222 /nfs/dbraw/zinc/43/52/22/433435222.db2.gz RCEFCVLMXYZTFG-QGZVFWFLSA-N 0 1 313.376 3.421 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](CC(C)C)c2ccccc2OC)C1=O ZINC000281978732 433451600 /nfs/dbraw/zinc/45/16/00/433451600.db2.gz LTSBWNREAIHAOS-SJORKVTESA-N 0 1 316.445 3.159 20 30 DGEDMN CC[C@@H](C(=O)Nc1cccc(C#N)c1)N(C)Cc1ccccc1 ZINC000339234473 433459257 /nfs/dbraw/zinc/45/92/57/433459257.db2.gz WGYYEVXUGHHGCE-SFHVURJKSA-N 0 1 307.397 3.407 20 30 DGEDMN Cc1cc([C@H](C)NCCSCc2ccccc2C#N)nn1C ZINC000282042314 433475173 /nfs/dbraw/zinc/47/51/73/433475173.db2.gz GBNXKIBDYJOTHA-AWEZNQCLSA-N 0 1 314.458 3.184 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000292286576 433535432 /nfs/dbraw/zinc/53/54/32/433535432.db2.gz OXKZVSCGCAMNJE-HNNXBMFYSA-N 0 1 304.434 3.443 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1c1n[nH]c(C(C)C)n1 ZINC000292168261 433491640 /nfs/dbraw/zinc/49/16/40/433491640.db2.gz ZVMDAYJATDRZTG-CQSZACIVSA-N 0 1 302.422 3.175 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN([C@@H](C)CC)CC2)c1 ZINC000454575228 433493874 /nfs/dbraw/zinc/49/38/74/433493874.db2.gz IZGOGQYMZKDPEI-HNNXBMFYSA-N 0 1 317.433 3.199 20 30 DGEDMN C=CCC[C@H](N[C@H]1CCCc2c1cnn2CCO)c1ccco1 ZINC000375788745 433568407 /nfs/dbraw/zinc/56/84/07/433568407.db2.gz JQCZNQOSDAHIBA-HOTGVXAUSA-N 0 1 315.417 3.143 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H]1OCCc2sccc21 ZINC000292520126 433575615 /nfs/dbraw/zinc/57/56/15/433575615.db2.gz WFGWRYRYFBHXFN-BXKDBHETSA-N 0 1 302.237 3.249 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@H](C[C@H]3CCOC3)C2)c1 ZINC000375826856 433581965 /nfs/dbraw/zinc/58/19/65/433581965.db2.gz XVGWWJNWLNFCHD-HZPDHXFCSA-N 0 1 302.393 3.336 20 30 DGEDMN C=CCN(Cc1ccoc1C)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000414384233 433585825 /nfs/dbraw/zinc/58/58/25/433585825.db2.gz PBHUGQDFHAKNAX-CQSZACIVSA-N 0 1 323.400 3.313 20 30 DGEDMN C[C@@H]1CN(C(=O)CC(C)(C)C#N)[C@@H](C)CN1Cc1ccccc1 ZINC000355465880 433547153 /nfs/dbraw/zinc/54/71/53/433547153.db2.gz XQDAZBKPUNTVKS-CVEARBPZSA-N 0 1 313.445 3.048 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCN(Cc3ccccc3Cl)CC2)C1 ZINC000292791486 433595084 /nfs/dbraw/zinc/59/50/84/433595084.db2.gz LMCHDARIRWHXSK-ZBFHGGJFSA-N 0 1 303.837 3.150 20 30 DGEDMN Cc1nn(-c2cccc(F)c2)cc1CN1CCC(C)(C#N)CC1 ZINC000414430138 433608953 /nfs/dbraw/zinc/60/89/53/433608953.db2.gz LTXLKGRIFTYBPR-UHFFFAOYSA-N 0 1 312.392 3.446 20 30 DGEDMN COc1nccc(CN(Cc2ccc(C#N)cc2)CC(C)C)n1 ZINC000449012649 433660129 /nfs/dbraw/zinc/66/01/29/433660129.db2.gz FUAJUORPKWTNAP-UHFFFAOYSA-N 0 1 310.401 3.015 20 30 DGEDMN C=CCC1(CNC(=O)c2n[nH]nc2-c2ccccc2)CCCC1 ZINC000456489805 433689315 /nfs/dbraw/zinc/68/93/15/433689315.db2.gz VQJVWRZBGZCBTI-UHFFFAOYSA-N 0 1 310.401 3.338 20 30 DGEDMN CC(C)C[C@H](N)c1noc(CSCc2ccc(C#N)cc2)n1 ZINC000414612228 433744669 /nfs/dbraw/zinc/74/46/69/433744669.db2.gz DDWLUTQAPVMFJT-AWEZNQCLSA-N 0 1 316.430 3.421 20 30 DGEDMN C[C@H]1CN(CCOc2ccccc2C#N)Cc2ccccc2O1 ZINC000429192085 433770978 /nfs/dbraw/zinc/77/09/78/433770978.db2.gz QTQURFJBYVLPEM-HNNXBMFYSA-N 0 1 308.381 3.220 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)s1)c1ccc(C(=O)N(C)C)cc1 ZINC000421889144 433793221 /nfs/dbraw/zinc/79/32/21/433793221.db2.gz UHDCZXLRIWMWEQ-GFCCVEGCSA-N 0 1 313.426 3.172 20 30 DGEDMN CCN(C(=O)c1ccc2cncn2c1)[C@@H](C)c1ccc(C#N)cc1 ZINC000339815209 433798023 /nfs/dbraw/zinc/79/80/23/433798023.db2.gz QPOWRDAWOSOUOJ-AWEZNQCLSA-N 0 1 318.380 3.429 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(CC)Cc1ccc(OC)cc1 ZINC000343557643 433816993 /nfs/dbraw/zinc/81/69/93/433816993.db2.gz PMKDRTRFQJVZFA-INIZCTEOSA-N 0 1 318.461 3.330 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cccc(C#N)c3)[nH]c21 ZINC000345365360 433909295 /nfs/dbraw/zinc/90/92/95/433909295.db2.gz AMSTVUFBUNTVQW-AWEZNQCLSA-N 0 1 300.321 3.233 20 30 DGEDMN Cc1nsc(N2CCN(CCC3CCCC3)CC2)c1C#N ZINC000352189199 433964655 /nfs/dbraw/zinc/96/46/55/433964655.db2.gz LKGMHSBINOHNPQ-UHFFFAOYSA-N 0 1 304.463 3.026 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000457067369 433964865 /nfs/dbraw/zinc/96/48/65/433964865.db2.gz KURZZRXSIJWJJO-HNNXBMFYSA-N 0 1 320.399 3.464 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000285586383 434004696 /nfs/dbraw/zinc/00/46/96/434004696.db2.gz VOHOZJMGEPBXNA-AWEZNQCLSA-N 0 1 303.753 3.003 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C[C@H](O)Cc1ccccc1 ZINC000363287852 434012656 /nfs/dbraw/zinc/01/26/56/434012656.db2.gz IYYAINDZJJONOI-NJDAHSKKSA-N 0 1 320.436 3.362 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)ccc1F ZINC000305084899 434037294 /nfs/dbraw/zinc/03/72/94/434037294.db2.gz YHNGEFOKPMKZOU-DOTOQJQBSA-N 0 1 314.360 3.378 20 30 DGEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)Nc1cccc(Cl)c1O ZINC000340316412 434058234 /nfs/dbraw/zinc/05/82/34/434058234.db2.gz DCRPMFFMJQXQNC-SNVBAGLBSA-N 0 1 316.744 3.323 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](c1ccccc1OC)N1CCCC1 ZINC000294422435 434112908 /nfs/dbraw/zinc/11/29/08/434112908.db2.gz QWKYPSJQWMKAIC-MRXNPFEDSA-N 0 1 316.445 3.161 20 30 DGEDMN C[C@@H](N[C@H](CO)c1cccc(F)c1)c1ccc(C#N)c(F)c1 ZINC000346134920 434141917 /nfs/dbraw/zinc/14/19/17/434141917.db2.gz KNAZNQALGACFSB-PIGZYNQJSA-N 0 1 302.324 3.221 20 30 DGEDMN C=C(CC)CNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000457455522 434183274 /nfs/dbraw/zinc/18/32/74/434183274.db2.gz UDKDUMDTXPARBU-HNNXBMFYSA-N 0 1 305.422 3.072 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1 ZINC000437498153 434270960 /nfs/dbraw/zinc/27/09/60/434270960.db2.gz NWJDDAKMQLWMMN-LBPRGKRZSA-N 0 1 317.352 3.237 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc(-c2nc(-c3ccccc3)n[nH]2)cc1 ZINC000437498153 434270966 /nfs/dbraw/zinc/27/09/66/434270966.db2.gz NWJDDAKMQLWMMN-LBPRGKRZSA-N 0 1 317.352 3.237 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCC(c2nc[nH]n2)CC1)c1ccccc1 ZINC000364498807 434272408 /nfs/dbraw/zinc/27/24/08/434272408.db2.gz YVIMCOCCORBOKH-QGZVFWFLSA-N 0 1 324.428 3.261 20 30 DGEDMN Cn1cc(CN2CC=C(c3ccc(C#N)cc3)CC2)cc1C#N ZINC000364472920 434272509 /nfs/dbraw/zinc/27/25/09/434272509.db2.gz QEBVVDRORRCTLN-UHFFFAOYSA-N 0 1 302.381 3.058 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC000364086045 434231346 /nfs/dbraw/zinc/23/13/46/434231346.db2.gz XSNLHULYHPFBBD-AWEZNQCLSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCCCCNC(=O)N(C)CCCN(C)Cc1ccco1 ZINC000286814073 434294371 /nfs/dbraw/zinc/29/43/71/434294371.db2.gz NKAWVJLBIRIPHB-UHFFFAOYSA-N 0 1 307.438 3.099 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@H]3CCCC3(C)C)C2)n[nH]1 ZINC000328737528 434305405 /nfs/dbraw/zinc/30/54/05/434305405.db2.gz LRCVZMBXAJRDNN-HIFRSBDPSA-N 0 1 304.438 3.390 20 30 DGEDMN C=C(C)[C@@H](CC(=O)Nc1cc(Cl)c(O)cc1F)OCC ZINC000287066896 434306501 /nfs/dbraw/zinc/30/65/01/434306501.db2.gz VCXULBOXUIEGAL-CYBMUJFWSA-N 0 1 301.745 3.495 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC(F)F)c1)CC2 ZINC000353656800 434307537 /nfs/dbraw/zinc/30/75/37/434307537.db2.gz IJVIYBNHVBAVLN-HNNXBMFYSA-N 0 1 315.323 3.332 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)NC[C@@H]3CCCCS3)C2)n[nH]1 ZINC000328759093 434308791 /nfs/dbraw/zinc/30/87/91/434308791.db2.gz WDSJNYIMUDBELY-KGLIPLIRSA-N 0 1 322.478 3.097 20 30 DGEDMN CCc1csc(NC(=O)N2CCC[C@@H](c3cc(C)[nH]n3)C2)n1 ZINC000328762199 434310835 /nfs/dbraw/zinc/31/08/35/434310835.db2.gz YXIWLXWOEUXXCT-LLVKDONJSA-N 0 1 319.434 3.162 20 30 DGEDMN CC(C)[C@@H]1CN([C@@H](C)C(=O)NC2(C#N)CCCCC2)CCCO1 ZINC000328843330 434316583 /nfs/dbraw/zinc/31/65/83/434316583.db2.gz WGOVDDNEBLZSGE-HOTGVXAUSA-N 0 1 321.465 3.305 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@H]2CCCC(F)(F)C2)n[nH]1 ZINC000328945226 434331262 /nfs/dbraw/zinc/33/12/62/434331262.db2.gz VAQJIGBNXMTOFP-UWVGGRQHSA-N 0 1 315.368 3.071 20 30 DGEDMN CC(C)(C)c1nc(C(=O)N[C@H]2CCc3nc[nH]c3C2)cs1 ZINC000328940909 434332204 /nfs/dbraw/zinc/33/22/04/434332204.db2.gz KVPOBPJXIKWIOT-VIFPVBQESA-N 0 1 304.419 3.026 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)c2[nH]1 ZINC000329121532 434361751 /nfs/dbraw/zinc/36/17/51/434361751.db2.gz QNINKEPMRBUTSP-UKRRQHHQSA-N 0 1 312.373 3.073 20 30 DGEDMN O=C(N[C@H](CCO)C1CCCCC1)c1cc2[nH]cnc2cc1F ZINC000329183310 434370873 /nfs/dbraw/zinc/37/08/73/434370873.db2.gz FOJSJXAGLKMEJR-CQSZACIVSA-N 0 1 319.380 3.338 20 30 DGEDMN CC(C)[C@@H]1CCN1C([O-])=[NH+][C@@H]1CCc2nc(C(C)(C)C)cn2C1 ZINC000329215536 434374742 /nfs/dbraw/zinc/37/47/42/434374742.db2.gz MUWRLIGAAWEZFK-KGLIPLIRSA-N 0 1 318.465 3.140 20 30 DGEDMN CC(C)CC[C@@H]1CCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329621637 434445514 /nfs/dbraw/zinc/44/55/14/434445514.db2.gz RPGHVCJLQJJPOZ-KBPBESRZSA-N 0 1 304.438 3.082 20 30 DGEDMN C#CC[C@@H](NCc1cc(C(=O)OCC)c(C)[nH]1)c1ccccc1 ZINC000295608580 434423776 /nfs/dbraw/zinc/42/37/76/434423776.db2.gz GDQLWJQGKAJRLA-GOSISDBHSA-N 0 1 310.397 3.354 20 30 DGEDMN CC[C@@H](O)CN(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000340903746 434424085 /nfs/dbraw/zinc/42/40/85/434424085.db2.gz WPAVDHQSAWTOBO-GOSISDBHSA-N 0 1 312.388 3.470 20 30 DGEDMN O=C(Nc1nnc(C2CCC2)[n-]1)[C@H]1CCC[N@H+]1C1CCCC1 ZINC000329508810 434426594 /nfs/dbraw/zinc/42/65/94/434426594.db2.gz JMDBXRIMFNESLO-CYBMUJFWSA-N 0 1 303.410 3.067 20 30 DGEDMN COCCN(CCc1ccccc1)Cc1cccc(C#N)c1F ZINC000340945668 434448644 /nfs/dbraw/zinc/44/86/44/434448644.db2.gz YQNXTQDYSWEMLD-UHFFFAOYSA-N 0 1 312.388 3.388 20 30 DGEDMN Cc1ccc2c(c1)[C@@H]([NH+]=C([O-])N[C@@H]1CCn3ccnc3C1)CCC2 ZINC000329585336 434436449 /nfs/dbraw/zinc/43/64/49/434436449.db2.gz YCHJLYTWNHLYFZ-WBVHZDCISA-N 0 1 324.428 3.088 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](NC([O-])=[NH+][C@@H]1CCn3ccnc3C1)CCC2 ZINC000329585336 434436460 /nfs/dbraw/zinc/43/64/60/434436460.db2.gz YCHJLYTWNHLYFZ-WBVHZDCISA-N 0 1 324.428 3.088 20 30 DGEDMN CC[C@@H]1CCC[C@H]([NH+]=C([O-])N2CC[C@@H](n3cc(C)cn3)C2)C1 ZINC000329742039 434470363 /nfs/dbraw/zinc/47/03/63/434470363.db2.gz WHAOJOKDXONJAI-OWCLPIDISA-N 0 1 304.438 3.321 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]12CCC[C@H]1CN(CC1(C#N)CCC1)C2 ZINC000450909017 434477790 /nfs/dbraw/zinc/47/77/90/434477790.db2.gz VMMVWDHVXUBUCM-KBXCAEBGSA-N 0 1 304.434 3.124 20 30 DGEDMN C[C@@H](C(=O)NC1CCC(C)CC1)N1CC[C@@H](n2cccn2)C1 ZINC000329803048 434482219 /nfs/dbraw/zinc/48/22/19/434482219.db2.gz JNBFFTSLRXTTHC-BHDPWAOGSA-N 0 1 304.438 3.054 20 30 DGEDMN C[C@H](CC1CCCCC1)[NH+]=C([O-])N1CC[C@H](n2cccn2)C1 ZINC000329816311 434483608 /nfs/dbraw/zinc/48/36/08/434483608.db2.gz OBYWYMCLBFDBHZ-ZBFHGGJFSA-N 0 1 304.438 3.403 20 30 DGEDMN [O-]C(=[NH+][C@H]1CCCCC12CCCC2)N1CCn2cncc2C1 ZINC000329818755 434488863 /nfs/dbraw/zinc/48/88/63/434488863.db2.gz OVHBYQIZJHGBSZ-HNNXBMFYSA-N 0 1 302.422 3.116 20 30 DGEDMN Cc1cnn([C@H]2CCN(C([O-])=[NH+]C3CCC4(CC4)CC3)C2)c1 ZINC000329656122 434452911 /nfs/dbraw/zinc/45/29/11/434452911.db2.gz MJUYMVQJRFBHGD-HNNXBMFYSA-N 0 1 302.422 3.075 20 30 DGEDMN CC(=O)NC1CCC(N(C)Cc2ccc(Cl)cc2C#N)CC1 ZINC000360257990 434560471 /nfs/dbraw/zinc/56/04/71/434560471.db2.gz NLFVWNNXSCUAOS-UHFFFAOYSA-N 0 1 319.836 3.091 20 30 DGEDMN C=CCCCCCN(C)C(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000438881357 434541047 /nfs/dbraw/zinc/54/10/47/434541047.db2.gz BLAFQFWEZJUAES-KRWDZBQOSA-N 0 1 313.445 3.243 20 30 DGEDMN C[C@H](NCc1ccc(F)c(C#N)c1)c1ccc([S@@](C)=O)cc1 ZINC000360207194 434549747 /nfs/dbraw/zinc/54/97/47/434549747.db2.gz FPTIERPJVRADTB-AMXDTQDGSA-N 0 1 316.401 3.286 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](c1ccccc1)C(C)(C)CO ZINC000438998228 434555251 /nfs/dbraw/zinc/55/52/51/434555251.db2.gz KFDKUILCHSBHKN-IBGZPJMESA-N 0 1 324.424 3.416 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@H](C)CC2(C)C)c(C#N)c1C ZINC000408367963 434567800 /nfs/dbraw/zinc/56/78/00/434567800.db2.gz ZXJXMPRDVUGXIE-SNVBAGLBSA-N 0 1 305.447 3.296 20 30 DGEDMN CC(C)[N@@H+](CCn1cc(Cl)cn1)Cc1ccc(C#N)cc1 ZINC000459317293 434564401 /nfs/dbraw/zinc/56/44/01/434564401.db2.gz BSKAPYABPFOWHK-UHFFFAOYSA-N 0 1 302.809 3.319 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)[C@@H]1CCc2[nH]nnc2C1 ZINC000329002757 295382817 /nfs/dbraw/zinc/38/28/17/295382817.db2.gz WKKSGEKCKVFPCF-REWJHTLYSA-N 0 1 302.422 3.225 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)[C@@H]1CCc2nn[nH]c2C1 ZINC000329002757 295382819 /nfs/dbraw/zinc/38/28/19/295382819.db2.gz WKKSGEKCKVFPCF-REWJHTLYSA-N 0 1 302.422 3.225 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H]1c1ccccc1 ZINC000329728283 295384074 /nfs/dbraw/zinc/38/40/74/295384074.db2.gz PAJPTMOKJQUEMD-RHSMWYFYSA-N 0 1 310.401 3.018 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(Cl)ccc1OC(F)F ZINC000424072708 434615900 /nfs/dbraw/zinc/61/59/00/434615900.db2.gz YSVJDEWOONAJPO-UHFFFAOYSA-N 0 1 311.737 3.259 20 30 DGEDMN CC(C)c1cc(C(=O)N2CC=C(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000370636722 434615954 /nfs/dbraw/zinc/61/59/54/434615954.db2.gz URBVGKPGJGXHQW-UHFFFAOYSA-N 0 1 320.396 3.334 20 30 DGEDMN C=CCN1CC[C@H](N2CC3(CCCC3)[C@@H]2c2ccccc2)C1=O ZINC000459577840 434616527 /nfs/dbraw/zinc/61/65/27/434616527.db2.gz MDGSEIPEBHVFFU-ROUUACIJSA-N 0 1 310.441 3.391 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](c2ccccc2)c2ccc(C)cc2)C1=O ZINC000410419119 434645307 /nfs/dbraw/zinc/64/53/07/434645307.db2.gz PJYVEZBXIGCQAT-UXHICEINSA-N 0 1 320.436 3.461 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2cc(C#N)ccc2F)C1 ZINC000459593689 434624935 /nfs/dbraw/zinc/62/49/35/434624935.db2.gz VYBMWNFTDIKLFM-SFHVURJKSA-N 0 1 318.392 3.251 20 30 DGEDMN N#C[C@H]1CC[C@@H](N[C@@H](C(=O)N2CCCCC2)c2ccccc2)C1 ZINC000424120450 434628261 /nfs/dbraw/zinc/62/82/61/434628261.db2.gz HBNPEDFUQBHVFR-CGTJXYLNSA-N 0 1 311.429 3.022 20 30 DGEDMN Cc1cc(CN(C)C[C@H]2COc3ccccc3O2)ccc1C#N ZINC000349077995 434601125 /nfs/dbraw/zinc/60/11/25/434601125.db2.gz RGZCXVXDLWVZKA-KRWDZBQOSA-N 0 1 308.381 3.139 20 30 DGEDMN CSCC[C@@H](C)N(C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000440604360 434722328 /nfs/dbraw/zinc/72/23/28/434722328.db2.gz VMHYFXWQTIYQOE-GFCCVEGCSA-N 0 1 316.430 3.182 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)[C@H](C)CN1CCOCC1 ZINC000411137231 434730974 /nfs/dbraw/zinc/73/09/74/434730974.db2.gz PIBIBNJGBZURDZ-CYBMUJFWSA-N 0 1 314.882 3.110 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1ccc(C#N)cc1 ZINC000411456025 434783220 /nfs/dbraw/zinc/78/32/20/434783220.db2.gz UKSHXQRTVVVAMH-FZKQIMNGSA-N 0 1 323.371 3.153 20 30 DGEDMN C[C@H]1[C@@H](C)N(CC(=O)Nc2ccccc2SCC#N)C[C@H]1C ZINC000419374329 434781545 /nfs/dbraw/zinc/78/15/45/434781545.db2.gz PMUDLGBULARHQT-MGPQQGTHSA-N 0 1 317.458 3.217 20 30 DGEDMN C=C(C)[C@@H](NCCC(=O)NCCCC)c1ccc(F)c(F)c1 ZINC000411551316 434800471 /nfs/dbraw/zinc/80/04/71/434800471.db2.gz DIRAVEBBVZVMKD-QGZVFWFLSA-N 0 1 310.388 3.478 20 30 DGEDMN C[C@H](CCC#N)N[C@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000411546811 434797964 /nfs/dbraw/zinc/79/79/64/434797964.db2.gz SZQBNTFJVBQATF-MFKMUULPSA-N 0 1 323.322 3.195 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](C)c1ccncc1CC ZINC000361127912 434806156 /nfs/dbraw/zinc/80/61/56/434806156.db2.gz BPOLSUKMBIBCPM-GJZGRUSLSA-N 0 1 303.450 3.108 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)[C@@H](C)c1n[nH]c(C)n1 ZINC000411721302 434821407 /nfs/dbraw/zinc/82/14/07/434821407.db2.gz SFTOWTABDSJIGT-ZDUSSCGKSA-N 0 1 302.426 3.030 20 30 DGEDMN CC(C)[C@H](CC(F)(F)F)NCC(=O)Nc1sccc1C#N ZINC000445884957 434834646 /nfs/dbraw/zinc/83/46/46/434834646.db2.gz JNHYYBIPTSVIJE-JTQLQIEISA-N 0 1 319.352 3.125 20 30 DGEDMN COC1([C@@H](C)NCc2ccc(Br)cc2C#N)CCC1 ZINC000412020849 434854779 /nfs/dbraw/zinc/85/47/79/434854779.db2.gz KKHQQJMQWJNYLP-LLVKDONJSA-N 0 1 323.234 3.368 20 30 DGEDMN C[C@H](C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1)c1cccc(C#N)c1 ZINC000462334288 434908242 /nfs/dbraw/zinc/90/82/42/434908242.db2.gz MIFCGTXQNFESOF-ZDUSSCGKSA-N 0 1 324.428 3.341 20 30 DGEDMN C=CCN(Cc1ccccc1F)C[C@@H](OC)C1CCOCC1 ZINC000637964955 434917948 /nfs/dbraw/zinc/91/79/48/434917948.db2.gz DGLZSGDVGAOSQT-GOSISDBHSA-N 0 1 307.409 3.255 20 30 DGEDMN C=CCc1cc(CNc2cc3c(cn2)CCCC3)cc(OC)c1O ZINC000461211393 434873673 /nfs/dbraw/zinc/87/36/73/434873673.db2.gz MLEZMNHVJBPOHC-UHFFFAOYSA-N 0 1 324.424 4.015 20 30 DGEDMN N#CCC[C@@H](C#N)CNCCc1cccc(Br)c1 ZINC000521217613 434874557 /nfs/dbraw/zinc/87/45/57/434874557.db2.gz DXSCAWRAWCHZKO-ZDUSSCGKSA-N 0 1 306.207 3.025 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)C=Cc2cccc(C#N)c2)c1 ZINC000492349383 434964784 /nfs/dbraw/zinc/96/47/84/434964784.db2.gz NWACXMMTAWMVMS-VMPITWQZSA-N 0 1 306.321 3.118 20 30 DGEDMN Cc1ccc(C(=O)C2CCN(CCOCCC#N)CC2)c(C)c1 ZINC000555301008 434968794 /nfs/dbraw/zinc/96/87/94/434968794.db2.gz RKCINWZBEJEIQY-UHFFFAOYSA-N 0 1 314.429 3.128 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2[C@@H](C)[C@@H]2C2CC2)cc1 ZINC000528918585 435030429 /nfs/dbraw/zinc/03/04/29/435030429.db2.gz JFVXVLGBPSFOKO-KSHAWTQOSA-N 0 1 310.397 3.188 20 30 DGEDMN C[C@H]1[C@@H](C2CC2)[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000528915026 435030717 /nfs/dbraw/zinc/03/07/17/435030717.db2.gz CTHRSLVVVXICFB-BCZWGYKPSA-N 0 1 316.788 3.279 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3c3cccc(C#N)n3)[nH]c2c1 ZINC000555537964 434977236 /nfs/dbraw/zinc/97/72/36/434977236.db2.gz PAGYGYDFOFCEBJ-INIZCTEOSA-N 0 1 303.369 3.480 20 30 DGEDMN N#Cc1ccc(CSc2nc(-c3ccccc3F)n[nH]2)nc1 ZINC000555685111 434983368 /nfs/dbraw/zinc/98/33/68/434983368.db2.gz YCTOYCBJHMNQTN-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN CC(C)(C)OC(=O)CN(CCCC(C)(C)C#N)C1CCOCC1 ZINC000574523146 434985158 /nfs/dbraw/zinc/98/51/58/434985158.db2.gz LEVYEVZFLMOBLH-UHFFFAOYSA-N 0 1 324.465 3.139 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)Cc1cccc2ccccc21 ZINC000530337324 435044662 /nfs/dbraw/zinc/04/46/62/435044662.db2.gz JXZACKWPAOQXFK-HNNXBMFYSA-N 0 1 321.424 3.223 20 30 DGEDMN C=CCCN(C)CC(=O)NCCc1ccc(C(C)(C)C)cc1 ZINC000467304259 435115840 /nfs/dbraw/zinc/11/58/40/435115840.db2.gz KFRXEMLZCVAXLB-UHFFFAOYSA-N 0 1 302.462 3.151 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000531752411 435117553 /nfs/dbraw/zinc/11/75/53/435117553.db2.gz OFULTLVYDYHOGD-AWEZNQCLSA-N 0 1 316.430 3.246 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)C=Cc2cccc(C#N)c2)n[nH]1 ZINC000493188410 435121112 /nfs/dbraw/zinc/12/11/12/435121112.db2.gz OHFCFBNHZPZAFG-GFUJYOBASA-N 0 1 323.400 3.080 20 30 DGEDMN CCN(C[C@@H](C)C#N)C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000494102396 435194549 /nfs/dbraw/zinc/19/45/49/435194549.db2.gz QLVRUKCEEVAFFI-GJZGRUSLSA-N 0 1 309.454 3.115 20 30 DGEDMN C=CCc1cc(CN(C)Cc2cccc(O)c2)cc(OC)c1O ZINC000533255904 435219698 /nfs/dbraw/zinc/21/96/98/435219698.db2.gz VLOLAJLSPLSBCO-UHFFFAOYSA-N 0 1 313.397 3.467 20 30 DGEDMN N#Cc1cnc(N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)cn1 ZINC000533461892 435238259 /nfs/dbraw/zinc/23/82/59/435238259.db2.gz JRSSOWMGOBMNFO-CQSZACIVSA-N 0 1 324.775 3.219 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)NCC3(C#N)CC3)[nH]c2c1 ZINC000582397284 435239436 /nfs/dbraw/zinc/23/94/36/435239436.db2.gz USDUDWRFQIYGID-HNNXBMFYSA-N 0 1 323.400 3.022 20 30 DGEDMN CCCn1nccc1C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000563448143 435267968 /nfs/dbraw/zinc/26/79/68/435267968.db2.gz GVZHXFGAQHVVLV-NSHDSACASA-N 0 1 322.290 3.197 20 30 DGEDMN N#Cc1ccc(OCCN2CCOC3(C2)CCCCCC3)cc1 ZINC000477875954 435283307 /nfs/dbraw/zinc/28/33/07/435283307.db2.gz KAMIWCBXJIMMEE-UHFFFAOYSA-N 0 1 314.429 3.362 20 30 DGEDMN Cc1cc(Cl)ccc1OCCCNC[C@@H](C#N)CCC#N ZINC000566108812 435284827 /nfs/dbraw/zinc/28/48/27/435284827.db2.gz HPQIBWAYWYLXMB-CQSZACIVSA-N 0 1 305.809 3.450 20 30 DGEDMN CC(C)C[C@@H](CNCC1(C#N)CCC1)NC(=O)OC(C)(C)C ZINC000497129270 435299904 /nfs/dbraw/zinc/29/99/04/435299904.db2.gz KYEXONSAMCDFMP-AWEZNQCLSA-N 0 1 309.454 3.209 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000567571265 435330474 /nfs/dbraw/zinc/33/04/74/435330474.db2.gz HCDBBBBBTXPKIK-SKDRFNHKSA-N 0 1 307.300 3.030 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Nc3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000567593240 435332320 /nfs/dbraw/zinc/33/23/20/435332320.db2.gz VCQBCWYSBMIZJL-QGZVFWFLSA-N 0 1 322.368 3.153 20 30 DGEDMN CC(C)c1nnc(CC2CC[NH+](CC#Cc3ccccc3)CC2)[nH]1 ZINC000639716077 435398699 /nfs/dbraw/zinc/39/86/99/435398699.db2.gz HTGUCKRYNZRKGJ-UHFFFAOYSA-N 0 1 322.456 3.234 20 30 DGEDMN CC(C)c1nc(CC2CC[NH+](CC#Cc3ccccc3)CC2)n[nH]1 ZINC000639716077 435398704 /nfs/dbraw/zinc/39/87/04/435398704.db2.gz HTGUCKRYNZRKGJ-UHFFFAOYSA-N 0 1 322.456 3.234 20 30 DGEDMN N#Cc1cnn2cc(CN3CCCCC[C@H]3c3ccco3)cnc12 ZINC000568866149 435477443 /nfs/dbraw/zinc/47/74/43/435477443.db2.gz BOUNHSYALYGFBO-INIZCTEOSA-N 0 1 321.384 3.311 20 30 DGEDMN C=CC(C)(C)CCNC(=O)N[C@H](C)c1n[nH]c([C@@H](C)CC)n1 ZINC000637324113 435425085 /nfs/dbraw/zinc/42/50/85/435425085.db2.gz PMTMMHIWKTVJPN-NWDGAFQWSA-N 0 1 307.442 3.281 20 30 DGEDMN CCC#C[C@H](C)N[C@@H](CO)c1ccc(Br)c(F)c1 ZINC000644784732 435511911 /nfs/dbraw/zinc/51/19/11/435511911.db2.gz QKWYCWUCLADIBQ-HZMBPMFUSA-N 0 1 314.198 3.013 20 30 DGEDMN CCCN(Cc1ccc(CC#N)cc1)[C@@H](CC)C(=O)OCC ZINC000484562989 435548773 /nfs/dbraw/zinc/54/87/73/435548773.db2.gz HFZJKPXSBXVBFD-KRWDZBQOSA-N 0 1 302.418 3.306 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCc2sc(-c3csc(C)n3)cc2C1 ZINC000649407320 435580368 /nfs/dbraw/zinc/58/03/68/435580368.db2.gz BDXCAMSIEQRBCR-CQSZACIVSA-N 0 1 320.483 3.475 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@H](c2ccccn2)C1 ZINC000660633102 435834391 /nfs/dbraw/zinc/83/43/91/435834391.db2.gz ZNSBQTGIEXXSBT-DLBZAZTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@H](C)CN(C)[C@@H](C)[C@H]1C)c1ccccc1 ZINC000650153011 435835888 /nfs/dbraw/zinc/83/58/88/435835888.db2.gz NPFPIUGAZKCSFE-YYIAUSFCSA-N 0 1 300.446 3.286 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000665390520 435966761 /nfs/dbraw/zinc/96/67/61/435966761.db2.gz HXEGBILRMSPCMS-MJBXVCDLSA-N 0 1 319.453 3.423 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NC[C@@H](c2cccs2)N(C)C)C1 ZINC000656543167 435968380 /nfs/dbraw/zinc/96/83/80/435968380.db2.gz BFLLNXFQZQLPFK-GJZGRUSLSA-N 0 1 321.490 3.349 20 30 DGEDMN C=CC[N@@H+](Cc1c(Br)cccc1C(=O)[O-])C1CC1 ZINC000652442463 435981498 /nfs/dbraw/zinc/98/14/98/435981498.db2.gz HMNVIOUBTBSAGD-UHFFFAOYSA-N 0 1 310.191 3.298 20 30 DGEDMN C=CC[N@H+](Cc1c(Br)cccc1C(=O)[O-])C1CC1 ZINC000652442463 435981501 /nfs/dbraw/zinc/98/15/01/435981501.db2.gz HMNVIOUBTBSAGD-UHFFFAOYSA-N 0 1 310.191 3.298 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NC[C@H]2CCCC[N@H+]2C2CC2)C1 ZINC000661072279 435957878 /nfs/dbraw/zinc/95/78/78/435957878.db2.gz WXXFKZADIBVOSQ-DOTOQJQBSA-N 0 1 305.466 3.001 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@H]2CCc3nc[nH]c3C2)CCCC1 ZINC000661205128 435995820 /nfs/dbraw/zinc/99/58/20/435995820.db2.gz QILZXNCWXTXRJI-AWEZNQCLSA-N 0 1 316.449 3.093 20 30 DGEDMN C=C(C)COc1cc(CN2CCC[C@@H]3COC[C@@H]32)ccc1OC ZINC000657208864 436095362 /nfs/dbraw/zinc/09/53/62/436095362.db2.gz FZMYARQZZHZLOZ-SJORKVTESA-N 0 1 317.429 3.261 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](C)Oc2ccc(Cl)cc2)nn1 ZINC000657380222 436167538 /nfs/dbraw/zinc/16/75/38/436167538.db2.gz HHUDOGLLQDRPMT-CYBMUJFWSA-N 0 1 320.824 3.065 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000666213777 436175095 /nfs/dbraw/zinc/17/50/95/436175095.db2.gz LLWKDRJZGVBJLL-CQSZACIVSA-N 0 1 311.389 3.087 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@H]2Cc2ccc(F)cc2)nn1 ZINC000653503446 436184914 /nfs/dbraw/zinc/18/49/14/436184914.db2.gz HHOCGMGWOQNTTQ-SFHVURJKSA-N 0 1 314.408 3.200 20 30 DGEDMN C=CCCn1cc(CN2CCCC[C@H]2Cc2ccccc2)nn1 ZINC000653538130 436198760 /nfs/dbraw/zinc/19/87/60/436198760.db2.gz SLFNNJOXGZTRRI-IBGZPJMESA-N 0 1 310.445 3.451 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](c3ccc(Cl)cc3)C2)nn1 ZINC000653555811 436203492 /nfs/dbraw/zinc/20/34/92/436203492.db2.gz XNCIFLYYBRVHFN-HNNXBMFYSA-N 0 1 316.836 3.497 20 30 DGEDMN C=CCCn1cc(CN(CC)[C@@H](C)Cc2ccsc2)nn1 ZINC000653625547 436226624 /nfs/dbraw/zinc/22/66/24/436226624.db2.gz IQLBEQCPWGEGIO-AWEZNQCLSA-N 0 1 304.463 3.369 20 30 DGEDMN C=C[C@H](CO)NCC(=O)Nc1c(C(C)C)cccc1C(C)C ZINC000662166195 436291894 /nfs/dbraw/zinc/29/18/94/436291894.db2.gz VCPKBQYIETUADJ-CQSZACIVSA-N 0 1 304.434 3.008 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)NCc1ccc(CC)s1 ZINC000662084950 436269833 /nfs/dbraw/zinc/26/98/33/436269833.db2.gz YLKKWAGAPGCENH-OKILXGFUSA-N 0 1 304.459 3.110 20 30 DGEDMN C=CCCC(C)(C)NCc1csc(N(C(C)=O)C2CC2)n1 ZINC000657805436 436311610 /nfs/dbraw/zinc/31/16/10/436311610.db2.gz ORLFTASONAPCKN-UHFFFAOYSA-N 0 1 307.463 3.493 20 30 DGEDMN C=CCCC1(CNC(=O)c2conc2C(C)(C)N)CCCC1 ZINC000662851565 436394877 /nfs/dbraw/zinc/39/48/77/436394877.db2.gz XKDUHDQWWPXCKB-UHFFFAOYSA-N 0 1 305.422 3.125 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1nnn(-c2ccc(C)cc2)c1C ZINC000658210720 436401383 /nfs/dbraw/zinc/40/13/83/436401383.db2.gz VYTWYQDCQFLKBB-HNNXBMFYSA-N 0 1 314.433 3.127 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@H](c2ccsc2)N(C)C)C1 ZINC000660313684 436622283 /nfs/dbraw/zinc/62/22/83/436622283.db2.gz ZHEUOEFNFPFQEF-GDBMZVCRSA-N 0 1 321.490 3.349 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NC[C@@H](c2ccsc2)N(C)C)C1 ZINC000660313686 436622683 /nfs/dbraw/zinc/62/26/83/436622683.db2.gz ZHEUOEFNFPFQEF-HOCLYGCPSA-N 0 1 321.490 3.349 20 30 DGEDMN COC(=O)CC[C@@H](NCc1cc(C#N)cs1)c1ccccc1 ZINC000177697747 312782983 /nfs/dbraw/zinc/78/29/83/312782983.db2.gz MLRCNJXMONTDNW-MRXNPFEDSA-N 0 1 314.410 3.404 20 30 DGEDMN C[C@](O)(C1CCN(Cc2ccc(C#N)cc2)CC1)C(F)(F)F ZINC000547343587 314358183 /nfs/dbraw/zinc/35/81/83/314358183.db2.gz UZAMOMVUDPBARH-HNNXBMFYSA-N 0 1 312.335 3.084 20 30 DGEDMN COC(=O)c1cccc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)c1C#N ZINC000566022987 315305921 /nfs/dbraw/zinc/30/59/21/315305921.db2.gz FPKQQLBVWFYANX-IAGOWNOFSA-N 0 1 312.413 3.355 20 30 DGEDMN Cc1cc(C#N)cnc1C(=O)Nc1[nH]nc2c1cccc2Cl ZINC000566518374 315355255 /nfs/dbraw/zinc/35/52/55/315355255.db2.gz YUQQZNCODAHHAY-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CC(C)c1ccc(CN(C)Cc2cnc3c(C#N)cnn3c2)cc1 ZINC000567049488 315392545 /nfs/dbraw/zinc/39/25/45/315392545.db2.gz XRGINDIHCFBERK-UHFFFAOYSA-N 0 1 319.412 3.356 20 30 DGEDMN CN(C)[C@H](CNc1ncc2c(c1C#N)CCC2)c1ccsc1 ZINC000571875820 315750709 /nfs/dbraw/zinc/75/07/09/315750709.db2.gz BDRAUEPDKDQZHT-MRXNPFEDSA-N 0 1 312.442 3.218 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1cc(C#N)ccc1F ZINC000577180777 316210554 /nfs/dbraw/zinc/21/05/54/316210554.db2.gz ZPJJPCUANSMADF-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)cc1C ZINC000577619491 316253422 /nfs/dbraw/zinc/25/34/22/316253422.db2.gz WRTIYNHPFHNWTI-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN CCOc1cccc([C@@H](C)NCC(=O)Nc2ccc(C#N)cc2)c1 ZINC000180704600 323237026 /nfs/dbraw/zinc/23/70/26/323237026.db2.gz FEKAXBHPKCRCJC-CQSZACIVSA-N 0 1 323.396 3.246 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(CCC#N)CC2)cc1F ZINC000584289544 332217847 /nfs/dbraw/zinc/21/78/47/332217847.db2.gz QGSFJHLUCQRWER-UHFFFAOYSA-N 0 1 304.365 3.128 20 30 DGEDMN N#CCCC1CCN(C(=O)c2[nH]nc3ccc(Cl)cc32)CC1 ZINC000566287417 332316053 /nfs/dbraw/zinc/31/60/53/332316053.db2.gz VPYBZIRIZHZEAA-UHFFFAOYSA-N 0 1 316.792 3.372 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](c2ccc(F)cc2F)C(C)(C)C)C1=O ZINC000499603920 333286775 /nfs/dbraw/zinc/28/67/75/333286775.db2.gz AXWIGEUSWVHOJM-HZPDHXFCSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000216166715 333385654 /nfs/dbraw/zinc/38/56/54/333385654.db2.gz RREGUUOPHAEFJD-DGTBMVLOSA-N 0 1 304.478 3.358 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1ccc(C(C)(C)C)cc1C ZINC000563366417 333597189 /nfs/dbraw/zinc/59/71/89/333597189.db2.gz ILIGQAOIXHMVSC-SJORKVTESA-N 0 1 318.461 3.314 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCC(CF)CC1 ZINC000295182852 334220286 /nfs/dbraw/zinc/22/02/86/334220286.db2.gz RYCUTQMKGJYGGC-OAHLLOKOSA-N 0 1 317.408 3.003 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)nn1C(C)(C)C ZINC000048813722 334298858 /nfs/dbraw/zinc/29/88/58/334298858.db2.gz BUMKZHNZTGZAJI-LBPRGKRZSA-N 0 1 321.384 3.313 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2nc3ccccc3[nH]2)nn1C(C)(C)C ZINC000048813722 334298859 /nfs/dbraw/zinc/29/88/59/334298859.db2.gz BUMKZHNZTGZAJI-LBPRGKRZSA-N 0 1 321.384 3.313 20 30 DGEDMN CCN(CCC(=O)Nc1ccccc1SC)C[C@H](C)C#N ZINC000103469620 336241015 /nfs/dbraw/zinc/24/10/15/336241015.db2.gz XRRULUUHQAAMBZ-CYBMUJFWSA-N 0 1 305.447 3.219 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1ccc2nc(C(C)(C)C)[nH]c2c1 ZINC000582466734 337093519 /nfs/dbraw/zinc/09/35/19/337093519.db2.gz DKNPMQIORDWRKU-DOMZBBRYSA-N 0 1 313.401 3.390 20 30 DGEDMN C=CCC[C@H](CO)NCc1cc(F)ccc1Br ZINC000321047815 337187591 /nfs/dbraw/zinc/18/75/91/337187591.db2.gz UWDRMIPMFDVUCJ-GFCCVEGCSA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)[C@@H](N)Cc1ccccc1 ZINC000564075130 337451759 /nfs/dbraw/zinc/45/17/59/337451759.db2.gz DQXBMWFEKOYHNG-SFHVURJKSA-N 0 1 300.446 3.151 20 30 DGEDMN C#CCOc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000269623336 337637540 /nfs/dbraw/zinc/63/75/40/337637540.db2.gz QRLISDCRVKGXJY-UHFFFAOYSA-N 0 1 302.377 3.349 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)Cc1cc(=O)n2ccccc2n1 ZINC000470911796 339331079 /nfs/dbraw/zinc/33/10/79/339331079.db2.gz YORRFIQGAZRCIE-UHFFFAOYSA-N 0 1 319.408 3.273 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Nc3ccccc3F)[nH]c21 ZINC000505424286 340168123 /nfs/dbraw/zinc/16/81/23/340168123.db2.gz ZLIOASSTUVQSGG-LLVKDONJSA-N 0 1 308.316 3.256 20 30 DGEDMN C=C(C)CCN(C)CC(=O)Nc1ccccc1Br ZINC000509250345 340264472 /nfs/dbraw/zinc/26/44/72/340264472.db2.gz WRQPAJHGHBZXBL-UHFFFAOYSA-N 0 1 311.223 3.286 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000540557939 340936191 /nfs/dbraw/zinc/93/61/91/340936191.db2.gz DAWXWCQFCGHVOR-PBHICJAKSA-N 0 1 321.440 3.472 20 30 DGEDMN CCN(CC)[C@H](C(=O)N1CCC(CC#N)CC1)c1ccccc1 ZINC000542904477 341025860 /nfs/dbraw/zinc/02/58/60/341025860.db2.gz UVNKJNNUPHFODG-SFHVURJKSA-N 0 1 313.445 3.222 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1c([O-])nnn1-c1ccccc1 ZINC000131394864 341084277 /nfs/dbraw/zinc/08/42/77/341084277.db2.gz PLQSGOMWIVZVSK-UHFFFAOYSA-N 0 1 300.406 3.151 20 30 DGEDMN C#CCN(Cc1cccc(C(=O)NC)c1)[C@@H]1CCc2ccccc21 ZINC000072959295 341111429 /nfs/dbraw/zinc/11/14/29/341111429.db2.gz KTUAXCQJPSFXGI-HXUWFJFHSA-N 0 1 318.420 3.169 20 30 DGEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)Cc1ccsc1 ZINC000249547508 341399183 /nfs/dbraw/zinc/39/91/83/341399183.db2.gz GPGQTLPSINOBBC-RHSMWYFYSA-N 0 1 321.490 3.055 20 30 DGEDMN C=CCN[C@@H](c1ccc(C)cc1)c1cccc(S(C)(=O)=O)c1 ZINC000089117370 341402459 /nfs/dbraw/zinc/40/24/59/341402459.db2.gz DUORQOPDPWRTHF-SFHVURJKSA-N 0 1 315.438 3.264 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1 ZINC000554191061 341446527 /nfs/dbraw/zinc/44/65/27/341446527.db2.gz CAKXEICWJMWYEV-CHWSQXEVSA-N 0 1 320.799 3.091 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1C[C@H]1c1ccc(Br)cc1 ZINC000555851715 341490808 /nfs/dbraw/zinc/49/08/08/341490808.db2.gz KNHZHZZVGSCOFF-TUKIKUTGSA-N 0 1 318.218 3.338 20 30 DGEDMN CC(C)CN(CC(C)C)C(=O)CN1CCC(CCC#N)CC1 ZINC000567790391 341633491 /nfs/dbraw/zinc/63/34/91/341633491.db2.gz ZKWALQUFVMQWDD-UHFFFAOYSA-N 0 1 307.482 3.143 20 30 DGEDMN CN(C)c1cccc(CNCc2cccc(OCCCC#N)c2)n1 ZINC000179031546 341976803 /nfs/dbraw/zinc/97/68/03/341976803.db2.gz YFDQIFAAZVWQFB-UHFFFAOYSA-N 0 1 324.428 3.120 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)C(C)C)C1 ZINC001690195413 1176022380 /nfs/dbraw/zinc/02/23/80/1176022380.db2.gz VDXIAYKYSZSDGE-LSDHHAIUSA-N 0 1 300.874 3.201 20 30 DGEDMN C[C@H]1CN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C[C@@H]1C ZINC000347383743 533906884 /nfs/dbraw/zinc/90/68/84/533906884.db2.gz WKXBSTMAYZFGFB-KBPBESRZSA-N 0 1 319.836 3.174 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@H](C)N(CC)C[C@H]1C)c1ccccc1 ZINC000489590009 534326575 /nfs/dbraw/zinc/32/65/75/534326575.db2.gz WJGWUQMUQOTYCP-JZXOWHBKSA-N 0 1 300.446 3.287 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1CNCCOC(F)(F)F ZINC000353180801 534462026 /nfs/dbraw/zinc/46/20/26/534462026.db2.gz INXCGIIQECEHDY-UHFFFAOYSA-N 0 1 305.193 3.471 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000488598089 534467106 /nfs/dbraw/zinc/46/71/06/534467106.db2.gz RDHCZIRJEPCHKC-UHFFFAOYSA-N 0 1 323.440 3.022 20 30 DGEDMN CCOC[C@@H]1CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000181133097 520130779 /nfs/dbraw/zinc/13/07/79/520130779.db2.gz DRGRZRWLAMXSGQ-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000494243079 534548927 /nfs/dbraw/zinc/54/89/27/534548927.db2.gz XOWSJVBJWYCXFB-SJORKVTESA-N 0 1 319.424 3.179 20 30 DGEDMN Cn1c(CNCC2(CC#N)CC2)nc2cc(C(F)(F)F)ccc21 ZINC000430038132 534691355 /nfs/dbraw/zinc/69/13/55/534691355.db2.gz VGMXICLBSXBMHG-UHFFFAOYSA-N 0 1 322.334 3.376 20 30 DGEDMN N#CCSCCCN1CCN(c2ccccc2Cl)CC1 ZINC000074980867 534706877 /nfs/dbraw/zinc/70/68/77/534706877.db2.gz QLPFBDOBVAYVRY-UHFFFAOYSA-N 0 1 309.866 3.109 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@H](Nc2ccccc2)C1 ZINC000157039220 523527072 /nfs/dbraw/zinc/52/70/72/523527072.db2.gz LITXWJIUZMXVAR-SFHVURJKSA-N 0 1 307.397 3.253 20 30 DGEDMN Cc1nc(-c2cccc(C(=O)C(C#N)c3ccncn3)c2)cs1 ZINC000354469256 534859273 /nfs/dbraw/zinc/85/92/73/534859273.db2.gz MYGHALUSYAQPIP-CQSZACIVSA-N 0 1 320.377 3.399 20 30 DGEDMN O=C(NC1CCC(F)(F)CC1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000330786036 534880410 /nfs/dbraw/zinc/88/04/10/534880410.db2.gz UYSMMABPHVRBEL-UHFFFAOYSA-N 0 1 300.261 3.183 20 30 DGEDMN CC(C)(C)NC(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000161412788 526320931 /nfs/dbraw/zinc/32/09/31/526320931.db2.gz VMXQXZREHSOPBE-SECBINFHSA-N 0 1 307.806 3.472 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OC)c(C)o1 ZINC000352700972 526324773 /nfs/dbraw/zinc/32/47/73/526324773.db2.gz CWNQHDQMAGEWAT-UHFFFAOYSA-N 0 1 303.358 3.198 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc([S@@](C)=O)cc1 ZINC000352931482 526327750 /nfs/dbraw/zinc/32/77/50/526327750.db2.gz NWVUCZCJLCRMMA-OAQYLSRUSA-N 0 1 303.427 3.248 20 30 DGEDMN C=CCN1CC[C@@H](N(C)CCc2ccc3ccccc3c2)C1=O ZINC000337226088 526469289 /nfs/dbraw/zinc/46/92/89/526469289.db2.gz OYJCIXQFFAQXAJ-LJQANCHMSA-N 0 1 308.425 3.101 20 30 DGEDMN C=CCN1CC[C@@H](N(C)CCSc2ccc(C)c(C)c2)C1=O ZINC000337224313 526469616 /nfs/dbraw/zinc/46/96/16/526469616.db2.gz NJDUIKKSAQSRIF-QGZVFWFLSA-N 0 1 318.486 3.114 20 30 DGEDMN C=C(C)CN(C)[C@H](C)C(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000181260579 526506132 /nfs/dbraw/zinc/50/61/32/526506132.db2.gz RAMIJMLJMQTDOK-QGZVFWFLSA-N 0 1 313.445 3.447 20 30 DGEDMN C=CCNC(=O)CN(Cc1ccccc1O)[C@H](C)c1ccccc1 ZINC000176677303 526527754 /nfs/dbraw/zinc/52/77/54/526527754.db2.gz LLPQXHXSPOWLNN-MRXNPFEDSA-N 0 1 324.424 3.258 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)Cc1ccc(OCC)cc1 ZINC000346589554 526536697 /nfs/dbraw/zinc/53/66/97/526536697.db2.gz YJDJITPIDRUOPK-MRXNPFEDSA-N 0 1 318.461 3.330 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)C[C@@H](O)CC(F)(F)F ZINC000352953582 526571842 /nfs/dbraw/zinc/57/18/42/526571842.db2.gz QTNWGFFQMAREKK-AWEZNQCLSA-N 0 1 319.371 3.155 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(OCc2ccccc2)cc1 ZINC000180028178 526573127 /nfs/dbraw/zinc/57/31/27/526573127.db2.gz UUHSNXSNZRYBQJ-MRXNPFEDSA-N 0 1 324.424 3.218 20 30 DGEDMN C=CCNC(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000339352147 526613208 /nfs/dbraw/zinc/61/32/08/526613208.db2.gz JFWXNAKVGVCUNZ-OAHLLOKOSA-N 0 1 309.841 3.208 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1CN=c1nc(-c2ccccc2)[nH]s1 ZINC000435600932 526765384 /nfs/dbraw/zinc/76/53/84/526765384.db2.gz HKVBDJTWPCQSAU-KGLIPLIRSA-N 0 1 301.415 3.020 20 30 DGEDMN C=C(C)[C@H]1OCC[C@@H]1c1nc(Cc2nc3cccc(C)c3[nH]2)no1 ZINC000346624538 526835156 /nfs/dbraw/zinc/83/51/56/526835156.db2.gz BECVLUUJOQJODL-YVEFUNNKSA-N 0 1 324.384 3.294 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@H]2CC(=O)OC)cc1Cl ZINC000353748379 526868056 /nfs/dbraw/zinc/86/80/56/526868056.db2.gz RPBLSGIMQHXCPS-AWEZNQCLSA-N 0 1 323.820 3.432 20 30 DGEDMN C=CCOc1cccc(CN2CCC[C@@H]2[C@@H](O)C(F)(F)F)c1 ZINC000353529826 526897950 /nfs/dbraw/zinc/89/79/50/526897950.db2.gz RFBYSCDLIUEYHY-HUUCEWRRSA-N 0 1 315.335 3.139 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2ccc(/C=C/C)cc2OC)CC1 ZINC000341990604 526902537 /nfs/dbraw/zinc/90/25/37/526902537.db2.gz DEZDJYQXPQOPRY-LAQIPUCWSA-N 0 1 317.429 3.120 20 30 DGEDMN CC(C)(CCC#N)CNC(=O)c1ccc(Nc2ccncc2)cc1 ZINC000360404847 526996889 /nfs/dbraw/zinc/99/68/89/526996889.db2.gz BCZFSMOJDYVTHK-UHFFFAOYSA-N 0 1 322.412 3.307 20 30 DGEDMN C=CCSCCN[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000180839974 527005438 /nfs/dbraw/zinc/00/54/38/527005438.db2.gz BBDJSQFMNYHAMS-MRXNPFEDSA-N 0 1 305.422 3.157 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000343944123 527033938 /nfs/dbraw/zinc/03/39/38/527033938.db2.gz LPHPGZPAVLVWCW-UHFFFAOYSA-N 0 1 312.373 3.187 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000347745323 527044910 /nfs/dbraw/zinc/04/49/10/527044910.db2.gz NLXYYIXPSQZYBB-CRAIPNDOSA-N 0 1 315.461 3.087 20 30 DGEDMN C#CCOCCC(=O)Nc1cccc2c1CN(CCC(C)C)C2 ZINC000129865656 527068364 /nfs/dbraw/zinc/06/83/64/527068364.db2.gz SPKXASLJXCNPRN-UHFFFAOYSA-N 0 1 314.429 3.027 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000346009811 527154425 /nfs/dbraw/zinc/15/44/25/527154425.db2.gz BLMUKEJOXBLUKI-JKSUJKDBSA-N 0 1 319.449 3.461 20 30 DGEDMN C=CCCCCNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000353027399 527155975 /nfs/dbraw/zinc/15/59/75/527155975.db2.gz DFEQRUIBHCBDRZ-INIZCTEOSA-N 0 1 319.449 3.462 20 30 DGEDMN C=CC[C@H](CO)CNCc1c(F)ccc(Br)c1F ZINC000337236445 527186245 /nfs/dbraw/zinc/18/62/45/527186245.db2.gz CFNWDYHZWZUZAZ-VIFPVBQESA-N 0 1 320.177 3.002 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N1CCN(C2CCCCC2)CC1 ZINC000302083217 527229198 /nfs/dbraw/zinc/22/91/98/527229198.db2.gz DCICTERVBYXGSW-UHFFFAOYSA-N 0 1 311.429 3.216 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000342736678 527321067 /nfs/dbraw/zinc/32/10/67/527321067.db2.gz KGKNPLXUIBNKOF-CQSZACIVSA-N 0 1 317.458 3.385 20 30 DGEDMN CC(C)(O)[C@@H]1CCCN1Cc1ccc(C#N)cc1Br ZINC000305833676 527359493 /nfs/dbraw/zinc/35/94/93/527359493.db2.gz WBFYECGGABFNNP-AWEZNQCLSA-N 0 1 323.234 3.056 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC000356172098 527552426 /nfs/dbraw/zinc/55/24/26/527552426.db2.gz UTHWAPLTTDSTKH-LJQANCHMSA-N 0 1 321.380 3.496 20 30 DGEDMN C=CCN(C)Cc1cc(Br)c2c(c1)OCCCO2 ZINC000154784449 527573838 /nfs/dbraw/zinc/57/38/38/527573838.db2.gz KXKJYNDGPMJONS-UHFFFAOYSA-N 0 1 312.207 3.228 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC[C@@H]1c1ccsc1 ZINC000339140618 527633788 /nfs/dbraw/zinc/63/37/88/527633788.db2.gz GNUQZNJZLHXIGI-GOEBONIOSA-N 0 1 304.459 3.474 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCCOc1ccc2c(c1)OCO2 ZINC000346317667 527681371 /nfs/dbraw/zinc/68/13/71/527681371.db2.gz KBERTGQGXRFHGF-UHFFFAOYSA-N 0 1 318.417 3.446 20 30 DGEDMN CCCN(CC(=O)N(CCC#N)c1cccc(Cl)c1)C1CC1 ZINC000170900805 527885515 /nfs/dbraw/zinc/88/55/15/527885515.db2.gz SZBKHJQCQKOHAI-UHFFFAOYSA-N 0 1 319.836 3.461 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1SCC#N)C1CC1 ZINC000173325211 527898807 /nfs/dbraw/zinc/89/88/07/527898807.db2.gz LUXPWQDRASGERF-UHFFFAOYSA-N 0 1 303.431 3.115 20 30 DGEDMN CC(C)CN(Cc1n[nH]c(C(C)C)n1)Cc1ccc(C#N)cc1 ZINC000428443878 527953797 /nfs/dbraw/zinc/95/37/97/527953797.db2.gz AJKPBZXGSZLVQB-UHFFFAOYSA-N 0 1 311.433 3.458 20 30 DGEDMN CCCCCCCCCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000155539689 528122276 /nfs/dbraw/zinc/12/22/76/528122276.db2.gz WDGRBWGLTJFJRQ-AWEZNQCLSA-N 0 1 307.442 3.046 20 30 DGEDMN CCOc1c(CN[C@@H]2CC[C@H](C#N)C2)c(C)nn1CC(C)C ZINC000424200720 528168265 /nfs/dbraw/zinc/16/82/65/528168265.db2.gz REMZHDXSRXBOKK-LSDHHAIUSA-N 0 1 304.438 3.028 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(C)c2C2CC2)n1 ZINC000353859360 528239769 /nfs/dbraw/zinc/23/97/69/528239769.db2.gz RTUSAZPROAKXDY-NSHDSACASA-N 0 1 314.414 3.367 20 30 DGEDMN CCOCC(C)(C)NCc1ccc(C#N)cc1Br ZINC000293209928 528579233 /nfs/dbraw/zinc/57/92/33/528579233.db2.gz JFADVKAVNIRSJP-UHFFFAOYSA-N 0 1 311.223 3.225 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@@H](C)c1ccc(C)cc1C ZINC000341235735 528616130 /nfs/dbraw/zinc/61/61/30/528616130.db2.gz HAWOUPMALKUSFM-RDJZCZTQSA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN([C@H](C)c1ccccc1)C1CC1 ZINC000352837273 528618383 /nfs/dbraw/zinc/61/83/83/528618383.db2.gz DEZDHEJDFRLQNV-JKSUJKDBSA-N 0 1 313.445 3.220 20 30 DGEDMN CCOc1ccc2c(c1)CCN(CCOc1ccc(C#N)cc1)C2 ZINC000441096214 528619038 /nfs/dbraw/zinc/61/90/38/528619038.db2.gz WKNOOCKIJYSJIY-UHFFFAOYSA-N 0 1 322.408 3.394 20 30 DGEDMN CC(C)[C@@H](c1ccc(F)cc1)N(C)CC(=O)NC1(C#N)CCC1 ZINC000347103005 528642091 /nfs/dbraw/zinc/64/20/91/528642091.db2.gz YUSKYHZWVJREEU-KRWDZBQOSA-N 0 1 317.408 3.017 20 30 DGEDMN CCCn1cc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000359496694 528839716 /nfs/dbraw/zinc/83/97/16/528839716.db2.gz BFPQGCVNUZMGNW-LBPRGKRZSA-N 0 1 322.290 3.197 20 30 DGEDMN CCCn1cc(C(=O)[C@@H](C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000359496694 528839720 /nfs/dbraw/zinc/83/97/20/528839720.db2.gz BFPQGCVNUZMGNW-LBPRGKRZSA-N 0 1 322.290 3.197 20 30 DGEDMN CC[C@@H](C#N)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000171005390 529269285 /nfs/dbraw/zinc/26/92/85/529269285.db2.gz VCKPLKLRBSMGLL-IBGZPJMESA-N 0 1 321.424 3.351 20 30 DGEDMN COCC1(C#N)CCN(C[C@H](C)c2cc(F)cc(F)c2)CC1 ZINC000449310000 536801404 /nfs/dbraw/zinc/80/14/04/536801404.db2.gz DGUDUWLWBLVZFE-ZDUSSCGKSA-N 0 1 308.372 3.320 20 30 DGEDMN COCC1(C#N)CCN(C[C@@H](C)c2cc(F)cc(F)c2)CC1 ZINC000449309999 536801689 /nfs/dbraw/zinc/80/16/89/536801689.db2.gz DGUDUWLWBLVZFE-CYBMUJFWSA-N 0 1 308.372 3.320 20 30 DGEDMN CCOc1ccc(CC(=O)Nc2nc(-c3ccco3)n[nH]2)cc1 ZINC000073972995 545719857 /nfs/dbraw/zinc/71/98/57/545719857.db2.gz FNUZUBPSPXGTKS-UHFFFAOYSA-N 0 1 312.329 3.294 20 30 DGEDMN O=C(CCc1cnc[nH]1)Nc1cccc(C#Cc2ccccc2)c1 ZINC000192493111 546232206 /nfs/dbraw/zinc/23/22/06/546232206.db2.gz IHUYANSPUAFKCL-UHFFFAOYSA-N 0 1 315.376 3.381 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N[C@H](c1ccc(OC)cc1)C(C)C ZINC000302914467 546439554 /nfs/dbraw/zinc/43/95/54/546439554.db2.gz IWCDVDYFIQDFOQ-IBGZPJMESA-N 0 1 318.461 3.407 20 30 DGEDMN O=C(CCc1cnc[nH]1)Nc1ccccc1C#Cc1ccccc1 ZINC000356266575 546689881 /nfs/dbraw/zinc/68/98/81/546689881.db2.gz AFZVHNYCUAOIFN-UHFFFAOYSA-N 0 1 315.376 3.381 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000667327822 547153784 /nfs/dbraw/zinc/15/37/84/547153784.db2.gz YRIAROCEOGQLOA-MSOLQXFVSA-N 0 1 313.445 3.011 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N1CCC[C@@H](c2cc(C)n[nH]2)C1 ZINC000669828432 547691020 /nfs/dbraw/zinc/69/10/20/547691020.db2.gz MVEMSDFCIWQJMU-OAHLLOKOSA-N 0 1 308.385 3.176 20 30 DGEDMN C=C(C)[C@H](CO)N1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 ZINC000678049131 548600953 /nfs/dbraw/zinc/60/09/53/548600953.db2.gz FGSVTORAYVMFKG-MNOVXSKESA-N 0 1 320.380 3.278 20 30 DGEDMN C(#Cc1ccccc1)CN[C@H](Cn1cccn1)c1ccccc1 ZINC000683017589 549416060 /nfs/dbraw/zinc/41/60/60/549416060.db2.gz NVNMFDSOFDPIHA-HXUWFJFHSA-N 0 1 301.393 3.266 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1[nH]nc2ccccc21 ZINC000685442348 549761282 /nfs/dbraw/zinc/76/12/82/549761282.db2.gz KUMCMQCPEMNPFW-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN Cc1ccccc1OCCN1CCN(c2ccc(C#N)cc2)CC1 ZINC000731031036 574542157 /nfs/dbraw/zinc/54/21/57/574542157.db2.gz XKMMXHDSYYUHTP-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN Cc1cccc(C=Nn2c(=O)c3ccccc3[nH]c2=S)c1C ZINC000731150664 574543948 /nfs/dbraw/zinc/54/39/48/574543948.db2.gz CUQJKNQOCOJIHM-UHFFFAOYSA-N 0 1 309.394 3.184 20 30 DGEDMN CCCOc1ccc(C=NNc2ccc(F)cn2)cc1OC ZINC000731318171 574546917 /nfs/dbraw/zinc/54/69/17/574546917.db2.gz GZWMCBUFBBGIIH-UHFFFAOYSA-N 0 1 303.337 3.464 20 30 DGEDMN Fc1ccc(N=NCc2ccc(Br)s2)nc1 ZINC000731320162 574547097 /nfs/dbraw/zinc/54/70/97/574547097.db2.gz WGALIHUWZKJHFS-UHFFFAOYSA-N 0 1 300.156 3.491 20 30 DGEDMN COc1ccc(OC(F)F)c(CN=Nc2ccc(F)cn2)c1 ZINC000732924812 574588097 /nfs/dbraw/zinc/58/80/97/574588097.db2.gz KNHFXSSCFCNWDQ-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN C#CCOc1ccc(C(C)=NN=c2[nH]c3ccccc3[nH]2)cc1 ZINC000733201363 574607432 /nfs/dbraw/zinc/60/74/32/574607432.db2.gz SDVAHGBEDKMJOI-UHFFFAOYSA-N 0 1 304.353 3.411 20 30 DGEDMN C#CCCOc1ccc(CNCc2c(C)nn(CC)c2C)cc1 ZINC000733327308 574616242 /nfs/dbraw/zinc/61/62/42/574616242.db2.gz RKXQVNZVXKNODM-UHFFFAOYSA-N 0 1 311.429 3.212 20 30 DGEDMN COCCN(Cc1cccs1)Cc1ccc(OCC#N)cc1 ZINC000733610252 574632496 /nfs/dbraw/zinc/63/24/96/574632496.db2.gz HWMOJESVRCZCDP-UHFFFAOYSA-N 0 1 316.426 3.299 20 30 DGEDMN COc1ccc(C(C)N=Nc2cnnc3ccccc23)c(O)c1 ZINC000733750462 574636824 /nfs/dbraw/zinc/63/68/24/574636824.db2.gz ZZWQJHXIGGVHGW-UHFFFAOYSA-N 0 1 308.341 3.180 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNc1cc(F)c(F)cc1F)O2 ZINC000734301755 574655630 /nfs/dbraw/zinc/65/56/30/574655630.db2.gz NRFWOTBSSDBFHL-UHFFFAOYSA-N 0 1 322.242 3.119 20 30 DGEDMN C#CCCOc1ccc(CN[C@H](CO)c2cccc(F)c2)cc1 ZINC000734847545 574678819 /nfs/dbraw/zinc/67/88/19/574678819.db2.gz NURUEELXTISBBS-LJQANCHMSA-N 0 1 313.372 3.051 20 30 DGEDMN COc1cccc(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000735788611 574714977 /nfs/dbraw/zinc/71/49/77/574714977.db2.gz GATCAPMLCIDDIO-OAHLLOKOSA-N 0 1 319.364 3.299 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NCC2CCCCCC2)o1 ZINC000735940282 574722067 /nfs/dbraw/zinc/72/20/67/574722067.db2.gz YFXLBYIGDRAWCO-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN Clc1ccccc1CN1CCC(N=Nc2cccnn2)CC1 ZINC000735973768 574725209 /nfs/dbraw/zinc/72/52/09/574725209.db2.gz SRXOPACFVZWZIY-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN CC[C@H]1CCCC(N=Nc2oc(C(C)C)nc2-c2nn[nH]n2)C1 ZINC000736395629 574747491 /nfs/dbraw/zinc/74/74/91/574747491.db2.gz JCNGJMRPKLCGAU-JTQLQIEISA-N 0 1 317.397 3.346 20 30 DGEDMN CCc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2ccc(C#N)cc2)cc1 ZINC000737264771 574835297 /nfs/dbraw/zinc/83/52/97/574835297.db2.gz KOUQIXDEOQPYKF-GOSISDBHSA-N 0 1 308.381 3.378 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](F)CC)C1CCCCC1 ZINC001568345201 1190498540 /nfs/dbraw/zinc/49/85/40/1190498540.db2.gz FBYYDEPQGBUSPT-KBPBESRZSA-N 0 1 304.837 3.142 20 30 DGEDMN COc1ccc(CCNN=Cc2ccc(N(C)C)c(F)c2)cc1 ZINC000737440079 574843863 /nfs/dbraw/zinc/84/38/63/574843863.db2.gz UPLVWBMSXPRNHL-UHFFFAOYSA-N 0 1 315.392 3.067 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CCCc3ccccc3C2)cc1 ZINC000739105735 574892347 /nfs/dbraw/zinc/89/23/47/574892347.db2.gz OAHFCWOCBTZEAN-GOSISDBHSA-N 0 1 306.365 3.132 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2ccc3c(c2)OCCO3)n1 ZINC000739421182 574902854 /nfs/dbraw/zinc/90/28/54/574902854.db2.gz FXEQBLBJSPUSNQ-UHFFFAOYSA-N 0 1 323.274 3.318 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2cccc(C(F)(F)F)n2)o1 ZINC000739422180 574902760 /nfs/dbraw/zinc/90/27/60/574902760.db2.gz QPYMUTPXQHJNQD-UHFFFAOYSA-N 0 1 300.196 3.048 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC2CCC[C@@H]2c2ccc(F)cc2)n1 ZINC000741160223 574952492 /nfs/dbraw/zinc/95/24/92/574952492.db2.gz VDSPKGAITGLISD-CYBMUJFWSA-N 0 1 300.337 3.365 20 30 DGEDMN COc1ccc(C=NNc2cccc(C(F)(F)F)n2)cc1C#N ZINC000741763783 574969011 /nfs/dbraw/zinc/96/90/11/574969011.db2.gz YMJXVAZPFZWMAJ-UHFFFAOYSA-N 0 1 320.274 3.427 20 30 DGEDMN COCCOc1cccc(CNCc2cc(C#N)ccc2F)c1 ZINC000742382009 574994781 /nfs/dbraw/zinc/99/47/81/574994781.db2.gz XCVWUBPAXYAJAH-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN Cc1cc([C@@H]2CCCN2CCC(=O)Nc2cccc(C#N)c2)no1 ZINC000743258662 575040406 /nfs/dbraw/zinc/04/04/06/575040406.db2.gz IUCWXVWBLMYAJK-KRWDZBQOSA-N 0 1 324.384 3.020 20 30 DGEDMN COc1cc(CN=Nc2cccc(F)c2F)cc(OC)c1OC ZINC000743442620 575051704 /nfs/dbraw/zinc/05/17/04/575051704.db2.gz BONJTXYLUDCUAZ-UHFFFAOYSA-N 0 1 322.311 3.437 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3Cc4ccccc43)[nH]c21 ZINC000744159535 575075255 /nfs/dbraw/zinc/07/52/55/575075255.db2.gz DOYVYDCLZNPLAG-HUUCEWRRSA-N 0 1 301.349 3.388 20 30 DGEDMN C[C@H](NCc1nn(C)c2ccccc12)c1ccc(OCC#N)cc1 ZINC000729685633 575260582 /nfs/dbraw/zinc/26/05/82/575260582.db2.gz DPTCQZQNXNZGMF-AWEZNQCLSA-N 0 1 320.396 3.326 20 30 DGEDMN CC(N=Nc1ccc([N+](=O)[O-])cc1)c1nc2ccccc2n1C ZINC000744516400 575347399 /nfs/dbraw/zinc/34/73/99/575347399.db2.gz LRFQROBOCVHJDZ-UHFFFAOYSA-N 0 1 309.329 3.318 20 30 DGEDMN COc1cccc(C=NNc2ccc([N+](=O)[O-])cc2OC)c1 ZINC000746679872 575469720 /nfs/dbraw/zinc/46/97/20/575469720.db2.gz NLAGPVPALWUBFK-UHFFFAOYSA-N 0 1 301.302 3.058 20 30 DGEDMN Cc1nn(C)c2ncc(CN=Nc3nccc4sccc43)cc12 ZINC000747610363 575526948 /nfs/dbraw/zinc/52/69/48/575526948.db2.gz CIBTUEPXHDZWRG-UHFFFAOYSA-N 0 1 322.397 3.332 20 30 DGEDMN COc1cc(NC(C)=O)ccc1[C@@H](C)NCc1ccc(C#N)cc1 ZINC000747855958 575546897 /nfs/dbraw/zinc/54/68/97/575546897.db2.gz FJVCXYLSJCWMOL-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN CN1CCCc2cc(CN=Nc3nncc4ccccc43)ccc21 ZINC000748035197 575557692 /nfs/dbraw/zinc/55/76/92/575557692.db2.gz DGWRKCVYDDHRAM-UHFFFAOYSA-N 0 1 317.396 3.458 20 30 DGEDMN COCc1c2ccccc2oc1C(=O)Nc1cc(C#N)ccc1O ZINC000748410150 575585124 /nfs/dbraw/zinc/58/51/24/575585124.db2.gz CLYXWWTYRNNNKT-UHFFFAOYSA-N 0 1 322.320 3.409 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@H]2CCc3ccccc3C2)c1 ZINC000748411751 575585239 /nfs/dbraw/zinc/58/52/39/575585239.db2.gz YDWMNNYTHULMQP-ZDUSSCGKSA-N 0 1 306.365 3.398 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OC3CCC3)cc2)c1 ZINC000748410715 575585303 /nfs/dbraw/zinc/58/53/03/575585303.db2.gz PQTORNKAIXLYMZ-UHFFFAOYSA-N 0 1 308.337 3.447 20 30 DGEDMN Clc1ccc(CN=Nc2ccc(Br)cn2)cn1 ZINC000748788502 575606559 /nfs/dbraw/zinc/60/65/59/575606559.db2.gz RITSQRZHFSCSBB-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN N#Cc1ccc(CNCC(=O)Nc2ccc(Cl)cc2)s1 ZINC000749108856 575627133 /nfs/dbraw/zinc/62/71/33/575627133.db2.gz KCGHHFQVDQXXQV-UHFFFAOYSA-N 0 1 305.790 3.001 20 30 DGEDMN O=C(OCC#Cc1ccc(Cl)cc1)c1cccc2[nH]ncc21 ZINC000749199127 575634730 /nfs/dbraw/zinc/63/47/30/575634730.db2.gz YMFHCEAOAONLHY-UHFFFAOYSA-N 0 1 310.740 3.425 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H](C)C2CCCC2)c1 ZINC000750684454 575746173 /nfs/dbraw/zinc/74/61/73/575746173.db2.gz QMNQNABWFORAPY-WBMJQRKESA-N 0 1 314.385 3.169 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1cc(F)c(F)c(F)c1 ZINC000751133234 575771327 /nfs/dbraw/zinc/77/13/27/575771327.db2.gz NPTXPKXOUKPAQP-UHFFFAOYSA-N 0 1 311.219 3.164 20 30 DGEDMN Cc1ccc(N=NCC2(CN3CCOCC3)CCCCC2)nc1 ZINC000751133553 575771688 /nfs/dbraw/zinc/77/16/88/575771688.db2.gz PIPYIBPSXBCJDK-UHFFFAOYSA-N 0 1 316.449 3.070 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc3c(c2)CCN3C)c(O)c1 ZINC000751536517 575789871 /nfs/dbraw/zinc/78/98/71/575789871.db2.gz VJYQCRSDBGAESP-YWEYNIOJSA-N 0 1 309.365 3.289 20 30 DGEDMN C#CCCN(CCOC)Cc1ccccc1OCC(F)(F)F ZINC000852751956 620646347 /nfs/dbraw/zinc/64/63/47/620646347.db2.gz KJDOZAGACXFVED-UHFFFAOYSA-N 0 1 315.335 3.099 20 30 DGEDMN CCCCCC(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000916923729 620649615 /nfs/dbraw/zinc/64/96/15/620649615.db2.gz NFSVYGPCIDTAFV-CYBMUJFWSA-N 0 1 302.374 3.313 20 30 DGEDMN N#CC(C(=O)CC1CC1)C(=O)Nc1ccc(Cl)cc1Cl ZINC000916932429 620650217 /nfs/dbraw/zinc/65/02/17/620650217.db2.gz VDNXFMHEMQUKKZ-JTQLQIEISA-N 0 1 311.168 3.441 20 30 DGEDMN C=CCN(Cc1cn2cc(Br)ccc2n1)C(C)C ZINC000917069234 620654856 /nfs/dbraw/zinc/65/48/56/620654856.db2.gz LKNDVOBADKRNGV-UHFFFAOYSA-N 0 1 308.223 3.493 20 30 DGEDMN COC(=O)[C@H]1C[C@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000852998268 620658620 /nfs/dbraw/zinc/65/86/20/620658620.db2.gz JRUGYAXAQRLVCZ-XAFXYSNZSA-N 0 1 302.157 3.070 20 30 DGEDMN Clc1cccnc1N=NCc1ccccc1N1CCOCC1 ZINC000917546521 620673613 /nfs/dbraw/zinc/67/36/13/620673613.db2.gz PDAZQMMUJKFNLR-UHFFFAOYSA-N 0 1 316.792 3.018 20 30 DGEDMN CCc1c2ccccc2oc1C(C)=NNc1ncnc2nc[nH]c21 ZINC000917555548 620675579 /nfs/dbraw/zinc/67/55/79/620675579.db2.gz UMPWNCXIEBUMCC-UHFFFAOYSA-N 0 1 320.356 3.498 20 30 DGEDMN Fc1ccc2c(c1)C(=N[N-]c1[nH]c3cc(F)c(F)cc3[nH+]1)CO2 ZINC000918152617 620699374 /nfs/dbraw/zinc/69/93/74/620699374.db2.gz MDWJTKHMTDFMAB-UHFFFAOYSA-N 0 1 318.258 3.189 20 30 DGEDMN Fc1ccc2c(c1)C(=NNc1nc3cc(F)c(F)cc3[nH]1)CO2 ZINC000918152617 620699376 /nfs/dbraw/zinc/69/93/76/620699376.db2.gz MDWJTKHMTDFMAB-UHFFFAOYSA-N 0 1 318.258 3.189 20 30 DGEDMN COC(=O)c1cc2ccccc2c(CN2CC[C@@](C)(C#N)C2)c1O ZINC000853599532 620714335 /nfs/dbraw/zinc/71/43/35/620714335.db2.gz RDMAHAPTAFVZFZ-IBGZPJMESA-N 0 1 324.380 3.068 20 30 DGEDMN CCCCCCCOC(=O)c1ccccc1NS(C)(=O)=O ZINC000918951090 620731550 /nfs/dbraw/zinc/73/15/50/620731550.db2.gz JJCLZCIJHAZHJG-UHFFFAOYSA-N 0 1 313.419 3.185 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC000892240101 617992803 /nfs/dbraw/zinc/99/28/03/617992803.db2.gz OEZDFMSPEMIZKJ-CYBMUJFWSA-N 0 1 306.431 3.175 20 30 DGEDMN CC[C@H](NCc1ccc(C#N)c(OC)c1)c1cccc(OC)n1 ZINC000926448950 618023205 /nfs/dbraw/zinc/02/32/05/618023205.db2.gz PPJBHDVVDNSQEK-HNNXBMFYSA-N 0 1 311.385 3.211 20 30 DGEDMN C=C1CCN(C(=O)NC[C@H](c2ccco2)N(CC)CC)CC1 ZINC000892411720 618059981 /nfs/dbraw/zinc/05/99/81/618059981.db2.gz ILIJSYFTLTZUDP-OAHLLOKOSA-N 0 1 305.422 3.024 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC2(CCC2)CO1)c1nc2ccccc2[nH]1 ZINC000892419267 618061620 /nfs/dbraw/zinc/06/16/20/618061620.db2.gz AVDVYVVMOCYICP-ZIAGYGMSSA-N 0 1 323.396 3.479 20 30 DGEDMN N#Cc1cccc(CNCc2cnc(CC(F)(F)F)s2)c1 ZINC000892546298 618105517 /nfs/dbraw/zinc/10/55/17/618105517.db2.gz GWSWWVKJHIYMQT-UHFFFAOYSA-N 0 1 311.332 3.409 20 30 DGEDMN CC(C)(C)SCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000155196625 620786816 /nfs/dbraw/zinc/78/68/16/620786816.db2.gz NIMFICSQMGNVFO-GFCCVEGCSA-N 0 1 319.430 3.041 20 30 DGEDMN C[C@@H](NCc1ccc(O[C@@H](C)C#N)cc1)C(=O)Nc1ccccc1 ZINC000112099887 620791457 /nfs/dbraw/zinc/79/14/57/620791457.db2.gz FWESCRZRGGTWFB-LSDHHAIUSA-N 0 1 323.396 3.094 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cn(C)nc2C2CC2)c1 ZINC000892973388 618375927 /nfs/dbraw/zinc/37/59/27/618375927.db2.gz QSXHITCRFYIPCS-KRWDZBQOSA-N 0 1 324.428 3.268 20 30 DGEDMN CC[C@H](NCC#Cc1ccccc1)[C@@H](O)c1c(F)cccc1F ZINC000893063208 618409381 /nfs/dbraw/zinc/40/93/81/618409381.db2.gz XTEUZPCLAZHQLZ-PKOBYXMFSA-N 0 1 315.363 3.418 20 30 DGEDMN CC[C@@H](NCC#Cc1ccccc1)[C@H](O)c1c(F)cccc1F ZINC000893063206 618409625 /nfs/dbraw/zinc/40/96/25/618409625.db2.gz XTEUZPCLAZHQLZ-MJGOQNOKSA-N 0 1 315.363 3.418 20 30 DGEDMN CC(=[NH+]n1cc(Br)cn1)[C@@H](C)CCCCC(=O)[O-] ZINC000814884589 618536423 /nfs/dbraw/zinc/53/64/23/618536423.db2.gz OJVNTFGGHQDSML-VIFPVBQESA-N 0 1 316.199 3.151 20 30 DGEDMN COc1cc(C(C)=NNc2ccc(Cl)cc2[N+](=O)[O-])on1 ZINC000814809769 618730955 /nfs/dbraw/zinc/73/09/55/618730955.db2.gz MOUVBZFPUMBSMJ-UHFFFAOYSA-N 0 1 310.697 3.081 20 30 DGEDMN CC[C@H](N[C@@H](C)CC(C)(C)C#N)c1nnc2n1CCCCC2 ZINC000924905226 618734499 /nfs/dbraw/zinc/73/44/99/618734499.db2.gz GZAWKSAAYLFGJK-KBPBESRZSA-N 0 1 303.454 3.374 20 30 DGEDMN Cn1ncc(CNCc2ccc(C#N)c(Cl)c2)c1C1CC1 ZINC000894270811 618747566 /nfs/dbraw/zinc/74/75/66/618747566.db2.gz IPQPIKXOTPMFDD-UHFFFAOYSA-N 0 1 300.793 3.112 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@](C)(CN2CC[C@](C)(C#N)C2)C1 ZINC000895450223 618786196 /nfs/dbraw/zinc/78/61/96/618786196.db2.gz DIWFNYAYDXDUSM-QZTJIDSGSA-N 0 1 321.465 3.259 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)[C@@H]1CCN1C(C)(C)C ZINC000895644888 618812153 /nfs/dbraw/zinc/81/21/53/618812153.db2.gz HKIZSCUREKSOLF-KRWDZBQOSA-N 0 1 313.445 3.170 20 30 DGEDMN C=CCC1(O)CCN(Cc2cccc(OC3CC3)c2F)CC1 ZINC000895834458 618839684 /nfs/dbraw/zinc/83/96/84/618839684.db2.gz LNRMPQHPPKHADS-UHFFFAOYSA-N 0 1 305.393 3.270 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000895978485 618857303 /nfs/dbraw/zinc/85/73/03/618857303.db2.gz VHDLEEGXMJVXRZ-IUODEOHRSA-N 0 1 319.836 3.363 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1nc(-c2cccc(OC)c2)cs1 ZINC000896034826 618864651 /nfs/dbraw/zinc/86/46/51/618864651.db2.gz HIRVFWQBWKRSAA-AWEZNQCLSA-N 0 1 318.442 3.235 20 30 DGEDMN Cc1nccc(N2CCO[C@H](c3ccc(C#N)cc3)C2)c1Cl ZINC000896872506 618973228 /nfs/dbraw/zinc/97/32/28/618973228.db2.gz HZGIJVVBNGFQAM-INIZCTEOSA-N 0 1 313.788 3.493 20 30 DGEDMN CN(Cc1cccc(OCCCC#N)c1)Cc1cccc(=O)[nH]1 ZINC000897392050 619102766 /nfs/dbraw/zinc/10/27/66/619102766.db2.gz KTKXWKJUIILFRQ-UHFFFAOYSA-N 0 1 311.385 3.102 20 30 DGEDMN Cc1cc(C2CCN(C(=O)c3c(C)cc(C#N)cc3C)CC2)n[nH]1 ZINC000897509723 619141585 /nfs/dbraw/zinc/14/15/85/619141585.db2.gz AQDAUVSOZIWJTB-UHFFFAOYSA-N 0 1 322.412 3.226 20 30 DGEDMN N#Cc1ccc([C@H]2CN(Cc3[nH]nc4ccccc43)CCO2)cc1 ZINC000897590806 619163995 /nfs/dbraw/zinc/16/39/95/619163995.db2.gz CDWIZCQIOLIIJB-LJQANCHMSA-N 0 1 318.380 3.008 20 30 DGEDMN N#Cc1ccc([C@H]2CN(CC3Cc4ccccc4C3)CCO2)cc1 ZINC000897596050 619164813 /nfs/dbraw/zinc/16/48/13/619164813.db2.gz LJYHSBCSEUMNQD-OAQYLSRUSA-N 0 1 318.420 3.347 20 30 DGEDMN Cc1cc(N=NCc2c(F)cccc2OC(F)(F)F)ncn1 ZINC000789017796 625327456 /nfs/dbraw/zinc/32/74/56/625327456.db2.gz KXKDMIFSDLNBIK-UHFFFAOYSA-N 0 1 314.242 3.269 20 30 DGEDMN Cc1ccc2nc(C=NNc3cnnc4ccccc43)cn2c1 ZINC000789018610 625327519 /nfs/dbraw/zinc/32/75/19/625327519.db2.gz XTFBEIHSPLWXBC-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN COc1cc(CN[C@@H](C)c2cnn(CC3CCC3)c2)ccc1C#N ZINC000898182767 619224008 /nfs/dbraw/zinc/22/40/08/619224008.db2.gz WBJRLJYWBSZBAD-AWEZNQCLSA-N 0 1 324.428 3.414 20 30 DGEDMN CC[C@@H](C#N)C(=O)N(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000898687559 619291006 /nfs/dbraw/zinc/29/10/06/619291006.db2.gz OWOHIRGMTFGART-VIFPVBQESA-N 0 1 324.306 3.090 20 30 DGEDMN C#CC[C@@H]1C(=O)N(c2cc(C)ccc2Br)N=C1C ZINC000899353811 619328352 /nfs/dbraw/zinc/32/83/52/619328352.db2.gz ZZHSWGZRZFTGMM-NSHDSACASA-N 0 1 305.175 3.120 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2cc(C)ccc2Br)c1=O ZINC000899353811 619328353 /nfs/dbraw/zinc/32/83/53/619328353.db2.gz ZZHSWGZRZFTGMM-NSHDSACASA-N 0 1 305.175 3.120 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cn(C)nc2C2CC2)c1 ZINC000899377956 619332386 /nfs/dbraw/zinc/33/23/86/619332386.db2.gz KKVSOFCLOYUQBE-KTKRTIGZSA-N 0 1 309.413 3.255 20 30 DGEDMN FC(F)(F)c1ccnc(NN=Cc2cnn(C3CCC3)c2)c1 ZINC000901250078 619480352 /nfs/dbraw/zinc/48/03/52/619480352.db2.gz MRSGAAKGRDKPGP-UHFFFAOYSA-N 0 1 309.295 3.468 20 30 DGEDMN C(=NN=c1[nH]c2ccncc2s1)c1cnn(CC2CCC2)c1 ZINC000901271009 619482849 /nfs/dbraw/zinc/48/28/49/619482849.db2.gz GIJDZBFQARIPJC-UHFFFAOYSA-N 0 1 312.402 3.134 20 30 DGEDMN C(=[NH+][N-]c1nc2ccncc2s1)c1cnn(CC2CCC2)c1 ZINC000901271009 619482852 /nfs/dbraw/zinc/48/28/52/619482852.db2.gz GIJDZBFQARIPJC-UHFFFAOYSA-N 0 1 312.402 3.134 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1cc(C(F)(F)F)ccc1Cl ZINC000901585945 619519291 /nfs/dbraw/zinc/51/92/91/619519291.db2.gz FUFCRLSIWNHYEG-UHFFFAOYSA-N 0 1 307.699 3.431 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ccc(O[C@@H](C)C(=O)[O-])cc2)C1 ZINC000902280821 619610522 /nfs/dbraw/zinc/61/05/22/619610522.db2.gz FTVFBMXXWUOZAA-LSDHHAIUSA-N 0 1 303.402 3.327 20 30 DGEDMN C[C@@H]1CC(N=NCCc2ccncc2)CN(Cc2ccccc2)C1 ZINC000789096447 625360000 /nfs/dbraw/zinc/36/00/00/625360000.db2.gz DYTRVFAGRUPAAP-QGZVFWFLSA-N 0 1 322.456 3.112 20 30 DGEDMN Cc1cc(C)c(O)c(CN2CCO[C@H](c3ccc(C#N)cc3)C2)c1 ZINC000902596560 619655262 /nfs/dbraw/zinc/65/52/62/619655262.db2.gz OPMKPMUUDLXYNR-IBGZPJMESA-N 0 1 322.408 3.454 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1cc(C#N)ccn1 ZINC000902887518 619688552 /nfs/dbraw/zinc/68/85/52/619688552.db2.gz ISJCOLSPAUXKAV-SASJJMKUSA-N 0 1 313.426 3.102 20 30 DGEDMN C[C@H](NCC1(C#N)CCC1)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000903035731 619705177 /nfs/dbraw/zinc/70/51/77/619705177.db2.gz ULOKSXMNWGXGNU-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@@H](N[C@@H]1Cc2ccccc2NC1=O)c1cccc(C#N)c1 ZINC000903069824 619715187 /nfs/dbraw/zinc/71/51/87/619715187.db2.gz JXXLRAJYRWRRSW-SJLPKXTDSA-N 0 1 305.381 3.162 20 30 DGEDMN CC[C@H](NCC1(C#N)CC1)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000903106061 619717517 /nfs/dbraw/zinc/71/75/17/619717517.db2.gz MBIVWHBXZFNUJU-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN CC(=O)N1CC[C@H](NCC2(C#N)CCCC2)c2ccccc2C1 ZINC000903108436 619718175 /nfs/dbraw/zinc/71/81/75/619718175.db2.gz CRDXNNAALKTSPZ-SFHVURJKSA-N 0 1 311.429 3.153 20 30 DGEDMN CCN(C(=O)CN[C@@H]1CCc2ccc(C#N)cc21)c1ccccc1 ZINC000903195496 619734197 /nfs/dbraw/zinc/73/41/97/619734197.db2.gz UKMJQGZHGKDSLY-LJQANCHMSA-N 0 1 319.408 3.188 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+]1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)[O-] ZINC000903402210 619773854 /nfs/dbraw/zinc/77/38/54/619773854.db2.gz NVQYMZYRIKIXGD-JQHSSLGASA-N 0 1 312.413 3.393 20 30 DGEDMN C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H](C(=O)[O-])[C@H](C)CC ZINC000903595988 619787304 /nfs/dbraw/zinc/78/73/04/619787304.db2.gz OZCKZABKWFYFHQ-IUODEOHRSA-N 0 1 306.362 3.082 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cn(C)nc2C(F)F)c1 ZINC000905077921 619967903 /nfs/dbraw/zinc/96/79/03/619967903.db2.gz JDEZYHNPSUZABD-FPLPWBNLSA-N 0 1 319.355 3.315 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)N(c1cccc(C#N)c1)C1CCC1 ZINC000906042188 620053169 /nfs/dbraw/zinc/05/31/69/620053169.db2.gz BDEJTAFCAVKZLP-SFHVURJKSA-N 0 1 311.429 3.317 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1 ZINC000906240949 620078680 /nfs/dbraw/zinc/07/86/80/620078680.db2.gz PKACXPLBMVTTPA-LBPRGKRZSA-N 0 1 321.384 3.180 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000907266065 620147885 /nfs/dbraw/zinc/14/78/85/620147885.db2.gz VRVLQEVVFSLGJB-PSTGCABASA-N 0 1 324.384 3.005 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC000907816195 620175105 /nfs/dbraw/zinc/17/51/05/620175105.db2.gz RQXSSHHLFYOTEK-HNNXBMFYSA-N 0 1 313.445 3.090 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000908638588 620214100 /nfs/dbraw/zinc/21/41/00/620214100.db2.gz PGZRTLITSXGFJZ-KRWDZBQOSA-N 0 1 323.396 3.217 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1ccc(O)c(Cl)c1 ZINC000908641900 620214630 /nfs/dbraw/zinc/21/46/30/620214630.db2.gz NRSSLCYLWOJDOJ-ZDUSSCGKSA-N 0 1 307.777 3.186 20 30 DGEDMN C=CCC1(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CCCCC1 ZINC000909221937 620246212 /nfs/dbraw/zinc/24/62/12/620246212.db2.gz BGMFLDMLPCIMBW-CZUORRHYSA-N 0 1 301.434 3.471 20 30 DGEDMN Cc1c(C=NNc2ccccc2F)c(=O)n(-c2ccccc2)n1C ZINC000912808971 620407768 /nfs/dbraw/zinc/40/77/68/620407768.db2.gz AQZJIEWYKYPIEL-UHFFFAOYSA-N 0 1 324.359 3.070 20 30 DGEDMN O=[N+]([O-])c1ccccc1C=NNc1nc2cc(F)c(F)cc2[nH]1 ZINC000912817842 620408712 /nfs/dbraw/zinc/40/87/12/620408712.db2.gz XWKSNWUTESBHSZ-UHFFFAOYSA-N 0 1 317.255 3.195 20 30 DGEDMN O=[N+]([O-])c1ccccc1C=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1 ZINC000912817842 620408713 /nfs/dbraw/zinc/40/87/13/620408713.db2.gz XWKSNWUTESBHSZ-UHFFFAOYSA-N 0 1 317.255 3.195 20 30 DGEDMN N#Cc1ccc(F)c(COC(=O)c2cc(-c3ccco3)n[nH]2)c1 ZINC000913302302 620434659 /nfs/dbraw/zinc/43/46/59/620434659.db2.gz UXOJZCPNJBJYPJ-UHFFFAOYSA-N 0 1 311.272 3.037 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCOC4(CCCC4)C3)[nH]c2c1 ZINC000913717789 620474274 /nfs/dbraw/zinc/47/42/74/620474274.db2.gz FFBKNGZUTYEUBE-CYBMUJFWSA-N 0 1 324.384 3.112 20 30 DGEDMN CC(C)[C@H](C#N)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000152993869 620530830 /nfs/dbraw/zinc/53/08/30/620530830.db2.gz PULAHVVKAJZUCL-AWEZNQCLSA-N 0 1 310.357 3.054 20 30 DGEDMN COc1ccc(C(C)N=Nc2cnn(C)c2)cc1Br ZINC000761864161 620533094 /nfs/dbraw/zinc/53/30/94/620533094.db2.gz FQJZITKITBPOAT-UHFFFAOYSA-N 0 1 323.194 3.027 20 30 DGEDMN CC[C@H](C)OCC(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000153381667 620557465 /nfs/dbraw/zinc/55/74/65/620557465.db2.gz HGTLDWHNQWULTI-AAEUAGOBSA-N 0 1 315.398 3.196 20 30 DGEDMN Cc1cc(NN=Cc2c[nH]nc2-c2ccc(F)cc2)nc(C)n1 ZINC000915967924 620609673 /nfs/dbraw/zinc/60/96/73/620609673.db2.gz PUHDYODSMFOELQ-UHFFFAOYSA-N 0 1 310.336 3.069 20 30 DGEDMN Clc1ccc(C=NNCCN2CCCCC2)c(Cl)c1 ZINC000915973536 620610179 /nfs/dbraw/zinc/61/01/79/620610179.db2.gz KKWNIONMFJTRBO-UHFFFAOYSA-N 0 1 300.233 3.403 20 30 DGEDMN Cn1ncc2c1nc[nH+]c2[N-]N=Cc1csc2ccccc12 ZINC000915961264 620611031 /nfs/dbraw/zinc/61/10/31/620611031.db2.gz CPSPFISTEHUIKF-UHFFFAOYSA-N 0 1 308.370 3.024 20 30 DGEDMN Cn1ncc2c1ncnc2NN=Cc1csc2ccccc12 ZINC000915961264 620611033 /nfs/dbraw/zinc/61/10/33/620611033.db2.gz CPSPFISTEHUIKF-UHFFFAOYSA-N 0 1 308.370 3.024 20 30 DGEDMN C=C(Br)CNC1(c2cccc(C#N)c2)CCOCC1 ZINC000852565623 620630202 /nfs/dbraw/zinc/63/02/02/620630202.db2.gz PHZPAZVIIISDFL-UHFFFAOYSA-N 0 1 321.218 3.062 20 30 DGEDMN C[C@H](NCc1csc(C#N)c1)c1ccc2c(c1)OCCO2 ZINC000921487782 620857989 /nfs/dbraw/zinc/85/79/89/620857989.db2.gz WVWPUSJYOZPKQX-NSHDSACASA-N 0 1 300.383 3.242 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1sc(SCC(C)C)nc1C ZINC000855027819 620863983 /nfs/dbraw/zinc/86/39/83/620863983.db2.gz NEMJPEOOLVLIJX-SNVBAGLBSA-N 0 1 314.476 3.364 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2c(C)cccc2C)CC1 ZINC000855214052 620880932 /nfs/dbraw/zinc/88/09/32/620880932.db2.gz IQWXUWYOUULRGX-LJQANCHMSA-N 0 1 324.468 3.312 20 30 DGEDMN C=CCC[C@H](NC(=O)N[C@@H]1CC[N@@H+](C)[C@H]1C)c1ccccc1 ZINC000921561803 620909549 /nfs/dbraw/zinc/90/95/49/620909549.db2.gz LMAUARJRPDWUJY-UAGQMJEPSA-N 0 1 301.434 3.086 20 30 DGEDMN C=CC[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccncc1 ZINC000921746559 620943725 /nfs/dbraw/zinc/94/37/25/620943725.db2.gz FAKOPHMARQJNGQ-SFHVURJKSA-N 0 1 309.413 3.190 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](C3CCCCC3)C2)CC1 ZINC000856305474 620982942 /nfs/dbraw/zinc/98/29/42/620982942.db2.gz QDHCGINENXELGE-LJQANCHMSA-N 0 1 316.489 3.151 20 30 DGEDMN C[C@@H]1CCC[C@@H](CNCc2cc(C#N)ccc2Br)O1 ZINC000922249733 621012646 /nfs/dbraw/zinc/01/26/46/621012646.db2.gz KKQDZRYPMJXZHI-RISCZKNCSA-N 0 1 323.234 3.368 20 30 DGEDMN CC1(c2ccc(CNCc3csc(C#N)c3)cc2)OCCO1 ZINC000922298345 621018919 /nfs/dbraw/zinc/01/89/19/621018919.db2.gz HJOJJWXYNBORLB-UHFFFAOYSA-N 0 1 314.410 3.129 20 30 DGEDMN CC(C)c1c(Cl)ncnc1NN=Cc1cn(C)nc1Cl ZINC000857209741 621068413 /nfs/dbraw/zinc/06/84/13/621068413.db2.gz NAXWQCVETMGOCW-UHFFFAOYSA-N 0 1 313.192 3.086 20 30 DGEDMN COc1cc(C)cc(CNCc2ccc(C)c(C#N)c2)c1OC ZINC000922760150 621095469 /nfs/dbraw/zinc/09/54/69/621095469.db2.gz JEOBVIFATWPUIZ-UHFFFAOYSA-N 0 1 310.397 3.482 20 30 DGEDMN COc1ccc(CNCc2ccc(C)c(C#N)c2)c(F)c1OC ZINC000922760759 621095848 /nfs/dbraw/zinc/09/58/48/621095848.db2.gz NDQGINZXKNAICV-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN N#Cc1ccc(CNCC(=O)N2CCCCc3ccccc32)cc1 ZINC000922831156 621105180 /nfs/dbraw/zinc/10/51/80/621105180.db2.gz FYPFGOPTJGKIDB-UHFFFAOYSA-N 0 1 319.408 3.017 20 30 DGEDMN COc1ccc(CNCc2cc(C#N)cs2)c(C)c1OC ZINC000922972850 621141835 /nfs/dbraw/zinc/14/18/35/621141835.db2.gz RLPOYWXGAWXQKE-UHFFFAOYSA-N 0 1 302.399 3.235 20 30 DGEDMN COc1ccc(CN[C@]2(C)CCOc3ccccc32)cc1C#N ZINC000923027028 621149408 /nfs/dbraw/zinc/14/94/08/621149408.db2.gz ZMJRAAAUURRWPS-LJQANCHMSA-N 0 1 308.381 3.354 20 30 DGEDMN CC(C)CN(CCC#N)CC1(CNC(=O)OC(C)(C)C)CC1 ZINC000838782918 621280119 /nfs/dbraw/zinc/28/01/19/621280119.db2.gz GBVGLZVLBAEPFM-UHFFFAOYSA-N 0 1 309.454 3.163 20 30 DGEDMN Cc1ccc(COC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1C#N ZINC000859566238 621292156 /nfs/dbraw/zinc/29/21/56/621292156.db2.gz GFQVHMPYJBPVAN-KFWWJZLASA-N 0 1 300.402 3.171 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CCC1 ZINC000838866223 621293149 /nfs/dbraw/zinc/29/31/49/621293149.db2.gz UCNTZDYXILVABI-IAQYHMDHSA-N 0 1 318.804 3.101 20 30 DGEDMN Oc1c(Cl)cccc1C=NNC(=S)NCc1ccccc1 ZINC000753161555 621429251 /nfs/dbraw/zinc/42/92/51/621429251.db2.gz RGVKDRMATXIQTA-UHFFFAOYSA-N 0 1 319.817 3.044 20 30 DGEDMN CCC[C@@H](OCC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000860853355 621450533 /nfs/dbraw/zinc/45/05/33/621450533.db2.gz HPBRMINWAQDPKR-HZPDHXFCSA-N 0 1 313.397 3.201 20 30 DGEDMN C[C@]1(C#N)CCCN(Cc2cccc(Br)c2O)C1 ZINC000753586687 621451724 /nfs/dbraw/zinc/45/17/24/621451724.db2.gz JAZPRDZUBKWZKN-CQSZACIVSA-N 0 1 309.207 3.280 20 30 DGEDMN CC(C)c1cccc2c1OCCC2=NNc1ncnc2nc[nH]c21 ZINC000753924407 621471792 /nfs/dbraw/zinc/47/17/92/621471792.db2.gz RMRPTMUJXPHZAY-UHFFFAOYSA-N 0 1 322.372 3.075 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc2c(c1)CCCC2 ZINC000753929764 621472236 /nfs/dbraw/zinc/47/22/36/621472236.db2.gz RWSVHMLABBUNLR-QZTJIDSGSA-N 0 1 310.445 3.149 20 30 DGEDMN CCCCCCCCC(=O)N1CCC(N2CCOCC2)CC1 ZINC000862215075 621562266 /nfs/dbraw/zinc/56/22/66/621562266.db2.gz HJMLOTNCEKWOFV-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN C[C@@H](CCC(C)(C)C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000755613784 621581224 /nfs/dbraw/zinc/58/12/24/621581224.db2.gz IPILALFPCMRWNW-QWHCGFSZSA-N 0 1 301.390 3.191 20 30 DGEDMN Cc1cccc(-c2n[nH]cc2C=NNc2cc(C)nc(C)n2)c1 ZINC000755648524 621583588 /nfs/dbraw/zinc/58/35/88/621583588.db2.gz OYMVHHVPUAKTBF-UHFFFAOYSA-N 0 1 306.373 3.238 20 30 DGEDMN CCn1nc(C)c(C=NNc2ccc(Br)cn2)c1C ZINC000755689643 621585797 /nfs/dbraw/zinc/58/57/97/621585797.db2.gz RIRLXHOJBJTRHR-UHFFFAOYSA-N 0 1 322.210 3.123 20 30 DGEDMN N#CC[C@@H]1CCC[N@@H+](Cc2c([O-])cccc2Br)C1 ZINC000862408150 621586230 /nfs/dbraw/zinc/58/62/30/621586230.db2.gz WEMAZAPTJWKNQD-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](Cc2c([O-])cccc2Br)C1 ZINC000862408150 621586232 /nfs/dbraw/zinc/58/62/32/621586232.db2.gz WEMAZAPTJWKNQD-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2c(O)cccc2Br)C1 ZINC000862408150 621586233 /nfs/dbraw/zinc/58/62/33/621586233.db2.gz WEMAZAPTJWKNQD-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN Fc1ccc(N=NCc2c[nH]nc2-c2c(F)cccc2F)nc1 ZINC000755704679 621586984 /nfs/dbraw/zinc/58/69/84/621586984.db2.gz DTZRBVOLKNBXCT-UHFFFAOYSA-N 0 1 317.274 3.335 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2ccc(OC)c(Br)c2)C1 ZINC000862442501 621590651 /nfs/dbraw/zinc/59/06/51/621590651.db2.gz CWYCBEFHKCWDTI-GFCCVEGCSA-N 0 1 308.219 3.303 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3n2C)C1 ZINC000862445124 621591337 /nfs/dbraw/zinc/59/13/37/621591337.db2.gz MGAANPLAVSPFRS-GFCCVEGCSA-N 0 1 321.346 3.437 20 30 DGEDMN COC[C@@H](C)C=Nn1cnnc1SCc1ccc(Cl)cc1 ZINC000755886849 621600076 /nfs/dbraw/zinc/60/00/76/621600076.db2.gz PVNRPGBYWPHTAV-NSHDSACASA-N 0 1 324.837 3.340 20 30 DGEDMN FC(F)(F)c1cnc(N=NC2CN3C[C@@H]2CCC3)c(Cl)c1 ZINC000863066213 621636716 /nfs/dbraw/zinc/63/67/16/621636716.db2.gz ZUDOCMUPXSGDFT-QMMMGPOBSA-N 0 1 318.730 3.247 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC000756551534 621646982 /nfs/dbraw/zinc/64/69/82/621646982.db2.gz NSNKCZCNXWATCZ-BBRMVZONSA-N 0 1 305.805 3.084 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](C)CSc1ccccc1 ZINC000756555646 621647651 /nfs/dbraw/zinc/64/76/51/621647651.db2.gz QPMOKHBPYXCVSA-HOTGVXAUSA-N 0 1 317.454 3.056 20 30 DGEDMN Cc1ccc2c(c1)C(=NNc1ccncc1Cl)CCCO2 ZINC000756837532 621667005 /nfs/dbraw/zinc/66/70/05/621667005.db2.gz BCWCILYTCVXRKV-UHFFFAOYSA-N 0 1 301.777 3.454 20 30 DGEDMN C#CCN(C(=O)c1ccc(CN(CC)CC)o1)C1CCCC1 ZINC000757180321 621691957 /nfs/dbraw/zinc/69/19/57/621691957.db2.gz CXSLWLHIFOCGIG-UHFFFAOYSA-N 0 1 302.418 3.139 20 30 DGEDMN CC[C@@H]1CC[C@@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000757297765 621698700 /nfs/dbraw/zinc/69/87/00/621698700.db2.gz UJSOADXKCLEALJ-WZRBSPASSA-N 0 1 300.383 3.430 20 30 DGEDMN CN(CCNC(=O)OC(C)(C)C)CCC1(C#N)CCCCC1 ZINC000839619247 621700969 /nfs/dbraw/zinc/70/09/69/621700969.db2.gz RPHJMEHNKLZWPP-UHFFFAOYSA-N 0 1 309.454 3.307 20 30 DGEDMN CS[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000757410326 621707782 /nfs/dbraw/zinc/70/77/82/621707782.db2.gz RLKSPBQGTGQVJY-ZJUUUORDSA-N 0 1 310.806 3.129 20 30 DGEDMN CCCN(Cn1cccc(C#N)c1=O)[C@@H]1CCc2ccccc21 ZINC000758203231 621765578 /nfs/dbraw/zinc/76/55/78/621765578.db2.gz LIYLFNCYSQZIIU-GOSISDBHSA-N 0 1 307.397 3.077 20 30 DGEDMN CCCN(Cc1ccc(C)cc1)Cn1ccc(C)c(C#N)c1=O ZINC000758198433 621765776 /nfs/dbraw/zinc/76/57/76/621765776.db2.gz WADUAMSYYNKYEZ-UHFFFAOYSA-N 0 1 309.413 3.207 20 30 DGEDMN CCCN(Cn1ccc(C)c(C#N)c1=O)[C@@H]1CCc2ccccc21 ZINC000758203087 621765893 /nfs/dbraw/zinc/76/58/93/621765893.db2.gz KATHWMXOUUTADP-LJQANCHMSA-N 0 1 321.424 3.385 20 30 DGEDMN C=C(C)CNC(=S)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000758921665 621811262 /nfs/dbraw/zinc/81/12/62/621811262.db2.gz LHHMOMUIQNDNCA-KRWDZBQOSA-N 0 1 317.502 3.033 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C(=O)c1c(Cl)[nH]nc1C1CC1 ZINC000864791941 621814506 /nfs/dbraw/zinc/81/45/06/621814506.db2.gz CMDLWPAFFVFXFU-UHFFFAOYSA-N 0 1 314.776 3.084 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2ccc(CC#N)cc2)cc1F ZINC000759269370 621842079 /nfs/dbraw/zinc/84/20/79/621842079.db2.gz AFIFIGNZGKAMMN-UHFFFAOYSA-N 0 1 311.360 3.206 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3cncc(F)c3)[nH]c21 ZINC000759368268 621850416 /nfs/dbraw/zinc/85/04/16/621850416.db2.gz WIVSWTKKPWCWER-GEXIGZQTSA-N 0 1 320.327 3.295 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3cncc(F)c3)[nH]c21 ZINC000759368271 621850714 /nfs/dbraw/zinc/85/07/14/621850714.db2.gz WIVSWTKKPWCWER-VBROQKIQSA-N 0 1 320.327 3.295 20 30 DGEDMN N#C[C@]1(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)CCCOC1 ZINC000865076468 621855138 /nfs/dbraw/zinc/85/51/38/621855138.db2.gz KJQYLENXQHLAQO-GOSISDBHSA-N 0 1 324.384 3.089 20 30 DGEDMN COc1cccc2c1CCC2=NNc1cnnc2ccccc21 ZINC000759667779 621880911 /nfs/dbraw/zinc/88/09/11/621880911.db2.gz VAFVCURDWVSIAP-UHFFFAOYSA-N 0 1 304.353 3.401 20 30 DGEDMN Cc1ccc2c(CC(=O)Nc3cc(C#N)ccc3O)coc2c1 ZINC000759713625 621885230 /nfs/dbraw/zinc/88/52/30/621885230.db2.gz PBCSFRLDDGZSKE-UHFFFAOYSA-N 0 1 306.321 3.500 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1CC12CCC2 ZINC000759832794 621893866 /nfs/dbraw/zinc/89/38/66/621893866.db2.gz IIJAJFRTJBHFPO-QWRGUYRKSA-N 0 1 302.761 3.178 20 30 DGEDMN C#CC(C)(C)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000759864187 621898349 /nfs/dbraw/zinc/89/83/49/621898349.db2.gz FAHAAQGTHVGRBR-UHFFFAOYSA-N 0 1 309.394 3.433 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1CN=Nc1ncc(F)cn1 ZINC000760246748 621923916 /nfs/dbraw/zinc/92/39/16/621923916.db2.gz FHCBFABTGHZPPH-UHFFFAOYSA-N 0 1 301.108 3.074 20 30 DGEDMN CC(C)c1cccc(O[C@@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760332094 621932696 /nfs/dbraw/zinc/93/26/96/621932696.db2.gz FFWKTYXPIODQAO-XJKSGUPXSA-N 0 1 309.369 3.244 20 30 DGEDMN N#CC(C(=O)CCCCC(=O)c1ccccc1)c1ccncn1 ZINC000760333647 621932857 /nfs/dbraw/zinc/93/28/57/621932857.db2.gz MASPOVROICKARV-HNNXBMFYSA-N 0 1 307.353 3.096 20 30 DGEDMN N#CC(C(=O)CCc1ccc2ccccc2c1)c1ccncn1 ZINC000760339711 621933286 /nfs/dbraw/zinc/93/32/86/621933286.db2.gz KMFUWVVYFXYWKV-QGZVFWFLSA-N 0 1 301.349 3.439 20 30 DGEDMN C#CCCN(CC#C)[C@H]1CCOc2ccc(Br)cc21 ZINC000760839264 621967890 /nfs/dbraw/zinc/96/78/90/621967890.db2.gz BWOIGSUUIMPIKU-HNNXBMFYSA-N 0 1 318.214 3.231 20 30 DGEDMN CCCC[C@@H](/N=C\c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000761015007 621979683 /nfs/dbraw/zinc/97/96/83/621979683.db2.gz JBRMDOFVXQNQGO-YZYPPFLQSA-N 0 1 320.433 3.389 20 30 DGEDMN Clc1ccc2c(c1)C(=NNC1=N[C@@H]3CCCC[C@@H]3N1)CCC2 ZINC000761273340 621998789 /nfs/dbraw/zinc/99/87/89/621998789.db2.gz QAVHSQKTBHEURQ-IYBDPMFKSA-N 0 1 316.836 3.240 20 30 DGEDMN Cn1cc(N=NCc2ccccc2OCc2ccccc2)cn1 ZINC000761863615 622035052 /nfs/dbraw/zinc/03/50/52/622035052.db2.gz BDWLANYHSTZMCS-UHFFFAOYSA-N 0 1 306.369 3.445 20 30 DGEDMN Cn1cc(N=NCc2ccc(SC(F)(F)F)cc2)cn1 ZINC000761871042 622036274 /nfs/dbraw/zinc/03/62/74/622036274.db2.gz XEXKLJUCFROMOF-UHFFFAOYSA-N 0 1 300.309 3.478 20 30 DGEDMN Cc1cc(Cl)c2c(c1)C(=NNc1cnn(C)c1)CCCO2 ZINC000761881965 622036788 /nfs/dbraw/zinc/03/67/88/622036788.db2.gz SJXSZLNAJOEHAV-UHFFFAOYSA-N 0 1 304.781 3.371 20 30 DGEDMN N#Cc1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])s1 ZINC000762438357 622075797 /nfs/dbraw/zinc/07/57/97/622075797.db2.gz MUUUSHRDEXKUED-KTAJNNJTSA-N 0 1 300.295 3.130 20 30 DGEDMN N#Cc1ccc(Cn2cc(N[C@H]3CCCc4[nH]ncc43)cn2)cc1 ZINC000866495255 622127689 /nfs/dbraw/zinc/12/76/89/622127689.db2.gz ZHRICGWFEXYQHE-KRWDZBQOSA-N 0 1 318.384 3.016 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc(C3CC3)nc3ccc(F)cc32)NO1 ZINC000763260920 622133836 /nfs/dbraw/zinc/13/38/36/622133836.db2.gz ULTJPBKDAANCDK-SECBINFHSA-N 0 1 313.332 3.103 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2nc(C(F)(F)F)n3c2CCCC3)C1 ZINC000866560288 622137760 /nfs/dbraw/zinc/13/77/60/622137760.db2.gz RNUXHNWVTDYIKM-GHMZBOCLSA-N 0 1 312.339 3.020 20 30 DGEDMN Clc1cccc(N2CCCC2)c1CN=Nc1cccnn1 ZINC000763362330 622141063 /nfs/dbraw/zinc/14/10/63/622141063.db2.gz DOKCOEACSYGUAG-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN C=C(Cl)CNCc1ccc(OC)c2oc(C(=O)OC)cc21 ZINC000763433405 622145588 /nfs/dbraw/zinc/14/55/88/622145588.db2.gz RFTIXIRZGJMLJB-UHFFFAOYSA-N 0 1 309.749 3.070 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc(Oc3ccccc3)ccc2O)NO1 ZINC000765375103 622261761 /nfs/dbraw/zinc/26/17/61/622261761.db2.gz FBJXOGBATKEDHE-NSHDSACASA-N 0 1 312.325 3.037 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc(-c3ccco3)nc3ccccc32)NO1 ZINC000765455967 622266187 /nfs/dbraw/zinc/26/61/87/622266187.db2.gz SNAJRUMAIGJBRU-NSHDSACASA-N 0 1 321.336 3.347 20 30 DGEDMN CN(C)c1nc(Cl)c(C=NN=c2[nH]c3ccccc3[nH]2)s1 ZINC000767062039 622372400 /nfs/dbraw/zinc/37/24/00/622372400.db2.gz HBPALMRVYXTOME-UHFFFAOYSA-N 0 1 320.809 3.185 20 30 DGEDMN C[C@@H]1CC(=NOCC(F)(F)F)CN(Cc2ccccc2)C1 ZINC000767388691 622395349 /nfs/dbraw/zinc/39/53/49/622395349.db2.gz VJXGBUJVOASCTO-GFCCVEGCSA-N 0 1 300.324 3.463 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccccc2)[C@H](C)C2CC2)CC1 ZINC000869881249 622412731 /nfs/dbraw/zinc/41/27/31/622412731.db2.gz XYDMOUHSCSJMMO-MRXNPFEDSA-N 0 1 310.441 3.163 20 30 DGEDMN Fc1cc(F)c(C=NNc2nncc3ccccc32)cc1F ZINC000767748833 622419905 /nfs/dbraw/zinc/41/99/05/622419905.db2.gz LQKVMTCSCWLIJV-UHFFFAOYSA-N 0 1 302.259 3.493 20 30 DGEDMN CN(C)c1nccc(N=NCc2cnc(C3CCCC3)s2)n1 ZINC000767784696 622421902 /nfs/dbraw/zinc/42/19/02/622421902.db2.gz GMTLGBLNVPQGBN-UHFFFAOYSA-N 0 1 316.434 3.103 20 30 DGEDMN COCc1cccc(C=NNc2ccc(OC)cc2[N+](=O)[O-])c1 ZINC000767800472 622422356 /nfs/dbraw/zinc/42/23/56/622422356.db2.gz ATOPGNAJGQEHKV-UHFFFAOYSA-N 0 1 315.329 3.196 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C(C)(C)C ZINC000870162282 622437918 /nfs/dbraw/zinc/43/79/18/622437918.db2.gz DOIJAQHHYHRAQE-CHWSQXEVSA-N 0 1 301.390 3.191 20 30 DGEDMN N#CC(C(=O)CCc1ccc(C(F)F)cc1)c1ccncn1 ZINC000870286531 622451955 /nfs/dbraw/zinc/45/19/55/622451955.db2.gz AVNWPIMMOGEPBF-ZDUSSCGKSA-N 0 1 301.296 3.223 20 30 DGEDMN CCOc1ccc(C=NNc2cc(C#N)cc(Cl)n2)c(O)c1 ZINC000768377190 622453140 /nfs/dbraw/zinc/45/31/40/622453140.db2.gz YJRYVRAXAYGNOF-UHFFFAOYSA-N 0 1 316.748 3.157 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCc3ccc(O)cc3C2)cc1 ZINC000768867146 622481614 /nfs/dbraw/zinc/48/16/14/622481614.db2.gz DLSGRLZOXUZXNE-UHFFFAOYSA-N 0 1 321.424 3.300 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)c1 ZINC000870690973 622496874 /nfs/dbraw/zinc/49/68/74/622496874.db2.gz IJKXQQNWTMIWRB-CHWSQXEVSA-N 0 1 312.291 3.337 20 30 DGEDMN CC(C)C[C@@H](C)OCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071762 622543412 /nfs/dbraw/zinc/54/34/12/622543412.db2.gz DTLRIMCCCSKWGY-GFCCVEGCSA-N 0 1 314.389 3.214 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCCC(F)(F)C3)[nH]c2c1 ZINC000871071883 622543637 /nfs/dbraw/zinc/54/36/37/622543637.db2.gz GLEHHASDYMIFHQ-JTQLQIEISA-N 0 1 304.300 3.199 20 30 DGEDMN CC[C@@H](CC(F)(F)F)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871072411 622543682 /nfs/dbraw/zinc/54/36/82/622543682.db2.gz LFPNEKGDKPABHC-VIFPVBQESA-N 0 1 310.279 3.352 20 30 DGEDMN CCCCCCCOc1ccc(CN(C)[C@H]2CCNC2=O)cc1 ZINC000770381816 622627668 /nfs/dbraw/zinc/62/76/68/622627668.db2.gz PSXMNONJZJIHCP-SFHVURJKSA-N 0 1 318.461 3.356 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(O)c(F)c2)ccc1[N+](=O)[O-] ZINC000770574715 622647261 /nfs/dbraw/zinc/64/72/61/622647261.db2.gz ZFQAIPVOVZUINH-UTCJRWHESA-N 0 1 317.272 3.344 20 30 DGEDMN C=CCOc1ccc(CN(C)[C@H]2CCCCNC2=O)cc1Cl ZINC000123828755 622717727 /nfs/dbraw/zinc/71/77/27/622717727.db2.gz TWNMNILICOXWNR-HNNXBMFYSA-N 0 1 322.836 3.005 20 30 DGEDMN CC(C)[C@H]1CCC2=NN(c3cc(C#N)cc(Cl)n3)C(=O)[C@H]2C1 ZINC000871954185 622743529 /nfs/dbraw/zinc/74/35/29/622743529.db2.gz GESOUNYBZXOPHA-RYUDHWBXSA-N 0 1 316.792 3.382 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ncoc2-c2ccc(F)cc2)c1 ZINC000771438344 622759883 /nfs/dbraw/zinc/75/98/83/622759883.db2.gz QUUMKLPPNICQGR-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN CN1CCN(c2ccc(C=[NH+][N-]c3cccc(F)c3)cc2)CC1 ZINC000771564482 622776511 /nfs/dbraw/zinc/77/65/11/622776511.db2.gz SLJGPAMSYMBBHY-UHFFFAOYSA-N 0 1 312.392 3.024 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1cnccc1C ZINC000771563939 622776548 /nfs/dbraw/zinc/77/65/48/622776548.db2.gz OOABXNNAWKJPJN-UHFFFAOYSA-N 0 1 300.318 3.143 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H](CCNCCC#N)Cc1ccccc1 ZINC000872220364 622861040 /nfs/dbraw/zinc/86/10/40/622861040.db2.gz MQPLIPVFSWCISU-INIZCTEOSA-N 0 1 317.433 3.016 20 30 DGEDMN CN1CCN(Cc2ccccc2C(C)(C)C#N)Cc2cccnc21 ZINC000872318576 622890655 /nfs/dbraw/zinc/89/06/55/622890655.db2.gz JFSDMPCXZOYDBQ-UHFFFAOYSA-N 0 1 320.440 3.335 20 30 DGEDMN CCC(=NN=c1cc(C)[nH]c(N)n1)c1ccccc1OC(F)F ZINC000872421741 622911948 /nfs/dbraw/zinc/91/19/48/622911948.db2.gz WNECPRXFPOPVHS-UHFFFAOYSA-N 0 1 321.331 3.195 20 30 DGEDMN C/C(=C\C(C)(C)C)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000772816867 622945036 /nfs/dbraw/zinc/94/50/36/622945036.db2.gz XRYGTWDVJXDSPJ-JXPAYYINSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C\C(C)(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000772816867 622945040 /nfs/dbraw/zinc/94/50/40/622945040.db2.gz XRYGTWDVJXDSPJ-JXPAYYINSA-N 0 1 320.414 3.163 20 30 DGEDMN Cc1cc(C#N)cc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)n1 ZINC000872647007 622947199 /nfs/dbraw/zinc/94/71/99/622947199.db2.gz BRLKZZZMBOXVRJ-HNNXBMFYSA-N 0 1 309.417 3.492 20 30 DGEDMN Cc1ccnc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)c1C#N ZINC000872649091 622948437 /nfs/dbraw/zinc/94/84/37/622948437.db2.gz SIZQZECTGUHDFC-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H]1CCc2c1cccc2OC ZINC000772986911 622961684 /nfs/dbraw/zinc/96/16/84/622961684.db2.gz FSXNDZKRUXOKBW-GOSISDBHSA-N 0 1 307.393 3.484 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccnc(Cl)c2)cc1C#N ZINC000773081216 622980362 /nfs/dbraw/zinc/98/03/62/622980362.db2.gz CGUQWCQHMAZZOZ-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN CC[C@H](CSC)N(C)Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000773255608 623005172 /nfs/dbraw/zinc/00/51/72/623005172.db2.gz MNHRSIGKIFGORY-MRXNPFEDSA-N 0 1 314.458 3.453 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000773317034 623011468 /nfs/dbraw/zinc/01/14/68/623011468.db2.gz SVKQSGCYCFDVQV-MRXNPFEDSA-N 0 1 306.837 3.253 20 30 DGEDMN C#CCCCCC(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000773404270 623023701 /nfs/dbraw/zinc/02/37/01/623023701.db2.gz DPIPWSWICPLPIY-UHFFFAOYSA-N 0 1 320.190 3.458 20 30 DGEDMN C[C@@H](NCc1cccc(F)c1C#N)[C@@H](C)NC(=O)OC(C)(C)C ZINC000873130836 623044163 /nfs/dbraw/zinc/04/41/63/623044163.db2.gz HHGXTKGCPDGNES-VXGBXAGGSA-N 0 1 321.396 3.089 20 30 DGEDMN CC(C)[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000773980685 623095548 /nfs/dbraw/zinc/09/55/48/623095548.db2.gz UJRFOOMSYVBDIT-SRVKXCTJSA-N 0 1 304.777 3.279 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ccc(C(C)=O)o2)cc1 ZINC000774049797 623102098 /nfs/dbraw/zinc/10/20/98/623102098.db2.gz BSRKOMHXCMGMJS-AWEZNQCLSA-N 0 1 324.336 3.006 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1ccc(Cl)cn1 ZINC000774398576 623140276 /nfs/dbraw/zinc/14/02/76/623140276.db2.gz FTGUHCLUOQGAFX-UHFFFAOYSA-N 0 1 303.749 3.358 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000873499220 623160542 /nfs/dbraw/zinc/16/05/42/623160542.db2.gz ZZHIAHCVFWKVLQ-CHWSQXEVSA-N 0 1 302.374 3.169 20 30 DGEDMN CCn1ccc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1C ZINC000873504774 623161987 /nfs/dbraw/zinc/16/19/87/623161987.db2.gz LTNPFOSUJFLVAD-AWEZNQCLSA-N 0 1 306.369 3.491 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000873522627 623166768 /nfs/dbraw/zinc/16/67/68/623166768.db2.gz FHEHERSVYRSIPJ-HZPDHXFCSA-N 0 1 300.402 3.146 20 30 DGEDMN C#C[C@H](NCc1cc(C)cc(Br)c1)[C@@H]1CCCO1 ZINC000775013254 623215793 /nfs/dbraw/zinc/21/57/93/623215793.db2.gz MDQVFHZJILVBJG-GJZGRUSLSA-N 0 1 308.219 3.028 20 30 DGEDMN Cc1cc2c(c(C)c1Cl)C(=NNc1nccn1C)CCO2 ZINC000790299897 625630923 /nfs/dbraw/zinc/63/09/23/625630923.db2.gz LNFPZTMPHISOKA-UHFFFAOYSA-N 0 1 304.781 3.289 20 30 DGEDMN Cn1ccnc1N=NCc1ccc(OCc2ccccc2)cc1 ZINC000790305196 625632838 /nfs/dbraw/zinc/63/28/38/625632838.db2.gz DGBGRDXONLDVMI-UHFFFAOYSA-N 0 1 306.369 3.445 20 30 DGEDMN C[C@H](NCC(=O)NCCC#N)c1ccccc1Oc1ccccc1 ZINC000775330121 623254713 /nfs/dbraw/zinc/25/47/13/623254713.db2.gz FTGINAJSYYEQGT-HNNXBMFYSA-N 0 1 323.396 3.159 20 30 DGEDMN CCCCC[C@H](C)NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000775335665 623255216 /nfs/dbraw/zinc/25/52/16/623255216.db2.gz OIGXPWQFUNIKKQ-GJZGRUSLSA-N 0 1 301.434 3.294 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)c(OC)c(OCC)c1)[C@H]1CCCO1 ZINC000775340529 623256208 /nfs/dbraw/zinc/25/62/08/623256208.db2.gz HBMRVJBEUAFBRQ-HUUCEWRRSA-N 0 1 323.820 3.018 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)cc2cccnc21)[C@@H]1CCCO1 ZINC000775342644 623257504 /nfs/dbraw/zinc/25/75/04/623257504.db2.gz RWEFOXTUMRCUNZ-CVEARBPZSA-N 0 1 300.789 3.159 20 30 DGEDMN CCC#C[C@H](C)N[C@@H](C)c1cc(F)ccc1N1CCC(O)CC1 ZINC000775356595 623259359 /nfs/dbraw/zinc/25/93/59/623259359.db2.gz JAZYFBPNFAOMBK-GJZGRUSLSA-N 0 1 318.436 3.239 20 30 DGEDMN C#CC[C@H](NCC(=O)N1CCCc2ccccc21)c1ccccc1 ZINC000775399606 623268372 /nfs/dbraw/zinc/26/83/72/623268372.db2.gz DQHIDYOLJNFOSM-IBGZPJMESA-N 0 1 318.420 3.320 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)C1CCC(C)CC1)c1ccccc1 ZINC000775403709 623269457 /nfs/dbraw/zinc/26/94/57/623269457.db2.gz IGKIXAZEZQWOFQ-NKELODKYSA-N 0 1 312.457 3.378 20 30 DGEDMN CC[C@H]([NH2+]C1CCN(CC#N)CC1)c1cc(Cl)ccc1[O-] ZINC000775501781 623281861 /nfs/dbraw/zinc/28/18/61/623281861.db2.gz LHMDPFQHRZTZMP-HNNXBMFYSA-N 0 1 307.825 3.074 20 30 DGEDMN C#C[C@H](N[C@@H]1CCc2c1cccc2Br)[C@H]1CCCO1 ZINC000775572668 623291560 /nfs/dbraw/zinc/29/15/60/623291560.db2.gz SVGOPPYVOBSZDF-ARFHVFGLSA-N 0 1 320.230 3.207 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(SC)c(OC)c1)[C@H]1CCCO1 ZINC000775575156 623292816 /nfs/dbraw/zinc/29/28/16/623292816.db2.gz VAPWJBWPZKKACE-AEGPPILISA-N 0 1 305.443 3.249 20 30 DGEDMN Cc1nc(C2CCCCC2)sc1C(=O)NC1=NO[C@@H](C)C1 ZINC000775618264 623297428 /nfs/dbraw/zinc/29/74/28/623297428.db2.gz DHMFSLYUTJQVGI-VIFPVBQESA-N 0 1 307.419 3.351 20 30 DGEDMN C#C[C@H](NCc1ccccc1Oc1cccnc1)[C@@H]1CCCO1 ZINC000775800123 623333923 /nfs/dbraw/zinc/33/39/23/623333923.db2.gz RDAPGFKJUKKPKY-HKUYNNGSSA-N 0 1 308.381 3.144 20 30 DGEDMN C#CCOc1ccccc1NC(=O)CN(C)CCCCCC ZINC000775946100 623355705 /nfs/dbraw/zinc/35/57/05/623355705.db2.gz LWYNTTWRLVBHQR-UHFFFAOYSA-N 0 1 302.418 3.149 20 30 DGEDMN Cc1cc(C)nc(N=NC2CN(Cc3ccccc3)CC[C@H]2C)n1 ZINC000776176706 623391784 /nfs/dbraw/zinc/39/17/84/623391784.db2.gz DDUFFTXLMDOIIZ-CQSZACIVSA-N 0 1 323.444 3.403 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1cnn(C(C)C)c1 ZINC000776189715 623393926 /nfs/dbraw/zinc/39/39/26/623393926.db2.gz PNMSCEQCMKIMFX-UHFFFAOYSA-N 0 1 300.362 3.087 20 30 DGEDMN Cc1cc2ccccc2nc1NN=Cc1ccc(C(=O)N(C)C)[nH]1 ZINC000776782423 623462687 /nfs/dbraw/zinc/46/26/87/623462687.db2.gz KPWGVUZEUBXTED-UHFFFAOYSA-N 0 1 321.384 3.019 20 30 DGEDMN C#CCOc1cccc(Cl)c1C=NNc1cc(C)nc(C)n1 ZINC000777431036 623523272 /nfs/dbraw/zinc/52/32/72/623523272.db2.gz QBNXDNKZKOBSLL-UHFFFAOYSA-N 0 1 314.776 3.205 20 30 DGEDMN O=C(/C=C/c1ccc([N+](=O)[O-])cc1F)c1cc(F)ccc1O ZINC000777920156 623585258 /nfs/dbraw/zinc/58/52/58/623585258.db2.gz WZEGBPKILFRINS-GORDUTHDSA-N 0 1 305.236 3.475 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCC1([C@H](O)C(C)C)CC1 ZINC000778179231 623621165 /nfs/dbraw/zinc/62/11/65/623621165.db2.gz RIHJWJJYVNDPIT-QGZVFWFLSA-N 0 1 321.848 3.239 20 30 DGEDMN C[C@@H](CCC#N)CN1CCC[C@H]1C(=O)OCc1ccccc1 ZINC000876562219 623645840 /nfs/dbraw/zinc/64/58/40/623645840.db2.gz WQUITPDFWAVEJW-RDJZCZTQSA-N 0 1 300.402 3.134 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCC(C)(C)SC)c1 ZINC000876622587 623662254 /nfs/dbraw/zinc/66/22/54/623662254.db2.gz ZGYBZGXNGGAZFE-UHFFFAOYSA-N 0 1 304.459 3.070 20 30 DGEDMN CC(C)OCCNN=Cc1c(Cl)cccc1N1CCCC1 ZINC000778549732 623667587 /nfs/dbraw/zinc/66/75/87/623667587.db2.gz DFMLNYRBAPHUMY-UHFFFAOYSA-N 0 1 309.841 3.289 20 30 DGEDMN CC[C@H](C(=O)OC[C@H](C#N)Cc1ccccc1)N(CC)CC ZINC000778666239 623680691 /nfs/dbraw/zinc/68/06/91/623680691.db2.gz UMRVJNQASGBGMJ-DLBZAZTESA-N 0 1 302.418 3.032 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(CC)C[C@@H]1CCOC1 ZINC000779154351 623734864 /nfs/dbraw/zinc/73/48/64/623734864.db2.gz RFVHKXQNUBDXEX-AWEZNQCLSA-N 0 1 307.821 3.210 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)CC1(O)CCCC1 ZINC000779157977 623736412 /nfs/dbraw/zinc/73/64/12/623736412.db2.gz LVZLYBDZAPLTFN-UHFFFAOYSA-N 0 1 307.821 3.089 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H]2COCC[C@H]21 ZINC000779164951 623737579 /nfs/dbraw/zinc/73/75/79/623737579.db2.gz WFRCEDZHDNADOW-WMLDXEAASA-N 0 1 319.832 3.353 20 30 DGEDMN C[C@@H](CNCc1cc(C#N)cnc1Cl)N(C)c1ccccc1 ZINC000876931597 623747658 /nfs/dbraw/zinc/74/76/58/623747658.db2.gz QGIGDGRFPNKDKF-ZDUSSCGKSA-N 0 1 314.820 3.221 20 30 DGEDMN C[C@H](COc1ccccc1)NCc1cc(C#N)cnc1Cl ZINC000876976481 623758930 /nfs/dbraw/zinc/75/89/30/623758930.db2.gz OUBYGCDIMBLZAZ-GFCCVEGCSA-N 0 1 301.777 3.164 20 30 DGEDMN C=CCc1cc(CNCc2cc(C)ns2)cc(OC)c1OC ZINC000877021920 623768019 /nfs/dbraw/zinc/76/80/19/623768019.db2.gz MLXPTRVSQFOWER-UHFFFAOYSA-N 0 1 318.442 3.487 20 30 DGEDMN COc1cccc(NN=Cc2cc(Cl)c(OC)c(OC)c2)n1 ZINC000779819530 623813435 /nfs/dbraw/zinc/81/34/35/623813435.db2.gz SNPMFHKFLNVFKI-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN COc1cccc(N=NCc2ccc(Br)cc2F)n1 ZINC000779819719 623814321 /nfs/dbraw/zinc/81/43/21/623814321.db2.gz URUVAXCBMIZFPK-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@@H](OCC2CC2)C1 ZINC000877425692 623876311 /nfs/dbraw/zinc/87/63/11/623876311.db2.gz DQRCPVLDFCUQLV-GOSISDBHSA-N 0 1 313.445 3.015 20 30 DGEDMN COc1ccc(N=NC(C)c2cnn(-c3ccccc3)c2C)nc1 ZINC000780267244 623900635 /nfs/dbraw/zinc/90/06/35/623900635.db2.gz INMLBLFQVYGMEA-UHFFFAOYSA-N 0 1 321.384 3.420 20 30 DGEDMN COc1ccc(N=NC2CN(Cc3ccccc3)CC[C@H]2C)nc1 ZINC000780268110 623900848 /nfs/dbraw/zinc/90/08/48/623900848.db2.gz RCZNXWGLXQNXKS-OAHLLOKOSA-N 0 1 324.428 3.400 20 30 DGEDMN COc1ccc(N=NCc2ccccc2OC(F)(F)F)nc1 ZINC000780270145 623901696 /nfs/dbraw/zinc/90/16/96/623901696.db2.gz CQPFGHDINBZTDG-UHFFFAOYSA-N 0 1 311.263 3.435 20 30 DGEDMN CCC(N=Nc1ccc(OC)cn1)c1cccc([N+](=O)[O-])c1 ZINC000780270217 623901725 /nfs/dbraw/zinc/90/17/25/623901725.db2.gz DXQXAVKJDWGCEF-UHFFFAOYSA-N 0 1 300.318 3.225 20 30 DGEDMN C=CC[C@@H]1N(Cc2cn(C[C@H]3CCCO3)nn2)CCCC1(C)C ZINC000877480571 623903767 /nfs/dbraw/zinc/90/37/67/623903767.db2.gz ZMUBZQZTDUFBCG-SJORKVTESA-N 0 1 318.465 3.024 20 30 DGEDMN CCC[C@@]1(COC)CCCN1Cc1cc(C#N)cnc1Cl ZINC000877481823 623904850 /nfs/dbraw/zinc/90/48/50/623904850.db2.gz UKBVPURERIIRIO-INIZCTEOSA-N 0 1 307.825 3.388 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(C#N)cn2)cc1Cl ZINC000780329574 623911999 /nfs/dbraw/zinc/91/19/99/623911999.db2.gz KUYLLUYPUJBJBJ-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN CCN(Cc1cc(C#N)cnc1Cl)[C@H]1CCOC(C)(C)C1 ZINC000877538561 623931692 /nfs/dbraw/zinc/93/16/92/623931692.db2.gz DQGHXVBYYZEJJX-AWEZNQCLSA-N 0 1 307.825 3.386 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000877538598 623931785 /nfs/dbraw/zinc/93/17/85/623931785.db2.gz FKDQSSJCSGEXCG-ZWKOTPCHSA-N 0 1 313.445 3.014 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000877543391 623935047 /nfs/dbraw/zinc/93/50/47/623935047.db2.gz GQEKVRQDDMTZOA-JKSUJKDBSA-N 0 1 305.372 3.101 20 30 DGEDMN CC[C@@H](COCC(F)(F)F)NCc1cc(C#N)cnc1Cl ZINC000877558530 623941653 /nfs/dbraw/zinc/94/16/53/623941653.db2.gz AXSXSUFQVYIUHA-NSHDSACASA-N 0 1 321.730 3.054 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccc(OC)nc2)c1 ZINC000780526269 623944188 /nfs/dbraw/zinc/94/41/88/623944188.db2.gz CJZLBPJXOPGMNH-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc([C@@H]3CCCOC3)cc2)c1 ZINC000780605304 623958536 /nfs/dbraw/zinc/95/85/36/623958536.db2.gz TYZHQDLUOWGMSH-MRXNPFEDSA-N 0 1 322.364 3.410 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H](CN2CC[C@](C)(C#N)C2)C1 ZINC000877637197 623972375 /nfs/dbraw/zinc/97/23/75/623972375.db2.gz QEVSGJBWXHFMKD-CRAIPNDOSA-N 0 1 321.465 3.259 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H](CN2CC[C@@](C)(C#N)C2)C1 ZINC000877637199 623972693 /nfs/dbraw/zinc/97/26/93/623972693.db2.gz QEVSGJBWXHFMKD-QAPCUYQASA-N 0 1 321.465 3.259 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1C(F)(F)F)c1ccnn1C ZINC000877763323 624027029 /nfs/dbraw/zinc/02/70/29/624027029.db2.gz VFNCMHTVQLYSCY-SNVBAGLBSA-N 0 1 308.307 3.161 20 30 DGEDMN CCN1CCN(c2ccc(NC[C@H](C)CCC#N)cc2F)CC1 ZINC000877766749 624027537 /nfs/dbraw/zinc/02/75/37/624027537.db2.gz KOEZHIFLQIEZIJ-OAHLLOKOSA-N 0 1 318.440 3.319 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(C#N)cc2F)cc1C#N ZINC000781423076 624069832 /nfs/dbraw/zinc/06/98/32/624069832.db2.gz PNIVSWNPZXUFIV-GFCCVEGCSA-N 0 1 309.344 3.428 20 30 DGEDMN C[C@H](NCc1n[nH]c(-c2ccccc2)n1)c1ccc(C#N)cc1F ZINC000781422994 624069852 /nfs/dbraw/zinc/06/98/52/624069852.db2.gz SFUMLSZYHPDFIQ-LBPRGKRZSA-N 0 1 321.359 3.333 20 30 DGEDMN C[C@H](NCc1nc(-c2ccccc2)n[nH]1)c1ccc(C#N)cc1F ZINC000781422994 624069855 /nfs/dbraw/zinc/06/98/55/624069855.db2.gz SFUMLSZYHPDFIQ-LBPRGKRZSA-N 0 1 321.359 3.333 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H]1CC2(CO1)CCOCC2 ZINC000877907080 624087174 /nfs/dbraw/zinc/08/71/74/624087174.db2.gz OVHNGTAIANLZCO-HNNXBMFYSA-N 0 1 307.459 3.322 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)Nc1ccc(C2CC2)c(C#N)c1 ZINC000882315528 625697243 /nfs/dbraw/zinc/69/72/43/625697243.db2.gz VTQLQYNIIOHQIE-ADLMAVQZSA-N 0 1 320.396 3.463 20 30 DGEDMN C#CCCCCCN(CC)CC(=O)Nc1ccccc1OC ZINC000877912687 624089856 /nfs/dbraw/zinc/08/98/56/624089856.db2.gz DIWVEMFRAANAIL-UHFFFAOYSA-N 0 1 302.418 3.149 20 30 DGEDMN FC(F)Sc1ccc(C=NNc2ncnc3nc[nH]c32)cc1 ZINC000781589911 624099108 /nfs/dbraw/zinc/09/91/08/624099108.db2.gz QBWHFVAYCUUUCB-UHFFFAOYSA-N 0 1 320.328 3.114 20 30 DGEDMN Cc1ccnc(NN=Cc2c(Cl)n(C)nc2C(F)(F)F)c1 ZINC000781593148 624100089 /nfs/dbraw/zinc/10/00/89/624100089.db2.gz SLLJSCJAOIMNCG-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN Fc1ccc2c(c1)OCCCC2N=Nc1ccncc1Cl ZINC000781596045 624100139 /nfs/dbraw/zinc/10/01/39/624100139.db2.gz XMRQIAIDLCBOGZ-UHFFFAOYSA-N 0 1 305.740 3.285 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@H]1CCCCN1CC1(CC#N)CC1 ZINC000878033333 624142378 /nfs/dbraw/zinc/14/23/78/624142378.db2.gz VCKNXIPWLLEMGV-CQSZACIVSA-N 0 1 307.438 3.059 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC(C)(C)C2)cc1 ZINC000781769836 624143379 /nfs/dbraw/zinc/14/33/79/624143379.db2.gz XDUCAYITLSHDQH-IUODEOHRSA-N 0 1 314.385 3.169 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000781861214 624158643 /nfs/dbraw/zinc/15/86/43/624158643.db2.gz IFMIATWJEHBCQW-MRXNPFEDSA-N 0 1 312.498 3.041 20 30 DGEDMN C#CCCCCCN[C@@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000878423706 624274782 /nfs/dbraw/zinc/27/47/82/624274782.db2.gz JKUCUEKNBSEVST-ZDUSSCGKSA-N 0 1 308.447 3.472 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Cl)c(N)c(Cl)c2)c1 ZINC000127130556 624285489 /nfs/dbraw/zinc/28/54/89/624285489.db2.gz AJPAESPXTYCUQN-UHFFFAOYSA-N 0 1 322.151 3.405 20 30 DGEDMN CC(=[NH+]Nc1ccccc1C(=O)[O-])c1ccc(N)cc1Cl ZINC000782900786 624288622 /nfs/dbraw/zinc/28/86/22/624288622.db2.gz VLLCWXBZRHCJJS-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN CC1(C)CCC[C@H](CN2CCC(C#N)(c3ccccn3)CC2)O1 ZINC000878557656 624307291 /nfs/dbraw/zinc/30/72/91/624307291.db2.gz LOLQJZPEHBWKDV-MRXNPFEDSA-N 0 1 313.445 3.286 20 30 DGEDMN C[C@H](OC(=O)Cc1[nH]nc2ccccc21)c1cccc(C#N)c1 ZINC000783157371 624318001 /nfs/dbraw/zinc/31/80/01/624318001.db2.gz QMPHAWQQBIKUBA-LBPRGKRZSA-N 0 1 305.337 3.281 20 30 DGEDMN CCCCNC(=O)OC[C@H]1CCCCN1CC1(CC#N)CC1 ZINC000878600096 624318510 /nfs/dbraw/zinc/31/85/10/624318510.db2.gz BGYPCOLTGSVFBV-OAHLLOKOSA-N 0 1 307.438 3.061 20 30 DGEDMN C#CC1CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)CC1 ZINC000878763616 624356221 /nfs/dbraw/zinc/35/62/21/624356221.db2.gz ISZDIZALYAMLQR-OKILXGFUSA-N 0 1 301.352 3.269 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@H](C)[C@H](C)O1 ZINC000783737572 624390056 /nfs/dbraw/zinc/39/00/56/624390056.db2.gz NTVDNOMYUWXYSS-OAGGEKHMSA-N 0 1 301.434 3.034 20 30 DGEDMN CC(C)[C@@H](C(=O)O[C@H](C)c1ccc(C#N)cc1)N1CCCC1 ZINC000783775318 624395296 /nfs/dbraw/zinc/39/52/96/624395296.db2.gz DMARERIYKIEOFB-PBHICJAKSA-N 0 1 300.402 3.283 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)C1(N(C)C)CCC1 ZINC000783874238 624403374 /nfs/dbraw/zinc/40/33/74/624403374.db2.gz GKGWKDLBLWQVKW-UHFFFAOYSA-N 0 1 302.418 3.007 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000790931293 625717755 /nfs/dbraw/zinc/71/77/55/625717755.db2.gz NMXGZZNIGUXRDH-PBHICJAKSA-N 0 1 302.418 3.224 20 30 DGEDMN C#C[C@](C)(CC)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000879034346 624413129 /nfs/dbraw/zinc/41/31/29/624413129.db2.gz APCLVNLGCMWMHP-OAHLLOKOSA-N 0 1 306.316 3.424 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@H]2[C@H](O)c2ccccc2)c(F)c1 ZINC000879240246 624457375 /nfs/dbraw/zinc/45/73/75/624457375.db2.gz LFARHZIWKZWLQQ-RBUKOAKNSA-N 0 1 310.372 3.395 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(CN2CCC[C@@](C)(C#N)C2)CC1 ZINC000784455161 624526429 /nfs/dbraw/zinc/52/64/29/624526429.db2.gz TYTFKILSSPMSIP-SFHVURJKSA-N 0 1 321.465 3.259 20 30 DGEDMN CC(C)[C@H](F)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000784491127 624533502 /nfs/dbraw/zinc/53/35/02/624533502.db2.gz ORKBZRZPGBYMIO-SKDRFNHKSA-N 0 1 314.719 3.121 20 30 DGEDMN C#CCCN1CCOc2cc(NC(=O)OC(C)(C)C)ccc2C1 ZINC000879404769 624535367 /nfs/dbraw/zinc/53/53/67/624535367.db2.gz SXACWUOVXVZEAL-UHFFFAOYSA-N 0 1 316.401 3.251 20 30 DGEDMN C[C@H](C(=O)N(C)c1ccccc1)N(C)CCc1cccc(C#N)c1 ZINC000879434771 624546442 /nfs/dbraw/zinc/54/64/42/624546442.db2.gz NLMGTNAVWHMQDU-MRXNPFEDSA-N 0 1 321.424 3.084 20 30 DGEDMN COC(=O)c1cc(CN(C)CCc2cccc(C#N)c2)cs1 ZINC000879432037 624546533 /nfs/dbraw/zinc/54/65/33/624546533.db2.gz AFMFVYNPTZGDQP-UHFFFAOYSA-N 0 1 314.410 3.081 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000879667889 624633181 /nfs/dbraw/zinc/63/31/81/624633181.db2.gz OVBDCWVQLBXBPI-UHFFFAOYSA-N 0 1 321.327 3.497 20 30 DGEDMN C[C@H](CN[C@H](C)c1ccc(C#N)cc1F)NC(=O)OC(C)(C)C ZINC000785488203 624676350 /nfs/dbraw/zinc/67/63/50/624676350.db2.gz IPQKUKNVFAEZBZ-VXGBXAGGSA-N 0 1 321.396 3.261 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CCc2nc[nH]c2C1)c1ccccc1 ZINC000880031594 624761362 /nfs/dbraw/zinc/76/13/62/624761362.db2.gz QSUNXFIPZJESOV-MRXNPFEDSA-N 0 1 309.413 3.435 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786535295 624913128 /nfs/dbraw/zinc/91/31/28/624913128.db2.gz PWQJNGZFMVJYMH-HUBLWGQQSA-N 0 1 320.820 3.347 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786535298 624913342 /nfs/dbraw/zinc/91/33/42/624913342.db2.gz PWQJNGZFMVJYMH-ZOWXZIJZSA-N 0 1 320.820 3.347 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C2CCCCCC2)c1 ZINC000786538308 624915209 /nfs/dbraw/zinc/91/52/09/624915209.db2.gz FKVDRDQUUIMOKK-KRWDZBQOSA-N 0 1 312.413 3.291 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786538265 624915246 /nfs/dbraw/zinc/91/52/46/624915246.db2.gz FEIRDLVIKNTXOO-INIZCTEOSA-N 0 1 300.402 3.146 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786549299 624918514 /nfs/dbraw/zinc/91/85/14/624918514.db2.gz QLYFDIJFBVPPHR-OAHLLOKOSA-N 0 1 300.402 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C)c1 ZINC000786552536 624920283 /nfs/dbraw/zinc/92/02/83/624920283.db2.gz NJPFNGYWQVKOJF-RDJZCZTQSA-N 0 1 314.429 3.392 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@@H]2CC[C@@H](C)C2)c1 ZINC000786552502 624920418 /nfs/dbraw/zinc/92/04/18/624920418.db2.gz NAXNMVGULDJFBH-BFYDXBDKSA-N 0 1 312.413 3.146 20 30 DGEDMN C#CCC1(O)CCN(Cc2cc(Br)ccc2C)CC1 ZINC000880483989 624966127 /nfs/dbraw/zinc/96/61/27/624966127.db2.gz NUBOXZXKSOWORY-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C1(F)CCCC1)c1ccccc1 ZINC000787034723 624972951 /nfs/dbraw/zinc/97/29/51/624972951.db2.gz BCBGPGGFBIOJKV-HUUCEWRRSA-N 0 1 316.376 3.245 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H]1CCCN1C1CC1 ZINC000787569691 625019620 /nfs/dbraw/zinc/01/96/20/625019620.db2.gz JTLYFRDFJURZLY-SFHVURJKSA-N 0 1 314.429 3.150 20 30 DGEDMN Cc1ccc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)c(C#N)n1 ZINC000882713832 625795925 /nfs/dbraw/zinc/79/59/25/625795925.db2.gz QAVXQTJGIAMCAG-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN CO[C@]1(C)CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000791563548 625825406 /nfs/dbraw/zinc/82/54/06/625825406.db2.gz MKCRDXKWAOPAGH-MRXNPFEDSA-N 0 1 308.809 3.243 20 30 DGEDMN [NH2+]=C(NCc1ccc([O-])c(Br)c1)Nc1ccccc1 ZINC000130761349 625900795 /nfs/dbraw/zinc/90/07/95/625900795.db2.gz ROOQHHVUYODYGN-UHFFFAOYSA-N 0 1 320.190 3.082 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc(-c2cc3ccccc3o2)n[nH]1 ZINC000792486264 625949267 /nfs/dbraw/zinc/94/92/67/625949267.db2.gz KYDFSMOOYFDKOX-UHFFFAOYSA-N 0 1 308.341 3.206 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000796387038 626045631 /nfs/dbraw/zinc/04/56/31/626045631.db2.gz XMGVMHWIJRJIBN-RFAUZJTJSA-N 0 1 304.781 3.333 20 30 DGEDMN N#CC(C(=O)[C@@H]1Cc2ccc(F)cc21)c1nc2ccccc2[nH]1 ZINC000796617335 626066587 /nfs/dbraw/zinc/06/65/87/626066587.db2.gz BLVNWPICDPSMIK-KGLIPLIRSA-N 0 1 305.312 3.218 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCCC1)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796631615 626068089 /nfs/dbraw/zinc/06/80/89/626068089.db2.gz HTKRABAHTXRWHA-HOTGVXAUSA-N 0 1 322.424 3.453 20 30 DGEDMN COC(=O)c1csc([C@@H](C)NCc2ccc(C#N)cc2)c1 ZINC000796693092 626074178 /nfs/dbraw/zinc/07/41/78/626074178.db2.gz DRWKHQXJJRHQPB-LLVKDONJSA-N 0 1 300.383 3.257 20 30 DGEDMN Cc1nc(C(C)C)oc1C(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000796749547 626078236 /nfs/dbraw/zinc/07/82/36/626078236.db2.gz VTGSIGQSESEKLV-CYBMUJFWSA-N 0 1 311.341 3.068 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C1CC(C)(C)C1 ZINC000796798627 626084220 /nfs/dbraw/zinc/08/42/20/626084220.db2.gz MRKWBBOWSASQRD-CYBMUJFWSA-N 0 1 314.385 3.169 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2ccc(F)c(C#N)c2)cc1F ZINC000796946219 626098236 /nfs/dbraw/zinc/09/82/36/626098236.db2.gz BUWNYZDSVHPCCO-UHFFFAOYSA-N 0 1 315.323 3.150 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CCc2cncnc2)s1 ZINC000797218099 626128101 /nfs/dbraw/zinc/12/81/01/626128101.db2.gz QLVWYNIBIQGPPT-GFCCVEGCSA-N 0 1 314.414 3.040 20 30 DGEDMN COc1ccccc1-n1nccc1CN=Nc1ncccc1C ZINC000797403478 626140417 /nfs/dbraw/zinc/14/04/17/626140417.db2.gz NVDCLKDEFNQIIQ-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN CC(C)n1ncc2cc(CNCC3(CC#N)CC3)c(Cl)nc21 ZINC000797469012 626145320 /nfs/dbraw/zinc/14/53/20/626145320.db2.gz SDGKFFWQZAWTQI-UHFFFAOYSA-N 0 1 317.824 3.449 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)C[C@@H]2CCCOC2)s1 ZINC000797568181 626154105 /nfs/dbraw/zinc/15/41/05/626154105.db2.gz GEFZVIMPDOWKIF-NWDGAFQWSA-N 0 1 306.431 3.434 20 30 DGEDMN CC(N=Nc1ccncc1Cl)c1ccnn1-c1ccccc1 ZINC000799110875 626272338 /nfs/dbraw/zinc/27/23/38/626272338.db2.gz HTUQRFMDOYYKNN-UHFFFAOYSA-N 0 1 311.776 3.179 20 30 DGEDMN CC(N=Nc1c(F)cccc1F)c1ccc(N)c([N+](=O)[O-])c1 ZINC000799110100 626272646 /nfs/dbraw/zinc/27/26/46/626272646.db2.gz RDDMKFSICVDXLX-UHFFFAOYSA-N 0 1 306.272 3.291 20 30 DGEDMN N#Cc1c(CN2CC[C@H](c3ccccn3)C2)cn2ccccc12 ZINC000799192094 626280189 /nfs/dbraw/zinc/28/01/89/626280189.db2.gz CEGVUPHTTKNQAO-HNNXBMFYSA-N 0 1 302.381 3.195 20 30 DGEDMN Cc1ccc(C(=O)NC[C@@H](c2ccco2)N2CCCC2)cc1C#N ZINC000799297725 626285002 /nfs/dbraw/zinc/28/50/02/626285002.db2.gz PQKWXSMPYKOIJZ-KRWDZBQOSA-N 0 1 323.396 3.027 20 30 DGEDMN C=C(C)[C@H](NC[C@@H](O)c1ccc(F)cn1)c1ccc(F)c(F)c1 ZINC000799321611 626289610 /nfs/dbraw/zinc/28/96/10/626289610.db2.gz IESUHYXMOKKVMW-SJORKVTESA-N 0 1 322.330 3.439 20 30 DGEDMN COCCCC(=NNc1ccc(OC)cn1)c1ccc(F)cc1 ZINC000799486358 626305048 /nfs/dbraw/zinc/30/50/48/626305048.db2.gz YIEKRMONRODPII-UHFFFAOYSA-N 0 1 317.364 3.472 20 30 DGEDMN CCCCCCCS(=O)(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000799778880 626328217 /nfs/dbraw/zinc/32/82/17/626328217.db2.gz IRRBWUDHEWGOJP-UHFFFAOYSA-N 0 1 323.462 3.261 20 30 DGEDMN CC(=CN=NC1=NC[C@@H](C)N1)Cc1ccccc1C(F)(F)F ZINC000799884147 626333671 /nfs/dbraw/zinc/33/36/71/626333671.db2.gz FTXHDSJMZZNGFS-VVAKTAQGSA-N 0 1 310.323 3.032 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cccc(OC)c2F)c(O)c1 ZINC000799893418 626334015 /nfs/dbraw/zinc/33/40/15/626334015.db2.gz YMDWTIGHXUCIQM-RMKNXTFCSA-N 0 1 302.301 3.445 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000800387932 626355530 /nfs/dbraw/zinc/35/55/30/626355530.db2.gz XIBCMTFUGKJENH-VXGBXAGGSA-N 0 1 322.359 3.006 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)c1ccc(C#N)s1 ZINC000800818439 626377048 /nfs/dbraw/zinc/37/70/48/626377048.db2.gz XXOHNDXLFXLEAA-UHFFFAOYSA-N 0 1 317.345 3.382 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2cccc3nc(C)[nH]c32)cc1 ZINC000801887046 626424463 /nfs/dbraw/zinc/42/44/63/626424463.db2.gz JQJOWPPZFDLJIB-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN C#CC[C@H](NC(=O)c1cccc2nc(C)[nH]c21)c1ccccc1 ZINC000801931913 626426615 /nfs/dbraw/zinc/42/66/15/626426615.db2.gz QKFNRIYVLWGSEC-INIZCTEOSA-N 0 1 303.365 3.366 20 30 DGEDMN CC[C@@H](NCCO[C@@H](C)C#N)c1nc(C(F)(F)F)cs1 ZINC000801939560 626426838 /nfs/dbraw/zinc/42/68/38/626426838.db2.gz SNEQMQUKBGZMSM-DTWKUNHWSA-N 0 1 307.341 3.131 20 30 DGEDMN COc1cccc(NN=Cc2ccc(C)cc2-c2ccnn2C)n1 ZINC000802712074 626567219 /nfs/dbraw/zinc/56/72/19/626567219.db2.gz HLOLMZGVKITDLW-UHFFFAOYSA-N 0 1 321.384 3.245 20 30 DGEDMN C=C(C)CCOC(=O)CSc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000803395006 626611019 /nfs/dbraw/zinc/61/10/19/626611019.db2.gz WSXCTNNNRADTHL-UHFFFAOYSA-N 0 1 317.414 3.382 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCCCN1CC)c1ccc(Cl)cc1F ZINC000804415201 626677462 /nfs/dbraw/zinc/67/74/62/626677462.db2.gz DPKLAQBIIAKXJU-CVEARBPZSA-N 0 1 322.811 3.144 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)NC(=O)c1c(C)n[nH]c1C(C)C ZINC000804456142 626682227 /nfs/dbraw/zinc/68/22/27/626682227.db2.gz SYFJTOFDNLQQAD-MRXNPFEDSA-N 0 1 309.413 3.206 20 30 DGEDMN C=CCOCCCC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807838441 626770970 /nfs/dbraw/zinc/77/09/70/626770970.db2.gz RRIBTKXFOVNJNU-UHFFFAOYSA-N 0 1 318.200 3.298 20 30 DGEDMN CO[C@@H]1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1(C)C ZINC000840122088 626777393 /nfs/dbraw/zinc/77/73/93/626777393.db2.gz AELFNUVLQXCXKD-GOSISDBHSA-N 0 1 324.428 3.126 20 30 DGEDMN CC(=NNc1nccnc1C1CCC1)c1ccccc1[N+](=O)[O-] ZINC000807990954 626783552 /nfs/dbraw/zinc/78/35/52/626783552.db2.gz GQEPHTFNMGHOSL-UHFFFAOYSA-N 0 1 311.345 3.488 20 30 DGEDMN C#CCCN(CCc1ccc(Cl)cc1)C[C@H](O)C(F)(F)F ZINC000808786773 626861545 /nfs/dbraw/zinc/86/15/45/626861545.db2.gz YIEPJSWOCXYZKS-AWEZNQCLSA-N 0 1 319.754 3.131 20 30 DGEDMN Oc1ccc(C=NNc2nccnc2C2CCC2)c(F)c1F ZINC000884468828 626884139 /nfs/dbraw/zinc/88/41/39/626884139.db2.gz NJMPLILBHWRDTP-UHFFFAOYSA-N 0 1 304.300 3.174 20 30 DGEDMN C=C(Cl)CNS(=O)(=O)c1cc(Cl)sc1Cl ZINC000134853880 626888398 /nfs/dbraw/zinc/88/83/98/626888398.db2.gz QBDPVQJJTDTYBS-UHFFFAOYSA-N 0 1 306.623 3.086 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@H](NC(=O)OC(C)(C)C)C2)cc1 ZINC000809546894 626918304 /nfs/dbraw/zinc/91/83/04/626918304.db2.gz DJZLITXINRQBRS-KRWDZBQOSA-N 0 1 314.429 3.157 20 30 DGEDMN Cc1nc(C2CCN(c3nc(C)cc(C)c3C#N)CC2)[nH]c1C ZINC000884708692 626932486 /nfs/dbraw/zinc/93/24/86/626932486.db2.gz IQGWHZHENZUEQD-UHFFFAOYSA-N 0 1 309.417 3.294 20 30 DGEDMN C#C[C@@H](C)NCc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000809683361 626935480 /nfs/dbraw/zinc/93/54/80/626935480.db2.gz AXARPAHDDQFUQL-GFCCVEGCSA-N 0 1 301.821 3.313 20 30 DGEDMN C#C[C@H](NCc1cc(OC)ccc1OCc1ccccn1)C(C)C ZINC000809685181 626936131 /nfs/dbraw/zinc/93/61/31/626936131.db2.gz DTCZXQSUGSYSBT-IBGZPJMESA-N 0 1 324.424 3.417 20 30 DGEDMN C#C[C@@H](NC1CCN(C(=O)CC)CC1)c1ccc(Cl)cc1F ZINC000809698631 626938181 /nfs/dbraw/zinc/93/81/81/626938181.db2.gz DZXKDSIJAHRFEI-MRXNPFEDSA-N 0 1 322.811 3.144 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cc(C)ccc1O ZINC000809702200 626938379 /nfs/dbraw/zinc/93/83/79/626938379.db2.gz QWFPADPMXVYIDW-UHFFFAOYSA-N 0 1 301.386 3.364 20 30 DGEDMN N#C[C@@H]1CCC[C@H]([NH2+]Cc2cc(Br)ccc2[O-])C1 ZINC000809713188 626939680 /nfs/dbraw/zinc/93/96/80/626939680.db2.gz LHPHJCSSFXXSEE-MFKMUULPSA-N 0 1 309.207 3.327 20 30 DGEDMN N#C[C@H]1CCC[C@@H]([NH2+]Cc2cc(Br)ccc2[O-])C1 ZINC000809713187 626939771 /nfs/dbraw/zinc/93/97/71/626939771.db2.gz LHPHJCSSFXXSEE-GXFFZTMASA-N 0 1 309.207 3.327 20 30 DGEDMN C#C[C@H](NC/C(C)=C/c1ccccc1OC(F)F)[C@H]1CCCO1 ZINC000810153263 626981391 /nfs/dbraw/zinc/98/13/91/626981391.db2.gz SUZOEFUPVMBXQU-HSYXRWIHSA-N 0 1 321.367 3.462 20 30 DGEDMN CCC[C@H](NCc1nc(C#N)cs1)[C@H](O)c1ccccc1 ZINC000810155892 626982513 /nfs/dbraw/zinc/98/25/13/626982513.db2.gz LDZSUAVOZJQOCP-GOEBONIOSA-N 0 1 301.415 3.007 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(OC)c(C#N)c2)cc1O ZINC000810228799 626990123 /nfs/dbraw/zinc/99/01/23/626990123.db2.gz YZYFMMWBXPYFIU-LBPRGKRZSA-N 0 1 312.369 3.132 20 30 DGEDMN Cn1c(CN[C@H]2CCc3ccc(C#N)cc32)nc2cc(F)ccc21 ZINC000810225595 626990332 /nfs/dbraw/zinc/99/03/32/626990332.db2.gz HUQUGRMOYKLPQR-INIZCTEOSA-N 0 1 320.371 3.361 20 30 DGEDMN C[C@H](OC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C)c1ccc(C#N)cc1 ZINC000885258345 626995021 /nfs/dbraw/zinc/99/50/21/626995021.db2.gz GZDQAMNUSDPFFG-PRXAMGSTSA-N 0 1 309.369 3.252 20 30 DGEDMN C[C@H](OC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C)c1cccc(C#N)c1 ZINC000885261967 626995610 /nfs/dbraw/zinc/99/56/10/626995610.db2.gz YCFXUSPXYIQTEA-PEBVRCNWSA-N 0 1 309.369 3.252 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(C)c2Cl)nc(C)n1 ZINC000810526933 627011607 /nfs/dbraw/zinc/01/16/07/627011607.db2.gz FRUTUJFDRPOCKH-CQSZACIVSA-N 0 1 313.788 3.474 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2CC[C@H]3CCCCN32)c(Cl)c1 ZINC000885670177 627041460 /nfs/dbraw/zinc/04/14/60/627041460.db2.gz VQDYSTKJDOAKSF-CJNGLKHVSA-N 0 1 302.805 3.277 20 30 DGEDMN CN(Cc1nc2ccccc2s1)C[C@@H](O)CC1(C#N)CCC1 ZINC000885946433 627066431 /nfs/dbraw/zinc/06/64/31/627066431.db2.gz JASPFLPEHWTLNS-ZDUSSCGKSA-N 0 1 315.442 3.173 20 30 DGEDMN C=CCN(Cc1ccccc1F)C[C@H](O)CC1(C#N)CCC1 ZINC000885964176 627071377 /nfs/dbraw/zinc/07/13/77/627071377.db2.gz XZCBVFGSGDSMHV-MRXNPFEDSA-N 0 1 302.393 3.259 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000886354131 627141264 /nfs/dbraw/zinc/14/12/64/627141264.db2.gz WTCKXTGDZIBKCQ-GFCCVEGCSA-N 0 1 301.777 3.235 20 30 DGEDMN C#CC[C@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000886357204 627142213 /nfs/dbraw/zinc/14/22/13/627142213.db2.gz CKHFXCBPFKYUCO-LBPRGKRZSA-N 0 1 302.324 3.465 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000886358144 627142564 /nfs/dbraw/zinc/14/25/64/627142564.db2.gz PXMIGGVLZDLDSE-LBPRGKRZSA-N 0 1 301.777 3.235 20 30 DGEDMN C#CCC1(NCc2coc(-c3ccc(C)cc3)n2)CCOCC1 ZINC000886381594 627145374 /nfs/dbraw/zinc/14/53/74/627145374.db2.gz JQRVMLXHUUKJCN-UHFFFAOYSA-N 0 1 310.397 3.312 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccnc(CN[C@@H]2CC[C@H](C#N)C2)c1 ZINC000886681314 627188334 /nfs/dbraw/zinc/18/83/34/627188334.db2.gz WYELFCKDJSXVJX-QWHCGFSZSA-N 0 1 316.405 3.210 20 30 DGEDMN CC[C@H](NCc1ccc(SC)c(C#N)c1)c1cnn(C)c1 ZINC000886687868 627190656 /nfs/dbraw/zinc/19/06/56/627190656.db2.gz GRDDUSUNGUQIIQ-HNNXBMFYSA-N 0 1 300.431 3.255 20 30 DGEDMN CSc1ccc(CN[C@H](C)c2cc(C)nn2C)cc1C#N ZINC000886690549 627190993 /nfs/dbraw/zinc/19/09/93/627190993.db2.gz XUQKJRNHTJVJBQ-GFCCVEGCSA-N 0 1 300.431 3.173 20 30 DGEDMN Cc1csc(C[C@H]2CCCN(Cc3ccc(C#N)o3)C2)n1 ZINC000886689753 627191244 /nfs/dbraw/zinc/19/12/44/627191244.db2.gz HANGOVXQNLBJCB-CYBMUJFWSA-N 0 1 301.415 3.371 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc(C(=O)OC)c(Cl)c2)CC1 ZINC000886778504 627203471 /nfs/dbraw/zinc/20/34/71/627203471.db2.gz DNCOMBXQRPQAOK-UHFFFAOYSA-N 0 1 323.820 3.030 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc(C(F)(F)F)cn2)CC1 ZINC000886778475 627203619 /nfs/dbraw/zinc/20/36/19/627203619.db2.gz CIQVKQNSLKCVBV-UHFFFAOYSA-N 0 1 300.324 3.003 20 30 DGEDMN COc1cc2c(cc1O)CCN(Cc1ccc(CC#N)cc1)C2 ZINC000887106919 627225874 /nfs/dbraw/zinc/22/58/74/627225874.db2.gz SZRGACBBIKGWHL-UHFFFAOYSA-N 0 1 308.381 3.025 20 30 DGEDMN C#CCC1(NCc2csc(-c3ccc(C)o3)n2)CCOCC1 ZINC000887122298 627226736 /nfs/dbraw/zinc/22/67/36/627226736.db2.gz RKFDNEPZCSJBKR-UHFFFAOYSA-N 0 1 316.426 3.374 20 30 DGEDMN C=CCN(Cc1cn2ccc(Br)cc2n1)C(C)C ZINC000811457114 627276150 /nfs/dbraw/zinc/27/61/50/627276150.db2.gz ZQZUWTWGWMWDMP-UHFFFAOYSA-N 0 1 308.223 3.493 20 30 DGEDMN Cc1cc(N=NCc2ccc(C#N)cc2C(F)(F)F)nc(C)n1 ZINC000811637621 627297284 /nfs/dbraw/zinc/29/72/84/627297284.db2.gz FGNWCERGPNSAJT-UHFFFAOYSA-N 0 1 319.290 3.430 20 30 DGEDMN COC[C@H](C)N=NC([C@@H](C)OCc1ccccc1)C(F)(F)F ZINC000811646999 627298501 /nfs/dbraw/zinc/29/85/01/627298501.db2.gz TWMOIABLPPNUQD-NWDGAFQWSA-N 0 1 318.339 3.135 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1 ZINC000887697320 627306860 /nfs/dbraw/zinc/30/68/60/627306860.db2.gz YFACACIOKGUDNP-INIZCTEOSA-N 0 1 302.418 3.279 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000811748065 627316066 /nfs/dbraw/zinc/31/60/66/627316066.db2.gz JEKDYPXLLFZFCT-DLBZAZTESA-N 0 1 320.477 3.182 20 30 DGEDMN C#C[C@@H](C)NC(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000811993326 627345517 /nfs/dbraw/zinc/34/55/17/627345517.db2.gz TVBZMIFGVUXOGQ-CJNGLKHVSA-N 0 1 321.852 3.044 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCCCN1CC)c1ccc(Cl)cc1 ZINC000812352344 627373774 /nfs/dbraw/zinc/37/37/74/627373774.db2.gz KXVVKFDLSWIHKP-HOTGVXAUSA-N 0 1 304.821 3.005 20 30 DGEDMN Cc1ccc(CSc2n[nH]c(NC(=O)CCCC#N)n2)cc1 ZINC000889251565 627396033 /nfs/dbraw/zinc/39/60/33/627396033.db2.gz PXNNWZVBKRDBAL-UHFFFAOYSA-N 0 1 315.402 3.038 20 30 DGEDMN CCCC(C#N)(CCC)NC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000813048566 627443756 /nfs/dbraw/zinc/44/37/56/627443756.db2.gz YQIYPFRCVKAGHG-QGZVFWFLSA-N 0 1 311.445 3.178 20 30 DGEDMN CO[C@](C)(C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000813096835 627448776 /nfs/dbraw/zinc/44/87/76/627448776.db2.gz YIKFUJYGKJVSLF-BZNIZROVSA-N 0 1 306.431 3.432 20 30 DGEDMN N#CC(C(=O)C=Cc1cccnc1)c1cc(C(F)(F)F)ccn1 ZINC000813212941 627467422 /nfs/dbraw/zinc/46/74/22/627467422.db2.gz GQYDDIQBMCHENJ-DHCBQETCSA-N 0 1 317.270 3.385 20 30 DGEDMN N#C[C@@H](C(=O)C[C@@H]1CCCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813215694 627468022 /nfs/dbraw/zinc/46/80/22/627468022.db2.gz AYCMJJRJWMSCSH-NWDGAFQWSA-N 0 1 312.291 3.236 20 30 DGEDMN C=C1CCC(C(=O)Nc2ccc(F)c(-c3nc[nH]n3)c2)CC1 ZINC000890287572 627521866 /nfs/dbraw/zinc/52/18/66/627521866.db2.gz GEDNAXCIZKAPHX-UHFFFAOYSA-N 0 1 300.337 3.296 20 30 DGEDMN Cc1cc2ccccc2nc1N=NCc1cnn(CC(F)F)c1 ZINC000814226359 627553045 /nfs/dbraw/zinc/55/30/45/627553045.db2.gz VVDQBSNQDRYKRD-UHFFFAOYSA-N 0 1 315.327 3.451 20 30 DGEDMN C=CCOc1ccc(C(=O)OCc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000814438857 627565083 /nfs/dbraw/zinc/56/50/83/627565083.db2.gz IEQPXMVESYRRDD-UHFFFAOYSA-N 0 1 315.373 3.024 20 30 DGEDMN C=CCOc1ccc(C(=O)OCc2n[nH]c(C(C)(C)C)n2)cc1 ZINC000814438857 627565085 /nfs/dbraw/zinc/56/50/85/627565085.db2.gz IEQPXMVESYRRDD-UHFFFAOYSA-N 0 1 315.373 3.024 20 30 DGEDMN CC1(C=Nn2cnnc2SCc2ccc(Cl)cc2)COC1 ZINC000814768782 627589453 /nfs/dbraw/zinc/58/94/53/627589453.db2.gz ASVXSAIBXRRWFA-UHFFFAOYSA-N 0 1 322.821 3.094 20 30 DGEDMN Brc1ccc(NN=C2COc3ccccc32)nc1 ZINC000814832208 627596676 /nfs/dbraw/zinc/59/66/76/627596676.db2.gz JJUWORQMCLXVPR-UHFFFAOYSA-N 0 1 304.147 3.053 20 30 DGEDMN CC(N=Nc1ccc(Br)nc1)c1cccc(CO)c1 ZINC000814847384 627597761 /nfs/dbraw/zinc/59/77/61/627597761.db2.gz LUJBJCBOVOADAP-UHFFFAOYSA-N 0 1 320.190 3.173 20 30 DGEDMN Cc1cc(N=NC2CCCN(Cc3ccccc3)[C@H]2C)ncn1 ZINC000814846056 627597859 /nfs/dbraw/zinc/59/78/59/627597859.db2.gz FBHPRONRHVPUOM-HNNXBMFYSA-N 0 1 309.417 3.237 20 30 DGEDMN N#CCC1(COC(=O)c2cccc3nc(C(F)F)[nH]c32)CC1 ZINC000924085356 627600397 /nfs/dbraw/zinc/60/03/97/627600397.db2.gz CGCAGSXTBJXVHL-UHFFFAOYSA-N 0 1 305.284 3.351 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=C2COc3cccc(Cl)c32)c1 ZINC000814891124 627603619 /nfs/dbraw/zinc/60/36/19/627603619.db2.gz ATXNTILJYFPAND-UHFFFAOYSA-N 0 1 319.151 3.469 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNc1ccc(F)c(F)c1F)O2 ZINC000814945830 627612109 /nfs/dbraw/zinc/61/21/09/627612109.db2.gz SCUQHBRMQOWGIB-UHFFFAOYSA-N 0 1 322.242 3.119 20 30 DGEDMN N#CCOc1cccc(CN=Nc2ccc(F)c(F)c2F)c1 ZINC000814946516 627612659 /nfs/dbraw/zinc/61/26/59/627612659.db2.gz PFMCNQHDBZIHON-UHFFFAOYSA-N 0 1 305.259 3.452 20 30 DGEDMN Fc1ccc(NN=Cc2nccn2CC(F)(F)F)c(F)c1F ZINC000814946303 627612806 /nfs/dbraw/zinc/61/28/06/627612806.db2.gz JLDIAYUJNLNDCT-UHFFFAOYSA-N 0 1 322.212 3.309 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@H](CC)N1CCc2ccccc2C1 ZINC000814978924 627615245 /nfs/dbraw/zinc/61/52/45/627615245.db2.gz AXRZZHHQQVNNCK-INIZCTEOSA-N 0 1 322.399 3.151 20 30 DGEDMN Cc1ccc(COc2ccc(CN=Nc3cnnn3C)cc2)cc1 ZINC000814983038 627615919 /nfs/dbraw/zinc/61/59/19/627615919.db2.gz ZYRYCTIYIRMHAS-UHFFFAOYSA-N 0 1 321.384 3.149 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)Cc1ccc(F)cc1 ZINC000815028076 627617448 /nfs/dbraw/zinc/61/74/48/627617448.db2.gz PTEDVKZNHJRKLT-LJQANCHMSA-N 0 1 315.363 3.004 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@@H]2CCCCN2C2CCCC2)cc1 ZINC000815445472 627673649 /nfs/dbraw/zinc/67/36/49/627673649.db2.gz ZQJNWHAJIWFQTI-SFHVURJKSA-N 0 1 312.413 3.399 20 30 DGEDMN CN(C)c1ccc(C2(C(=O)Nc3cc(C#N)ccc3O)CC2)cc1 ZINC000815877808 627708790 /nfs/dbraw/zinc/70/87/90/627708790.db2.gz IBWNOSOYQVIPCJ-UHFFFAOYSA-N 0 1 321.380 3.000 20 30 DGEDMN C[C@@H](c1ccc(OCC#N)cc1)N1CCOc2ccc(N)cc2C1 ZINC000925753908 627788149 /nfs/dbraw/zinc/78/81/49/627788149.db2.gz SSUGIMVAMVNXCB-AWEZNQCLSA-N 0 1 323.396 3.127 20 30 DGEDMN COc1cc(CN=Nc2ncnc(Cl)c2C(C)C)sn1 ZINC000817328169 627883563 /nfs/dbraw/zinc/88/35/63/627883563.db2.gz ZGKVFDCSNVKEFJ-UHFFFAOYSA-N 0 1 311.798 3.165 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000926531577 627909416 /nfs/dbraw/zinc/90/94/16/627909416.db2.gz SKLNHCQGCDJCIT-HNNXBMFYSA-N 0 1 309.413 3.471 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC23CC3)cc1 ZINC000817714606 627937738 /nfs/dbraw/zinc/93/77/38/627937738.db2.gz VHGPPRUODCLXEY-CVEARBPZSA-N 0 1 310.397 3.477 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H]1CC12CC2 ZINC000817713369 627937759 /nfs/dbraw/zinc/93/77/59/627937759.db2.gz BXIXDMREVFGOQC-HUUCEWRRSA-N 0 1 310.397 3.259 20 30 DGEDMN C[C@H](NCC1(C#N)CCCCC1)c1cccc(-n2cnnc2)c1 ZINC000926713540 627938683 /nfs/dbraw/zinc/93/86/83/627938683.db2.gz YFNONNUAUCHVCB-HNNXBMFYSA-N 0 1 309.417 3.392 20 30 DGEDMN Cc1ccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)cc1C#N ZINC000926959803 627989593 /nfs/dbraw/zinc/98/95/93/627989593.db2.gz CGIYBGPXHALWKT-QGZVFWFLSA-N 0 1 309.417 3.396 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC000818230759 628007080 /nfs/dbraw/zinc/00/70/80/628007080.db2.gz MOKOSUNCTFRNJH-GXSJLCMTSA-N 0 1 309.200 3.423 20 30 DGEDMN C[C@@H]([NH2+][C@@H]1CC[C@H](C#N)C1)c1ccc(Br)cc1[O-] ZINC000818356722 628018045 /nfs/dbraw/zinc/01/80/45/628018045.db2.gz QIVPMDGLMFNBTO-JFGNBEQYSA-N 0 1 309.207 3.498 20 30 DGEDMN CCCN1CCN(c2ccc(NCCC#N)cc2Cl)CC1 ZINC000819425446 628153114 /nfs/dbraw/zinc/15/31/14/628153114.db2.gz YOQKLDZAKCCXME-UHFFFAOYSA-N 0 1 306.841 3.198 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@H]2C[C@@H](C)c3c2cccc3C)CC1 ZINC000928652792 628172886 /nfs/dbraw/zinc/17/28/86/628172886.db2.gz MYRLGUDZUSYXBX-IAGOWNOFSA-N 0 1 324.468 3.190 20 30 DGEDMN C=C[C@](C)(CCOC)COC(=O)c1cc2[nH]cnc2cc1F ZINC000820741318 628293982 /nfs/dbraw/zinc/29/39/82/628293982.db2.gz KLBGAJFDWRUCHD-MRXNPFEDSA-N 0 1 306.337 3.088 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cccc3c2OCCO3)c1 ZINC000821021105 628327043 /nfs/dbraw/zinc/32/70/43/628327043.db2.gz DHSJRKFJBKJNLB-KTKRTIGZSA-N 0 1 323.392 3.416 20 30 DGEDMN CN(Cc1cn2ccccc2c1C#N)C[C@](C)(O)c1ccccc1 ZINC000823590596 628577883 /nfs/dbraw/zinc/57/78/83/628577883.db2.gz FLIQEWRWIVYYBI-FQEVSTJZSA-N 0 1 319.408 3.150 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCC[C@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000823738270 628592234 /nfs/dbraw/zinc/59/22/34/628592234.db2.gz UESXCANIUYMXDQ-GJZGRUSLSA-N 0 1 307.438 3.171 20 30 DGEDMN Cc1[nH]ncc1CN(C)C(=O)C1(c2ccc(C#N)cc2)CCCC1 ZINC000824063417 628622874 /nfs/dbraw/zinc/62/28/74/628622874.db2.gz PJZVMLNWQRQKMN-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccccc2F)s1)[C@H]1CCCO1 ZINC000824195519 628636265 /nfs/dbraw/zinc/63/62/65/628636265.db2.gz GZDCJMCBNQXKAU-JKSUJKDBSA-N 0 1 316.401 3.220 20 30 DGEDMN CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2CCC(C)(C)C2)o1 ZINC000824281062 628646574 /nfs/dbraw/zinc/64/65/74/628646574.db2.gz YKCIJVLUJUOKKT-UHFFFAOYSA-N 0 1 317.397 3.031 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@](C)(COC)C1 ZINC000824583174 628673958 /nfs/dbraw/zinc/67/39/58/628673958.db2.gz IRIHROOJPKKLMD-KRWDZBQOSA-N 0 1 307.821 3.210 20 30 DGEDMN N#CC[C@H]1CCCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000824747798 628689517 /nfs/dbraw/zinc/68/95/17/628689517.db2.gz UXSNESQPYGETQQ-OAHLLOKOSA-N 0 1 315.417 3.031 20 30 DGEDMN CCCCCCN=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000825266826 628744153 /nfs/dbraw/zinc/74/41/53/628744153.db2.gz HRJLVBFJIMQHFP-UHFFFAOYSA-N 0 1 305.386 3.130 20 30 DGEDMN C#C[C@@H](N[C@@H](COC)CC1CCOCC1)c1ccc(Cl)cc1 ZINC000825949246 628813529 /nfs/dbraw/zinc/81/35/29/628813529.db2.gz RPAFNMYENAYTKE-QZTJIDSGSA-N 0 1 321.848 3.436 20 30 DGEDMN COc1cc(CNCC2(C#N)CCC2)c(O)c(C(C)(C)C)c1 ZINC000826129774 628830129 /nfs/dbraw/zinc/83/01/29/628830129.db2.gz AGHNKZQUINPMNI-UHFFFAOYSA-N 0 1 302.418 3.482 20 30 DGEDMN CCC(F)(F)C(=O)N[C@H](C#N)c1ccc(OC(F)(F)F)cc1 ZINC000826911092 628902895 /nfs/dbraw/zinc/90/28/95/628902895.db2.gz RHHRPLMUESHYBP-SNVBAGLBSA-N 0 1 322.233 3.311 20 30 DGEDMN CCC(F)(F)C(=O)N[C@@H](C#N)c1cccc(C(F)(F)F)c1 ZINC000827030693 628914620 /nfs/dbraw/zinc/91/46/20/628914620.db2.gz MJPIMDMHXSPGCX-JTQLQIEISA-N 0 1 306.234 3.432 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccc(OC(F)F)c(Cl)c1 ZINC000827822867 628994392 /nfs/dbraw/zinc/99/43/92/628994392.db2.gz GONNXOSHQPHVFA-UHFFFAOYSA-N 0 1 317.763 3.413 20 30 DGEDMN COCC[N@@H+](CCC(=O)CC(C)C)Cc1ccc(C#N)cc1 ZINC000827821072 628994614 /nfs/dbraw/zinc/99/46/14/628994614.db2.gz NUDSCGSGKPLFFO-UHFFFAOYSA-N 0 1 302.418 3.012 20 30 DGEDMN COCCN(CCC(=O)CC(C)C)Cc1ccc(C#N)cc1 ZINC000827821072 628994615 /nfs/dbraw/zinc/99/46/15/628994615.db2.gz NUDSCGSGKPLFFO-UHFFFAOYSA-N 0 1 302.418 3.012 20 30 DGEDMN CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)[C@@H]1CCC[C@@H]1C#N ZINC000828141064 629027048 /nfs/dbraw/zinc/02/70/48/629027048.db2.gz JPCULANTBZWUAA-BXKDBHETSA-N 0 1 318.327 3.265 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccccc2OCC)C1 ZINC000829775206 629190683 /nfs/dbraw/zinc/19/06/83/629190683.db2.gz ZFRDQCKGJPDMNI-CVEARBPZSA-N 0 1 314.429 3.148 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(SC)c2)C1 ZINC000829774728 629190823 /nfs/dbraw/zinc/19/08/23/629190823.db2.gz SPSRMEDNFRWWDJ-CABCVRRESA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2cccc(Cl)c2C)C1 ZINC000829779088 629191625 /nfs/dbraw/zinc/19/16/25/629191625.db2.gz MMNMNXJSILPVBJ-CQSZACIVSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](CCCC)C(=O)Nc2cc(C)on2)C1 ZINC000829799491 629194533 /nfs/dbraw/zinc/19/45/33/629194533.db2.gz POMKCMAWCSSIST-CVEARBPZSA-N 0 1 317.433 3.216 20 30 DGEDMN C[N@@H+](Cc1ccc(F)c(F)c1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830825211 629309156 /nfs/dbraw/zinc/30/91/56/629309156.db2.gz HVCGXZDPDQEQOS-INIZCTEOSA-N 0 1 316.307 3.094 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(OCC#N)cc2)CC2(CCCC2)O1 ZINC000830843930 629311261 /nfs/dbraw/zinc/31/12/61/629311261.db2.gz SMPGLJJHMNISOR-OAHLLOKOSA-N 0 1 300.402 3.122 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C(=O)C#CC(C)C)C3)[nH]c2c1 ZINC000830899703 629318673 /nfs/dbraw/zinc/31/86/73/629318673.db2.gz KHMNEJKNIPXHEU-HNNXBMFYSA-N 0 1 309.413 3.237 20 30 DGEDMN Cc1ccccc1[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000832977266 629543960 /nfs/dbraw/zinc/54/39/60/629543960.db2.gz GSBGPYZAFFOZQP-IEBWSBKVSA-N 0 1 320.392 3.482 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C\c2ccc(C(=O)[O-])o2)cc1 ZINC000833009030 629547942 /nfs/dbraw/zinc/54/79/42/629547942.db2.gz JBTBAULZTXOOCK-VURMDHGXSA-N 0 1 322.320 3.368 20 30 DGEDMN N#Cc1cccc([C@H]([NH2+]Cc2c(F)cccc2Cl)C(=O)[O-])c1 ZINC000833074599 629555165 /nfs/dbraw/zinc/55/51/65/629555165.db2.gz BIBOHVNXMMNKIA-HNNXBMFYSA-N 0 1 318.735 3.266 20 30 DGEDMN C#CC[C@@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1ccccc1 ZINC000833360902 629591501 /nfs/dbraw/zinc/59/15/01/629591501.db2.gz RJFKBHCJEZCCGB-GOSISDBHSA-N 0 1 304.349 3.111 20 30 DGEDMN C=C(CC)C[N@@H+](C)[C@@H](C(=O)[O-])c1cccc(Br)c1 ZINC000833369769 629593473 /nfs/dbraw/zinc/59/34/73/629593473.db2.gz DSNSITJLTWXQTM-CYBMUJFWSA-N 0 1 312.207 3.473 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1cc(C(=O)[O-])oc1CC ZINC000833374597 629594416 /nfs/dbraw/zinc/59/44/16/629594416.db2.gz SNRODKMFWSAKRY-UHFFFAOYSA-N 0 1 303.358 3.364 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1cc(C(=O)[O-])ccc1F ZINC000833374183 629594836 /nfs/dbraw/zinc/59/48/36/629594836.db2.gz BTLUXOHGMNKTIM-UHFFFAOYSA-N 0 1 303.333 3.348 20 30 DGEDMN CC1(C#N)CC[NH+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)CC1 ZINC000833550718 629619124 /nfs/dbraw/zinc/61/91/24/629619124.db2.gz CSQSZQVXYPQLOL-ZDUSSCGKSA-N 0 1 310.756 3.230 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000833547198 629619222 /nfs/dbraw/zinc/61/92/22/629619222.db2.gz RGBKLKGBUGXIPM-CYBMUJFWSA-N 0 1 306.365 3.376 20 30 DGEDMN CC1(C)C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)Cc2ccccc21 ZINC000833560349 629621336 /nfs/dbraw/zinc/62/13/36/629621336.db2.gz QASXNFMYHBDGOP-SFHVURJKSA-N 0 1 320.392 3.477 20 30 DGEDMN CCc1ccc(C[N@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC000833671104 629638535 /nfs/dbraw/zinc/63/85/35/629638535.db2.gz YTRYAFGRUIRRJJ-UHFFFAOYSA-N 0 1 308.381 3.451 20 30 DGEDMN CCc1ccc(C[N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC000833671104 629638537 /nfs/dbraw/zinc/63/85/37/629638537.db2.gz YTRYAFGRUIRRJJ-UHFFFAOYSA-N 0 1 308.381 3.451 20 30 DGEDMN CCc1cnccc1[C@H](C)[NH2+]Cc1cccc(C(=O)[O-])c1C#N ZINC000833674894 629638890 /nfs/dbraw/zinc/63/88/90/629638890.db2.gz VSIOAXGFPGVBBZ-LBPRGKRZSA-N 0 1 309.369 3.065 20 30 DGEDMN CCCCCCCC[C@H](O)CCC(=O)N1CCC2(CN(C)C2)C1 ZINC000834522180 629720242 /nfs/dbraw/zinc/72/02/42/629720242.db2.gz IKBORWKSEJRLKK-KRWDZBQOSA-N 0 1 324.509 3.042 20 30 DGEDMN Fc1c(CN=Nc2nccnc2C2CCC2)ccnc1Cl ZINC000834979389 629817131 /nfs/dbraw/zinc/81/71/31/629817131.db2.gz IGKDYVYXEJBEPU-UHFFFAOYSA-N 0 1 305.744 3.378 20 30 DGEDMN CC1(C)OCC[C@H]1NN=Cc1ccc(N2CCCCC2)s1 ZINC000835013914 629823439 /nfs/dbraw/zinc/82/34/39/629823439.db2.gz JMERYLLFHZUPRC-CQSZACIVSA-N 0 1 307.463 3.229 20 30 DGEDMN CC(C)n1cc(C=NNc2cccc(Br)n2)cn1 ZINC000835024327 629826660 /nfs/dbraw/zinc/82/66/60/629826660.db2.gz MUSQWRKCLUECQP-UHFFFAOYSA-N 0 1 308.183 3.068 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C[C@H]1C ZINC000835063736 629841705 /nfs/dbraw/zinc/84/17/05/629841705.db2.gz QDFSXNJYCGJQEQ-HGTKMLMNSA-N 0 1 304.434 3.216 20 30 DGEDMN CCC[C@H](OC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000835145720 629863699 /nfs/dbraw/zinc/86/36/99/629863699.db2.gz VEGKOYJRGFZDFM-JQWIXIFHSA-N 0 1 306.362 3.427 20 30 DGEDMN N#C[C@H](C(=O)C1(Cc2cccc(Cl)c2)CC1)c1ccncn1 ZINC000835303469 629903074 /nfs/dbraw/zinc/90/30/74/629903074.db2.gz PZFNKWFUXGKKPO-AWEZNQCLSA-N 0 1 311.772 3.329 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CCC(C)(C)[N+](=O)[O-])s1 ZINC000835388184 629920550 /nfs/dbraw/zinc/92/05/50/629920550.db2.gz PNLQDEXVSKYWIG-SNVBAGLBSA-N 0 1 323.418 3.452 20 30 DGEDMN C=C(C)CCNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000836579746 630136902 /nfs/dbraw/zinc/13/69/02/630136902.db2.gz OSRRDGURDRSRPR-UHFFFAOYSA-N 0 1 303.189 3.464 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC)c2ccc(CC)cc2)CC1 ZINC000836851041 630173779 /nfs/dbraw/zinc/17/37/79/630173779.db2.gz WVTVLNIKVZNINO-UHFFFAOYSA-N 0 1 312.457 3.337 20 30 DGEDMN CC1CCN([C@H](CNC(=O)C#CC2CC2)c2cccs2)CC1 ZINC000837314227 630238603 /nfs/dbraw/zinc/23/86/03/630238603.db2.gz VSYZVHUDDFFPEF-MRXNPFEDSA-N 0 1 316.470 3.051 20 30 DGEDMN N#CCc1ccccc1C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000837403745 630253186 /nfs/dbraw/zinc/25/31/86/630253186.db2.gz VFJGBCQLZWWJEP-SFHVURJKSA-N 0 1 320.392 3.184 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000837499403 630267156 /nfs/dbraw/zinc/26/71/56/630267156.db2.gz LCJAERVVVXGIBH-HNNXBMFYSA-N 0 1 322.412 3.185 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000837499403 630267160 /nfs/dbraw/zinc/26/71/60/630267160.db2.gz LCJAERVVVXGIBH-HNNXBMFYSA-N 0 1 322.412 3.185 20 30 DGEDMN CN(C)[C@H]1CCOc2c(NC(=O)C#CC(C)(C)C)cccc21 ZINC000837585546 630279733 /nfs/dbraw/zinc/27/97/33/630279733.db2.gz NRYPXRAEYRUJBZ-HNNXBMFYSA-N 0 1 300.402 3.060 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CN(C)C[C@H](O)c2cccc(C#N)c2)o1 ZINC000841492402 630442195 /nfs/dbraw/zinc/44/21/95/630442195.db2.gz JVCWAEZJUAMYOP-XWIAVFTESA-N 0 1 310.397 3.440 20 30 DGEDMN CC[C@@H](CC1CCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000842293393 630511882 /nfs/dbraw/zinc/51/18/82/630511882.db2.gz JTADXNTVUZTMOY-LSDHHAIUSA-N 0 1 313.401 3.335 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NNC23CC(C2)C3)ccc1[O-] ZINC000842547217 630546978 /nfs/dbraw/zinc/54/69/78/630546978.db2.gz JWDGWNAXSYOXHJ-UHFFFAOYSA-N 0 1 301.434 3.100 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@@](C)(Cc3ccccc3)CC2=O)C1 ZINC000842629226 630556200 /nfs/dbraw/zinc/55/62/00/630556200.db2.gz LPFCOVGURXWGBK-FPOVZHCZSA-N 0 1 324.468 3.161 20 30 DGEDMN N#Cc1cccc(OCC(=O)C(C#N)c2nc(C3CC3)cs2)c1 ZINC000842940081 630596431 /nfs/dbraw/zinc/59/64/31/630596431.db2.gz WXSQEYPXBHQDOV-AWEZNQCLSA-N 0 1 323.377 3.147 20 30 DGEDMN C[C@@H](Oc1ccccn1)C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000842943231 630597020 /nfs/dbraw/zinc/59/70/20/630597020.db2.gz RCPALQGVNBJPNH-PWSUYJOCSA-N 0 1 313.382 3.059 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2cccc(Br)c2[O-])C1 ZINC000843423775 630656565 /nfs/dbraw/zinc/65/65/65/630656565.db2.gz JPGAUNDLIQCPEM-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC1CCN(Cc2cnc(NC(=O)OC(C)(C)C)s2)CC1 ZINC000843427028 630657033 /nfs/dbraw/zinc/65/70/33/630657033.db2.gz RMCNZMPRVGFJRU-UHFFFAOYSA-N 0 1 321.446 3.335 20 30 DGEDMN C=CCc1cc(CNCc2cccnc2C)cc(OC)c1OC ZINC000105478025 630713010 /nfs/dbraw/zinc/71/30/10/630713010.db2.gz IWTYAIGJNKZWRU-UHFFFAOYSA-N 0 1 312.413 3.426 20 30 DGEDMN Cc1ccccc1CN(CCO[C@H](C)C#N)Cc1ccccn1 ZINC000844332958 630719649 /nfs/dbraw/zinc/71/96/49/630719649.db2.gz DNMDHCOEGHZINZ-QGZVFWFLSA-N 0 1 309.413 3.321 20 30 DGEDMN C=CCc1cc(CN2C[C@H](CC)OC[C@H]2C)c(O)c(OC)c1 ZINC000844730829 630819937 /nfs/dbraw/zinc/81/99/37/630819937.db2.gz LBWVHMRSPMALDZ-CJNGLKHVSA-N 0 1 305.418 3.129 20 30 DGEDMN N#CC(C(=O)Cc1cccs1)=C(O)C1(c2ccccc2)CC1 ZINC000845835593 631198500 /nfs/dbraw/zinc/19/85/00/631198500.db2.gz CSVNBMNOBSDALX-HNNXBMFYSA-N 0 1 309.390 3.300 20 30 DGEDMN N#CC(C(=O)[C@@H]1Cc2ccccc21)=C(O)C1(c2ccccc2)CC1 ZINC000845840799 631198664 /nfs/dbraw/zinc/19/86/64/631198664.db2.gz FUIBJTHUAHLPRH-QZTJIDSGSA-N 0 1 315.372 3.336 20 30 DGEDMN N#CC(C(=O)CC1CC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845842786 631198678 /nfs/dbraw/zinc/19/86/78/631198678.db2.gz IPKSADHZMCZIPR-CQSZACIVSA-N 0 1 317.335 3.432 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C1CCC1)=C(O)C1(c2ccccc2)CC1 ZINC000845843088 631198786 /nfs/dbraw/zinc/19/87/86/631198786.db2.gz JNVPAOLKDCAEAW-GVDBMIGSSA-N 0 1 307.393 3.432 20 30 DGEDMN CSCCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842965 631198813 /nfs/dbraw/zinc/19/88/13/631198813.db2.gz XYEQVAVHYQGUED-AWEZNQCLSA-N 0 1 301.411 3.139 20 30 DGEDMN COCCC[C@@H](C)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845048 631198817 /nfs/dbraw/zinc/19/88/17/631198817.db2.gz LWPUMZFFCSVJFF-ZBFHGGJFSA-N 0 1 313.397 3.059 20 30 DGEDMN CO[C@@H](CC(C)C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843917 631198838 /nfs/dbraw/zinc/19/88/38/631198838.db2.gz NUBXFDMGPZRXST-CVEARBPZSA-N 0 1 313.397 3.057 20 30 DGEDMN CC[C@H](C)[C@@H](OC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843389 631198942 /nfs/dbraw/zinc/19/89/42/631198942.db2.gz DAUKBTIYOVPDIV-JLJPHGGASA-N 0 1 313.397 3.057 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](NCC2(C#N)CCCC2)C1 ZINC000846011804 631205995 /nfs/dbraw/zinc/20/59/95/631205995.db2.gz ZSDISUGLROXPNU-CQSZACIVSA-N 0 1 307.438 3.059 20 30 DGEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000847022423 631383454 /nfs/dbraw/zinc/38/34/54/631383454.db2.gz HQUAFVWFIQVVOY-KBPBESRZSA-N 0 1 302.443 3.081 20 30 DGEDMN CCCCCCCCC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000848274569 631637983 /nfs/dbraw/zinc/63/79/83/631637983.db2.gz DEODIAWRXWJFSS-ZDUSSCGKSA-N 0 1 308.426 3.023 20 30 DGEDMN O=C([O-])Cc1ccc(N[NH+]=C2CC[C@@H]3CNc4cccc2c43)cc1 ZINC000848415111 631657578 /nfs/dbraw/zinc/65/75/78/631657578.db2.gz WMNBOHVCRSSRAF-CYBMUJFWSA-N 0 1 321.380 3.433 20 30 DGEDMN N#Cc1ccc(C2(NCc3cnn4ccccc34)CCC2)cc1 ZINC000848568485 631696500 /nfs/dbraw/zinc/69/65/00/631696500.db2.gz JZIYWDZLHRXTEP-UHFFFAOYSA-N 0 1 302.381 3.375 20 30 DGEDMN C#C[C@@H](C)NCc1cn(-c2ccccc2Br)nc1C ZINC000848909948 631782930 /nfs/dbraw/zinc/78/29/30/631782930.db2.gz DVYWTEBEJHWMFB-LLVKDONJSA-N 0 1 318.218 3.055 20 30 DGEDMN COC[C@@H](CC/N=C/c1cc(Cl)cc(Cl)c1O)OC ZINC000849170739 631852582 /nfs/dbraw/zinc/85/25/82/631852582.db2.gz UEJYPZOUPSWOFL-PFJSLNBISA-N 0 1 306.189 3.169 20 30 DGEDMN CO[C@H](C/N=C/c1cc(Cl)cc(Cl)c1O)[C@@H]1CCOC1 ZINC000849169817 631852629 /nfs/dbraw/zinc/85/26/29/631852629.db2.gz GUWHBRZVKHBRJE-MSPHUOAISA-N 0 1 318.200 3.169 20 30 DGEDMN C[C@@H](CN(C)C(=O)OC(C)(C)C)NCc1ccc(C#N)cc1F ZINC000850417147 632146147 /nfs/dbraw/zinc/14/61/47/632146147.db2.gz MQOQOVHTNQNOCM-LBPRGKRZSA-N 0 1 321.396 3.042 20 30 DGEDMN COc1cccc([C@H](OC)C(=O)[C@@H](C#N)c2nc(C)cs2)c1 ZINC000850737173 632210204 /nfs/dbraw/zinc/21/02/04/632210204.db2.gz SBDQCKHKCGPEPN-HIFRSBDPSA-N 0 1 316.382 3.024 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCC1(C)C ZINC000850759601 632216967 /nfs/dbraw/zinc/21/69/67/632216967.db2.gz QFORPBXPECBDNK-CHWSQXEVSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)C1CC2(CC2)C1 ZINC000850760764 632217657 /nfs/dbraw/zinc/21/76/57/632217657.db2.gz WYLZQTHAUAKEDL-LLVKDONJSA-N 0 1 302.761 3.178 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H](C)[C@H]3CCCO3)[nH]c21 ZINC000850849812 632236993 /nfs/dbraw/zinc/23/69/93/632236993.db2.gz KDSUBIAEKTUQQU-WOSRLPQWSA-N 0 1 311.385 3.253 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCCC3(CC3)C2)c1 ZINC000850977515 632275385 /nfs/dbraw/zinc/27/53/85/632275385.db2.gz WZPAWYZWZKFNKE-DLBZAZTESA-N 0 1 324.424 3.291 20 30 DGEDMN C[C@@H](Cc1ccco1)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000851109815 632313308 /nfs/dbraw/zinc/31/33/08/632313308.db2.gz MOEDZGRYCFMNPH-WMLDXEAASA-N 0 1 321.376 3.468 20 30 DGEDMN C=C(C)[C@@H](CC(=O)OC[C@H]1CCN1Cc1ccccc1)OCC ZINC000851467364 632418265 /nfs/dbraw/zinc/41/82/65/632418265.db2.gz UVDNJXRYLOCMBP-QZTJIDSGSA-N 0 1 317.429 3.175 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)OC[C@H]1CCN1Cc1ccccc1 ZINC000851469067 632419674 /nfs/dbraw/zinc/41/96/74/632419674.db2.gz RGGKZOATVDOZFE-MJGOQNOKSA-N 0 1 317.429 3.033 20 30 DGEDMN C=C(Br)C[N@@H+]1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000851707995 632470414 /nfs/dbraw/zinc/47/04/14/632470414.db2.gz OTGPRPFXVCWLGP-OLZOCXBDSA-N 0 1 316.239 3.250 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000852099773 632553981 /nfs/dbraw/zinc/55/39/81/632553981.db2.gz ASTVBISSWQRQFA-HNAYVOBHSA-N 0 1 313.445 3.005 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1cccc(Br)c1 ZINC000852099168 632554572 /nfs/dbraw/zinc/55/45/72/632554572.db2.gz FXQWLCRTGFGWGY-IAQYHMDHSA-N 0 1 323.234 3.168 20 30 DGEDMN C[C@@H](C#N)OCCN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000852127196 632560267 /nfs/dbraw/zinc/56/02/67/632560267.db2.gz OFCWYVYWDBCDBP-QWRGUYRKSA-N 0 1 306.797 3.138 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2cc(=O)oc3cc4c(cc23)CCC4)C1 ZINC000852319289 632586998 /nfs/dbraw/zinc/58/69/98/632586998.db2.gz JLPMFAZSAPIMRJ-CQSZACIVSA-N 0 1 322.408 3.407 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001032148976 660207941 /nfs/dbraw/zinc/20/79/41/660207941.db2.gz LWNACLLDOGSGLP-UHFFFAOYSA-N 0 1 313.228 3.231 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001032159120 660208520 /nfs/dbraw/zinc/20/85/20/660208520.db2.gz VTCQDSBJXQSTDG-UHFFFAOYSA-N 0 1 318.804 3.324 20 30 DGEDMN C=CCN1CC(CNC(=O)c2cc(CC)c(CCC)s2)C1 ZINC001032177407 660209817 /nfs/dbraw/zinc/20/98/17/660209817.db2.gz OSPKUDSOLALFDK-UHFFFAOYSA-N 0 1 306.475 3.111 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000185551535 660229256 /nfs/dbraw/zinc/22/92/56/660229256.db2.gz XKFOCCBLEFVXHK-UHFFFAOYSA-N 0 1 316.470 3.223 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3c(cccc3C)c2C)[C@H](C)C1 ZINC000945182788 660243123 /nfs/dbraw/zinc/24/31/23/660243123.db2.gz QHDGTDQCIYGXOJ-RHSMWYFYSA-N 0 1 324.424 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](C)C(C)(C)C)CC1(C)C ZINC000995960220 660273241 /nfs/dbraw/zinc/27/32/41/660273241.db2.gz NEWSTLXLHRKIIN-STQMWFEESA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1(C)C ZINC000996082741 660275118 /nfs/dbraw/zinc/27/51/18/660275118.db2.gz VIRANIVKVAEKDL-FZKCQIBNSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](C)Cc2ccco2)CC1(C)C ZINC000996400818 660282060 /nfs/dbraw/zinc/28/20/60/660282060.db2.gz WYVLVYDTWYZXTR-WFASDCNBSA-N 0 1 324.852 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C2CC2)C2CC2)CC1(C)C ZINC000996497418 660283965 /nfs/dbraw/zinc/28/39/65/660283965.db2.gz OYZJJYCNECMMQU-AWEZNQCLSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@@H](C)n2cccc2)CC1(C)C ZINC000996536195 660284520 /nfs/dbraw/zinc/28/45/20/660284520.db2.gz NHINSDJVYGOWKV-CABCVRRESA-N 0 1 323.868 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1(C)C ZINC000996331984 660279875 /nfs/dbraw/zinc/27/98/75/660279875.db2.gz VAUWBIWERYILSR-RBSFLKMASA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)cccc2F)CC1(C)C ZINC000996723197 660291830 /nfs/dbraw/zinc/29/18/30/660291830.db2.gz STJHHKHWHVYHHP-AWEZNQCLSA-N 0 1 324.827 3.327 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(NCc2ncoc2C(C)C)CC1 ZINC000996877563 660297359 /nfs/dbraw/zinc/29/73/59/660297359.db2.gz QKFDBUXFPCBKIG-UHFFFAOYSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1Cl ZINC001032419032 660316520 /nfs/dbraw/zinc/31/65/20/660316520.db2.gz ZHVVNFHABGZSLM-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(C(C)C)c1 ZINC001032497096 660331369 /nfs/dbraw/zinc/33/13/69/660331369.db2.gz HPWVKWVVTIVRCR-OALUTQOASA-N 0 1 312.457 3.214 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cscc2s1 ZINC001032525885 660337564 /nfs/dbraw/zinc/33/75/64/660337564.db2.gz IOHGHEGIKKDVQF-STQMWFEESA-N 0 1 318.467 3.438 20 30 DGEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3CC2=CCCCC2)cc1 ZINC001032555015 660345616 /nfs/dbraw/zinc/34/56/16/660345616.db2.gz WXDLYGRHKQXKJQ-PMACEKPBSA-N 0 1 320.436 3.067 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C2CCCC2)cc1 ZINC001038524785 660346107 /nfs/dbraw/zinc/34/61/07/660346107.db2.gz IBODZPPOPFKOCE-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(C)cc1Cl ZINC001032558944 660346874 /nfs/dbraw/zinc/34/68/74/660346874.db2.gz OKDJWPYXHWQLPE-GJZGRUSLSA-N 0 1 318.848 3.432 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@H]2CC2(C)C)CC1 ZINC000997969827 660361296 /nfs/dbraw/zinc/36/12/96/660361296.db2.gz JTEXRCKKTAYXDC-HUUCEWRRSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)c2[nH]ccc2C)CC1 ZINC000997998705 660363036 /nfs/dbraw/zinc/36/30/36/660363036.db2.gz JQHPCPVXTRVCOB-HNNXBMFYSA-N 0 1 323.868 3.392 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C(C1CCC1)C1CCC1 ZINC001032591094 660363583 /nfs/dbraw/zinc/36/35/83/660363583.db2.gz NXYKJMPOXJOYGC-IRXDYDNUSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc(C)c1F ZINC001032604741 660373696 /nfs/dbraw/zinc/37/36/96/660373696.db2.gz UCIVSCDESDHTTG-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@@H]2CCC[N@@H+](CC#CC)CC2)CC1 ZINC000998112040 660370881 /nfs/dbraw/zinc/37/08/81/660370881.db2.gz SGWRTMRCDRWLEK-LJQANCHMSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3CC2=CCCCC2)C1 ZINC001032617586 660379913 /nfs/dbraw/zinc/37/99/13/660379913.db2.gz IRSJUMQOUIEFBH-IRXDYDNUSA-N 0 1 300.446 3.128 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC000999157139 660406158 /nfs/dbraw/zinc/40/61/58/660406158.db2.gz SECNKUDWTZVTOG-LSDHHAIUSA-N 0 1 310.869 3.290 20 30 DGEDMN CC(C(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1)=C1CCC1 ZINC000999121425 660405061 /nfs/dbraw/zinc/40/50/61/660405061.db2.gz PWVPSFVDZNVWAY-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001032647248 660405936 /nfs/dbraw/zinc/40/59/36/660405936.db2.gz QNAXCVVUDRFKJN-MWDXBVQZSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001032677324 660412249 /nfs/dbraw/zinc/41/22/49/660412249.db2.gz AOHJMNMHJJYQNR-QGZVKYPTSA-N 0 1 324.468 3.350 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(F)ccc2CC)C1 ZINC000999595033 660422620 /nfs/dbraw/zinc/42/26/20/660422620.db2.gz CWHGQJUPNWFYNW-OAHLLOKOSA-N 0 1 324.827 3.335 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(F)ccc2CC)C1 ZINC000999595031 660422698 /nfs/dbraw/zinc/42/26/98/660422698.db2.gz CWHGQJUPNWFYNW-HNNXBMFYSA-N 0 1 324.827 3.335 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2CCC3(CC3)CC2)C1 ZINC000999718111 660425530 /nfs/dbraw/zinc/42/55/30/660425530.db2.gz HVIRXFXVXYIZHO-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2cccc(C)c2)C1 ZINC000999823219 660429025 /nfs/dbraw/zinc/42/90/25/660429025.db2.gz TWANZFSIPSPLFM-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)c(C)s1 ZINC001032771551 660442264 /nfs/dbraw/zinc/44/22/64/660442264.db2.gz FXLCPVRJGJSKCT-HOTGVXAUSA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(CC)oc2ccccc21 ZINC001032806115 660451336 /nfs/dbraw/zinc/45/13/36/660451336.db2.gz IEAITHGKFIQLOS-GJZGRUSLSA-N 0 1 324.424 3.470 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001032797842 660449753 /nfs/dbraw/zinc/44/97/53/660449753.db2.gz GFZNQZKOCNAIKT-JLSDUUJJSA-N 0 1 316.420 3.181 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC001003286377 660490939 /nfs/dbraw/zinc/49/09/39/660490939.db2.gz PNSYMTBYYMNGMY-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001003804062 660509816 /nfs/dbraw/zinc/50/98/16/660509816.db2.gz COCNOZIVXHVLAR-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3cc(C)c(C)cc3o2)CC1 ZINC001003983574 660523248 /nfs/dbraw/zinc/52/32/48/660523248.db2.gz PBORGLDDBXZJAA-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(c3ccccc3C)CCC2)CC1 ZINC001004059022 660527172 /nfs/dbraw/zinc/52/71/72/660527172.db2.gz NWBGIHQVQOZXMI-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2oc3c(cccc3C)c2C)CC1 ZINC001004209295 660533421 /nfs/dbraw/zinc/53/34/21/660533421.db2.gz SGNZCFHBPCSJBM-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C3=CCCC3)cc2)CC1 ZINC001004297344 660539836 /nfs/dbraw/zinc/53/98/36/660539836.db2.gz CCCNPFHWGPRYLH-UHFFFAOYSA-N 0 1 322.452 3.471 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2coc3ccc(C)cc23)C1 ZINC001033118262 660551867 /nfs/dbraw/zinc/55/18/67/660551867.db2.gz PJYHUDSPZPCPCQ-INIZCTEOSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001033150646 660562165 /nfs/dbraw/zinc/56/21/65/660562165.db2.gz XNLHUUAWTDGACN-CQSZACIVSA-N 0 1 316.376 3.213 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001033150646 660562166 /nfs/dbraw/zinc/56/21/66/660562166.db2.gz XNLHUUAWTDGACN-CQSZACIVSA-N 0 1 316.376 3.213 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001033165603 660571088 /nfs/dbraw/zinc/57/10/88/660571088.db2.gz OJZQOVBIXUFAGU-GUDVDZBRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001033288100 660603987 /nfs/dbraw/zinc/60/39/87/660603987.db2.gz BEJKCAAGAQVUKK-ZDUSSCGKSA-N 0 1 316.376 3.213 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C)ccc2C2CC2)C1 ZINC001033296439 660604684 /nfs/dbraw/zinc/60/46/84/660604684.db2.gz UMIUGHFLYHUURI-QGZVFWFLSA-N 0 1 310.441 3.042 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001033324114 660608520 /nfs/dbraw/zinc/60/85/20/660608520.db2.gz CELNCUITUDWVAV-AWEZNQCLSA-N 0 1 306.837 3.289 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001033327922 660609243 /nfs/dbraw/zinc/60/92/43/660609243.db2.gz LKPHRKTUKCYHCE-CQSZACIVSA-N 0 1 306.837 3.289 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(Cc3cccc(F)c3)C2)C1 ZINC001033394597 660617008 /nfs/dbraw/zinc/61/70/08/660617008.db2.gz XAYQUQJAHJHKND-QGZVFWFLSA-N 0 1 316.420 3.215 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C(C)(C)C2CCCCC2)C1 ZINC001033484355 660626700 /nfs/dbraw/zinc/62/67/00/660626700.db2.gz RFEFCPCMZBETOI-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001033578158 660633578 /nfs/dbraw/zinc/63/35/78/660633578.db2.gz UPOCAJRKMIVBSS-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2oc3ccccc3c2Cl)C1 ZINC001033584645 660636024 /nfs/dbraw/zinc/63/60/24/660636024.db2.gz ZRXQWDSLXNECNM-LBPRGKRZSA-N 0 1 318.804 3.419 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2ccccc2OC(C)C)C1 ZINC001033599623 660636632 /nfs/dbraw/zinc/63/66/32/660636632.db2.gz QVWSMDGNDMLPQF-MRXNPFEDSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c(Cl)cccc2Cl)C1 ZINC001033611669 660639638 /nfs/dbraw/zinc/63/96/38/660639638.db2.gz ZWGFDMJQOLMSQT-LLVKDONJSA-N 0 1 313.228 3.326 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001033638187 660643980 /nfs/dbraw/zinc/64/39/80/660643980.db2.gz FXZCYTQIQOPEMS-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001033645807 660645769 /nfs/dbraw/zinc/64/57/69/660645769.db2.gz HOSQTEVDCNYQJN-SFHVURJKSA-N 0 1 300.446 3.217 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(C3CCCC3)no2)C1 ZINC001033670543 660647736 /nfs/dbraw/zinc/64/77/36/660647736.db2.gz ZTSOGWZJRKZMHD-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2c(F)cccc2Cl)C[C@@H]1C ZINC000947963402 660661669 /nfs/dbraw/zinc/66/16/69/660661669.db2.gz UJVHJSGJSYMECC-RYUDHWBXSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc3ccc(C)nc32)C1 ZINC001033866428 660673342 /nfs/dbraw/zinc/67/33/42/660673342.db2.gz XRCFPDNLEFQIOL-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033890115 660675026 /nfs/dbraw/zinc/67/50/26/660675026.db2.gz KTNMMNKYPNQOOE-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001033917105 660679847 /nfs/dbraw/zinc/67/98/47/660679847.db2.gz QYDSTUDAPHEUQX-JTQLQIEISA-N 0 1 316.232 3.044 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001033919829 660679970 /nfs/dbraw/zinc/67/99/70/660679970.db2.gz VNPPATUMRCVHAF-MRXNPFEDSA-N 0 1 311.429 3.199 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001033916919 660679999 /nfs/dbraw/zinc/67/99/99/660679999.db2.gz VWMSELOZUYLECO-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(CCC)cc2)C1 ZINC001033940096 660684572 /nfs/dbraw/zinc/68/45/72/660684572.db2.gz BTAKEYIPKNPCJB-SFHVURJKSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001033913333 660677105 /nfs/dbraw/zinc/67/71/05/660677105.db2.gz RODVDBQTSAGMEL-HNNXBMFYSA-N 0 1 315.392 3.029 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C(C)C)oc2C)C1 ZINC001034004181 660695134 /nfs/dbraw/zinc/69/51/34/660695134.db2.gz KDTHSIZEKLKTAJ-HNNXBMFYSA-N 0 1 304.434 3.434 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C(F)F)cc2)C1 ZINC001033991976 660691020 /nfs/dbraw/zinc/69/10/20/660691020.db2.gz OGEPLCIVNUGNNN-OAHLLOKOSA-N 0 1 308.372 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2csc(C(C)C)n2)C1 ZINC001033992858 660691615 /nfs/dbraw/zinc/69/16/15/660691615.db2.gz ZIXVYIJGPWYGLD-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2csc(CC(C)C)n2)C1 ZINC001034056128 660700345 /nfs/dbraw/zinc/70/03/45/660700345.db2.gz GVWNOPFWUCOLKP-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C3CC3)cc2)C1 ZINC001034045210 660700932 /nfs/dbraw/zinc/70/09/32/660700932.db2.gz ICIMWQQYLCTCCS-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001034047934 660701275 /nfs/dbraw/zinc/70/12/75/660701275.db2.gz RVSSFEMYNBHZBN-MRXNPFEDSA-N 0 1 312.457 3.206 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001034050974 660702426 /nfs/dbraw/zinc/70/24/26/660702426.db2.gz KIYKFCWWLKXNPR-OKZBNKHCSA-N 0 1 316.420 3.038 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001034057831 660703139 /nfs/dbraw/zinc/70/31/39/660703139.db2.gz ZQMKRPBRIRNRPC-HXUWFJFHSA-N 0 1 322.452 3.424 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001034050737 660702562 /nfs/dbraw/zinc/70/25/62/660702562.db2.gz DUZMVIMYMOSORF-CZUORRHYSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc3[nH]ccc3s2)C1 ZINC001034029642 660695856 /nfs/dbraw/zinc/69/58/56/660695856.db2.gz PRPKEFAYWVIYNJ-CYBMUJFWSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)nc3ccccc32)C1 ZINC001034031802 660696355 /nfs/dbraw/zinc/69/63/55/660696355.db2.gz GZHMRBQHLUQHLH-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001034032247 660697039 /nfs/dbraw/zinc/69/70/39/660697039.db2.gz OIDIVSSLAVPNKL-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001034272303 660731211 /nfs/dbraw/zinc/73/12/11/660731211.db2.gz KULUHFFFDMYWCP-AQNXPRMDSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2coc3c2cccc3C)C1 ZINC001034247125 660727090 /nfs/dbraw/zinc/72/70/90/660727090.db2.gz JTRFORNBWIMVBP-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001034253046 660729851 /nfs/dbraw/zinc/72/98/51/660729851.db2.gz DLUPRBPMNNOFJP-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001034253046 660729853 /nfs/dbraw/zinc/72/98/53/660729853.db2.gz DLUPRBPMNNOFJP-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2ccc(CC)s2)C1 ZINC001034297032 660733467 /nfs/dbraw/zinc/73/34/67/660733467.db2.gz MSNUDXXQTYHJIQ-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H](NC(=O)c2nccc3ccccc32)C1 ZINC001034368987 660742025 /nfs/dbraw/zinc/74/20/25/660742025.db2.gz ODSDCTAVGZQKOK-KRWDZBQOSA-N 0 1 323.440 3.395 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001034373794 660742948 /nfs/dbraw/zinc/74/29/48/660742948.db2.gz VQERCUQETWVQFZ-SFHVURJKSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001034411065 660749725 /nfs/dbraw/zinc/74/97/25/660749725.db2.gz MZQGNUTWNZWGDZ-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001034447698 660752709 /nfs/dbraw/zinc/75/27/09/660752709.db2.gz JETXQMHDOJBOBH-CVEARBPZSA-N 0 1 318.436 3.476 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC001034450185 660756067 /nfs/dbraw/zinc/75/60/67/660756067.db2.gz FOCOBFYAJNWAMV-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001034475930 660756976 /nfs/dbraw/zinc/75/69/76/660756976.db2.gz YKFBLGPDEZBLAK-INIZCTEOSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001034497161 660760457 /nfs/dbraw/zinc/76/04/57/660760457.db2.gz OWSBWBQXLNLYCU-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC001034505198 660762899 /nfs/dbraw/zinc/76/28/99/660762899.db2.gz INLGRLGZGVEFRE-GOSISDBHSA-N 0 1 316.489 3.341 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001034511796 660764621 /nfs/dbraw/zinc/76/46/21/660764621.db2.gz DFXMHSXVYWGEQR-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001034517764 660766082 /nfs/dbraw/zinc/76/60/82/660766082.db2.gz CXLOALZGZHKMPM-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001034525166 660766604 /nfs/dbraw/zinc/76/66/04/660766604.db2.gz YBHMPGGANNUMDH-MRXNPFEDSA-N 0 1 318.486 3.227 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001034528197 660767039 /nfs/dbraw/zinc/76/70/39/660767039.db2.gz PQSLWVXHMHTXBO-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C1 ZINC001034544373 660771679 /nfs/dbraw/zinc/77/16/79/660771679.db2.gz NTBDZWKXWMHETQ-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC001034546138 660772227 /nfs/dbraw/zinc/77/22/27/660772227.db2.gz HBUXKPRUBBSVQQ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001034548044 660772637 /nfs/dbraw/zinc/77/26/37/660772637.db2.gz ZZKCCWALVIGQGR-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC001034548065 660772651 /nfs/dbraw/zinc/77/26/51/660772651.db2.gz IKQKATHAICJIIS-HNNXBMFYSA-N 0 1 302.418 3.011 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc3ccccc3s2)CC1 ZINC001005677345 660788671 /nfs/dbraw/zinc/78/86/71/660788671.db2.gz UTRIYGKJNBEUHK-UHFFFAOYSA-N 0 1 312.438 3.071 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2occc2-c2ccccc2)CC1 ZINC001005684042 660789039 /nfs/dbraw/zinc/78/90/39/660789039.db2.gz FRQHCMIYOKZEGX-UHFFFAOYSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(C)[nH]c3ccccc32)CC1 ZINC001005685844 660789148 /nfs/dbraw/zinc/78/91/48/660789148.db2.gz SOJWBNISXZKPRI-UHFFFAOYSA-N 0 1 323.440 3.036 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCC[C@H]2c2ccccc2)CC1 ZINC001005691070 660789810 /nfs/dbraw/zinc/78/98/10/660789810.db2.gz NPVXWVBZTJERRG-PMACEKPBSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1CC=CCC1)CC2 ZINC001035155424 660819454 /nfs/dbraw/zinc/81/94/54/660819454.db2.gz CVPZOBHKIINSHG-MRXNPFEDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@@H]1C[C@@H]1C)CC2 ZINC001035222170 660828098 /nfs/dbraw/zinc/82/80/98/660828098.db2.gz ZYEKSSKBNIMHTG-ZFWWWQNUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@@H]1C(C)C)CC2 ZINC001035245469 660833242 /nfs/dbraw/zinc/83/32/42/660833242.db2.gz RCWZFWYWSFVSIE-HZPDHXFCSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CC(C)(F)F)CC2 ZINC001035227012 660828837 /nfs/dbraw/zinc/82/88/37/660828837.db2.gz PUXLJMOYYFKDGP-UHFFFAOYSA-N 0 1 320.811 3.099 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccccc1C(C)C)CC2 ZINC001035721038 660847182 /nfs/dbraw/zinc/84/71/82/660847182.db2.gz OWHSLFXOHVQTKS-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001035786283 660851767 /nfs/dbraw/zinc/85/17/67/660851767.db2.gz DQGRBSWENRZPJQ-DOTOQJQBSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1c1ccccc1C)CC2 ZINC001035816676 660853949 /nfs/dbraw/zinc/85/39/49/660853949.db2.gz AIIVTEUWCMIOLG-RBUKOAKNSA-N 0 1 324.468 3.209 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](F)CC1CCCCC1)CC2 ZINC001035835061 660857358 /nfs/dbraw/zinc/85/73/58/660857358.db2.gz LSBAWBFNRFVZIM-KRWDZBQOSA-N 0 1 322.468 3.405 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1CCC(C3CC3)CC1)CC2 ZINC001035845199 660858444 /nfs/dbraw/zinc/85/84/44/660858444.db2.gz KYDYFUBYLXBKCH-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1cccc(F)c1)CC2 ZINC001035854606 660859902 /nfs/dbraw/zinc/85/99/02/660859902.db2.gz BTUFJHBWSSVMBU-OAHLLOKOSA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(c3cccc(C)c3)CC1)CC2 ZINC001035858256 660860459 /nfs/dbraw/zinc/86/04/59/660860459.db2.gz RDCMCIRCKTYPHU-UHFFFAOYSA-N 0 1 324.468 3.137 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cccc(C)c3)CC[C@@H]21 ZINC001036631509 660933170 /nfs/dbraw/zinc/93/31/70/660933170.db2.gz YHDRETQRXIWGQC-IRXDYDNUSA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccccc3F)CC[C@@H]21 ZINC001036631444 660933336 /nfs/dbraw/zinc/93/33/36/660933336.db2.gz XDDNIMQLYACBLF-CJNGLKHVSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cccc(F)c3)CC[C@H]21 ZINC001036634771 660934606 /nfs/dbraw/zinc/93/46/06/660934606.db2.gz YDYSCTSSLBLIMK-GDBMZVCRSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cc(C)oc3C)CC[C@@H]21 ZINC001036642578 660935643 /nfs/dbraw/zinc/93/56/43/660935643.db2.gz SGMGEMIOGBMYKU-ZBFHGGJFSA-N 0 1 322.836 3.185 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3C(C)(C)C3(C)C)CC[C@H]21 ZINC001036680438 660945158 /nfs/dbraw/zinc/94/51/58/660945158.db2.gz WJFPVVCFLDQSJF-ZIAGYGMSSA-N 0 1 324.896 3.344 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3CC=CC3)C2)CC1 ZINC001040650532 660949847 /nfs/dbraw/zinc/94/98/47/660949847.db2.gz TXXXXFQGDOHOEF-UHFFFAOYSA-N 0 1 308.853 3.020 20 30 DGEDMN C[C@@H]1C[C@@H]1CC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005929616 660950306 /nfs/dbraw/zinc/95/03/06/660950306.db2.gz KGKCLLCXGWAPST-IEBWSBKVSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CCN(C/C=C\Cl)CC2)CC1 ZINC001005932769 660951176 /nfs/dbraw/zinc/95/11/76/660951176.db2.gz AIGGKKIXZDVAHB-KMKOMSMNSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cc(C)c(C)o3)CC[C@@H]21 ZINC001036692676 660952687 /nfs/dbraw/zinc/95/26/87/660952687.db2.gz KNFZKTNCCLOJGB-GJZGRUSLSA-N 0 1 322.836 3.185 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccsc3C)CC[C@@H]21 ZINC001036708988 660960287 /nfs/dbraw/zinc/96/02/87/660960287.db2.gz OOCUNJSSVBMPSV-HIFRSBDPSA-N 0 1 324.877 3.345 20 30 DGEDMN CCC(=O)N[C@@H]1CCN(CC#Cc2cccc(Cl)c2)[C@H](C)C1 ZINC000946936888 660970731 /nfs/dbraw/zinc/97/07/31/660970731.db2.gz MZMNNXYZIKKKJF-RHSMWYFYSA-N 0 1 318.848 3.071 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC001006265999 660971527 /nfs/dbraw/zinc/97/15/27/660971527.db2.gz LFXFFOLEUVNAMK-HNNXBMFYSA-N 0 1 324.424 3.092 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2CN=Nc2cccc(Cl)n2)o1 ZINC000793174091 661009810 /nfs/dbraw/zinc/00/98/10/661009810.db2.gz IJPFOTJIEHBYLQ-UHFFFAOYSA-N 0 1 301.737 3.473 20 30 DGEDMN Clc1cccc(NN=Cc2cccc3c2OCCCO3)n1 ZINC000793175867 661010437 /nfs/dbraw/zinc/01/04/37/661010437.db2.gz WWDZCYQDPDQNOR-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN CCOC1(C(=O)C(C#N)c2cnc3ccccc3n2)CCCC1 ZINC000793279972 661020270 /nfs/dbraw/zinc/02/02/70/661020270.db2.gz CDBVUVPVADIYDU-ZDUSSCGKSA-N 0 1 309.369 3.155 20 30 DGEDMN CCOC1(C)CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1 ZINC000793545519 661044320 /nfs/dbraw/zinc/04/43/20/661044320.db2.gz GDXBUYYUFGJIIX-UHFFFAOYSA-N 0 1 324.428 3.270 20 30 DGEDMN CC(C)C[C@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793489118 661038628 /nfs/dbraw/zinc/03/86/28/661038628.db2.gz BYUJJCPLSZCWRD-MRXNPFEDSA-N 0 1 313.445 3.067 20 30 DGEDMN COc1cccc(NN=Cc2ccnn2-c2ccccc2C)n1 ZINC000794529357 661089248 /nfs/dbraw/zinc/08/92/48/661089248.db2.gz CEHUDKAODGDYDD-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN C#CCCN1CCN(Cc2cc3ccccc3c(Cl)n2)CC1 ZINC000794562099 661091374 /nfs/dbraw/zinc/09/13/74/661091374.db2.gz KXRBMOYDKKKKGX-UHFFFAOYSA-N 0 1 313.832 3.029 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccc2occc2c1 ZINC000794864329 661110295 /nfs/dbraw/zinc/11/02/95/661110295.db2.gz MLSHMRXMAMOCCL-UHFFFAOYSA-N 0 1 312.276 3.214 20 30 DGEDMN Cc1cnn(C)c1CN=Nc1ccc(Br)cc1F ZINC000794905686 661113034 /nfs/dbraw/zinc/11/30/34/661113034.db2.gz YMJGWHHYJDBIKP-UHFFFAOYSA-N 0 1 311.158 3.076 20 30 DGEDMN CCOC(=O)c1scnc1/C=C/C(=O)c1ccc(C)cc1O ZINC000794940868 661115077 /nfs/dbraw/zinc/11/50/77/661115077.db2.gz DICUDAVNYLDVGN-VOTSOKGWSA-N 0 1 317.366 3.230 20 30 DGEDMN Cc1ccccc1-n1nccc1/C=C\C(=O)c1ccc(O)cc1O ZINC000794941746 661115248 /nfs/dbraw/zinc/11/52/48/661115248.db2.gz XAGVJETXTQODNQ-TWGQIWQCSA-N 0 1 320.348 3.488 20 30 DGEDMN Clc1cc(Br)ccc1CN=Nc1cncnc1 ZINC000794976574 661117991 /nfs/dbraw/zinc/11/79/91/661117991.db2.gz NBTITMGWCIOTCX-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN CC(=NNc1cncnc1)c1cc(Br)cc(C)c1O ZINC000794977020 661118521 /nfs/dbraw/zinc/11/85/21/661118521.db2.gz SZQXVJGGRHCDQN-UHFFFAOYSA-N 0 1 321.178 3.089 20 30 DGEDMN CC(=NNc1cncnc1)c1cnn(-c2cc(C)ccc2C)c1C ZINC000794979911 661118618 /nfs/dbraw/zinc/11/86/18/661118618.db2.gz YQWFKARCPPRLGU-UHFFFAOYSA-N 0 1 320.400 3.424 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC000969118723 655525040 /nfs/dbraw/zinc/52/50/40/655525040.db2.gz XKLSYQDYSVFVCA-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1cc(C)ccc1C ZINC000969131047 655526791 /nfs/dbraw/zinc/52/67/91/655526791.db2.gz WHSWGVCKJAYTIT-SFHVURJKSA-N 0 1 312.457 3.301 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1oc2ccccc2c1C ZINC000969140079 655527703 /nfs/dbraw/zinc/52/77/03/655527703.db2.gz WXOLCISMAWDMQT-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C2CC2)cc1 ZINC000969153880 655528746 /nfs/dbraw/zinc/52/87/46/655528746.db2.gz RKCAFUXNRGOPQB-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccoc1C(C)C ZINC000969158535 655528958 /nfs/dbraw/zinc/52/89/58/655528958.db2.gz NTQLALVCEDMAKP-OAHLLOKOSA-N 0 1 302.418 3.011 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1c(C)cccc1F ZINC000969164152 655530090 /nfs/dbraw/zinc/53/00/90/655530090.db2.gz LNOLEYIUFHAPCC-MRXNPFEDSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)cccc1F ZINC000969164152 655530091 /nfs/dbraw/zinc/53/00/91/655530091.db2.gz LNOLEYIUFHAPCC-MRXNPFEDSA-N 0 1 316.420 3.132 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C2=CCCC2)CCN1CC#Cc1ccccc1 ZINC000947190942 655541924 /nfs/dbraw/zinc/54/19/24/655541924.db2.gz KNDPOTJWDNICME-XLIONFOSSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2csc3cc(C)ccc23)C1 ZINC000969749174 655556624 /nfs/dbraw/zinc/55/66/24/655556624.db2.gz LIVYOTNDZHRBRY-ZDUSSCGKSA-N 0 1 314.454 3.446 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC000969802876 655558254 /nfs/dbraw/zinc/55/82/54/655558254.db2.gz FLELBRUVTKZSTN-CQSZACIVSA-N 0 1 324.399 3.052 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)cccc2Cl)C1 ZINC000969818545 655558641 /nfs/dbraw/zinc/55/86/41/655558641.db2.gz XATKCURHSYRFOK-GFCCVEGCSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC000970273681 655591284 /nfs/dbraw/zinc/59/12/84/655591284.db2.gz HUTWEAKPLDYFNJ-WMLDXEAASA-N 0 1 320.864 3.296 20 30 DGEDMN O=C(/C=C\c1nccn1CC(F)(F)F)c1cc(F)ccc1O ZINC000255070028 655591416 /nfs/dbraw/zinc/59/14/16/655591416.db2.gz OVFWGZJOYWYDIV-ARJAWSKDSA-N 0 1 314.238 3.186 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC000970495695 655603062 /nfs/dbraw/zinc/60/30/62/655603062.db2.gz JJJGWNIIQYKCLV-LBPRGKRZSA-N 0 1 304.434 3.122 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC000970547983 655605769 /nfs/dbraw/zinc/60/57/69/655605769.db2.gz KWBOHGBRGNIBBL-ZYSHUDEJSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(F)cccc2Cl)C1 ZINC000970550511 655605774 /nfs/dbraw/zinc/60/57/74/655605774.db2.gz UIIWHCIDYADREQ-SNVBAGLBSA-N 0 1 317.191 3.036 20 30 DGEDMN CCCC(=O)N1CCC[C@@H]2CN(CC#Cc3ccccc3)CC[C@@H]21 ZINC001021499836 655620468 /nfs/dbraw/zinc/62/04/68/655620468.db2.gz PDKPNFLMJAUBND-UXHICEINSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C=C(\C)C2CC2)C1 ZINC001021529753 655625488 /nfs/dbraw/zinc/62/54/88/655625488.db2.gz PZUPYRRDQQVMEB-WCEBEWAISA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC000970825948 655626945 /nfs/dbraw/zinc/62/69/45/655626945.db2.gz XYWFPYFQZXJLNF-HZPDHXFCSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC000970861588 655631031 /nfs/dbraw/zinc/63/10/31/655631031.db2.gz SJTZFSKVLSRUSK-STQMWFEESA-N 0 1 318.486 3.109 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2sc(C(C)C)nc2C)C1 ZINC000970865312 655631239 /nfs/dbraw/zinc/63/12/39/655631239.db2.gz JKWIHBXRCIDNRL-LBPRGKRZSA-N 0 1 321.490 3.201 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(F)c(C)cc2F)C[C@H]1C ZINC000947417248 655637496 /nfs/dbraw/zinc/63/74/96/655637496.db2.gz NZMNYTVGTJKQNI-OLZOCXBDSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000970928119 655638282 /nfs/dbraw/zinc/63/82/82/655638282.db2.gz JIQLVDPJLLETFI-AWEZNQCLSA-N 0 1 312.413 3.367 20 30 DGEDMN C[C@H](CCC(=O)C(C#N)C(=O)Nc1ccccn1)c1ccccc1 ZINC000160474047 655641523 /nfs/dbraw/zinc/64/15/23/655641523.db2.gz RYCXJGGLAFSNBA-GDBMZVCRSA-N 0 1 321.380 3.313 20 30 DGEDMN C[C@@H](C#N)OCCN1CCN(c2ccc(Cl)cc2)CC1(C)C ZINC000795722375 661169875 /nfs/dbraw/zinc/16/98/75/661169875.db2.gz ILQZIVAZPQINMC-AWEZNQCLSA-N 0 1 321.852 3.169 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C2CC2)CC1 ZINC000949465353 655660049 /nfs/dbraw/zinc/66/00/49/655660049.db2.gz VVQSSPOPVCQESF-ZWKOTPCHSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)COc1ccc([C@@H](C)NCc2cncn2C)cc1 ZINC000289799564 655670279 /nfs/dbraw/zinc/67/02/79/655670279.db2.gz NTSVUMNOMCHDPL-CYBMUJFWSA-N 0 1 305.809 3.402 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccc(Cl)cc2)CC1 ZINC000949520195 655697272 /nfs/dbraw/zinc/69/72/72/655697272.db2.gz WRUTXBUTPXLRML-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN COc1cccc(/C=C\C(=O)c2ccc(O)c(F)c2)c1OC ZINC000255367011 655709595 /nfs/dbraw/zinc/70/95/95/655709595.db2.gz HBUVUOLNVJREGM-VURMDHGXSA-N 0 1 302.301 3.445 20 30 DGEDMN CC(C)(C#N)CCCCN1CCOc2ccccc2[C@@H]1CO ZINC000933382292 655710174 /nfs/dbraw/zinc/71/01/74/655710174.db2.gz JXDLEZKEQJXTDD-INIZCTEOSA-N 0 1 302.418 3.134 20 30 DGEDMN N#CC1(CCCCN2CCOc3ccccc3[C@@H]2CO)CCC1 ZINC000933383143 655710373 /nfs/dbraw/zinc/71/03/73/655710373.db2.gz IKEVBVLMMOIRRH-KRWDZBQOSA-N 0 1 314.429 3.279 20 30 DGEDMN C=C(Br)CN[C@@](C)(CO)Cc1ccc(Cl)cc1 ZINC000933448835 655714662 /nfs/dbraw/zinc/71/46/62/655714662.db2.gz KVACSHTUFFPCJX-CYBMUJFWSA-N 0 1 318.642 3.132 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176089335 655733270 /nfs/dbraw/zinc/73/32/70/655733270.db2.gz PEAIPXMDIWGIPS-RDBSUJKOSA-N 0 1 302.761 3.033 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NCc3c(F)cccc3F)C2)C1 ZINC001022674764 655761202 /nfs/dbraw/zinc/76/12/02/655761202.db2.gz IHPAMJWSIZLZJA-BETUJISGSA-N 0 1 320.383 3.058 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC000972246540 655782438 /nfs/dbraw/zinc/78/24/38/655782438.db2.gz PNJOXHPTVNXFQT-PBHICJAKSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(C[C@H]2CCCC(F)(F)C2)CC1 ZINC000949666956 655790632 /nfs/dbraw/zinc/79/06/32/655790632.db2.gz KABQQJODSFSKIX-AWEZNQCLSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)=C3CCC3)CC[C@H]21 ZINC001036713913 661191091 /nfs/dbraw/zinc/19/10/91/661191091.db2.gz PWQRHFZMQHNQSG-HZPDHXFCSA-N 0 1 308.853 3.162 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COc2ccc3ccccc3c2)c1 ZINC000176828233 655849470 /nfs/dbraw/zinc/84/94/70/655849470.db2.gz RQSTUZZQHUQTTN-UHFFFAOYSA-N 0 1 318.332 3.435 20 30 DGEDMN CCOc1ccc(CCC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176829336 655849735 /nfs/dbraw/zinc/84/97/35/655849735.db2.gz DDGASFBNPCBQFV-UHFFFAOYSA-N 0 1 310.353 3.234 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](CNC(=O)c2oc3ccccc3c2C)C1 ZINC001023738118 655860257 /nfs/dbraw/zinc/86/02/57/655860257.db2.gz YTPLFPZZBACGFK-HNNXBMFYSA-N 0 1 312.413 3.369 20 30 DGEDMN CCOc1cc(/C=C\C(=O)c2ccc(O)cc2O)ccc1OC ZINC000255630469 655883131 /nfs/dbraw/zinc/88/31/31/655883131.db2.gz CCSUOUVFLDLQAD-YWEYNIOJSA-N 0 1 314.337 3.401 20 30 DGEDMN C[C@@H]1CN(C(C)(C)C/N=C\c2cc(Cl)ccc2O)C[C@H](C)O1 ZINC000255728525 655892418 /nfs/dbraw/zinc/89/24/18/655892418.db2.gz DBNWBSZCHRQYEP-BFUKBPFLSA-N 0 1 324.852 3.352 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(Cl)c1C ZINC001024450752 655906988 /nfs/dbraw/zinc/90/69/88/655906988.db2.gz QDPRGUJVYHVUPK-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccccc1C(C)C ZINC001024470344 655908503 /nfs/dbraw/zinc/90/85/03/655908503.db2.gz ZDBMBOSPBNROPK-KRWDZBQOSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccnn1C(CC)CC ZINC001024479241 655909090 /nfs/dbraw/zinc/90/90/90/655909090.db2.gz CTNZNLOYUCYRDB-INIZCTEOSA-N 0 1 318.465 3.015 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCOc2cccc(Cl)c2)c1 ZINC000179734554 655912490 /nfs/dbraw/zinc/91/24/90/655912490.db2.gz XJSXLKZYKIOPQZ-UHFFFAOYSA-N 0 1 316.744 3.325 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2c[nH]nc2-c2ccccc2F)c1 ZINC000179731389 655913041 /nfs/dbraw/zinc/91/30/41/655913041.db2.gz JSXUNBWEKPAGGB-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)ccc1F ZINC001024541318 655914978 /nfs/dbraw/zinc/91/49/78/655914978.db2.gz IJLHKMJMOFWFMW-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccnc2ccccc21 ZINC001024541240 655915109 /nfs/dbraw/zinc/91/51/09/655915109.db2.gz ZTKPQPVGHCKEKG-HNNXBMFYSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001024561801 655916810 /nfs/dbraw/zinc/91/68/10/655916810.db2.gz YTGHESNMUQGQOT-CMKODMSKSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1coc(C(F)F)c1 ZINC001024624337 655925234 /nfs/dbraw/zinc/92/52/34/655925234.db2.gz PXHPAGHAQRSVSZ-CYBMUJFWSA-N 0 1 312.360 3.378 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1 ZINC001024631211 655925744 /nfs/dbraw/zinc/92/57/44/655925744.db2.gz HTORCHXLKNYHLW-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC3CC(C)(C)C3)CC[C@H]21 ZINC001036800465 661203939 /nfs/dbraw/zinc/20/39/39/661203939.db2.gz UAJLIXGPUNHKJK-HZPDHXFCSA-N 0 1 324.896 3.488 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc(F)c1Cl ZINC001024677909 655929537 /nfs/dbraw/zinc/92/95/37/655929537.db2.gz LMHXXQLNECCPGU-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001024720154 655933222 /nfs/dbraw/zinc/93/32/22/655933222.db2.gz GCLWXBXRGJFXAI-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ncsc1C(C)C ZINC001024753955 655935635 /nfs/dbraw/zinc/93/56/35/655935635.db2.gz KFYMYULOIIAZAJ-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001024760519 655935896 /nfs/dbraw/zinc/93/58/96/655935896.db2.gz VDNGHPWYYWXSJY-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001024760323 655936047 /nfs/dbraw/zinc/93/60/47/655936047.db2.gz SJAKFXNFYZJQQA-OAHLLOKOSA-N 0 1 316.470 3.233 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ccoc1CCC ZINC001024758741 655936383 /nfs/dbraw/zinc/93/63/83/655936383.db2.gz XAJHZMGAFZMBCY-OAHLLOKOSA-N 0 1 304.434 3.393 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(C(F)F)c1 ZINC001024768417 655936913 /nfs/dbraw/zinc/93/69/13/655936913.db2.gz QGYFWBLDSYJUIG-OAHLLOKOSA-N 0 1 308.372 3.395 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC001024768666 655937077 /nfs/dbraw/zinc/93/70/77/655937077.db2.gz UFULJCLZFIRNJN-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001024784000 655938397 /nfs/dbraw/zinc/93/83/97/655938397.db2.gz WXEXZYPBYMVGFZ-NVXWUHKLSA-N 0 1 318.848 3.136 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001024793516 655939122 /nfs/dbraw/zinc/93/91/22/655939122.db2.gz YTFBGSKGARCGDG-MRXNPFEDSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(F)cc1Cl ZINC001024789013 655939411 /nfs/dbraw/zinc/93/94/11/655939411.db2.gz QVPQVFOAMNMTAL-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(CC(C)C)cc1 ZINC001024794676 655939685 /nfs/dbraw/zinc/93/96/85/655939685.db2.gz PWOKFNFXZFVHPQ-LJQANCHMSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc2cc(C)ccc2o1 ZINC001024813430 655941432 /nfs/dbraw/zinc/94/14/32/655941432.db2.gz CJBXOSRVXFMJBW-QGZVFWFLSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C2CC2)cc1 ZINC001024811384 655941684 /nfs/dbraw/zinc/94/16/84/655941684.db2.gz RHBLUGLHDHRIGR-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3occc3CC)CC[C@@H]21 ZINC001036812256 661206227 /nfs/dbraw/zinc/20/62/27/661206227.db2.gz DWSXTZWRKZRPBN-GJZGRUSLSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C)CC=CC3)CC[C@@H]21 ZINC001036813132 661207356 /nfs/dbraw/zinc/20/73/56/661207356.db2.gz ROBLWEMOPHOHPS-GJZGRUSLSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001025070239 655963497 /nfs/dbraw/zinc/96/34/97/655963497.db2.gz VTKULKZGNMPFHT-AEFFLSMTSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001025234582 655982955 /nfs/dbraw/zinc/98/29/55/655982955.db2.gz JAPKIHMFWZCDHZ-JKSUJKDBSA-N 0 1 321.852 3.226 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1[C@@H]1CCCN1CCF ZINC001025259586 655985378 /nfs/dbraw/zinc/98/53/78/655985378.db2.gz QKMNNVQYJKHDGY-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2c(C)coc2C)C1 ZINC001025409399 656006868 /nfs/dbraw/zinc/00/68/68/656006868.db2.gz SQXORPBGXUHUNY-ZFWWWQNUSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2cc[nH]c2CC)C1 ZINC001025412836 656007454 /nfs/dbraw/zinc/00/74/54/656007454.db2.gz ZVOUHTFCBVVBPE-KGLIPLIRSA-N 0 1 323.868 3.160 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)C23CCC(CC2)CC3)C1 ZINC001025630786 656052654 /nfs/dbraw/zinc/05/26/54/656052654.db2.gz WCCKBXYKTGCMAL-SARBKMAYSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1conc1C1CCCC1 ZINC001038336900 656060459 /nfs/dbraw/zinc/06/04/59/656060459.db2.gz BNZZGYJHWYSMNR-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001019805221 656064549 /nfs/dbraw/zinc/06/45/49/656064549.db2.gz XDSAKLHDVWCVFB-GJZGRUSLSA-N 0 1 306.837 3.042 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2ccc(F)cc2F)c1 ZINC000162356323 656135525 /nfs/dbraw/zinc/13/55/25/656135525.db2.gz SOPCAYBTFFLWIH-UHFFFAOYSA-N 0 1 302.280 3.113 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@H](C)C2)CC1 ZINC000947685120 656144105 /nfs/dbraw/zinc/14/41/05/656144105.db2.gz LMZJZEUMYKLCJO-ZQGACQJRSA-N 0 1 310.869 3.454 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3c2CCCC3)C(C)(C)C1 ZINC000974618834 656216621 /nfs/dbraw/zinc/21/66/21/656216621.db2.gz FUHNKLFSXICENM-SFHVURJKSA-N 0 1 312.457 3.192 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(Cl)c2C)C(C)(C)C1 ZINC000974633698 656218671 /nfs/dbraw/zinc/21/86/71/656218671.db2.gz ULTVVNHQJYOMBD-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000974684952 656222560 /nfs/dbraw/zinc/22/25/60/656222560.db2.gz RPHDQVBDWOKQGB-MRXNPFEDSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2C(C)C)C(C)(C)C1 ZINC000974690611 656222961 /nfs/dbraw/zinc/22/29/61/656222961.db2.gz ITOIDNQBMGIEDC-CQSZACIVSA-N 0 1 319.449 3.123 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000974756795 656227901 /nfs/dbraw/zinc/22/79/01/656227901.db2.gz JTIFWDRMNLGBKU-KVZIAJEVSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3)CCC2)C(C)(C)C1 ZINC000974793594 656229550 /nfs/dbraw/zinc/22/95/50/656229550.db2.gz XMXXHMYARAQKOK-KRWDZBQOSA-N 0 1 312.457 3.121 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C(C)(C)C1 ZINC000974829761 656232616 /nfs/dbraw/zinc/23/26/16/656232616.db2.gz RTJQAFRBFGWMPZ-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974827324 656233044 /nfs/dbraw/zinc/23/30/44/656233044.db2.gz MYFJNMBUBGQTMV-MSOLQXFVSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001026989010 656235176 /nfs/dbraw/zinc/23/51/76/656235176.db2.gz VKTLOJWDNCUUKE-LSDHHAIUSA-N 0 1 319.449 3.084 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C(C)(C)C1 ZINC000974867921 656236213 /nfs/dbraw/zinc/23/62/13/656236213.db2.gz QYQQSYNZCXAJJP-ZDUSSCGKSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cc(F)cc2C)C(C)(C)C1 ZINC000974929404 656242201 /nfs/dbraw/zinc/24/22/01/656242201.db2.gz JENNANLIQJEZHM-HNNXBMFYSA-N 0 1 304.409 3.069 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)C(C)(C)C1 ZINC000974929408 656242321 /nfs/dbraw/zinc/24/23/21/656242321.db2.gz JENNANLIQJEZHM-OAHLLOKOSA-N 0 1 304.409 3.069 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C(C)C)cn2)C(C)(C)C1 ZINC000975050330 656254039 /nfs/dbraw/zinc/25/40/39/656254039.db2.gz LZIXWCXFWNDEAT-QGZVFWFLSA-N 0 1 315.461 3.221 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027276214 656277746 /nfs/dbraw/zinc/27/77/46/656277746.db2.gz DSMJQTYMNDTOTC-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CCC(CC2)C3(C)C)C(C)(C)C1 ZINC000977256524 656319236 /nfs/dbraw/zinc/31/92/36/656319236.db2.gz XQVBTFGTXWMQJU-HVEKOLDVSA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000977449827 656331001 /nfs/dbraw/zinc/33/10/01/656331001.db2.gz DJGHUKKBBFGTQT-IBGZPJMESA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977440460 656331457 /nfs/dbraw/zinc/33/14/57/656331457.db2.gz AFXVLCFQCICMHQ-HIFRSBDPSA-N 0 1 306.475 3.173 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977461552 656332922 /nfs/dbraw/zinc/33/29/22/656332922.db2.gz KFXLMWPWVZOOQV-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C3CC3)s2)C(C)(C)C1 ZINC000977490657 656334489 /nfs/dbraw/zinc/33/44/89/656334489.db2.gz BLGKZMMAMZOSOO-CQSZACIVSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c(s2)CCC3)C(C)(C)C1 ZINC000977561606 656343299 /nfs/dbraw/zinc/34/32/99/656343299.db2.gz BNFIGGCRIFCURQ-MRXNPFEDSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccc(F)cc2F)C(C)(C)C1 ZINC000977609896 656347753 /nfs/dbraw/zinc/34/77/53/656347753.db2.gz CBAYZZIQVKDELS-WBMJQRKESA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccccc2C)C(C)(C)C1 ZINC000977631048 656348685 /nfs/dbraw/zinc/34/86/85/656348685.db2.gz RVVCEZMWNYVHLO-RDJZCZTQSA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c3c2CCC3)C(C)(C)C1 ZINC000977635330 656349753 /nfs/dbraw/zinc/34/97/53/656349753.db2.gz KSBFCHGMDKDQID-SFHVURJKSA-N 0 1 312.457 3.110 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)C2CC(C(C)(C)C)C2)C(C)(C)C1 ZINC000977648364 656351019 /nfs/dbraw/zinc/35/10/19/656351019.db2.gz AAQSFUDUTHYQPD-GPANFISMSA-N 0 1 306.494 3.461 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C)CCC(C)CC2)C(C)(C)C1 ZINC000977643644 656351889 /nfs/dbraw/zinc/35/18/89/656351889.db2.gz NOXFIJMJLWRIPR-KOHRHEQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3C)CC2)C(C)(C)C1 ZINC000977661505 656352179 /nfs/dbraw/zinc/35/21/79/656352179.db2.gz UUGSYHCPPKXMSI-KRWDZBQOSA-N 0 1 312.457 3.039 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2C(C)C)C(C)(C)C1 ZINC000977650137 656352299 /nfs/dbraw/zinc/35/22/99/656352299.db2.gz MJZSEPFLOFVCTR-OAHLLOKOSA-N 0 1 304.434 3.419 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977664245 656355114 /nfs/dbraw/zinc/35/51/14/656355114.db2.gz RNZZNGFLLQOFSS-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3ccoc3C(C)C)[C@@H]2C1 ZINC000979115109 656413839 /nfs/dbraw/zinc/41/38/39/656413839.db2.gz LOMXTWWHDYVCQJ-QKDCVEJESA-N 0 1 322.836 3.063 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc(C)nc(C)c2)CC1 ZINC001052414163 656434832 /nfs/dbraw/zinc/43/48/32/656434832.db2.gz ZFPFCLVGJCXYNH-INIZCTEOSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CCCC23CC3)CC1 ZINC001052491330 656438729 /nfs/dbraw/zinc/43/87/29/656438729.db2.gz BLUJDWPETWQOHG-LSDHHAIUSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc[nH]c2C2CC2)CC1 ZINC001052663685 656454234 /nfs/dbraw/zinc/45/42/34/656454234.db2.gz WMJASGFNSDXJPF-CQSZACIVSA-N 0 1 321.852 3.229 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(F)c(C(F)F)c1 ZINC001027887254 656461961 /nfs/dbraw/zinc/46/19/61/656461961.db2.gz LDKOPCPWSYWNJB-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC001027908926 656480348 /nfs/dbraw/zinc/48/03/48/656480348.db2.gz FQAOQMFZFNPIMO-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC001027908926 656480349 /nfs/dbraw/zinc/48/03/49/656480349.db2.gz FQAOQMFZFNPIMO-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1F ZINC001039370031 656488850 /nfs/dbraw/zinc/48/88/50/656488850.db2.gz RAKLZBPREOVNPS-OLZOCXBDSA-N 0 1 308.422 3.142 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001039375452 656489497 /nfs/dbraw/zinc/48/94/97/656489497.db2.gz FPKTYPROYOZQJE-ZACQAIPSSA-N 0 1 302.462 3.208 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1CCCC2 ZINC001039379410 656490331 /nfs/dbraw/zinc/49/03/31/656490331.db2.gz OMKJGMMTDAFBTA-MSOLQXFVSA-N 0 1 324.468 3.430 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C2CCC2)cc1 ZINC001027920925 656492558 /nfs/dbraw/zinc/49/25/58/656492558.db2.gz KPVLYOPQZIEWPW-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1CC=CCC1)C2 ZINC001048502786 656502530 /nfs/dbraw/zinc/50/25/30/656502530.db2.gz OWQZDADUBFCIQT-WCXIOVBPSA-N 0 1 322.880 3.454 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001039452459 656505688 /nfs/dbraw/zinc/50/56/88/656505688.db2.gz XIXYLRZPGUFOPX-DBVUQKKJSA-N 0 1 324.468 3.051 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)o1 ZINC001039462718 656507854 /nfs/dbraw/zinc/50/78/54/656507854.db2.gz CAJLACIHYZVTJC-OLZOCXBDSA-N 0 1 324.371 3.472 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C(C)C ZINC001039475760 656511429 /nfs/dbraw/zinc/51/14/29/656511429.db2.gz IEBOPHYQQLBHIU-CABCVRRESA-N 0 1 302.418 3.268 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nsc1C ZINC001039475539 656511506 /nfs/dbraw/zinc/51/15/06/656511506.db2.gz XEDFHUXLOZICPX-CABCVRRESA-N 0 1 319.474 3.015 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001048557510 656512558 /nfs/dbraw/zinc/51/25/58/656512558.db2.gz PBFJADZRYVHWOH-UHIKWCEUSA-N 0 1 322.880 3.144 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCCC1(C)C ZINC001039478424 656512606 /nfs/dbraw/zinc/51/26/06/656512606.db2.gz NJLSFUNPXZPYJZ-KSZLIROESA-N 0 1 316.489 3.291 20 30 DGEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCC1CCCC1 ZINC001039532133 656525337 /nfs/dbraw/zinc/52/53/37/656525337.db2.gz IIXVYNZQIXKTKT-RYQLBKOJSA-N 0 1 317.477 3.182 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001039822115 656536049 /nfs/dbraw/zinc/53/60/49/656536049.db2.gz BTGIFDMPXOHVGR-HRCADAONSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCC(C)=C(C)C3)C[C@@H]2C1 ZINC001048838033 656542077 /nfs/dbraw/zinc/54/20/77/656542077.db2.gz AFPOOQRHCDBMOL-YESZJQIVSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@]3(C)CCC[C@@H]3CC)C[C@@H]2C1 ZINC001048892353 656544700 /nfs/dbraw/zinc/54/47/00/656544700.db2.gz YUUJRPHQMMKPEL-UIBIWLFHSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@H]2CCC[N@@H+]2CC(=C)C)cc1 ZINC001027973851 656560704 /nfs/dbraw/zinc/56/07/04/656560704.db2.gz AXGQPYOECIPCMV-QGZVFWFLSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3sccc3CC)C[C@@H]2C1 ZINC001049231288 656574713 /nfs/dbraw/zinc/57/47/13/656574713.db2.gz UFRYFZGHUAVTNP-OKILXGFUSA-N 0 1 324.877 3.067 20 30 DGEDMN CC(C)c1ccc(CN2CCCN(C(=O)[C@@H](C)C#N)CC2)cc1 ZINC000980848424 656577302 /nfs/dbraw/zinc/57/73/02/656577302.db2.gz WUDFQXSNGIWDBD-INIZCTEOSA-N 0 1 313.445 3.004 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)CO[C@H]2CCCC[C@@H]2CC)CC1 ZINC000981137538 656628798 /nfs/dbraw/zinc/62/87/98/656628798.db2.gz VGGQAVDJIGLGGL-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2occc2c1 ZINC001049491215 656629752 /nfs/dbraw/zinc/62/97/52/656629752.db2.gz MNKALVFMPUKYHY-ZWKOTPCHSA-N 0 1 322.408 3.135 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc([C@@H]3C[C@@H]3C)o2)CC1 ZINC000981147680 656633020 /nfs/dbraw/zinc/63/30/20/656633020.db2.gz YAVLGNBPDXIJCU-LSDHHAIUSA-N 0 1 302.418 3.127 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001028049043 656647200 /nfs/dbraw/zinc/64/72/00/656647200.db2.gz NHCWFTNEQNANBB-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662579 656660043 /nfs/dbraw/zinc/66/00/43/656660043.db2.gz HUVSIPNRGWFZGO-IRXDYDNUSA-N 0 1 314.429 3.105 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCC[C@@H](NCc3cscn3)C2)C1 ZINC000981324294 656678193 /nfs/dbraw/zinc/67/81/93/656678193.db2.gz UZHHIMAUJFWYQD-KGLIPLIRSA-N 0 1 319.474 3.016 20 30 DGEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750800 656679038 /nfs/dbraw/zinc/67/90/38/656679038.db2.gz NZQCNBRHUAQWRR-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761680 656681995 /nfs/dbraw/zinc/68/19/95/656681995.db2.gz LAPAFCXJTLPZCL-UONOGXRCSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)CC1CCCCC1 ZINC001049761810 656682388 /nfs/dbraw/zinc/68/23/88/656682388.db2.gz LYYUPGRWQYQXJG-QXAKKESOSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001049790024 656687511 /nfs/dbraw/zinc/68/75/11/656687511.db2.gz JHRGYWYEDGURSR-NSISKUIASA-N 0 1 324.468 3.051 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001049790022 656687584 /nfs/dbraw/zinc/68/75/84/656687584.db2.gz JHRGYWYEDGURSR-FFZOFVMBSA-N 0 1 324.468 3.051 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC)CCCC1 ZINC001049821869 656692398 /nfs/dbraw/zinc/69/23/98/656692398.db2.gz IIZJKYGSTFIKCM-IRXDYDNUSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(Cl)cc1 ZINC001049854573 656699661 /nfs/dbraw/zinc/69/96/61/656699661.db2.gz NVQQATICWHCEOY-IAGOWNOFSA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2ccccc2c1 ZINC001049860755 656703353 /nfs/dbraw/zinc/70/33/53/656703353.db2.gz CSLUNCAOBHNZON-PMACEKPBSA-N 0 1 318.420 3.152 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(C(F)F)o1 ZINC001049870844 656707077 /nfs/dbraw/zinc/70/70/77/656707077.db2.gz OGWKGCSWJZFGQI-RYUDHWBXSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000981517744 656713666 /nfs/dbraw/zinc/71/36/66/656713666.db2.gz NYLWEWMOKWPMPN-UONOGXRCSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2c(c1)CCC2 ZINC001049892232 656715535 /nfs/dbraw/zinc/71/55/35/656715535.db2.gz LCXLYWXBBYJJLX-OALUTQOASA-N 0 1 310.441 3.040 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(CC(C)C)cc1 ZINC001049888946 656715545 /nfs/dbraw/zinc/71/55/45/656715545.db2.gz LSEPIIBAQSWSTE-WOJBJXKFSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(CC)s3)C[C@H]21 ZINC001042138127 656725431 /nfs/dbraw/zinc/72/54/31/656725431.db2.gz LFDQFLLLTBPLNX-DZGCQCFKSA-N 0 1 304.459 3.033 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)Cc1cncc(C(=O)OC)c1 ZINC000929269278 661292878 /nfs/dbraw/zinc/29/28/78/661292878.db2.gz IRZJLVQDMYKHOP-UHFFFAOYSA-N 0 1 323.396 3.152 20 30 DGEDMN CC[C@H](C)C(=O)N1CC2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC000981627931 656739896 /nfs/dbraw/zinc/73/98/96/656739896.db2.gz SZBFLCGBNCVZLB-SFHVURJKSA-N 0 1 324.468 3.009 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc4sccc4c3)C[C@@H]21 ZINC001042296600 656748469 /nfs/dbraw/zinc/74/84/69/656748469.db2.gz CLCSKUFVGMSOEC-PBHICJAKSA-N 0 1 324.449 3.071 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@@H]2C1 ZINC001042322145 656752645 /nfs/dbraw/zinc/75/26/45/656752645.db2.gz MHBJBAMPLPEFAU-RTBURBONSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+](CCc2ccc(C)cc2)CC1 ZINC000981753517 656758085 /nfs/dbraw/zinc/75/80/85/656758085.db2.gz FFDQBZKSEZCHGD-UHFFFAOYSA-N 0 1 314.473 3.284 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(CC(C)C)cc3)C[C@@H]21 ZINC001042347793 656762749 /nfs/dbraw/zinc/76/27/49/656762749.db2.gz BXQQDNCGQDCXMA-ICSRJNTNSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCN(Cc3cccc(C)c3)CC2)C1 ZINC000981909990 656801746 /nfs/dbraw/zinc/80/17/46/656801746.db2.gz WLIRDMRVBLLEAU-UHFFFAOYSA-N 0 1 312.457 3.386 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001053004466 656815038 /nfs/dbraw/zinc/81/50/38/656815038.db2.gz VXUKHPOBYWDWDW-HOTGVXAUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCCN2C(=O)C2CC2)C1 ZINC001053059715 656834262 /nfs/dbraw/zinc/83/42/62/656834262.db2.gz XFRFRXYQTYXLDV-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCCN(C/C=C/Cl)C3)CC1 ZINC000981983344 656845825 /nfs/dbraw/zinc/84/58/25/656845825.db2.gz JDXXGXUTGQCWBJ-YCRREMRBSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(C[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)CC1 ZINC001043759224 656854125 /nfs/dbraw/zinc/85/41/25/656854125.db2.gz GBPXCWYWRMJYPJ-NXHRZFHOSA-N 0 1 316.489 3.312 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc(C(C)(C)C)oc2C)CC1 ZINC000982038378 656872883 /nfs/dbraw/zinc/87/28/83/656872883.db2.gz HZVYRNUZRYAONI-UHFFFAOYSA-N 0 1 316.445 3.057 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2ccc(C(C)(C)C)cc2)CC1 ZINC000982041107 656874145 /nfs/dbraw/zinc/87/41/45/656874145.db2.gz KJFJNTCTKWVRNR-UHFFFAOYSA-N 0 1 314.473 3.247 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2cc(Cl)cs2)CC1 ZINC000982046812 656876560 /nfs/dbraw/zinc/87/65/60/656876560.db2.gz INTSPQIKNOHXMS-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C1CC=CC1 ZINC001054034877 656886802 /nfs/dbraw/zinc/88/68/02/656886802.db2.gz BTFFSNQIRBVQOK-LJQANCHMSA-N 0 1 310.441 3.072 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C1CC=CC1 ZINC001054034876 656887293 /nfs/dbraw/zinc/88/72/93/656887293.db2.gz BTFFSNQIRBVQOK-IBGZPJMESA-N 0 1 310.441 3.072 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1coc(C)c1 ZINC001054038836 656890605 /nfs/dbraw/zinc/89/06/05/656890605.db2.gz NBGOWIXJCNOUIE-IBGZPJMESA-N 0 1 324.424 3.321 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1cc(C)co1 ZINC001054049634 656892333 /nfs/dbraw/zinc/89/23/33/656892333.db2.gz DEUJWEXHCGWXSD-SFHVURJKSA-N 0 1 324.424 3.321 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2cccc3ccccc32)C1 ZINC001044214958 656897637 /nfs/dbraw/zinc/89/76/37/656897637.db2.gz MALAQBFQWJHMQW-UHFFFAOYSA-N 0 1 308.425 3.101 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)c1c[nH]cc1C ZINC001054060201 656897862 /nfs/dbraw/zinc/89/78/62/656897862.db2.gz ITHGNABGFZHDFR-SFHVURJKSA-N 0 1 323.440 3.056 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001044223431 656900351 /nfs/dbraw/zinc/90/03/51/656900351.db2.gz IVBXLJWWZCTMQC-UHFFFAOYSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1CCCC1)C2 ZINC001054069090 656901530 /nfs/dbraw/zinc/90/15/30/656901530.db2.gz JSPIZYKGYUIJMN-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)c1ccccc1)C2 ZINC001054074812 656904031 /nfs/dbraw/zinc/90/40/31/656904031.db2.gz APUWUHOWFGGRMV-GOSISDBHSA-N 0 1 318.848 3.367 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2ccc3ccccc3c2)C1 ZINC001044276888 656906069 /nfs/dbraw/zinc/90/60/69/656906069.db2.gz KRYHDJTXCADKRE-UHFFFAOYSA-N 0 1 308.425 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H]1CC=CCC1)C2 ZINC001054083636 656907272 /nfs/dbraw/zinc/90/72/72/656907272.db2.gz JCTXXMPNFCSKKC-FUHWJXTLSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCCC(C)(C)C3)C2)C1 ZINC000982167166 656909375 /nfs/dbraw/zinc/90/93/75/656909375.db2.gz CDVLFJLPJRIDQC-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)C3CCC(C)(C)CC3)C2)C1 ZINC000982168024 656909534 /nfs/dbraw/zinc/90/95/34/656909534.db2.gz ZXIVZIKDRJYTHG-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3CCC(C)(C)CC3)C2)C1 ZINC000982168024 656909536 /nfs/dbraw/zinc/90/95/36/656909536.db2.gz ZXIVZIKDRJYTHG-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(C)CCC(C)=C(C)C3)C2)C1 ZINC000982167744 656909619 /nfs/dbraw/zinc/90/96/19/656909619.db2.gz RERJBTATUAADEE-LJQANCHMSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CC(F)(F)F)C2 ZINC001054096920 656910624 /nfs/dbraw/zinc/91/06/24/656910624.db2.gz XZLSOENZQKZHSJ-ZDUSSCGKSA-N 0 1 324.774 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C=C/C1CC1)C2 ZINC001054105947 656911085 /nfs/dbraw/zinc/91/10/85/656911085.db2.gz HCOLEASCIRLFDI-RTRPANQVSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001044357510 656911970 /nfs/dbraw/zinc/91/19/70/656911970.db2.gz UZDCWUMPBZDJGG-ZWKOTPCHSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c[nH]c3ccc(F)cc32)C1 ZINC001044375559 656912783 /nfs/dbraw/zinc/91/27/83/656912783.db2.gz NCVBIVHQFLQPMZ-UHFFFAOYSA-N 0 1 315.392 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1=CCCC1)C2 ZINC001054115310 656913252 /nfs/dbraw/zinc/91/32/52/656913252.db2.gz URHFNTZSYHOOAV-KRWDZBQOSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H]1CC1(C)C)C2 ZINC001054117263 656914665 /nfs/dbraw/zinc/91/46/65/656914665.db2.gz JSBCNCKEVLTVIH-RHSMWYFYSA-N 0 1 310.869 3.100 20 30 DGEDMN CCCC(=O)N1C[C@@]2(C)CN(CC#Cc3ccccc3)C[C@@]2(C)C1 ZINC000982188081 656914809 /nfs/dbraw/zinc/91/48/09/656914809.db2.gz BHQOGTNGAYPFRZ-OYRHEFFESA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CCC[C@@]2(CCN(CCF)C2)C1 ZINC001054138583 656919044 /nfs/dbraw/zinc/91/90/44/656919044.db2.gz UCPORTNILIQOGU-INIZCTEOSA-N 0 1 316.848 3.049 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1CCC)C2 ZINC001054192780 656932793 /nfs/dbraw/zinc/93/27/93/656932793.db2.gz JDFDPZKGQBTYPM-NUJGCVRESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1(C)CC(=C)C1)C2 ZINC001054193610 656933336 /nfs/dbraw/zinc/93/33/36/656933336.db2.gz AOECYCVOOCICFW-SFHVURJKSA-N 0 1 322.880 3.410 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC[C@H]3CC)cc2C1 ZINC001054279430 656960055 /nfs/dbraw/zinc/96/00/55/656960055.db2.gz FPQIPROIKRCJEO-YLJYHZDGSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CC[C@@H](C)C3)cc2C1 ZINC001054285107 656961879 /nfs/dbraw/zinc/96/18/79/656961879.db2.gz SIABYVREONACPD-NVXWUHKLSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cccc(Cl)c1Cl ZINC001028283401 656969712 /nfs/dbraw/zinc/96/97/12/656969712.db2.gz DTMPEZNGXZJGMP-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(CCC)c(C)s1 ZINC001028306053 656985932 /nfs/dbraw/zinc/98/59/32/656985932.db2.gz DFGPVDLPHBQIKG-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(OCC(C)C)cc1 ZINC001028303015 656986865 /nfs/dbraw/zinc/98/68/65/656986865.db2.gz IUROXJNNZWHQQY-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1csc2c1CCCC2 ZINC001028301755 656987022 /nfs/dbraw/zinc/98/70/22/656987022.db2.gz ISAMBMHYPOHPEJ-ZDUSSCGKSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC001028301845 656987305 /nfs/dbraw/zinc/98/73/05/656987305.db2.gz VKCOPTYJBLWNIS-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001028338067 656996848 /nfs/dbraw/zinc/99/68/48/656996848.db2.gz XFLUJQKCQJUPCB-LPHOPBHVSA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1sc(C(C)(C)C)nc1C ZINC001028350648 657002006 /nfs/dbraw/zinc/00/20/06/657002006.db2.gz HTFLROBZCDKJKF-CYBMUJFWSA-N 0 1 321.490 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CCC3(CC3)CC2)C[C@@H]1C ZINC001054913615 657020425 /nfs/dbraw/zinc/02/04/25/657020425.db2.gz GZBKNGRESVPKGY-WFASDCNBSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3ccsc3[nH]2)C[C@@H]1C ZINC001054954280 657025185 /nfs/dbraw/zinc/02/51/85/657025185.db2.gz OHURKMNDJZFZFJ-TVQRCGJNSA-N 0 1 323.849 3.032 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](NCc2c(F)cccc2F)[C@@H](C)C1 ZINC001054975865 657027013 /nfs/dbraw/zinc/02/70/13/657027013.db2.gz GZUWYDFNXUZBSU-LRDDRELGSA-N 0 1 322.399 3.114 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2coc(C(F)F)c2)C[C@@H]1C ZINC001055013657 657032090 /nfs/dbraw/zinc/03/20/90/657032090.db2.gz VYHXHGNFSQKQJA-KWQFWETISA-N 0 1 318.751 3.020 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C2CCC2)C2CCC2)C[C@H]1C ZINC001055019457 657032113 /nfs/dbraw/zinc/03/21/13/657032113.db2.gz ZCGQWUIIUUSZDT-WBMJQRKESA-N 0 1 324.896 3.392 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@]3(C)CN(C/C=C/Cl)C[C@@]3(C)C2)C1 ZINC000982533635 657043962 /nfs/dbraw/zinc/04/39/62/657043962.db2.gz GNJVAPDIKCTJRS-FBLDJFESSA-N 0 1 322.880 3.266 20 30 DGEDMN Oc1cccc(C=Nn2c(=S)[nH]nc2-c2ccccc2F)c1 ZINC000254482874 657068154 /nfs/dbraw/zinc/06/81/54/657068154.db2.gz YKGPCOXUNKYVDT-UHFFFAOYSA-N 0 1 314.345 3.335 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C=C(C)C)C2 ZINC001045910635 657086568 /nfs/dbraw/zinc/08/65/68/657086568.db2.gz XYAGNQRDWWQOIT-KRWDZBQOSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001000042715 657087352 /nfs/dbraw/zinc/08/73/52/657087352.db2.gz NDXGTLYAPLSERB-HNNXBMFYSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)c1ccoc1)C2 ZINC001045913207 657088691 /nfs/dbraw/zinc/08/86/91/657088691.db2.gz BTZNWYRMYUIPHK-QGZVFWFLSA-N 0 1 322.836 3.350 20 30 DGEDMN C#CC[N@@H+]1CC[C@@]2(C1)CCCCN(C(=O)CC1CCCCC1)C2 ZINC001045911970 657088878 /nfs/dbraw/zinc/08/88/78/657088878.db2.gz VXASHRVFXLCLNB-HXUWFJFHSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@H](C)CC)C2 ZINC001045918748 657091518 /nfs/dbraw/zinc/09/15/18/657091518.db2.gz MKNWCPZHEGCSJO-PBHICJAKSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@H]1CC1(C)C)C2 ZINC001045928144 657095036 /nfs/dbraw/zinc/09/50/36/657095036.db2.gz ROAZHWFQMWGPFV-QAPCUYQASA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2cccs2)C1 ZINC001000171741 657100934 /nfs/dbraw/zinc/10/09/34/657100934.db2.gz QPALBQUPHOBRTN-OLZOCXBDSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2c(C)cccc2C)C1 ZINC001000273369 657107466 /nfs/dbraw/zinc/10/74/66/657107466.db2.gz GZVTUKGDRHINOF-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](F)c2ccccc2)C1 ZINC001000328938 657114427 /nfs/dbraw/zinc/11/44/27/657114427.db2.gz LZMAAXBAUXVKDX-GJZGRUSLSA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001000719651 657146838 /nfs/dbraw/zinc/14/68/38/657146838.db2.gz ZUFHGWPRCCWPGT-OAHLLOKOSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(Cl)cc2)C1 ZINC001000753565 657149052 /nfs/dbraw/zinc/14/90/52/657149052.db2.gz LUURUISYGJMJKU-AWEZNQCLSA-N 0 1 313.228 3.287 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001046458028 657163893 /nfs/dbraw/zinc/16/38/93/657163893.db2.gz LXJLCOIMFTVQCH-QGZVFWFLSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2sc3ccccc3c2C)CC1 ZINC001000923437 657165849 /nfs/dbraw/zinc/16/58/49/657165849.db2.gz XTGWJDYKRNHYFC-UHFFFAOYSA-N 0 1 324.449 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)Cc2ccccc2)C1 ZINC001000972901 657170454 /nfs/dbraw/zinc/17/04/54/657170454.db2.gz PSXALAIKADUGDP-RHSMWYFYSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C1CCC(C(=O)NCC2=CCN(C[C@@H](F)CC)CC2)CC1 ZINC001001117075 657187309 /nfs/dbraw/zinc/18/73/09/657187309.db2.gz VGZAXKYMSBQGRO-KRWDZBQOSA-N 0 1 308.441 3.229 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001046639824 657192030 /nfs/dbraw/zinc/19/20/30/657192030.db2.gz CMWYYECAUTVZMI-LJQANCHMSA-N 0 1 310.397 3.327 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc3ccsc32)C1 ZINC001046748059 657211168 /nfs/dbraw/zinc/21/11/68/657211168.db2.gz DUKUEMTUZPQYNK-SFHVURJKSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001046773145 657215386 /nfs/dbraw/zinc/21/53/86/657215386.db2.gz JSEYDPNEVZDGFS-FQEVSTJZSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc3ccccc32)C1 ZINC001046817498 657228662 /nfs/dbraw/zinc/22/86/62/657228662.db2.gz LMFFXDXEZZREKK-HXUWFJFHSA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001046854713 657237446 /nfs/dbraw/zinc/23/74/46/657237446.db2.gz SGNJPECUFZRNKI-LJQANCHMSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2oc3ccccc3c2CC)C1 ZINC001046864084 657238996 /nfs/dbraw/zinc/23/89/96/657238996.db2.gz NSGKIYGARKHLLB-LJQANCHMSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3scc(C)c3Cl)[C@@H]2C1 ZINC001050056470 657242868 /nfs/dbraw/zinc/24/28/68/657242868.db2.gz WFIKTGFMTJFFNS-QWHCGFSZSA-N 0 1 324.877 3.432 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)c2ccc(C)cc2)C1 ZINC001046990242 657249102 /nfs/dbraw/zinc/24/91/02/657249102.db2.gz PALUDNPEOADGIN-INIZCTEOSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](C)c3ccccc3C)[C@@H]2C1 ZINC001050158312 657262219 /nfs/dbraw/zinc/26/22/19/657262219.db2.gz SFALBTWHMDQNGK-WSTZPKSXSA-N 0 1 324.468 3.045 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)C(C)C)C2)CC1 ZINC001047224391 657281852 /nfs/dbraw/zinc/28/18/52/657281852.db2.gz AYPKBNMLKNRNKT-INIZCTEOSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCSCC[NH2+]Cc1cc(Br)ccc1[O-] ZINC000163893608 657290487 /nfs/dbraw/zinc/29/04/87/657290487.db2.gz GMVYWJAQBRQDTR-UHFFFAOYSA-N 0 1 302.237 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)/C=C(/C)C3CC3)C2)CC1 ZINC001050447611 657309200 /nfs/dbraw/zinc/30/92/00/657309200.db2.gz CFCOLZQRPWFUFG-LCYFTJDESA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CC[C@@H](C)C3)C2)CC1 ZINC001050623443 657332353 /nfs/dbraw/zinc/33/23/53/657332353.db2.gz MRXPZHGTJBKUAE-GDBMZVCRSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(CC)CCC3)C2)CC1 ZINC001050624298 657332844 /nfs/dbraw/zinc/33/28/44/657332844.db2.gz KUVKKEWKOJLRIP-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@H]3CCC)C2)CC1 ZINC001050654370 657338814 /nfs/dbraw/zinc/33/88/14/657338814.db2.gz VKOYFZWMQLRYQT-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)cccc2C1 ZINC001051292037 657382823 /nfs/dbraw/zinc/38/28/23/657382823.db2.gz JODLRLPNYKBPCB-YSGRDPCXSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC000968354029 657392218 /nfs/dbraw/zinc/39/22/18/657392218.db2.gz LVINCKVZWZTMOP-WBMJQRKESA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968354493 657392355 /nfs/dbraw/zinc/39/23/55/657392355.db2.gz UHMXDKDIIFIRLZ-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC000968355202 657392620 /nfs/dbraw/zinc/39/26/20/657392620.db2.gz ZEDVRPSWHLJMNT-BLLLJJGKSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC000968360114 657393624 /nfs/dbraw/zinc/39/36/24/657393624.db2.gz FVOMUQZUUWMMAS-DNVCBOLYSA-N 0 1 323.440 3.251 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2sc(C)cc2OC)C1 ZINC000968387120 657402713 /nfs/dbraw/zinc/40/27/13/657402713.db2.gz VLFKWZDZDPYKFW-JSGCOSHPSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2sc(CC)nc2C)C1 ZINC000968404875 657407186 /nfs/dbraw/zinc/40/71/86/657407186.db2.gz HIWUPHYSLDUMBH-JSGCOSHPSA-N 0 1 321.490 3.030 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968405435 657407666 /nfs/dbraw/zinc/40/76/66/657407666.db2.gz LPMXUECDNLZODI-RPTYLJJZSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3cnccc32)C1 ZINC000957392840 657410340 /nfs/dbraw/zinc/41/03/40/657410340.db2.gz LCNKKZTZDDNREI-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(C(F)F)c2)CC1 ZINC000957407008 657413088 /nfs/dbraw/zinc/41/30/88/657413088.db2.gz NSAXANUNLCDWRD-UHFFFAOYSA-N 0 1 308.372 3.348 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H]2CCCc3ccccc32)CC1 ZINC000957419155 657414119 /nfs/dbraw/zinc/41/41/19/657414119.db2.gz YDKRYRIZOKXIFQ-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC000968535377 657423000 /nfs/dbraw/zinc/42/30/00/657423000.db2.gz YFNZFQICTBINEP-CRAIPNDOSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2oc(CC)cc2C)C1 ZINC000968549335 657424781 /nfs/dbraw/zinc/42/47/81/657424781.db2.gz POASRNOAQMIJGT-CJNGLKHVSA-N 0 1 304.434 3.167 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968553833 657425328 /nfs/dbraw/zinc/42/53/28/657425328.db2.gz QGSLPMOZWFQBEV-OXJNMPFZSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968554137 657425515 /nfs/dbraw/zinc/42/55/15/657425515.db2.gz TXKZLBZWIXMUPB-DNVCBOLYSA-N 0 1 310.441 3.272 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2Cl)C1 ZINC000968575089 657430877 /nfs/dbraw/zinc/43/08/77/657430877.db2.gz RYTGUGSPDQCGQG-BBRMVZONSA-N 0 1 306.837 3.356 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC000968580003 657431781 /nfs/dbraw/zinc/43/17/81/657431781.db2.gz RZUSQAUYQGPXMA-BEFAXECRSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968583316 657433024 /nfs/dbraw/zinc/43/30/24/657433024.db2.gz LPLLNZXNZDDJHB-QAPCUYQASA-N 0 1 300.446 3.436 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C ZINC001029421878 657433596 /nfs/dbraw/zinc/43/35/96/657433596.db2.gz MVGNQPIPJLVVTP-HDICACEKSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(OC)c(Cl)c2)CC1 ZINC000957590865 657437061 /nfs/dbraw/zinc/43/70/61/657437061.db2.gz PMICMFCZPRCIHS-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968619400 657444905 /nfs/dbraw/zinc/44/49/05/657444905.db2.gz MDGOIUCKRLSEMY-CJNGLKHVSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968619921 657445451 /nfs/dbraw/zinc/44/54/51/657445451.db2.gz QJTNLETTYCDIPK-DNVCBOLYSA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3cc(C)cc(C)c3)CC2)CC1 ZINC000957671461 657448936 /nfs/dbraw/zinc/44/89/36/657448936.db2.gz NNLJOHZATJKPRR-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2sccc2C(C)C)C1 ZINC000968677414 657455291 /nfs/dbraw/zinc/45/52/91/657455291.db2.gz CWYPQKUKHTZYGX-ZBFHGGJFSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968682210 657456001 /nfs/dbraw/zinc/45/60/01/657456001.db2.gz PASZVZHBTNETGU-RDJZCZTQSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(CC2CC(C)C2)CC1)c1ccccc1 ZINC000957744094 657456492 /nfs/dbraw/zinc/45/64/92/657456492.db2.gz JWJRZFXZOQRPEZ-FAFZWHIHSA-N 0 1 312.457 3.147 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C3CC3)c2)C1 ZINC000968689404 657458948 /nfs/dbraw/zinc/45/89/48/657458948.db2.gz XUGZVDKDZKDMMT-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC000968692907 657460668 /nfs/dbraw/zinc/46/06/68/657460668.db2.gz QUALIDWCZUCLEM-GYIPLFPLSA-N 0 1 324.468 3.121 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2cc(F)ccc2F)CC1 ZINC000957776473 657461847 /nfs/dbraw/zinc/46/18/47/657461847.db2.gz HSVNPKUNFIEWQM-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c[nH]c3ccc(F)cc32)CC1 ZINC000957936844 657473107 /nfs/dbraw/zinc/47/31/07/657473107.db2.gz ACCUBUJQZXSXDW-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cc(COC)cs1 ZINC000968936843 657517979 /nfs/dbraw/zinc/51/79/79/657517979.db2.gz RZAUJMCMJVBHHR-HNNXBMFYSA-N 0 1 322.474 3.055 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)cc(Cl)c1 ZINC000968951619 657522251 /nfs/dbraw/zinc/52/22/51/657522251.db2.gz BSEGBROBAOYNHG-QGZVFWFLSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1coc(C(F)F)c1 ZINC000969005158 657531256 /nfs/dbraw/zinc/53/12/56/657531256.db2.gz MMKYDTTVQKAIDI-CYBMUJFWSA-N 0 1 312.360 3.378 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C12CCC(CC1)C2(C)C ZINC000969062287 657547434 /nfs/dbraw/zinc/54/74/34/657547434.db2.gz LAZSPLYGPPBTLG-GNZRSQJKSA-N 0 1 316.489 3.197 20 30 DGEDMN CC(C(=O)N[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001007301871 657594201 /nfs/dbraw/zinc/59/42/01/657594201.db2.gz YHDSCHMGKALNKE-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN CC(C(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001007301871 657594208 /nfs/dbraw/zinc/59/42/08/657594208.db2.gz YHDSCHMGKALNKE-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001007472682 657611975 /nfs/dbraw/zinc/61/19/75/657611975.db2.gz MEORWMDJVFGFQE-KRWDZBQOSA-N 0 1 311.429 3.018 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC001007881441 657642978 /nfs/dbraw/zinc/64/29/78/657642978.db2.gz ILQDPHOJASKLDO-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCc3c2cccc3Cl)C1 ZINC001007953616 657647797 /nfs/dbraw/zinc/64/77/97/657647797.db2.gz PFDRYHQZLBZFJB-BBRMVZONSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccsc2C)CC1 ZINC000985426531 657663974 /nfs/dbraw/zinc/66/39/74/657663974.db2.gz RRVSRHOAIIUWOQ-UHFFFAOYSA-N 0 1 312.866 3.345 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3ncccc3c2)C1 ZINC001008385407 657689829 /nfs/dbraw/zinc/68/98/29/657689829.db2.gz MARQTUSFUWKGSP-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001008656154 657715678 /nfs/dbraw/zinc/71/56/78/657715678.db2.gz ZFAKZRHUVJCKKE-BDXSIMOUSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(CC)o2)CC1 ZINC000985501917 657723446 /nfs/dbraw/zinc/72/34/46/657723446.db2.gz CCFHMOSTKILWTD-UHFFFAOYSA-N 0 1 310.825 3.131 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC2CC(F)(F)C2)CC1 ZINC000985505759 657725109 /nfs/dbraw/zinc/72/51/09/657725109.db2.gz DUWPYJMINNTWIU-UHFFFAOYSA-N 0 1 320.811 3.097 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2cccc3sccc32)C1 ZINC001008745259 657725925 /nfs/dbraw/zinc/72/59/25/657725925.db2.gz DOJTUBAGTZDKCU-HNNXBMFYSA-N 0 1 314.454 3.210 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001008795082 657728927 /nfs/dbraw/zinc/72/89/27/657728927.db2.gz OOZZQRNWLRAFPJ-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001008811885 657732653 /nfs/dbraw/zinc/73/26/53/657732653.db2.gz UIXFHUDICASGQW-YESZJQIVSA-N 0 1 318.848 3.210 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2OCC(C)C)C1 ZINC001008894876 657736748 /nfs/dbraw/zinc/73/67/48/657736748.db2.gz BPMFCFIUJHBZRV-INIZCTEOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C)(C)C2CCC2)CC1 ZINC000985558771 657753608 /nfs/dbraw/zinc/75/36/08/657753608.db2.gz WRCZOKIHGFKMHB-UHFFFAOYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CC=CCC2)CC1 ZINC000985574519 657764875 /nfs/dbraw/zinc/76/48/75/657764875.db2.gz OLCMOBXULZXSOP-OAHLLOKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001009317760 657768941 /nfs/dbraw/zinc/76/89/41/657768941.db2.gz LUMOMCLXFWKNPF-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001029828494 657772375 /nfs/dbraw/zinc/77/23/75/657772375.db2.gz BMQGBICSQSUWRD-WMLDXEAASA-N 0 1 321.490 3.026 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCCC(C)(C)C1 ZINC000960333447 657778721 /nfs/dbraw/zinc/77/87/21/657778721.db2.gz LURLRIMPRSBKDG-BHIYHBOVSA-N 0 1 324.468 3.208 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001009417501 657782041 /nfs/dbraw/zinc/78/20/41/657782041.db2.gz KCBMKSIDUPNAPW-KBPBESRZSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCCC[C@@H]2C)CC1 ZINC000985613102 657784791 /nfs/dbraw/zinc/78/47/91/657784791.db2.gz OZDYPYHTDZKZJN-XJKSGUPXSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001009446933 657785515 /nfs/dbraw/zinc/78/55/15/657785515.db2.gz DKRYIWUPHGIWQM-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC000985630180 657788316 /nfs/dbraw/zinc/78/83/16/657788316.db2.gz DXJXHEUJMJLKHU-RHSMWYFYSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC000985646753 657793677 /nfs/dbraw/zinc/79/36/77/657793677.db2.gz QHGQYQNHMUWYGP-CYBMUJFWSA-N 0 1 310.825 3.058 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(C)cc2F)CC1 ZINC000985674679 657801614 /nfs/dbraw/zinc/80/16/14/657801614.db2.gz PUPYSEQEWPMTFF-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2CC2=CCCCC2)CC1 ZINC001038660020 657821728 /nfs/dbraw/zinc/82/17/28/657821728.db2.gz DLOSYEJVKXGYSN-SFHVURJKSA-N 0 1 302.462 3.424 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC000985759323 657835095 /nfs/dbraw/zinc/83/50/95/657835095.db2.gz FVESWRULSVUVNE-CTYIDZIISA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C)(C)C(C)(F)F)CC1 ZINC000985769845 657842308 /nfs/dbraw/zinc/84/23/08/657842308.db2.gz NCCRVGWWISXWSV-UHFFFAOYSA-N 0 1 322.827 3.343 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3CCCC3)C2)C1 ZINC000961074018 657939118 /nfs/dbraw/zinc/93/91/18/657939118.db2.gz AUXJRWSOIZJQII-DLBZAZTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CCC3CC3)C2)C1 ZINC000961123335 657955976 /nfs/dbraw/zinc/95/59/76/657955976.db2.gz QZBVOLLIAWEGGN-DLBZAZTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)/C(C)=C\C)C2)C1 ZINC000961134379 657958824 /nfs/dbraw/zinc/95/88/24/657958824.db2.gz DSUVUGXRBDTPDT-VHLRNLMOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)c2cc[nH]c2)C1 ZINC001029920330 658006063 /nfs/dbraw/zinc/00/60/63/658006063.db2.gz VEKLPQLVDIKLIR-IBGZPJMESA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@H]2CC23CC3)C1 ZINC001029920904 658006949 /nfs/dbraw/zinc/00/69/49/658006949.db2.gz BQFBYXPQKAJHMY-RTBURBONSA-N 0 1 324.468 3.466 20 30 DGEDMN CC(C(=O)N1CC[C@H](NCc2ccccc2C#N)[C@H]1C)=C1CCC1 ZINC000986287114 658031210 /nfs/dbraw/zinc/03/12/10/658031210.db2.gz PSMNRHUBFYBPRA-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C)c2F)[C@H]1C ZINC000986312870 658036400 /nfs/dbraw/zinc/03/64/00/658036400.db2.gz KEHVRZRTRRDXHB-JSGCOSHPSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2csc(C)c2C)[C@H]1C ZINC000986322091 658037562 /nfs/dbraw/zinc/03/75/62/658037562.db2.gz HBSKGJXBRFBLBX-SMDDNHRTSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001014658814 658039610 /nfs/dbraw/zinc/03/96/10/658039610.db2.gz NJUAICMWZDYXMX-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(F)ccc2CC)[C@@H]1C ZINC000986474269 658053470 /nfs/dbraw/zinc/05/34/70/658053470.db2.gz BTFJBWGPQOEBQW-MLGOLLRUSA-N 0 1 324.827 3.333 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccc(F)cc2)[C@H]1C ZINC000986498974 658055310 /nfs/dbraw/zinc/05/53/10/658055310.db2.gz CNDGXQPBDCRFJW-XJKSGUPXSA-N 0 1 324.827 3.090 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C3=CCCC3)cc2)CC1 ZINC001006488013 658069147 /nfs/dbraw/zinc/06/91/47/658069147.db2.gz CRPBTINBOAUOIQ-UHFFFAOYSA-N 0 1 322.452 3.424 20 30 DGEDMN C=C(Br)CN[C@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000179743273 658072375 /nfs/dbraw/zinc/07/23/75/658072375.db2.gz RIHSKBPJADZOGK-HNNXBMFYSA-N 0 1 324.218 3.407 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccn(C(C)C)c2C)[C@@H]1C ZINC000986694425 658090547 /nfs/dbraw/zinc/09/05/47/658090547.db2.gz PDWKZRRNVQFXRW-GDBMZVCRSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc(C)ccc2C)[C@@H]1C ZINC000986791080 658095815 /nfs/dbraw/zinc/09/58/15/658095815.db2.gz DWKIVCZTGCSNFP-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001015512867 658126510 /nfs/dbraw/zinc/12/65/10/658126510.db2.gz WYGGNCLCBJROES-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001015595460 658144050 /nfs/dbraw/zinc/14/40/50/658144050.db2.gz PQIBSQVQBLPFDB-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000321317649 658148105 /nfs/dbraw/zinc/14/81/05/658148105.db2.gz PXZSAGZZPIDRQN-CQSZACIVSA-N 0 1 310.353 3.458 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(OCC)c2)[C@@H]1C ZINC000987065076 658148904 /nfs/dbraw/zinc/14/89/04/658148904.db2.gz HQUVUPLPDXVLIN-CJNGLKHVSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3csc(C)c3)C2)C1 ZINC001015677245 658166559 /nfs/dbraw/zinc/16/65/59/658166559.db2.gz UNNPFJJNPIBRJQ-HNNXBMFYSA-N 0 1 304.459 3.103 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001015730142 658173814 /nfs/dbraw/zinc/17/38/14/658173814.db2.gz MUFSOOILGPCMGP-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN CCOc1ccccc1C=Nn1c(=S)[nH]nc1-c1ccco1 ZINC000254806476 658185446 /nfs/dbraw/zinc/18/54/46/658185446.db2.gz UNLBJKWUWUHLPC-UHFFFAOYSA-N 0 1 314.370 3.482 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccoc2CCC)[C@H]1C ZINC000987347783 658193247 /nfs/dbraw/zinc/19/32/47/658193247.db2.gz BGKFOBAHHFIQAW-JSGCOSHPSA-N 0 1 310.825 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2oc(CC)cc2C)[C@@H]1C ZINC000987354910 658195707 /nfs/dbraw/zinc/19/57/07/658195707.db2.gz GDTXQOMOIGUNFL-TZMCWYRMSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(F)c(C)c2)[C@@H]1C ZINC000987555574 658229956 /nfs/dbraw/zinc/22/99/56/658229956.db2.gz GOLKLPWAKSVNQN-HIFRSBDPSA-N 0 1 324.827 3.388 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(C2CC2)C2CC2)[C@@H]1C ZINC000987584735 658236022 /nfs/dbraw/zinc/23/60/22/658236022.db2.gz KGXJLAXRKJMHCQ-MLGOLLRUSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001016148937 658243673 /nfs/dbraw/zinc/24/36/73/658243673.db2.gz IAFMLYMKFZARGJ-CYBMUJFWSA-N 0 1 316.426 3.388 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccccc2-c2ccccc2)C1 ZINC001016176249 658248619 /nfs/dbraw/zinc/24/86/19/658248619.db2.gz VCFOCMIPSUZNJV-QGZVFWFLSA-N 0 1 306.409 3.344 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)Cc2cccs2)CC1 ZINC001016473522 658288190 /nfs/dbraw/zinc/28/81/90/658288190.db2.gz DWRVARYJUJNIOC-CQSZACIVSA-N 0 1 324.877 3.014 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccc(C)s2)CC1 ZINC001016479645 658290937 /nfs/dbraw/zinc/29/09/37/658290937.db2.gz NSDYYGQBLMSUOS-CQSZACIVSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2CC=CCC2)CC1 ZINC001016499735 658294306 /nfs/dbraw/zinc/29/43/06/658294306.db2.gz JHGMGYPGTAHSEQ-HUUCEWRRSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2occc2CC)CC1 ZINC001016813984 658335947 /nfs/dbraw/zinc/33/59/47/658335947.db2.gz HDZGJKOXPRFXNN-CQSZACIVSA-N 0 1 322.836 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2sccc2Cl)C1 ZINC000988864623 658411586 /nfs/dbraw/zinc/41/15/86/658411586.db2.gz HRFRWHIMCDZKHX-ZJUUUORDSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(CC)s2)C1 ZINC000989131144 658440202 /nfs/dbraw/zinc/44/02/02/658440202.db2.gz NHDOBZIYNDCUEF-NEPJUHHUSA-N 0 1 312.866 3.256 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cscc1Cl ZINC001017639963 658446620 /nfs/dbraw/zinc/44/66/20/658446620.db2.gz PSJHAUSUDGCEPQ-TXEJJXNPSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966259062 658458668 /nfs/dbraw/zinc/45/86/68/658458668.db2.gz BYBGGZFIKINHPK-MLGOLLRUSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C)c2F)C1 ZINC000966266451 658459882 /nfs/dbraw/zinc/45/98/82/658459882.db2.gz QOPQDWKETPPIJK-CJNGLKHVSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C)c2F)C1 ZINC000966266454 658459901 /nfs/dbraw/zinc/45/99/01/658459901.db2.gz QOPQDWKETPPIJK-CZUORRHYSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2n1C ZINC001017859519 658468911 /nfs/dbraw/zinc/46/89/11/658468911.db2.gz UBOCJVWAURPHEU-CALCHBBNSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC000966494485 658478838 /nfs/dbraw/zinc/47/88/38/658478838.db2.gz XNVKBCYDZGESMG-LIRRHRJNSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2[nH]ccc2-c2ccccc2)CC1 ZINC000989462736 658492402 /nfs/dbraw/zinc/49/24/02/658492402.db2.gz OPTGFNBCQVHXHQ-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966723037 658493106 /nfs/dbraw/zinc/49/31/06/658493106.db2.gz NDZHBZBWIMMNLI-RDTXWAMCSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)C)nc2)C1 ZINC000966719933 658493157 /nfs/dbraw/zinc/49/31/57/658493157.db2.gz GFCAHZVGFLOEQV-MAUKXSAKSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)C)nc2)C1 ZINC000966719934 658493814 /nfs/dbraw/zinc/49/38/14/658493814.db2.gz GFCAHZVGFLOEQV-QAPCUYQASA-N 0 1 315.461 3.221 20 30 DGEDMN C=Cc1ccc(C(=O)N2C[C@@H](NCC(=C)Cl)C[C@H]2C)cc1 ZINC000989471936 658494579 /nfs/dbraw/zinc/49/45/79/658494579.db2.gz IXGHTLDGLHJTTN-CJNGLKHVSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc3cc[nH]c32)C1 ZINC000989467795 658494753 /nfs/dbraw/zinc/49/47/53/658494753.db2.gz AWXQOTRQDXAKSV-OCCSQVGLSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2ccc(CC)cc2)C1 ZINC000989491640 658500658 /nfs/dbraw/zinc/50/06/58/658500658.db2.gz IGFWUFASMWEUPA-PBHICJAKSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(Cl)cs2)C1 ZINC000989512216 658505038 /nfs/dbraw/zinc/50/50/38/658505038.db2.gz ZXFLLHNENMXYGG-ONGXEEELSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cscc2Cl)C1 ZINC000966887825 658507644 /nfs/dbraw/zinc/50/76/44/658507644.db2.gz JCDOTSHDWHIHCC-RISCZKNCSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)cc1 ZINC001018199684 658515018 /nfs/dbraw/zinc/51/50/18/658515018.db2.gz AFXVUOYGDLIJMO-IYBDPMFKSA-N 0 1 304.821 3.205 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(F)c(C)c1 ZINC001018284119 658529698 /nfs/dbraw/zinc/52/96/98/658529698.db2.gz WAUJLLYDVGCMEB-CALCHBBNSA-N 0 1 316.420 3.307 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)CC2 ZINC001018292668 658530672 /nfs/dbraw/zinc/53/06/72/658530672.db2.gz PJHGMRBFLOBCHX-RJSVMHHESA-N 0 1 302.462 3.208 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(Cl)cc2)C1 ZINC000989703150 658546089 /nfs/dbraw/zinc/54/60/89/658546089.db2.gz HLGDTNKJHCSNJX-RISCZKNCSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(Cl)cc2)C1 ZINC000989703151 658547042 /nfs/dbraw/zinc/54/70/42/658547042.db2.gz HLGDTNKJHCSNJX-SMDDNHRTSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CC[N@@H+]1CCCN(C(=O)c2sccc2CC)CC1 ZINC000989724926 658549290 /nfs/dbraw/zinc/54/92/90/658549290.db2.gz ORFIRVIZADJYPJ-UHFFFAOYSA-N 0 1 306.475 3.425 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2CCC)C1 ZINC000967291947 658564389 /nfs/dbraw/zinc/56/43/89/658564389.db2.gz PMDHMZXYWYYNQI-MAUKXSAKSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CCCCc3ccccc32)CC1 ZINC000989752900 658570489 /nfs/dbraw/zinc/57/04/89/658570489.db2.gz XJWOYCIYYZTOBG-IBGZPJMESA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)Cc2cccc(F)c2)CC1 ZINC000989772160 658573891 /nfs/dbraw/zinc/57/38/91/658573891.db2.gz ADELXJOCYQYMTQ-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC000967406300 658577083 /nfs/dbraw/zinc/57/70/83/658577083.db2.gz AFLYOZJCNSUPOQ-AUUYWEPGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2csc(CC(C)C)n2)CC1 ZINC000989784012 658577574 /nfs/dbraw/zinc/57/75/74/658577574.db2.gz PRNDGCHSSWHYPB-UHFFFAOYSA-N 0 1 321.490 3.066 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001019206632 658616179 /nfs/dbraw/zinc/61/61/79/658616179.db2.gz ZFMGBWMYFOCHAV-AWEZNQCLSA-N 0 1 317.820 3.033 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC000967699617 658619826 /nfs/dbraw/zinc/61/98/26/658619826.db2.gz DSXCIPVFWUSPDK-LRDDRELGSA-N 0 1 304.409 3.069 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001019332759 658634159 /nfs/dbraw/zinc/63/41/59/658634159.db2.gz XGBNQHRMQNJBEB-YOEHRIQHSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001019500375 658650956 /nfs/dbraw/zinc/65/09/56/658650956.db2.gz SYRWNNQQRQXZKT-BHYGNILZSA-N 0 1 318.848 3.042 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001019486903 658651323 /nfs/dbraw/zinc/65/13/23/658651323.db2.gz LGGFEYUXGIPRDA-BFHYXJOUSA-N 0 1 324.877 3.103 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968038146 658657621 /nfs/dbraw/zinc/65/76/21/658657621.db2.gz YPCKGYVEYNUESB-WMLDXEAASA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3ccsc32)C1 ZINC001019608668 658657821 /nfs/dbraw/zinc/65/78/21/658657821.db2.gz RVRQBDIQFCYYIV-ZDUSSCGKSA-N 0 1 320.845 3.458 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968083261 658666762 /nfs/dbraw/zinc/66/67/62/658666762.db2.gz WULJJURXGWOOEZ-VQIMIIECSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001019808701 658675432 /nfs/dbraw/zinc/67/54/32/658675432.db2.gz TWPFZHHRDBYZEE-LRDDRELGSA-N 0 1 324.827 3.262 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968174776 658677191 /nfs/dbraw/zinc/67/71/91/658677191.db2.gz LCTDJRBTKPTXEX-CXAGYDPISA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968179201 658678522 /nfs/dbraw/zinc/67/85/22/658678522.db2.gz STDGMNSKJIPXON-WBMJQRKESA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC000968189352 658679401 /nfs/dbraw/zinc/67/94/01/658679401.db2.gz GYBVCSXTWPBZMQ-HKUYNNGSSA-N 0 1 314.473 3.249 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968191431 658681170 /nfs/dbraw/zinc/68/11/70/658681170.db2.gz NLNASULIGPZDQF-LRDDRELGSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC000968213681 658683584 /nfs/dbraw/zinc/68/35/84/658683584.db2.gz QCGSZBQXVYPYGW-DOMZBBRYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC(F)(F)F)CC[C@H]2C)C1 ZINC000968274444 658689647 /nfs/dbraw/zinc/68/96/47/658689647.db2.gz LYQPJRVNJOTIHX-CHWSQXEVSA-N 0 1 318.383 3.122 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321439 658696759 /nfs/dbraw/zinc/69/67/59/658696759.db2.gz KMAYUSBEOHLPTM-LPHOPBHVSA-N 0 1 324.468 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ncsc2C2CC2)C1 ZINC000968327758 658698998 /nfs/dbraw/zinc/69/89/98/658698998.db2.gz RUQZHEIUGDNYRX-TZMCWYRMSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC000968350484 658706588 /nfs/dbraw/zinc/70/65/88/658706588.db2.gz ZBIZDRCSZLXKPJ-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCN1[C@H](C)c1ccccc1 ZINC001038343553 658743840 /nfs/dbraw/zinc/74/38/40/658743840.db2.gz ATQNVJUFHJRIRF-AEFFLSMTSA-N 0 1 323.440 3.239 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1oc2c(cccc2F)c1C ZINC001038356380 658745234 /nfs/dbraw/zinc/74/52/34/658745234.db2.gz IMVZNIRDZMIRIE-CYBMUJFWSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2ccncc2s1 ZINC001038357087 658745348 /nfs/dbraw/zinc/74/53/48/658745348.db2.gz MRRDRSONEDKMQD-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccccc1CC(C)(C)C ZINC001038389100 658748002 /nfs/dbraw/zinc/74/80/02/658748002.db2.gz LTRKBISUDASFIS-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001038407845 658749121 /nfs/dbraw/zinc/74/91/21/658749121.db2.gz SXLHYZWTRQGODB-OAHLLOKOSA-N 0 1 313.445 3.150 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001038532573 658761272 /nfs/dbraw/zinc/76/12/72/658761272.db2.gz OQLMBGUVCASSFR-HNNXBMFYSA-N 0 1 314.429 3.415 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3sc(C)cc3C)CCC[C@@H]12 ZINC000990937542 658782231 /nfs/dbraw/zinc/78/22/31/658782231.db2.gz UNIFXEOMAVNJKH-QAPCUYQASA-N 0 1 316.470 3.115 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccccc2O)cc(OC)c1O ZINC000156608125 658927958 /nfs/dbraw/zinc/92/79/58/658927958.db2.gz AWWVPQJFPTWXME-BQYQJAHWSA-N 0 1 300.310 3.011 20 30 DGEDMN CCOC(=O)c1ccc(/C=C/C(=O)c2ccc(OC)cc2O)o1 ZINC000156618275 658931087 /nfs/dbraw/zinc/93/10/87/658931087.db2.gz OUVDTZFTAXUPED-VMPITWQZSA-N 0 1 316.309 3.067 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccc(-n3cncn3)cc2)c(O)c1 ZINC000156707630 658935364 /nfs/dbraw/zinc/93/53/64/658935364.db2.gz VTJDSAZZXCOSJM-WEVVVXLNSA-N 0 1 305.337 3.177 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccc(N3CCOCC3)cc2)c(O)c1 ZINC000156702879 658936274 /nfs/dbraw/zinc/93/62/74/658936274.db2.gz DKHMKZPDIHHTBD-WEVVVXLNSA-N 0 1 323.392 3.433 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(-c2ccco2)s1 ZINC001038860329 658948049 /nfs/dbraw/zinc/94/80/49/658948049.db2.gz BFJMICZNUAXQAO-CYBMUJFWSA-N 0 1 316.426 3.388 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001038994455 658976826 /nfs/dbraw/zinc/97/68/26/658976826.db2.gz AXUSZIWGYLTISS-AWEZNQCLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001039015954 658981823 /nfs/dbraw/zinc/98/18/23/658981823.db2.gz UCKFSGIPPGMDHC-CQSZACIVSA-N 0 1 321.490 3.345 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cnc(C(C)(C)C)s1 ZINC001039060002 658990036 /nfs/dbraw/zinc/99/00/36/658990036.db2.gz SJFBUUVWJPTGBV-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C(C)(F)F)C1 ZINC001039178036 659024842 /nfs/dbraw/zinc/02/48/42/659024842.db2.gz KUJIAKZTSOFQST-STQMWFEESA-N 0 1 320.811 3.097 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(C)cc(Cl)c3)CCC[C@H]12 ZINC000991863700 659244851 /nfs/dbraw/zinc/24/48/51/659244851.db2.gz SMWNVBFVUHEJRG-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC1 ZINC000948850949 659321924 /nfs/dbraw/zinc/32/19/24/659321924.db2.gz WVOVVTOQLDGYSZ-IYARVYRRSA-N 0 1 304.478 3.313 20 30 DGEDMN N#CC(C(=O)C1=COCCC1)c1nc2ccccc2n1C(F)F ZINC000174000598 659407321 /nfs/dbraw/zinc/40/73/21/659407321.db2.gz XLLYUDIVZVTGNI-NSHDSACASA-N 0 1 317.295 3.302 20 30 DGEDMN CCCCCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174011942 659408285 /nfs/dbraw/zinc/40/82/85/659408285.db2.gz GBWCBDUGSYPONS-ZIAGYGMSSA-N 0 1 301.390 3.335 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C)c(Cl)c3)CCC[C@@H]12 ZINC000992171936 659483330 /nfs/dbraw/zinc/48/33/30/659483330.db2.gz PPCGZSXVNWDFQA-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C)c(Cl)c3)CCC[C@H]12 ZINC000992171940 659483544 /nfs/dbraw/zinc/48/35/44/659483544.db2.gz PPCGZSXVNWDFQA-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc(Cl)cs3)CCC[C@H]12 ZINC000992292816 659512906 /nfs/dbraw/zinc/51/29/06/659512906.db2.gz DKBTWKHOMRZENT-GOEBONIOSA-N 0 1 322.861 3.152 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc(Cl)cs3)CCC[C@@H]12 ZINC000992292821 659513238 /nfs/dbraw/zinc/51/32/38/659513238.db2.gz DKBTWKHOMRZENT-ZBFHGGJFSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C)c3Cl)CCC[C@@H]12 ZINC000992330584 659525761 /nfs/dbraw/zinc/52/57/61/659525761.db2.gz LDDUXVZHIDOKCZ-CRAIPNDOSA-N 0 1 316.832 3.008 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)c1ccoc1 ZINC000130177541 659542725 /nfs/dbraw/zinc/54/27/25/659542725.db2.gz PVJNEAXWXHUXTJ-JTQLQIEISA-N 0 1 306.680 3.033 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ccccc3Cl)CCC[C@@H]12 ZINC000992420027 659545134 /nfs/dbraw/zinc/54/51/34/659545134.db2.gz HEQCIUCCEVCVDP-WBVHZDCISA-N 0 1 304.821 3.253 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ccccc3Cl)CCC[C@H]12 ZINC000992420023 659545163 /nfs/dbraw/zinc/54/51/63/659545163.db2.gz HEQCIUCCEVCVDP-RDJZCZTQSA-N 0 1 304.821 3.253 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4c(c3)CCC=C4)CCC[C@H]12 ZINC000992434713 659549532 /nfs/dbraw/zinc/54/95/32/659549532.db2.gz SEKIGWDDMPIBSZ-PZJWPPBQSA-N 0 1 320.436 3.006 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc(C)c(C)s3)CCC[C@H]12 ZINC000992475141 659559921 /nfs/dbraw/zinc/55/99/21/659559921.db2.gz NPEZGAKKKWEVQH-WMZOPIPTSA-N 0 1 316.470 3.115 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3ccc(Cl)s3)CCC[C@H]12 ZINC000992482890 659561565 /nfs/dbraw/zinc/56/15/65/659561565.db2.gz CVBXMSXYXCTLNL-SWLSCSKDSA-N 0 1 310.850 3.314 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3sccc3CC)CCC[C@@H]12 ZINC000992500276 659564731 /nfs/dbraw/zinc/56/47/31/659564731.db2.gz VGBXOGHKGWLFFG-QAPCUYQASA-N 0 1 316.470 3.061 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@H]12 ZINC000992505278 659566927 /nfs/dbraw/zinc/56/69/27/659566927.db2.gz BLPRFASOQZZRPF-QCECMTGHSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc4c(cccc4C)o3)CCC[C@@H]12 ZINC000992517745 659569100 /nfs/dbraw/zinc/56/91/00/659569100.db2.gz QSUFUUMNFCESQR-QUCCMNQESA-N 0 1 322.408 3.101 20 30 DGEDMN CC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000130353915 659690778 /nfs/dbraw/zinc/69/07/78/659690778.db2.gz ILNVBHLDWDNCRK-ONGXEEELSA-N 0 1 308.765 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cscc2C(F)F)[C@H]1C ZINC000993363504 659720933 /nfs/dbraw/zinc/72/09/33/659720933.db2.gz IUAPMEXGVRLLBO-MFKMUULPSA-N 0 1 314.401 3.454 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2Cl)[C@H]1C ZINC000993362765 659721063 /nfs/dbraw/zinc/72/10/63/659721063.db2.gz OKWAGNPRNNGMMB-YPMHNXCESA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@@H]1C ZINC000993370194 659723108 /nfs/dbraw/zinc/72/31/08/659723108.db2.gz VSGFDYPKKKAXNA-GOEBONIOSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(OCCC)c2)[C@H]1C ZINC000993381148 659724288 /nfs/dbraw/zinc/72/42/88/659724288.db2.gz NVCYWXMZCYJPEP-CRAIPNDOSA-N 0 1 316.445 3.244 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCCC3)[C@@H]1C ZINC000993486259 659753698 /nfs/dbraw/zinc/75/36/98/659753698.db2.gz JOGRUSZYQIGFDY-OXJNMPFZSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CCCN(CC=C)[C@H]1C ZINC000993520430 659755731 /nfs/dbraw/zinc/75/57/31/659755731.db2.gz LZTVLSGNKRCXOZ-DOTOQJQBSA-N 0 1 314.429 3.020 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(COC)cs2)[C@H]1C ZINC000993535382 659757389 /nfs/dbraw/zinc/75/73/89/659757389.db2.gz JLJFITIYIJWKIB-UKRRQHHQSA-N 0 1 322.474 3.053 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3cc(C)sc3C)C2)C1 ZINC001015672127 659757673 /nfs/dbraw/zinc/75/76/73/659757673.db2.gz MPALGQZWKSWHEG-INIZCTEOSA-N 0 1 318.486 3.412 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(CC)s2)[C@H]1C ZINC000993552913 659761270 /nfs/dbraw/zinc/76/12/70/659761270.db2.gz GPSRXMVMJIZMAE-HIFRSBDPSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nc3ccccc3cc2C)[C@@H]1C ZINC000993616743 659766492 /nfs/dbraw/zinc/76/64/92/659766492.db2.gz XZRISRFSMVWRRT-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nc3ccccc3cc2C)[C@H]1C ZINC000993616741 659766711 /nfs/dbraw/zinc/76/67/11/659766711.db2.gz XZRISRFSMVWRRT-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@@H]1C ZINC000993666786 659774309 /nfs/dbraw/zinc/77/43/09/659774309.db2.gz OIJZIORUSBETDS-HOCLYGCPSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2OC)[C@H]1C ZINC000993718107 659780100 /nfs/dbraw/zinc/78/01/00/659780100.db2.gz SKNFTYWXXCPKEZ-DOMZBBRYSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@@H]1C ZINC000993726101 659781588 /nfs/dbraw/zinc/78/15/88/659781588.db2.gz JIGWSQJJBDCSNN-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2F)[C@H]1C ZINC000993732276 659787014 /nfs/dbraw/zinc/78/70/14/659787014.db2.gz OGMSLNMFMSPJLM-RISCZKNCSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)cccc2Cl)[C@@H]1C ZINC000993770735 659789516 /nfs/dbraw/zinc/78/95/16/659789516.db2.gz FMYGLLQLMPDWOR-GOEBONIOSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3occc3s2)[C@@H]1C ZINC000993805358 659791585 /nfs/dbraw/zinc/79/15/85/659791585.db2.gz MUTDSDPPEBEYJY-STQMWFEESA-N 0 1 316.426 3.100 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(F)c3ccccc23)[C@H]1C ZINC000993850254 659796232 /nfs/dbraw/zinc/79/62/32/659796232.db2.gz UTELBZJFZIPXHK-AUUYWEPGSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H]1C ZINC000993880336 659796546 /nfs/dbraw/zinc/79/65/46/659796546.db2.gz SWSTYDBVGYIJAZ-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(F)c2Cl)[C@H]1C ZINC000993867109 659798987 /nfs/dbraw/zinc/79/89/87/659798987.db2.gz WYOFTSHLPIHZRS-IUODEOHRSA-N 0 1 322.811 3.085 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sc(C)cc2OC)[C@@H]1C ZINC000993932922 659799680 /nfs/dbraw/zinc/79/96/80/659799680.db2.gz WVSZGIKVASFVQX-KBPBESRZSA-N 0 1 322.474 3.224 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(C3CC3)nc2C)[C@@H]1C ZINC000993966447 659804547 /nfs/dbraw/zinc/80/45/47/659804547.db2.gz WMOZXZMYQZTUHK-DZGCQCFKSA-N 0 1 317.433 3.019 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2scnc2C2CC2)[C@H]1C ZINC000993966287 659804571 /nfs/dbraw/zinc/80/45/71/659804571.db2.gz VMXRDPBRBGIVBU-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H]1C ZINC000993945928 659804980 /nfs/dbraw/zinc/80/49/80/659804980.db2.gz CWEGURVBHRXMRD-BEFAXECRSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(C(C)C)n2)[C@@H]1C ZINC000994028870 659809611 /nfs/dbraw/zinc/80/96/11/659809611.db2.gz YGOXDQVCMVVHKB-STQMWFEESA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3nc(C)ccc3c2)[C@H]1C ZINC000994054858 659810212 /nfs/dbraw/zinc/81/02/12/659810212.db2.gz OECWWRFPZZDWMV-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(C)C)oc2C)[C@H]1C ZINC000994126361 659813221 /nfs/dbraw/zinc/81/32/21/659813221.db2.gz PNNPXUHYHVNZJL-CJNGLKHVSA-N 0 1 304.434 3.480 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccn2C2CCCC2)[C@@H]1C ZINC000994114047 659814945 /nfs/dbraw/zinc/81/49/45/659814945.db2.gz NYBFNBZRSVESGC-DOTOQJQBSA-N 0 1 315.461 3.372 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cnccc3c2)[C@@H]1C ZINC000994171091 659816742 /nfs/dbraw/zinc/81/67/42/659816742.db2.gz VYZNTHDXKFUZQG-KBXCAEBGSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@H]1C ZINC000994238213 659822957 /nfs/dbraw/zinc/82/29/57/659822957.db2.gz IIMAUKYICJQHLJ-AEFFLSMTSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@@H]1C ZINC000994238228 659822981 /nfs/dbraw/zinc/82/29/81/659822981.db2.gz IIMAUKYICJQHLJ-FUHWJXTLSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H]1C ZINC000994266509 659828959 /nfs/dbraw/zinc/82/89/59/659828959.db2.gz IZMGFCSURWACOF-BEFAXECRSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(F)cccc2Cl)[C@H]1C ZINC000994268572 659829470 /nfs/dbraw/zinc/82/94/70/659829470.db2.gz ZWKQHEGRITTYFJ-BXUZGUMPSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@H]1C ZINC000994271169 659829908 /nfs/dbraw/zinc/82/99/08/659829908.db2.gz JAEGQCAJYZDSFZ-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3ccccc3c2)[C@@H]1C ZINC000994300797 659835775 /nfs/dbraw/zinc/83/57/75/659835775.db2.gz MPNHFXWOIZFKJO-KXBFYZLASA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(F)F)o2)[C@H]1C ZINC000994354135 659842826 /nfs/dbraw/zinc/84/28/26/659842826.db2.gz SQQTUTWCQLEBNG-VXGBXAGGSA-N 0 1 312.360 3.376 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc3ccccc32)[C@H]1C ZINC000994357653 659845109 /nfs/dbraw/zinc/84/51/09/659845109.db2.gz YFGWAEZAPNLDES-OXQOHEQNSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)cc(C)cc2C)[C@H]1C ZINC000994371942 659846500 /nfs/dbraw/zinc/84/65/00/659846500.db2.gz FGYHNBFNIYVFAX-SJORKVTESA-N 0 1 300.446 3.381 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2CCC(C)(C)CC2)[C@H]1C ZINC000994500254 659863715 /nfs/dbraw/zinc/86/37/15/659863715.db2.gz XGHROMPJBRAEQR-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001031676471 659912288 /nfs/dbraw/zinc/91/22/88/659912288.db2.gz XYVLZSNBCZJMJJ-UHFFFAOYSA-N 0 1 312.335 3.001 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)CCCc2csc(C)n2)n1 ZINC000175082271 659915674 /nfs/dbraw/zinc/91/56/74/659915674.db2.gz BHCZKYDOSZFBQX-GFCCVEGCSA-N 0 1 305.428 3.416 20 30 DGEDMN COc1cccc(/C=C/C(=O)c2ccc(O)c(F)c2)c1OC ZINC000170852028 659928475 /nfs/dbraw/zinc/92/84/75/659928475.db2.gz HBUVUOLNVJREGM-SOFGYWHQSA-N 0 1 302.301 3.445 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cnc3ccc(F)cc3c2)c1 ZINC000179731669 659939491 /nfs/dbraw/zinc/93/94/91/659939491.db2.gz OJTFSXLYKNKCGV-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@@H](C)Cc1ccc(Cl)cc1 ZINC000179795914 659942052 /nfs/dbraw/zinc/94/20/52/659942052.db2.gz AWAUSOOQEOUCJP-WFASDCNBSA-N 0 1 320.820 3.142 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccc(C)nc2)CC1 ZINC000948168401 659961975 /nfs/dbraw/zinc/96/19/75/659961975.db2.gz AKMAOCSSGGMCQI-MRXNPFEDSA-N 0 1 321.852 3.069 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)OCc1ccccc1 ZINC000181462595 660001331 /nfs/dbraw/zinc/00/13/31/660001331.db2.gz VPOWMDPELIHWPN-UHFFFAOYSA-N 0 1 302.418 3.382 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC[C@@H]2c2ccccc2)C1 ZINC001031836863 660008199 /nfs/dbraw/zinc/00/81/99/660008199.db2.gz RWRSLDNCXNRILZ-MOPGFXCFSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)c(F)c(C)c2)C[C@H]1C ZINC000939780090 660014784 /nfs/dbraw/zinc/01/47/84/660014784.db2.gz KOLMYTGOFPHYNZ-DOMZBBRYSA-N 0 1 324.827 3.245 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccoc2C(C)C)C[C@H]1C ZINC000939826466 660015838 /nfs/dbraw/zinc/01/58/38/660015838.db2.gz PIDPJGJCPGXLRO-RISCZKNCSA-N 0 1 310.825 3.206 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000941001107 660077840 /nfs/dbraw/zinc/07/78/40/660077840.db2.gz ZNBDQXQVNHHWMW-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C(C2CCC2)C2CCC2)C(C)(C)C1 ZINC000940985459 660078191 /nfs/dbraw/zinc/07/81/91/660078191.db2.gz WWLCFYGXOALOAC-QGZVFWFLSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc3[nH]ccc3c2)C(C)(C)C1 ZINC000940993217 660078868 /nfs/dbraw/zinc/07/88/68/660078868.db2.gz IWYIMLHRFPLNCD-QGZVFWFLSA-N 0 1 311.429 3.184 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)cc2Cl)C(C)(C)C1 ZINC000941050045 660082214 /nfs/dbraw/zinc/08/22/14/660082214.db2.gz MTROBBFOISOTPZ-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)[C@@H](F)c2ccccc2)C(C)(C)C1 ZINC000941063544 660083622 /nfs/dbraw/zinc/08/36/22/660083622.db2.gz HAEBDVSBRQRZPC-CVEARBPZSA-N 0 1 304.409 3.100 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(Cl)ccc2C)C(C)(C)C1 ZINC000941107818 660086189 /nfs/dbraw/zinc/08/61/89/660086189.db2.gz QJUHQAVTGVEURS-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000941163748 660090051 /nfs/dbraw/zinc/09/00/51/660090051.db2.gz PRZDGYXBPMEDNC-GOEBONIOSA-N 0 1 318.486 3.010 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2Cl)C(C)(C)C1 ZINC000941177632 660091796 /nfs/dbraw/zinc/09/17/96/660091796.db2.gz MONSOAZMKSXCDW-INIZCTEOSA-N 0 1 318.848 3.194 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(C)s2)C(C)(C)C1 ZINC000941204939 660094647 /nfs/dbraw/zinc/09/46/47/660094647.db2.gz YVCHNHRWPIYUGR-INIZCTEOSA-N 0 1 318.486 3.219 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941242611 660097243 /nfs/dbraw/zinc/09/72/43/660097243.db2.gz JMHILXZDTDDSEC-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941255598 660098963 /nfs/dbraw/zinc/09/89/63/660098963.db2.gz GUEDLBNSOLCTAY-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C1CCC(C(=O)NCC2CN(C/C=C\c3ccccc3)C2)CC1 ZINC001031950732 660104072 /nfs/dbraw/zinc/10/40/72/660104072.db2.gz VJVJEPZUAFEBGR-YVMONPNESA-N 0 1 324.468 3.494 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ccccc3cc2F)[C@@H](C)C1 ZINC000942444361 660152014 /nfs/dbraw/zinc/15/20/14/660152014.db2.gz MJRZHZHLTFRBFT-IFXJQAMLSA-N 0 1 324.399 3.052 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cscc3s2)[C@@H](C)C1 ZINC000942521685 660154432 /nfs/dbraw/zinc/15/44/32/660154432.db2.gz YUZPLHLMDDKOMV-AAEUAGOBSA-N 0 1 318.467 3.036 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)C1(C)CCC1 ZINC000942559754 660158553 /nfs/dbraw/zinc/15/85/53/660158553.db2.gz GLAIAAIOVSQPOF-MJGOQNOKSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CCN(Cc2cc(CC)cc(C(C)(C)C)c2O)CC1 ZINC000072027043 660162925 /nfs/dbraw/zinc/16/29/25/660162925.db2.gz JOCXFGOJZXQQKJ-UHFFFAOYSA-N 0 1 314.473 3.003 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H](C)C1 ZINC000942958191 660173886 /nfs/dbraw/zinc/17/38/86/660173886.db2.gz CVRXSGKIHNSONO-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN CC(C)(C)CN(CCC#N)C[C@@H](O)CC[C@H](O)c1ccccc1 ZINC000929691493 661329076 /nfs/dbraw/zinc/32/90/76/661329076.db2.gz BNUKFWAPFUTEPB-ROUUACIJSA-N 0 1 318.461 3.123 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC(c3c[nH]cn3)CC2)c1 ZINC000930021478 661359309 /nfs/dbraw/zinc/35/93/09/661359309.db2.gz WOHIQGQXSVSYKJ-UHFFFAOYSA-N 0 1 300.793 3.314 20 30 DGEDMN CO[C@@H]1CC[C@@H]2OCC[N@@H+](CCCCC3(C#N)CCC3)[C@@H]2C1 ZINC000930090630 661365320 /nfs/dbraw/zinc/36/53/20/661365320.db2.gz CYBNESBUBCKYDA-ZACQAIPSSA-N 0 1 306.450 3.119 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCc2cccc(F)c2)CC1 ZINC000930332182 661389697 /nfs/dbraw/zinc/38/96/97/661389697.db2.gz BXBKNTHUXDQURG-UHFFFAOYSA-N 0 1 309.388 3.321 20 30 DGEDMN CC[C@@H](NCc1cncc(C#N)c1)c1ccc(OC)c(OC)c1 ZINC000930449407 661399306 /nfs/dbraw/zinc/39/93/06/661399306.db2.gz RTQSLPHVPWNUGY-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN C#CCN(C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1)C(C)C ZINC000930559693 661410591 /nfs/dbraw/zinc/41/05/91/661410591.db2.gz MOKHKFJLIAESCC-UHFFFAOYSA-N 0 1 306.316 3.376 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H]2Cc2cc(F)ccc2F)c1 ZINC000930740994 661426318 /nfs/dbraw/zinc/42/63/18/661426318.db2.gz HBGVJAPPLBWFQY-QGZVFWFLSA-N 0 1 313.351 3.439 20 30 DGEDMN C=CC1(CC(=O)Nc2nc(-c3ccncc3)n[nH]2)CCCCC1 ZINC000930978342 661443253 /nfs/dbraw/zinc/44/32/53/661443253.db2.gz NEUWVVRAAPZIQN-UHFFFAOYSA-N 0 1 311.389 3.332 20 30 DGEDMN CCc1onc(C)c1CN1CCC(Nc2ccccc2C#N)CC1 ZINC000931034029 661446865 /nfs/dbraw/zinc/44/68/65/661446865.db2.gz WOMAYYNHKSDQRT-UHFFFAOYSA-N 0 1 324.428 3.494 20 30 DGEDMN N#CC1(NC(=O)c2cccc3nc(C(F)F)[nH]c32)CCCCC1 ZINC000931298310 661465146 /nfs/dbraw/zinc/46/51/46/661465146.db2.gz ZZCWDNCZSXKRAT-UHFFFAOYSA-N 0 1 318.327 3.457 20 30 DGEDMN COc1ccc([C@H]2COCCN2CC2CCC(C#N)CC2)cc1 ZINC000931565102 661489466 /nfs/dbraw/zinc/48/94/66/661489466.db2.gz XUDUSQHHBINMSA-LADRWXRNSA-N 0 1 314.429 3.398 20 30 DGEDMN N#CC1(CCCN2CCS[C@@H](C(F)(F)F)C2)CCOCC1 ZINC000932074533 661535177 /nfs/dbraw/zinc/53/51/77/661535177.db2.gz FYZFJFUNDGKXIB-GFCCVEGCSA-N 0 1 322.396 3.067 20 30 DGEDMN C=CCCN1CC[C@@H](C(F)(F)F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000931979180 661526813 /nfs/dbraw/zinc/52/68/13/661526813.db2.gz VDSGZSBJXTWAAU-NEPJUHHUSA-N 0 1 322.371 3.340 20 30 DGEDMN CC[C@H]1C[N@@H+](CC)CCN1C(=O)Nc1ccc(CCC#N)cc1 ZINC000932564555 661580192 /nfs/dbraw/zinc/58/01/92/661580192.db2.gz AWPOZTMCDTUJFW-KRWDZBQOSA-N 0 1 314.433 3.091 20 30 DGEDMN CC[C@H]1CN(CC)CCN1C(=O)Nc1ccc(CCC#N)cc1 ZINC000932564555 661580194 /nfs/dbraw/zinc/58/01/94/661580194.db2.gz AWPOZTMCDTUJFW-KRWDZBQOSA-N 0 1 314.433 3.091 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@H]2CC[N@@H+]2C(C)(C)C)c(Cl)c1 ZINC000933359901 661630134 /nfs/dbraw/zinc/63/01/34/661630134.db2.gz PUXAFBJXACPYDP-CYBMUJFWSA-N 0 1 319.836 3.316 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)[C@H]1CCCN1C(C)C ZINC000933461204 661635186 /nfs/dbraw/zinc/63/51/86/661635186.db2.gz FNSPTGAAUYRWSL-QGZVFWFLSA-N 0 1 314.433 3.191 20 30 DGEDMN COc1cncc(CN2CCC(c3ccc(C#N)cc3)CC2)c1 ZINC000933612851 661644890 /nfs/dbraw/zinc/64/48/90/661644890.db2.gz RNRVJHGTXQRZSL-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN COc1ccc(CN2CCC(c3ccc(C#N)cc3)CC2)cn1 ZINC000933613400 661644942 /nfs/dbraw/zinc/64/49/42/661644942.db2.gz WITOGWJSMXFDFH-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000933775899 661657432 /nfs/dbraw/zinc/65/74/32/661657432.db2.gz OLBZZKWPIGQUOF-OAHLLOKOSA-N 0 1 308.353 3.063 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](c1ncccc1C)C(C)(C)C ZINC000934291630 661694046 /nfs/dbraw/zinc/69/40/46/661694046.db2.gz DVSDYYASJAQTIN-GOSISDBHSA-N 0 1 317.477 3.491 20 30 DGEDMN N#Cc1c(CN2CC[C@@H](Cc3nccs3)C2)cn2ccccc12 ZINC000934335014 661698534 /nfs/dbraw/zinc/69/85/34/661698534.db2.gz PDESJDRZZGYCHR-AWEZNQCLSA-N 0 1 322.437 3.332 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1=O ZINC000934458749 661708366 /nfs/dbraw/zinc/70/83/66/661708366.db2.gz CYNXDUGFCFTZJZ-KBRIMQKVSA-N 0 1 302.393 3.138 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N1CCc2sccc2[C@H]1CC ZINC000934472681 661709441 /nfs/dbraw/zinc/70/94/41/661709441.db2.gz PHEGWKJUPPSDMR-UKRRQHHQSA-N 0 1 304.459 3.234 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cnn(CC3CCC3)c2)cc1C#N ZINC000934563510 661715475 /nfs/dbraw/zinc/71/54/75/661715475.db2.gz WLCRUOHKDGEAHD-CQSZACIVSA-N 0 1 324.428 3.414 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(C(C)(C)O)cc1 ZINC000187498604 661716893 /nfs/dbraw/zinc/71/68/93/661716893.db2.gz PNCPVEWLQLMUSQ-UHFFFAOYSA-N 0 1 309.409 3.216 20 30 DGEDMN CC1(C#N)CCN(C(=O)c2ccc(CN3CCCCC3)o2)CC1 ZINC000935295586 661774624 /nfs/dbraw/zinc/77/46/24/661774624.db2.gz OWIYMQSQUTUTKR-UHFFFAOYSA-N 0 1 315.417 3.031 20 30 DGEDMN C[C@@]1(C#N)CCCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000935350478 661779173 /nfs/dbraw/zinc/77/91/73/661779173.db2.gz JFYWFURTEUEGON-SFHVURJKSA-N 0 1 315.417 3.031 20 30 DGEDMN CCc1ccc(OCC(=O)C(C#N)c2nc(C)cs2)cc1 ZINC000041519747 661834960 /nfs/dbraw/zinc/83/49/60/661834960.db2.gz MGFXTSIXTFDOQY-AWEZNQCLSA-N 0 1 300.383 3.269 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000155481585 661847712 /nfs/dbraw/zinc/84/77/12/661847712.db2.gz YRXVWUGOLSQSEA-SWLSCSKDSA-N 0 1 302.374 3.169 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3ccccc3c2CC)C[C@H]1C ZINC000948083148 661949650 /nfs/dbraw/zinc/94/96/50/661949650.db2.gz NHFVAHMPNIZZNM-HUUCEWRRSA-N 0 1 324.424 3.211 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C[C@@H]2C=CCC2)CC1 ZINC000948173685 661957500 /nfs/dbraw/zinc/95/75/00/661957500.db2.gz RPQMADCSWLIYAE-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC000948277007 661964830 /nfs/dbraw/zinc/96/48/30/661964830.db2.gz DGTAMSILLPCUKX-CAOSSQGBSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2CC(C)(C)C2)CC1 ZINC000948349845 661970016 /nfs/dbraw/zinc/97/00/16/661970016.db2.gz RIUCXUZJFYDWNS-HNNXBMFYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)Cc2ccccc2)CC1 ZINC000948556321 661983011 /nfs/dbraw/zinc/98/30/11/661983011.db2.gz JFGRYVFPJVAXMU-KRWDZBQOSA-N 0 1 320.864 3.295 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)CC=C(C)CC2)CC1 ZINC001006433813 661987877 /nfs/dbraw/zinc/98/78/77/661987877.db2.gz GEYFNJJYVRGDBU-IBGZPJMESA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC1(C)C ZINC000948906852 662006785 /nfs/dbraw/zinc/00/67/85/662006785.db2.gz SIYUTZXZFHZRRS-QZTJIDSGSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2coc3cc(F)ccc32)CC1 ZINC000949016147 662011287 /nfs/dbraw/zinc/01/12/87/662011287.db2.gz BDAVKLVXBIGZPW-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2c(C)cccc2Cl)CC1 ZINC000949099438 662011453 /nfs/dbraw/zinc/01/14/53/662011453.db2.gz UARMTPGRUIQFOO-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc([C@@H]3C[C@@H]3C)o2)CC1 ZINC000949190825 662012499 /nfs/dbraw/zinc/01/24/99/662012499.db2.gz ZOZKSHDOLLPAII-DOTOQJQBSA-N 0 1 316.445 3.056 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cnc3ccccc3c2C)CC1 ZINC000949350330 662014824 /nfs/dbraw/zinc/01/48/24/662014824.db2.gz DVSHCXLSPJAPSM-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc3cc(C)ccc3[nH]2)CC1 ZINC000949593647 662020737 /nfs/dbraw/zinc/02/07/37/662020737.db2.gz CBGUIVGIUMXNOG-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(C)cc(F)cc2C)CC1 ZINC000949609698 662020965 /nfs/dbraw/zinc/02/09/65/662020965.db2.gz HRJLHGPDSZSVJO-UHFFFAOYSA-N 0 1 304.409 3.167 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC000949620320 662021556 /nfs/dbraw/zinc/02/15/56/662021556.db2.gz LPJBFFKZJNXLAQ-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC000949631993 662021771 /nfs/dbraw/zinc/02/17/71/662021771.db2.gz ZDOPILBVGIHIEF-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2CC)CC1 ZINC000949904553 662036825 /nfs/dbraw/zinc/03/68/25/662036825.db2.gz STLLNMRRVSAWOZ-RBUKOAKNSA-N 0 1 312.457 3.073 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(Cl)cc2OC)CC1 ZINC000949915489 662037693 /nfs/dbraw/zinc/03/76/93/662037693.db2.gz SBHCJMUHEHGTMY-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC000950051334 662042978 /nfs/dbraw/zinc/04/29/78/662042978.db2.gz LXYZSPPZSGKEOH-GOSISDBHSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC000950714753 662080088 /nfs/dbraw/zinc/08/00/88/662080088.db2.gz GRJOAZUZXRIWSL-PIIMJCKOSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCCCN(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000192102675 662087325 /nfs/dbraw/zinc/08/73/25/662087325.db2.gz USAWGCKLYYZNKK-INIZCTEOSA-N 0 1 301.496 3.389 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2c[nH]c3ccccc23)C1 ZINC000950786852 662088037 /nfs/dbraw/zinc/08/80/37/662088037.db2.gz KPQJHLGVJLHGOW-UHFFFAOYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccnc3ccccc32)C1 ZINC000950809182 662089239 /nfs/dbraw/zinc/08/92/39/662089239.db2.gz WNUFEQQMYKPUMS-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2ccccc2)[C@H](CCC)C1 ZINC000192169029 662089685 /nfs/dbraw/zinc/08/96/85/662089685.db2.gz DMPCRWOICIMZQP-LJQANCHMSA-N 0 1 312.457 3.303 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](c2ccccc2)C(C)C)CC1 ZINC000950821866 662090033 /nfs/dbraw/zinc/09/00/33/662090033.db2.gz VCLGXKYFMBXCHU-GOSISDBHSA-N 0 1 300.446 3.147 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)CC1 ZINC000951595244 662141837 /nfs/dbraw/zinc/14/18/37/662141837.db2.gz ZSCLZIWAFLILKO-DLBZAZTESA-N 0 1 318.848 3.164 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(OC)cc2Cl)CC1 ZINC000951793490 662151879 /nfs/dbraw/zinc/15/18/79/662151879.db2.gz VQLGUMJXNKWIEK-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN Cc1ncc(C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)s1 ZINC000194679996 662171283 /nfs/dbraw/zinc/17/12/83/662171283.db2.gz WAYMSVIPWIBDIW-LLVKDONJSA-N 0 1 319.773 3.066 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CC[C@H]2C(C)C)CC1 ZINC000089828665 662248719 /nfs/dbraw/zinc/24/87/19/662248719.db2.gz MZGWKOMVGOOFLX-IBGZPJMESA-N 0 1 324.468 3.336 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2C[C@@]23CCc2ccccc23)CC1 ZINC000956973583 662340676 /nfs/dbraw/zinc/34/06/76/662340676.db2.gz GNHPFKGTBYEJLR-TZIWHRDSSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2C[C@@H]2C2CCCC2)C1 ZINC000957059919 662346577 /nfs/dbraw/zinc/34/65/77/662346577.db2.gz WLFZGBBKOXBTGT-MSOLQXFVSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCOc1ccccc1C[N@H+](C)[C@@H](CCO)c1ccccc1 ZINC000093442653 662354921 /nfs/dbraw/zinc/35/49/21/662354921.db2.gz CAJRYLGDSJSLAE-IBGZPJMESA-N 0 1 309.409 3.254 20 30 DGEDMN C#CCOc1ccccc1CN(C)[C@@H](CCO)c1ccccc1 ZINC000093442653 662354925 /nfs/dbraw/zinc/35/49/25/662354925.db2.gz CAJRYLGDSJSLAE-IBGZPJMESA-N 0 1 309.409 3.254 20 30 DGEDMN N#C[C@H]1CCCN(Cc2nc3ccc(Br)cc3[nH]2)C1 ZINC000932148704 685325124 /nfs/dbraw/zinc/32/51/24/685325124.db2.gz RNWYJCKXDYXYLL-SNVBAGLBSA-N 0 1 319.206 3.061 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc(F)c2C)C1 ZINC000988869346 685355808 /nfs/dbraw/zinc/35/58/08/685355808.db2.gz YBOKAYXTYQUDDL-AAEUAGOBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc(F)c2C)C1 ZINC000988869350 685356167 /nfs/dbraw/zinc/35/61/67/685356167.db2.gz YBOKAYXTYQUDDL-WCQYABFASA-N 0 1 310.800 3.079 20 30 DGEDMN C#CCOc1ccccc1CNCc1cccc([N+](=O)[O-])c1C ZINC000391060618 685209313 /nfs/dbraw/zinc/20/93/13/685209313.db2.gz SCMIVSUBEQZCKJ-UHFFFAOYSA-N 0 1 310.353 3.205 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C=C2CCC2)CN1Cc1cccc(C#N)c1 ZINC001071420579 686657948 /nfs/dbraw/zinc/65/79/48/686657948.db2.gz PPWCPYFYHWIXLW-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(Cl)c2C)CC[C@@H]1C ZINC001071524602 686677450 /nfs/dbraw/zinc/67/74/50/686677450.db2.gz GXYWUZYHEANWOU-ZFWWWQNUSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nsc3ccccc32)CC[C@H]1C ZINC001071531939 686681150 /nfs/dbraw/zinc/68/11/50/686681150.db2.gz SNCNEVNISZXROS-CHWSQXEVSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccoc2C(F)(F)F)CC[C@@H]1C ZINC001071537449 686682487 /nfs/dbraw/zinc/68/24/87/686682487.db2.gz ZMUJQEMPKYQZAQ-QWRGUYRKSA-N 0 1 316.323 3.067 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@@H]1C ZINC001071594429 686697375 /nfs/dbraw/zinc/69/73/75/686697375.db2.gz QSJKSXOCJHXGCE-QWHCGFSZSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)CC[C@@H]1C ZINC001071654226 686713527 /nfs/dbraw/zinc/71/35/27/686713527.db2.gz DJRVKDRXLYHFAY-WMZOPIPTSA-N 0 1 323.440 3.246 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2CCC)CC[C@H]1C ZINC001071672918 686719232 /nfs/dbraw/zinc/71/92/32/686719232.db2.gz GIHXPILTVVEQQY-AEFFLSMTSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2CCC)CC[C@H]1C ZINC001071674308 686720661 /nfs/dbraw/zinc/72/06/61/686720661.db2.gz RIYZKSFGBFVSTM-NVXWUHKLSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@@H]1C ZINC001071711613 686729938 /nfs/dbraw/zinc/72/99/38/686729938.db2.gz AWKBUDSHNXLMKM-HOCLYGCPSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)nc2C(C)C)CC[C@H]1C ZINC001071715777 686731691 /nfs/dbraw/zinc/73/16/91/686731691.db2.gz KEYCEXKTPMUDJE-HZPDHXFCSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(Cl)c2F)CC[C@@H]1C ZINC001071783625 686753254 /nfs/dbraw/zinc/75/32/54/686753254.db2.gz RCAOPORVGGPYEW-RYUDHWBXSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@@H]1C ZINC001071796206 686756541 /nfs/dbraw/zinc/75/65/41/686756541.db2.gz QKIUKABCTBAHPE-STQMWFEESA-N 0 1 308.372 3.042 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cccc2Cl)CC[C@H]1C ZINC001071798787 686757973 /nfs/dbraw/zinc/75/79/73/686757973.db2.gz CAXXTSQIRZJWCA-CABCVRRESA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)CC[C@@H]1C ZINC001071835663 686765544 /nfs/dbraw/zinc/76/55/44/686765544.db2.gz OPFSKCWHUFISTK-STQMWFEESA-N 0 1 308.372 3.042 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@@H]1C ZINC001071848543 686770800 /nfs/dbraw/zinc/77/08/00/686770800.db2.gz UJEHFADNCPUOLS-GXTWGEPZSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2CCCCCC2)CC[C@@H]1C ZINC001071852040 686772564 /nfs/dbraw/zinc/77/25/64/686772564.db2.gz ULYBEHLLTBOYBG-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)CC[C@H]1C ZINC001071873068 686777270 /nfs/dbraw/zinc/77/72/70/686777270.db2.gz QWGZPDPRFQTHLW-HIFRSBDPSA-N 0 1 319.449 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(CC)CC)no2)CC[C@@H]1C ZINC001071873070 686777706 /nfs/dbraw/zinc/77/77/06/686777706.db2.gz QWGZPDPRFQTHLW-ZFWWWQNUSA-N 0 1 319.449 3.347 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@@H]1C ZINC001071899943 686786514 /nfs/dbraw/zinc/78/65/14/686786514.db2.gz FYDPZCOLTPUNBZ-BBRMVZONSA-N 0 1 320.383 3.230 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C(C)C)c2)CC[C@@H]1C ZINC001071964876 686798191 /nfs/dbraw/zinc/79/81/91/686798191.db2.gz QCMCXHIKZYOQMK-LPHOPBHVSA-N 0 1 312.457 3.416 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3ccsc32)CC[C@H]1C ZINC001071967889 686799252 /nfs/dbraw/zinc/79/92/52/686799252.db2.gz PSQGWULVOWGKFF-UKRRQHHQSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C)c(CC)o2)CC[C@@H]1C ZINC001071985431 686805203 /nfs/dbraw/zinc/80/52/03/686805203.db2.gz MAUQGXSPSAFUAC-LSDHHAIUSA-N 0 1 304.434 3.309 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sccc2COC)CC[C@@H]1C ZINC001071992154 686807035 /nfs/dbraw/zinc/80/70/35/686807035.db2.gz KHTNQFVHFDNBTG-ZFWWWQNUSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)CC[C@H]1C ZINC001072002330 686810231 /nfs/dbraw/zinc/81/02/31/686810231.db2.gz KHEOKXTUONXQPO-AEFFLSMTSA-N 0 1 314.473 3.428 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)CC[C@H]1C ZINC001072009576 686815488 /nfs/dbraw/zinc/81/54/88/686815488.db2.gz YHBSCNJYSSVSMQ-BRSBDYLESA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)CC[C@H]1C ZINC001072018937 686824223 /nfs/dbraw/zinc/82/42/23/686824223.db2.gz ABPDINZZPQOXQE-MOAASNJQSA-N 0 1 316.489 3.358 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)CC[C@H]1C ZINC001072022463 686828036 /nfs/dbraw/zinc/82/80/36/686828036.db2.gz AIYRYUAKIOXPTO-CRAIPNDOSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)cccc2Cl)CC[C@@H]1C ZINC001072023472 686828165 /nfs/dbraw/zinc/82/81/65/686828165.db2.gz RMXJBIMYNDIPPM-NWDGAFQWSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)csc2Cl)CC[C@@H]1C ZINC001072027220 686829181 /nfs/dbraw/zinc/82/91/81/686829181.db2.gz VQNVSJWUQMDHDU-NWDGAFQWSA-N 0 1 312.866 3.479 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C(F)F)o2)CC[C@H]1C ZINC001072033265 686834638 /nfs/dbraw/zinc/83/46/38/686834638.db2.gz LAXVRWWSMNQELT-VXGBXAGGSA-N 0 1 312.360 3.376 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3ccccc32)CC[C@@H]1C ZINC001072037199 686835510 /nfs/dbraw/zinc/83/55/10/686835510.db2.gz LCNYJXVRIRBUCI-DOTOQJQBSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)cc2Cl)CC[C@@H]1C ZINC001072043739 686841124 /nfs/dbraw/zinc/84/11/24/686841124.db2.gz DIZRAXXFWLANTN-AAEUAGOBSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c(s2)CCC3)CC[C@@H]1C ZINC001072049795 686844550 /nfs/dbraw/zinc/84/45/50/686844550.db2.gz RATPKWSWMWARQT-ZFWWWQNUSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc3c2CCCC3)CC[C@@H]1C ZINC001072053483 686848283 /nfs/dbraw/zinc/84/82/83/686848283.db2.gz TUDAATTUMUEFDV-UONOGXRCSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@H]1C ZINC001072088915 686859504 /nfs/dbraw/zinc/85/95/04/686859504.db2.gz SCYMHSPEDLVBBP-GDBMZVCRSA-N 0 1 304.409 3.211 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@H]1C ZINC001072087858 686859873 /nfs/dbraw/zinc/85/98/73/686859873.db2.gz CICBFCVBNFRZBN-WBVHZDCISA-N 0 1 316.420 3.049 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C(C)C)s2)CC[C@@H]1C ZINC001072104652 686867518 /nfs/dbraw/zinc/86/75/18/686867518.db2.gz CPAVIINPNMYBEV-GJZGRUSLSA-N 0 1 318.486 3.478 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)CC[C@H]1C ZINC001072113000 686873145 /nfs/dbraw/zinc/87/31/45/686873145.db2.gz LQVBTIMLVGSTBJ-NVXWUHKLSA-N 0 1 323.440 3.450 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@@H]1C ZINC001072115806 686874206 /nfs/dbraw/zinc/87/42/06/686874206.db2.gz CSOGIZVPOKCZGU-ZWKOTPCHSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@@H]1C ZINC001072115805 686874439 /nfs/dbraw/zinc/87/44/39/686874439.db2.gz CSOGIZVPOKCZGU-ROUUACIJSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)CC[C@@H]1C ZINC001072123527 686876233 /nfs/dbraw/zinc/87/62/33/686876233.db2.gz SBHHZLBGMXHXSH-JXFKEZNVSA-N 0 1 322.452 3.470 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)c3ccccc3C(C)(C)CC)C2)C1 ZINC001072839925 686968374 /nfs/dbraw/zinc/96/83/74/686968374.db2.gz WNUVDDIHTDNUCL-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCCC2(F)F)[C@H]1C ZINC001074769698 687292829 /nfs/dbraw/zinc/29/28/29/687292829.db2.gz XZHPEWHITGGLSJ-XQQFMLRXSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C[C@@H](C(=O)N1CC[C@H](NCC(=C)Cl)[C@@H]1C)c1ccccc1 ZINC001075124706 687337618 /nfs/dbraw/zinc/33/76/18/687337618.db2.gz GSZYQBNJRXLQKA-UAGQMJEPSA-N 0 1 318.848 3.288 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3sccc3Cl)[C@@H]2C1 ZINC001075824768 687447261 /nfs/dbraw/zinc/44/72/61/687447261.db2.gz MTDMOBXMMFLOGO-WCQYABFASA-N 0 1 310.850 3.124 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C)c(Cl)c3F)[C@@H]2C1 ZINC001076082783 687477073 /nfs/dbraw/zinc/47/70/73/687477073.db2.gz ZPSKDDJRIXGRSI-GXTWGEPZSA-N 0 1 322.811 3.120 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001076317728 687508422 /nfs/dbraw/zinc/50/84/22/687508422.db2.gz GRQPCLAQMDSSOV-YTQUADARSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(C(C)(C)C)cc3)[C@@H]2C1 ZINC001076490169 687528845 /nfs/dbraw/zinc/52/88/45/687528845.db2.gz ZYOJPZNVDZVKGV-PKOBYXMFSA-N 0 1 324.468 3.154 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc4ccccc4c3)[C@@H]2C1 ZINC001076495573 687531295 /nfs/dbraw/zinc/53/12/95/687531295.db2.gz LUNZECTWMFXCTI-VQTJNVASSA-N 0 1 318.420 3.009 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001079811241 687890902 /nfs/dbraw/zinc/89/09/02/687890902.db2.gz FCOFDCWDLIEBCG-AUUYWEPGSA-N 0 1 324.399 3.052 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001079950262 687907538 /nfs/dbraw/zinc/90/75/38/687907538.db2.gz JUVUSMHTXMEMLZ-VBSBHUPXSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2sc3ccccc3c2C)C1 ZINC001080081568 687918965 /nfs/dbraw/zinc/91/89/65/687918965.db2.gz QGHYYIAGCLSOJR-IUODEOHRSA-N 0 1 314.454 3.446 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001080462611 687979496 /nfs/dbraw/zinc/97/94/96/687979496.db2.gz AEYPVHAVQDLUPG-RDTXWAMCSA-N 0 1 315.461 3.004 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001080781245 688011939 /nfs/dbraw/zinc/01/19/39/688011939.db2.gz SMNYDHWLFDJAJN-IXDOHACOSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001081055140 688043996 /nfs/dbraw/zinc/04/39/96/688043996.db2.gz XXFPCTVUYSZISN-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H]2CCCC2(F)F)C[C@H]1C ZINC001082704789 688124183 /nfs/dbraw/zinc/12/41/83/688124183.db2.gz ATVQOTILWHDEMZ-RAIGVLPGSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2cccs2)C[C@H]1C ZINC001082735568 688125564 /nfs/dbraw/zinc/12/55/64/688125564.db2.gz HHMJKMICWUCSEX-KGYLQXTDSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2[C@@H]3CCCCCC[C@@H]23)C[C@H]1C ZINC001082759702 688126334 /nfs/dbraw/zinc/12/63/34/688126334.db2.gz AYSXXLBNZHUJFI-DTZQCDIJSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2ccc(C)cc2)C[C@H]1C ZINC001082868169 688130419 /nfs/dbraw/zinc/13/04/19/688130419.db2.gz QEBQSVQHNNVZDB-FRFSOERESA-N 0 1 320.864 3.288 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)Cc2ccccc2)C[C@H]1C ZINC001082935587 688132035 /nfs/dbraw/zinc/13/20/35/688132035.db2.gz MUTFHDJPDWRCCV-CKEIUWERSA-N 0 1 320.864 3.054 20 30 DGEDMN CCOc1ccccc1[C@H](N)CC(=O)N(C)c1cccc(C#N)c1 ZINC001578201853 1191931870 /nfs/dbraw/zinc/93/18/70/1191931870.db2.gz MUBSOVXLHHRTKD-QGZVFWFLSA-N 0 1 323.396 3.010 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1CC(C)C ZINC001662429365 1196721761 /nfs/dbraw/zinc/72/17/61/1196721761.db2.gz IPYHGJGLERGOSN-CQSZACIVSA-N 0 1 308.853 3.346 20 30 DGEDMN CCC(C)(C)C1CCC(CC(=O)NCC#CCN(C)C)CC1 ZINC001587078552 1192367026 /nfs/dbraw/zinc/36/70/26/1192367026.db2.gz AOAYGHDHWJSABU-UHFFFAOYSA-N 0 1 306.494 3.300 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2cccc(C(F)(F)F)c2)c1 ZINC001587205525 1192379683 /nfs/dbraw/zinc/37/96/83/1192379683.db2.gz XGZZLYSBEYACNS-UHFFFAOYSA-N 0 1 320.270 3.230 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(C(F)F)nc3)[nH]c2c1 ZINC001587347320 1192394364 /nfs/dbraw/zinc/39/43/64/1192394364.db2.gz HAQQBUQMKONFAR-UHFFFAOYSA-N 0 1 313.267 3.019 20 30 DGEDMN C=CCCC(CC)N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC001588577072 1192450724 /nfs/dbraw/zinc/45/07/24/1192450724.db2.gz BMWUWIYXVXUANN-UHFFFAOYSA-N 0 1 317.397 3.197 20 30 DGEDMN C=CCCCCCCCCNc1ccncc1-c1nn[nH]n1 ZINC001588593653 1192451185 /nfs/dbraw/zinc/45/11/85/1192451185.db2.gz GEYONDPHAKHGAT-UHFFFAOYSA-N 0 1 300.410 3.012 20 30 DGEDMN CCC(=NNc1ccc(-c2nn[nH]n2)cc1)c1ccc(C)cc1 ZINC001590358652 1192503297 /nfs/dbraw/zinc/50/32/97/1192503297.db2.gz AYIDARYRJJWHPX-UHFFFAOYSA-N 0 1 306.373 3.401 20 30 DGEDMN CCC(N=Nc1ccc(-c2nn[nH]n2)cc1)c1ccc(F)cc1 ZINC001590358915 1192503440 /nfs/dbraw/zinc/50/34/40/1192503440.db2.gz LDVBHAZNJWOZSB-UHFFFAOYSA-N 0 1 310.336 3.232 20 30 DGEDMN CC[C@@H](NCC1(C#N)CCCCC1)c1ccc(-c2nn[nH]n2)cc1 ZINC001590464888 1192510401 /nfs/dbraw/zinc/51/04/01/1192510401.db2.gz QZRBNSRQAGERHX-MRXNPFEDSA-N 0 1 324.432 3.381 20 30 DGEDMN CCC1CCC(N=Nc2oc(C(C)C)nc2-c2nn[nH]n2)CC1 ZINC001590507027 1192515423 /nfs/dbraw/zinc/51/54/23/1192515423.db2.gz YVLZQDJFYXTLMI-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN CCOc1ccccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595735072 1192668366 /nfs/dbraw/zinc/66/83/66/1192668366.db2.gz ULJVCXFHVPBODE-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1ccc(Cl)c(F)c1 ZINC001690971305 1176051864 /nfs/dbraw/zinc/05/18/64/1176051864.db2.gz VEHOOQRJSSBGFJ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CCCCC)C(C)C)C2)C1 ZINC001772517147 1176107904 /nfs/dbraw/zinc/10/79/04/1176107904.db2.gz HBFLMEPHVMPQKR-GOSISDBHSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1cc(C)cc(C)c1)C1CC1 ZINC001670516945 1176158018 /nfs/dbraw/zinc/15/80/18/1176158018.db2.gz IZDJUYCPEMYHMV-KRWDZBQOSA-N 0 1 320.864 3.083 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1cccc(F)c1F ZINC001671342422 1176165832 /nfs/dbraw/zinc/16/58/32/1176165832.db2.gz KAGJVPIRCAILBY-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001670631038 1176197042 /nfs/dbraw/zinc/19/70/42/1176197042.db2.gz VGHCGLZPAJVEHW-GOSISDBHSA-N 0 1 316.420 3.040 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1[C@@H](CC)c1ccc(F)cc1 ZINC001670635438 1176198744 /nfs/dbraw/zinc/19/87/44/1176198744.db2.gz LKMXQSPCCBVJAP-MSOLQXFVSA-N 0 1 316.420 3.271 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCN1CCCC1CCCC1 ZINC001670641744 1176199725 /nfs/dbraw/zinc/19/97/25/1176199725.db2.gz XHUOOOGNHJFRKG-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)/C=C\C(C)(C)C ZINC001754038339 1176204197 /nfs/dbraw/zinc/20/41/97/1176204197.db2.gz GKKDQLGYXAACLW-KHPPLWFESA-N 0 1 313.445 3.099 20 30 DGEDMN CCN(CCNCc1cc(F)ccc1Cl)C(=O)C#CC(C)C ZINC001754043737 1176219184 /nfs/dbraw/zinc/21/91/84/1176219184.db2.gz AVZQCNQEDSCNJT-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC/C=C\c1ccccc1 ZINC001691058032 1176282548 /nfs/dbraw/zinc/28/25/48/1176282548.db2.gz GZTUUZBMVUMHDP-ICEKFOEVSA-N 0 1 310.441 3.036 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001691066796 1176290259 /nfs/dbraw/zinc/29/02/59/1176290259.db2.gz VKKRKAOJYMBZPC-OLZOCXBDSA-N 0 1 324.877 3.061 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001691075230 1176297382 /nfs/dbraw/zinc/29/73/82/1176297382.db2.gz QJFCNWGXHLMLSF-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001691075230 1176297386 /nfs/dbraw/zinc/29/73/86/1176297386.db2.gz QJFCNWGXHLMLSF-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001691099621 1176311071 /nfs/dbraw/zinc/31/10/71/1176311071.db2.gz DHRUIRBPJLXSIH-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@@H](C(=O)NC[C@@H]1CCCN1CC#CC)c1ccccc1 ZINC001691112801 1176322070 /nfs/dbraw/zinc/32/20/70/1176322070.db2.gz KYSIAEZBRQJGBB-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001691142993 1176336364 /nfs/dbraw/zinc/33/63/64/1176336364.db2.gz HZVKISLRTMHCCC-HNNXBMFYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1csc(C)n1 ZINC001691345197 1176446154 /nfs/dbraw/zinc/44/61/54/1176446154.db2.gz HUTKYOGMGZFYCL-CJNGLKHVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H]1CC[N@H+]1CCc1cccs1 ZINC001691395283 1176463235 /nfs/dbraw/zinc/46/32/35/1176463235.db2.gz IDEIRISSJVXTRA-CVEARBPZSA-N 0 1 320.502 3.426 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(Cl)s1 ZINC001750930614 1176566338 /nfs/dbraw/zinc/56/63/38/1176566338.db2.gz SCAAOVLDFXUFKN-ZDUSSCGKSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2ccc(Cl)cc2F)C1 ZINC001691467505 1176628588 /nfs/dbraw/zinc/62/85/88/1176628588.db2.gz LKGVPRZHZLPDKC-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1 ZINC001597982096 1192757685 /nfs/dbraw/zinc/75/76/85/1192757685.db2.gz JKURWLBERJFEDS-UHFFFAOYSA-N 0 1 324.384 3.024 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001752425144 1176769206 /nfs/dbraw/zinc/76/92/06/1176769206.db2.gz QFMCYXSQSWLHQB-GDBMZVCRSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2=CCCCCC2)CC1 ZINC001752433968 1176812397 /nfs/dbraw/zinc/81/23/97/1176812397.db2.gz XJMJYDFRFOQCOY-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2C(C)(C)C2(C)C)C1 ZINC001732069865 1176818612 /nfs/dbraw/zinc/81/86/12/1176818612.db2.gz ROHBAUKTSRZNFE-ZDUSSCGKSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001691496195 1176831545 /nfs/dbraw/zinc/83/15/45/1176831545.db2.gz OCYJUDDTFPPQFB-VQTJNVASSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2oc(C)cc2C)C1 ZINC001752506911 1176871685 /nfs/dbraw/zinc/87/16/85/1176871685.db2.gz YPKQRJQKRWTEQB-OAHLLOKOSA-N 0 1 324.852 3.433 20 30 DGEDMN CC#CC[C@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC001620990162 1192777632 /nfs/dbraw/zinc/77/76/32/1192777632.db2.gz HFNAMBNSERLHEY-CYBMUJFWSA-N 0 1 303.789 3.464 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCC)c2ccccc2)[C@H]1CC ZINC001691513142 1177048150 /nfs/dbraw/zinc/04/81/50/1177048150.db2.gz DTYOKSYDIGFLQQ-GBESFXJTSA-N 0 1 312.457 3.173 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccccc1Cl ZINC001732451703 1177228769 /nfs/dbraw/zinc/22/87/69/1177228769.db2.gz BYBSCTPGRGVONE-NSHDSACASA-N 0 1 301.217 3.143 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@H](CC)CC(C)C ZINC001691546307 1177306709 /nfs/dbraw/zinc/30/67/09/1177306709.db2.gz QOGRVYNWDVEEPK-CYBMUJFWSA-N 0 1 319.287 3.015 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001691781758 1177426251 /nfs/dbraw/zinc/42/62/51/1177426251.db2.gz CJZGXFZOKGNNQR-RTBURBONSA-N 0 1 324.468 3.427 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001670880887 1177454762 /nfs/dbraw/zinc/45/47/62/1177454762.db2.gz UKFICTNSOMGZJG-CHWSQXEVSA-N 0 1 319.474 3.040 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](F)CC2CCCCC2)CC1 ZINC001670889385 1177465673 /nfs/dbraw/zinc/46/56/73/1177465673.db2.gz DRRHMIRBYMAWNR-CQSZACIVSA-N 0 1 316.848 3.286 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001733260345 1177465778 /nfs/dbraw/zinc/46/57/78/1177465778.db2.gz NMHQLUJHIDGUET-OLZOCXBDSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001691852501 1177505795 /nfs/dbraw/zinc/50/57/95/1177505795.db2.gz HQINGNBZSSGUNO-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001671033442 1177575886 /nfs/dbraw/zinc/57/58/86/1177575886.db2.gz OTMAGPZXJSWZPP-DBEXCURXSA-N 0 1 322.880 3.247 20 30 DGEDMN Cc1ccc([C@@H](C)[NH2+][C@@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC001600372335 1192838897 /nfs/dbraw/zinc/83/88/97/1192838897.db2.gz JMDGTNPJAMRNQA-IAQYHMDHSA-N 0 1 300.383 3.405 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3sccc3c1)C2 ZINC001096267336 1178013399 /nfs/dbraw/zinc/01/33/99/1178013399.db2.gz PMYLIKNWIZJAFJ-PMPSAXMXSA-N 0 1 312.438 3.422 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001671769650 1178040397 /nfs/dbraw/zinc/04/03/97/1178040397.db2.gz WOOYYKRWENNIFB-KDOFPFPSSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(CCCc2cccc(C)c2)C1 ZINC001671138184 1178043325 /nfs/dbraw/zinc/04/33/25/1178043325.db2.gz LVRVDNUWHJECRP-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN N#Cc1cccc(S(=O)(=O)Nc2cnccc2C2CCC2)c1 ZINC001259118580 1178083513 /nfs/dbraw/zinc/08/35/13/1178083513.db2.gz LOFLHWGXDAZMSB-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2nc(C(F)F)nc3ccccc32)C1 ZINC001331178948 1178150232 /nfs/dbraw/zinc/15/02/32/1178150232.db2.gz XPODJBUGNQFDGQ-GFCCVEGCSA-N 0 1 316.355 3.077 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccccc1C ZINC001331257439 1178167186 /nfs/dbraw/zinc/16/71/86/1178167186.db2.gz UZIPKHALGIUMPK-INIZCTEOSA-N 0 1 306.837 3.188 20 30 DGEDMN N#Cc1ccc(NN=C(C[C@@H]2CCCO2)c2ccc(F)cc2)nc1 ZINC001331365133 1178195722 /nfs/dbraw/zinc/19/57/22/1178195722.db2.gz SLEYLWNNJBJUHS-INIZCTEOSA-N 0 1 324.359 3.478 20 30 DGEDMN N#C[C@@H](NC(=O)C(F)(F)c1cc(F)cc(F)c1)C1CCCC1 ZINC001331554235 1178244705 /nfs/dbraw/zinc/24/47/05/1178244705.db2.gz ZHTXYWWIXPWYOO-CYBMUJFWSA-N 0 1 314.282 3.255 20 30 DGEDMN Cc1nc2ccc(CN3C[C@@H](C)N(CC#N)[C@@H](C)C3)cc2s1 ZINC001331580609 1178252733 /nfs/dbraw/zinc/25/27/33/1178252733.db2.gz DFVSPQQNNNMJCU-BETUJISGSA-N 0 1 314.458 3.023 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@@H]1CCN(CC(F)(F)F)C1 ZINC001331793870 1178281966 /nfs/dbraw/zinc/28/19/66/1178281966.db2.gz ZNIWSBHJNWCCPP-LLVKDONJSA-N 0 1 317.380 3.078 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@H]1C ZINC001331916745 1178306784 /nfs/dbraw/zinc/30/67/84/1178306784.db2.gz HQSYFTCHUOQMAD-RGXVFGMQSA-N 0 1 305.249 3.241 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2oc(C(C)C)nc2C)C[C@H]1C ZINC001331962079 1178321199 /nfs/dbraw/zinc/32/11/99/1178321199.db2.gz ZVUHKUYMYCBZIN-UKRRQHHQSA-N 0 1 319.449 3.152 20 30 DGEDMN Cc1cccc2c1C[N@H+]([C@@H](C(=O)[O-])c1cccc(C#N)c1)CC2 ZINC001600557262 1192874134 /nfs/dbraw/zinc/87/41/34/1192874134.db2.gz YHWCMBPBDGCRID-GOSISDBHSA-N 0 1 306.365 3.051 20 30 DGEDMN C=C(C)CCC(=O)N(CCN(C)Cc1cccnc1C)C(C)C ZINC001332707505 1178478070 /nfs/dbraw/zinc/47/80/70/1178478070.db2.gz MPYPGGKKEBJYGA-UHFFFAOYSA-N 0 1 317.477 3.415 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(F)c(Cl)c1)C(C)C ZINC001332724709 1178482995 /nfs/dbraw/zinc/48/29/95/1178482995.db2.gz UYIQIHWDATZORY-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc2c(c1)CCC=C2)C(C)C ZINC001332734980 1178486588 /nfs/dbraw/zinc/48/65/88/1178486588.db2.gz HLEYOHVERLRDSH-UHFFFAOYSA-N 0 1 324.468 3.452 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1ccc(F)cn1)C(C)(C)C ZINC001332839601 1178505540 /nfs/dbraw/zinc/50/55/40/1178505540.db2.gz YIFOJFRZIACXHA-OAHLLOKOSA-N 0 1 321.440 3.053 20 30 DGEDMN C=CCCCC(=O)NC1(C2CCN(C/C=C/Cl)CC2)CC1 ZINC001333180395 1178568002 /nfs/dbraw/zinc/56/80/02/1178568002.db2.gz QSBCVAHDGLUGIZ-VZUCSPMQSA-N 0 1 310.869 3.456 20 30 DGEDMN CCc1ccc(CN2CCN(c3cccc(CC#N)n3)CC2)cc1 ZINC001333578118 1178630555 /nfs/dbraw/zinc/63/05/55/1178630555.db2.gz ACEBGFZTUDQHSI-UHFFFAOYSA-N 0 1 320.440 3.032 20 30 DGEDMN N#Cc1ccc(CN2C[C@H]3CCC[C@@H](C2)C3O)c(C(F)(F)F)c1 ZINC001333583964 1178631908 /nfs/dbraw/zinc/63/19/08/1178631908.db2.gz YFMMSIHMLCTZJP-MZBDJJRSSA-N 0 1 324.346 3.170 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2CC#Cc2ccccc2)n1 ZINC001334097672 1178719495 /nfs/dbraw/zinc/71/94/95/1178719495.db2.gz OQAGTFKYKNEKHC-IBGZPJMESA-N 0 1 305.425 3.318 20 30 DGEDMN Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001600979836 1192912782 /nfs/dbraw/zinc/91/27/82/1192912782.db2.gz ADOBTYTWBJDKAA-SFHVURJKSA-N 0 1 323.396 3.322 20 30 DGEDMN Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001600979836 1192912787 /nfs/dbraw/zinc/91/27/87/1192912787.db2.gz ADOBTYTWBJDKAA-SFHVURJKSA-N 0 1 323.396 3.322 20 30 DGEDMN N#CCCCC[N@H+]1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1 ZINC001601065884 1192915910 /nfs/dbraw/zinc/91/59/10/1192915910.db2.gz VMRHWBNRKMIMHN-LSDHHAIUSA-N 0 1 306.793 3.134 20 30 DGEDMN N#Cc1c(C[N@@H+]2CCCc3sccc3C2)cccc1C(=O)[O-] ZINC001601082147 1192916291 /nfs/dbraw/zinc/91/62/91/1192916291.db2.gz QVTBWRVEXDNGEH-UHFFFAOYSA-N 0 1 312.394 3.266 20 30 DGEDMN N#Cc1c(C[N@H+]2CCCc3sccc3C2)cccc1C(=O)[O-] ZINC001601082147 1192916295 /nfs/dbraw/zinc/91/62/95/1192916295.db2.gz QVTBWRVEXDNGEH-UHFFFAOYSA-N 0 1 312.394 3.266 20 30 DGEDMN CCn1nc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cc1C1CC1 ZINC001341726869 1179054920 /nfs/dbraw/zinc/05/49/20/1179054920.db2.gz XATLCCADGIIHAQ-GFCCVEGCSA-N 0 1 319.368 3.147 20 30 DGEDMN C=C(C)Cn1c([C@H]2CCC[N@@H+](C)C2)nnc1N(C)CCC(C)C ZINC001342354187 1179133726 /nfs/dbraw/zinc/13/37/26/1179133726.db2.gz FWPHZKNTSNYVTG-INIZCTEOSA-N 0 1 319.497 3.146 20 30 DGEDMN N#C[C@H](C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1cccc(F)n1 ZINC001342559474 1179153684 /nfs/dbraw/zinc/15/36/84/1179153684.db2.gz AHDBHSPGAQRJOI-LBPRGKRZSA-N 0 1 324.290 3.240 20 30 DGEDMN N#CC(C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1cccc(F)n1 ZINC001342559474 1179153686 /nfs/dbraw/zinc/15/36/86/1179153686.db2.gz AHDBHSPGAQRJOI-LBPRGKRZSA-N 0 1 324.290 3.240 20 30 DGEDMN N#CC(C(=O)c1ncoc1-c1ccccc1)c1cccc(F)n1 ZINC001342640237 1179164799 /nfs/dbraw/zinc/16/47/99/1179164799.db2.gz IPOMJKZMODOMMJ-GFCCVEGCSA-N 0 1 307.284 3.366 20 30 DGEDMN Cn1cc(C(=O)C(C#N)c2cccc(F)n2)c(C2CCCC2)n1 ZINC001342958997 1179216227 /nfs/dbraw/zinc/21/62/27/1179216227.db2.gz MFYDPOLVLCEZMZ-GFCCVEGCSA-N 0 1 312.348 3.102 20 30 DGEDMN Cn1cc(C(=O)[C@H](C#N)c2cccc(F)n2)c(C2CCCC2)n1 ZINC001342958997 1179216231 /nfs/dbraw/zinc/21/62/31/1179216231.db2.gz MFYDPOLVLCEZMZ-GFCCVEGCSA-N 0 1 312.348 3.102 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC2(CCC2)CO1)c1cccc(F)n1 ZINC001343407214 1179269279 /nfs/dbraw/zinc/26/92/79/1179269279.db2.gz ZFOJDZQRTYLSKP-STQMWFEESA-N 0 1 302.349 3.136 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCOC2(CCCC2)C1)c1cccc(F)n1 ZINC001343607643 1179303740 /nfs/dbraw/zinc/30/37/40/1179303740.db2.gz IZONIFJNHWJBCS-OLZOCXBDSA-N 0 1 302.349 3.136 20 30 DGEDMN N#CC(C(=O)[C@H]1CCOC2(CCCC2)C1)c1cccc(F)n1 ZINC001343607644 1179303933 /nfs/dbraw/zinc/30/39/33/1179303933.db2.gz IZONIFJNHWJBCS-QWHCGFSZSA-N 0 1 302.349 3.136 20 30 DGEDMN N#C[C@H](C(=O)C[C@@H]1C[C@H]1Cc1ccccc1)C(=O)NC1CCCC1 ZINC001343641945 1179308262 /nfs/dbraw/zinc/30/82/62/1179308262.db2.gz NBPVEUKGFQDDDO-SOLBZPMBSA-N 0 1 324.424 3.023 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2ccc(Cl)cc2)CC1 ZINC001343704061 1179319317 /nfs/dbraw/zinc/31/93/17/1179319317.db2.gz GRVWNTGHYYKVAG-AWEZNQCLSA-N 0 1 313.788 3.273 20 30 DGEDMN N#CC(C(=O)[C@]12C[C@H]1CCC2)c1nccn1Cc1ccccc1 ZINC001343703692 1179319880 /nfs/dbraw/zinc/31/98/80/1179319880.db2.gz COYLKQYXYXZCOS-JTDSTZFVSA-N 0 1 305.381 3.298 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC(CC)CC1 ZINC001343765094 1179329666 /nfs/dbraw/zinc/32/96/66/1179329666.db2.gz XJDVFNBGILQMOR-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1c1cccc(F)c1F)c1cccc(F)n1 ZINC001344007984 1179364439 /nfs/dbraw/zinc/36/44/39/1179364439.db2.gz SOSIXGIBRJGUQK-TUAOUCFPSA-N 0 1 316.282 3.479 20 30 DGEDMN N#CC(=C([O-])c1cccc(C[NH+]2CCCC2)c1)c1cccc(F)n1 ZINC001344043561 1179369373 /nfs/dbraw/zinc/36/93/73/1179369373.db2.gz ITOJVUBXKVMGON-MRXNPFEDSA-N 0 1 323.371 3.307 20 30 DGEDMN N#C[C@@H](C(=O)c1cccc(CN2CCCC2)c1)c1cccc(F)n1 ZINC001344043561 1179369379 /nfs/dbraw/zinc/36/93/79/1179369379.db2.gz ITOJVUBXKVMGON-MRXNPFEDSA-N 0 1 323.371 3.307 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccc(F)cc2)CCC1 ZINC001344322500 1179412504 /nfs/dbraw/zinc/41/25/04/1179412504.db2.gz QQFYPWALYJLSTP-OAHLLOKOSA-N 0 1 311.360 3.340 20 30 DGEDMN N#C[C@@H]1CC[C@@H](OC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)C1 ZINC001344623459 1179460333 /nfs/dbraw/zinc/46/03/33/1179460333.db2.gz ZBGBFKQCVWNBAU-IAQYHMDHSA-N 0 1 322.368 3.198 20 30 DGEDMN CC(C)c1ccc(C([O-])=C(C#N)c2ncc(Cl)n2C)nc1 ZINC001345644398 1179586388 /nfs/dbraw/zinc/58/63/88/1179586388.db2.gz ZFSRCTQOXWAGSR-NSHDSACASA-N 0 1 302.765 3.082 20 30 DGEDMN CC(C)c1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)nc1 ZINC001345644398 1179586391 /nfs/dbraw/zinc/58/63/91/1179586391.db2.gz ZFSRCTQOXWAGSR-NSHDSACASA-N 0 1 302.765 3.082 20 30 DGEDMN C[C@@H]1[C@@H](OC(=O)c2ccc(C#N)[nH]2)CCC[N@H+]1Cc1ccccc1 ZINC001345776081 1179604653 /nfs/dbraw/zinc/60/46/53/1179604653.db2.gz MRLIGFQKWLBZPQ-KDOFPFPSSA-N 0 1 323.396 3.096 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3cn(C)c4ccccc34)o2)CC1 ZINC001345834920 1179611959 /nfs/dbraw/zinc/61/19/59/1179611959.db2.gz YISZXFVRVOMFED-UHFFFAOYSA-N 0 1 320.396 3.041 20 30 DGEDMN CCC(=O)c1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1 ZINC001346095257 1179651594 /nfs/dbraw/zinc/65/15/94/1179651594.db2.gz AVJVONUWDUTOFI-LBPRGKRZSA-N 0 1 315.760 3.156 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSCc1cccs1 ZINC001349701662 1179935719 /nfs/dbraw/zinc/93/57/19/1179935719.db2.gz IJFVHJSCZHBFJD-GFCCVEGCSA-N 0 1 305.428 3.074 20 30 DGEDMN C#CC[N@H+](CCOc1cccc(C(=O)[O-])c1)C1CCCCC1 ZINC001602719858 1192994958 /nfs/dbraw/zinc/99/49/58/1192994958.db2.gz WNUVNFUEWPIIOS-UHFFFAOYSA-N 0 1 301.386 3.032 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@@H]1CCCO[C@H]1c1ccccc1 ZINC001602829802 1192998771 /nfs/dbraw/zinc/99/87/71/1192998771.db2.gz YAUQQDDDRZLJSS-BBWFWOEESA-N 0 1 303.402 3.115 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC001602836571 1192999653 /nfs/dbraw/zinc/99/96/53/1192999653.db2.gz YDGVJSVKIBCVQW-OAHLLOKOSA-N 0 1 304.777 3.140 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC001602836571 1192999656 /nfs/dbraw/zinc/99/96/56/1192999656.db2.gz YDGVJSVKIBCVQW-OAHLLOKOSA-N 0 1 304.777 3.140 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)CN(C)CCc1ccccc1 ZINC001602982073 1193004169 /nfs/dbraw/zinc/00/41/69/1193004169.db2.gz WHWBMYFQJJVWBS-GOSISDBHSA-N 0 1 300.446 3.118 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cscc1C ZINC001480094278 1180235965 /nfs/dbraw/zinc/23/59/65/1180235965.db2.gz NQPOULYFCSAVMB-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC[N@H+](Cc2ccc(C)c(F)c2)C1 ZINC001480247192 1180320694 /nfs/dbraw/zinc/32/06/94/1180320694.db2.gz PXHZBMLZDHORFY-KRWDZBQOSA-N 0 1 318.436 3.429 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCC[N@@H+]1Cc1oc(C)nc1C ZINC001480322772 1180365374 /nfs/dbraw/zinc/36/53/74/1180365374.db2.gz FBKOXBDIUFJQSE-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1oc(C)nc1C ZINC001480322772 1180365381 /nfs/dbraw/zinc/36/53/81/1180365381.db2.gz FBKOXBDIUFJQSE-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCC(=O)NCC1CC(N[C@@H](C)c2c(F)cccc2F)C1 ZINC001100169441 1180417087 /nfs/dbraw/zinc/41/70/87/1180417087.db2.gz RMDOGFLROOJKBE-HSBZDZAISA-N 0 1 322.399 3.476 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001480532671 1180615518 /nfs/dbraw/zinc/61/55/18/1180615518.db2.gz OEVPTGUFUPCNBJ-SJKOYZFVSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CCCC1(CNC(=O)c2coc([C@@H]3CCCN3)n2)CCCC1 ZINC001354232349 1180753133 /nfs/dbraw/zinc/75/31/33/1180753133.db2.gz CMTVFFOUXUNLKU-AWEZNQCLSA-N 0 1 317.433 3.356 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100594796 1180833936 /nfs/dbraw/zinc/83/39/36/1180833936.db2.gz FUYRDZMUQNLMKS-LRVUVFPRSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100596129 1180835931 /nfs/dbraw/zinc/83/59/31/1180835931.db2.gz LPXUWMVVPIRJPQ-ZWOKVHNKSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001200794702 1180913959 /nfs/dbraw/zinc/91/39/59/1180913959.db2.gz MLGKVYUMQYRAPK-CQSZACIVSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CC)C[C@H](C)CC ZINC001355921111 1181114207 /nfs/dbraw/zinc/11/42/07/1181114207.db2.gz JRRCRWIJGCZUBT-GFCCVEGCSA-N 0 1 302.426 3.035 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCCC1(F)F ZINC001356220991 1181159990 /nfs/dbraw/zinc/15/99/90/1181159990.db2.gz NDUHJNISWIFNPF-STQMWFEESA-N 0 1 314.376 3.217 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@H]1CC=CCC1 ZINC001356265414 1181166789 /nfs/dbraw/zinc/16/67/89/1181166789.db2.gz IKSAEIWBVGBTNQ-KBPBESRZSA-N 0 1 312.369 3.089 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@@H+]1CC#Cc1ccccc1Cl ZINC001604657405 1193087244 /nfs/dbraw/zinc/08/72/44/1193087244.db2.gz MUMRUJDCYDOCFJ-AGGWBTHJSA-N 0 1 305.805 3.265 20 30 DGEDMN N#CC(C(=O)c1cnc(CC2CC2)s1)c1cccc(F)n1 ZINC001357712655 1181421542 /nfs/dbraw/zinc/42/15/42/1181421542.db2.gz MRIFJUJDLWDSFY-SNVBAGLBSA-N 0 1 301.346 3.120 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(CC2CC2)s1)c1cccc(F)n1 ZINC001357712655 1181421545 /nfs/dbraw/zinc/42/15/45/1181421545.db2.gz MRIFJUJDLWDSFY-SNVBAGLBSA-N 0 1 301.346 3.120 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC001604980982 1193103506 /nfs/dbraw/zinc/10/35/06/1193103506.db2.gz QNNLQVIQAVZEJK-RYUDHWBXSA-N 0 1 301.173 3.401 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@@H]2CCCN2C(C)C)c1 ZINC001435022774 1181785113 /nfs/dbraw/zinc/78/51/13/1181785113.db2.gz FPXAEEGTCIGMPP-KRWDZBQOSA-N 0 1 302.418 3.453 20 30 DGEDMN Cc1cccc([C@@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001438133579 1181959535 /nfs/dbraw/zinc/95/95/35/1181959535.db2.gz BGHPMWPWKFKPAA-GFCCVEGCSA-N 0 1 304.353 3.485 20 30 DGEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2ccc3nc[nH]c3c2)c1 ZINC001442355481 1182080737 /nfs/dbraw/zinc/08/07/37/1182080737.db2.gz OQKOUDULWHBSGW-LSDHHAIUSA-N 0 1 302.337 3.177 20 30 DGEDMN C=C[C@@H](O)C(=O)Nc1ccc2nc(CC3CCCCC3)[nH]c2c1 ZINC001445231436 1182140885 /nfs/dbraw/zinc/14/08/85/1182140885.db2.gz GUGFKESXXPGBSE-MRXNPFEDSA-N 0 1 313.401 3.171 20 30 DGEDMN CC[N@@H+](Cc1cncc(C(=O)[O-])c1)[C@@H](C)c1ccc(C#N)cc1 ZINC001605461691 1193152379 /nfs/dbraw/zinc/15/23/79/1193152379.db2.gz IKXYRBYBZQMHAI-ZDUSSCGKSA-N 0 1 309.369 3.235 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cn[nH]c3CCC)cc2n1 ZINC001456181231 1182404101 /nfs/dbraw/zinc/40/41/01/1182404101.db2.gz JBNDXQDTRMFPRV-UHFFFAOYSA-N 0 1 309.373 3.150 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC001456728399 1182428029 /nfs/dbraw/zinc/42/80/29/1182428029.db2.gz WEJRPLCVCNBNSK-APWZRJJASA-N 0 1 312.457 3.390 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cc(C)c(C#N)c(C)c3)n2)o1 ZINC001457682047 1182475631 /nfs/dbraw/zinc/47/56/31/1182475631.db2.gz BRWSHNUSNDTCHF-UHFFFAOYSA-N 0 1 321.340 3.114 20 30 DGEDMN C#CCN(CCC(=O)Nc1cccc2ccccc21)C1CSC1 ZINC001460377259 1182684575 /nfs/dbraw/zinc/68/45/75/1182684575.db2.gz UBIZQXYLYCYYMD-UHFFFAOYSA-N 0 1 324.449 3.219 20 30 DGEDMN C#CCN(CC(=O)NC[C@H](c1ccccc1)C(C)C)C(C)(C)C ZINC001460808608 1182724058 /nfs/dbraw/zinc/72/40/58/1182724058.db2.gz TVYLXHWUPDGRMK-SFHVURJKSA-N 0 1 314.473 3.276 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2Cc2ccc(OCC#N)cc2)[nH]n1 ZINC001460837976 1182729411 /nfs/dbraw/zinc/72/94/11/1182729411.db2.gz ZIDXGGVUEGCVIB-SFHVURJKSA-N 0 1 310.401 3.348 20 30 DGEDMN Cc1cccc(C)c1CN(C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC001460849261 1182730779 /nfs/dbraw/zinc/73/07/79/1182730779.db2.gz KDEHHGFBHFPJEI-LPHOPBHVSA-N 0 1 315.461 3.178 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@@H]1[C@H]1CCCO1 ZINC001460956924 1182746221 /nfs/dbraw/zinc/74/62/21/1182746221.db2.gz YXVICTKDLWQGTR-SJLPKXTDSA-N 0 1 300.402 3.100 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(F)c(F)c2N(C)C)cc1 ZINC001461513326 1182822275 /nfs/dbraw/zinc/82/22/75/1182822275.db2.gz KWVNVTHEGIDYOW-UHFFFAOYSA-N 0 1 300.352 3.302 20 30 DGEDMN C[C@@H]1CC[C@](CO)(NCc2cc(C#N)cs2)c2ccccc21 ZINC001461819802 1182862131 /nfs/dbraw/zinc/86/21/31/1182862131.db2.gz KYSOWDLHDGXXOC-FZKQIMNGSA-N 0 1 312.438 3.494 20 30 DGEDMN C#CCN(Cc1ccc2[nH]c(=O)[nH]c2c1)[C@@H]1CCc2ccccc21 ZINC001461988186 1182890694 /nfs/dbraw/zinc/89/06/94/1182890694.db2.gz KTAOAQSIDMCSBO-LJQANCHMSA-N 0 1 317.392 3.391 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H]2CCCC[C@@H]2CC)n1 ZINC001462187203 1182920668 /nfs/dbraw/zinc/92/06/68/1182920668.db2.gz DZEGXJVHHPREET-ROUUACIJSA-N 0 1 317.477 3.181 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccc(Oc2ccccc2)cc1 ZINC001464892601 1183119728 /nfs/dbraw/zinc/11/97/28/1183119728.db2.gz FPQUXEYUHXDDCN-UHFFFAOYSA-N 0 1 323.396 3.165 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1ccc(Cl)c(O)c1 ZINC001465545522 1183157242 /nfs/dbraw/zinc/15/72/42/1183157242.db2.gz KMGVMRSXGIYWQU-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@H](CCC#N)c3ccccc3)c2C1 ZINC001466244771 1183195104 /nfs/dbraw/zinc/19/51/04/1183195104.db2.gz WXECHRRUSSTQLU-XJKSGUPXSA-N 0 1 322.412 3.309 20 30 DGEDMN Cc1ccc(Oc2ccc(C(=O)N=C3C[C@H](C)ON3)nc2)cc1 ZINC001466618049 1183214337 /nfs/dbraw/zinc/21/43/37/1183214337.db2.gz HSTBPJCMBBXEIF-LBPRGKRZSA-N 0 1 311.341 3.034 20 30 DGEDMN C=CCN(C(=O)c1cccc(-c2nnc[nH]2)c1)c1ccccc1 ZINC001468641521 1183349252 /nfs/dbraw/zinc/34/92/52/1183349252.db2.gz DLNOGEWNKOSHBC-UHFFFAOYSA-N 0 1 304.353 3.305 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC001469533184 1183415843 /nfs/dbraw/zinc/41/58/43/1183415843.db2.gz NSTZRNGMBGAUIN-FUHWJXTLSA-N 0 1 315.461 3.305 20 30 DGEDMN CSc1ccc(C[NH2+]Cc2cc(C(=O)[O-])c(C)o2)cc1C#N ZINC001607408156 1193228614 /nfs/dbraw/zinc/22/86/14/1193228614.db2.gz BVPIFQXDEQEWRI-UHFFFAOYSA-N 0 1 316.382 3.170 20 30 DGEDMN CCCCCCCC(=O)Nc1n[nH]c2cc(C)n(CC)c(=O)c21 ZINC001472585483 1183654417 /nfs/dbraw/zinc/65/44/17/1183654417.db2.gz RCWVOUNJTMBKJV-UHFFFAOYSA-N 0 1 318.421 3.352 20 30 DGEDMN CCCCCCN(O)C(=O)[C@H]1CCCN1Cc1ccccc1 ZINC001472621270 1183658365 /nfs/dbraw/zinc/65/83/65/1183658365.db2.gz NJRCYXWCPJUMOK-QGZVFWFLSA-N 0 1 304.434 3.449 20 30 DGEDMN CC(C)(C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CCCC1 ZINC001472635872 1183659379 /nfs/dbraw/zinc/65/93/79/1183659379.db2.gz PXWYVCDBEQXTKA-UHFFFAOYSA-N 0 1 323.400 3.498 20 30 DGEDMN CC1(C)CCCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472636160 1183659622 /nfs/dbraw/zinc/65/96/22/1183659622.db2.gz XJEBESCOICDIHQ-AWEZNQCLSA-N 0 1 323.400 3.498 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+](CC(C)C)CC(C)(C)C(=O)[O-] ZINC001607565788 1193237434 /nfs/dbraw/zinc/23/74/34/1193237434.db2.gz RGSRLIBGNJQBAU-UHFFFAOYSA-N 0 1 302.418 3.436 20 30 DGEDMN CCC#C[C@H](C)N1CCN(Cc2cccc3cccnc32)CC1 ZINC001473721646 1183793483 /nfs/dbraw/zinc/79/34/83/1183793483.db2.gz BSDFNJPZWAARRF-KRWDZBQOSA-N 0 1 307.441 3.154 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C=C(C)C)C1 ZINC001474177601 1183877189 /nfs/dbraw/zinc/87/71/89/1183877189.db2.gz SYQMWQYLLGWDEX-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN CCC(CC)[C@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001477276549 1184077416 /nfs/dbraw/zinc/07/74/16/1184077416.db2.gz HLWZOZXUIKKHJB-SFHVURJKSA-N 0 1 315.461 3.028 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC001478965944 1184191185 /nfs/dbraw/zinc/19/11/85/1184191185.db2.gz RGAODYCGMXFFNA-YESZJQIVSA-N 0 1 307.482 3.103 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](CC)CC(F)(F)F ZINC001479260205 1184221677 /nfs/dbraw/zinc/22/16/77/1184221677.db2.gz HVRYZMQICNLYDJ-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC2(C)CC2)C1 ZINC001479424678 1184250832 /nfs/dbraw/zinc/25/08/32/1184250832.db2.gz JSFWSGIGJQBYCA-RBUKOAKNSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1ccon1 ZINC001479518524 1184264323 /nfs/dbraw/zinc/26/43/23/1184264323.db2.gz BVNCJFUSRALUET-RDJZCZTQSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1ncc(C)s1 ZINC001479556609 1184268871 /nfs/dbraw/zinc/26/88/71/1184268871.db2.gz NYCUHWWKVUESFJ-HIFRSBDPSA-N 0 1 321.490 3.134 20 30 DGEDMN Cn1c(C(=O)[O-])ccc1[C@@H]1CCCC[N@H+]1Cc1cccc(C#N)c1 ZINC001608087307 1193277998 /nfs/dbraw/zinc/27/79/98/1193277998.db2.gz YUXUVKBWCITLHO-KRWDZBQOSA-N 0 1 323.396 3.322 20 30 DGEDMN CCC(C)(C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001479772970 1184320657 /nfs/dbraw/zinc/32/06/57/1184320657.db2.gz CLKKEJJFKXDSNL-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001479804799 1184332958 /nfs/dbraw/zinc/33/29/58/1184332958.db2.gz OHSITALDEYFPKV-IBGZPJMESA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCCC1(C)C ZINC001479852036 1184349459 /nfs/dbraw/zinc/34/94/59/1184349459.db2.gz UVYCQIBMSFKYIV-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](Cc3ccccc3)C2)cc1 ZINC001608199578 1193282179 /nfs/dbraw/zinc/28/21/79/1193282179.db2.gz NFZWCVYZMUUEQZ-IEBWSBKVSA-N 0 1 320.392 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001479916765 1184383232 /nfs/dbraw/zinc/38/32/32/1184383232.db2.gz RQJSLTRHVZDPLW-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001479962287 1184391906 /nfs/dbraw/zinc/39/19/06/1184391906.db2.gz MJJSILIMUJBKFN-CVEARBPZSA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CCC[C@@H](F)C2)CC1 ZINC001479994006 1184405748 /nfs/dbraw/zinc/40/57/48/1184405748.db2.gz ZPDOVADFWGTFGW-DLBZAZTESA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@@H](C)C2CC2)C1 ZINC001480090936 1184433539 /nfs/dbraw/zinc/43/35/39/1184433539.db2.gz YXWCJYJGTKQVDM-UKRRQHHQSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCCC(C)C ZINC001480101245 1184434832 /nfs/dbraw/zinc/43/48/32/1184434832.db2.gz ZYYDITPQXDJOSV-HDICACEKSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(C2CCCCC2)CC1 ZINC001480332402 1184495791 /nfs/dbraw/zinc/49/57/91/1184495791.db2.gz XEKPIFZINHPLEU-GOSISDBHSA-N 0 1 316.489 3.341 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001480335239 1184497144 /nfs/dbraw/zinc/49/71/44/1184497144.db2.gz PBXAHSZEQPGQNC-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001480356034 1184503357 /nfs/dbraw/zinc/50/33/57/1184503357.db2.gz SHGIYMSHTRLJSI-RRFJBIMHSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CO[C@H]2CCCC[C@@H]2CC)CC1 ZINC001480357018 1184504183 /nfs/dbraw/zinc/50/41/83/1184504183.db2.gz PYBUZTPLLIDGOH-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001480522699 1184542484 /nfs/dbraw/zinc/54/24/84/1184542484.db2.gz SVXNMLKDRAZEEQ-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@H](C)NCc1ccccn1)c1ccccc1 ZINC001480595950 1184555493 /nfs/dbraw/zinc/55/54/93/1184555493.db2.gz RXAKTNQSCSAQGF-QFBILLFUSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001480619946 1184561234 /nfs/dbraw/zinc/56/12/34/1184561234.db2.gz XBZWAJKXAULJJN-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)[C@@H]2CC2(C)C)C1 ZINC001480723359 1184587803 /nfs/dbraw/zinc/58/78/03/1184587803.db2.gz VZDHVLOBRNVYBB-MOPGFXCFSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H](N(C)C/C=C\Cl)C1 ZINC001480729141 1184589980 /nfs/dbraw/zinc/58/99/80/1184589980.db2.gz FFPUHDXJETUSJO-HMWXGYMHSA-N 0 1 310.869 3.245 20 30 DGEDMN CN(CCNC(=O)C1CCCCCC1)Cc1cccc(C#N)c1 ZINC001480848178 1184629685 /nfs/dbraw/zinc/62/96/85/1184629685.db2.gz KOYHWEYLSCGTHI-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1cc(C)ccc1F ZINC001493087438 1184645940 /nfs/dbraw/zinc/64/59/40/1184645940.db2.gz WVTOFBCNVOORPE-MRXNPFEDSA-N 0 1 304.409 3.181 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1[C@@H](C)c1ccccc1F ZINC001493098478 1184649715 /nfs/dbraw/zinc/64/97/15/1184649715.db2.gz KAGJDUNPLDOZLV-JKSUJKDBSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493132864 1184654970 /nfs/dbraw/zinc/65/49/70/1184654970.db2.gz XHEOHHLLFIQETQ-QIVUSQLPSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1C(F)(F)F ZINC001493771109 1184737021 /nfs/dbraw/zinc/73/70/21/1184737021.db2.gz SFTPFNNJUDFCGN-UHFFFAOYSA-N 0 1 320.742 3.120 20 30 DGEDMN CC[C@]1(O)CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC001654479046 1195807957 /nfs/dbraw/zinc/80/79/57/1195807957.db2.gz VCEFGDRXRGHCAL-INIZCTEOSA-N 0 1 311.347 3.294 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC12CC2)c1ccc(C(C)C)cc1 ZINC001493878097 1184756253 /nfs/dbraw/zinc/75/62/53/1184756253.db2.gz KNYBHNQOGGJOBA-RTBURBONSA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H](C)c1ccccc1)c1ccccc1 ZINC001493902513 1184762485 /nfs/dbraw/zinc/76/24/85/1184762485.db2.gz CDYZMOSHJCNQOP-XLIONFOSSA-N 0 1 320.436 3.261 20 30 DGEDMN O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)[N@@H+]1CC#Cc1ccccc1 ZINC001608803090 1193304911 /nfs/dbraw/zinc/30/49/11/1193304911.db2.gz DEODOUMAPLVXLH-OALUTQOASA-N 0 1 305.377 3.328 20 30 DGEDMN O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)[N@H+]1CC#Cc1ccccc1 ZINC001608803090 1193304914 /nfs/dbraw/zinc/30/49/14/1193304914.db2.gz DEODOUMAPLVXLH-OALUTQOASA-N 0 1 305.377 3.328 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001494163860 1184822981 /nfs/dbraw/zinc/82/29/81/1184822981.db2.gz UKOOCJRPCVFCAJ-GJZGRUSLSA-N 0 1 324.852 3.224 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cccc(C)c2C)C1 ZINC001494173531 1184824951 /nfs/dbraw/zinc/82/49/51/1184824951.db2.gz DNAKHUULGRCIOT-INIZCTEOSA-N 0 1 320.864 3.450 20 30 DGEDMN C=CCCCN1CC(NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001494478631 1184874132 /nfs/dbraw/zinc/87/41/32/1184874132.db2.gz GOILTUJMZPKRNS-UHFFFAOYSA-N 0 1 309.413 3.062 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001494510951 1184877089 /nfs/dbraw/zinc/87/70/89/1184877089.db2.gz NTMMAIHUSFRXSO-OAHLLOKOSA-N 0 1 323.440 3.450 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001494513279 1184879657 /nfs/dbraw/zinc/87/96/57/1184879657.db2.gz DRRJIOSMVPEJKW-HUUCEWRRSA-N 0 1 318.436 3.159 20 30 DGEDMN CC(C)CCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001494726039 1184914941 /nfs/dbraw/zinc/91/49/41/1184914941.db2.gz UWXKHAJPYOQHOQ-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001494841036 1184939792 /nfs/dbraw/zinc/93/97/92/1184939792.db2.gz DMOQEIUOGGVKLK-YJBOKZPZSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001494841034 1184940151 /nfs/dbraw/zinc/94/01/51/1184940151.db2.gz DMOQEIUOGGVKLK-MAUKXSAKSA-N 0 1 318.436 3.461 20 30 DGEDMN CCN(C(=O)C#CC(C)C)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001494896100 1184956995 /nfs/dbraw/zinc/95/69/95/1184956995.db2.gz DWLRLEKMDIAGBL-QGZVFWFLSA-N 0 1 318.486 3.139 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NC/C(Cl)=C/Cl ZINC001495066225 1184991375 /nfs/dbraw/zinc/99/13/75/1184991375.db2.gz UPIZIHBQLVRHCP-NXIHDVOMSA-N 0 1 307.265 3.392 20 30 DGEDMN C#C[C@H]([NH2+]Cc1cc(C(=O)[O-])c(C)n1C)c1ccc(Cl)cc1 ZINC001609057782 1193322773 /nfs/dbraw/zinc/32/27/73/1193322773.db2.gz FNARZWSHAIYRHX-INIZCTEOSA-N 0 1 316.788 3.149 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CCNC/C(Cl)=C\Cl)C1 ZINC001495344810 1185037127 /nfs/dbraw/zinc/03/71/27/1185037127.db2.gz SVJRTLNMRQTDKL-LFGHMXSPSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc2[nH]ccc2c1 ZINC001495574345 1185075111 /nfs/dbraw/zinc/07/51/11/1185075111.db2.gz YHBJADYTNIXVKH-OAHLLOKOSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)CNCc1ncoc1C(C)C ZINC001495717825 1185093715 /nfs/dbraw/zinc/09/37/15/1185093715.db2.gz FDBGEEBANHJCQY-CQSZACIVSA-N 0 1 321.465 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1nsc2ccccc21 ZINC001496066028 1185131095 /nfs/dbraw/zinc/13/10/95/1185131095.db2.gz IIJQDLWTXKENBX-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)C1(C)CCCC1 ZINC001496125420 1185137025 /nfs/dbraw/zinc/13/70/25/1185137025.db2.gz LXTNGTZDQKXBHV-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@H]1CCCC1(F)F ZINC001496143175 1185140026 /nfs/dbraw/zinc/14/00/26/1185140026.db2.gz CICXWYQDDWFZTO-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(Cl)c[nH]1 ZINC001496254599 1185155540 /nfs/dbraw/zinc/15/55/40/1185155540.db2.gz NBTONEIMBVBDIR-LBPRGKRZSA-N 0 1 318.248 3.155 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncoc2C(C)C)[C@@H](C)C1 ZINC001496336302 1185170263 /nfs/dbraw/zinc/17/02/63/1185170263.db2.gz YHPWTXKIAWZPLO-GJZGRUSLSA-N 0 1 319.449 3.091 20 30 DGEDMN C=CC[N@H+](Cc1ccc(C(=O)[O-])cc1)Cc1cccc(C#N)c1 ZINC001609371492 1193335491 /nfs/dbraw/zinc/33/54/91/1193335491.db2.gz ICDHGFYMOXWFDO-UHFFFAOYSA-N 0 1 306.365 3.445 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C)c(C(=O)[O-])o1)Cc1cccc(C#N)c1 ZINC001609371555 1193335672 /nfs/dbraw/zinc/33/56/72/1193335672.db2.gz JZWUVJPWFNEVSK-UHFFFAOYSA-N 0 1 310.353 3.346 20 30 DGEDMN C=CC[N@H+](Cc1cc(C)c(C(=O)[O-])o1)Cc1cccc(C#N)c1 ZINC001609371555 1193335675 /nfs/dbraw/zinc/33/56/75/1193335675.db2.gz JZWUVJPWFNEVSK-UHFFFAOYSA-N 0 1 310.353 3.346 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@@H]1CNCc1nc(C)oc1C ZINC001496902636 1185268196 /nfs/dbraw/zinc/26/81/96/1185268196.db2.gz CDLUVLRMJZOFJU-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNCc1csc(C)n1 ZINC001497263130 1185305200 /nfs/dbraw/zinc/30/52/00/1185305200.db2.gz MDDXAADEVMLXPI-LRDDRELGSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCC=C(Cl)Cl)C1 ZINC001497451160 1185336282 /nfs/dbraw/zinc/33/62/82/1185336282.db2.gz IVPKIMPUIDXRNK-UHFFFAOYSA-N 0 1 305.249 3.100 20 30 DGEDMN CC1(CC(=O)NC/C=C/CNCC#Cc2ccccc2)CCCC1 ZINC001497576678 1185356612 /nfs/dbraw/zinc/35/66/12/1185356612.db2.gz TTZFDVDDPHMBHJ-BQYQJAHWSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2oc(C(C)C)nc2C)C1 ZINC001497907755 1185407385 /nfs/dbraw/zinc/40/73/85/1185407385.db2.gz XYJCVSKXAMGITC-CYBMUJFWSA-N 0 1 319.449 3.057 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CNCc2conc2C)CCCCC1 ZINC001497938470 1185412152 /nfs/dbraw/zinc/41/21/52/1185412152.db2.gz JWHCELMEUYEWFT-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC(CC)CC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001497950419 1185413796 /nfs/dbraw/zinc/41/37/96/1185413796.db2.gz IGSVGKIAPYNSOH-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)Cc1ccc(CCCC)cc1 ZINC001498331714 1185471571 /nfs/dbraw/zinc/47/15/71/1185471571.db2.gz MHTYLFOCFKEZCV-KRWDZBQOSA-N 0 1 314.473 3.032 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2ccsc2)CCC1 ZINC001498375652 1185481420 /nfs/dbraw/zinc/48/14/20/1185481420.db2.gz MTRXBGNCHJZQEV-AWEZNQCLSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)CCc1ccc(F)cc1 ZINC001498993009 1185587433 /nfs/dbraw/zinc/58/74/33/1185587433.db2.gz WMVNEUVSGNBRDE-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001499127411 1185616794 /nfs/dbraw/zinc/61/67/94/1185616794.db2.gz LYEDKHSHUKXUHQ-MSOLQXFVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H]1CC[N@H+](C/C=C/Cl)C1 ZINC001499480082 1185655066 /nfs/dbraw/zinc/65/50/66/1185655066.db2.gz CDXYERHSOBOJAL-JHCSKLMMSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001499559208 1185668991 /nfs/dbraw/zinc/66/89/91/1185668991.db2.gz PKANVMASVDZHOT-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2cc3ccccc3o2)C1 ZINC001499713856 1185687775 /nfs/dbraw/zinc/68/77/75/1185687775.db2.gz FDYBJKPJGHYPFQ-UHFFFAOYSA-N 0 1 312.413 3.084 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCC[C@H](OC)C2)C1 ZINC001499737747 1185690010 /nfs/dbraw/zinc/69/00/10/1185690010.db2.gz UGKXNZIGHQWVJV-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001499799012 1185697535 /nfs/dbraw/zinc/69/75/35/1185697535.db2.gz WGIGOBHECVDAMT-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)CC2CCCCC2)CC1 ZINC001499998710 1185729935 /nfs/dbraw/zinc/72/99/35/1185729935.db2.gz XBPPRCUJRLYWCI-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(C(C)=O)C1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001500020062 1185732147 /nfs/dbraw/zinc/73/21/47/1185732147.db2.gz QCROUXPUJQEPPV-AWEZNQCLSA-N 0 1 318.848 3.347 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001500418265 1185788914 /nfs/dbraw/zinc/78/89/14/1185788914.db2.gz RIZZMRHSGYXWDD-ZSHCYNCHSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC=C)CCCCC1 ZINC001501407980 1185901288 /nfs/dbraw/zinc/90/12/88/1185901288.db2.gz MKEGDEUYTXFWPW-ZWKOTPCHSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)[C@H](C)CC)C2)CC1 ZINC001501746983 1185928822 /nfs/dbraw/zinc/92/88/22/1185928822.db2.gz YDKCGZQMGXBPML-HIFRSBDPSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)/C=C\c1ccco1 ZINC001501859283 1185932523 /nfs/dbraw/zinc/93/25/23/1185932523.db2.gz AOFKWXVXXDNFMA-WBTMPAOCSA-N 0 1 308.809 3.016 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1CNCC(=C)Cl ZINC001501884269 1185935255 /nfs/dbraw/zinc/93/52/55/1185935255.db2.gz JDGGBHQNNGOGRL-MRXNPFEDSA-N 0 1 310.869 3.293 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1oc(C)nc1C ZINC001502338199 1185971091 /nfs/dbraw/zinc/97/10/91/1185971091.db2.gz GWDDVJMWLJXCSX-UHFFFAOYSA-N 0 1 321.465 3.174 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@@H](CNC(=O)/C(C)=C\C)O2 ZINC001502431985 1185983796 /nfs/dbraw/zinc/98/37/96/1185983796.db2.gz WJUGAEZKHYXIRM-JVMBSBNBSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001502532794 1185992448 /nfs/dbraw/zinc/99/24/48/1185992448.db2.gz YEROWAHCFIVHPP-HKUYNNGSSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2nc(C)sc2C)C1 ZINC001502738123 1186005680 /nfs/dbraw/zinc/00/56/80/1186005680.db2.gz JEBVFZZQNQFUOP-WBMJQRKESA-N 0 1 321.490 3.053 20 30 DGEDMN Cc1ccc([C@@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])cc1C ZINC001610495292 1193393205 /nfs/dbraw/zinc/39/32/05/1193393205.db2.gz OFCGAZWXSRJGDO-OAHLLOKOSA-N 0 1 300.383 3.152 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1(CCC)CC1 ZINC001503692717 1186051165 /nfs/dbraw/zinc/05/11/65/1186051165.db2.gz WUURUSMXASWFNE-IBGZPJMESA-N 0 1 324.468 3.306 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001505438533 1186080261 /nfs/dbraw/zinc/08/02/61/1186080261.db2.gz JCGFEXHXACXAPK-PMACEKPBSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)Cc1ccccc1F ZINC001505444884 1186081697 /nfs/dbraw/zinc/08/16/97/1186081697.db2.gz KMICDRAGCFTHFP-NVXWUHKLSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC001505447837 1186084838 /nfs/dbraw/zinc/08/48/38/1186084838.db2.gz DRMNUTYJZGEXKV-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(-c2ccoc2)c1 ZINC001505453338 1186085833 /nfs/dbraw/zinc/08/58/33/1186085833.db2.gz YSGSTYIXWUZZRJ-IBGZPJMESA-N 0 1 322.408 3.116 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001505569614 1186105845 /nfs/dbraw/zinc/10/58/45/1186105845.db2.gz GGLWQBVCIFCYOW-PJWHXWNJSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1cccc(C2CC2)c1 ZINC001505659467 1186120526 /nfs/dbraw/zinc/12/05/26/1186120526.db2.gz FSXAEDLDSQMSAO-KGLIPLIRSA-N 0 1 320.864 3.342 20 30 DGEDMN C[C@@H](CNC(=O)CC(C)(C)C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001505869282 1186154404 /nfs/dbraw/zinc/15/44/04/1186154404.db2.gz RARCEGLHDZPXJF-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001506013286 1186182049 /nfs/dbraw/zinc/18/20/49/1186182049.db2.gz WYUKGBDYHJGVFO-WMZOPIPTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCCN1Cc1oc(C)nc1C ZINC001506567120 1186252039 /nfs/dbraw/zinc/25/20/39/1186252039.db2.gz XVTOPAVFRNESQD-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@H]2CCc3ccc(F)cc32)C1 ZINC001506589116 1186257847 /nfs/dbraw/zinc/25/78/47/1186257847.db2.gz FMKUZMPXQBSBGP-UGSOOPFHSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001506658043 1186270207 /nfs/dbraw/zinc/27/02/07/1186270207.db2.gz CLZNUGXIUVPWHO-AEFFLSMTSA-N 0 1 314.473 3.186 20 30 DGEDMN CCCCC(=O)N[C@@H]1CN(Cc2cccc(C#N)c2)CC1(C)C ZINC001506731951 1186280661 /nfs/dbraw/zinc/28/06/61/1186280661.db2.gz TYZJRNLTIIHJRK-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCC2CCCC2)C(C)(C)C1 ZINC001506754614 1186289718 /nfs/dbraw/zinc/28/97/18/1186289718.db2.gz WWMBPIUKHJPZNT-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CC(C)(C)CC ZINC001507025340 1186342562 /nfs/dbraw/zinc/34/25/62/1186342562.db2.gz IRIIWXDVRMTAOI-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)N[C@H](C)c1c(F)cccc1F ZINC001507073596 1186354301 /nfs/dbraw/zinc/35/43/01/1186354301.db2.gz BGULJWZZWPGAQL-ZIAGYGMSSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCCc2ccccc21 ZINC001507088938 1186358608 /nfs/dbraw/zinc/35/86/08/1186358608.db2.gz MZIGHLQDVPCNGV-WMLDXEAASA-N 0 1 320.864 3.296 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1c(C)noc1CC ZINC001507119849 1186366040 /nfs/dbraw/zinc/36/60/40/1186366040.db2.gz VVJSVWUEZCGJKY-FZKQIMNGSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1CC(C(C)(C)C)C1 ZINC001507159030 1186375027 /nfs/dbraw/zinc/37/50/27/1186375027.db2.gz MQAOTUFZIPNQQM-IYXRBSQSSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Br)CN[C@@H]1C[C@H](C)N(C(=O)CCCCC)C1 ZINC001507300146 1186384388 /nfs/dbraw/zinc/38/43/88/1186384388.db2.gz LSKFEHKYQWCDIJ-QWHCGFSZSA-N 0 1 317.271 3.054 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CCc2ccns2)[C@H]1C ZINC001507387858 1186413491 /nfs/dbraw/zinc/41/34/91/1186413491.db2.gz YCRDJQZYJHOBAQ-GOEBONIOSA-N 0 1 321.490 3.011 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](CNCc2nc(C)oc2C)C1 ZINC001507397082 1186417275 /nfs/dbraw/zinc/41/72/75/1186417275.db2.gz GFGMBGPVDSOKNI-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@H](C)CCC)CC1(C)C ZINC001507423109 1186426883 /nfs/dbraw/zinc/42/68/83/1186426883.db2.gz BKGSUGKNJMSOFU-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](CC)CC(F)(F)F)C(C)C ZINC001507854005 1186450216 /nfs/dbraw/zinc/45/02/16/1186450216.db2.gz FDOWYAIZXIGLRT-CQSZACIVSA-N 0 1 320.399 3.157 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCCc1cccs1)C(C)C ZINC001507863587 1186452532 /nfs/dbraw/zinc/45/25/32/1186452532.db2.gz WXTXIVQOVAYMRZ-UHFFFAOYSA-N 0 1 320.502 3.263 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)C2CCN(CCF)CC2)CCCCC1 ZINC001508068966 1186476117 /nfs/dbraw/zinc/47/61/17/1186476117.db2.gz RZIXOEJPIVKICT-MRXNPFEDSA-N 0 1 322.468 3.146 20 30 DGEDMN O=C(/C=C\c1ccc(F)cc1)C(=O)Nc1cccc(Cl)c1O ZINC001546332345 1186650701 /nfs/dbraw/zinc/65/07/01/1186650701.db2.gz DZOVVOHHHACMBM-TWGQIWQCSA-N 0 1 319.719 3.406 20 30 DGEDMN CCc1c(C(=O)[C@@H](C#N)c2cccc(F)n2)cnn1C(C)(C)C ZINC001516711631 1186790443 /nfs/dbraw/zinc/79/04/43/1186790443.db2.gz XEWYFNFQZXKQMF-NSHDSACASA-N 0 1 314.364 3.225 20 30 DGEDMN CCc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1C(C)(C)C ZINC001516711631 1186790448 /nfs/dbraw/zinc/79/04/48/1186790448.db2.gz XEWYFNFQZXKQMF-NSHDSACASA-N 0 1 314.364 3.225 20 30 DGEDMN C[C@H]1CCCN1CCNc1c(Br)cccc1C#N ZINC001612055094 1193445747 /nfs/dbraw/zinc/44/57/47/1193445747.db2.gz RFUXIKXEQAERFB-NSHDSACASA-N 0 1 308.223 3.217 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCc2cc(Br)cc(C)c2C1 ZINC001602836453 1186814874 /nfs/dbraw/zinc/81/48/74/1186814874.db2.gz SHZKIMHPWMNGBK-CQSZACIVSA-N 0 1 324.218 3.145 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)[C@@H](C#N)c1ncc(F)cc1F ZINC001518063202 1186831115 /nfs/dbraw/zinc/83/11/15/1186831115.db2.gz DRFVPMDFIMZSLI-HCKVZZMMSA-N 0 1 308.328 3.131 20 30 DGEDMN N#CC(C(=O)c1ccnn1C1CCCC1)c1ncc(F)cc1F ZINC001518067751 1186831207 /nfs/dbraw/zinc/83/12/07/1186831207.db2.gz XTXQRQWGBHFUDO-GFCCVEGCSA-N 0 1 316.311 3.161 20 30 DGEDMN Cc1nc([C@H](C)Nc2c(C#N)cnc3c(Cl)cccc32)n[nH]1 ZINC001612357711 1193450753 /nfs/dbraw/zinc/45/07/53/1193450753.db2.gz OHBUKPMHDVJPCV-QMMMGPOBSA-N 0 1 312.764 3.360 20 30 DGEDMN CCCN1CCC[C@H]1CNc1ncc(C#N)cc1Br ZINC001612357022 1193450761 /nfs/dbraw/zinc/45/07/61/1193450761.db2.gz ATHFCOSRNMSRPS-LBPRGKRZSA-N 0 1 323.238 3.002 20 30 DGEDMN Cc1nsc(N2CCN[C@H](c3ccc(Cl)cc3)C2)c1C#N ZINC001613087956 1193467098 /nfs/dbraw/zinc/46/70/98/1193467098.db2.gz KDZVULYLKMRHAP-AWEZNQCLSA-N 0 1 318.833 3.128 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C(C)C)C1 ZINC001524338629 1187235613 /nfs/dbraw/zinc/23/56/13/1187235613.db2.gz YRLDRHOISOSVEP-CQSZACIVSA-N 0 1 314.437 3.035 20 30 DGEDMN N#CC(C(=O)Cc1c(F)cccc1Cl)c1cccc(F)n1 ZINC001524696282 1187261057 /nfs/dbraw/zinc/26/10/57/1187261057.db2.gz QPLNAUJUAURGBG-JTQLQIEISA-N 0 1 306.699 3.432 20 30 DGEDMN N#CC(C(=O)c1cccn2nccc12)c1nc(C2CC2)cs1 ZINC001525458653 1187315581 /nfs/dbraw/zinc/31/55/81/1187315581.db2.gz ZGBMTUNMAWWYOI-GFCCVEGCSA-N 0 1 308.366 3.158 20 30 DGEDMN N#C[C@H](C(=O)c1cccn2nccc12)c1nc(C2CC2)cs1 ZINC001525458653 1187315585 /nfs/dbraw/zinc/31/55/85/1187315585.db2.gz ZGBMTUNMAWWYOI-GFCCVEGCSA-N 0 1 308.366 3.158 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1)C(C)C ZINC001525609821 1187324341 /nfs/dbraw/zinc/32/43/41/1187324341.db2.gz KMWIEUISJMPCGC-RTBURBONSA-N 0 1 312.457 3.124 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(C(F)F)o3)[nH]c2c1 ZINC001534067721 1187873180 /nfs/dbraw/zinc/87/31/80/1187873180.db2.gz DXYSQWVBODOAJZ-UHFFFAOYSA-N 0 1 302.240 3.217 20 30 DGEDMN C#C[C@H](CCC)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001535493352 1187963844 /nfs/dbraw/zinc/96/38/44/1187963844.db2.gz UMTPBEHDYXAXSG-RTBURBONSA-N 0 1 312.457 3.268 20 30 DGEDMN CS[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001543407503 1188331901 /nfs/dbraw/zinc/33/19/01/1188331901.db2.gz GMJXQRFLBAWGAW-HNNXBMFYSA-N 0 1 322.393 3.477 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1cccc(OCC(C)C)c1 ZINC001556466277 1189002042 /nfs/dbraw/zinc/00/20/42/1189002042.db2.gz PNGTUSZXTSOFCU-UHFFFAOYSA-N 0 1 318.461 3.301 20 30 DGEDMN N#Cc1ccc(C(=O)NCc2ccc3nc[nH]c3c2)cc1Cl ZINC001556698093 1189013996 /nfs/dbraw/zinc/01/39/96/1189013996.db2.gz FLMJCBQFHREJNT-UHFFFAOYSA-N 0 1 310.744 3.018 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC001559513525 1189212981 /nfs/dbraw/zinc/21/29/81/1189212981.db2.gz BOUXSHMLMOTRPB-CABCVRRESA-N 0 1 315.310 3.371 20 30 DGEDMN C=CCN(CCc1ccco1)[C@H]1CCCN(CC(C)(C)C)C1=O ZINC001559689785 1189231500 /nfs/dbraw/zinc/23/15/00/1189231500.db2.gz XGDNBLXBPHRVJN-KRWDZBQOSA-N 0 1 318.461 3.347 20 30 DGEDMN N#Cc1cc(CNC[C@H](O)c2c(F)cccc2Cl)cs1 ZINC001560350272 1189316259 /nfs/dbraw/zinc/31/62/59/1189316259.db2.gz OFLDQQIERVAYNH-ZDUSSCGKSA-N 0 1 310.781 3.235 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1C[C@@H](OC(C)C)C1 ZINC001560500481 1189333912 /nfs/dbraw/zinc/33/39/12/1189333912.db2.gz QZOPZMSNTXCUCJ-OKILXGFUSA-N 0 1 307.821 3.398 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c[nH]c(C(=O)OCc3ccccc3)c2)C1 ZINC001560906249 1189402915 /nfs/dbraw/zinc/40/29/15/1189402915.db2.gz GKQLGKQGQKZWMT-MRXNPFEDSA-N 0 1 322.408 3.217 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NC/C(Cl)=C/Cl ZINC001567962113 1189435498 /nfs/dbraw/zinc/43/54/98/1189435498.db2.gz NIPADRHUMODDLI-KGTBHZDVSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)C(C)(C)CCC ZINC001568296763 1189484731 /nfs/dbraw/zinc/48/47/31/1189484731.db2.gz HSQHRPCPGJIDLZ-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN CCCCC[C@@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001563927217 1189540267 /nfs/dbraw/zinc/54/02/67/1189540267.db2.gz KASUDMUVWFMJIL-GOSISDBHSA-N 0 1 315.461 3.172 20 30 DGEDMN CCCCC[C@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001563927218 1189541408 /nfs/dbraw/zinc/54/14/08/1189541408.db2.gz KASUDMUVWFMJIL-SFHVURJKSA-N 0 1 315.461 3.172 20 30 DGEDMN C=C[C@@H](COC)NCc1cnc(-c2c(F)cccc2F)s1 ZINC001657209865 1196118627 /nfs/dbraw/zinc/11/86/27/1196118627.db2.gz LOOZNDMMYUXRBM-JTQLQIEISA-N 0 1 310.369 3.379 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1(c2ccccc2)CCCC1 ZINC001565833460 1189684579 /nfs/dbraw/zinc/68/45/79/1189684579.db2.gz OGZCWXQRDYEHLF-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1CC ZINC001566019390 1189717230 /nfs/dbraw/zinc/71/72/30/1189717230.db2.gz NOTMMTHMRNLTAY-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1oc2ccccc2c1C ZINC001566124829 1189742884 /nfs/dbraw/zinc/74/28/84/1189742884.db2.gz JPAGHGHLSOKERR-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C[C@H](C(=O)NCCCN(C)Cc1ccc(C#N)s1)C(C)(C)C ZINC001566466281 1189776005 /nfs/dbraw/zinc/77/60/05/1189776005.db2.gz VCWPGFGGFMHLQA-CYBMUJFWSA-N 0 1 321.490 3.240 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001566558286 1189799524 /nfs/dbraw/zinc/79/95/24/1189799524.db2.gz QDDSUNSVYCJBCJ-PHZGNYQRSA-N 0 1 312.885 3.393 20 30 DGEDMN CCCc1ccc(CN2CCC[C@@H](NC(=O)C#CC3CC3)C2)cc1 ZINC001566622459 1189829099 /nfs/dbraw/zinc/82/90/99/1189829099.db2.gz ZDGONKKPBXRKIV-HXUWFJFHSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(Cc3ccccc3)CC2)C1 ZINC001566625858 1189833482 /nfs/dbraw/zinc/83/34/82/1189833482.db2.gz RNGNVEQQLNFVJO-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001566630403 1189835650 /nfs/dbraw/zinc/83/56/50/1189835650.db2.gz KBAWHKFWBZNXPJ-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001566671148 1189851040 /nfs/dbraw/zinc/85/10/40/1189851040.db2.gz OKMFHUZLOXBBPL-KTZABMDBSA-N 0 1 324.468 3.474 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001566671875 1189852063 /nfs/dbraw/zinc/85/20/63/1189852063.db2.gz HTQMIXPKFOVOKN-DLENHJPASA-N 0 1 310.441 3.084 20 30 DGEDMN C=C1CCC(C(=O)N(CCC)C2CCN(CC#CC)CC2)CC1 ZINC001566700325 1189864280 /nfs/dbraw/zinc/86/42/80/1189864280.db2.gz XIDVROJERFPILD-UHFFFAOYSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc2occc2s1)C1CC1 ZINC001566724910 1189875564 /nfs/dbraw/zinc/87/55/64/1189875564.db2.gz RXSFKHOOBRKSSZ-NSHDSACASA-N 0 1 324.833 3.345 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001566731125 1189880009 /nfs/dbraw/zinc/88/00/09/1189880009.db2.gz ALVLYEVYYFECBS-OAHLLOKOSA-N 0 1 306.837 3.223 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@H](C)SC)C1 ZINC001566736509 1189882401 /nfs/dbraw/zinc/88/24/01/1189882401.db2.gz VLUKDDIRANLFPY-UONOGXRCSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN(C)Cc2cnc(C)o2)C1 ZINC001566742228 1189885576 /nfs/dbraw/zinc/88/55/76/1189885576.db2.gz RLGJUYYVDBJHFG-INIZCTEOSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(CCF)CC2)C1 ZINC001566741988 1189886236 /nfs/dbraw/zinc/88/62/36/1189886236.db2.gz NBBOHUMIXUFYAX-AWEZNQCLSA-N 0 1 316.848 3.049 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)SC ZINC001566747985 1189889920 /nfs/dbraw/zinc/88/99/20/1189889920.db2.gz AQXCNDSSMSPKTP-OAGGEKHMSA-N 0 1 312.523 3.384 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001566799148 1189905790 /nfs/dbraw/zinc/90/57/90/1189905790.db2.gz FIMKPKNMEYITKW-ASHUJXGVSA-N 0 1 305.249 3.145 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1ccccc1Cl ZINC001567046230 1190007237 /nfs/dbraw/zinc/00/72/37/1190007237.db2.gz SCMQNDQSUUMTFE-CQSZACIVSA-N 0 1 306.837 3.128 20 30 DGEDMN CCC[C@@H](C(=O)NC[C@H](C)NCc1ccccc1C#N)C(C)C ZINC001567059584 1190014126 /nfs/dbraw/zinc/01/41/26/1190014126.db2.gz QFUUOBGUNWMYBB-MAUKXSAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(Cl)cc1Cl ZINC001567073638 1190021414 /nfs/dbraw/zinc/02/14/14/1190021414.db2.gz YKSIHBGZMRYDDM-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@H](C)NCC(=C)Cl)c1ccccc1 ZINC001567080890 1190024512 /nfs/dbraw/zinc/02/45/12/1190024512.db2.gz XBNWWVHPEVEHJK-HOCLYGCPSA-N 0 1 306.837 3.193 20 30 DGEDMN CC(C)c1occc1C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001567083553 1190026101 /nfs/dbraw/zinc/02/61/01/1190026101.db2.gz NRBBQGLYNVRUGX-INIZCTEOSA-N 0 1 324.424 3.163 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2ccc(F)c(F)c2)C1 ZINC001567347626 1190144335 /nfs/dbraw/zinc/14/43/35/1190144335.db2.gz JZJQQLANEITVPO-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001567411093 1190177122 /nfs/dbraw/zinc/17/71/22/1190177122.db2.gz AOCVBGBNWPBQFC-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001567553429 1190217125 /nfs/dbraw/zinc/21/71/25/1190217125.db2.gz LUCNKOAZDWGUQL-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(CC)CCCC1)c1ccccc1 ZINC001567665060 1190243012 /nfs/dbraw/zinc/24/30/12/1190243012.db2.gz ZVHUJQNBKJSNTQ-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2coc(C(C)(C)C)n2)C1 ZINC001567683033 1190250961 /nfs/dbraw/zinc/25/09/61/1190250961.db2.gz RXXFFJKZDGSUBB-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccccc2)C1 ZINC001567688545 1190253645 /nfs/dbraw/zinc/25/36/45/1190253645.db2.gz JJBNAHJFUJUFLU-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2coc(CCC)n2)C1 ZINC001567689480 1190254609 /nfs/dbraw/zinc/25/46/09/1190254609.db2.gz UQQJNSDHEFRGBQ-HNNXBMFYSA-N 0 1 319.449 3.130 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H]1CCN(CC=C(Cl)Cl)C1 ZINC001567706477 1190264808 /nfs/dbraw/zinc/26/48/08/1190264808.db2.gz YOLCCTDHMOEPOG-GFCCVEGCSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2c(C)cccc2C)C1 ZINC001567739503 1190280903 /nfs/dbraw/zinc/28/09/03/1190280903.db2.gz ZOKBPXLBCREYGF-MRXNPFEDSA-N 0 1 320.864 3.450 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001567800509 1190302404 /nfs/dbraw/zinc/30/24/04/1190302404.db2.gz NMJXTQXDKAXKFE-HNAYVOBHSA-N 0 1 312.457 3.335 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3ncccc3c2)C1 ZINC001567805136 1190303391 /nfs/dbraw/zinc/30/33/91/1190303391.db2.gz OMJZXQIBPMRTNT-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001567807503 1190305067 /nfs/dbraw/zinc/30/50/67/1190305067.db2.gz MRFCLNYTOSDDHD-CABCVRRESA-N 0 1 318.436 3.159 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001567842481 1190317910 /nfs/dbraw/zinc/31/79/10/1190317910.db2.gz LGIMJNVLKALQCY-UHFFFAOYSA-N 0 1 318.436 3.306 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cccc1C ZINC001567861050 1190324160 /nfs/dbraw/zinc/32/41/60/1190324160.db2.gz HSOKSZOAFCCYCL-ROUUACIJSA-N 0 1 312.457 3.445 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)ccc1C ZINC001567860288 1190324272 /nfs/dbraw/zinc/32/42/72/1190324272.db2.gz FYYMZZBLNLFRAX-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)cs1 ZINC001567863235 1190325891 /nfs/dbraw/zinc/32/58/91/1190325891.db2.gz XVTVYQRXPPWPSB-STQMWFEESA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(Cc2ccsc2)C1 ZINC001567874381 1190330479 /nfs/dbraw/zinc/33/04/79/1190330479.db2.gz VERNTCARRXAMNR-INIZCTEOSA-N 0 1 304.459 3.207 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1nc(C)c(C)s1 ZINC001567972776 1190382589 /nfs/dbraw/zinc/38/25/89/1190382589.db2.gz VZGYNXDIYLNVLG-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001567985051 1190388342 /nfs/dbraw/zinc/38/83/42/1190388342.db2.gz YZLPAHBHCODBOG-KRWDZBQOSA-N 0 1 318.848 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2cccc(F)c2o1 ZINC001567989859 1190389606 /nfs/dbraw/zinc/38/96/06/1190389606.db2.gz VHGDNUVXXPXFIJ-GFCCVEGCSA-N 0 1 324.783 3.422 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001568105143 1190421963 /nfs/dbraw/zinc/42/19/63/1190421963.db2.gz AKUGDDYQKPVHCA-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC2 ZINC001568252062 1190462814 /nfs/dbraw/zinc/46/28/14/1190462814.db2.gz APCFPANPQBQUKU-HOTGVXAUSA-N 0 1 306.494 3.415 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1oc(CCC)nc1C ZINC001568291234 1190474917 /nfs/dbraw/zinc/47/49/17/1190474917.db2.gz FQJQNTDEORPZHM-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCCc1cccs1 ZINC001568317911 1190488590 /nfs/dbraw/zinc/48/85/90/1190488590.db2.gz YGWABQUPPNWGLK-CYBMUJFWSA-N 0 1 314.882 3.260 20 30 DGEDMN C#CCC1(C(=O)N(C)[C@@H](C)CNCC(=C)Cl)CCCCC1 ZINC001568321051 1190489494 /nfs/dbraw/zinc/48/94/94/1190489494.db2.gz TWTPIFWYGDOYDA-HNNXBMFYSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CCc1ccco1 ZINC001568419309 1190515878 /nfs/dbraw/zinc/51/58/78/1190515878.db2.gz NVERGFJYLNXBDB-CZUORRHYSA-N 0 1 324.852 3.181 20 30 DGEDMN CC(C)CCC(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001568473679 1190534847 /nfs/dbraw/zinc/53/48/47/1190534847.db2.gz HOVMEOMQXPDASY-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001568495865 1190547660 /nfs/dbraw/zinc/54/76/60/1190547660.db2.gz ZBMDHPJOLGLQLR-IBGZPJMESA-N 0 1 313.445 3.051 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)CCN1CC[C@H](F)C1 ZINC001568581939 1190564242 /nfs/dbraw/zinc/56/42/42/1190564242.db2.gz GMXCXVYPZOGBTF-KRWDZBQOSA-N 0 1 304.409 3.025 20 30 DGEDMN CCC(C)(C)CC(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001568854590 1190595159 /nfs/dbraw/zinc/59/51/59/1190595159.db2.gz GSSVGPQROIILOU-WAYWQWQTSA-N 0 1 319.474 3.208 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(F)ccc1Cl ZINC001568923057 1190600364 /nfs/dbraw/zinc/60/03/64/1190600364.db2.gz JNFDJAGYBDZRBL-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=CCC1(C(=O)NC/C=C\CNCc2cncs2)CCCC1 ZINC001568975205 1190603650 /nfs/dbraw/zinc/60/36/50/1190603650.db2.gz UCCQXNDPFOGNOI-WAYWQWQTSA-N 0 1 319.474 3.042 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001568989238 1190605254 /nfs/dbraw/zinc/60/52/54/1190605254.db2.gz PTVOGPXGEPBSBP-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC1CC(c2ccccc2)C1 ZINC001569065240 1190615490 /nfs/dbraw/zinc/61/54/90/1190615490.db2.gz IMEVQKYTUIKXKX-UQPPLGOBSA-N 0 1 320.864 3.417 20 30 DGEDMN Cc1ccc(C(=O)N[C@@H](C)CNCc2ccccc2C#N)c(C)c1 ZINC001569095229 1190620419 /nfs/dbraw/zinc/62/04/19/1190620419.db2.gz WJNZEVWGFACREA-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@@H](CC)c1ccccc1 ZINC001569146664 1190641867 /nfs/dbraw/zinc/64/18/67/1190641867.db2.gz JKJIKTRPNWVELE-IAGOWNOFSA-N 0 1 300.446 3.030 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2cccc(C(F)(F)F)c2O)cc1 ZINC001569257849 1190658312 /nfs/dbraw/zinc/65/83/12/1190658312.db2.gz KPAPLCRDYWSLJA-UHFFFAOYSA-N 0 1 319.282 3.322 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)Cc1ccccc1)C1CC1 ZINC001569329769 1190668338 /nfs/dbraw/zinc/66/83/38/1190668338.db2.gz UEXPFBOQZILJLN-SUMWQHHRSA-N 0 1 320.864 3.102 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001569366642 1190679058 /nfs/dbraw/zinc/67/90/58/1190679058.db2.gz SDKZCNDYHJIDTD-KRWDZBQOSA-N 0 1 317.477 3.473 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC(C1CCC1)C1CCC1 ZINC001569374580 1190682297 /nfs/dbraw/zinc/68/22/97/1190682297.db2.gz MQZGFGOOHMBBKQ-QGZVFWFLSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)CCc1cccc(F)c1 ZINC001569383510 1190687784 /nfs/dbraw/zinc/68/77/84/1190687784.db2.gz RRJGEVDBNRFNKO-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001569384475 1190688005 /nfs/dbraw/zinc/68/80/05/1190688005.db2.gz LEHUSAUZPRSCOD-CQSZACIVSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)C1 ZINC001569494850 1190707763 /nfs/dbraw/zinc/70/77/63/1190707763.db2.gz JWMBZIXAYIJTRO-IRXDYDNUSA-N 0 1 304.478 3.334 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001569496207 1190708634 /nfs/dbraw/zinc/70/86/34/1190708634.db2.gz FZLBFCQVZLYNNV-ZFWWWQNUSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001569500717 1190709511 /nfs/dbraw/zinc/70/95/11/1190709511.db2.gz VDOSXWFBLUOTIL-CQSZACIVSA-N 0 1 311.429 3.279 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2CCO[C@H](CC)C2)C1 ZINC001569503835 1190709929 /nfs/dbraw/zinc/70/99/29/1190709929.db2.gz DYCRWPLWTLFFSM-FUHWJXTLSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001569541207 1190714685 /nfs/dbraw/zinc/71/46/85/1190714685.db2.gz FZYNUNHTXZHDRU-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN(C(=O)[C@H](C)C1CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001569589092 1190720394 /nfs/dbraw/zinc/72/03/94/1190720394.db2.gz ZBFYJBCHWPCSIV-NVXWUHKLSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CC(C)(C)C)C1CCN(CC#C)CC1 ZINC001569593278 1190720798 /nfs/dbraw/zinc/72/07/98/1190720798.db2.gz UJFSLLVBXFBYKB-KRWDZBQOSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(CCF)CC1)C1CCCC1 ZINC001569607621 1190722990 /nfs/dbraw/zinc/72/29/90/1190722990.db2.gz UFJDVHSCKBBMHW-AWEZNQCLSA-N 0 1 316.848 3.143 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@]1(C)CC=CCC1)c1ccccc1CC ZINC001569800225 1190755378 /nfs/dbraw/zinc/75/53/78/1190755378.db2.gz OCDWXDLNFZLYMI-TZIWHRDSSA-N 0 1 324.468 3.376 20 30 DGEDMN C=CCN(C(=O)CSc1n[nH]c(=S)s1)c1ccccc1 ZINC001569991129 1190779478 /nfs/dbraw/zinc/77/94/78/1190779478.db2.gz DIBVQKPFUIHFCC-UHFFFAOYSA-N 0 1 323.468 3.138 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CCNC/C(Cl)=C\Cl)C1 ZINC001569993873 1190779980 /nfs/dbraw/zinc/77/99/80/1190779980.db2.gz BMAPNBXIBQHHIS-AUWXEVLLSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](CC)CC(C)C)CCC1 ZINC001570123324 1190800969 /nfs/dbraw/zinc/80/09/69/1190800969.db2.gz OWEQNNRUKIBEIJ-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)Cc3occc3C)cc2C1 ZINC001570313177 1190837898 /nfs/dbraw/zinc/83/78/98/1190837898.db2.gz YEIWCKDLCUSJAZ-UHFFFAOYSA-N 0 1 324.424 3.339 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ccc(F)cc2F)[C@@H](C)C1 ZINC001570341650 1190840655 /nfs/dbraw/zinc/84/06/55/1190840655.db2.gz FGMGTLOMBNNBCI-GUYCJALGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2ccc(F)cc2F)C1 ZINC001570341651 1190841155 /nfs/dbraw/zinc/84/11/55/1190841155.db2.gz FGMGTLOMBNNBCI-SUMWQHHRSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCc2ccccc2)C[C@H]1C ZINC001570351105 1190842340 /nfs/dbraw/zinc/84/23/40/1190842340.db2.gz ALYRDQDILMKYHK-PBHICJAKSA-N 0 1 320.864 3.198 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ccc(C)cc1 ZINC001570521903 1190873459 /nfs/dbraw/zinc/87/34/59/1190873459.db2.gz JBTFQFXMLYYIRT-WOJBJXKFSA-N 0 1 318.420 3.004 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cnc2ccccc2c1C ZINC001570535547 1190879382 /nfs/dbraw/zinc/87/93/82/1190879382.db2.gz AQTJXVJBGRXZDG-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C2=CCCC2)cc1 ZINC001570548086 1190885642 /nfs/dbraw/zinc/88/56/42/1190885642.db2.gz KRRQEZHUPKQAKV-HXUWFJFHSA-N 0 1 322.452 3.424 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CCC)CC(C)C ZINC001570549388 1190886400 /nfs/dbraw/zinc/88/64/00/1190886400.db2.gz XTAMKDXRWYFDEA-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001570591323 1190895999 /nfs/dbraw/zinc/89/59/99/1190895999.db2.gz XLPVDTNZKNLVMK-CABCVRRESA-N 0 1 301.434 3.121 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1Cl ZINC001570597967 1190897611 /nfs/dbraw/zinc/89/76/11/1190897611.db2.gz FZBMYLPEIVYZJZ-CHWSQXEVSA-N 0 1 324.827 3.265 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001570600839 1190897979 /nfs/dbraw/zinc/89/79/79/1190897979.db2.gz DIWYNUXDQAJLPY-AMNUONFKSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(Cl)cc1C ZINC001570631758 1190906227 /nfs/dbraw/zinc/90/62/27/1190906227.db2.gz YXZRQBKDMQBWDZ-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001570643438 1190909287 /nfs/dbraw/zinc/90/92/87/1190909287.db2.gz GOGFCHKDMGMYRP-XGUBFFRZSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001570643437 1190909354 /nfs/dbraw/zinc/90/93/54/1190909354.db2.gz GOGFCHKDMGMYRP-LXTVHRRPSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2c(c1)CCC=C2 ZINC001570645322 1190909394 /nfs/dbraw/zinc/90/93/94/1190909394.db2.gz VQWDSSNVFJQMOW-ZIAGYGMSSA-N 0 1 318.848 3.495 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2c(c1)CCC2 ZINC001570650031 1190910412 /nfs/dbraw/zinc/91/04/12/1190910412.db2.gz ITEVYWDXJDKYDU-CHWSQXEVSA-N 0 1 306.837 3.024 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2sccc2s1 ZINC001570720608 1190930324 /nfs/dbraw/zinc/93/03/24/1190930324.db2.gz WJFJRRYUJOCNDU-NSHDSACASA-N 0 1 306.456 3.036 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001570739690 1190938341 /nfs/dbraw/zinc/93/83/41/1190938341.db2.gz GJTCIVCJRXQVIB-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001570827395 1190960561 /nfs/dbraw/zinc/96/05/61/1190960561.db2.gz QBBZBAYOCFLARK-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001570853464 1190966434 /nfs/dbraw/zinc/96/64/34/1190966434.db2.gz BWDHPULROKVUFI-CXAGYDPISA-N 0 1 306.475 3.287 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570905360 1190974020 /nfs/dbraw/zinc/97/40/20/1190974020.db2.gz RSEDOWYCEFXQFB-ZWKOTPCHSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(C)C(C)(C)C3(C)C)[C@@H]2C1 ZINC001570966334 1190978305 /nfs/dbraw/zinc/97/83/05/1190978305.db2.gz TUXMWIBNDSNZQU-ZSOGYDGISA-N 0 1 324.896 3.105 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](CNCc1nc(C)cs1)C1CC1 ZINC001571360829 1191002096 /nfs/dbraw/zinc/00/20/96/1191002096.db2.gz AVNRNFHKZQBYIB-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H](C)Cc1ccco1 ZINC001571370203 1191004406 /nfs/dbraw/zinc/00/44/06/1191004406.db2.gz QCILXBGILISQDI-MLGOLLRUSA-N 0 1 324.852 3.037 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1F ZINC001571403225 1191015641 /nfs/dbraw/zinc/01/56/41/1191015641.db2.gz NANDNJRUEITUFO-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1csc(C(C)C)n1 ZINC001571409178 1191017781 /nfs/dbraw/zinc/01/77/81/1191017781.db2.gz HJKOIQFLIGOJMG-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2cc(C)no2)CCCC1 ZINC001571420183 1191021047 /nfs/dbraw/zinc/02/10/47/1191021047.db2.gz FZWUCMXHCMCHEZ-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001571479365 1191027673 /nfs/dbraw/zinc/02/76/73/1191027673.db2.gz PJIUQJGSRBAKDF-VXGBXAGGSA-N 0 1 305.249 3.241 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@H]1N(Cc1ccccc1C#N)CC2 ZINC001571496476 1191032476 /nfs/dbraw/zinc/03/24/76/1191032476.db2.gz FIBXBYNOKZXJIA-QUCCMNQESA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2c(F)cccc2F)C(C)(C)C1 ZINC001571555048 1191051226 /nfs/dbraw/zinc/05/12/26/1191051226.db2.gz VKOGYQFWTPIROQ-INIZCTEOSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(Cl)c(C)c1)C(C)C ZINC001571614840 1191062146 /nfs/dbraw/zinc/06/21/46/1191062146.db2.gz VRAATFOKNQJZEB-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)nc(C)c1)C(C)(C)C ZINC001571624411 1191067419 /nfs/dbraw/zinc/06/74/19/1191067419.db2.gz JAVSBQZDGCZGHQ-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C/C=C/c2ccc(C)cc2)CC1 ZINC001571701638 1191085857 /nfs/dbraw/zinc/08/58/57/1191085857.db2.gz QQIFTVDQMYBGLS-AATRIKPKSA-N 0 1 322.452 3.170 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2cc(C)cc(C)c2)c(F)c1 ZINC001571703330 1191086614 /nfs/dbraw/zinc/08/66/14/1191086614.db2.gz CDKRJCUTVQGIRB-UHFFFAOYSA-N 0 1 324.399 3.095 20 30 DGEDMN C=C(Cl)C[C@H]([NH3+])C(=O)N([O-])Cc1cccc2ccccc21 ZINC001574852128 1191453966 /nfs/dbraw/zinc/45/39/66/1191453966.db2.gz PQEAKLSMTSFLLS-HNNXBMFYSA-N 0 1 304.777 3.028 20 30 DGEDMN C=CC(C)(C)CNC(=O)[C@@H](N)c1cccc(C(F)(F)F)c1 ZINC001574872507 1191455043 /nfs/dbraw/zinc/45/50/43/1191455043.db2.gz NILNUOJOMHPIQH-LBPRGKRZSA-N 0 1 300.324 3.034 20 30 DGEDMN C=CC[C@@]1(C(=O)O[C@@H](C)c2ccc(OC)c(C)c2)CCCN1 ZINC001574896960 1191456805 /nfs/dbraw/zinc/45/68/05/1191456805.db2.gz CGNJDJJSDTZXGO-KSSFIOAISA-N 0 1 303.402 3.306 20 30 DGEDMN C=CC[C@H]1N(C(=O)N[C@H](CC)c2c[nH]nn2)CCCC1(C)C ZINC001575085527 1191465211 /nfs/dbraw/zinc/46/52/11/1191465211.db2.gz NCGPSJINAJRIEE-TZMCWYRMSA-N 0 1 305.426 3.032 20 30 DGEDMN C=CCCC[C@@H](NC(=O)CCCc1c[nH]nn1)c1ccccc1 ZINC001575094072 1191465565 /nfs/dbraw/zinc/46/55/65/1191465565.db2.gz TWWGOLPYILDLAT-QGZVFWFLSA-N 0 1 312.417 3.341 20 30 DGEDMN C=CCCC[C@@H](NC(=O)CCCc1cnn[nH]1)c1ccccc1 ZINC001575094072 1191465569 /nfs/dbraw/zinc/46/55/69/1191465569.db2.gz TWWGOLPYILDLAT-QGZVFWFLSA-N 0 1 312.417 3.341 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCc2ccc(C)cc2C1 ZINC001620103367 1193764330 /nfs/dbraw/zinc/76/43/30/1193764330.db2.gz SHSGWRUDZQMCIY-UHFFFAOYSA-N 0 1 322.408 3.312 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(O)(C(C)C)CC1 ZINC001621564707 1193859276 /nfs/dbraw/zinc/85/92/76/1193859276.db2.gz LLJHWADLDLMKEO-UHFFFAOYSA-N 0 1 321.848 3.335 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cnc(C4CC4)s3)[nH]c2c1 ZINC001623604307 1193940708 /nfs/dbraw/zinc/94/07/08/1193940708.db2.gz DZHRXGRDYNOAIO-UHFFFAOYSA-N 0 1 309.354 3.021 20 30 DGEDMN C=CCCCCCCNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001623666786 1193944450 /nfs/dbraw/zinc/94/44/50/1193944450.db2.gz VTFMHPQHXFRDDQ-UHFFFAOYSA-N 0 1 312.417 3.262 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1cccc(C#Cc2ccccc2)c1 ZINC001624897865 1194020842 /nfs/dbraw/zinc/02/08/42/1194020842.db2.gz XUNHGZQJQYGKMT-UHFFFAOYSA-N 0 1 301.349 3.370 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001625325078 1194044086 /nfs/dbraw/zinc/04/40/86/1194044086.db2.gz FVWGHXXRGWONKK-UHFFFAOYSA-N 0 1 313.445 3.061 20 30 DGEDMN C#Cc1cccc(NC(=O)c2c(O)cnc3c(F)cccc32)c1 ZINC001628196211 1194165753 /nfs/dbraw/zinc/16/57/53/1194165753.db2.gz DAARLANXCQCSIQ-UHFFFAOYSA-N 0 1 306.296 3.313 20 30 DGEDMN C=C(CC)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC001628342383 1194173334 /nfs/dbraw/zinc/17/33/34/1194173334.db2.gz UYJMRHLZBOVWPL-YESZJQIVSA-N 0 1 300.446 3.463 20 30 DGEDMN N#Cc1ccc(Oc2cccc(NC(=O)Cc3cnc[nH]3)c2)cc1 ZINC001628647593 1194189025 /nfs/dbraw/zinc/18/90/25/1194189025.db2.gz ABEFTAWRDVQUBZ-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccsc3C#N)c2C)o1 ZINC001629319175 1194226231 /nfs/dbraw/zinc/22/62/31/1194226231.db2.gz PPGSXWGEHDNPQB-UHFFFAOYSA-N 0 1 312.354 3.472 20 30 DGEDMN C=CC[C@H]1CCN(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)C1 ZINC001629520175 1194239141 /nfs/dbraw/zinc/23/91/41/1194239141.db2.gz MJOFCVDLSPXQTI-WMLDXEAASA-N 0 1 319.424 3.036 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)[C@@H]2CCCC[N@@H+]2Cc2cccc(C)c2)C1 ZINC001631298660 1194327786 /nfs/dbraw/zinc/32/77/86/1194327786.db2.gz NMUGZDKSHFULMT-ICSRJNTNSA-N 0 1 324.468 3.221 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)[C@@H]2CCCCN2Cc2cccc(C)c2)C1 ZINC001631298660 1194327788 /nfs/dbraw/zinc/32/77/88/1194327788.db2.gz NMUGZDKSHFULMT-ICSRJNTNSA-N 0 1 324.468 3.221 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)[C@H]2CCCC[N@@H+]2Cc2cccc(C)c2)C1 ZINC001631298659 1194328390 /nfs/dbraw/zinc/32/83/90/1194328390.db2.gz NMUGZDKSHFULMT-AZUAARDMSA-N 0 1 324.468 3.221 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)[C@H]2CCCCN2Cc2cccc(C)c2)C1 ZINC001631298659 1194328393 /nfs/dbraw/zinc/32/83/93/1194328393.db2.gz NMUGZDKSHFULMT-AZUAARDMSA-N 0 1 324.468 3.221 20 30 DGEDMN Cc1cccc(Oc2ccc(C(=O)N=C3C[C@@H](C)ON3)nc2)c1 ZINC001632206003 1194376509 /nfs/dbraw/zinc/37/65/09/1194376509.db2.gz MYVMYORRGRGSJH-GFCCVEGCSA-N 0 1 311.341 3.034 20 30 DGEDMN Cc1cc(=O)[nH]c2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc12 ZINC001633392111 1194434803 /nfs/dbraw/zinc/43/48/03/1194434803.db2.gz ANABMOSYXGBIBC-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN CC(C)(C)C1CCC(C#N)(NC(=O)CCN2CC[C@H](F)C2)CC1 ZINC001633546482 1194442376 /nfs/dbraw/zinc/44/23/76/1194442376.db2.gz AMCIOFXOJIFRNS-CSLYMUCUSA-N 0 1 323.456 3.035 20 30 DGEDMN C=CC(C)(C)CC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001634193935 1194479506 /nfs/dbraw/zinc/47/95/06/1194479506.db2.gz ZVTSOSQFMBCIEL-UHFFFAOYSA-N 0 1 309.413 3.466 20 30 DGEDMN CCC[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccn1 ZINC001634529285 1194499731 /nfs/dbraw/zinc/49/97/31/1194499731.db2.gz YQQMAFWXEJXLTQ-ZDUSSCGKSA-N 0 1 319.368 3.352 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(C[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)CCC1 ZINC001671168180 1194552584 /nfs/dbraw/zinc/55/25/84/1194552584.db2.gz SLBWJILORCYTLE-ZACQAIPSSA-N 0 1 316.489 3.312 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)C(C)C ZINC001635499896 1194555156 /nfs/dbraw/zinc/55/51/56/1194555156.db2.gz GBAYGVBOQPWEMP-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cc(C(F)F)n[nH]3)cc2n1 ZINC001635708682 1194567202 /nfs/dbraw/zinc/56/72/02/1194567202.db2.gz XNCXCUCOHBAQBZ-UHFFFAOYSA-N 0 1 317.299 3.135 20 30 DGEDMN C=CCC[C@H](NC(=O)Cc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC001636820462 1194635597 /nfs/dbraw/zinc/63/55/97/1194635597.db2.gz HNWYHVHFTRBNFY-HNNXBMFYSA-N 0 1 310.401 3.048 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC001637161904 1194654943 /nfs/dbraw/zinc/65/49/43/1194654943.db2.gz VFHHWUHVXNJCHA-SFHVURJKSA-N 0 1 315.461 3.259 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(C#N)ccc2O)ccc1-n1cccn1 ZINC001637620748 1194679031 /nfs/dbraw/zinc/67/90/31/1194679031.db2.gz ZUZNEJHAUNOPCY-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001671179710 1194683227 /nfs/dbraw/zinc/68/32/27/1194683227.db2.gz UDTDZMPUOSNWMM-HUUCEWRRSA-N 0 1 318.436 3.427 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H](C)c2nc3ccc(OC)cc3[nH]2)cc1 ZINC001639165496 1194753839 /nfs/dbraw/zinc/75/38/39/1194753839.db2.gz AYNPHHILHLKCRH-GFCCVEGCSA-N 0 1 319.364 3.044 20 30 DGEDMN CCC(CC)[C@H](CNc1cc(F)ccc1C#N)N1CCOCC1 ZINC001639235877 1194756482 /nfs/dbraw/zinc/75/64/82/1194756482.db2.gz SLYQDAUEJLLBRF-SFHVURJKSA-N 0 1 319.424 3.246 20 30 DGEDMN N#Cc1ccc(F)cc1NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC001639450456 1194767197 /nfs/dbraw/zinc/76/71/97/1194767197.db2.gz CPIMTBFHKOEAHR-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN N#Cc1ccc(F)cc1NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC001639450456 1194767199 /nfs/dbraw/zinc/76/71/99/1194767199.db2.gz CPIMTBFHKOEAHR-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCCC[C@@H]1c1cc(C)[nH]n1)OCC ZINC001639472919 1194768184 /nfs/dbraw/zinc/76/81/84/1194768184.db2.gz ZYLHVHDRASTYML-HZPDHXFCSA-N 0 1 305.422 3.143 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001639681091 1194777087 /nfs/dbraw/zinc/77/70/87/1194777087.db2.gz XCNVKNYNJIMDJQ-UHFFFAOYSA-N 0 1 303.312 3.397 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)[C@@H](CC#N)c2ccccc2)CC1 ZINC001640546972 1194819210 /nfs/dbraw/zinc/81/92/10/1194819210.db2.gz CIYZXIIBZSTVTQ-KRWDZBQOSA-N 0 1 322.412 3.122 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)N(CC(C)C)CC(F)(F)F)C1 ZINC001643758754 1195052062 /nfs/dbraw/zinc/05/20/62/1195052062.db2.gz WIDSTCJGTOWYRP-AWEZNQCLSA-N 0 1 320.399 3.321 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC001644019713 1195072892 /nfs/dbraw/zinc/07/28/92/1195072892.db2.gz URIPDSPJRRJSOT-GFCCVEGCSA-N 0 1 310.328 3.375 20 30 DGEDMN C=C(C)CSCCN1CCN(c2cccc(OC)c2)CC1 ZINC001644225140 1195091363 /nfs/dbraw/zinc/09/13/63/1195091363.db2.gz XPYIQVAJWZSGCK-UHFFFAOYSA-N 0 1 306.475 3.127 20 30 DGEDMN C#CCCCCCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC001644459836 1195110524 /nfs/dbraw/zinc/11/05/24/1195110524.db2.gz AUMAXYIZRKMPIL-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C#CCN(CC(=O)N(C)[C@@H]1CCCC[C@H]1C)C1CCCCC1 ZINC001644482989 1195112465 /nfs/dbraw/zinc/11/24/65/1195112465.db2.gz VFOBMKQPBMYWNR-SJLPKXTDSA-N 0 1 304.478 3.291 20 30 DGEDMN N#Cc1ccnc(C[N@@H+]2CCC[C@@H]2C[C@H](O)c2cccs2)c1 ZINC001644743480 1195131230 /nfs/dbraw/zinc/13/12/30/1195131230.db2.gz HMHANPGBLNRBQG-CVEARBPZSA-N 0 1 313.426 3.103 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)c1 ZINC001644743480 1195131232 /nfs/dbraw/zinc/13/12/32/1195131232.db2.gz HMHANPGBLNRBQG-CVEARBPZSA-N 0 1 313.426 3.103 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC001645015923 1195150641 /nfs/dbraw/zinc/15/06/41/1195150641.db2.gz PMCLZXLMQCEDKX-HOTGVXAUSA-N 0 1 320.820 3.110 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@H](CCO)c2ccccc2)c1 ZINC001645086183 1195154952 /nfs/dbraw/zinc/15/49/52/1195154952.db2.gz OBKRHZXXCHOVQL-KRWDZBQOSA-N 0 1 300.789 3.425 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)CCc2ccc(C#N)cc2)n[nH]1 ZINC001645507676 1195190137 /nfs/dbraw/zinc/19/01/37/1195190137.db2.gz UCYIFONFCIPINA-GOSISDBHSA-N 0 1 322.412 3.276 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccnc(Cl)c1 ZINC001645962480 1195208884 /nfs/dbraw/zinc/20/88/84/1195208884.db2.gz POYBFXPXBDXRPR-UHFFFAOYSA-N 0 1 315.804 3.255 20 30 DGEDMN CN(Cc1cccc(C#N)c1F)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001646080412 1195213445 /nfs/dbraw/zinc/21/34/45/1195213445.db2.gz JFLANLQEYJBDQS-KRWDZBQOSA-N 0 1 321.359 3.037 20 30 DGEDMN CN(Cc1ccc(F)c(C#N)c1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001646080203 1195213511 /nfs/dbraw/zinc/21/35/11/1195213511.db2.gz DSYQSLJAPOHMBY-KRWDZBQOSA-N 0 1 321.359 3.037 20 30 DGEDMN C=CCc1cc(CNCc2sc(C)nc2C)cc(OC)c1O ZINC001647030013 1195240347 /nfs/dbraw/zinc/24/03/47/1195240347.db2.gz BBXROMWJPVHZGT-UHFFFAOYSA-N 0 1 318.442 3.492 20 30 DGEDMN CC(C)c1ncc(CNC(=O)c2ccc(C3(C#N)CC3)cc2)[nH]1 ZINC001647824806 1195256538 /nfs/dbraw/zinc/25/65/38/1195256538.db2.gz PXYHJCFQENDIHF-UHFFFAOYSA-N 0 1 308.385 3.018 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)Cc2ccccc2CC#N)n[nH]1 ZINC001647862594 1195260606 /nfs/dbraw/zinc/26/06/06/1195260606.db2.gz LBFHGSRZHZRGPI-SFHVURJKSA-N 0 1 322.412 3.081 20 30 DGEDMN C[C@]12CN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC001648476184 1195302976 /nfs/dbraw/zinc/30/29/76/1195302976.db2.gz FPJAOCIIUPEXGC-PZMTTYODSA-N 0 1 309.369 3.110 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)c1 ZINC001648729250 1195326190 /nfs/dbraw/zinc/32/61/90/1195326190.db2.gz FEJFZHVJUYUFSS-JKSUJKDBSA-N 0 1 312.756 3.425 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CNCc2ccc(C#N)s2)cc1 ZINC001651234086 1195430323 /nfs/dbraw/zinc/43/03/23/1195430323.db2.gz NIQIXBWTXGMWJC-UHFFFAOYSA-N 0 1 324.409 3.308 20 30 DGEDMN CCOC(=O)c1[nH]c(C)c(CNCc2ccc(C#N)s2)c1C ZINC001651234410 1195430512 /nfs/dbraw/zinc/43/05/12/1195430512.db2.gz VOCCTFYLXKVMNH-UHFFFAOYSA-N 0 1 317.414 3.031 20 30 DGEDMN N#CCc1ccc(CNCc2c(Cl)nc3ccccn32)cc1 ZINC001651260280 1195434610 /nfs/dbraw/zinc/43/46/10/1195434610.db2.gz XQHGHSIAIPKGRM-UHFFFAOYSA-N 0 1 310.788 3.344 20 30 DGEDMN Cc1cc(Sc2nc(-c3ccccc3F)n[nH]2)ncc1C#N ZINC001651344506 1195445964 /nfs/dbraw/zinc/44/59/64/1195445964.db2.gz GPJHRAYOWGLQCZ-UHFFFAOYSA-N 0 1 311.345 3.337 20 30 DGEDMN N#Cc1ccc(F)cc1N1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC001651695879 1195498532 /nfs/dbraw/zinc/49/85/32/1195498532.db2.gz QHVJBHXXPUVWJQ-CYBMUJFWSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1ccc(F)cc1N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC001651695879 1195498536 /nfs/dbraw/zinc/49/85/36/1195498536.db2.gz QHVJBHXXPUVWJQ-CYBMUJFWSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2C[C@@]3(CCOC3)Oc3ccccc32)cc1 ZINC001651709916 1195500239 /nfs/dbraw/zinc/50/02/39/1195500239.db2.gz UIFPOMGAQYADQL-UYAOXDASSA-N 0 1 320.392 3.331 20 30 DGEDMN N#Cc1sccc1N1CCN(Cc2cccc3c2CCC3)CC1 ZINC001652814131 1195655298 /nfs/dbraw/zinc/65/52/98/1195655298.db2.gz GFYQWQJMEKNCTQ-UHFFFAOYSA-N 0 1 323.465 3.431 20 30 DGEDMN C#CC1CCN(CC(=O)N[C@H](c2ccccc2)C2CCCC2)CC1 ZINC001654002361 1195766118 /nfs/dbraw/zinc/76/61/18/1195766118.db2.gz VBSHUEMIJWZROP-OAQYLSRUSA-N 0 1 324.468 3.379 20 30 DGEDMN C=CC[C@H]1CCCN([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC001654177752 1195780975 /nfs/dbraw/zinc/78/09/75/1195780975.db2.gz JXQRYNAUMXHYQQ-YOEHRIQHSA-N 0 1 302.393 3.219 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(C(F)(F)F)cc2)C1 ZINC001654841579 1195846469 /nfs/dbraw/zinc/84/64/69/1195846469.db2.gz YPSPYWPTNYOGHT-ZDUSSCGKSA-N 0 1 324.346 3.379 20 30 DGEDMN C#CCN(Cc1nc2ccc(Br)cc2[nH]1)C(C)C ZINC001654869182 1195849187 /nfs/dbraw/zinc/84/91/87/1195849187.db2.gz DVHZPMBUWSPOFR-UHFFFAOYSA-N 0 1 306.207 3.169 20 30 DGEDMN COC(=O)C[C@@H](NCc1ccc(Cl)cc1C#N)C(C)(C)C ZINC001655695895 1195951780 /nfs/dbraw/zinc/95/17/80/1195951780.db2.gz RMKIWWOVQOHEHV-CQSZACIVSA-N 0 1 308.809 3.279 20 30 DGEDMN N#Cc1ccc(CNCc2cn3ccnc3s2)cc1Cl ZINC001656145587 1196009879 /nfs/dbraw/zinc/00/98/79/1196009879.db2.gz FVJADNABTSCQST-UHFFFAOYSA-N 0 1 302.790 3.211 20 30 DGEDMN Cc1cc(C)cc([C@@H](O)CN(C)Cc2cc(C#N)cs2)c1 ZINC001656279077 1196026305 /nfs/dbraw/zinc/02/63/05/1196026305.db2.gz FQDXTYVKFRNHIN-KRWDZBQOSA-N 0 1 300.427 3.402 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1c(C)coc1C ZINC001656536081 1196048008 /nfs/dbraw/zinc/04/80/08/1196048008.db2.gz TYKMFKLWNXWGAZ-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001656664803 1196059677 /nfs/dbraw/zinc/05/96/77/1196059677.db2.gz IFEWMHMFPFLXGI-BHIYHBOVSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCOc1cccc(CNCC(=O)N(CC)c2ccccc2)c1 ZINC001656978554 1196089269 /nfs/dbraw/zinc/08/92/69/1196089269.db2.gz PNGGEYKOZUZMSW-UHFFFAOYSA-N 0 1 324.424 3.394 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCCN1C(=O)C1CCC1 ZINC001657056313 1196097796 /nfs/dbraw/zinc/09/77/96/1196097796.db2.gz QLDVDWQMPMYEOT-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccns2)CC(C)(C)C1 ZINC001657095729 1196104046 /nfs/dbraw/zinc/10/40/46/1196104046.db2.gz FJBZFMPAYSADJX-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCOc1ccc(Br)cc1CNCC(C)(C)F ZINC001657780911 1196177924 /nfs/dbraw/zinc/17/79/24/1196177924.db2.gz NYPPUTJKKGOSHW-UHFFFAOYSA-N 0 1 314.198 3.299 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(C(C)(C)C)c1)[C@@H]1CCCO1 ZINC001657822245 1196181828 /nfs/dbraw/zinc/18/18/28/1196181828.db2.gz BVPXTQKUBYYJCR-AEFFLSMTSA-N 0 1 301.430 3.263 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(C#N)cc2[N+](=O)[O-])cc1 ZINC001658008249 1196201392 /nfs/dbraw/zinc/20/13/92/1196201392.db2.gz CEMCBQNBOZXOCW-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN C=CCOc1ccc(CN[C@@H]2CCCN(CC)C2=O)cc1Cl ZINC001658041992 1196206256 /nfs/dbraw/zinc/20/62/56/1196206256.db2.gz MPRWFSXNYTUYIW-OAHLLOKOSA-N 0 1 322.836 3.005 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@]1(C)CCCCO1 ZINC001658084849 1196210777 /nfs/dbraw/zinc/21/07/77/1196210777.db2.gz DGNLOTFHYQDAMG-KRWDZBQOSA-N 0 1 307.821 3.401 20 30 DGEDMN C#C[C@@H](NC[C@H](OC)C1CC1)c1ccc(Br)cc1 ZINC001658193908 1196224663 /nfs/dbraw/zinc/22/46/63/1196224663.db2.gz WGTNQVRDIMZKNA-CABCVRRESA-N 0 1 308.219 3.138 20 30 DGEDMN N#CC1(CNCc2ccccc2CC(F)(F)F)CCOCC1 ZINC001658753006 1196295911 /nfs/dbraw/zinc/29/59/11/1196295911.db2.gz ZQNMWMSVQZJLNY-UHFFFAOYSA-N 0 1 312.335 3.201 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001659142245 1196352174 /nfs/dbraw/zinc/35/21/74/1196352174.db2.gz FWLOJODRYKALHZ-ZIAGYGMSSA-N 0 1 304.821 3.402 20 30 DGEDMN C=CCC[C@@H](O)CN1CCc2cc(C)cc(Br)c2C1 ZINC001659751131 1196411165 /nfs/dbraw/zinc/41/11/65/1196411165.db2.gz VNEGRNJTVQLFLN-CQSZACIVSA-N 0 1 324.262 3.443 20 30 DGEDMN C#CCN(C/C(C)=C/c1ccccc1COC)C1CSC1 ZINC001659870189 1196423775 /nfs/dbraw/zinc/42/37/75/1196423775.db2.gz FJAVAFOEWWPIKZ-XNTDXEJSSA-N 0 1 301.455 3.287 20 30 DGEDMN CCC(=CC(=O)NCCCN(C)Cc1ccc(C#N)s1)CC ZINC001660099067 1196445450 /nfs/dbraw/zinc/44/54/50/1196445450.db2.gz JHYRXFWGLDTIMD-UHFFFAOYSA-N 0 1 319.474 3.304 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N(CC)[C@H](C)c1ccc(F)cc1 ZINC001660526190 1196494091 /nfs/dbraw/zinc/49/40/91/1196494091.db2.gz GYJHVOIBZNUPAK-RHSMWYFYSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc(F)c1C ZINC001660531886 1196494463 /nfs/dbraw/zinc/49/44/63/1196494463.db2.gz GFWLSYIWEHWSJD-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H](F)c1ccccc1 ZINC001660598487 1196501682 /nfs/dbraw/zinc/50/16/82/1196501682.db2.gz JYNQUPXGULTOII-HNNXBMFYSA-N 0 1 312.816 3.278 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c(C)cccc1F ZINC001660635734 1196507266 /nfs/dbraw/zinc/50/72/66/1196507266.db2.gz RLNDYOJDQIDQLT-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN Cc1ccc(CNCc2nc3ccccc3nc2C)cc1C#N ZINC001660678821 1196511288 /nfs/dbraw/zinc/51/12/88/1196511288.db2.gz ZDZSBCZFKPNCDM-UHFFFAOYSA-N 0 1 302.381 3.408 20 30 DGEDMN CC#CC[N@@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC001660819737 1196529417 /nfs/dbraw/zinc/52/94/17/1196529417.db2.gz VMSDJINHKJNKOG-UHFFFAOYSA-N 0 1 302.599 3.263 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001661016401 1196552877 /nfs/dbraw/zinc/55/28/77/1196552877.db2.gz ZRJPRWMZRFGUPD-WBVHZDCISA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001661024731 1196555760 /nfs/dbraw/zinc/55/57/60/1196555760.db2.gz YFDIGXJJICHFON-MRXNPFEDSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CN1CCC(N(CCC)C(=O)CCCC(C)=O)CC1 ZINC001661083065 1196563390 /nfs/dbraw/zinc/56/33/90/1196563390.db2.gz LBVVUBWOOBBBTD-UHFFFAOYSA-N 0 1 308.466 3.025 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(CF)cc2)CC1 ZINC001661097448 1196566069 /nfs/dbraw/zinc/56/60/69/1196566069.db2.gz OOHUEHYLDKAPMJ-UHFFFAOYSA-N 0 1 316.420 3.106 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001661152462 1196573298 /nfs/dbraw/zinc/57/32/98/1196573298.db2.gz QQCOHOMUXFBZJE-WHGQRRHOSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1CCC(C2CC2)CC1)C1CC1 ZINC001661188076 1196577445 /nfs/dbraw/zinc/57/74/45/1196577445.db2.gz WVVQYSCASKPSRL-BDVYOWHSSA-N 0 1 324.896 3.440 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C(CC(C)C)CC(C)C ZINC001661895815 1196660236 /nfs/dbraw/zinc/66/02/36/1196660236.db2.gz IWYUFUGGAOJOIX-SFHVURJKSA-N 0 1 306.494 3.299 20 30 DGEDMN CCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001661977005 1196668896 /nfs/dbraw/zinc/66/88/96/1196668896.db2.gz IMDQCLAZEHECMH-PKOBYXMFSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(C)CC=CC2)C1 ZINC001661995384 1196672936 /nfs/dbraw/zinc/67/29/36/1196672936.db2.gz GILJTBCEFRKYKB-GJZGRUSLSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CCC(C)(F)F)C1 ZINC001661996480 1196673034 /nfs/dbraw/zinc/67/30/34/1196673034.db2.gz MUUNPGVFYGPAJI-OLZOCXBDSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)NCc1nc2cc(C)ccc2s1 ZINC001662179961 1196691461 /nfs/dbraw/zinc/69/14/61/1196691461.db2.gz LUEMINWFMLCLSE-ZDUSSCGKSA-N 0 1 317.458 3.165 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cccc(F)c1Cl ZINC001662302785 1196703994 /nfs/dbraw/zinc/70/39/94/1196703994.db2.gz FGWWOFNZUMNJHZ-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N[C@H](C)c2cc(F)ccc2F)C1 ZINC001662330138 1196709188 /nfs/dbraw/zinc/70/91/88/1196709188.db2.gz UDDKSBKKYIABMZ-CHWSQXEVSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001662383521 1196714461 /nfs/dbraw/zinc/71/44/61/1196714461.db2.gz PDADWBGCDBYYPC-CHWSQXEVSA-N 0 1 320.820 3.383 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cccc(Cl)c2F)C1 ZINC001662470363 1196723903 /nfs/dbraw/zinc/72/39/03/1196723903.db2.gz RFCQLLCUGYKTDH-ZDUSSCGKSA-N 0 1 310.800 3.136 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNc1nc2cc3c(cc2[nH]1)OCO3 ZINC001663177225 1196806603 /nfs/dbraw/zinc/80/66/03/1196806603.db2.gz WOZQVXFVXAGIAP-UHFFFAOYSA-N 0 1 320.352 3.392 20 30 DGEDMN CC#CCN(C)CCNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001663205503 1196811009 /nfs/dbraw/zinc/81/10/09/1196811009.db2.gz KMTOAPLZHHFKDS-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1cccc(OC)c1C ZINC001663266344 1196823028 /nfs/dbraw/zinc/82/30/28/1196823028.db2.gz FUYSRSGMIULULI-IBGZPJMESA-N 0 1 318.461 3.154 20 30 DGEDMN C=C(C)CCC(=O)NCCN(CC)Cc1ccc(Cl)nc1 ZINC001663386309 1196852736 /nfs/dbraw/zinc/85/27/36/1196852736.db2.gz WMUWVTNGJZUCIO-UHFFFAOYSA-N 0 1 309.841 3.029 20 30 DGEDMN C=C(C)CCC(=O)NCCN(CC)Cc1cc(F)cc(F)c1 ZINC001663385589 1196853357 /nfs/dbraw/zinc/85/33/57/1196853357.db2.gz JJLJWMDGVVHHHH-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001663537285 1196899419 /nfs/dbraw/zinc/89/94/19/1196899419.db2.gz LPEASRCJKBAFPS-QWHCGFSZSA-N 0 1 324.877 3.109 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC001663554320 1196903174 /nfs/dbraw/zinc/90/31/74/1196903174.db2.gz ZXTMSPVVFOQCSH-WMLDXEAASA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2ccc(Cl)c(F)c2)C1 ZINC001663572612 1196906829 /nfs/dbraw/zinc/90/68/29/1196906829.db2.gz QTNVIFZQGWSMBV-CQSZACIVSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001663607145 1196912749 /nfs/dbraw/zinc/91/27/49/1196912749.db2.gz CYRWFFNXLQZMJP-LJQANCHMSA-N 0 1 324.468 3.079 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC1CCCCC1)c1ccccc1 ZINC001664597883 1197019153 /nfs/dbraw/zinc/01/91/53/1197019153.db2.gz FVCZNZKDMUWGKQ-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(O[C@@H]2CCOC2)cc1 ZINC001664659137 1197028696 /nfs/dbraw/zinc/02/86/96/1197028696.db2.gz JMQNXHBKWXHCNO-OAQYLSRUSA-N 0 1 321.420 3.490 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)COC2CCC(C)CC2)C1 ZINC001664689858 1197037174 /nfs/dbraw/zinc/03/71/74/1197037174.db2.gz GSHJUMNWBGGZEG-ADKAHSJRSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCOc1ccc(C[NH2+]Cc2ccc(Cl)cc2[O-])cc1 ZINC001664788929 1197057349 /nfs/dbraw/zinc/05/73/49/1197057349.db2.gz OSKWNBAJFLCVIJ-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN CCOc1ccc(CN(C)CCOCc2ccc(C#N)cc2)o1 ZINC001664888095 1197076274 /nfs/dbraw/zinc/07/62/74/1197076274.db2.gz YJDMHUDAPVMEMN-UHFFFAOYSA-N 0 1 314.385 3.199 20 30 DGEDMN C[C@H]1C[C@H](C(=O)OC(C)(C)C)CN(Cc2csc(C#N)c2)C1 ZINC001665026788 1197088886 /nfs/dbraw/zinc/08/88/86/1197088886.db2.gz FXUBTCMOSOVLGP-JSGCOSHPSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001665160950 1197104084 /nfs/dbraw/zinc/10/40/84/1197104084.db2.gz CWSKPLYBDLBSSM-AWEZNQCLSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001665324201 1197122612 /nfs/dbraw/zinc/12/26/12/1197122612.db2.gz JHZXXVXVXXYOHG-AWEZNQCLSA-N 0 1 304.409 3.291 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001665328287 1197123898 /nfs/dbraw/zinc/12/38/98/1197123898.db2.gz HGKOUVHUJISWSM-OAHLLOKOSA-N 0 1 318.436 3.019 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001665545599 1197149585 /nfs/dbraw/zinc/14/95/85/1197149585.db2.gz CCEKOFWPBPGQRL-LJQANCHMSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)CC(C)(C)CC ZINC001665793923 1197197875 /nfs/dbraw/zinc/19/78/75/1197197875.db2.gz RTDRNVQLYRAFHY-LBPRGKRZSA-N 0 1 319.287 3.206 20 30 DGEDMN C#CC[N@@H+](Cc1cc(Br)cc(F)c1[O-])C(C)C ZINC001665813520 1197201534 /nfs/dbraw/zinc/20/15/34/1197201534.db2.gz HBTBNDWGEHIPKQ-UHFFFAOYSA-N 0 1 300.171 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1cccc(OC)c1 ZINC001665892911 1197212513 /nfs/dbraw/zinc/21/25/13/1197212513.db2.gz JMHFQVPVOATGCY-HIFRSBDPSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2cc(C)no2)CCCC1 ZINC001665893383 1197213447 /nfs/dbraw/zinc/21/34/47/1197213447.db2.gz NWQYNSOPXGJNMC-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cscn2)CCCC[C@H]1C ZINC001666050087 1197231975 /nfs/dbraw/zinc/23/19/75/1197231975.db2.gz OHKOSRXBSHRJPE-PBHICJAKSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@@H](C)CC(C)C ZINC001666472448 1197261591 /nfs/dbraw/zinc/26/15/91/1197261591.db2.gz IZUXTXNPABODGW-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H](F)C(C)C)cc1 ZINC001666341280 1197253465 /nfs/dbraw/zinc/25/34/65/1197253465.db2.gz RKRHBXHFVVWTPU-OAHLLOKOSA-N 0 1 312.816 3.139 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](C)CCC=C(C)C)CC2 ZINC001666829556 1197288863 /nfs/dbraw/zinc/28/88/63/1197288863.db2.gz OUTVWXZWVBGQME-GOSISDBHSA-N 0 1 316.489 3.317 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1ccc(C)cc1 ZINC001666948422 1197300305 /nfs/dbraw/zinc/30/03/05/1197300305.db2.gz UPOSJPHUUYTTNG-OAHLLOKOSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2ccsc2[nH]1 ZINC001666961747 1197301457 /nfs/dbraw/zinc/30/14/57/1197301457.db2.gz CFPAKWCTQCTCBA-SNVBAGLBSA-N 0 1 311.838 3.032 20 30 DGEDMN C=C(Br)CNC[C@H](CC(C)C)NC(=O)CC(C)C ZINC001667069533 1197313507 /nfs/dbraw/zinc/31/35/07/1197313507.db2.gz JUBQAGFTAUYKLD-ZDUSSCGKSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001668000552 1197394713 /nfs/dbraw/zinc/39/47/13/1197394713.db2.gz UJTBZLCVXSPNBX-KBPBESRZSA-N 0 1 321.465 3.484 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@@H]1CCCC[C@@H]1C ZINC001668656895 1197423784 /nfs/dbraw/zinc/42/37/84/1197423784.db2.gz PXBGNGPJDBAQPM-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001669106776 1197440123 /nfs/dbraw/zinc/44/01/23/1197440123.db2.gz ILHRNVUHVVVPEJ-OLHLWXQYSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc2c(c1)CCCC2 ZINC001669170916 1197442349 /nfs/dbraw/zinc/44/23/49/1197442349.db2.gz GYUNSUDNEHVWPI-PLNGDYQASA-N 0 1 318.848 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C/C=C/c1ccc(F)cc1 ZINC001669328493 1197449391 /nfs/dbraw/zinc/44/93/91/1197449391.db2.gz FNGFULHNHJTJSI-ITDFMYJTSA-N 0 1 310.800 3.076 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CC)Cc1ccccc1C ZINC001669446854 1197455420 /nfs/dbraw/zinc/45/54/20/1197455420.db2.gz ZWPFNDOSIYNNAF-HZPDHXFCSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1ccc(Cl)cc1 ZINC001669626326 1197465774 /nfs/dbraw/zinc/46/57/74/1197465774.db2.gz KIPHDXPJWBHBQW-LBPRGKRZSA-N 0 1 315.244 3.119 20 30 DGEDMN C=CC[N@H+](C)C[C@@H](C)NC(=O)c1cc2cccc(C)c2s1 ZINC001669833042 1197489366 /nfs/dbraw/zinc/48/93/66/1197489366.db2.gz MQRYXTBXXWWCSE-CYBMUJFWSA-N 0 1 302.443 3.446 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001671586183 1197550081 /nfs/dbraw/zinc/55/00/81/1197550081.db2.gz RAYBKYFZWLXFGY-PJYQYBKYSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2oc3ccccc3c2C)C1 ZINC001671916234 1197584545 /nfs/dbraw/zinc/58/45/45/1197584545.db2.gz HHJGDOMOYAOUFF-HXUWFJFHSA-N 0 1 324.424 3.206 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](C)c2ccccc2)C1 ZINC001671916443 1197585913 /nfs/dbraw/zinc/58/59/13/1197585913.db2.gz LWYTWYVBNIJJIT-FXAWDEMLSA-N 0 1 312.457 3.032 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001673079926 1197636719 /nfs/dbraw/zinc/63/67/19/1197636719.db2.gz UZFBJPKMGANDKS-OAQYLSRUSA-N 0 1 324.468 3.287 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001673357155 1197650597 /nfs/dbraw/zinc/65/05/97/1197650597.db2.gz CDXWQZAGWCXINN-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(CC)C[C@@H]2CC)c(Cl)c1 ZINC001673386393 1197655009 /nfs/dbraw/zinc/65/50/09/1197655009.db2.gz JWHDBGOYXJNJTC-AWEZNQCLSA-N 0 1 319.836 3.269 20 30 DGEDMN C=CCCC1(CNC(=O)NCCN2CC=CCC2)CCCC1 ZINC001674372372 1197765827 /nfs/dbraw/zinc/76/58/27/1197765827.db2.gz QPZNHQIPRMNZJM-UHFFFAOYSA-N 0 1 305.466 3.074 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001674396432 1197767789 /nfs/dbraw/zinc/76/77/89/1197767789.db2.gz MSPSRYKTJFCROM-RRFJBIMHSA-N 0 1 322.836 3.106 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C2CCC2)cc1 ZINC001674457793 1197779417 /nfs/dbraw/zinc/77/94/17/1197779417.db2.gz NIGUEFWMPDOLMT-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1oc(C(F)F)cc1C ZINC001674680246 1197831330 /nfs/dbraw/zinc/83/13/30/1197831330.db2.gz LFQOJAAMNORHTC-UWVGGRQHSA-N 0 1 320.767 3.375 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1c(C)onc1CC ZINC001674736514 1197854375 /nfs/dbraw/zinc/85/43/75/1197854375.db2.gz WKXGLIIWVPBEKZ-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN CCC(CC)CC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001674748651 1197857928 /nfs/dbraw/zinc/85/79/28/1197857928.db2.gz RLNLYJWUHTUODF-CABCVRRESA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccnc(C)c1 ZINC001675234212 1198014248 /nfs/dbraw/zinc/01/42/48/1198014248.db2.gz PQROBKUHNIUMJE-HNNXBMFYSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCCN1Cc1c(C)noc1C ZINC001675247346 1198019853 /nfs/dbraw/zinc/01/98/53/1198019853.db2.gz LUNZGTXWPRQATQ-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C(C1CCC1)C1CCC1 ZINC001675262798 1198025243 /nfs/dbraw/zinc/02/52/43/1198025243.db2.gz GBKYDCLIGGMAKN-SFHVURJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001675354652 1198053387 /nfs/dbraw/zinc/05/33/87/1198053387.db2.gz VGJLCUKFKQYUJN-VQIMIIECSA-N 0 1 314.473 3.439 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCCC(C)(C)C)C(C)(C)C1 ZINC001675457703 1198070278 /nfs/dbraw/zinc/07/02/78/1198070278.db2.gz CLNUVQQHWILILT-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C/Cc2ccccc2)C(C)(C)C1 ZINC001675458679 1198072328 /nfs/dbraw/zinc/07/23/28/1198072328.db2.gz PPXRYOHOBCCICG-YXBWYFRISA-N 0 1 324.468 3.025 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C/C=C/Cc2ccccc2)C(C)(C)C1 ZINC001675458677 1198072573 /nfs/dbraw/zinc/07/25/73/1198072573.db2.gz PPXRYOHOBCCICG-JBVUFVISSA-N 0 1 324.468 3.025 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@]1(C)CC=CCC1 ZINC001676221637 1198252438 /nfs/dbraw/zinc/25/24/38/1198252438.db2.gz GKXYHAAMWHMBFD-DOTOQJQBSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001676398141 1198310506 /nfs/dbraw/zinc/31/05/06/1198310506.db2.gz YXYBUVSQOBGRMZ-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(Cl)s1 ZINC001676472948 1198331051 /nfs/dbraw/zinc/33/10/51/1198331051.db2.gz GGMWFXMOQZLIBE-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H](NCc2sccc2Cl)C[C@@H]1C ZINC001676722478 1198373448 /nfs/dbraw/zinc/37/34/48/1198373448.db2.gz KISRADDTTNIXLA-STQMWFEESA-N 0 1 324.877 3.284 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001676757346 1198382582 /nfs/dbraw/zinc/38/25/82/1198382582.db2.gz XQNWKXOTPDBZCW-NEPJUHHUSA-N 0 1 305.249 3.241 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)CCc2ccc(C)s2)[C@@H]1C ZINC001676897841 1198427790 /nfs/dbraw/zinc/42/77/90/1198427790.db2.gz HEFVQWGWVRVKDP-HOCLYGCPSA-N 0 1 306.475 3.144 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@@H]1C ZINC001676898765 1198428444 /nfs/dbraw/zinc/42/84/44/1198428444.db2.gz RBPJLCNLLPERRZ-QWHCGFSZSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@@H]1C ZINC001676898765 1198428446 /nfs/dbraw/zinc/42/84/46/1198428446.db2.gz RBPJLCNLLPERRZ-QWHCGFSZSA-N 0 1 318.383 3.264 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C)cc1Cl)C(C)C ZINC001677211734 1198488919 /nfs/dbraw/zinc/48/89/19/1198488919.db2.gz XEOVVXJVTCIFJY-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C)c(F)c1F)C(C)C ZINC001677211102 1198490171 /nfs/dbraw/zinc/49/01/71/1198490171.db2.gz ARBXHXAGXQNSDC-UHFFFAOYSA-N 0 1 322.399 3.079 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](F)CC1CCCCC1)C(C)C ZINC001677215121 1198491291 /nfs/dbraw/zinc/49/12/91/1198491291.db2.gz BQMLHEQRZFWSIL-SFHVURJKSA-N 0 1 324.484 3.487 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](F)CC1CCCCC1)C(C)C ZINC001677215120 1198492060 /nfs/dbraw/zinc/49/20/60/1198492060.db2.gz BQMLHEQRZFWSIL-GOSISDBHSA-N 0 1 324.484 3.487 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc2c(s1)CCC2)C(C)C ZINC001677219588 1198492811 /nfs/dbraw/zinc/49/28/11/1198492811.db2.gz XJXDZMOITDFXOT-UHFFFAOYSA-N 0 1 318.486 3.043 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001677399301 1198528462 /nfs/dbraw/zinc/52/84/62/1198528462.db2.gz IQESNYPLHLRUFY-DHZHZOJOSA-N 0 1 305.249 3.241 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@@H]2CCN2Cc2ccccc2)cc1 ZINC001681091091 1198943845 /nfs/dbraw/zinc/94/38/45/1198943845.db2.gz YUXUAYXUVXXINT-IBGZPJMESA-N 0 1 319.408 3.064 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(CC)C[C@H]1CC ZINC001683814629 1199308601 /nfs/dbraw/zinc/30/86/01/1199308601.db2.gz OAMZAVMNILSHPG-BRWVUGGUSA-N 0 1 307.482 3.247 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CC2)cc1 ZINC001688067651 1199685892 /nfs/dbraw/zinc/68/58/92/1199685892.db2.gz VWAPHNSJMDKZRX-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C[C@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688897476 1199950726 /nfs/dbraw/zinc/95/07/26/1199950726.db2.gz WYXFGUMZVPONMX-MOPGFXCFSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)CC)C1 ZINC001688955244 1199971853 /nfs/dbraw/zinc/97/18/53/1199971853.db2.gz HYDFQQHDKQWOTA-DLBZAZTESA-N 0 1 300.446 3.193 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(-c2cccs2)o1 ZINC001753867682 1200019269 /nfs/dbraw/zinc/01/92/69/1200019269.db2.gz BEFBFNWEGFMKMT-UHFFFAOYSA-N 0 1 316.426 3.035 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc([C@H](C)CC)cc1 ZINC001753870117 1200023618 /nfs/dbraw/zinc/02/36/18/1200023618.db2.gz QGSAQWMPTONBJG-MRXNPFEDSA-N 0 1 300.446 3.227 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@H]1CNCc1ncc(C(C)C)o1 ZINC001753881972 1200031304 /nfs/dbraw/zinc/03/13/04/1200031304.db2.gz GJORIRFTEGPLQA-LSDHHAIUSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1csc(Cl)n1 ZINC001753969663 1200054106 /nfs/dbraw/zinc/05/41/06/1200054106.db2.gz NIFFXFXEJLGPLH-LLVKDONJSA-N 0 1 315.870 3.137 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CCCC)C(C)C)[C@H]1CC ZINC001689197721 1200055842 /nfs/dbraw/zinc/05/58/42/1200055842.db2.gz AXQNHLCVOWHTDY-FGTMMUONSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2nc(C)oc2C)CCC1 ZINC001753996442 1200063792 /nfs/dbraw/zinc/06/37/92/1200063792.db2.gz FFLWCCLBTRFCEO-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cnc2ccsc2c1 ZINC001754061454 1200088626 /nfs/dbraw/zinc/08/86/26/1200088626.db2.gz PKEJFJZMRPJNKH-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001689349092 1200104064 /nfs/dbraw/zinc/10/40/64/1200104064.db2.gz WVQFJPYSOFKEKC-MOPGFXCFSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001754211639 1200132184 /nfs/dbraw/zinc/13/21/84/1200132184.db2.gz KOIARALMHOXFRA-WAYWQWQTSA-N 0 1 310.869 3.217 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001754280633 1200169521 /nfs/dbraw/zinc/16/95/21/1200169521.db2.gz DBFNNPYHTXXFTH-LRDDRELGSA-N 0 1 322.399 3.403 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)s1 ZINC001754302011 1200184044 /nfs/dbraw/zinc/18/40/44/1200184044.db2.gz ZIBRIWMUJQXLNT-NSHDSACASA-N 0 1 300.855 3.304 20 30 DGEDMN CN(CCCNC(=O)C1(C)CCCC1)Cc1ccc(C#N)s1 ZINC001689819096 1200293764 /nfs/dbraw/zinc/29/37/64/1200293764.db2.gz ZCSARXYGFKSMAL-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1[C@@H](C)c1cccc(OC)c1 ZINC001754485409 1200297707 /nfs/dbraw/zinc/29/77/07/1200297707.db2.gz UOUYSKBIAVPADI-RDJZCZTQSA-N 0 1 316.445 3.303 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2CCc2ccc(C)cc2)C1 ZINC001754494175 1200307048 /nfs/dbraw/zinc/30/70/48/1200307048.db2.gz MRSRFIZZLSKCCK-GOSISDBHSA-N 0 1 312.457 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2cc(C)cc(C)c2)C1 ZINC001754494400 1200307247 /nfs/dbraw/zinc/30/72/47/1200307247.db2.gz REOVTTHVXUPBQY-SFHVURJKSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001754503728 1200318996 /nfs/dbraw/zinc/31/89/96/1200318996.db2.gz ANRJNBVVDRGCPP-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ccccc2F)CC1 ZINC001754522273 1200334073 /nfs/dbraw/zinc/33/40/73/1200334073.db2.gz HUWXYEXRGAANFR-CQSZACIVSA-N 0 1 304.409 3.166 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc3nccc(C)c3c2)C1 ZINC001754575849 1200374253 /nfs/dbraw/zinc/37/42/53/1200374253.db2.gz PZRHLODMQNXNBL-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1c(F)cccc1F)C1CC1 ZINC001690153138 1200424174 /nfs/dbraw/zinc/42/41/74/1200424174.db2.gz GMUKHLFDMAZVHX-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN CCCCCC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001754666053 1200426491 /nfs/dbraw/zinc/42/64/91/1200426491.db2.gz XDHGMVJPHRXODX-LJQANCHMSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001690160284 1200427289 /nfs/dbraw/zinc/42/72/89/1200427289.db2.gz CRTDHBDXGYQGMF-JONQDZQNSA-N 0 1 310.869 3.050 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2cc(C)ccc2F)C1 ZINC001754671522 1200430945 /nfs/dbraw/zinc/43/09/45/1200430945.db2.gz DGRBRJRPQBQEDL-IBGZPJMESA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2cc(C)no2)C1 ZINC001754726494 1200470523 /nfs/dbraw/zinc/47/05/23/1200470523.db2.gz BFJLMFUHELJQQY-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C2CCCC2)C1 ZINC001754743929 1200483807 /nfs/dbraw/zinc/48/38/07/1200483807.db2.gz AQVQYVNEJRWTNB-UKRRQHHQSA-N 0 1 314.420 3.072 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@@H](CC)C(C)C)C[C@@H]2C1 ZINC001754846547 1200519110 /nfs/dbraw/zinc/51/91/10/1200519110.db2.gz LFNVHCTTXUUCJK-OAGGEKHMSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN(Cc2ccccc2F)C1 ZINC001690376296 1200522248 /nfs/dbraw/zinc/52/22/48/1200522248.db2.gz GAAQFWIADMBARF-OAHLLOKOSA-N 0 1 304.409 3.120 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001690390139 1200533385 /nfs/dbraw/zinc/53/33/85/1200533385.db2.gz JZKVDVKFNIZDFB-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)[C@H](C)CC ZINC001754946729 1200548925 /nfs/dbraw/zinc/54/89/25/1200548925.db2.gz USNZEOMFXGUVGH-HZPDHXFCSA-N 0 1 300.446 3.050 20 30 DGEDMN CCCCC(=O)NC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001690438756 1200554980 /nfs/dbraw/zinc/55/49/80/1200554980.db2.gz OPTHYDHSOVOJSU-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)C[C@@H](C)OC)cc1 ZINC001754955229 1200556352 /nfs/dbraw/zinc/55/63/52/1200556352.db2.gz YDUDLNNSDPEXOU-KGLIPLIRSA-N 0 1 324.852 3.131 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H](C)CC)cccc2C1 ZINC001754975525 1200563069 /nfs/dbraw/zinc/56/30/69/1200563069.db2.gz AQXKVIZSKFRREZ-HNNXBMFYSA-N 0 1 300.446 3.283 20 30 DGEDMN C[C@@]1(C(=O)NCC2(NCc3ccccc3C#N)CCC2)C=CCC1 ZINC001754985163 1200571569 /nfs/dbraw/zinc/57/15/69/1200571569.db2.gz KAQFJUFKFGBHMQ-LJQANCHMSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001755025838 1200587440 /nfs/dbraw/zinc/58/74/40/1200587440.db2.gz CCOSBSKSTHZUSU-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1ccc(F)cn1 ZINC001755040769 1200607299 /nfs/dbraw/zinc/60/72/99/1200607299.db2.gz GHJYGKLYOQGISM-UHFFFAOYSA-N 0 1 321.440 3.103 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cc(OC)ccc1Cl ZINC001690581967 1200635149 /nfs/dbraw/zinc/63/51/49/1200635149.db2.gz CEBDFBHKWKKLPM-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1cc(F)ccc1OC ZINC001690611017 1200647227 /nfs/dbraw/zinc/64/72/27/1200647227.db2.gz YWQMISWPTYFKAZ-ZDUSSCGKSA-N 0 1 322.424 3.031 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cccnc2)CC1(C)C ZINC001755141972 1200659899 /nfs/dbraw/zinc/65/98/99/1200659899.db2.gz RCBJJSPWLGOEQG-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@@H](C)C1 ZINC001755163418 1200670027 /nfs/dbraw/zinc/67/00/27/1200670027.db2.gz HTKFVAQIFUMZRM-KBPBESRZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)Cc1c(C)cccc1Cl ZINC001755194209 1200691857 /nfs/dbraw/zinc/69/18/57/1200691857.db2.gz PENHMMMIQFXRRQ-OAHLLOKOSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001755195448 1200693155 /nfs/dbraw/zinc/69/31/55/1200693155.db2.gz QBQVHGNFKQJYAW-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001755197379 1200694297 /nfs/dbraw/zinc/69/42/97/1200694297.db2.gz XUVQELYZPTZMQG-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NCc2ncc(C3CC3)o2)C1 ZINC001690766981 1200705011 /nfs/dbraw/zinc/70/50/11/1200705011.db2.gz WZFNCZBNMPHUPD-GJZGRUSLSA-N 0 1 317.433 3.035 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1c(Cl)cccc1OC ZINC001755229005 1200719407 /nfs/dbraw/zinc/71/94/07/1200719407.db2.gz WBQJFKJJNFMCTC-OLZOCXBDSA-N 0 1 324.852 3.298 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccncc1Cl ZINC001755248427 1200733898 /nfs/dbraw/zinc/73/38/98/1200733898.db2.gz IAOMOYOHZDOBCZ-STQMWFEESA-N 0 1 309.841 3.074 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC001755250524 1200737015 /nfs/dbraw/zinc/73/70/15/1200737015.db2.gz XNHSEQSBNBNOTB-CHWSQXEVSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1coc2ccccc21 ZINC001755269576 1200752453 /nfs/dbraw/zinc/75/24/53/1200752453.db2.gz QVXKTLBIIHYKDK-RYUDHWBXSA-N 0 1 306.793 3.282 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C1CCC(CCCC)CC1 ZINC001755324617 1200815130 /nfs/dbraw/zinc/81/51/30/1200815130.db2.gz OUVDWOOTNXTUBI-AOCRQIFASA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1CC12CCC2 ZINC001691845269 1200834320 /nfs/dbraw/zinc/83/43/20/1200834320.db2.gz ZMUMCFJSGYEZLU-SNPRPXQTSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001691852496 1200837255 /nfs/dbraw/zinc/83/72/55/1200837255.db2.gz HMNWVRCRFSJYDY-IAGOWNOFSA-N 0 1 304.478 3.456 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001691855801 1200840545 /nfs/dbraw/zinc/84/05/45/1200840545.db2.gz AOYOCZXDYOJQCU-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001691864066 1200844764 /nfs/dbraw/zinc/84/47/64/1200844764.db2.gz FQJOCJCLKQVPLO-HZPDHXFCSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1ncc(C)o1 ZINC001691988833 1200900960 /nfs/dbraw/zinc/90/09/60/1200900960.db2.gz YNAHBUZKDAOYDI-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCNCc2nc(C)c(C)o2)C1 ZINC001755514611 1200928242 /nfs/dbraw/zinc/92/82/42/1200928242.db2.gz LQRMFWYCISDXDQ-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H](F)c1ccccc1 ZINC001755567837 1200957817 /nfs/dbraw/zinc/95/78/17/1200957817.db2.gz XZNSNYTYVHYDBS-JKSUJKDBSA-N 0 1 324.827 3.276 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C)(C)C(C)(F)F ZINC001755571753 1200959318 /nfs/dbraw/zinc/95/93/18/1200959318.db2.gz MRSXKUONWICDQD-GFCCVEGCSA-N 0 1 322.827 3.247 20 30 DGEDMN C[C@H](CN(C)C(=O)/C=C\C(C)(C)C)NCc1ccccc1C#N ZINC001755581000 1200967253 /nfs/dbraw/zinc/96/72/53/1200967253.db2.gz FILWNIJYKPSILY-JQPIKURYSA-N 0 1 313.445 3.097 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](CC)c2ccc(C)cc2)[C@H]1C ZINC001692202242 1200968184 /nfs/dbraw/zinc/96/81/84/1200968184.db2.gz GYPBBTVJBLQTTQ-LMMKCTJWSA-N 0 1 312.457 3.091 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC001692217782 1200971966 /nfs/dbraw/zinc/97/19/66/1200971966.db2.gz RQDCWADBRUQVQS-OAHLLOKOSA-N 0 1 312.457 3.262 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC[C@@H]2CCc3ccccc32)[C@H]1CC ZINC001692223543 1200974315 /nfs/dbraw/zinc/97/43/15/1200974315.db2.gz MPPPUOYDBNNUAT-YSIASYRMSA-N 0 1 324.468 3.099 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001755605251 1200982947 /nfs/dbraw/zinc/98/29/47/1200982947.db2.gz HXAMZHSDSPPKEA-YVEFUNNKSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(Cl)c(F)c1 ZINC001755606038 1200984338 /nfs/dbraw/zinc/98/43/38/1200984338.db2.gz JROVJQLFDVWMLG-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](F)c1ccccc1 ZINC001692257752 1200991719 /nfs/dbraw/zinc/99/17/19/1200991719.db2.gz PMYOSJIMFFAZLS-ROUUACIJSA-N 0 1 316.420 3.033 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cc(O)ccc2Cl)C[C@@H]1C ZINC001755675247 1201010444 /nfs/dbraw/zinc/01/04/44/1201010444.db2.gz WKAUEGRESNVADE-GXTWGEPZSA-N 0 1 322.836 3.091 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C[C@@H]1C ZINC001755683631 1201013574 /nfs/dbraw/zinc/01/35/74/1201013574.db2.gz YVZGQFVJNXLBDN-KBPBESRZSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001692323764 1201018657 /nfs/dbraw/zinc/01/86/57/1201018657.db2.gz ZSVSGIIWSFKAKN-CYBMUJFWSA-N 0 1 304.409 3.147 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@H]1N(Cc1ccccc1F)CC2 ZINC001755696061 1201023872 /nfs/dbraw/zinc/02/38/72/1201023872.db2.gz JSXGJTKNTZFKBU-MJGOQNOKSA-N 0 1 316.420 3.405 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3cc(F)ccc3C)C2)C1 ZINC001692335396 1201025175 /nfs/dbraw/zinc/02/51/75/1201025175.db2.gz LDPYSZJVMUTUKP-UHFFFAOYSA-N 0 1 316.420 3.038 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001692355099 1201034747 /nfs/dbraw/zinc/03/47/47/1201034747.db2.gz OIKWMUZPPYCPAO-RKVPGOIHSA-N 0 1 313.445 3.073 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001755714685 1201035470 /nfs/dbraw/zinc/03/54/70/1201035470.db2.gz OKHYBEAILPKGOE-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2ccccn2)[C@H]1C ZINC001755716771 1201037010 /nfs/dbraw/zinc/03/70/10/1201037010.db2.gz BYJHVQQENVJWLF-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1C ZINC001692363482 1201038684 /nfs/dbraw/zinc/03/86/84/1201038684.db2.gz GTFVNDDIAHCVSQ-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(CCOCC)CCCC2)[C@@H]1C ZINC001755722021 1201043902 /nfs/dbraw/zinc/04/39/02/1201043902.db2.gz MXWXXWWSECAPHZ-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(CCOCC)CCCC2)[C@@H]1C ZINC001755722025 1201044276 /nfs/dbraw/zinc/04/42/76/1201044276.db2.gz MXWXXWWSECAPHZ-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001692382820 1201045453 /nfs/dbraw/zinc/04/54/53/1201045453.db2.gz DMEQPXYIHFTOOF-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCc1ccc(CNCC#CC)cc1F ZINC001755902769 1201126908 /nfs/dbraw/zinc/12/69/08/1201126908.db2.gz YECRCPDEHYEAPH-IBGZPJMESA-N 0 1 316.420 3.157 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001698600968 1201272098 /nfs/dbraw/zinc/27/20/98/1201272098.db2.gz OVYLQNUCUWOGDW-QGZVFWFLSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CCNCc2coc(C)n2)C1 ZINC001698672538 1201328698 /nfs/dbraw/zinc/32/86/98/1201328698.db2.gz LKWGSRKMXHFLNC-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001698821427 1201469163 /nfs/dbraw/zinc/46/91/63/1201469163.db2.gz GFTQETKIKZOKBD-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)[C@H](CC)CCC ZINC001698852458 1201509173 /nfs/dbraw/zinc/50/91/73/1201509173.db2.gz HPKZHASXBRNXFF-QWHCGFSZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1ccc(Cl)cc1 ZINC001698853915 1201510693 /nfs/dbraw/zinc/51/06/93/1201510693.db2.gz BRUZCCWCYPQAGI-LBPRGKRZSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1CCC(F)CC1 ZINC001698874848 1201535624 /nfs/dbraw/zinc/53/56/24/1201535624.db2.gz XEAJJXRCQOBJMT-NRXISQOPSA-N 0 1 318.864 3.388 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cccs1 ZINC001698942442 1201592934 /nfs/dbraw/zinc/59/29/34/1201592934.db2.gz ADBZMDJVEVNGEV-AAEUAGOBSA-N 0 1 312.866 3.331 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CNC/C(Cl)=C/Cl ZINC001698942108 1201592952 /nfs/dbraw/zinc/59/29/52/1201592952.db2.gz XEZNFPZIMSJOQQ-LOKCTGBGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001698959272 1201610933 /nfs/dbraw/zinc/61/09/33/1201610933.db2.gz DKVSVTDJYMRSEJ-SFHVURJKSA-N 0 1 313.445 3.145 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1ccc(F)c(Cl)c1 ZINC001698985418 1201644342 /nfs/dbraw/zinc/64/43/42/1201644342.db2.gz QFESHLMABWZZHX-UHFFFAOYSA-N 0 1 324.827 3.173 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](CC(C)C)c1ccccc1 ZINC001698997837 1201666000 /nfs/dbraw/zinc/66/60/00/1201666000.db2.gz ARYJROVAFCBTMI-LJQANCHMSA-N 0 1 314.473 3.230 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC3(C)CC3)CC[C@@H]21 ZINC001699021152 1201690164 /nfs/dbraw/zinc/69/01/64/1201690164.db2.gz OUXDZUKSHPUBJX-GJZGRUSLSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2cc(C)ccc2o1 ZINC001750931778 1201726574 /nfs/dbraw/zinc/72/65/74/1201726574.db2.gz YRXKUGMNOPBDRR-KRWDZBQOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc2cnccc21 ZINC001699216599 1201758340 /nfs/dbraw/zinc/75/83/40/1201758340.db2.gz LFYKSUXZSLGJEP-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001699261734 1201772754 /nfs/dbraw/zinc/77/27/54/1201772754.db2.gz HKNSXXITQDMOKY-PLNGDYQASA-N 0 1 304.409 3.252 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001752085500 1201774653 /nfs/dbraw/zinc/77/46/53/1201774653.db2.gz SOUYRONXOSRXLR-UONOGXRCSA-N 0 1 321.490 3.240 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1c(C)cc(C)cc1C ZINC001699299342 1201783459 /nfs/dbraw/zinc/78/34/59/1201783459.db2.gz NHDWBDNVPQGEJA-AATRIKPKSA-N 0 1 320.864 3.169 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1cc(F)cc(F)c1 ZINC001699303286 1201783693 /nfs/dbraw/zinc/78/36/93/1201783693.db2.gz IBMNYCGUCCRXIU-DKFQHHCZSA-N 0 1 322.399 3.329 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001699374549 1201819135 /nfs/dbraw/zinc/81/91/35/1201819135.db2.gz UZIBJDQGKCYLQG-VXGBXAGGSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001699373841 1201819242 /nfs/dbraw/zinc/81/92/42/1201819242.db2.gz DJWRAVWWVQIKBR-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001699379188 1201827202 /nfs/dbraw/zinc/82/72/02/1201827202.db2.gz ZHTJPSHZEKPSTA-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@]1(C)CC=C(C)CC1)C1CC1 ZINC001752498904 1201856494 /nfs/dbraw/zinc/85/64/94/1201856494.db2.gz GSHFUDAZQVBLKN-DOTOQJQBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCc2ccco2)C1 ZINC001752497897 1201857576 /nfs/dbraw/zinc/85/75/76/1201857576.db2.gz PCHDTOWFTLOHOA-OAHLLOKOSA-N 0 1 324.852 3.135 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001699425105 1201857842 /nfs/dbraw/zinc/85/78/42/1201857842.db2.gz GPJXPFVQHPFLRJ-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C=C)c1ccccc1 ZINC001752544520 1201873436 /nfs/dbraw/zinc/87/34/36/1201873436.db2.gz ARVBDNCFVVSIAU-RLLQIKCJSA-N 0 1 322.452 3.045 20 30 DGEDMN C[C@H](CN(C)Cc1ccc(F)c(C#N)c1)NC(=O)CC(C)(C)C ZINC001699439712 1201874415 /nfs/dbraw/zinc/87/44/15/1201874415.db2.gz RSODKMRGPZUHHR-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCNCc1ncc(C(C)(C)C)o1 ZINC001699527379 1201935436 /nfs/dbraw/zinc/93/54/36/1201935436.db2.gz UBOSYCVDTRNFCV-UHFFFAOYSA-N 0 1 321.465 3.122 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1ccncc1Cl)C1CC1 ZINC001699579073 1201956511 /nfs/dbraw/zinc/95/65/11/1201956511.db2.gz PKPDEAYKIVJJDT-MRXNPFEDSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCCF ZINC001752832171 1201959673 /nfs/dbraw/zinc/95/96/73/1201959673.db2.gz UFZSSGVKTVHKNN-CABCVRRESA-N 0 1 316.848 3.334 20 30 DGEDMN C[C@@H](CNC(=O)CC(C)(C)C)NCC#Cc1ccc(Cl)cc1 ZINC001752861904 1201981816 /nfs/dbraw/zinc/98/18/16/1201981816.db2.gz XIWBJEKSNINJBG-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ocnc2C)CCCCC1 ZINC001752921353 1202013182 /nfs/dbraw/zinc/01/31/82/1202013182.db2.gz QKZYDNWVOWOMAP-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001699691966 1202037575 /nfs/dbraw/zinc/03/75/75/1202037575.db2.gz ZJRSFLZUNOBJEK-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2cc[nH]c2CC)C1 ZINC001699712028 1202046292 /nfs/dbraw/zinc/04/62/92/1202046292.db2.gz FIHLLKZREHSCHD-UHFFFAOYSA-N 0 1 303.450 3.080 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccc(CC)cc2)C1 ZINC001699724897 1202054629 /nfs/dbraw/zinc/05/46/29/1202054629.db2.gz SPMDOBLBEFVRKE-UHFFFAOYSA-N 0 1 314.473 3.290 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](CC)CC(F)F ZINC001699752824 1202066063 /nfs/dbraw/zinc/06/60/63/1202066063.db2.gz HLCMQTGPWNXUCM-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1cccs1 ZINC001699765762 1202071675 /nfs/dbraw/zinc/07/16/75/1202071675.db2.gz KZVTZTYAKGXBSU-ZDUSSCGKSA-N 0 1 312.866 3.014 20 30 DGEDMN CC1(NC(=O)CC2CCC2)CCN(CC#Cc2ccccc2)CC1 ZINC001699771779 1202074347 /nfs/dbraw/zinc/07/43/47/1202074347.db2.gz LVEQMDBFIVNYBU-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)Cc1ccc(C(C)C)nc1C ZINC001753050176 1202082663 /nfs/dbraw/zinc/08/26/63/1202082663.db2.gz GETQOUWYLCDMMO-UHFFFAOYSA-N 0 1 317.477 3.418 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@]1(C)CCN(C/C=C/c2ccccc2)C1 ZINC001699815232 1202092962 /nfs/dbraw/zinc/09/29/62/1202092962.db2.gz IBWRSWQWAZJABX-MAIVGLDJSA-N 0 1 324.468 3.330 20 30 DGEDMN CC(C(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1)=C1CCC1 ZINC001699815445 1202093708 /nfs/dbraw/zinc/09/37/08/1202093708.db2.gz INYRKDGYNQWEOD-HXUWFJFHSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CCNC/C(Cl)=C/Cl)C1 ZINC001700064361 1202171572 /nfs/dbraw/zinc/17/15/72/1202171572.db2.gz BXOPMMVWRYVMLV-CKXDQPNJSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(C)CCC(=O)NCC1(C)CCN(Cc2conc2C)CC1 ZINC001699862617 1202119167 /nfs/dbraw/zinc/11/91/67/1202119167.db2.gz XAVUNLQDFKJYNY-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@]1(C)CCCN(C/C=C\Cl)C1 ZINC001699872455 1202127552 /nfs/dbraw/zinc/12/75/52/1202127552.db2.gz SFAAJXFVQMDSBT-XBMZPMPPSA-N 0 1 310.869 3.007 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCC[N@H+]1CCOc1ccccc1 ZINC001753106426 1202138443 /nfs/dbraw/zinc/13/84/43/1202138443.db2.gz OHVWTGSAQVURGQ-KRWDZBQOSA-N 0 1 316.445 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1C/C=C\c1ccccc1 ZINC001753109853 1202140119 /nfs/dbraw/zinc/14/01/19/1202140119.db2.gz UYZBKYFGABSFNU-XSZJWBAASA-N 0 1 312.457 3.493 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001753131799 1202153206 /nfs/dbraw/zinc/15/32/06/1202153206.db2.gz CUOUSDACEKQROB-UYAOXDASSA-N 0 1 324.468 3.079 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001753131798 1202153537 /nfs/dbraw/zinc/15/35/37/1202153537.db2.gz CUOUSDACEKQROB-QUCCMNQESA-N 0 1 324.468 3.079 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)/C(C)=C\C ZINC001699981713 1202156745 /nfs/dbraw/zinc/15/67/45/1202156745.db2.gz NIUMNYWGGMDQMB-CPSFFCFKSA-N 0 1 306.837 3.324 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@H](C)CC(C)C)C[C@@H]2C1 ZINC001699991224 1202159657 /nfs/dbraw/zinc/15/96/57/1202159657.db2.gz YUMMYMGXHKHQLM-BMFZPTHFSA-N 0 1 312.885 3.201 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC001700091088 1202177400 /nfs/dbraw/zinc/17/74/00/1202177400.db2.gz KSROOHWKHGXKGG-WOJBJXKFSA-N 0 1 324.468 3.194 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H](CC)c1ccccc1)C1CC1 ZINC001753162103 1202177513 /nfs/dbraw/zinc/17/75/13/1202177513.db2.gz AXMMZSDUVHHMMI-QGZVFWFLSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCc1ccccc1CN(C)CC#CC ZINC001700091698 1202177709 /nfs/dbraw/zinc/17/77/09/1202177709.db2.gz SYLQSYLFKVRAFF-KRWDZBQOSA-N 0 1 312.457 3.360 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)N(C)CCNCc1ccccc1C#N ZINC001753204909 1202183532 /nfs/dbraw/zinc/18/35/32/1202183532.db2.gz HQPAMTKYIWLBQE-HNNXBMFYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCNCc1ccc(F)cc1F ZINC001753221995 1202185885 /nfs/dbraw/zinc/18/58/85/1202185885.db2.gz JSDWPNGQPYALRV-CYBMUJFWSA-N 0 1 310.388 3.115 20 30 DGEDMN CC#CCCCC(=O)NCC1(NCC=C(Cl)Cl)CCC1 ZINC001700135187 1202188871 /nfs/dbraw/zinc/18/88/71/1202188871.db2.gz DSWPALOVRBBFEZ-UHFFFAOYSA-N 0 1 317.260 3.128 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001700137228 1202189346 /nfs/dbraw/zinc/18/93/46/1202189346.db2.gz WBNUMCDOOMEBPF-INIZCTEOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H](C)n1cccc1)C(C)C ZINC001753286332 1202195311 /nfs/dbraw/zinc/19/53/11/1202195311.db2.gz WIUNLSIVRSQDJZ-HNNXBMFYSA-N 0 1 311.857 3.018 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN(CCF)CC2)CCCCC1 ZINC001700184971 1202201596 /nfs/dbraw/zinc/20/15/96/1202201596.db2.gz RFPMTAHXAAVMHC-INIZCTEOSA-N 0 1 310.457 3.453 20 30 DGEDMN CN(CCCN(C)C(=O)CC1(C)CC1)Cc1ccc(C#N)s1 ZINC001700188527 1202202652 /nfs/dbraw/zinc/20/26/52/1202202652.db2.gz KBGMEXMVOFNCLE-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(CC)s2)C1 ZINC001753319192 1202207572 /nfs/dbraw/zinc/20/75/72/1202207572.db2.gz XQEVWUIOQBWZNC-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)sc2C)C1 ZINC001753318262 1202207778 /nfs/dbraw/zinc/20/77/78/1202207778.db2.gz GKCKISTXOKJZEL-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)C=C(CC)CC)CO2 ZINC001700211467 1202211333 /nfs/dbraw/zinc/21/13/33/1202211333.db2.gz XZDYXDJQWNYMMA-NVXWUHKLSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(CCC)CCC2)C1 ZINC001753353158 1202225363 /nfs/dbraw/zinc/22/53/63/1202225363.db2.gz XOKFJAFEEVNOAJ-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)/C=C(\C)C2CC2)CC1 ZINC001753363612 1202230256 /nfs/dbraw/zinc/23/02/56/1202230256.db2.gz XJPHWRFKZWKPSA-JLHYYAGUSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001753364389 1202231105 /nfs/dbraw/zinc/23/11/05/1202231105.db2.gz AQVNUYUZXKPUQB-IRXDYDNUSA-N 0 1 324.896 3.346 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001700311481 1202231311 /nfs/dbraw/zinc/23/13/11/1202231311.db2.gz OABDBBOTKULYAL-RZHLYWITSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2oc(C)nc2C)[C@H](C)C1 ZINC001700312880 1202232726 /nfs/dbraw/zinc/23/27/26/1202232726.db2.gz DMRMDFXMBLFCMV-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccccc1C(C)C ZINC001700353462 1202246685 /nfs/dbraw/zinc/24/66/85/1202246685.db2.gz FXESYKULCGJRSP-QGZVFWFLSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(Cl)c(F)c1 ZINC001700359546 1202247890 /nfs/dbraw/zinc/24/78/90/1202247890.db2.gz PCIDUDPDIAIQBE-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1CCC(C2CC2)CC1 ZINC001700362430 1202250169 /nfs/dbraw/zinc/25/01/69/1202250169.db2.gz HBXWBWCDJUSOLS-CTWPCTMYSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCCCC1(C)C ZINC001700363598 1202250894 /nfs/dbraw/zinc/25/08/94/1202250894.db2.gz HYYTXRVYUTUDMU-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1cc(CN2CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C2)cs1 ZINC001753438307 1202254445 /nfs/dbraw/zinc/25/44/45/1202254445.db2.gz WPPLSEATAVKFRP-MRXNPFEDSA-N 0 1 318.486 3.139 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@@H](C)NC(=O)C1CCCCC1 ZINC001700383972 1202256674 /nfs/dbraw/zinc/25/66/74/1202256674.db2.gz DVRAVFMYHJYWRP-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001700384745 1202257209 /nfs/dbraw/zinc/25/72/09/1202257209.db2.gz AMOOYIFULVDXKU-ZIAGYGMSSA-N 0 1 319.424 3.260 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2ccncc2s1 ZINC001700401253 1202263016 /nfs/dbraw/zinc/26/30/16/1202263016.db2.gz RIQDYJSHKQZIES-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001753460407 1202263901 /nfs/dbraw/zinc/26/39/01/1202263901.db2.gz XQPBPDMPAXEHRI-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC001700411364 1202265362 /nfs/dbraw/zinc/26/53/62/1202265362.db2.gz GKBAIXYRGJDTAO-CHWSQXEVSA-N 0 1 319.449 3.137 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2nc(C)c(C)s2)C1 ZINC001753487952 1202272661 /nfs/dbraw/zinc/27/26/61/1202272661.db2.gz ACJHRDYTTJICBW-AWEZNQCLSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CC(C)(C)CNCc1nocc1C ZINC001700439894 1202273338 /nfs/dbraw/zinc/27/33/38/1202273338.db2.gz FLNJSGCRFKHBGK-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2CC2(C)C)cc1 ZINC001753591369 1202295064 /nfs/dbraw/zinc/29/50/64/1202295064.db2.gz WQXDFFOYULJBMZ-HNNXBMFYSA-N 0 1 306.837 3.191 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2CC[C@H](F)C2)cc1 ZINC001753591046 1202295125 /nfs/dbraw/zinc/29/51/25/1202295125.db2.gz UKQXOENPDWSOEP-CVEARBPZSA-N 0 1 324.827 3.283 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2cc(C)no2)CCCCC1 ZINC001700512863 1202299554 /nfs/dbraw/zinc/29/95/54/1202299554.db2.gz MECXRSHWXLWLSZ-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001753656135 1202307148 /nfs/dbraw/zinc/30/71/48/1202307148.db2.gz KTAKEDPDOFMFTR-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001753684434 1202313105 /nfs/dbraw/zinc/31/31/05/1202313105.db2.gz DMZHBWSHCBUUNL-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001700572739 1202316202 /nfs/dbraw/zinc/31/62/02/1202316202.db2.gz IUTWZZQRVKZJAB-LBPRGKRZSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001753709103 1202318073 /nfs/dbraw/zinc/31/80/73/1202318073.db2.gz RVVKRMDYCIZTOS-SWLSCSKDSA-N 0 1 323.506 3.299 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](C)CCCCCC)C2)C1 ZINC001700621173 1202323522 /nfs/dbraw/zinc/32/35/22/1202323522.db2.gz MAVHGZADKBXNFS-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1cc(Cl)ccc1F ZINC001700744748 1202347125 /nfs/dbraw/zinc/34/71/25/1202347125.db2.gz DFMWCCVEQXXHAG-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1F ZINC001700752369 1202348511 /nfs/dbraw/zinc/34/85/11/1202348511.db2.gz YCQAWQBBEWTDHR-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(Cl)ccc1C ZINC001700772699 1202352409 /nfs/dbraw/zinc/35/24/09/1202352409.db2.gz JOPZXLXRVXYHGU-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001700846711 1202358391 /nfs/dbraw/zinc/35/83/91/1202358391.db2.gz QADNLPPLMHETBT-RYUDHWBXSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](CNCc2cscn2)C1 ZINC001700905794 1202368409 /nfs/dbraw/zinc/36/84/09/1202368409.db2.gz VUFTVJRJHIEOKX-KBPBESRZSA-N 0 1 321.490 3.120 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(-c2ccccc2)o1)C(C)C ZINC001700973890 1202373913 /nfs/dbraw/zinc/37/39/13/1202373913.db2.gz SOTQFQPYRCAUHL-UHFFFAOYSA-N 0 1 324.424 3.362 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001707065215 1202571294 /nfs/dbraw/zinc/57/12/94/1202571294.db2.gz FADZXHKLLDDFJY-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CN(C)Cc1ccccn1 ZINC001707273362 1202579869 /nfs/dbraw/zinc/57/98/69/1202579869.db2.gz VNXMDFOOEJIEMA-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)CCC(C)(C)C ZINC001707278316 1202580275 /nfs/dbraw/zinc/58/02/75/1202580275.db2.gz KBNBFCFOYOOPBI-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)/C=C(\C)CC)C2 ZINC001707278538 1202580382 /nfs/dbraw/zinc/58/03/82/1202580382.db2.gz WNPCZNMZQSDASU-JLHYYAGUSA-N 0 1 319.474 3.050 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C2CC2)cn1 ZINC001713029080 1202611323 /nfs/dbraw/zinc/61/13/23/1202611323.db2.gz RBSFNAVYYFOAKR-UHFFFAOYSA-N 0 1 321.852 3.153 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001713050311 1202612735 /nfs/dbraw/zinc/61/27/35/1202612735.db2.gz OQEOTRKOZATITJ-NVMNQCDNSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001713062698 1202614239 /nfs/dbraw/zinc/61/42/39/1202614239.db2.gz LDVPZEQPDGVIMO-QZTJIDSGSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2)CCC1 ZINC001713086236 1202615880 /nfs/dbraw/zinc/61/58/80/1202615880.db2.gz FRJKBPNLMDAWMT-GOSISDBHSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)/C=C\C(C)(C)C)CC1 ZINC001713091482 1202616328 /nfs/dbraw/zinc/61/63/28/1202616328.db2.gz GVFGMJXRTKFASL-GXMNPTEKSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1cccc(F)c1F)C1CC1 ZINC001713116639 1202618479 /nfs/dbraw/zinc/61/84/79/1202618479.db2.gz HUFDDJXYUJCCBS-HNNXBMFYSA-N 0 1 322.399 3.161 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)ccc1F ZINC001713142972 1202621787 /nfs/dbraw/zinc/62/17/87/1202621787.db2.gz NJFIPHJDIPLNSG-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001713193358 1202625572 /nfs/dbraw/zinc/62/55/72/1202625572.db2.gz SVGPDYPOMQJMAX-BETUJISGSA-N 0 1 321.490 3.045 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)CCCCC ZINC001713271329 1202633816 /nfs/dbraw/zinc/63/38/16/1202633816.db2.gz ZHCLHOYFILZRTQ-ZWKOTPCHSA-N 0 1 304.478 3.436 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)CC(CC)CC ZINC001713271443 1202633893 /nfs/dbraw/zinc/63/38/93/1202633893.db2.gz JAYFPFYOPNEOCB-QZTJIDSGSA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cncs2)C1 ZINC001713274773 1202634288 /nfs/dbraw/zinc/63/42/88/1202634288.db2.gz ACXUSXJJKYLZAO-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@@H]1CCCN(C/C=C/Cl)C1 ZINC001713274945 1202634411 /nfs/dbraw/zinc/63/44/11/1202634411.db2.gz BYYOHDWSWSSXMH-SZVFQDTKSA-N 0 1 310.869 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(Cl)c1C ZINC001713312736 1202638315 /nfs/dbraw/zinc/63/83/15/1202638315.db2.gz QQBOSHJZRWIKAK-JTQLQIEISA-N 0 1 301.217 3.109 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2c(C)noc2C)C1 ZINC001713395695 1202644822 /nfs/dbraw/zinc/64/48/22/1202644822.db2.gz NBTPNWIICJWZPP-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CCCc1ccccc1Cl ZINC001713485705 1202658127 /nfs/dbraw/zinc/65/81/27/1202658127.db2.gz DPXIBDHMUFQVKL-UHFFFAOYSA-N 0 1 320.864 3.124 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1[C@H](C)c1cccc(F)c1 ZINC001713506313 1202662291 /nfs/dbraw/zinc/66/22/91/1202662291.db2.gz SFEDFFFFSHGWOY-QAPCUYQASA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN1Cc1cccc(F)c1 ZINC001713514328 1202663397 /nfs/dbraw/zinc/66/33/97/1202663397.db2.gz YVUYWZFXTWXONN-QGZVFWFLSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001713535916 1202666441 /nfs/dbraw/zinc/66/64/41/1202666441.db2.gz MGVSCCZSSLAPHV-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(Cc1cnccc1C)C1CC1 ZINC001713556932 1202670085 /nfs/dbraw/zinc/67/00/85/1202670085.db2.gz GFVWSPJMGSDMIY-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(CCNC(=O)CC1CC(c2ccccc2)C1)C1CC1 ZINC001713558933 1202670531 /nfs/dbraw/zinc/67/05/31/1202670531.db2.gz ZCCVEEJXYKZELI-UHFFFAOYSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1cccc(F)c1F ZINC001713622940 1202673764 /nfs/dbraw/zinc/67/37/64/1202673764.db2.gz ZOHDNOPQECMTNB-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@@H](C)NCc2nocc2C)CC1 ZINC001713681153 1202676776 /nfs/dbraw/zinc/67/67/76/1202676776.db2.gz YASUHECWSNOHFD-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccccc1C)c1ccccc1 ZINC001713696708 1202678155 /nfs/dbraw/zinc/67/81/55/1202678155.db2.gz KQYBAQIUOUMEMI-LJQANCHMSA-N 0 1 306.409 3.079 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)c1ccccc1C)c1ccccc1 ZINC001713704200 1202678935 /nfs/dbraw/zinc/67/89/35/1202678935.db2.gz SRHJGSJDMSVAFW-PXNSSMCTSA-N 0 1 320.436 3.179 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CC[C@H](CC)CC1)c1ccccc1 ZINC001713703541 1202679037 /nfs/dbraw/zinc/67/90/37/1202679037.db2.gz FYQRMWFNSVGWSO-BHIYHBOVSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001713720716 1202681142 /nfs/dbraw/zinc/68/11/42/1202681142.db2.gz BPMUKXLNFQTEKG-KBPBESRZSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001713723037 1202682147 /nfs/dbraw/zinc/68/21/47/1202682147.db2.gz ZJCJCPAHBZFZLO-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccc(C)s2)C1 ZINC001713723059 1202682177 /nfs/dbraw/zinc/68/21/77/1202682177.db2.gz ZTOBXUKPAFPZHQ-OAHLLOKOSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)Cc2ccc(C)cc2)C1 ZINC001713730325 1202683236 /nfs/dbraw/zinc/68/32/36/1202683236.db2.gz NARFDLJBSSLXAH-QGZVFWFLSA-N 0 1 320.864 3.118 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001713732587 1202683712 /nfs/dbraw/zinc/68/37/12/1202683712.db2.gz JOFDHZJVXLHNDD-LUKYLMHMSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(C)s2)C1 ZINC001713746562 1202685407 /nfs/dbraw/zinc/68/54/07/1202685407.db2.gz PQDRRKNAYSWTPG-CYBMUJFWSA-N 0 1 312.866 3.203 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)cc(F)c2)C1 ZINC001713757381 1202686856 /nfs/dbraw/zinc/68/68/56/1202686856.db2.gz JFDBLGJPMAKZCP-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@H](C)c2cccc(F)c2)C1 ZINC001713788978 1202690625 /nfs/dbraw/zinc/69/06/25/1202690625.db2.gz YVMXADFFOYDSMQ-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2cccnc2C)C1 ZINC001713791006 1202690978 /nfs/dbraw/zinc/69/09/78/1202690978.db2.gz VCFVYQLSBIFMKE-AEFFLSMTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(F)cc3ccoc32)C1 ZINC001713828573 1202693476 /nfs/dbraw/zinc/69/34/76/1202693476.db2.gz MNMWGYHRTVMQHJ-GFCCVEGCSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001713830164 1202693716 /nfs/dbraw/zinc/69/37/16/1202693716.db2.gz ZLBSOGSHRZYHQI-YOEHRIQHSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c(C)cccc2Cl)C1 ZINC001713836533 1202694265 /nfs/dbraw/zinc/69/42/65/1202694265.db2.gz YBPGZBHZPIUYCB-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(C)cc(C)c1)C1CC1 ZINC001713881127 1202698287 /nfs/dbraw/zinc/69/82/87/1202698287.db2.gz BRAAPVVNSHDSFG-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001713918720 1202702332 /nfs/dbraw/zinc/70/23/32/1202702332.db2.gz AVESHAYCYULPIL-STQMWFEESA-N 0 1 308.372 3.183 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001713973043 1202711450 /nfs/dbraw/zinc/71/14/50/1202711450.db2.gz UTJXPSFCTUDFAL-HNSVSWJLSA-N 0 1 310.869 3.453 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)CCCc2sccc2C)C1 ZINC001714022253 1202731632 /nfs/dbraw/zinc/73/16/32/1202731632.db2.gz RGTFVJPMEOVBID-INIZCTEOSA-N 0 1 320.502 3.488 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001714046568 1202748847 /nfs/dbraw/zinc/74/88/47/1202748847.db2.gz HGKHOCPHMCJPEZ-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)N[C@H](C)c1cc(F)ccc1F ZINC001714074336 1202762914 /nfs/dbraw/zinc/76/29/14/1202762914.db2.gz QWJVFDUMLFKRPY-HIFRSBDPSA-N 0 1 322.399 3.170 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001714096789 1202772587 /nfs/dbraw/zinc/77/25/87/1202772587.db2.gz BTZRSGGRGCMKQK-KRWDZBQOSA-N 0 1 315.461 3.369 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)c1ccccc1 ZINC001714118674 1202788566 /nfs/dbraw/zinc/78/85/66/1202788566.db2.gz WZXSQINUBLJDNC-KRWDZBQOSA-N 0 1 300.446 3.121 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001714147230 1202803515 /nfs/dbraw/zinc/80/35/15/1202803515.db2.gz HHRJHXMGIUVUPP-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2nocc2C)CCCC[C@@H]1C ZINC001714180330 1202820202 /nfs/dbraw/zinc/82/02/02/1202820202.db2.gz NEVOWHUVPYKLOM-MAUKXSAKSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2nocc2C)CCCC[C@H]1C ZINC001714180329 1202820237 /nfs/dbraw/zinc/82/02/37/1202820237.db2.gz NEVOWHUVPYKLOM-CRAIPNDOSA-N 0 1 319.449 3.104 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001714205716 1202831900 /nfs/dbraw/zinc/83/19/00/1202831900.db2.gz IWRWKWZYLOROAX-OAHLLOKOSA-N 0 1 320.864 3.222 20 30 DGEDMN CC1=C(C)C[C@@H](C(=O)NC[C@H](C)NCC#Cc2ccccc2)CC1 ZINC001714234901 1202841720 /nfs/dbraw/zinc/84/17/20/1202841720.db2.gz OBCUGXKPVPAZJZ-ICSRJNTNSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC/C=C\c1ccccc1 ZINC001714274713 1202859697 /nfs/dbraw/zinc/85/96/97/1202859697.db2.gz VZXRMXLMOXCDAC-MLBQELRYSA-N 0 1 306.837 3.327 20 30 DGEDMN Cc1ccc(C(=O)NC[C@H](C)NCc2ccccc2C#N)cc1C ZINC001714299621 1202871161 /nfs/dbraw/zinc/87/11/61/1202871161.db2.gz MBSQRWTVZVOHGW-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2ccc(C)o2)cc1 ZINC001714318833 1202880580 /nfs/dbraw/zinc/88/05/80/1202880580.db2.gz ZDJZTNUABHYMKR-UHFFFAOYSA-N 0 1 318.804 3.360 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H](N(C)C/C=C/Cl)C2)CC1 ZINC001714446815 1202939969 /nfs/dbraw/zinc/93/99/69/1202939969.db2.gz WPAPQVFYGRZROP-WJXUGBSRSA-N 0 1 310.869 3.408 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)C1CCCCC1 ZINC001714530914 1202980863 /nfs/dbraw/zinc/98/08/63/1202980863.db2.gz QYXLJMPGWPTSNJ-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001714545745 1202989864 /nfs/dbraw/zinc/98/98/64/1202989864.db2.gz NIAYQASWIOZGEZ-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001714600921 1203040251 /nfs/dbraw/zinc/04/02/51/1203040251.db2.gz JNCUZJGDOUJFGN-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001714620339 1203055426 /nfs/dbraw/zinc/05/54/26/1203055426.db2.gz LICRNGBTJFYQBW-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001714752173 1203117771 /nfs/dbraw/zinc/11/77/71/1203117771.db2.gz VFDZYWUFPSLLNK-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ncsc1C(C)C ZINC001714816453 1203136094 /nfs/dbraw/zinc/13/60/94/1203136094.db2.gz JDOJXCHAWXVNKU-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001714822655 1203139342 /nfs/dbraw/zinc/13/93/42/1203139342.db2.gz OJQZZRAWXLRFTD-KKUMJFAQSA-N 0 1 300.874 3.392 20 30 DGEDMN CC[C@@H](F)CN[C@H](CNC(=O)C#CC(C)(C)C)c1ccccc1 ZINC001714835424 1203144638 /nfs/dbraw/zinc/14/46/38/1203144638.db2.gz IETJSEFGGLYGMJ-IAGOWNOFSA-N 0 1 318.436 3.231 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)s1)c1ccccc1 ZINC001714836978 1203145677 /nfs/dbraw/zinc/14/56/77/1203145677.db2.gz ZYEQJCZLNRZPOD-MRXNPFEDSA-N 0 1 312.438 3.141 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C)sc1C)c1ccccc1 ZINC001714847837 1203150158 /nfs/dbraw/zinc/15/01/58/1203150158.db2.gz OWKVDXDCFNCVSN-KRWDZBQOSA-N 0 1 312.438 3.059 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)C(C)(F)F)c1ccccc1 ZINC001714848037 1203150253 /nfs/dbraw/zinc/15/02/53/1203150253.db2.gz LSJMLUOCTWLMGW-OAHLLOKOSA-N 0 1 322.399 3.138 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CN(C)CC=C(Cl)Cl)C1 ZINC001714890231 1203180356 /nfs/dbraw/zinc/18/03/56/1203180356.db2.gz DAGXMHHYCUJRID-GFCCVEGCSA-N 0 1 305.249 3.052 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2sccc2C)C1 ZINC001714892962 1203181583 /nfs/dbraw/zinc/18/15/83/1203181583.db2.gz URKJLOIGASPOIE-ZDUSSCGKSA-N 0 1 312.866 3.203 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001714935121 1203207327 /nfs/dbraw/zinc/20/73/27/1203207327.db2.gz HBLSXAMKZQPJAY-HNNXBMFYSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CC[C@H](C(=O)NC1CN(C[C@H](C)CC)C1)c1ccccc1 ZINC001714954773 1203215604 /nfs/dbraw/zinc/21/56/04/1203215604.db2.gz WOJSGPHGTLPPSY-QAPCUYQASA-N 0 1 300.446 3.193 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)o1 ZINC001714983117 1203226106 /nfs/dbraw/zinc/22/61/06/1203226106.db2.gz IRNPRLCAPIUEPF-HOTGVXAUSA-N 0 1 304.434 3.457 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1C ZINC001714990869 1203228310 /nfs/dbraw/zinc/22/83/10/1203228310.db2.gz VEJBUSUNMNOFDN-IRXDYDNUSA-N 0 1 315.461 3.259 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)c(F)cc1F ZINC001715061735 1203259199 /nfs/dbraw/zinc/25/91/99/1203259199.db2.gz CFPDWNGYUHGQMS-LLVKDONJSA-N 0 1 316.779 3.124 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1c(F)cccc1F ZINC001715061164 1203259287 /nfs/dbraw/zinc/25/92/87/1203259287.db2.gz QIGHXSWSRICKJA-CYBMUJFWSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C(C)(C)C1CCCC1 ZINC001715064659 1203260571 /nfs/dbraw/zinc/26/05/71/1203260571.db2.gz RSCNAFAXMMRUFU-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2cccc(F)c2F)C1 ZINC001715072882 1203263200 /nfs/dbraw/zinc/26/32/00/1203263200.db2.gz OOJLKZDFHJKUFI-CQSZACIVSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001715074872 1203264513 /nfs/dbraw/zinc/26/45/13/1203264513.db2.gz MRLBOKQAECQKQN-IXDOHACOSA-N 0 1 320.864 3.335 20 30 DGEDMN C=CCCCCn1c(C(C)C)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001740857787 1203280152 /nfs/dbraw/zinc/28/01/52/1203280152.db2.gz CIKKJEFSDCQZJH-INIZCTEOSA-N 0 1 319.497 3.288 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ncc(C)s2)CCCC1 ZINC001715302455 1203310453 /nfs/dbraw/zinc/31/04/53/1203310453.db2.gz WBWRWONYUBFRNB-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1cccc(OC)c1Cl ZINC001715330116 1203317469 /nfs/dbraw/zinc/31/74/69/1203317469.db2.gz GWOIJVFYTCCALI-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl ZINC001715341276 1203319361 /nfs/dbraw/zinc/31/93/61/1203319361.db2.gz XHTUTLPETBPUTG-SERMCNLOSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001715350706 1203321291 /nfs/dbraw/zinc/32/12/91/1203321291.db2.gz JKVUEYFUIXCUMT-CYBMUJFWSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001715366183 1203326120 /nfs/dbraw/zinc/32/61/20/1203326120.db2.gz NYUBIJUXGBIHSY-MRXNPFEDSA-N 0 1 322.880 3.425 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)c(CC)s1 ZINC001715377335 1203328506 /nfs/dbraw/zinc/32/85/06/1203328506.db2.gz ZAHDWCLXNOPJGU-LBPRGKRZSA-N 0 1 314.882 3.422 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(F)s1 ZINC001715388489 1203331675 /nfs/dbraw/zinc/33/16/75/1203331675.db2.gz HHWMESMHOGTQFC-NSHDSACASA-N 0 1 318.845 3.374 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CCC(F)F ZINC001715393514 1203333153 /nfs/dbraw/zinc/33/31/53/1203333153.db2.gz UELPPZITDHZSRI-ZYHUDNBSSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C1CC1)C1CCCCC1 ZINC001715399450 1203334892 /nfs/dbraw/zinc/33/48/92/1203334892.db2.gz KQLOVQOXWQYKCW-CZUORRHYSA-N 0 1 312.885 3.440 20 30 DGEDMN CC(C)[C@H](CNC(=O)C#CC(C)(C)C)NC/C(Cl)=C\Cl ZINC001715494448 1203352000 /nfs/dbraw/zinc/35/20/00/1203352000.db2.gz NUGKTLUWEUYTIK-RPHSKFLZSA-N 0 1 319.276 3.085 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)CCN(C)Cc2ccc(F)cc2)cc1 ZINC001715528426 1203362079 /nfs/dbraw/zinc/36/20/79/1203362079.db2.gz VGPWSTKWEIZWDE-UHFFFAOYSA-N 0 1 324.399 3.011 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCc2ccccc21 ZINC001715743005 1203386925 /nfs/dbraw/zinc/38/69/25/1203386925.db2.gz KWNSYEMWWLEGPD-QGZVFWFLSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001715941226 1203407717 /nfs/dbraw/zinc/40/77/17/1203407717.db2.gz OVDCKRDQRCFPFL-LJQANCHMSA-N 0 1 317.477 3.191 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(Cl)c(C)c1 ZINC001715945774 1203408781 /nfs/dbraw/zinc/40/87/81/1203408781.db2.gz MWKNDVPHKYKELE-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001715976781 1203414772 /nfs/dbraw/zinc/41/47/72/1203414772.db2.gz HTAJJKBCEFJIPH-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCCC3CC3)CC[C@H]21 ZINC001716051434 1203435826 /nfs/dbraw/zinc/43/58/26/1203435826.db2.gz OKXAQTNTUCYYPO-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)CCC)CC[C@@H]21 ZINC001716051588 1203435974 /nfs/dbraw/zinc/43/59/74/1203435974.db2.gz OYMKBOBXNYPHSS-CABCVRRESA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](c1cccnc1)C(C)C ZINC001716252045 1203454007 /nfs/dbraw/zinc/45/40/07/1203454007.db2.gz AGVFDHAELWCCHD-INIZCTEOSA-N 0 1 323.868 3.012 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](c1cccnc1)C(C)C ZINC001716252046 1203454055 /nfs/dbraw/zinc/45/40/55/1203454055.db2.gz AGVFDHAELWCCHD-MRXNPFEDSA-N 0 1 323.868 3.012 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001716342257 1203461336 /nfs/dbraw/zinc/46/13/36/1203461336.db2.gz PWHMEKVZZFMXFC-ITDFMYJTSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1CC(C)C ZINC001716425310 1203470826 /nfs/dbraw/zinc/47/08/26/1203470826.db2.gz NYDMLFYEJZLRGU-CQSZACIVSA-N 0 1 308.853 3.346 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001716424504 1203470993 /nfs/dbraw/zinc/47/09/93/1203470993.db2.gz IMKBTQNFIPJIOS-ZBFHGGJFSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1nsc2ccccc21 ZINC001716438697 1203474036 /nfs/dbraw/zinc/47/40/36/1203474036.db2.gz IUTHNKXAWJYKIE-ZDUSSCGKSA-N 0 1 317.458 3.247 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2c(c1)CCCC2 ZINC001716462438 1203478448 /nfs/dbraw/zinc/47/84/48/1203478448.db2.gz FCADDLLJXHWSNF-ZDUSSCGKSA-N 0 1 306.837 3.026 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001716468499 1203479869 /nfs/dbraw/zinc/47/98/69/1203479869.db2.gz AAYJWRNXOXPTPU-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN C[C@H](c1ccccc1Cl)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001716479129 1203484710 /nfs/dbraw/zinc/48/47/10/1203484710.db2.gz ZHHCFRNOIBTJLK-UONOGXRCSA-N 0 1 318.848 3.251 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN(C)Cc2ccnc(Cl)c2)C1 ZINC001716495006 1203490840 /nfs/dbraw/zinc/49/08/40/1203490840.db2.gz DJJRCFGBOIOTGV-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@H](c1ccccc1)C(C)C ZINC001716500454 1203493072 /nfs/dbraw/zinc/49/30/72/1203493072.db2.gz CDZHLIOOSDRMHT-MJGOQNOKSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2cccnc2)CCCC1 ZINC001716506759 1203496245 /nfs/dbraw/zinc/49/62/45/1203496245.db2.gz HCPXDGXKKKXVPQ-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)sc1C)C1CC1 ZINC001716614908 1203506892 /nfs/dbraw/zinc/50/68/92/1203506892.db2.gz GJVPCLXXSPGAAE-CQSZACIVSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1ccccc1F)C1CC1 ZINC001716625149 1203508460 /nfs/dbraw/zinc/50/84/60/1203508460.db2.gz MZDIGWNSSHIFLZ-BLLLJJGKSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001716667743 1203520188 /nfs/dbraw/zinc/52/01/88/1203520188.db2.gz GPAWCSORCLBAEC-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cccc(OC)c2Cl)CC1 ZINC001716677202 1203523081 /nfs/dbraw/zinc/52/30/81/1203523081.db2.gz RIBKSFQWRCSIDT-UHFFFAOYSA-N 0 1 322.836 3.053 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716677218 1203523256 /nfs/dbraw/zinc/52/32/56/1203523256.db2.gz RQRPAKHQHUCGOR-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CC(F)(F)F ZINC001716712660 1203530589 /nfs/dbraw/zinc/53/05/89/1203530589.db2.gz SQBSDAFMBVSKSO-MJBXVCDLSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001716750041 1203536830 /nfs/dbraw/zinc/53/68/30/1203536830.db2.gz UXKFGMZEDFKWQK-KGLIPLIRSA-N 0 1 314.420 3.309 20 30 DGEDMN C#CCN(C(=O)/C=C/C(C)(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001716803995 1203545134 /nfs/dbraw/zinc/54/51/34/1203545134.db2.gz RYNMFHHCFVVMJB-SJUGDSPGSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCCCCCC(=O)N[C@@]1(C)CCN(Cc2ccsc2)C1 ZINC001716846716 1203554201 /nfs/dbraw/zinc/55/42/01/1203554201.db2.gz VINATXSXLXOJIF-SFHVURJKSA-N 0 1 318.486 3.412 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cccnc2C)C1 ZINC001716848240 1203554760 /nfs/dbraw/zinc/55/47/60/1203554760.db2.gz ZWTQXHKGMOHLIO-LPHOPBHVSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001716889071 1203562230 /nfs/dbraw/zinc/56/22/30/1203562230.db2.gz VQTBKMSBLDMBJV-CABCVRRESA-N 0 1 312.885 3.249 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1CC ZINC001716954556 1203569455 /nfs/dbraw/zinc/56/94/55/1203569455.db2.gz YMFBADSMAVHENF-CRAIPNDOSA-N 0 1 314.473 3.311 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001717191252 1203595461 /nfs/dbraw/zinc/59/54/61/1203595461.db2.gz JZCDHWLHHQTFOG-PKOBYXMFSA-N 0 1 312.457 3.055 20 30 DGEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@@H](C)c1ccccc1Cl ZINC001717205448 1203600732 /nfs/dbraw/zinc/60/07/32/1203600732.db2.gz JBQVRBCWOLLCHA-KGLIPLIRSA-N 0 1 321.852 3.341 20 30 DGEDMN Cc1cccc(Cl)c1CN(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001717205419 1203600779 /nfs/dbraw/zinc/60/07/79/1203600779.db2.gz HYXLVLMKLYYPQK-CQSZACIVSA-N 0 1 321.852 3.088 20 30 DGEDMN CC(C)(C)C#CC(=O)NCc1ccc2c(c1)CN(CCCF)C2 ZINC001717270422 1203610933 /nfs/dbraw/zinc/61/09/33/1203610933.db2.gz XCVNFQQCEOTHMZ-UHFFFAOYSA-N 0 1 316.420 3.028 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(C)no2)[C@H](C)C1 ZINC001717337701 1203621281 /nfs/dbraw/zinc/62/12/81/1203621281.db2.gz MPAJSZFBRPFJCN-HUUCEWRRSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C=C(\C)CC ZINC001717344767 1203622901 /nfs/dbraw/zinc/62/29/01/1203622901.db2.gz XWKQKCBMLDMVST-JRJUITNWSA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CCCc1cccs1 ZINC001717375600 1203630514 /nfs/dbraw/zinc/63/05/14/1203630514.db2.gz ZADDAJUJBPEJNT-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(F)cc(C)cc1F ZINC001717418490 1203640123 /nfs/dbraw/zinc/64/01/23/1203640123.db2.gz HLKGHTVPXCJOFC-WDEREUQCSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001717425633 1203641711 /nfs/dbraw/zinc/64/17/11/1203641711.db2.gz UZQVJVQMKLSLNT-RYUDHWBXSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(Cl)c1 ZINC001717434476 1203643406 /nfs/dbraw/zinc/64/34/06/1203643406.db2.gz GTGBKKKWQILCKJ-MNOVXSKESA-N 0 1 301.217 3.189 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CC(CC)CC)C2)C1 ZINC001717574227 1203683468 /nfs/dbraw/zinc/68/34/68/1203683468.db2.gz HIAYCNMPXPYCNG-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)CCCC)C2)C1 ZINC001717573044 1203683475 /nfs/dbraw/zinc/68/34/75/1203683475.db2.gz IVXVTCDHPAYPCA-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC001717628785 1203691204 /nfs/dbraw/zinc/69/12/04/1203691204.db2.gz CUJHKHJJINELRR-JKSUJKDBSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC001717628780 1203691379 /nfs/dbraw/zinc/69/13/79/1203691379.db2.gz CUJHKHJJINELRR-CVEARBPZSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccccc1CC ZINC001717734659 1203706646 /nfs/dbraw/zinc/70/66/46/1203706646.db2.gz HVTLKWMKXZRLEO-KRWDZBQOSA-N 0 1 320.864 3.442 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCC2CCCC2)[C@@H]1C ZINC001717861840 1203724729 /nfs/dbraw/zinc/72/47/29/1203724729.db2.gz AJFQROZYHOHEEP-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCCc1sccc1C)C(C)C ZINC001717952571 1203734739 /nfs/dbraw/zinc/73/47/39/1203734739.db2.gz ZEFFSDGKKYKMCO-UHFFFAOYSA-N 0 1 320.502 3.181 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C)cc1 ZINC001717963844 1203736625 /nfs/dbraw/zinc/73/66/25/1203736625.db2.gz NEVNCNNPMVYQKB-INIZCTEOSA-N 0 1 318.848 3.155 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)C(C)(C)C ZINC001717967484 1203737425 /nfs/dbraw/zinc/73/74/25/1203737425.db2.gz GDEOVYMGVBUOEN-NFAWXSAZSA-N 0 1 324.852 3.256 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001718028956 1203744942 /nfs/dbraw/zinc/74/49/42/1203744942.db2.gz LCGXLTSDJAGMET-GOSISDBHSA-N 0 1 324.468 3.342 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@](C)(CC)CCCCC)CC1 ZINC001718036019 1203745633 /nfs/dbraw/zinc/74/56/33/1203745633.db2.gz YEHDAIVLDWEKOT-LJQANCHMSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C2CCC2)cc1 ZINC001723423945 1203970046 /nfs/dbraw/zinc/97/00/46/1203970046.db2.gz TWBUERMDHTZSAC-HXUWFJFHSA-N 0 1 324.468 3.448 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC1CC(c2ccccc2)C1 ZINC001723438796 1203971859 /nfs/dbraw/zinc/97/18/59/1203971859.db2.gz MHGJJBZVSPTBCT-NKELODKYSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001723450740 1203973479 /nfs/dbraw/zinc/97/34/79/1203973479.db2.gz KZKYPNXYRBKTNW-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)C1(c2ccccc2F)CCC1 ZINC001723473056 1203976897 /nfs/dbraw/zinc/97/68/97/1203976897.db2.gz MJKVYONZLPHUDH-HNNXBMFYSA-N 0 1 316.420 3.014 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2ccc(Cl)cc2F)CC1 ZINC001723509546 1203979891 /nfs/dbraw/zinc/97/98/91/1203979891.db2.gz RESFIGBRGLCEMO-UHFFFAOYSA-N 0 1 322.811 3.021 20 30 DGEDMN C[C@H](C(=O)NCC1(NCc2ccc(C#N)s2)CC1)C(C)(C)C ZINC001723531239 1203980734 /nfs/dbraw/zinc/98/07/34/1203980734.db2.gz YHOUNGFVIRDAMR-GFCCVEGCSA-N 0 1 319.474 3.040 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3cc(C)ccc3F)CC2)C1 ZINC001723558445 1203982782 /nfs/dbraw/zinc/98/27/82/1203982782.db2.gz NROVZCPMAGFZIH-UHFFFAOYSA-N 0 1 316.420 3.229 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001723790963 1203992675 /nfs/dbraw/zinc/99/26/75/1203992675.db2.gz HXKRVVAKRQOCQQ-KRWDZBQOSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2occc2C)C1 ZINC001723795757 1203993031 /nfs/dbraw/zinc/99/30/31/1203993031.db2.gz SXGFFOUZLHIEHN-UHFFFAOYSA-N 0 1 304.434 3.020 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc2c(o1)CCCC2 ZINC001723906755 1203998544 /nfs/dbraw/zinc/99/85/44/1203998544.db2.gz USMGHHLJCMHKPK-AWEZNQCLSA-N 0 1 322.836 3.105 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)co1)C1CCCC1 ZINC001724097832 1204005006 /nfs/dbraw/zinc/00/50/06/1204005006.db2.gz NCXPSPYHRFDLEQ-AWEZNQCLSA-N 0 1 310.825 3.219 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001724139845 1204008401 /nfs/dbraw/zinc/00/84/01/1204008401.db2.gz GJXSNXWCFWWWIQ-KSSFIOAISA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2cnc(C)s2)C1 ZINC001724153327 1204009210 /nfs/dbraw/zinc/00/92/10/1204009210.db2.gz JGAXRAVKOKKGCD-QGZVFWFLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2ccsc2)C1 ZINC001724152347 1204009427 /nfs/dbraw/zinc/00/94/27/1204009427.db2.gz CGBLMROMRBYTQZ-KRWDZBQOSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001724176235 1204011931 /nfs/dbraw/zinc/01/19/31/1204011931.db2.gz UGQUVWLZEFVHHX-PKOBYXMFSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001724179027 1204012281 /nfs/dbraw/zinc/01/22/81/1204012281.db2.gz KTBSXRJLHJFZBE-KUHUBIRLSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](C)C(C)C)CC1 ZINC001724300719 1204018619 /nfs/dbraw/zinc/01/86/19/1204018619.db2.gz JHLRPIMXLYYWLZ-CQSZACIVSA-N 0 1 300.874 3.249 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)c2ccccc2)C1 ZINC001724381669 1204025938 /nfs/dbraw/zinc/02/59/38/1204025938.db2.gz VROQUCZVJOUYHG-GOEBONIOSA-N 0 1 322.399 3.050 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C1CC1)C1CC1)c1ccccc1CC ZINC001724512475 1204026680 /nfs/dbraw/zinc/02/66/80/1204026680.db2.gz UMMXLAKMWJXHHI-LJQANCHMSA-N 0 1 324.468 3.065 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](F)C(C)C)c1ccccc1CC ZINC001724511502 1204026715 /nfs/dbraw/zinc/02/67/15/1204026715.db2.gz JXEVARJNENWBRF-ZWKOTPCHSA-N 0 1 318.436 3.013 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001724725332 1204040193 /nfs/dbraw/zinc/04/01/93/1204040193.db2.gz VMDSQTBDDAGLCY-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(C3CC3)no2)CCC1 ZINC001724786202 1204047184 /nfs/dbraw/zinc/04/71/84/1204047184.db2.gz SYAWVWYZTRLRNK-UHFFFAOYSA-N 0 1 317.433 3.037 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2cccc(CC)c2)CCC1 ZINC001724789851 1204047478 /nfs/dbraw/zinc/04/74/78/1204047478.db2.gz WWDJOPCGUZEYET-UHFFFAOYSA-N 0 1 320.864 3.173 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001724792763 1204047952 /nfs/dbraw/zinc/04/79/52/1204047952.db2.gz COXWTBSIIHSRDQ-RTBURBONSA-N 0 1 324.468 3.103 20 30 DGEDMN O=C(CCCC1CC1)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001724796810 1204048598 /nfs/dbraw/zinc/04/85/98/1204048598.db2.gz PCILIHPOKNDZDJ-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC001725003692 1204078481 /nfs/dbraw/zinc/07/84/81/1204078481.db2.gz QZMDEVKHIIXFMT-ZIAGYGMSSA-N 0 1 321.490 3.215 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2occc2s1 ZINC001725045309 1204084918 /nfs/dbraw/zinc/08/49/18/1204084918.db2.gz FGQPZCXHLWWHIY-ZDUSSCGKSA-N 0 1 316.426 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001725095766 1204093599 /nfs/dbraw/zinc/09/35/99/1204093599.db2.gz SFBKQLDATNKMEN-KBPBESRZSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2ncc(C)s2)CCC1 ZINC001725105023 1204095493 /nfs/dbraw/zinc/09/54/93/1204095493.db2.gz FDKPHDJRQKXSAY-KBPBESRZSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1ccc(CC)cc1 ZINC001725123508 1204098789 /nfs/dbraw/zinc/09/87/89/1204098789.db2.gz CPJRDWRCAIGIPX-HUUCEWRRSA-N 0 1 322.880 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2c1CCC2 ZINC001725133128 1204100587 /nfs/dbraw/zinc/10/05/87/1204100587.db2.gz XURPOBNJYJYGHC-QWHCGFSZSA-N 0 1 306.837 3.024 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001725173222 1204110387 /nfs/dbraw/zinc/11/03/87/1204110387.db2.gz AUSAIQTYNALRQC-HZPDHXFCSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCCCN2CCF)CCCC1 ZINC001725246540 1204127628 /nfs/dbraw/zinc/12/76/28/1204127628.db2.gz XXKICINGWOLFEX-MRXNPFEDSA-N 0 1 310.457 3.453 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H](C)c2ccc(CC)cc2)C1 ZINC001725261919 1204129773 /nfs/dbraw/zinc/12/97/73/1204129773.db2.gz AFGNEANYKUMGRQ-JKSUJKDBSA-N 0 1 314.473 3.365 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC(C)(C)CC)CC1 ZINC001725421000 1204148168 /nfs/dbraw/zinc/14/81/68/1204148168.db2.gz BUDOHPWYFWTCFX-UHFFFAOYSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(Cc2ccccc2)CC1 ZINC001725490090 1204157936 /nfs/dbraw/zinc/15/79/36/1204157936.db2.gz OYUCGUUTCCTNQS-OAHLLOKOSA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2ccccn2)CCCC1 ZINC001725524926 1204166468 /nfs/dbraw/zinc/16/64/68/1204166468.db2.gz QIPOAMJWIFAVKH-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C[C@@H](C(=O)N(CCN(C)CC#CC)C(C)C)c1ccccc1 ZINC001725732334 1204204509 /nfs/dbraw/zinc/20/45/09/1204204509.db2.gz IYMBBCUSJMSNJY-LJQANCHMSA-N 0 1 312.457 3.148 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC(F)(F)C1)C(C)(C)C ZINC001725741953 1204209007 /nfs/dbraw/zinc/20/90/07/1204209007.db2.gz TXEWAXCSYUGXRJ-NEPJUHHUSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725745114 1204209887 /nfs/dbraw/zinc/20/98/87/1204209887.db2.gz NATSWFWBHGQEQU-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C(F)(F)F)C(C)(C)C ZINC001725787937 1204223057 /nfs/dbraw/zinc/22/30/57/1204223057.db2.gz DWXHHDNALBIKHI-ZJUUUORDSA-N 0 1 314.779 3.058 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2scnc2C)CC1 ZINC001725815335 1204226386 /nfs/dbraw/zinc/22/63/86/1204226386.db2.gz DKDMFVNBOVGKCL-UHFFFAOYSA-N 0 1 319.474 3.056 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC/C=C\c2ccccc2)CC1 ZINC001725824075 1204227519 /nfs/dbraw/zinc/22/75/19/1204227519.db2.gz PNOOWDMPFRXOSC-XFFZJAGNSA-N 0 1 322.452 3.252 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2c(C)cccc2C)c(F)c1 ZINC001725833747 1204230270 /nfs/dbraw/zinc/23/02/70/1204230270.db2.gz NNCILJDQXNAROJ-UHFFFAOYSA-N 0 1 324.399 3.095 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(C)Cc2cc(C)ns2)CC1 ZINC001731265052 1204355979 /nfs/dbraw/zinc/35/59/79/1204355979.db2.gz ZYSNLFBOVJXVSL-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1ccnc(Cl)c1 ZINC001731265894 1204357778 /nfs/dbraw/zinc/35/77/78/1204357778.db2.gz ABNFBSMVGOBAIH-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC[C@H]1CC ZINC001731314972 1204370701 /nfs/dbraw/zinc/37/07/01/1204370701.db2.gz CDYICEZPHUBGKX-HUUCEWRRSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)c1cccs1 ZINC001731316854 1204372532 /nfs/dbraw/zinc/37/25/32/1204372532.db2.gz FTPKURLJRICSII-CYBMUJFWSA-N 0 1 314.882 3.432 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001731353005 1204383246 /nfs/dbraw/zinc/38/32/46/1204383246.db2.gz LXTYLHJZKCUIHF-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C=C2CCCCC2)CC1 ZINC001731384244 1204390917 /nfs/dbraw/zinc/39/09/17/1204390917.db2.gz JZCASSWFMRBDDJ-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc2ccsc2[nH]1)C1CC1 ZINC001731402462 1204398408 /nfs/dbraw/zinc/39/84/08/1204398408.db2.gz QYSHBVCENOUXKW-CYBMUJFWSA-N 0 1 323.849 3.080 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001731421361 1204408207 /nfs/dbraw/zinc/40/82/07/1204408207.db2.gz JDGJFOBAQUMLSG-BQFCYCMXSA-N 0 1 324.896 3.490 20 30 DGEDMN C/C=C(\C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001731461414 1204420653 /nfs/dbraw/zinc/42/06/53/1204420653.db2.gz IMBIHJQAHYCVDE-WTZSTDMSSA-N 0 1 323.440 3.136 20 30 DGEDMN CCCC[C@@H](CC)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001731582662 1204471486 /nfs/dbraw/zinc/47/14/86/1204471486.db2.gz YELDAJFODZJXLR-PKOBYXMFSA-N 0 1 314.473 3.349 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001731581640 1204471577 /nfs/dbraw/zinc/47/15/77/1204471577.db2.gz KVZOHZAOFUBGJC-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1oc2c(cccc2F)c1C ZINC001731599226 1204478021 /nfs/dbraw/zinc/47/80/21/1204478021.db2.gz YKRZFBDSQJDBMF-JTQLQIEISA-N 0 1 324.783 3.341 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2cc(Cl)ccc2F)C1 ZINC001731617681 1204484338 /nfs/dbraw/zinc/48/43/38/1204484338.db2.gz BJFAAZADMDQVID-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@@H](NCc2cc(C)on2)C1 ZINC001731687495 1204505514 /nfs/dbraw/zinc/50/55/14/1204505514.db2.gz KGZMRIOWIFFLPK-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)NCc1cc(C(C)(C)C)on1 ZINC001731734899 1204528364 /nfs/dbraw/zinc/52/83/64/1204528364.db2.gz XIFWOSKAMGYZNC-CYBMUJFWSA-N 0 1 321.465 3.169 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2ccc(F)c(F)c2)CCC1 ZINC001731783965 1204556048 /nfs/dbraw/zinc/55/60/48/1204556048.db2.gz DYFFPGBQRBPINF-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCCCC(=O)NCCN(CC)Cc1ccc(Cl)c(F)c1 ZINC001731800447 1204568662 /nfs/dbraw/zinc/56/86/62/1204568662.db2.gz BUPGUKLWOFVEET-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001731829720 1204589694 /nfs/dbraw/zinc/58/96/94/1204589694.db2.gz BZIAHMRLTOIEPZ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCCCc1cccs1 ZINC001731832623 1204591529 /nfs/dbraw/zinc/59/15/29/1204591529.db2.gz FMDHAKQWLUSBRM-MRXNPFEDSA-N 0 1 318.486 3.065 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001731833111 1204591849 /nfs/dbraw/zinc/59/18/49/1204591849.db2.gz FVRDEISHCPAOPP-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H]2CCCN2CC#CC)CCCCC1 ZINC001731837167 1204595199 /nfs/dbraw/zinc/59/51/99/1204595199.db2.gz ZHIFLRURVWMPHX-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CCCCCC(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001731891027 1204625902 /nfs/dbraw/zinc/62/59/02/1204625902.db2.gz CCKQWYGVRCACCX-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN[C@H](C)c1ccc(F)cc1F ZINC001731904199 1204628877 /nfs/dbraw/zinc/62/88/77/1204628877.db2.gz CVTVIZWESWKMSO-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)C[C@@H](CC)C(C)C ZINC001731975322 1204638289 /nfs/dbraw/zinc/63/82/89/1204638289.db2.gz YRVJUHDSXBYHHG-CYBMUJFWSA-N 0 1 319.287 3.015 20 30 DGEDMN CCC[C@](C)(CC)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001731970853 1204638814 /nfs/dbraw/zinc/63/88/14/1204638814.db2.gz RARSITWQKRHMRD-FQEVSTJZSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1cccnc1)c1ccccc1 ZINC001732021850 1204652527 /nfs/dbraw/zinc/65/25/27/1204652527.db2.gz JRIIRFQXGHNJKH-GOSISDBHSA-N 0 1 323.440 3.241 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCCCC1(C)C)c1ccccc1 ZINC001732026772 1204654429 /nfs/dbraw/zinc/65/44/29/1204654429.db2.gz MYNWBGVUVPTLEN-MSOLQXFVSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001732040117 1204661547 /nfs/dbraw/zinc/66/15/47/1204661547.db2.gz WAONEEXHXBHLST-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001732079191 1204682927 /nfs/dbraw/zinc/68/29/27/1204682927.db2.gz CMTFNBKCNHRFKL-GJZGRUSLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001732079192 1204683109 /nfs/dbraw/zinc/68/31/09/1204683109.db2.gz CMTFNBKCNHRFKL-HUUCEWRRSA-N 0 1 321.490 3.026 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C#CC(C)C)C1 ZINC001732081906 1204686091 /nfs/dbraw/zinc/68/60/91/1204686091.db2.gz IKMQWQWLVIRRCJ-FQEVSTJZSA-N 0 1 324.468 3.325 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001732103941 1204694834 /nfs/dbraw/zinc/69/48/34/1204694834.db2.gz LORPDJDVWLFNHR-ZDUSSCGKSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cnc3ccccc3c2)C1 ZINC001732112876 1204698720 /nfs/dbraw/zinc/69/87/20/1204698720.db2.gz UJRUKSDKLZWYAH-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001732119109 1204701227 /nfs/dbraw/zinc/70/12/27/1204701227.db2.gz VVZHOPCBNXPUQK-HOTGVXAUSA-N 0 1 300.446 3.020 20 30 DGEDMN CC(C)C#CC(=O)NCC1CN(Cc2ccc3ccccc3c2)C1 ZINC001732125838 1204704552 /nfs/dbraw/zinc/70/45/52/1204704552.db2.gz OLQOGCHBSYWFLN-UHFFFAOYSA-N 0 1 320.436 3.047 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(CC)cc1 ZINC001732145004 1204713519 /nfs/dbraw/zinc/71/35/19/1204713519.db2.gz JLGYVSSCSGWKEM-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)[C@@H]1CCN(CC=C)C1)c1ccccc1 ZINC001732177356 1204731019 /nfs/dbraw/zinc/73/10/19/1204731019.db2.gz OLVPCXOYRPPING-MOPGFXCFSA-N 0 1 312.457 3.455 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1cccc(F)c1Cl ZINC001732198425 1204745556 /nfs/dbraw/zinc/74/55/56/1204745556.db2.gz QNQFVOHIWIVADA-CQSZACIVSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1cc(C)cc(C)c1 ZINC001732208782 1204750103 /nfs/dbraw/zinc/75/01/03/1204750103.db2.gz KXBLQUBGWRYRCR-INIZCTEOSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001732211366 1204751835 /nfs/dbraw/zinc/75/18/35/1204751835.db2.gz LMELDVMVQOLKSX-HKUYNNGSSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1ccc(C)c(C)c1 ZINC001732217839 1204755715 /nfs/dbraw/zinc/75/57/15/1204755715.db2.gz BXNACIHGBVUJJA-MRXNPFEDSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](C)C(C)C)CC1 ZINC001732325902 1204792537 /nfs/dbraw/zinc/79/25/37/1204792537.db2.gz IRNDTVFOTAVYOS-CQSZACIVSA-N 0 1 300.874 3.249 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC001732377665 1204811137 /nfs/dbraw/zinc/81/11/37/1204811137.db2.gz FXZCXAJADSJNIT-SJORKVTESA-N 0 1 324.509 3.088 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001732383353 1204813011 /nfs/dbraw/zinc/81/30/11/1204813011.db2.gz DYDSVBFPYNUPNO-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN CCC(=CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N)CC ZINC001732413110 1204827746 /nfs/dbraw/zinc/82/77/46/1204827746.db2.gz NQTNTKDVLVPRNG-OAHLLOKOSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)c(F)cc1F ZINC001732422745 1204832985 /nfs/dbraw/zinc/83/29/85/1204832985.db2.gz IYHLEVTVBSVOHR-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001732425361 1204834149 /nfs/dbraw/zinc/83/41/49/1204834149.db2.gz FBKUGWMIUYWOHA-WSNITJDQSA-N 0 1 324.827 3.418 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(Cl)cc1C ZINC001732438305 1204840454 /nfs/dbraw/zinc/84/04/54/1204840454.db2.gz WKHUNSDYKRETRA-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1cc(C2CC2)no1 ZINC001732440083 1204841989 /nfs/dbraw/zinc/84/19/89/1204841989.db2.gz ONWIPEJLPRVFKG-CYBMUJFWSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)F)C1CCCCC1 ZINC001732471756 1204861928 /nfs/dbraw/zinc/86/19/28/1204861928.db2.gz LXYLVVOTRFEYBN-ZDUSSCGKSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccccc1CCC ZINC001732873994 1204953372 /nfs/dbraw/zinc/95/33/72/1204953372.db2.gz QQZCOQQZOHNZCR-VOTSOKGWSA-N 0 1 306.837 3.267 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1cc(F)ccc1F ZINC001732902021 1204957489 /nfs/dbraw/zinc/95/74/89/1204957489.db2.gz UCKTWOCLJQBEQN-IPLHWJFFSA-N 0 1 322.399 3.329 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1c(F)cccc1Cl ZINC001732950640 1204963134 /nfs/dbraw/zinc/96/31/34/1204963134.db2.gz NXLKQNOZWMVGEQ-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](c1ccccc1)C1CC1 ZINC001732964767 1204967414 /nfs/dbraw/zinc/96/74/14/1204967414.db2.gz YEBLEXFNSGYJJW-CZUORRHYSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2ccccc2F)CCC1 ZINC001732978714 1204971510 /nfs/dbraw/zinc/97/15/10/1204971510.db2.gz BLBKXJCRCJVEIN-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccc(C)cc1Cl ZINC001733001724 1204977821 /nfs/dbraw/zinc/97/78/21/1204977821.db2.gz CLKUMBCYDONYKK-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001733008832 1204980300 /nfs/dbraw/zinc/98/03/00/1204980300.db2.gz ZGOLRZKMGWSDLS-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1sccc1C(C)C ZINC001733028405 1204987792 /nfs/dbraw/zinc/98/77/92/1204987792.db2.gz ZGHVAJFGWCRFFN-NSHDSACASA-N 0 1 300.855 3.332 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc(C2CCCC2)c1 ZINC001733062257 1205012271 /nfs/dbraw/zinc/01/22/71/1205012271.db2.gz NEMFDZPDJUFWOS-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccccc2Cl)CC1 ZINC001733245263 1205067862 /nfs/dbraw/zinc/06/78/62/1205067862.db2.gz CUHRCIHWMMUPPX-UHFFFAOYSA-N 0 1 306.837 3.435 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001733310372 1205090218 /nfs/dbraw/zinc/09/02/18/1205090218.db2.gz HGESIKGYLUSFLN-OAHLLOKOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCO[C@@H](CC)C2)C1 ZINC001733315506 1205092934 /nfs/dbraw/zinc/09/29/34/1205092934.db2.gz NMJDPVCWPUHDQD-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccc(F)cc2)C1 ZINC001733316728 1205093356 /nfs/dbraw/zinc/09/33/56/1205093356.db2.gz DMLROSFOOYRJSP-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(C)cccc2F)C1 ZINC001733319458 1205094798 /nfs/dbraw/zinc/09/47/98/1205094798.db2.gz RWMGDXMGFLNTML-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CCC)C1CCN(C/C=C/Cl)CC1 ZINC001733367292 1205107953 /nfs/dbraw/zinc/10/79/53/1205107953.db2.gz XYQVYWSCJZIWDQ-VGZDNEPHSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CCCC1 ZINC001733377071 1205109500 /nfs/dbraw/zinc/10/95/00/1205109500.db2.gz KKHFSDJMGYBBHG-QXSJWSMHSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1cnc(C)o1)C1CCCC1 ZINC001733382752 1205111603 /nfs/dbraw/zinc/11/16/03/1205111603.db2.gz ZHJGEDMQJWOQPP-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)CC)C1CCCC1 ZINC001733382923 1205111773 /nfs/dbraw/zinc/11/17/73/1205111773.db2.gz KHBNIEKYMQUQMR-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)CCCCCc2cccs2)C1 ZINC001733419440 1205127560 /nfs/dbraw/zinc/12/75/60/1205127560.db2.gz XGSZLLWXPKNSDG-GOSISDBHSA-N 0 1 318.486 3.065 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C[C@@H](C)CC)C1 ZINC001733474065 1205148476 /nfs/dbraw/zinc/14/84/76/1205148476.db2.gz BWVKKCHQCNTSPW-UONOGXRCSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1CCC)c1ccccc1CC ZINC001733517605 1205151754 /nfs/dbraw/zinc/15/17/54/1205151754.db2.gz IAKOEGWRTYBBLD-QRQLOZEOSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)c2cocn2)cc1 ZINC001733682379 1205184144 /nfs/dbraw/zinc/18/41/44/1205184144.db2.gz RRSXKLNBXLCYSB-GFCCVEGCSA-N 0 1 319.792 3.008 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3(C)CCCCC3)cccc2C1 ZINC001733708943 1205189435 /nfs/dbraw/zinc/18/94/35/1205189435.db2.gz MIPNPFIPYGUFEE-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CC3CCCC3)cccc2C1 ZINC001733709997 1205190231 /nfs/dbraw/zinc/19/02/31/1205190231.db2.gz ZQFLKJBZLQKCEM-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccc(F)cc2F)CCC1 ZINC001733722642 1205194565 /nfs/dbraw/zinc/19/45/65/1205194565.db2.gz GSBHCUQFDSJSIC-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccoc2C(C)C)CCC1 ZINC001733728150 1205197439 /nfs/dbraw/zinc/19/74/39/1205197439.db2.gz KWBFXMRAFWMGPE-UHFFFAOYSA-N 0 1 310.825 3.398 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001733753108 1205198219 /nfs/dbraw/zinc/19/82/19/1205198219.db2.gz DQCJKMRCCGGQKT-KGLIPLIRSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1oc(C)nc1C ZINC001733777090 1205213027 /nfs/dbraw/zinc/21/30/27/1205213027.db2.gz FVRCTXFRIDILTR-UHFFFAOYSA-N 0 1 321.465 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1Cc2ccccc2CN1C(C)C ZINC001733811322 1205224395 /nfs/dbraw/zinc/22/43/95/1205224395.db2.gz PAVHJNQNEWIKJG-KRWDZBQOSA-N 0 1 300.446 3.150 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001733938547 1205265879 /nfs/dbraw/zinc/26/58/79/1205265879.db2.gz XEAJWXDKFQYIEO-BXWFABGCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)nc1 ZINC001733974048 1205276067 /nfs/dbraw/zinc/27/60/67/1205276067.db2.gz GXUPAQSYPMFHSL-CHWSQXEVSA-N 0 1 309.841 3.054 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001733992402 1205281815 /nfs/dbraw/zinc/28/18/15/1205281815.db2.gz WRNJXAPUYGHGLF-VXGBXAGGSA-N 0 1 309.479 3.099 20 30 DGEDMN CCCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001734027505 1205294187 /nfs/dbraw/zinc/29/41/87/1205294187.db2.gz JGZMYDWEBYAXHR-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001734064679 1205313549 /nfs/dbraw/zinc/31/35/49/1205313549.db2.gz DOTGKZHNIWDNBN-HOTGVXAUSA-N 0 1 300.446 3.274 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001734123540 1205329956 /nfs/dbraw/zinc/32/99/56/1205329956.db2.gz WPSJQYWBMYXMFV-HNNXBMFYSA-N 0 1 318.486 3.187 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001734122312 1205330183 /nfs/dbraw/zinc/33/01/83/1205330183.db2.gz POKVDXACGXGKKA-HUUCEWRRSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001734129592 1205331457 /nfs/dbraw/zinc/33/14/57/1205331457.db2.gz RCZKZJWVXSIDAP-IAGOWNOFSA-N 0 1 314.473 3.186 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2(C)CCCCC2)C(C)(C)C1 ZINC001734147470 1205334934 /nfs/dbraw/zinc/33/49/34/1205334934.db2.gz BTUWOKGAIOINMR-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](CCCC)C(C)C)C(C)(C)C1 ZINC001734155306 1205337620 /nfs/dbraw/zinc/33/76/20/1205337620.db2.gz NWLBAVPBLICMAR-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](N[C@H](C)c1ncc(C)o1)C(C)C ZINC001734289954 1205357292 /nfs/dbraw/zinc/35/72/92/1205357292.db2.gz XIGLXWSKKZOFEM-HUUCEWRRSA-N 0 1 321.465 3.377 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001734320539 1205364025 /nfs/dbraw/zinc/36/40/25/1205364025.db2.gz LOAUVBRMCRSCQQ-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C[C@@H](CN(C)C(=O)C1(C)CCCC1)NCc1ccccc1C#N ZINC001734335645 1205366575 /nfs/dbraw/zinc/36/65/75/1205366575.db2.gz UNJKDFQFUIYWMI-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2conc2C)CCCC1 ZINC001734357710 1205371007 /nfs/dbraw/zinc/37/10/07/1205371007.db2.gz IXCVOELEBXPWGC-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCc2ncc(C)s2)C[C@H]1C ZINC001734416421 1205374718 /nfs/dbraw/zinc/37/47/18/1205374718.db2.gz BVCKUKYAPQIQJM-TZMCWYRMSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C[C@H]1C ZINC001734421963 1205375044 /nfs/dbraw/zinc/37/50/44/1205375044.db2.gz HPSPJSCKXGETFF-CZUORRHYSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001734449457 1205378824 /nfs/dbraw/zinc/37/88/24/1205378824.db2.gz FUYPQYIDUBJPGL-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN CC(C)N(CCN(C)Cc1ccsc1)C(=O)C#CC(C)(C)C ZINC001734548350 1205389706 /nfs/dbraw/zinc/38/97/06/1205389706.db2.gz XNNNPBRHSDPGIF-UHFFFAOYSA-N 0 1 320.502 3.466 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@H]1CCC(F)(F)C1)C(C)C ZINC001734552111 1205391651 /nfs/dbraw/zinc/39/16/51/1205391651.db2.gz VNRXROKAVIKGTF-OAHLLOKOSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CC(C)(C)CC(=O)NCc1ccc(CNCCF)cc1F ZINC001734641022 1205408630 /nfs/dbraw/zinc/40/86/30/1205408630.db2.gz YAGZQOYZSQALQP-UHFFFAOYSA-N 0 1 310.388 3.103 20 30 DGEDMN C[C@@H]1CN(C)[C@@H](C)CN1c1nc2c(cc1C#N)CCCC2(C)C ZINC001164637895 719255078 /nfs/dbraw/zinc/25/50/78/719255078.db2.gz XCZRCPFHHUFHFU-UONOGXRCSA-N 0 1 312.461 3.096 20 30 DGEDMN Cc1nc(N2CCN(CC(C)C)CC2)c(C#N)c(C)c1Cl ZINC001164653847 719329473 /nfs/dbraw/zinc/32/94/73/719329473.db2.gz WPHIPCYBYBRAQW-UHFFFAOYSA-N 0 1 306.841 3.002 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001669657563 1197468954 /nfs/dbraw/zinc/46/89/54/1197468954.db2.gz JIYKOXIPOOEMTH-SJCJKPOMSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)CC1CCCCC1 ZINC001669682443 1197470824 /nfs/dbraw/zinc/47/08/24/1197470824.db2.gz WSNADWQUIZRQQH-JSGCOSHPSA-N 0 1 300.874 3.440 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000318232188 950922534 /nfs/dbraw/zinc/92/25/34/950922534.db2.gz FCIZXSQXYQWXKI-INIZCTEOSA-N 0 1 300.402 3.390 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1c2ccccc2sc1C(=O)[O-] ZINC000382478540 971816726 /nfs/dbraw/zinc/81/67/26/971816726.db2.gz LNGNMOHPGWUJAU-UHFFFAOYSA-N 0 1 305.399 3.234 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)C[C@@H]1C(=O)[O-] ZINC001589355877 954142061 /nfs/dbraw/zinc/14/20/61/954142061.db2.gz YXWXQGHQBVKXMA-HIFRSBDPSA-N 0 1 300.402 3.030 20 30 DGEDMN O=C([O-])c1cccc(N[NH+]=Cc2ccc(N3CCCC3)cc2)c1 ZINC001317935340 960912844 /nfs/dbraw/zinc/91/28/44/960912844.db2.gz UZOYDDZRDCNRHT-UHFFFAOYSA-N 0 1 309.369 3.431 20 30 DGEDMN Cc1cc(C[N@@H+]2Cc3ccccc3[C@@H](C(=O)[O-])C2)ccc1C#N ZINC001605171950 972875468 /nfs/dbraw/zinc/87/54/68/972875468.db2.gz DNZJVLZNBRIADM-SFHVURJKSA-N 0 1 306.365 3.051 20 30 DGEDMN Cc1cccc([C@@H]([NH2+]Cc2ccc(Cl)cc2C#N)C(=O)[O-])c1 ZINC001605328531 973184839 /nfs/dbraw/zinc/18/48/39/973184839.db2.gz BJFYFKMIRXVTKQ-MRXNPFEDSA-N 0 1 314.772 3.436 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1ccccc1)Cc1cccc(C#N)c1)C(=O)[O-] ZINC001592604407 978542838 /nfs/dbraw/zinc/54/28/38/978542838.db2.gz AJDLIBPSAKFZKU-HNNXBMFYSA-N 0 1 308.381 3.281 20 30 DGEDMN CC[C@@H](c1ccncc1)[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001595363865 980787596 /nfs/dbraw/zinc/78/75/96/980787596.db2.gz KVAWWRPVFIYINX-KRWDZBQOSA-N 0 1 309.369 3.235 20 30 DGEDMN CC[C@@H](c1ccncc1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001595363865 980787598 /nfs/dbraw/zinc/78/75/98/980787598.db2.gz KVAWWRPVFIYINX-KRWDZBQOSA-N 0 1 309.369 3.235 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC001595704600 982276938 /nfs/dbraw/zinc/27/69/38/982276938.db2.gz CJWNATXBGQXJKM-CABCVRRESA-N 0 1 313.438 3.075 20 30 DGEDMN C=C(C)Cc1cccc(C(=O)[O-])c1OCCCn1cc[nH+]c1C ZINC001588576968 983654833 /nfs/dbraw/zinc/65/48/33/983654833.db2.gz JEJXFHRYDRYIIH-UHFFFAOYSA-N 0 1 314.385 3.477 20 30 DGEDMN CC[N@H+](CCC#N)[C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001596299528 983724629 /nfs/dbraw/zinc/72/46/29/983724629.db2.gz MZEIVNRITHCGBL-LBPRGKRZSA-N 0 1 300.280 3.067 20 30 DGEDMN CC[N@@H+](CCC#N)[C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001596299528 983724633 /nfs/dbraw/zinc/72/46/33/983724633.db2.gz MZEIVNRITHCGBL-LBPRGKRZSA-N 0 1 300.280 3.067 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](c2ccccc2OC)CC1 ZINC001588729400 983989763 /nfs/dbraw/zinc/98/97/63/983989763.db2.gz KAOKKHFBRMMRLZ-GDBMZVCRSA-N 0 1 303.402 3.294 20 30 DGEDMN C=CCC[N@H+](C(C)C)[C@@H](C)C(=O)Nc1c(C)csc1C(=O)[O-] ZINC001588805838 984256081 /nfs/dbraw/zinc/25/60/81/984256081.db2.gz PXRLVCXUQRCIQD-LBPRGKRZSA-N 0 1 324.446 3.368 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNc2cc(C(=O)[O-])cc[nH+]2)c1 ZINC001594724692 987221912 /nfs/dbraw/zinc/22/19/12/987221912.db2.gz BBHGMHKNJSHSQD-CQSZACIVSA-N 0 1 311.341 3.073 20 30 DGEDMN CC(C)(C#N)CCCC[N@@H+](CCc1ccccc1)CC(=O)[O-] ZINC001589553960 987295744 /nfs/dbraw/zinc/29/57/44/987295744.db2.gz QGZWDCIJLWYRGT-UHFFFAOYSA-N 0 1 302.418 3.336 20 30 DGEDMN CC(C)(CC[N@H+]1CCC[C@H]1[C@H](C#N)c1ccccc1)C(=O)[O-] ZINC001590670716 990373915 /nfs/dbraw/zinc/37/39/15/990373915.db2.gz IRFCQTMCSXPTEU-CVEARBPZSA-N 0 1 300.402 3.259 20 30 DGEDMN C[N@@H+](CC1(c2ccccc2)CC1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001598446876 991883682 /nfs/dbraw/zinc/88/36/82/991883682.db2.gz MGONWWKURBZDSC-GOSISDBHSA-N 0 1 320.392 3.348 20 30 DGEDMN C[N@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@@H]1CCC[C@@H]1C#N ZINC001598498651 992397543 /nfs/dbraw/zinc/39/75/43/992397543.db2.gz FWNXFCKSRYVZAP-TZMCWYRMSA-N 0 1 322.792 3.041 20 30 DGEDMN C[N@@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@@H]1CCC[C@@H]1C#N ZINC001598498651 992397552 /nfs/dbraw/zinc/39/75/52/992397552.db2.gz FWNXFCKSRYVZAP-TZMCWYRMSA-N 0 1 322.792 3.041 20 30 DGEDMN COc1cccc([C@@H](C(=O)[O-])[N@@H+](C)CCCCC(C)(C)C#N)c1 ZINC001599647192 994441666 /nfs/dbraw/zinc/44/16/66/994441666.db2.gz NPZIGUNZZDOKEJ-INIZCTEOSA-N 0 1 318.417 3.473 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001481740053 1084557699 /nfs/dbraw/zinc/55/76/99/1084557699.db2.gz JYCXQMSJVUDXBC-TZMCWYRMSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001191890221 1100540589 /nfs/dbraw/zinc/54/05/89/1100540589.db2.gz PKWXHCKDZQNSAE-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN CN(CCNC(=O)C#CC(C)(C)C)Cc1cccc(C(F)F)c1 ZINC001480862150 1081591821 /nfs/dbraw/zinc/59/18/21/1081591821.db2.gz KLCJDWJTQQEILR-UHFFFAOYSA-N 0 1 322.399 3.222 20 30 DGEDMN C=CCCC(=O)NCCN(C)Cc1ccccc1C(F)(F)F ZINC001266262918 1081593524 /nfs/dbraw/zinc/59/35/24/1081593524.db2.gz WQQQLRKPXSAGTQ-UHFFFAOYSA-N 0 1 314.351 3.220 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001266311790 1081657524 /nfs/dbraw/zinc/65/75/24/1081657524.db2.gz IQTSJKTWSSAYCJ-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001266336619 1081678015 /nfs/dbraw/zinc/67/80/15/1081678015.db2.gz SHEXVVUIMUPSPH-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001266339092 1081680499 /nfs/dbraw/zinc/68/04/99/1081680499.db2.gz JGFHHPOLTPZBGY-CHWSQXEVSA-N 0 1 319.276 3.117 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCCN(C(=O)OC(C)(C)C)C2)C1 ZINC001209157430 1081692310 /nfs/dbraw/zinc/69/23/10/1081692310.db2.gz VWBKYTAJYAFNOJ-SFHVURJKSA-N 0 1 324.465 3.055 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(C)(C)C(F)F)CC1 ZINC001266377151 1081717139 /nfs/dbraw/zinc/71/71/39/1081717139.db2.gz PWPAEFONTLNDLS-LLVKDONJSA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cc(C)oc2C)CC1 ZINC001266384590 1081726804 /nfs/dbraw/zinc/72/68/04/1081726804.db2.gz NTKQQEMUQACZKO-UHFFFAOYSA-N 0 1 316.445 3.236 20 30 DGEDMN C=CCCCCCCN1CCN(c2nccc(Cl)n2)CC1 ZINC001209435887 1081751038 /nfs/dbraw/zinc/75/10/38/1081751038.db2.gz KKELXVFSSXXUTA-UHFFFAOYSA-N 0 1 308.857 3.389 20 30 DGEDMN CC#CC[N@@H+](CC)CCNC(=O)c1cccc(C(C)(C)C)c1 ZINC001266425484 1081786430 /nfs/dbraw/zinc/78/64/30/1081786430.db2.gz QQTSIMBYNSOZTE-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cccc(C(C)(C)C)c1 ZINC001266425484 1081786435 /nfs/dbraw/zinc/78/64/35/1081786435.db2.gz QQTSIMBYNSOZTE-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H]1C[C@@H]2CCCC[C@H]2NC1=O ZINC001167273289 1081876755 /nfs/dbraw/zinc/87/67/55/1081876755.db2.gz AQHDADPIUOYNEH-IPMKNSEASA-N 0 1 312.457 3.164 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)[C@@H](C)c1ccccc1 ZINC001167273591 1081876942 /nfs/dbraw/zinc/87/69/42/1081876942.db2.gz MPGTXCXYHYAICS-JXFKEZNVSA-N 0 1 311.400 3.426 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC001266479738 1081882440 /nfs/dbraw/zinc/88/24/40/1081882440.db2.gz BXEDLLASNSNIEA-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001109624756 1081888852 /nfs/dbraw/zinc/88/88/52/1081888852.db2.gz ATTLCMUQHBXRPU-IKGGRYGDSA-N 0 1 316.470 3.163 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1ccccc1C)C2 ZINC001109798954 1081915331 /nfs/dbraw/zinc/91/53/31/1081915331.db2.gz AQIQTJLTXHLGMV-FPAYPSAMSA-N 0 1 312.457 3.396 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC1CCCCC1)C2 ZINC001109792404 1081916070 /nfs/dbraw/zinc/91/60/70/1081916070.db2.gz CAJKIEUACYQCJH-NQYYFHDYSA-N 0 1 316.489 3.338 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)CC1CCCC1)C2 ZINC001109794935 1081917247 /nfs/dbraw/zinc/91/72/47/1081917247.db2.gz PQKRVQVNMXHLLY-RDGPPVDQSA-N 0 1 316.489 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1ccccc1F)C2 ZINC001109855315 1081924250 /nfs/dbraw/zinc/92/42/50/1081924250.db2.gz ZAXNGSNZQDRERS-KNCCTNLNSA-N 0 1 316.420 3.227 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1c[nH]c3ccccc13)C2 ZINC001110061537 1081955714 /nfs/dbraw/zinc/95/57/14/1081955714.db2.gz XHRGVPKRYTUYGC-MNEFBYGVSA-N 0 1 323.440 3.008 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H](C)CC(C)C)C1 ZINC001210615328 1081999330 /nfs/dbraw/zinc/99/93/30/1081999330.db2.gz NDMAFNVWRGOYCX-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H](C)SC)CC1 ZINC001112773272 1082001072 /nfs/dbraw/zinc/00/10/72/1082001072.db2.gz HDDNXWSPRSSLRU-HNNXBMFYSA-N 0 1 300.512 3.243 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001112774411 1082001645 /nfs/dbraw/zinc/00/16/45/1082001645.db2.gz VZHOCZWAGISDAD-IBGZPJMESA-N 0 1 310.441 3.012 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001266581404 1082030321 /nfs/dbraw/zinc/03/03/21/1082030321.db2.gz ZQJIRLWSJMYQEJ-ZTFGCOKTSA-N 0 1 313.445 3.121 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C)nc(C)c2)CC1 ZINC001112891441 1082039217 /nfs/dbraw/zinc/03/92/17/1082039217.db2.gz QJZHMKINXHKDJH-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CCC2CCCC2)CC1)OCC ZINC001112908858 1082049532 /nfs/dbraw/zinc/04/95/32/1082049532.db2.gz GZTXNHIHNTYDGY-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C(F)F)nc2)CC1 ZINC001112940068 1082058192 /nfs/dbraw/zinc/05/81/92/1082058192.db2.gz SKPWIZFZMUBHDD-ZDUSSCGKSA-N 0 1 323.387 3.132 20 30 DGEDMN C=CC1(CC(=O)NCCN(CC#CC)C2CC2)CCCCC1 ZINC001266613900 1082072782 /nfs/dbraw/zinc/07/27/82/1082072782.db2.gz KOFKYPKZUPABAP-UHFFFAOYSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC001113008277 1082083029 /nfs/dbraw/zinc/08/30/29/1082083029.db2.gz LHVDWGSDBQOXPN-QGZVFWFLSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C/c2ccc(F)cc2)CC1 ZINC001113008546 1082083739 /nfs/dbraw/zinc/08/37/39/1082083739.db2.gz UKALKDBNKMZUAZ-AATRIKPKSA-N 0 1 316.420 3.339 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cnccc3Cl)sc2C1 ZINC001211207279 1082085749 /nfs/dbraw/zinc/08/57/49/1082085749.db2.gz FMLGYXWDKHKYKJ-UHFFFAOYSA-N 0 1 304.806 3.400 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2CCCc3ccccc32)CC1 ZINC001113019782 1082090759 /nfs/dbraw/zinc/09/07/59/1082090759.db2.gz YDISZYONYFGDAN-IBGZPJMESA-N 0 1 312.457 3.217 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2[nH]c(C)cc2C)CC1 ZINC001113020507 1082090782 /nfs/dbraw/zinc/09/07/82/1082090782.db2.gz KAUKQZFPJLXJNF-UHFFFAOYSA-N 0 1 305.466 3.360 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2CCCCC2)C1 ZINC001086572256 1082097893 /nfs/dbraw/zinc/09/78/93/1082097893.db2.gz NOLYGVGENVFVOF-RBUKOAKNSA-N 0 1 312.457 3.337 20 30 DGEDMN COc1cnccc1Nc1ccccc1-c1ccc(C#N)cn1 ZINC001211264135 1082101820 /nfs/dbraw/zinc/10/18/20/1082101820.db2.gz CJDZJYCGEGVSNL-UHFFFAOYSA-N 0 1 302.337 3.189 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CC/C=C/CCC)CC2)cc1 ZINC001113180009 1082132769 /nfs/dbraw/zinc/13/27/69/1082132769.db2.gz XDIRVCFQDVBDAA-VOTSOKGWSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCCCC(=O)N1CCN(CCC2CCCCC2)CC1 ZINC001113226265 1082141924 /nfs/dbraw/zinc/14/19/24/1082141924.db2.gz URJDVABEQMSBSC-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2CCC(OC)CC2)CC1 ZINC001113270597 1082157684 /nfs/dbraw/zinc/15/76/84/1082157684.db2.gz UTPDLLVHQMLLTR-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(OC)cc2C)CC1 ZINC001113281979 1082162804 /nfs/dbraw/zinc/16/28/04/1082162804.db2.gz DACRCPZXGBHLDC-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2ccc(F)cc2)CC1 ZINC001113379267 1082188335 /nfs/dbraw/zinc/18/83/35/1082188335.db2.gz NPOQCBBEJDVPII-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccccc2C)CC1 ZINC001113379444 1082188601 /nfs/dbraw/zinc/18/86/01/1082188601.db2.gz WQZKPANBJDBDLG-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](CC)OC2CCCC2)CC1 ZINC001113392618 1082194330 /nfs/dbraw/zinc/19/43/30/1082194330.db2.gz LZXCIIQDNSHRSZ-WMZOPIPTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@H]2CCCCO2)CC1 ZINC001113391791 1082194674 /nfs/dbraw/zinc/19/46/74/1082194674.db2.gz UGHGCXSSXTWAKC-GOSISDBHSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CC1(CC(=O)N2CCN(C[C@H](CC)OC)CC2)CCCCC1 ZINC001113428976 1082209056 /nfs/dbraw/zinc/20/90/56/1082209056.db2.gz CINSTPAJANMIRR-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCO[C@@H](CC)C2)CC1 ZINC001113502920 1082235480 /nfs/dbraw/zinc/23/54/80/1082235480.db2.gz XCYDBXMLDJDZQB-MSOLQXFVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)cc(C)c2)CC1 ZINC001113578869 1082252854 /nfs/dbraw/zinc/25/28/54/1082252854.db2.gz ZBVKQMWGVCYLOO-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(CCOC)CCC2)CC1 ZINC001113586630 1082256225 /nfs/dbraw/zinc/25/62/25/1082256225.db2.gz VYTHQOHUBTXUGA-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C(F)F)o2)CC1 ZINC001113592560 1082260330 /nfs/dbraw/zinc/26/03/30/1082260330.db2.gz QHINBEOPSIRYIW-LBPRGKRZSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C(C)(C)C)oc1C ZINC001266846810 1082261799 /nfs/dbraw/zinc/26/17/99/1082261799.db2.gz IPSKZASYQKYVPU-UHFFFAOYSA-N 0 1 312.841 3.300 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)c(C)s2)CC1 ZINC001113614473 1082265030 /nfs/dbraw/zinc/26/50/30/1082265030.db2.gz IKGFVFDRZDQYIK-AWEZNQCLSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)n(C(C)C)c2C)CC1 ZINC001113615917 1082265203 /nfs/dbraw/zinc/26/52/03/1082265203.db2.gz DETHNHSXBYEKFD-UHFFFAOYSA-N 0 1 317.477 3.410 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCc2ccccc21 ZINC001266855308 1082270004 /nfs/dbraw/zinc/27/00/04/1082270004.db2.gz AMNMZDNSBJDDCQ-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(CCCC)CC1)c1ccccc1 ZINC001113662855 1082274174 /nfs/dbraw/zinc/27/41/74/1082274174.db2.gz LZIFEFYGPHFJSV-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCc2ccc(C)o2)CC1 ZINC001113676518 1082276381 /nfs/dbraw/zinc/27/63/81/1082276381.db2.gz VZVWGDDHGBDWHA-UHFFFAOYSA-N 0 1 318.461 3.411 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)C2CC2)C1 ZINC001086604641 1082279584 /nfs/dbraw/zinc/27/95/84/1082279584.db2.gz NTNZCADKGAJFSM-RBUKOAKNSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2cc(C)sc2C)CC1 ZINC001113695264 1082281684 /nfs/dbraw/zinc/28/16/84/1082281684.db2.gz WJPKKKVYAFFMPD-UHFFFAOYSA-N 0 1 320.502 3.408 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)Cc2ccccc2C)CC1 ZINC001113698226 1082286330 /nfs/dbraw/zinc/28/63/30/1082286330.db2.gz MGUGEFMJIQQXKC-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001086608187 1082299243 /nfs/dbraw/zinc/29/92/43/1082299243.db2.gz SWIYGIIRRVTTMN-ZWKOTPCHSA-N 0 1 310.441 3.113 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CCC(F)F)C1 ZINC001266892022 1082309168 /nfs/dbraw/zinc/30/91/68/1082309168.db2.gz SLJSTCYSYRCJPU-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN COc1ccc(C[C@@H](C)NCC2(C#N)CCCC2)c([N+](=O)[O-])c1 ZINC001170300392 1082338415 /nfs/dbraw/zinc/33/84/15/1082338415.db2.gz ZSOHSLMVCQUMTM-CYBMUJFWSA-N 0 1 317.389 3.208 20 30 DGEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1ccc(C2(C#N)CCCCC2)cc1 ZINC001170342932 1082355404 /nfs/dbraw/zinc/35/54/04/1082355404.db2.gz LWAVUUTXYRLERG-UHFFFAOYSA-N 0 1 324.428 3.113 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1nsc2ccccc12 ZINC001266961997 1082379650 /nfs/dbraw/zinc/37/96/50/1082379650.db2.gz NIMUGISDSBLGLI-GFCCVEGCSA-N 0 1 317.458 3.103 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001266975157 1082394095 /nfs/dbraw/zinc/39/40/95/1082394095.db2.gz KXIZRHSAAMGHOT-HUUCEWRRSA-N 0 1 320.864 3.078 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1C1CCC1 ZINC001266990706 1082410704 /nfs/dbraw/zinc/41/07/04/1082410704.db2.gz UYAVLZGFRYLMAZ-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001267010832 1082428236 /nfs/dbraw/zinc/42/82/36/1082428236.db2.gz MREVRXSLPOHVJO-UHFFFAOYSA-N 0 1 310.869 3.003 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccc(C)cc2)C1 ZINC001086621408 1082460073 /nfs/dbraw/zinc/46/00/73/1082460073.db2.gz TVSNOQPVCBDNDF-VQTJNVASSA-N 0 1 320.436 3.379 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H]1CCCN(c2cc(C)ccc2F)C1=O ZINC001170450725 1082475180 /nfs/dbraw/zinc/47/51/80/1082475180.db2.gz FZVLETXJBNATFS-QAPCUYQASA-N 0 1 302.393 3.021 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H]1CCCN(c2cc(C)ccc2F)C1=O ZINC001170450723 1082475355 /nfs/dbraw/zinc/47/53/55/1082475355.db2.gz FZVLETXJBNATFS-CRAIPNDOSA-N 0 1 302.393 3.021 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2nc(C)oc2C)CCC1 ZINC001267142349 1082559807 /nfs/dbraw/zinc/55/98/07/1082559807.db2.gz BTOSRWZLGAFOOP-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NCc2ncoc2C(C)C)C1 ZINC001267143057 1082560500 /nfs/dbraw/zinc/56/05/00/1082560500.db2.gz JXKISGNHVCZMLC-CQSZACIVSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CC1CC(c2ccccc2)C1 ZINC001130567328 1082592831 /nfs/dbraw/zinc/59/28/31/1082592831.db2.gz UDGSVEPIRXRRAW-UHFFFAOYSA-N 0 1 306.837 3.029 20 30 DGEDMN C#CCCCC(=O)NCC[N@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC001267193060 1082621947 /nfs/dbraw/zinc/62/19/47/1082621947.db2.gz LQLRMLVZBZUZBR-SREVYHEPSA-N 0 1 318.848 3.205 20 30 DGEDMN C#CCCCC(=O)NCCN(C)C/C=C\c1ccc(Cl)cc1 ZINC001267193060 1082621949 /nfs/dbraw/zinc/62/19/49/1082621949.db2.gz LQLRMLVZBZUZBR-SREVYHEPSA-N 0 1 318.848 3.205 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1occc1-c1ccccc1 ZINC001131055493 1082638421 /nfs/dbraw/zinc/63/84/21/1082638421.db2.gz YOQGSEQQKGDLCM-UHFFFAOYSA-N 0 1 304.777 3.019 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001267266862 1082726975 /nfs/dbraw/zinc/72/69/75/1082726975.db2.gz JEZZQHIRGFZNRH-CQSZACIVSA-N 0 1 318.383 3.288 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001267271819 1082732076 /nfs/dbraw/zinc/73/20/76/1082732076.db2.gz CUFZSZVBORWSNJ-WMZOPIPTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1coc2cc(C)c(C)cc21 ZINC001131824498 1082735508 /nfs/dbraw/zinc/73/55/08/1082735508.db2.gz CAZPJVOWUPQCDP-UHFFFAOYSA-N 0 1 306.793 3.122 20 30 DGEDMN CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131855862 1082748668 /nfs/dbraw/zinc/74/86/68/1082748668.db2.gz CZCXVPZYYXEPAU-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CSc3ccccc3)[nH]c2c1 ZINC001131904136 1082759735 /nfs/dbraw/zinc/75/97/35/1082759735.db2.gz YESFETXIYGYACQ-UHFFFAOYSA-N 0 1 308.366 3.165 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2csc(C)c2)C1 ZINC001131896839 1082763164 /nfs/dbraw/zinc/76/31/64/1082763164.db2.gz WINSNFSVWLJQNV-BBRMVZONSA-N 0 1 306.475 3.492 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccc(F)cc2)C1 ZINC001131896853 1082763261 /nfs/dbraw/zinc/76/32/61/1082763261.db2.gz WMYYJQQGIKEQBY-WMLDXEAASA-N 0 1 304.409 3.261 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CCC)Cc2cccnc2)CC1 ZINC001267317459 1082787793 /nfs/dbraw/zinc/78/77/93/1082787793.db2.gz ZXNWFNXIEDWKSR-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001132002868 1082791012 /nfs/dbraw/zinc/79/10/12/1082791012.db2.gz NYQYRYOALNIEAB-GOEBONIOSA-N 0 1 304.459 3.021 20 30 DGEDMN Cc1cc(CN2C[C@@H](NC(=O)C#CC(C)C)CC[C@@H]2C)cs1 ZINC001132021964 1082792411 /nfs/dbraw/zinc/79/24/11/1082792411.db2.gz SJBHXDNTRDHCKD-YOEHRIQHSA-N 0 1 318.486 3.185 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1cc(C)sc1C)C1CC1 ZINC001267323560 1082795752 /nfs/dbraw/zinc/79/57/52/1082795752.db2.gz XUSVYWVRMHGKRN-UHFFFAOYSA-N 0 1 306.475 3.412 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)N(C/C=C\c2ccccc2)C1 ZINC001132015883 1082796371 /nfs/dbraw/zinc/79/63/71/1082796371.db2.gz ARYKADFJVUYADK-XHGSAQPOSA-N 0 1 324.468 3.328 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1ccc(C)c(F)c1)C1CC1 ZINC001267325004 1082797743 /nfs/dbraw/zinc/79/77/43/1082797743.db2.gz AZBRVYFYDHJHSO-UHFFFAOYSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccnc2)C1 ZINC001132069286 1082807186 /nfs/dbraw/zinc/80/71/86/1082807186.db2.gz RUAQXEPXXZQBGD-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(CCc2ccns2)C1 ZINC001132072570 1082807483 /nfs/dbraw/zinc/80/74/83/1082807483.db2.gz MBUGERBPJWUCIT-GJZGRUSLSA-N 0 1 321.490 3.011 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC1CC1)c1ccc(C(C)C)cc1 ZINC001267346168 1082823541 /nfs/dbraw/zinc/82/35/41/1082823541.db2.gz MMQZPVYMGZZGJZ-LJQANCHMSA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001132234544 1082843538 /nfs/dbraw/zinc/84/35/38/1082843538.db2.gz DFWNMTRVYACHBC-WBVHZDCISA-N 0 1 318.486 3.411 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2cnccc2C)C1 ZINC001132218605 1082844760 /nfs/dbraw/zinc/84/47/60/1082844760.db2.gz SPWUEZIDNLGHKK-DOTOQJQBSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cncc(C)c2)C1 ZINC001132257887 1082861007 /nfs/dbraw/zinc/86/10/07/1082861007.db2.gz ZUZSGWXLWJUSEU-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CCc1ccccc1)C2 ZINC001111492233 1082897417 /nfs/dbraw/zinc/89/74/17/1082897417.db2.gz PDGDGBBTMWUJRO-NBYUQASBSA-N 0 1 324.468 3.000 20 30 DGEDMN C#CCCCCCC(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001132407909 1082903100 /nfs/dbraw/zinc/90/31/00/1082903100.db2.gz SXQFHJYWTAIDQQ-AWEZNQCLSA-N 0 1 322.399 3.315 20 30 DGEDMN CCC(=O)N[C@@H]1[C@H]2CCCN(CC#Cc3ccccc3)[C@@H]2C1(C)C ZINC001087263318 1082904429 /nfs/dbraw/zinc/90/44/29/1082904429.db2.gz PSVIBHPQGKELOL-RLLQIKCJSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCc2cccs2)CC[C@H]1C ZINC001132469769 1082920416 /nfs/dbraw/zinc/92/04/16/1082920416.db2.gz WHEGFZPABLHJQN-CVEARBPZSA-N 0 1 318.486 3.063 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001267476030 1082929826 /nfs/dbraw/zinc/92/98/26/1082929826.db2.gz SUDCMBLZEQHZEQ-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(C)c2)C1 ZINC001267487465 1082943738 /nfs/dbraw/zinc/94/37/38/1082943738.db2.gz FAMKCENQGKMZHP-GOSISDBHSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132569480 1082948282 /nfs/dbraw/zinc/94/82/82/1082948282.db2.gz SKGGAJOIBPLJRC-RYUDHWBXSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132653220 1082967781 /nfs/dbraw/zinc/96/77/81/1082967781.db2.gz HMZTZHURYRSADZ-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2[C@@H]3CCCN(CCF)[C@H]3C2(C)C)CC1 ZINC001087301800 1082971411 /nfs/dbraw/zinc/97/14/11/1082971411.db2.gz IZBXFUKAZLEGKY-GVDBMIGSSA-N 0 1 322.468 3.308 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001132650906 1082972224 /nfs/dbraw/zinc/97/22/24/1082972224.db2.gz QBGWRPFEQRVTEX-OAHLLOKOSA-N 0 1 303.450 3.013 20 30 DGEDMN Cc1ccccc1CN[C@H](CNC(=O)[C@H](C)C#N)c1ccccc1 ZINC001267517942 1082977525 /nfs/dbraw/zinc/97/75/25/1082977525.db2.gz VAQXMRHDCNMKIK-VQIMIIECSA-N 0 1 321.424 3.102 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(CF)cc1)c1ccccc1 ZINC001267526103 1082988462 /nfs/dbraw/zinc/98/84/62/1082988462.db2.gz KXGPDFQQORWNHJ-IBGZPJMESA-N 0 1 324.399 3.240 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001267531174 1082992987 /nfs/dbraw/zinc/99/29/87/1082992987.db2.gz NMOWIZLDHFHGAS-WBVHZDCISA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001267531173 1082993191 /nfs/dbraw/zinc/99/31/91/1082993191.db2.gz NMOWIZLDHFHGAS-RDJZCZTQSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C=C2CCCCC2)C1 ZINC001267539247 1083003454 /nfs/dbraw/zinc/00/34/54/1083003454.db2.gz QVZBDXZMBOWCJN-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001267541817 1083008979 /nfs/dbraw/zinc/00/89/79/1083008979.db2.gz IYLCZSZXOWHSHX-MRXNPFEDSA-N 0 1 316.445 3.271 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C(C)C)on2)C1 ZINC001267543976 1083011782 /nfs/dbraw/zinc/01/17/82/1083011782.db2.gz LLUXNCIRXWRJGV-HNNXBMFYSA-N 0 1 319.449 3.301 20 30 DGEDMN CCCC(C)(C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001133176072 1083066641 /nfs/dbraw/zinc/06/66/41/1083066641.db2.gz IGBXGWDLELYFDN-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN N#Cc1cccc(CN2CCC3(C[C@@H]3CCO)CC2)c1Cl ZINC001140808069 1083074880 /nfs/dbraw/zinc/07/48/80/1083074880.db2.gz JZSUPWKFJOJDCL-HNNXBMFYSA-N 0 1 304.821 3.196 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](COCC3CC3)C2)c1Cl ZINC001140812991 1083075722 /nfs/dbraw/zinc/07/57/22/1083075722.db2.gz RGGRBXSILWIFKU-CQSZACIVSA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001267583651 1083099543 /nfs/dbraw/zinc/09/95/43/1083099543.db2.gz QJOZVMVYYNPXJA-UKRRQHHQSA-N 0 1 324.852 3.039 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](CN(C)CC(=C)Cl)C2)CCC1 ZINC001267588049 1083108400 /nfs/dbraw/zinc/10/84/00/1083108400.db2.gz FCPXSRNSOVIIQX-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2ccccc2Cl)CC1 ZINC001133374174 1083108613 /nfs/dbraw/zinc/10/86/13/1083108613.db2.gz OUOQBYAYGGITBA-UHFFFAOYSA-N 0 1 306.837 3.292 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cccc(Cl)c1F ZINC001133378658 1083109350 /nfs/dbraw/zinc/10/93/50/1083109350.db2.gz JMRMFINATWGEGS-INIZCTEOSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001133379350 1083110125 /nfs/dbraw/zinc/11/01/25/1083110125.db2.gz YFYHUNKWBXSPKH-MRXNPFEDSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCC1(C(=O)NCCN[C@@H](C)c2ccccc2F)CCC1 ZINC001133398612 1083113072 /nfs/dbraw/zinc/11/30/72/1083113072.db2.gz FTJNTFMOBBWIRU-AWEZNQCLSA-N 0 1 304.409 3.339 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4cc(F)cc(F)c4C3)CCC2S1 ZINC001170690221 1083136493 /nfs/dbraw/zinc/13/64/93/1083136493.db2.gz PWDJMUKPNDUNGX-XJKSGUPXSA-N 0 1 321.392 3.096 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C(C)C)ns1 ZINC001133885413 1083205969 /nfs/dbraw/zinc/20/59/69/1083205969.db2.gz ZLJORQUTFUAWHK-CHWSQXEVSA-N 0 1 324.494 3.001 20 30 DGEDMN CCCN(CCNC(=O)C#CC1CC1)Cc1ccccc1Cl ZINC001481135580 1083207046 /nfs/dbraw/zinc/20/70/46/1083207046.db2.gz SMLUPGNPSGOGLI-UHFFFAOYSA-N 0 1 318.848 3.082 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)/C=C(\C)CC ZINC001481134863 1083207281 /nfs/dbraw/zinc/20/72/81/1083207281.db2.gz AJERONKLSIREJW-ISLYRVAYSA-N 0 1 312.457 3.223 20 30 DGEDMN C=C(C)[C@H](CC(=O)NC1CN(CCC2CCCCC2)C1)OCC ZINC001267648173 1083244980 /nfs/dbraw/zinc/24/49/80/1083244980.db2.gz SFNIUKDRBOUSHQ-SFHVURJKSA-N 0 1 322.493 3.129 20 30 DGEDMN CCCCCCC[NH2+][C@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001134161034 1083248516 /nfs/dbraw/zinc/24/85/16/1083248516.db2.gz TWQAKUQQEIUGGX-HUUCEWRRSA-N 0 1 321.465 3.244 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001267682979 1083261594 /nfs/dbraw/zinc/26/15/94/1083261594.db2.gz GTAVULLHBVUFPD-UHFFFAOYSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001267683024 1083261854 /nfs/dbraw/zinc/26/18/54/1083261854.db2.gz IHCWXGJBRHOMLV-CVEARBPZSA-N 0 1 319.449 3.181 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CCCC(C)C)C1 ZINC001267689319 1083265377 /nfs/dbraw/zinc/26/53/77/1083265377.db2.gz DDQIXUKTGUSGRR-OAHLLOKOSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc3ncccc3c2)C1 ZINC001267689284 1083265715 /nfs/dbraw/zinc/26/57/15/1083265715.db2.gz HOFMTVPZAKVMHN-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001134428467 1083284433 /nfs/dbraw/zinc/28/44/33/1083284433.db2.gz OCUKSMWYQINPGY-GFCCVEGCSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cc(C)co2)CC1 ZINC001267739446 1083302505 /nfs/dbraw/zinc/30/25/05/1083302505.db2.gz DECYZGLZXNOVQY-UHFFFAOYSA-N 0 1 310.825 3.125 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001267761060 1083325808 /nfs/dbraw/zinc/32/58/08/1083325808.db2.gz AJIBJZQWISPFOT-QGZVFWFLSA-N 0 1 324.424 3.269 20 30 DGEDMN COc1cccc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)n1 ZINC001137534116 1083337664 /nfs/dbraw/zinc/33/76/64/1083337664.db2.gz BVZYERRKOUUFPK-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cccc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)n1 ZINC001137534115 1083337685 /nfs/dbraw/zinc/33/76/85/1083337685.db2.gz BVZYERRKOUUFPK-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2oc(C)nc2C)C1 ZINC001267788646 1083347823 /nfs/dbraw/zinc/34/78/23/1083347823.db2.gz BQGKKGNKAUNTNW-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001267799485 1083354316 /nfs/dbraw/zinc/35/43/16/1083354316.db2.gz YZBXKLNNAVHOOP-ORDXOSSNSA-N 0 1 317.408 3.038 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1c(F)cccc1Cl ZINC001267803040 1083355700 /nfs/dbraw/zinc/35/57/00/1083355700.db2.gz JCHXIKLDXKCBJY-ZDUSSCGKSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](CC)NCc2nc(C)cs2)CC1 ZINC001267818745 1083378280 /nfs/dbraw/zinc/37/82/80/1083378280.db2.gz NJWBAUMLXRJGNR-OAHLLOKOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001267827832 1083394767 /nfs/dbraw/zinc/39/47/67/1083394767.db2.gz NADIJXZISSLCFK-QFQXCOSMSA-N 0 1 324.896 3.440 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@](C)(CNCc1cscn1)C1CC1 ZINC001267852393 1083449282 /nfs/dbraw/zinc/44/92/82/1083449282.db2.gz DEIXIYHYEKKQKY-KRWDZBQOSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cccc(Cl)c2F)C1 ZINC001181511576 1083481448 /nfs/dbraw/zinc/48/14/48/1083481448.db2.gz RFCQLLCUGYKTDH-CYBMUJFWSA-N 0 1 310.800 3.136 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2C[C@H]2C2CC2)cc1 ZINC001267939101 1083605727 /nfs/dbraw/zinc/60/57/27/1083605727.db2.gz GDSFGXRARXXBRK-DLBZAZTESA-N 0 1 318.848 3.191 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C(C)=C2CCC2)CC1 ZINC001267943259 1083611855 /nfs/dbraw/zinc/61/18/55/1083611855.db2.gz HCHXILWNJIZQGJ-UHFFFAOYSA-N 0 1 310.869 3.458 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001267956722 1083647667 /nfs/dbraw/zinc/64/76/67/1083647667.db2.gz MNZUPTXTPUHSGU-XSZJWBAASA-N 0 1 312.457 3.493 20 30 DGEDMN CCCC[C@H](C)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001182277583 1083663740 /nfs/dbraw/zinc/66/37/40/1083663740.db2.gz HOPSNLOGGRBENS-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)(CC#N)CNCc1cc(Br)cnc1F ZINC001182262448 1083666746 /nfs/dbraw/zinc/66/67/46/1083666746.db2.gz VOGPIOHQOKXDQJ-UHFFFAOYSA-N 0 1 300.175 3.013 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC[C@@H]1CCc2ccccc21 ZINC001481317522 1083758225 /nfs/dbraw/zinc/75/82/25/1083758225.db2.gz HPVLIEAUWKCJDH-INIZCTEOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC[C@H]1CCc2ccccc21 ZINC001481317523 1083758313 /nfs/dbraw/zinc/75/83/13/1083758313.db2.gz HPVLIEAUWKCJDH-MRXNPFEDSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(CCc2ccccc2)CC1 ZINC001481324721 1083769217 /nfs/dbraw/zinc/76/92/17/1083769217.db2.gz YZWKPNFOOAKJKE-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2CCCC2)C1 ZINC001087418826 1083792562 /nfs/dbraw/zinc/79/25/62/1083792562.db2.gz AHCBXIQKCNSCRA-RTBURBONSA-N 0 1 312.457 3.337 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCCF)c1ccc(C(C)C)cc1 ZINC001481359642 1083816437 /nfs/dbraw/zinc/81/64/37/1083816437.db2.gz LGLGFXLVCZXNAG-GOSISDBHSA-N 0 1 318.436 3.330 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1cncc(C)c1)c1ccccc1 ZINC001481360416 1083819462 /nfs/dbraw/zinc/81/94/62/1083819462.db2.gz NJYVKZDMACWUFI-LJQANCHMSA-N 0 1 323.440 3.303 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001182841088 1083820211 /nfs/dbraw/zinc/82/02/11/1083820211.db2.gz HFDITDZJKTVUJA-CYBMUJFWSA-N 0 1 317.260 3.264 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(Cl)s1)c1ccccc1 ZINC001481372733 1083834383 /nfs/dbraw/zinc/83/43/83/1083834383.db2.gz QCJJKMCDLGEFQL-ZDUSSCGKSA-N 0 1 318.829 3.095 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001182898065 1083834465 /nfs/dbraw/zinc/83/44/65/1083834465.db2.gz NWOCUNHCXJSRIU-CQSZACIVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001182933309 1083847722 /nfs/dbraw/zinc/84/77/22/1083847722.db2.gz PMEBJGYJXMFIEA-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC001481431758 1083908126 /nfs/dbraw/zinc/90/81/26/1083908126.db2.gz LAAJBFAMLQQHFS-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1c(C#N)cccc1C(F)(F)F)N(C)C ZINC001183363009 1083925577 /nfs/dbraw/zinc/92/55/77/1083925577.db2.gz NXPJMUTWOHTSIF-CYBMUJFWSA-N 0 1 313.323 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001268040008 1083942071 /nfs/dbraw/zinc/94/20/71/1083942071.db2.gz VDAHDIRFYMQHHP-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C[C@H](C(=O)Nc1nc[nH]c1C#N)c1ccc(F)c2ccccc21 ZINC001183499925 1083961245 /nfs/dbraw/zinc/96/12/45/1083961245.db2.gz DEDXNTKDJGDEBJ-JTQLQIEISA-N 0 1 308.316 3.316 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C/c2ccccc2F)C1 ZINC001183577060 1083976931 /nfs/dbraw/zinc/97/69/31/1083976931.db2.gz WMQNISKYWGZDIA-CSPWOOARSA-N 0 1 322.811 3.172 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CN(C)Cc2nc(C)sc2C)C1 ZINC001481480071 1083988494 /nfs/dbraw/zinc/98/84/94/1083988494.db2.gz PBOFCDJMXFSEDA-OAHLLOKOSA-N 0 1 321.490 3.006 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](CC)CC(C)C)CC2 ZINC001268046689 1083999866 /nfs/dbraw/zinc/99/98/66/1083999866.db2.gz ICZSMSVXEHEKNO-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C#CC2CC2)C1 ZINC001481508885 1084035750 /nfs/dbraw/zinc/03/57/50/1084035750.db2.gz MZRKVNMCUDCPBS-FQEVSTJZSA-N 0 1 322.452 3.079 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2(C3CC3)CC2)C1 ZINC001087430385 1084058280 /nfs/dbraw/zinc/05/82/80/1084058280.db2.gz MDVRZKLMXHFUKC-RTBURBONSA-N 0 1 324.468 3.337 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001268059497 1084067116 /nfs/dbraw/zinc/06/71/16/1084067116.db2.gz NTLZDOLYFOGBGS-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001268081442 1084120342 /nfs/dbraw/zinc/12/03/42/1084120342.db2.gz PUNZWDFOOQYEIH-DOMZBBRYSA-N 0 1 323.506 3.299 20 30 DGEDMN CCc1cc(C(=O)N(O)Cc2cc(Cl)cc(Cl)c2)[nH]n1 ZINC001184235952 1084133688 /nfs/dbraw/zinc/13/36/88/1084133688.db2.gz RKFJEMIFABTVAQ-UHFFFAOYSA-N 0 1 314.172 3.311 20 30 DGEDMN CC[C@H](C)C(=O)N(C)CCCN(C)CC#Cc1ccc(F)cc1 ZINC001273488056 1084216145 /nfs/dbraw/zinc/21/61/45/1084216145.db2.gz AOESGEKNZBSDDV-INIZCTEOSA-N 0 1 318.436 3.004 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001481589910 1084230928 /nfs/dbraw/zinc/23/09/28/1084230928.db2.gz LWSOVARRBPSMCZ-CQSZACIVSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001481602483 1084245114 /nfs/dbraw/zinc/24/51/14/1084245114.db2.gz FOXWJXUSBBOTHC-CQSZACIVSA-N 0 1 304.409 3.086 20 30 DGEDMN CN(CCCN(C)C(=O)CCC1CC1)Cc1ccc(C#N)s1 ZINC001273496197 1084307389 /nfs/dbraw/zinc/30/73/89/1084307389.db2.gz WXIZXFDXNRESLC-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001481639063 1084327339 /nfs/dbraw/zinc/32/73/39/1084327339.db2.gz TWYNFXSIPJSFNC-UHFFFAOYSA-N 0 1 312.457 3.041 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001185064457 1084328355 /nfs/dbraw/zinc/32/83/55/1084328355.db2.gz OUGMGGUPOGBJDT-LSDHHAIUSA-N 0 1 321.490 3.053 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001268223741 1084354467 /nfs/dbraw/zinc/35/44/67/1084354467.db2.gz LFLAJJSILMTZHJ-QAPCUYQASA-N 0 1 315.461 3.081 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001481648286 1084421196 /nfs/dbraw/zinc/42/11/96/1084421196.db2.gz PIYUQJOYOKHLHL-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN CC(C)(C#N)c1cccc(NS(=O)(=O)c2ccsc2)c1 ZINC001185383465 1084425932 /nfs/dbraw/zinc/42/59/32/1084425932.db2.gz ADAWYIPILZWMRL-UHFFFAOYSA-N 0 1 306.412 3.350 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185430600 1084437465 /nfs/dbraw/zinc/43/74/65/1084437465.db2.gz OTQLCDODQSABDC-BXUZGUMPSA-N 0 1 305.249 3.098 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnccc1C ZINC001481667789 1084462910 /nfs/dbraw/zinc/46/29/10/1084462910.db2.gz WHTKKSWBMJMIPX-IRXDYDNUSA-N 0 1 315.461 3.259 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001481691824 1084479555 /nfs/dbraw/zinc/47/95/55/1084479555.db2.gz HTYBLXLBKCXRPQ-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1 ZINC001110367766 1084511767 /nfs/dbraw/zinc/51/17/67/1084511767.db2.gz VWTMOBBFVBRVOY-ZACQAIPSSA-N 0 1 302.393 3.013 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@H](N(C)C(=O)C2(C)CCCCCC2)C1 ZINC001481725304 1084535617 /nfs/dbraw/zinc/53/56/17/1084535617.db2.gz AXRNFPOMAUEPLS-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](CCCCC(F)(F)F)C1 ZINC001481735213 1084550800 /nfs/dbraw/zinc/55/08/00/1084550800.db2.gz LQYPMKHDHYHXGI-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CC[N@@H+](Cc2cccc(F)c2)C1 ZINC001481735138 1084551161 /nfs/dbraw/zinc/55/11/61/1084551161.db2.gz LCSAUJAQYNIACC-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001481735138 1084551168 /nfs/dbraw/zinc/55/11/68/1084551168.db2.gz LCSAUJAQYNIACC-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cncc3sccc32)C1 ZINC001268438953 1084598659 /nfs/dbraw/zinc/59/86/59/1084598659.db2.gz WGXYKEWIRMWYAR-LBPRGKRZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001481842219 1084661182 /nfs/dbraw/zinc/66/11/82/1084661182.db2.gz UZASBJGZRVPKGE-KRWDZBQOSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NCc1nc(C)sc1C ZINC001481847786 1084666089 /nfs/dbraw/zinc/66/60/89/1084666089.db2.gz HZNZOSKSNRRHPG-PBHICJAKSA-N 0 1 323.506 3.347 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CC(C)(C)C(F)(F)F)C1 ZINC001268478909 1084694823 /nfs/dbraw/zinc/69/48/23/1084694823.db2.gz OPPJHUUVSJTHIP-LLVKDONJSA-N 0 1 306.372 3.120 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cc(Br)ccc1O ZINC001203645450 1084701550 /nfs/dbraw/zinc/70/15/50/1084701550.db2.gz JPQKTLLUDSPCJH-OJNYZHBWSA-N 0 1 321.218 3.421 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(Cl)c1 ZINC001268492848 1084716847 /nfs/dbraw/zinc/71/68/47/1084716847.db2.gz BEBAUYSOQNAGBS-UHFFFAOYSA-N 0 1 301.217 3.144 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCCc2ccc(C)s2)C1 ZINC001268495932 1084722342 /nfs/dbraw/zinc/72/23/42/1084722342.db2.gz JHJXGMQGJOOYBW-UHFFFAOYSA-N 0 1 306.475 3.146 20 30 DGEDMN N#Cc1c(Cl)ccc(NS(=O)(=O)c2ccco2)c1Cl ZINC001186863854 1084722639 /nfs/dbraw/zinc/72/26/39/1084722639.db2.gz XRTMWKOMMCINLK-UHFFFAOYSA-N 0 1 317.153 3.259 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CCNCc2cscn2)C1 ZINC001481982310 1084745430 /nfs/dbraw/zinc/74/54/30/1084745430.db2.gz KGXJYKOVKJESOL-OAHLLOKOSA-N 0 1 321.490 3.218 20 30 DGEDMN N#Cc1cccc(NC2CN(c3ccncc3C(F)(F)F)C2)c1 ZINC001187182951 1084766629 /nfs/dbraw/zinc/76/66/29/1084766629.db2.gz PLPSZXQELRHAAP-UHFFFAOYSA-N 0 1 318.302 3.273 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2cc3c(s2)CCCC3)cs1 ZINC001187189271 1084768087 /nfs/dbraw/zinc/76/80/87/1084768087.db2.gz VDNIBZQSCFMEOZ-UHFFFAOYSA-N 0 1 324.452 3.361 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001482023459 1084774986 /nfs/dbraw/zinc/77/49/86/1084774986.db2.gz YUCIEWSLKXWPRJ-JYJNAYRXSA-N 0 1 319.449 3.102 20 30 DGEDMN CC(C)(C)C#CC(=O)NC/C=C/CNCc1sccc1Cl ZINC001268537811 1084791558 /nfs/dbraw/zinc/79/15/58/1084791558.db2.gz VIAOCMDUPBRQJS-SNAWJCMRSA-N 0 1 324.877 3.213 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C/CNCc1cc(F)ccc1F ZINC001268546355 1084797691 /nfs/dbraw/zinc/79/76/91/1084797691.db2.gz YYVLINZEWGFDQH-ONEGZZNKSA-N 0 1 308.372 3.083 20 30 DGEDMN CN1CCN(c2ncc(C#N)c3ccsc32)C[C@@H]1C(C)(C)C ZINC001187446392 1084803545 /nfs/dbraw/zinc/80/35/45/1084803545.db2.gz XNXCXEVDGHTCAP-CQSZACIVSA-N 0 1 314.458 3.334 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001268700805 1084955370 /nfs/dbraw/zinc/95/53/70/1084955370.db2.gz UZIBJDQGKCYLQG-RYUDHWBXSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(F)c(Cl)cc1F ZINC001268702091 1084957178 /nfs/dbraw/zinc/95/71/78/1084957178.db2.gz SQJWJCQSDPTYDS-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001268742768 1085008784 /nfs/dbraw/zinc/00/87/84/1085008784.db2.gz KZXZQVWOUDAVND-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)CCCC)CC1(C)C ZINC001284296277 1085059109 /nfs/dbraw/zinc/05/91/09/1085059109.db2.gz OELAUUWHTUCHTG-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@@H]2CCc3c2cccc3F)C1 ZINC001268863058 1085070998 /nfs/dbraw/zinc/07/09/98/1085070998.db2.gz SMWCNLOAHUSLHK-QGZVFWFLSA-N 0 1 316.420 3.073 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001269134954 1085146586 /nfs/dbraw/zinc/14/65/86/1085146586.db2.gz WABQFXDDHQOMQU-HUUCEWRRSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001269239964 1085227774 /nfs/dbraw/zinc/22/77/74/1085227774.db2.gz GKOANEDEDALWSC-KRWDZBQOSA-N 0 1 311.429 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001269240326 1085231502 /nfs/dbraw/zinc/23/15/02/1085231502.db2.gz JKHJRRBKNBTDST-AWEZNQCLSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001269273804 1085257797 /nfs/dbraw/zinc/25/77/97/1085257797.db2.gz BWRJJWQOTQTFSF-VQIMIIECSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2nc(C)c(C)s2)CCCC1 ZINC001482214504 1085320202 /nfs/dbraw/zinc/32/02/02/1085320202.db2.gz SBLGEVMDDOAXPW-UHFFFAOYSA-N 0 1 321.490 3.245 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NCc1ncc(C)s1 ZINC001269465918 1085402285 /nfs/dbraw/zinc/40/22/85/1085402285.db2.gz AHSMPXZQYVMVEW-CZUORRHYSA-N 0 1 309.479 3.038 20 30 DGEDMN CC[C@@H](C)C(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001269528764 1085446657 /nfs/dbraw/zinc/44/66/57/1085446657.db2.gz FBPVDWHSBLVYKJ-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2oc3ccccc3c2C)CC1 ZINC001269530367 1085449696 /nfs/dbraw/zinc/44/96/96/1085449696.db2.gz HSIHJKQUKRXMOH-UHFFFAOYSA-N 0 1 318.804 3.346 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC1CCC1)C(C)(C)C ZINC001284579801 1085515148 /nfs/dbraw/zinc/51/51/48/1085515148.db2.gz ZAIDHEORSMYTTG-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(C(F)(F)F)CCC2)C1 ZINC001269812800 1085573434 /nfs/dbraw/zinc/57/34/34/1085573434.db2.gz UFBPILYPADRTHU-LBPRGKRZSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(F)ccc(C)c2F)C1 ZINC001269843552 1085583168 /nfs/dbraw/zinc/58/31/68/1085583168.db2.gz NJHMILCFPVCMKQ-ZDUSSCGKSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(Cl)cc2C)C1 ZINC001269843542 1085583239 /nfs/dbraw/zinc/58/32/39/1085583239.db2.gz NCISGPDBWVUUMX-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001482261876 1085673916 /nfs/dbraw/zinc/67/39/16/1085673916.db2.gz VVKQLQQXVJCKGM-ZIAGYGMSSA-N 0 1 315.417 3.292 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2CC(F)(F)C2)CC1 ZINC001270102295 1085691157 /nfs/dbraw/zinc/69/11/57/1085691157.db2.gz GYPZLEUDGNCVOU-UHFFFAOYSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001280165058 1085698341 /nfs/dbraw/zinc/69/83/41/1085698341.db2.gz TXRVOLFYHNDJLA-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C[C@H](C#N)c1cccc(C(=O)N2CC3(CCN3C3CCCC3)C2)c1 ZINC001270139646 1085707377 /nfs/dbraw/zinc/70/73/77/1085707377.db2.gz XZDYXFDVMOYEJG-OAHLLOKOSA-N 0 1 323.440 3.157 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001270143553 1085710362 /nfs/dbraw/zinc/71/03/62/1085710362.db2.gz LIVZFSICUDDFCY-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CC23CCC3)CC1 ZINC001270144858 1085711521 /nfs/dbraw/zinc/71/15/21/1085711521.db2.gz YFQKGTVDJJNGRI-OAHLLOKOSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@H]1CCC(F)(F)C1 ZINC001482291268 1085825471 /nfs/dbraw/zinc/82/54/71/1085825471.db2.gz DPPQEJCOWPEQAZ-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(C)CCC(F)(F)CC1 ZINC001482301735 1085888272 /nfs/dbraw/zinc/88/82/72/1085888272.db2.gz SSABNKOSAYMPMJ-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C)(C)c1ccccc1C ZINC001482374322 1086092394 /nfs/dbraw/zinc/09/23/94/1086092394.db2.gz NABLUOUBLOMOMB-HNNXBMFYSA-N 0 1 322.880 3.462 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C2CC2)CCN1CC#Cc1ccccc1 ZINC001087533088 1086188111 /nfs/dbraw/zinc/18/81/11/1086188111.db2.gz LCSLNYHXKSSWTE-FFZOFVMBSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)[C@H](C)CC ZINC001280333124 1086214177 /nfs/dbraw/zinc/21/41/77/1086214177.db2.gz GQDUENYVGVXYQM-SJORKVTESA-N 0 1 314.473 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2ccncc2s1 ZINC001270996856 1086235518 /nfs/dbraw/zinc/23/55/18/1086235518.db2.gz XEUNNYGETBINHX-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001271075121 1086276962 /nfs/dbraw/zinc/27/69/62/1086276962.db2.gz LNEPJEOFRLQRFU-GJZGRUSLSA-N 0 1 323.868 3.154 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1cc(C2CC2)no1 ZINC001271094019 1086287850 /nfs/dbraw/zinc/28/78/50/1086287850.db2.gz SYAMJSQFIQDAHR-FZKQIMNGSA-N 0 1 319.449 3.091 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1CC ZINC001087588526 1086318017 /nfs/dbraw/zinc/31/80/17/1086318017.db2.gz YBYGMTMWGALVBG-JKSUJKDBSA-N 0 1 318.486 3.307 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)[C@H]1CC ZINC001087653554 1086343615 /nfs/dbraw/zinc/34/36/15/1086343615.db2.gz DQEOPMUCJUONNV-RBUKOAKNSA-N 0 1 324.399 3.195 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(C/C=C/Cl)[C@@H]2CC)cc1 ZINC001087682162 1086356842 /nfs/dbraw/zinc/35/68/42/1086356842.db2.gz JFEOMVVEWQEASS-CNNXVRTJSA-N 0 1 316.832 3.003 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(C/C=C\Cl)[C@@H]2CC)cc1 ZINC001087682163 1086357092 /nfs/dbraw/zinc/35/70/92/1086357092.db2.gz JFEOMVVEWQEASS-VJVNXXCUSA-N 0 1 316.832 3.003 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3ncccc3s2)[C@H]1CC ZINC001087684761 1086359003 /nfs/dbraw/zinc/35/90/03/1086359003.db2.gz MSWLQBZRHBXHJW-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cc(F)ccc3o2)[C@H]1CC ZINC001087746556 1086392694 /nfs/dbraw/zinc/39/26/94/1086392694.db2.gz FMIJWOFPLGZOOH-LSDHHAIUSA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@H]1CC ZINC001087769078 1086404413 /nfs/dbraw/zinc/40/44/13/1086404413.db2.gz JXAXMIMMJRSHDZ-ZWKOTPCHSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@H]1CC ZINC001087787901 1086407764 /nfs/dbraw/zinc/40/77/64/1086407764.db2.gz WBMVVQIYMZQVDP-RBUKOAKNSA-N 0 1 322.408 3.163 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(C)s2)[C@H]1CC ZINC001087796423 1086413056 /nfs/dbraw/zinc/41/30/56/1086413056.db2.gz ZSHLRQQVOQORLI-OWCLPIDISA-N 0 1 318.486 3.152 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3ccccc3c2)[C@H]1CC ZINC001087808073 1086419750 /nfs/dbraw/zinc/41/97/50/1086419750.db2.gz WFJFYHXCWBKECW-VQTJNVASSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H]1CC ZINC001087840874 1086438657 /nfs/dbraw/zinc/43/86/57/1086438657.db2.gz RUALLUFBDHYLQP-DLBZAZTESA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CC(C(C)(C)C)C2)[C@H]1CC ZINC001087845913 1086443077 /nfs/dbraw/zinc/44/30/77/1086443077.db2.gz YGRDLQOJMCBZGM-SJJHQCBESA-N 0 1 304.478 3.051 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(C)(C)CC2)[C@H]1CC ZINC001087843686 1086443197 /nfs/dbraw/zinc/44/31/97/1086443197.db2.gz LCHSMXLOVUSFLJ-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)[C@H]1CC ZINC001087843755 1086443563 /nfs/dbraw/zinc/44/35/63/1086443563.db2.gz OTEKJOFEKXHAPO-IXDOHACOSA-N 0 1 304.478 3.195 20 30 DGEDMN Clc1ccc(C=NNc2ncnc3nc[nH]c32)cc1Cl ZINC000585681063 1086476130 /nfs/dbraw/zinc/47/61/30/1086476130.db2.gz KOODLLNSNYEROF-UHFFFAOYSA-N 0 1 307.144 3.106 20 30 DGEDMN CCC(=O)N[C@H](CNCc1ccccc1C#N)C1CCCCC1 ZINC001271317289 1086575728 /nfs/dbraw/zinc/57/57/28/1086575728.db2.gz KZVJADGQAHTHLH-GOSISDBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2ccco2)CC1 ZINC001482498501 1086726773 /nfs/dbraw/zinc/72/67/73/1086726773.db2.gz IZUXVZSGLUVMIG-UHFFFAOYSA-N 0 1 310.825 3.206 20 30 DGEDMN C=C(C)C(=O)OCCNc1ccnc(C2(C(F)(F)F)CC2)c1 ZINC001168073543 1086730631 /nfs/dbraw/zinc/73/06/31/1086730631.db2.gz LRFIKSNWUYYGOT-UHFFFAOYSA-N 0 1 314.307 3.207 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1nc(C)oc1C)C(C)C ZINC001271891004 1086811349 /nfs/dbraw/zinc/81/13/49/1086811349.db2.gz BAEHTZSEBRKVFM-CRAIPNDOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@@H](NCc2cc(C)no2)C1 ZINC001088359204 1086859296 /nfs/dbraw/zinc/85/92/96/1086859296.db2.gz UHWHLLAUDNISOQ-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1ccc(Cl)cc1 ZINC001482591727 1087013895 /nfs/dbraw/zinc/01/38/95/1087013895.db2.gz LUZILHVIMKDZQM-UHFFFAOYSA-N 0 1 306.837 3.034 20 30 DGEDMN C=CCCCCC[N@@H+]1C[C@@H](NC(=O)C[C@H](C)CCC)[C@H](OC)C1 ZINC001212009398 1087135258 /nfs/dbraw/zinc/13/52/58/1087135258.db2.gz PYSULYZPSKDVJQ-KZNAEPCWSA-N 0 1 324.509 3.375 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(F)c3ccccc3c2)[C@H]1C ZINC001088607885 1087155283 /nfs/dbraw/zinc/15/52/83/1087155283.db2.gz XEEGKBNBDUOPPK-ACJLOTCBSA-N 0 1 312.388 3.358 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)CCC1CCC1 ZINC001482918087 1087186566 /nfs/dbraw/zinc/18/65/66/1087186566.db2.gz SMCNXSJKDPZALD-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC[C@H](C)CC(=O)N(CC)CCNCC#Cc1ccc(F)cc1 ZINC001482921213 1087187389 /nfs/dbraw/zinc/18/73/89/1087187389.db2.gz JWLFMDXQSFPMQY-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@H]1CCc2ccccc21 ZINC001482956812 1087199972 /nfs/dbraw/zinc/19/99/72/1087199972.db2.gz LKAFKLLRZPGEDJ-MRXNPFEDSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C)(C)c1ccccc1 ZINC001482966147 1087203313 /nfs/dbraw/zinc/20/33/13/1087203313.db2.gz FLWXWVTXJANXRS-UHFFFAOYSA-N 0 1 308.853 3.155 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)sc2Cl)[C@H]1C ZINC001088622333 1087233282 /nfs/dbraw/zinc/23/32/82/1087233282.db2.gz QMVBCQFFRIJBOZ-SCZZXKLOSA-N 0 1 319.257 3.434 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC(C)(C)C2CC2)[C@H](C)C1 ZINC001101944298 1087246113 /nfs/dbraw/zinc/24/61/13/1087246113.db2.gz LZZKCDQPJGVGCB-TZMCWYRMSA-N 0 1 312.885 3.249 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cc(Cl)ccc1F ZINC001483043633 1087273139 /nfs/dbraw/zinc/27/31/39/1087273139.db2.gz KFJNEDNGWRMSBC-AATRIKPKSA-N 0 1 324.827 3.453 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(c2ccccc2C)CC1 ZINC001483102964 1087343680 /nfs/dbraw/zinc/34/36/80/1087343680.db2.gz FROBJKXBJXNWIE-WAYWQWQTSA-N 0 1 318.848 3.041 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@H]1C ZINC001088785257 1087353637 /nfs/dbraw/zinc/35/36/37/1087353637.db2.gz UFCZPYZBQLNJOS-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN C[C@H](NCCNC(=O)C#CC(C)(C)C)c1ccccc1Cl ZINC001126907915 1087389691 /nfs/dbraw/zinc/38/96/91/1087389691.db2.gz XTHRICWSLRLCDI-ZDUSSCGKSA-N 0 1 306.837 3.156 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)[C@@H](C)CCC)CC2)C1 ZINC001105699654 1087447417 /nfs/dbraw/zinc/44/74/17/1087447417.db2.gz BNJQFKUKYLNYAE-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(F)c(Cl)c1F ZINC001483263017 1087452735 /nfs/dbraw/zinc/45/27/35/1087452735.db2.gz BNGKZNZKONBAJP-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001483265391 1087453303 /nfs/dbraw/zinc/45/33/03/1087453303.db2.gz UFHTVXUTKRXDCN-RDJZCZTQSA-N 0 1 322.880 3.348 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)CC1CCCC1 ZINC001483271056 1087454737 /nfs/dbraw/zinc/45/47/37/1087454737.db2.gz NKFOEOHJGHMFGA-DZGCQCFKSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC(C)(C)CC(F)(F)F ZINC001483287492 1087458811 /nfs/dbraw/zinc/45/88/11/1087458811.db2.gz HTSAIMSAWUGWSP-JTQLQIEISA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@@H]1CNCc1coc(C)n1 ZINC001483433430 1087501260 /nfs/dbraw/zinc/50/12/60/1087501260.db2.gz FWHHEEOTQQLTOW-WBVHZDCISA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1nc(C)cs1)C(C)C ZINC001496605720 1087525226 /nfs/dbraw/zinc/52/52/26/1087525226.db2.gz AHCULSZHWFUUKU-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@H]1C ZINC001088885556 1087597492 /nfs/dbraw/zinc/59/74/92/1087597492.db2.gz CZZQMJXOSFXLLB-CJNGLKHVSA-N 0 1 316.445 3.101 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CC[N@@H+]1Cc1ccccc1C(C)C ZINC001483646971 1087602656 /nfs/dbraw/zinc/60/26/56/1087602656.db2.gz GSSCHTDWDIXCMB-GOSISDBHSA-N 0 1 312.457 3.304 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccccc1C(C)C ZINC001483646971 1087602658 /nfs/dbraw/zinc/60/26/58/1087602658.db2.gz GSSCHTDWDIXCMB-GOSISDBHSA-N 0 1 312.457 3.304 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@H]1C ZINC001088933881 1087606616 /nfs/dbraw/zinc/60/66/16/1087606616.db2.gz XNIRLBVZIATAQQ-PBHICJAKSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sccc2C(F)F)[C@H]1C ZINC001089010621 1087621414 /nfs/dbraw/zinc/62/14/14/1087621414.db2.gz KHRVPUGMIADHGI-PWSUYJOCSA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)[C@H]1C ZINC001089061273 1087629030 /nfs/dbraw/zinc/62/90/30/1087629030.db2.gz GQJAKZCKPCMJKT-ZBFHGGJFSA-N 0 1 312.413 3.428 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@H]1C ZINC001089068396 1087630343 /nfs/dbraw/zinc/63/03/43/1087630343.db2.gz BADGYAYELDTFIU-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2csc(CC(C)C)n2)[C@H]1C ZINC001089073480 1087631499 /nfs/dbraw/zinc/63/14/99/1087631499.db2.gz GSWZDFWKYQHIEV-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc(CC(C)C)n2)[C@H]1C ZINC001089073480 1087631500 /nfs/dbraw/zinc/63/15/00/1087631500.db2.gz GSWZDFWKYQHIEV-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H]1CNC(=O)c1scnc1C1CC1 ZINC001483684061 1087649997 /nfs/dbraw/zinc/64/99/97/1087649997.db2.gz CJCPTBGEIVVQHQ-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN CSC1CCN(Cc2ccc(N(C)C)c(C#N)c2F)CC1 ZINC001233100094 1087650756 /nfs/dbraw/zinc/65/07/56/1087650756.db2.gz AAYPWRMPYOZBSR-UHFFFAOYSA-N 0 1 307.438 3.091 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CCNC/C(Cl)=C\Cl)C1 ZINC001272375510 1087686498 /nfs/dbraw/zinc/68/64/98/1087686498.db2.gz FSJANUWWQBYVMI-OQZOHAQUSA-N 0 1 305.249 3.100 20 30 DGEDMN Cc1nc(-c2c[nH]c(C(=O)Nc3cc(C#N)ccc3O)c2)cs1 ZINC000176396450 1087722504 /nfs/dbraw/zinc/72/25/04/1087722504.db2.gz SRLRGAKZBYNUQP-UHFFFAOYSA-N 0 1 324.365 3.276 20 30 DGEDMN Cc1cccc2[nH]cc(CC(=O)Nc3cc(C#N)ccc3O)c21 ZINC000176393146 1087723158 /nfs/dbraw/zinc/72/31/58/1087723158.db2.gz VLVCHDBHIZYFDK-UHFFFAOYSA-N 0 1 305.337 3.235 20 30 DGEDMN Cc1c(C(=O)Nc2cc(C#N)ccc2O)cnn1-c1ccccc1 ZINC000176399532 1087724719 /nfs/dbraw/zinc/72/47/19/1087724719.db2.gz QJDSZSAYIHDDSO-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN CCCN(CC)c1ccc(C(=O)Nc2cc(C#N)ccc2O)cn1 ZINC000176404336 1087725578 /nfs/dbraw/zinc/72/55/78/1087725578.db2.gz DGSBBLYAHZVYOV-UHFFFAOYSA-N 0 1 324.384 3.147 20 30 DGEDMN C=C(C)CN1C(=O)COCC12CN(CCCCCCCCC)C2 ZINC001272479191 1087725977 /nfs/dbraw/zinc/72/59/77/1087725977.db2.gz ZICXAGKESZHSAG-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001158311004 1087769474 /nfs/dbraw/zinc/76/94/74/1087769474.db2.gz QVFPTOZQZFMQLI-MISYRCLQSA-N 0 1 324.468 3.007 20 30 DGEDMN CCCCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccc(F)cc1 ZINC001151920767 1087827427 /nfs/dbraw/zinc/82/74/27/1087827427.db2.gz AYLPPOFGGZSMPX-MRXNPFEDSA-N 0 1 318.436 3.194 20 30 DGEDMN CCN(CC#Cc1cccc(Cl)c1)[C@H](C)CNC(=O)C(C)C ZINC001151991788 1087857893 /nfs/dbraw/zinc/85/78/93/1087857893.db2.gz LKYLUNOFORSOOR-OAHLLOKOSA-N 0 1 320.864 3.174 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1nc2cccc(C)c2[nH]1 ZINC001151992998 1087862008 /nfs/dbraw/zinc/86/20/08/1087862008.db2.gz TXILZNPZXQXMCL-UHFFFAOYSA-N 0 1 305.341 3.037 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(Cl)ccc1C ZINC001158616106 1087942366 /nfs/dbraw/zinc/94/23/66/1087942366.db2.gz BRGMZLZKKTZNEI-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C(C)C)oc1C ZINC001158697049 1087982030 /nfs/dbraw/zinc/98/20/30/1087982030.db2.gz KYRXTIIMYPOQEC-MRXNPFEDSA-N 0 1 316.445 3.271 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](CC)CC(C)C ZINC001158723505 1087994079 /nfs/dbraw/zinc/99/40/79/1087994079.db2.gz ATGMOTHRJNLMGB-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNC/C(Cl)=C\Cl ZINC001158746594 1088005380 /nfs/dbraw/zinc/00/53/80/1088005380.db2.gz BSJBRUAAMITZQE-JLHYYAGUSA-N 0 1 305.249 3.170 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1ccc(C)cc1 ZINC001158768040 1088021197 /nfs/dbraw/zinc/02/11/97/1088021197.db2.gz FOBWDHMTOVSFOH-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(C(F)F)c1 ZINC001158773490 1088021773 /nfs/dbraw/zinc/02/17/73/1088021773.db2.gz NVYFGSFWYRJINQ-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CCC(=O)NCCCN[C@@H](C)c1nc2ccccc2o1 ZINC001159021647 1088145228 /nfs/dbraw/zinc/14/52/28/1088145228.db2.gz XHFDEXVPQRRISW-AWEZNQCLSA-N 0 1 315.417 3.341 20 30 DGEDMN CC1(C)CC(=O)C=C(NC(=O)c2cnc3[nH]ccc(Cl)c2-3)C1 ZINC001153109862 1088169909 /nfs/dbraw/zinc/16/99/09/1088169909.db2.gz JXGHDHVUPFERPQ-UHFFFAOYSA-N 0 1 317.776 3.219 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001153186524 1088178766 /nfs/dbraw/zinc/17/87/66/1088178766.db2.gz AVDQTNCBRIMKQO-KADHNRKRSA-N 0 1 319.474 3.303 20 30 DGEDMN C#CCSCC(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC001626236588 1088254826 /nfs/dbraw/zinc/25/48/26/1088254826.db2.gz OQSKXLUGCSGWPV-UHFFFAOYSA-N 0 1 311.366 3.128 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1ccc(Cl)nc1 ZINC001153641609 1088317157 /nfs/dbraw/zinc/31/71/57/1088317157.db2.gz FORYTWGQKORUSE-CQSZACIVSA-N 0 1 323.868 3.418 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099252260 1088340940 /nfs/dbraw/zinc/34/09/40/1088340940.db2.gz STKJDIRZHDKISO-INIZCTEOSA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)CC(C)(C)C ZINC001099259290 1088360467 /nfs/dbraw/zinc/36/04/67/1088360467.db2.gz ZORHNAOHHJCLFX-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(c2ccccc2)CCC1 ZINC001123234451 1088367571 /nfs/dbraw/zinc/36/75/71/1088367571.db2.gz VENUADUWMGATBO-CYBMUJFWSA-N 0 1 313.788 3.372 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cccc(Cl)n2)CCCC1 ZINC001153814112 1088371453 /nfs/dbraw/zinc/37/14/53/1088371453.db2.gz QPCFXYXAZQSZHJ-UHFFFAOYSA-N 0 1 321.852 3.077 20 30 DGEDMN C[C@@H](Cc1cccc(F)c1)N1CCN(c2cccc(C#N)c2)CC1 ZINC001168500775 1088380785 /nfs/dbraw/zinc/38/07/85/1088380785.db2.gz LZATUWWJBRMYJD-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN CC(C)=CCN1CC[C@@]2(CCCN2Cc2cccc(C#N)c2)C1=O ZINC001272646810 1088422590 /nfs/dbraw/zinc/42/25/90/1088422590.db2.gz VPVLZUPEUQAFAG-FQEVSTJZSA-N 0 1 323.440 3.091 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001099303765 1088513933 /nfs/dbraw/zinc/51/39/33/1088513933.db2.gz ISVUPXAZMUJPEZ-KRWDZBQOSA-N 0 1 316.489 3.053 20 30 DGEDMN C=Cc1ccc(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)cc1 ZINC001154556097 1088653091 /nfs/dbraw/zinc/65/30/91/1088653091.db2.gz OIXPTQWPICBRLB-UHFFFAOYSA-N 0 1 315.332 3.295 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160242404 1088717051 /nfs/dbraw/zinc/71/70/51/1088717051.db2.gz PPNJYIUPVFGQFR-WEVVVXLNSA-N 0 1 310.869 3.480 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C(C)(C)C)CC(C)(C)C1 ZINC001089544041 1088729093 /nfs/dbraw/zinc/72/90/93/1088729093.db2.gz MIECZAOCODIWIR-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001154743104 1088737021 /nfs/dbraw/zinc/73/70/21/1088737021.db2.gz UCESVXNWWCJXLX-UONOGXRCSA-N 0 1 323.506 3.380 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001154743344 1088740271 /nfs/dbraw/zinc/74/02/71/1088740271.db2.gz ZZONFVWHUDFOOV-HZPDHXFCSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001154751441 1088744958 /nfs/dbraw/zinc/74/49/58/1088744958.db2.gz WYFLPKRRAGSPEG-SJORKVTESA-N 0 1 317.477 3.319 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1sccc1C ZINC001089599966 1088791132 /nfs/dbraw/zinc/79/11/32/1088791132.db2.gz GRABSLQRUGBANC-AWEZNQCLSA-N 0 1 306.475 3.463 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(C)c1 ZINC001089601842 1088794951 /nfs/dbraw/zinc/79/49/51/1088794951.db2.gz PXRKZTBMJOAGQX-SFHVURJKSA-N 0 1 312.457 3.239 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1cnc(C)s1 ZINC001089617540 1088827257 /nfs/dbraw/zinc/82/72/57/1088827257.db2.gz ATNBZFYJTWBDLS-AWEZNQCLSA-N 0 1 321.490 3.248 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1sccc1F ZINC001089637778 1088866035 /nfs/dbraw/zinc/86/60/35/1088866035.db2.gz PCCHDZYCLKLPIF-GFCCVEGCSA-N 0 1 310.438 3.294 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)c2nc3ccc(OC)cc3[nH]2)CC1 ZINC001155009080 1088894446 /nfs/dbraw/zinc/89/44/46/1088894446.db2.gz LBGPLYDTAPTHOJ-LBPRGKRZSA-N 0 1 313.401 3.495 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@H]1CCN(CC(=C)Cl)C1 ZINC001483801593 1088901629 /nfs/dbraw/zinc/90/16/29/1088901629.db2.gz JTMSMVFWBYPSSZ-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C2CCCCCCC2)CC1 ZINC001160641117 1088901684 /nfs/dbraw/zinc/90/16/84/1088901684.db2.gz HKFLKPWOPKAJJV-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)ccc2F)C1 ZINC001483881641 1088982649 /nfs/dbraw/zinc/98/26/49/1088982649.db2.gz IVGLRSRXYNOCTQ-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(F)(F)c1nc(Cl)ccc1F ZINC001160953802 1089041109 /nfs/dbraw/zinc/04/11/09/1089041109.db2.gz KLWJWCHINWVHTC-JTQLQIEISA-N 0 1 319.758 3.163 20 30 DGEDMN C=CCN1CCC[C@H]1CNc1nc(Cl)cc(Cl)c1C#N ZINC001160957095 1089041580 /nfs/dbraw/zinc/04/15/80/1089041580.db2.gz FEYXGTQUSLGLSJ-JTQLQIEISA-N 0 1 311.216 3.322 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1ccccc1F ZINC001483955691 1089048876 /nfs/dbraw/zinc/04/88/76/1089048876.db2.gz OUFBBQHMUHNNPK-HNNXBMFYSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2ocnc2C)CC1 ZINC001483966033 1089056649 /nfs/dbraw/zinc/05/66/49/1089056649.db2.gz CZPCRLXOIXZXKJ-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)sc1C ZINC001089665912 1089066382 /nfs/dbraw/zinc/06/63/82/1089066382.db2.gz ILGVJZSGLXQMDA-OAHLLOKOSA-N 0 1 318.486 3.219 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C=C)C1CCN(C/C=C/Cl)CC1 ZINC001483985423 1089070621 /nfs/dbraw/zinc/07/06/21/1089070621.db2.gz WUQAFEIVKBNQTO-JXMROGBWSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCN(C(=O)C[C@H](CC)C(C)C)C1CCN(CC#CC)CC1 ZINC001483989019 1089073121 /nfs/dbraw/zinc/07/31/21/1089073121.db2.gz UEWXBSABWJSNHM-SFHVURJKSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C(C)(F)F)C1CCCC1 ZINC001484008678 1089092703 /nfs/dbraw/zinc/09/27/03/1089092703.db2.gz FWTMVDPAGYONEW-DGCLKSJQSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C(C)C)C1CCCC1 ZINC001484009002 1089093379 /nfs/dbraw/zinc/09/33/79/1089093379.db2.gz XWRAJRGWLKNPGM-DZGCQCFKSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001161121190 1089124555 /nfs/dbraw/zinc/12/45/55/1089124555.db2.gz BBBNFSYRFUDRQA-BHMZLHOFSA-N 0 1 310.869 3.480 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001161117026 1089124847 /nfs/dbraw/zinc/12/48/47/1089124847.db2.gz YGMMAJDTEIRJGP-AEFFLSMTSA-N 0 1 310.457 3.475 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC1(C)C ZINC001089684048 1089160107 /nfs/dbraw/zinc/16/01/07/1089160107.db2.gz NBJUJBKTHDMMQW-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc2[nH]ccc2c1 ZINC001089690412 1089177284 /nfs/dbraw/zinc/17/72/84/1089177284.db2.gz PBHZVIGUOKVRCQ-QGZVFWFLSA-N 0 1 323.440 3.022 20 30 DGEDMN C=C(C)CNc1ccc2c(=O)n(Cc3ccc(OC)cc3)cc-2[nH]1 ZINC001155660395 1089203551 /nfs/dbraw/zinc/20/35/51/1089203551.db2.gz GLWJEOXBJGPHOR-UHFFFAOYSA-N 0 1 323.396 3.234 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CCCC#CC)CC1 ZINC001484141285 1089225988 /nfs/dbraw/zinc/22/59/88/1089225988.db2.gz YOVWIFXIEWGHJY-UHFFFAOYSA-N 0 1 310.869 3.151 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001484166014 1089258295 /nfs/dbraw/zinc/25/82/95/1089258295.db2.gz AUSLOGPUGPAEAH-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN COc1ccc(-c2ccc(N=C(C)CC(C)=O)nn2)c(OC)c1 ZINC001155892508 1089290296 /nfs/dbraw/zinc/29/02/96/1089290296.db2.gz ORELQEWHWCGRCF-LUAWRHEFSA-N 0 1 313.357 3.066 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(-c2nc(C(C)(C)C)no2)ccn1 ZINC001155890903 1089290495 /nfs/dbraw/zinc/29/04/95/1089290495.db2.gz GOEWGDRZFIOQQM-NTMALXAHSA-N 0 1 300.362 3.334 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(C2CC2)c1 ZINC001089737595 1089318487 /nfs/dbraw/zinc/31/84/87/1089318487.db2.gz YKFFMFUWWKFIIP-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](CC)C(C)(C)C)CC1 ZINC001161682983 1089345012 /nfs/dbraw/zinc/34/50/12/1089345012.db2.gz HBEFSGYTMKTQSJ-QGZVFWFLSA-N 0 1 304.478 3.220 20 30 DGEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1ccc(-c2ccco2)s1 ZINC001156149674 1089406220 /nfs/dbraw/zinc/40/62/20/1089406220.db2.gz UETYFFGPCUDPNK-CYBMUJFWSA-N 0 1 316.426 3.082 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1ccc2sccc2c1 ZINC001156149509 1089407459 /nfs/dbraw/zinc/40/74/59/1089407459.db2.gz OKXIGENHJWMSGX-CQSZACIVSA-N 0 1 314.454 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](C=C)c2ccccc2)CC1 ZINC001161925070 1089475479 /nfs/dbraw/zinc/47/54/79/1089475479.db2.gz VZBLESYLVGUWKT-LJQANCHMSA-N 0 1 322.452 3.118 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)oc1C ZINC001484552495 1089486664 /nfs/dbraw/zinc/48/66/64/1089486664.db2.gz CFKQIMCRKLBWSM-UHFFFAOYSA-N 0 1 324.424 3.282 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](F)c1ccccc1 ZINC001484557511 1089492616 /nfs/dbraw/zinc/49/26/16/1089492616.db2.gz MSITWMOQFLPHHX-IBGZPJMESA-N 0 1 324.399 3.079 20 30 DGEDMN CCN(C)c1cc(C)nc(NC(C)(C)c2ccc(C#N)cc2)n1 ZINC001156428939 1089518313 /nfs/dbraw/zinc/51/83/13/1089518313.db2.gz IAPFTLVRMFOWHW-UHFFFAOYSA-N 0 1 309.417 3.460 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](CCC)C(C)C)CCC1 ZINC001484653148 1089570623 /nfs/dbraw/zinc/57/06/23/1089570623.db2.gz MEAXETQUYPQNJS-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001484721687 1089633754 /nfs/dbraw/zinc/63/37/54/1089633754.db2.gz LQTSDYWWIUXZQO-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN CN1CCC(C#N)(Nc2nc3ccccc3cc2Cl)CC1 ZINC001162226911 1089619012 /nfs/dbraw/zinc/61/90/12/1089619012.db2.gz CFMAKLSWDJSYOS-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN CN1CCC(C#N)(Nc2ccc3c(Cl)cccc3n2)CC1 ZINC001162227353 1089619578 /nfs/dbraw/zinc/61/95/78/1089619578.db2.gz REMNKGHJUVHMDJ-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3c(O)cccc3F)cc2n1 ZINC001156690797 1089650179 /nfs/dbraw/zinc/65/01/79/1089650179.db2.gz SSXXWNJDPJUKCX-UHFFFAOYSA-N 0 1 311.316 3.319 20 30 DGEDMN N#Cc1c(F)cc(C(=O)Nc2cc(F)cc(F)c2O)cc1F ZINC001162293070 1089663810 /nfs/dbraw/zinc/66/38/10/1089663810.db2.gz WXBRZPUCEITMFL-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN CCOC(=O)c1cnc2cc(NCc3ccccc3C#N)[nH]cc1-2 ZINC001156786794 1089694010 /nfs/dbraw/zinc/69/40/10/1089694010.db2.gz MJQTVTRKSJHHHE-UHFFFAOYSA-N 0 1 320.352 3.223 20 30 DGEDMN [NH3+][C@H]1CCC[C@@H](Nc2cc(/C=N/[O-])cc(C(F)(F)F)c2)C1 ZINC001162401249 1089717737 /nfs/dbraw/zinc/71/77/37/1089717737.db2.gz KQNQCHSJVVPXHQ-FZPJJONVSA-N 0 1 301.312 3.195 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1sc(C(C)C)nc1C ZINC001156890553 1089733429 /nfs/dbraw/zinc/73/34/29/1089733429.db2.gz OREQRMOTOPEFEJ-CYBMUJFWSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(C)(NCc2cscn2)CC1 ZINC001484922431 1089754490 /nfs/dbraw/zinc/75/44/90/1089754490.db2.gz PXRQTIQHCXKWLO-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN CCOC(=O)c1c[nH]c2cc(N[C@@](C)(C#N)CC(C)C)ncc21 ZINC001162519136 1089768895 /nfs/dbraw/zinc/76/88/95/1089768895.db2.gz AKLZPWGBBJGVNK-QGZVFWFLSA-N 0 1 314.389 3.480 20 30 DGEDMN CCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)CC1(C)C ZINC001484932026 1089773349 /nfs/dbraw/zinc/77/33/49/1089773349.db2.gz WJDQWVAQMJGHRV-OAHLLOKOSA-N 0 1 319.474 3.137 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC=C)c2ccccc2)C(C)(C)C1 ZINC001484943120 1089775046 /nfs/dbraw/zinc/77/50/46/1089775046.db2.gz VDQQDLHLFDXPKG-OALUTQOASA-N 0 1 324.468 3.196 20 30 DGEDMN N#Cc1ccc(NC(=O)c2cc3c(cc2F)C(=O)CCC3)c(O)c1 ZINC001157054678 1089804081 /nfs/dbraw/zinc/80/40/81/1089804081.db2.gz WUALZEUGVUNBOF-UHFFFAOYSA-N 0 1 324.311 3.174 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@H](C)N[C@@H](C)c2ncc(C)o2)CC1 ZINC001484998271 1089819464 /nfs/dbraw/zinc/81/94/64/1089819464.db2.gz FCRRVGMBDFROJE-ZFWWWQNUSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)[C@@H]1C[C@H]1C ZINC001162681556 1089880323 /nfs/dbraw/zinc/88/03/23/1089880323.db2.gz BHSDEGHPGSBFIE-RHSMWYFYSA-N 0 1 320.864 3.056 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)[C@@H]1C[C@@H]1C ZINC001162681558 1089880950 /nfs/dbraw/zinc/88/09/50/1089880950.db2.gz BHSDEGHPGSBFIE-WMLDXEAASA-N 0 1 320.864 3.056 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(CCC)cc1 ZINC001485066878 1089899098 /nfs/dbraw/zinc/89/90/98/1089899098.db2.gz CTDNZLKHPMCJPX-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCCC(=O)N(CCNCC(=C)Cl)CCc1ccccc1 ZINC001162733439 1089911805 /nfs/dbraw/zinc/91/18/05/1089911805.db2.gz YGOGOSBFTGSEAT-UHFFFAOYSA-N 0 1 320.864 3.366 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001485072388 1089920536 /nfs/dbraw/zinc/92/05/36/1089920536.db2.gz FYDHZVVCPXPQBA-NVXWUHKLSA-N 0 1 324.424 3.092 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CC=C(C)CC1 ZINC001485080284 1089938389 /nfs/dbraw/zinc/93/83/89/1089938389.db2.gz SNXOYEUFMIONLX-IEBWSBKVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(Cl)cs1 ZINC001485197399 1090013498 /nfs/dbraw/zinc/01/34/98/1090013498.db2.gz DEZIKKQWSMYKQT-DTWKUNHWSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2occc2s1 ZINC001485189999 1090019674 /nfs/dbraw/zinc/01/96/74/1090019674.db2.gz KOPLVLYOQXJGQQ-NXEZZACHSA-N 0 1 312.822 3.343 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)[C@@H](C)NCC(=C)Cl)CCCCC1 ZINC001485230807 1090038138 /nfs/dbraw/zinc/03/81/38/1090038138.db2.gz AUZNAKSZNWEHJZ-HUUCEWRRSA-N 0 1 310.869 3.196 20 30 DGEDMN Cc1c(=O)[nH]n(-c2ccccc2)c1Nc1nc(C)ccc1CC#N ZINC001163116980 1090083502 /nfs/dbraw/zinc/08/35/02/1090083502.db2.gz YMIOIZRKARBWFR-UHFFFAOYSA-N 0 1 319.368 3.399 20 30 DGEDMN C=CCN(c1nc(Cl)nc2c1CCNC2)C1CCCCC1 ZINC001157847550 1090130023 /nfs/dbraw/zinc/13/00/23/1090130023.db2.gz BMUTYWCXNULVKF-UHFFFAOYSA-N 0 1 306.841 3.101 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@@H]1CN(C)C/C=C\Cl ZINC001157856753 1090132425 /nfs/dbraw/zinc/13/24/25/1090132425.db2.gz MAUOSDRMHDQFGF-HMWXGYMHSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CCCCCCN1CCC[C@@]12CCN(CC(F)(F)F)C2=O ZINC001272699640 1090148368 /nfs/dbraw/zinc/14/83/68/1090148368.db2.gz LXSQMUBIUJDQOZ-HNNXBMFYSA-N 0 1 318.383 3.362 20 30 DGEDMN CC[C@@H](F)CN(C)C[C@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001157964914 1090158734 /nfs/dbraw/zinc/15/87/34/1090158734.db2.gz IEBRUVBZLFSFMW-HZPDHXFCSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001158005070 1090169132 /nfs/dbraw/zinc/16/91/32/1090169132.db2.gz BMNUNJKNSUFLGE-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H](C)C(F)(F)F ZINC001485469198 1090169676 /nfs/dbraw/zinc/16/96/76/1090169676.db2.gz PRNUFGCZAQOUQE-WDEREUQCSA-N 0 1 314.779 3.202 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC1(C)CCCCC1 ZINC001158207038 1090201421 /nfs/dbraw/zinc/20/14/21/1090201421.db2.gz MTYXXYYJTHFOMW-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(N2CCCC2)nc(C(F)(F)F)n1 ZINC001163733438 1090245276 /nfs/dbraw/zinc/24/52/76/1090245276.db2.gz PGWUUAGVZPPPMF-CLFYSBASSA-N 0 1 314.311 3.000 20 30 DGEDMN CC(=O)C=C(C)Nc1ccc(NC(=O)OCC(F)(F)F)cn1 ZINC001163738237 1090245931 /nfs/dbraw/zinc/24/59/31/1090245931.db2.gz UVEIMMMRVZQJJR-YVMONPNESA-N 0 1 317.267 3.097 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001163855944 1090274435 /nfs/dbraw/zinc/27/44/35/1090274435.db2.gz UZRSSFHHDFNVND-UHFFFAOYSA-N 0 1 323.506 3.294 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCNCc1csc(CCCC)n1 ZINC001163963508 1090300414 /nfs/dbraw/zinc/30/04/14/1090300414.db2.gz BQHBLUKRMGNKQO-UHFFFAOYSA-N 0 1 323.506 3.294 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001124587563 1090309977 /nfs/dbraw/zinc/30/99/77/1090309977.db2.gz JVLXFRYLJGKJMO-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN N#CCc1cc(N[C@H](c2ccccc2)c2ccncc2)ccn1 ZINC001164077367 1090335428 /nfs/dbraw/zinc/33/54/28/1090335428.db2.gz HUFXXDWRZGTLMS-LJQANCHMSA-N 0 1 300.365 3.166 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164323042 1090400612 /nfs/dbraw/zinc/40/06/12/1090400612.db2.gz ACZPLNAGYQLWJV-ZDUSSCGKSA-N 0 1 321.490 3.219 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C[C@@H]3C=CCC3)CCC[C@@H]12 ZINC001107270047 1090407280 /nfs/dbraw/zinc/40/72/80/1090407280.db2.gz KVSMMNOOHDAPEF-JFIYKMOQSA-N 0 1 322.880 3.456 20 30 DGEDMN C[C@H]1CN(c2nccc(C#N)c2F)[C@@H](C)CN1Cc1ccccc1 ZINC001165201068 1090478936 /nfs/dbraw/zinc/47/89/36/1090478936.db2.gz FTKOABJBKSKMKF-GJZGRUSLSA-N 0 1 324.403 3.192 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C2CCCCCC2)C1 ZINC001107800756 1090507515 /nfs/dbraw/zinc/50/75/15/1090507515.db2.gz VSUWEJQUKSUOGW-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCC(=O)NCCCNCc1csc(C(C)(C)C)n1 ZINC001165530305 1090527988 /nfs/dbraw/zinc/52/79/88/1090527988.db2.gz FKDZAYRTAXWYEC-UHFFFAOYSA-N 0 1 323.506 3.393 20 30 DGEDMN C=CCCCC(=O)NCCCN[C@H](C)c1nc2ccccc2o1 ZINC001165542840 1090528998 /nfs/dbraw/zinc/52/89/98/1090528998.db2.gz NQDZOICSGLRQPU-CQSZACIVSA-N 0 1 315.417 3.341 20 30 DGEDMN CCCC(C)(C)C(=O)NCCCNCc1cc(F)ccc1C#N ZINC001165581568 1090531804 /nfs/dbraw/zinc/53/18/04/1090531804.db2.gz GYXRQJHZCARTNR-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC001107976313 1090606337 /nfs/dbraw/zinc/60/63/37/1090606337.db2.gz JAHJBTBZMKRDMF-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CCC(=C)C)C1 ZINC001107976441 1090606532 /nfs/dbraw/zinc/60/65/32/1090606532.db2.gz MMNXCOLRTJPRIZ-LJQANCHMSA-N 0 1 322.493 3.296 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CN(CCCC2CCC2)CCO1 ZINC001107977028 1090607273 /nfs/dbraw/zinc/60/72/73/1090607273.db2.gz ZTQGNWMCAJQLKF-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1cc(Cl)nc(NCC2CN(Cc3ccccc3)C2)c1 ZINC000715641165 1090668716 /nfs/dbraw/zinc/66/87/16/1090668716.db2.gz DHWAEYXMZKMTFQ-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)C(CC)CC)C1 ZINC001108038030 1090703819 /nfs/dbraw/zinc/70/38/19/1090703819.db2.gz MAATZHJMQQHUAQ-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1ccc(Cl)cc1F ZINC001485852733 1090708849 /nfs/dbraw/zinc/70/88/49/1090708849.db2.gz DCDPSLGCRDGHNS-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001485880666 1090716079 /nfs/dbraw/zinc/71/60/79/1090716079.db2.gz RJHFIQJEZVPTPH-CQSZACIVSA-N 0 1 321.465 3.399 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCCc1ccccc1 ZINC001485917895 1090727217 /nfs/dbraw/zinc/72/72/17/1090727217.db2.gz TTZKFEFGPHSMLG-HNNXBMFYSA-N 0 1 308.853 3.198 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCc2nc(C)cs2)C[C@H]1C ZINC001486112355 1090752586 /nfs/dbraw/zinc/75/25/86/1090752586.db2.gz OOZLEPOYTGNPAY-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN CCOc1cccc(CN2CCc3ccc(C#N)cc3C2)c1O ZINC001203603200 1090765414 /nfs/dbraw/zinc/76/54/14/1090765414.db2.gz KCPFEDWTXLQKHC-UHFFFAOYSA-N 0 1 308.381 3.221 20 30 DGEDMN COc1ccc(OC2CCN(Cc3cccc(C#N)c3)CC2)nc1 ZINC001203763881 1090799502 /nfs/dbraw/zinc/79/95/02/1090799502.db2.gz QOIFXZKQWCBPKI-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cccc(O[C@H]2CCCN(Cc3cccc(C#N)c3)C2)n1 ZINC001203763831 1090799850 /nfs/dbraw/zinc/79/98/50/1090799850.db2.gz NVBWTMJUMQOZQH-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1cccc(F)c1)C2 ZINC001203785477 1090804337 /nfs/dbraw/zinc/80/43/37/1090804337.db2.gz CBBORPMVORZURU-QZTJIDSGSA-N 0 1 305.393 3.152 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(CC)s1)C(C)C ZINC001486371559 1090812524 /nfs/dbraw/zinc/81/25/24/1090812524.db2.gz FMIBOUFZLVUEMN-UHFFFAOYSA-N 0 1 306.475 3.116 20 30 DGEDMN CC(C)OCCN(CCOC(C)C)Cc1ccc(C#N)cc1 ZINC001203903626 1090834306 /nfs/dbraw/zinc/83/43/06/1090834306.db2.gz JOBLGKZBGZKFBZ-UHFFFAOYSA-N 0 1 304.434 3.210 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCC[C@H](C)CC)CC1 ZINC001486510220 1090862257 /nfs/dbraw/zinc/86/22/57/1090862257.db2.gz VILSHULRWMUHJR-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc(CC)cc2)C1 ZINC001204179626 1090914983 /nfs/dbraw/zinc/91/49/83/1090914983.db2.gz WECGRQQBNWRBDZ-KRWDZBQOSA-N 0 1 302.418 3.126 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001128264955 1090928162 /nfs/dbraw/zinc/92/81/62/1090928162.db2.gz XDRJFTGUKZEXKO-GFCCVEGCSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@@H](c3noc(C)n3)C2)cc1 ZINC001204297642 1090942829 /nfs/dbraw/zinc/94/28/29/1090942829.db2.gz MDJSCXKNLKQFBJ-MRXNPFEDSA-N 0 1 313.401 3.322 20 30 DGEDMN C=CCOc1ccc(CN2CCC(c3nnc(C)o3)CC2)cc1 ZINC001204303450 1090944044 /nfs/dbraw/zinc/94/40/44/1090944044.db2.gz ICBAJQLAUVCBIC-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(Cc2ccccc2C#N)CC1 ZINC001204371163 1090957452 /nfs/dbraw/zinc/95/74/52/1090957452.db2.gz FMBPMWPFKZYOGJ-QGZVFWFLSA-N 0 1 305.425 3.437 20 30 DGEDMN CCCCCCCOc1ccc(CN2CCC[C@H]2C(N)=O)cc1 ZINC001204369317 1090958079 /nfs/dbraw/zinc/95/80/79/1090958079.db2.gz SJQCJCDDDNHWAZ-SFHVURJKSA-N 0 1 318.461 3.486 20 30 DGEDMN Cc1c(F)cccc1CN1CCN(c2cccc(C#N)c2)CC1 ZINC001204510761 1090986596 /nfs/dbraw/zinc/98/65/96/1090986596.db2.gz NVELCEYIMOMGHS-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN(Cc3cc(C)c(C)o3)C2)CC1 ZINC001204532081 1090993082 /nfs/dbraw/zinc/99/30/82/1090993082.db2.gz RWOYMLJYUKXMMR-UHFFFAOYSA-N 0 1 318.417 3.117 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001626076792 1090994645 /nfs/dbraw/zinc/99/46/45/1090994645.db2.gz FCOULMNEBRJLAK-UHFFFAOYSA-N 0 1 316.792 3.494 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](OCCc2ccccc2)C1 ZINC001204999568 1091080729 /nfs/dbraw/zinc/08/07/29/1091080729.db2.gz CPLJOWWICNBYOD-FQEVSTJZSA-N 0 1 306.409 3.392 20 30 DGEDMN C(#Cc1ccc(CN2CC[C@]23CCOC3)cc1)c1ccccc1 ZINC001138336763 1091145087 /nfs/dbraw/zinc/14/50/87/1091145087.db2.gz YQYQVTUKHDFIFX-OAQYLSRUSA-N 0 1 303.405 3.451 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@@H]2c2ccccn2)cc1OC ZINC001138345799 1091148289 /nfs/dbraw/zinc/14/82/89/1091148289.db2.gz PTXYRBLYWUTTSF-GOSISDBHSA-N 0 1 322.408 3.439 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108149529 1091153861 /nfs/dbraw/zinc/15/38/61/1091153861.db2.gz XHHBHZYQJKFODW-ZYLKZGKVSA-N 0 1 322.493 3.152 20 30 DGEDMN COc1c(C)cc(CN2CCC3SC(=O)C=C3C2)cc1C ZINC001138429592 1091182224 /nfs/dbraw/zinc/18/22/24/1091182224.db2.gz SKYPWGQGHTWGNX-HNNXBMFYSA-N 0 1 303.427 3.086 20 30 DGEDMN N#Cc1cc(CN2CCC(O)(Cc3ccccc3)CC2)ccc1F ZINC001205455827 1091226332 /nfs/dbraw/zinc/22/63/32/1091226332.db2.gz SNUVGWXXXYJXHH-UHFFFAOYSA-N 0 1 324.399 3.267 20 30 DGEDMN C#CCOc1ccc(CN2CC[C@](CF)(C(F)(F)F)C2)cc1 ZINC001138556074 1091228600 /nfs/dbraw/zinc/22/86/00/1091228600.db2.gz DWRXKMWWGJATMS-OAHLLOKOSA-N 0 1 315.310 3.423 20 30 DGEDMN N#Cc1cc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)ccc1F ZINC001205493737 1091234953 /nfs/dbraw/zinc/23/49/53/1091234953.db2.gz NMBOYSFHLHVIBE-OALUTQOASA-N 0 1 321.399 3.156 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)C[N@@H+](CC/C=C/CCC)CCO1 ZINC001108168450 1091256847 /nfs/dbraw/zinc/25/68/47/1091256847.db2.gz ANMWPYUYNMOOFD-SGQUHAKNSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CN(CC/C=C/CCC)CCO1 ZINC001108168450 1091256857 /nfs/dbraw/zinc/25/68/57/1091256857.db2.gz ANMWPYUYNMOOFD-SGQUHAKNSA-N 0 1 322.493 3.296 20 30 DGEDMN C#CCN1CCN(Cc2cccc(-c3ccc(OC)cc3)c2)CC1 ZINC001138618120 1091257249 /nfs/dbraw/zinc/25/72/49/1091257249.db2.gz QXQHGULFTJMQJZ-UHFFFAOYSA-N 0 1 320.436 3.113 20 30 DGEDMN C=CCc1cccc(CN2CCc3cnc(OC)cc3C2)c1O ZINC001138631360 1091264091 /nfs/dbraw/zinc/26/40/91/1091264091.db2.gz QOKLTLPZJATFHV-UHFFFAOYSA-N 0 1 310.397 3.083 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001273162263 1091282477 /nfs/dbraw/zinc/28/24/77/1091282477.db2.gz XJBRAANOPIXJEA-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN CNc1ncccc1CN1CCC[C@@H](Oc2cccc(C#N)c2)C1 ZINC001138696609 1091290833 /nfs/dbraw/zinc/29/08/33/1091290833.db2.gz UOPNFFKCGBKXSZ-GOSISDBHSA-N 0 1 322.412 3.038 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001494452153 1091291330 /nfs/dbraw/zinc/29/13/30/1091291330.db2.gz FXLJYXJILSLPJU-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN N#CCc1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1F ZINC001205653875 1091297688 /nfs/dbraw/zinc/29/76/88/1091297688.db2.gz AVQABHGHNAMZAX-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc(-c3ccc(F)cc3)c2)CCC1=O ZINC001139066399 1091435300 /nfs/dbraw/zinc/43/53/00/1091435300.db2.gz FZFPPEFMFGWTKW-QGZVFWFLSA-N 0 1 308.356 3.407 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC(CNCc2cscn2)CC1 ZINC001205989935 1091437783 /nfs/dbraw/zinc/43/77/83/1091437783.db2.gz YXWAECXHFYUOPP-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN COc1cc(C(F)(F)F)c(C#N)c(-c2ccc(O)cc2F)n1 ZINC001206037679 1091463518 /nfs/dbraw/zinc/46/35/18/1091463518.db2.gz KVYBYJYEZBHAFI-UHFFFAOYSA-N 0 1 312.222 3.492 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc4c(C)c(C)[nH]c43)[C@@H]2C1 ZINC001084461653 1091491324 /nfs/dbraw/zinc/49/13/24/1091491324.db2.gz LVEIJPFXMNCOAH-CRAIPNDOSA-N 0 1 323.440 3.117 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3occc3-c3ccccc3)[C@@H]2C1 ZINC001084501547 1091497999 /nfs/dbraw/zinc/49/79/99/1091497999.db2.gz JSEOWEOODPVJJM-SJLPKXTDSA-N 0 1 322.408 3.279 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(F)c3Cl)[C@@H]2C1 ZINC001084683126 1091531924 /nfs/dbraw/zinc/53/19/24/1091531924.db2.gz RUQNBRDEFGLUMU-IUODEOHRSA-N 0 1 322.811 3.202 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C)c4ccccc34)[C@@H]2C1 ZINC001084687886 1091537164 /nfs/dbraw/zinc/53/71/64/1091537164.db2.gz YYYYGYWUYIFBTC-OXQOHEQNSA-N 0 1 320.436 3.481 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C)c3ccccc3C)[C@@H]2C1 ZINC001084699717 1091543045 /nfs/dbraw/zinc/54/30/45/1091543045.db2.gz BHZAMDWBPRVXGK-YQVWRLOYSA-N 0 1 312.457 3.207 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(Cl)cs3)[C@@H]2C1 ZINC001084702610 1091544574 /nfs/dbraw/zinc/54/45/74/1091544574.db2.gz IDENMUUGYXWVSA-DGCLKSJQSA-N 0 1 310.850 3.124 20 30 DGEDMN C[C@H]1C[C@@H](O)CCN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139427474 1091555290 /nfs/dbraw/zinc/55/52/90/1091555290.db2.gz HKVCYTZNVILGPT-YOEHRIQHSA-N 0 1 323.396 3.091 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3coc4cc(C)ccc34)[C@@H]2C1 ZINC001084816110 1091581017 /nfs/dbraw/zinc/58/10/17/1091581017.db2.gz OLNBIMZKAYMVCC-CRAIPNDOSA-N 0 1 324.424 3.002 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C#N)c(F)c3)CC2)cc1 ZINC001139527237 1091586591 /nfs/dbraw/zinc/58/65/91/1091586591.db2.gz BRPWPCUSTZZSJT-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN CC(C)OCCN(CCOC(C)C)Cc1ccc(C#N)c(F)c1 ZINC001139527570 1091586986 /nfs/dbraw/zinc/58/69/86/1091586986.db2.gz IWHVCRUMVPDKGN-UHFFFAOYSA-N 0 1 322.424 3.349 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cccc(C)c1F ZINC001273236457 1091592293 /nfs/dbraw/zinc/59/22/93/1091592293.db2.gz HBECSBAWGHBCAZ-IAGOWNOFSA-N 0 1 316.420 3.276 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](CC)c3ccc(F)cc3)[C@@H]2C1 ZINC001084857976 1091593299 /nfs/dbraw/zinc/59/32/99/1091593299.db2.gz DSPGQWWAJGQGDX-BPQIPLTHSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccoc3C(C)C)[C@@H]2C1 ZINC001084861961 1091599755 /nfs/dbraw/zinc/59/97/55/1091599755.db2.gz NSCVIFGISBHLAC-GDBMZVCRSA-N 0 1 302.418 3.125 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C)C(C)(C)C3(C)C)[C@@H]2C1 ZINC001084871204 1091601301 /nfs/dbraw/zinc/60/13/01/1091601301.db2.gz YDILEIPLMAEAKK-HUUCEWRRSA-N 0 1 304.478 3.168 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H]1CSc2ccccc2O1 ZINC001206448561 1091635188 /nfs/dbraw/zinc/63/51/88/1091635188.db2.gz IBPAMQLTMDEHCG-ZDUSSCGKSA-N 0 1 314.385 3.340 20 30 DGEDMN CCN(C)C(=O)c1cccc(-c2nccc3[nH]cc(C#N)c32)c1 ZINC001206470308 1091641521 /nfs/dbraw/zinc/64/15/21/1091641521.db2.gz QKRUPQVDIJUKRR-UHFFFAOYSA-N 0 1 304.353 3.193 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CCN(C/C=C/Cl)[C@H]3C2)C1 ZINC001085027436 1091645868 /nfs/dbraw/zinc/64/58/68/1091645868.db2.gz KQLJSRMGHNSUSS-PUZFDUQFSA-N 0 1 308.853 3.018 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccc(Cl)cc2)C[C@H]1C ZINC001206490230 1091647228 /nfs/dbraw/zinc/64/72/28/1091647228.db2.gz IRDIOJMGZYRZLO-CZUORRHYSA-N 0 1 306.837 3.243 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)CC3CCCCC3)C[C@@H]21 ZINC001085046926 1091651086 /nfs/dbraw/zinc/65/10/86/1091651086.db2.gz KTDMHIBUBOUJDF-UHOSZYNNSA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1ccc(CN2CC[C@@](O)(C(F)(F)F)[C@@H](C)C2)cc1C#N ZINC001139723277 1091654320 /nfs/dbraw/zinc/65/43/20/1091654320.db2.gz YLWKVGDXBJQBPK-WFASDCNBSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccc(CN2CCC(O)(c3ccccc3F)CC2)cc1C#N ZINC001139724353 1091654646 /nfs/dbraw/zinc/65/46/46/1091654646.db2.gz PRSFQZSDQHTQDJ-UHFFFAOYSA-N 0 1 324.399 3.489 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](C(=O)OC(C)(C)C)C2)cc1C#N ZINC001139724188 1091655084 /nfs/dbraw/zinc/65/50/84/1091655084.db2.gz MRSUDXJWBFKTSG-INIZCTEOSA-N 0 1 314.429 3.420 20 30 DGEDMN CCc1noc(C2CCN(Cc3ccc(C)c(C#N)c3)CC2)n1 ZINC001139726120 1091655206 /nfs/dbraw/zinc/65/52/06/1091655206.db2.gz SYZAJMCDENVZML-UHFFFAOYSA-N 0 1 310.401 3.192 20 30 DGEDMN C=CCN1CC[C@]2(CCN(Cc3cccc(Cl)c3F)C2)C1=O ZINC001273291029 1091707079 /nfs/dbraw/zinc/70/70/79/1091707079.db2.gz NDBFUZYCBZSVCH-KRWDZBQOSA-N 0 1 322.811 3.090 20 30 DGEDMN CC#CC[C@@H](CO)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC001203000301 1091762290 /nfs/dbraw/zinc/76/22/90/1091762290.db2.gz KIPZWFRWBYVAFS-HNNXBMFYSA-N 0 1 301.361 3.258 20 30 DGEDMN C[C@@H]1CN(C/C=C\c2ccccc2)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001206950994 1091805331 /nfs/dbraw/zinc/80/53/31/1091805331.db2.gz RESXPHWEWOKESR-PVDJYUQQSA-N 0 1 324.468 3.186 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2csc(=NC(=O)OC(C)(C)C)[nH]2)C1 ZINC001140312174 1091822198 /nfs/dbraw/zinc/82/21/98/1091822198.db2.gz WFJMBIKWNRFPLW-GFCCVEGCSA-N 0 1 321.446 3.335 20 30 DGEDMN CCc1nccc(CN2CCC(C#Cc3ccccc3)CC2)n1 ZINC001207089387 1091842328 /nfs/dbraw/zinc/84/23/28/1091842328.db2.gz KRSQUQMSECNXQQ-UHFFFAOYSA-N 0 1 305.425 3.303 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc2c1CCCC2 ZINC001085613552 1091856001 /nfs/dbraw/zinc/85/60/01/1091856001.db2.gz SVJQOBQYIZDRQR-KRWDZBQOSA-N 0 1 312.457 3.288 20 30 DGEDMN Cc1noc(C)c1CN1CCC[C@@H](Oc2ccc(C#N)cc2)C1 ZINC001207140631 1091861606 /nfs/dbraw/zinc/86/16/06/1091861606.db2.gz XLVCCHIPBYOXKX-QGZVFWFLSA-N 0 1 311.385 3.207 20 30 DGEDMN C=CCN(CCN(CC)Cc1cccnc1)C(=O)OC(C)(C)C ZINC001207205115 1091886517 /nfs/dbraw/zinc/88/65/17/1091886517.db2.gz DZJMEQJLNXBGHA-UHFFFAOYSA-N 0 1 319.449 3.327 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c(C)[nH]c2ccccc21 ZINC001085710330 1091897020 /nfs/dbraw/zinc/89/70/20/1091897020.db2.gz GIBFTJUMXCREKB-OAHLLOKOSA-N 0 1 311.429 3.199 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3ccc(C#N)nc3)CC2)cc1 ZINC001140544358 1091904023 /nfs/dbraw/zinc/90/40/23/1091904023.db2.gz LFKFHWKTEUUZCL-UHFFFAOYSA-N 0 1 316.408 3.280 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3cnc4ccccc43)CC2)cn1 ZINC001140547583 1091906247 /nfs/dbraw/zinc/90/62/47/1091906247.db2.gz VCXINGHONRMIBP-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2Cc2ccccc2)cc1 ZINC001085756186 1091914253 /nfs/dbraw/zinc/91/42/53/1091914253.db2.gz BXSBVCKAUGHCGZ-FQEVSTJZSA-N 0 1 318.420 3.014 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2ccccc2)cc1 ZINC001085756186 1091914260 /nfs/dbraw/zinc/91/42/60/1091914260.db2.gz BXSBVCKAUGHCGZ-FQEVSTJZSA-N 0 1 318.420 3.014 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2ncccc2s1 ZINC001085760414 1091917173 /nfs/dbraw/zinc/91/71/73/1091917173.db2.gz JSALBRNHMKTTJZ-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2ncccc2s1 ZINC001085760414 1091917181 /nfs/dbraw/zinc/91/71/81/1091917181.db2.gz JSALBRNHMKTTJZ-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC001140593802 1091926804 /nfs/dbraw/zinc/92/68/04/1091926804.db2.gz YDGKCDGLJZUZCM-INIZCTEOSA-N 0 1 306.381 3.011 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CCC(n3ccnc3)CC2)c1 ZINC001140602078 1091928051 /nfs/dbraw/zinc/92/80/51/1091928051.db2.gz CKVDJMSCGHXVQT-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1-c1ccccc1 ZINC001085918538 1092011379 /nfs/dbraw/zinc/01/13/79/1092011379.db2.gz GNFOJFHORBFARC-QGZVFWFLSA-N 0 1 323.440 3.404 20 30 DGEDMN Cc1nnc([C@H]2CCCN(Cc3cccc(C#N)c3Cl)C2)o1 ZINC001140812962 1092014196 /nfs/dbraw/zinc/01/41/96/1092014196.db2.gz PXIWNTKUTKYRPJ-AWEZNQCLSA-N 0 1 316.792 3.283 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2cccc(C#N)c2Cl)C1 ZINC001140812767 1092015060 /nfs/dbraw/zinc/01/50/60/1092015060.db2.gz KDJBQEMBLFMTEQ-KRWDZBQOSA-N 0 1 320.820 3.377 20 30 DGEDMN CC#CCN(C)Cc1cccc(CNC(=O)OC(C)(C)C)c1 ZINC001207716343 1092076791 /nfs/dbraw/zinc/07/67/91/1092076791.db2.gz XBFZIGNGFULMBT-UHFFFAOYSA-N 0 1 302.418 3.166 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCCc2ccccc21 ZINC001085992698 1092081588 /nfs/dbraw/zinc/08/15/88/1092081588.db2.gz RIUHCDWUAIHQRK-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1csc(CC(C)C)n1 ZINC001085999176 1092091446 /nfs/dbraw/zinc/09/14/46/1092091446.db2.gz BQAJYDLGCCRLOF-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN C#CCN(Cc1ccn2ccnc2c1)[C@@H]1CCc2ccccc21 ZINC001141045915 1092118857 /nfs/dbraw/zinc/11/88/57/1092118857.db2.gz FCJDQGNOKGWYHH-LJQANCHMSA-N 0 1 301.393 3.457 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@H](c3ccccn3)C2)c1Cl ZINC001141112275 1092140802 /nfs/dbraw/zinc/14/08/02/1092140802.db2.gz WTQKGYYIMDONAX-JTQLQIEISA-N 0 1 318.833 3.240 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(C[C@@H]2CCCCO2)CC1 ZINC001207965101 1092162114 /nfs/dbraw/zinc/16/21/14/1092162114.db2.gz TVTRWISXOKOKCM-HNNXBMFYSA-N 0 1 302.393 3.252 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1cc(Cl)cs1 ZINC001491593525 1092185227 /nfs/dbraw/zinc/18/52/27/1092185227.db2.gz WIMZBGKYIHULAZ-SNVBAGLBSA-N 0 1 321.273 3.181 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)c1ccccc1F ZINC001491613630 1092189955 /nfs/dbraw/zinc/18/99/55/1092189955.db2.gz MUTUAWQNRBUOEC-DGCLKSJQSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001491632788 1092193209 /nfs/dbraw/zinc/19/32/09/1092193209.db2.gz NBWVFADEYYKWDC-ZIAGYGMSSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCS[C@H](C(=O)OCC)C2)CC1 ZINC001208176216 1092241198 /nfs/dbraw/zinc/24/11/98/1092241198.db2.gz AJBXLESRFYSCEP-CVEARBPZSA-N 0 1 309.475 3.270 20 30 DGEDMN CCCCC(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001491907840 1092288472 /nfs/dbraw/zinc/28/84/72/1092288472.db2.gz BMDVRIJBMDCPLA-AWEZNQCLSA-N 0 1 319.474 3.233 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2cc(F)ccc2F)C1 ZINC001208254960 1092292657 /nfs/dbraw/zinc/29/26/57/1092292657.db2.gz ATQOHPWPWPQQDI-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001491920414 1092298162 /nfs/dbraw/zinc/29/81/62/1092298162.db2.gz CQVWRTRUTUQVKX-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCCCN1Cc1ccccc1)C(C)(C)C ZINC001141644181 1092307183 /nfs/dbraw/zinc/30/71/83/1092307183.db2.gz KVRJEXUKJZMXRH-QZTJIDSGSA-N 0 1 312.457 3.205 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2ccc(C)c(F)c2)C[C@H]1C ZINC001208315057 1092308846 /nfs/dbraw/zinc/30/88/46/1092308846.db2.gz HPESDODSLKQUBX-RHSMWYFYSA-N 0 1 318.436 3.283 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001208325760 1092313808 /nfs/dbraw/zinc/31/38/08/1092313808.db2.gz XGAOCIZUAHEYKS-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN C[Si](C)(C)c1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001141729810 1092323529 /nfs/dbraw/zinc/32/35/29/1092323529.db2.gz QJEQMTDBOJCORL-MRXNPFEDSA-N 0 1 317.530 3.006 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C(CC)(CC)CC)C1 ZINC001108307291 1092325577 /nfs/dbraw/zinc/32/55/77/1092325577.db2.gz XSKAZUPTJONJAA-GOSISDBHSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001108336369 1092339156 /nfs/dbraw/zinc/33/91/56/1092339156.db2.gz BPOHXHXYXSVVKW-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCCCCCCC(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001108361113 1092348842 /nfs/dbraw/zinc/34/88/42/1092348842.db2.gz ZDKJLVLHTGEDEH-LJQANCHMSA-N 0 1 324.509 3.354 20 30 DGEDMN CCCCCCCCC(=O)NC[C@@]1(C)CN(C(C)C)CCO1 ZINC001108361141 1092349276 /nfs/dbraw/zinc/34/92/76/1092349276.db2.gz ZNXXNHBKSGJXRQ-SFHVURJKSA-N 0 1 312.498 3.353 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)C(C)(C)C)C1 ZINC001108366634 1092357630 /nfs/dbraw/zinc/35/76/30/1092357630.db2.gz YCARRCBVGWSTJD-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)C(CC)CC)C1 ZINC001208462667 1092359279 /nfs/dbraw/zinc/35/92/79/1092359279.db2.gz DOTMGEPXBOWLBX-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)C(CC)CC)C1 ZINC001208462666 1092360576 /nfs/dbraw/zinc/36/05/76/1092360576.db2.gz DOTMGEPXBOWLBX-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN CSc1nc(CN2CC[C@H](c3ccccn3)C2)ccc1C#N ZINC001141938456 1092364462 /nfs/dbraw/zinc/36/44/62/1092364462.db2.gz CUIQWRRBQBAFMH-AWEZNQCLSA-N 0 1 310.426 3.060 20 30 DGEDMN C[C@]1(CO)CC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C1 ZINC001141973091 1092372843 /nfs/dbraw/zinc/37/28/43/1092372843.db2.gz YHOGMPBBGALWCR-IBGZPJMESA-N 0 1 311.450 3.352 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CN(Cc3ccsc3)C[C@H]2C)CCC1 ZINC001208517854 1092382887 /nfs/dbraw/zinc/38/28/87/1092382887.db2.gz ZCCWLYQOKWTFHG-GDBMZVCRSA-N 0 1 318.486 3.431 20 30 DGEDMN CCN(CCN(C)Cc1ccc(C#N)cc1)C(=O)OC(C)(C)C ZINC001208575581 1092404467 /nfs/dbraw/zinc/40/44/67/1092404467.db2.gz WBMVHFOMQHVWDW-UHFFFAOYSA-N 0 1 317.433 3.247 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C(C)(C)CCCCC)C1 ZINC001108414763 1092441956 /nfs/dbraw/zinc/44/19/56/1092441956.db2.gz SXLJZYUCASZXAN-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](CC)CCCCC)C1 ZINC001108414658 1092441977 /nfs/dbraw/zinc/44/19/77/1092441977.db2.gz QSZSNVJHRJFJBV-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](CCCC)C(C)C)C1 ZINC001108424221 1092445321 /nfs/dbraw/zinc/44/53/21/1092445321.db2.gz HPJOYWWFKMTTHV-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCC[C@](C)(F)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001492308621 1092469890 /nfs/dbraw/zinc/46/98/90/1092469890.db2.gz DUIWKZHIEHVVCN-SFHVURJKSA-N 0 1 319.424 3.025 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)C/C=C/c1ccccc1 ZINC001492370686 1092484816 /nfs/dbraw/zinc/48/48/16/1092484816.db2.gz VTIXUICGOQJZHW-FMIVXFBMSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2ccsc2)CC1(C)C ZINC001276586900 1092505464 /nfs/dbraw/zinc/50/54/64/1092505464.db2.gz RLVFXNDDXKKTGC-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H]1CCCN1CCCc1cccc(C#N)c1 ZINC001208853303 1092544799 /nfs/dbraw/zinc/54/47/99/1092544799.db2.gz HXPYEXRMXNPRSJ-KRWDZBQOSA-N 0 1 314.429 3.297 20 30 DGEDMN N#Cc1ccc2c(C[N@H+]3CCc4cc(CO)ccc4C3)c[nH]c2c1 ZINC001142911865 1092584134 /nfs/dbraw/zinc/58/41/34/1092584134.db2.gz MHBZOXLGLCMNDE-UHFFFAOYSA-N 0 1 317.392 3.090 20 30 DGEDMN N#Cc1ccc2c(C[N@H+]3CCc4cc(C#N)ccc4C3)c[nH]c2c1 ZINC001142912176 1092584301 /nfs/dbraw/zinc/58/43/01/1092584301.db2.gz XAAANPJGPVZMER-UHFFFAOYSA-N 0 1 312.376 3.470 20 30 DGEDMN N#Cc1ccc2c(CN3CCc4cc(C#N)ccc4C3)c[nH]c2c1 ZINC001142912176 1092584305 /nfs/dbraw/zinc/58/43/05/1092584305.db2.gz XAAANPJGPVZMER-UHFFFAOYSA-N 0 1 312.376 3.470 20 30 DGEDMN C=CCN(CCN1Cc2ccccc2[C@@H](C)C1)C(=O)OCC ZINC001209017240 1092626414 /nfs/dbraw/zinc/62/64/14/1092626414.db2.gz MOZGARMZZPOBQO-HNNXBMFYSA-N 0 1 302.418 3.250 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001209146701 1092672861 /nfs/dbraw/zinc/67/28/61/1092672861.db2.gz PTZNSOSBIGXJLJ-JWAFFJSPSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCCCCN1CCO[C@H]2[C@H](Oc3ccccn3)CC[C@@H]21 ZINC001209199832 1092691555 /nfs/dbraw/zinc/69/15/55/1092691555.db2.gz BYYMTHDFRYDLOF-LZLYRXPVSA-N 0 1 302.418 3.049 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001209207168 1092695707 /nfs/dbraw/zinc/69/57/07/1092695707.db2.gz NFRFQFYMYAFHFE-UHFFFAOYSA-N 0 1 306.837 3.454 20 30 DGEDMN COC(=O)[C@H]1CC[N@@H+](Cc2ccccc2C#N)[C@H]1c1ccccc1 ZINC001204375099 1092709971 /nfs/dbraw/zinc/70/99/71/1092709971.db2.gz NAOFJBOCBRVZQP-OALUTQOASA-N 0 1 320.392 3.294 20 30 DGEDMN COC(=O)[C@H]1CCN(Cc2ccccc2C#N)[C@H]1c1ccccc1 ZINC001204375099 1092709976 /nfs/dbraw/zinc/70/99/76/1092709976.db2.gz NAOFJBOCBRVZQP-OALUTQOASA-N 0 1 320.392 3.294 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2cccc(F)c2F)C1 ZINC001209336387 1092738076 /nfs/dbraw/zinc/73/80/76/1092738076.db2.gz XEPWRYOSZXKGGC-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCCCC[N@H+]1CCn2cnc(COCC3CC3)c2C1 ZINC001209423885 1092769898 /nfs/dbraw/zinc/76/98/98/1092769898.db2.gz GBPDOYOJPMCOJB-UHFFFAOYSA-N 0 1 303.450 3.372 20 30 DGEDMN C=CCCCCCN1CCn2cnc(COCC3CC3)c2C1 ZINC001209423885 1092769905 /nfs/dbraw/zinc/76/99/05/1092769905.db2.gz GBPDOYOJPMCOJB-UHFFFAOYSA-N 0 1 303.450 3.372 20 30 DGEDMN C=CCCCCCCN1CCN(c2ncccc2OC)CC1 ZINC001209441646 1092776927 /nfs/dbraw/zinc/77/69/27/1092776927.db2.gz XZCNJNLMTHSXRZ-UHFFFAOYSA-N 0 1 303.450 3.349 20 30 DGEDMN CC[C@@H](CN(CCC#N)C1CCCC1)NC(=O)OC(C)(C)C ZINC001209459000 1092782273 /nfs/dbraw/zinc/78/22/73/1092782273.db2.gz SJLAJGFQAKTLPG-AWEZNQCLSA-N 0 1 309.454 3.448 20 30 DGEDMN C=CCCCNC(=O)c1c[nH]c2ccc(C(F)(F)F)cc2c1=O ZINC001150477428 1092782489 /nfs/dbraw/zinc/78/24/89/1092782489.db2.gz WMCBPPHWZZVMAD-UHFFFAOYSA-N 0 1 324.302 3.243 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H](C)CCCCCC)C1 ZINC001150572532 1092795093 /nfs/dbraw/zinc/79/50/93/1092795093.db2.gz PXHJIRQFWVTCFY-ZWKOTPCHSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1cccs1)C1CC1 ZINC001276656230 1092843092 /nfs/dbraw/zinc/84/30/92/1092843092.db2.gz MAFKTIIWKWKWBQ-WCQYABFASA-N 0 1 312.866 3.089 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)C[N@@H+](C)CCc1ccccc1 ZINC001150960902 1092846340 /nfs/dbraw/zinc/84/63/40/1092846340.db2.gz KWJJKGMCVUXFMU-UHFFFAOYSA-N 0 1 302.462 3.270 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)CN(C)CCc1ccccc1 ZINC001150960902 1092846344 /nfs/dbraw/zinc/84/63/44/1092846344.db2.gz KWJJKGMCVUXFMU-UHFFFAOYSA-N 0 1 302.462 3.270 20 30 DGEDMN CCCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccc(Cl)cc1 ZINC001151542664 1092919034 /nfs/dbraw/zinc/91/90/34/1092919034.db2.gz SLIQRUDZGLYCRL-OAHLLOKOSA-N 0 1 320.864 3.318 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C(C)(C)C3CCCC3)[C@@H]2C1 ZINC001276677855 1093033539 /nfs/dbraw/zinc/03/35/39/1093033539.db2.gz KUAGTAOHDMLNPX-PHZGNYQRSA-N 0 1 324.896 3.249 20 30 DGEDMN COC(=O)c1cc(C)cc(Nc2cc(C#N)c(F)cc2O)c1 ZINC001211176222 1093405405 /nfs/dbraw/zinc/40/54/05/1093405405.db2.gz OZEZYQVHOXDRTK-UHFFFAOYSA-N 0 1 300.289 3.242 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001211227926 1093428468 /nfs/dbraw/zinc/42/84/68/1093428468.db2.gz CDXWTGMTVVOVBR-SJLPKXTDSA-N 0 1 314.473 3.367 20 30 DGEDMN C=CCn1cnc2c1ncnc2Nc1ccnc(C(C)(C)C)c1 ZINC001213164570 1093532053 /nfs/dbraw/zinc/53/20/53/1093532053.db2.gz UPZHOTOQIWJKKK-UHFFFAOYSA-N 0 1 308.389 3.448 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@@H](NC(=O)[C@H](C)CC(=C)C)[C@H](OC)C1 ZINC001213320265 1093566005 /nfs/dbraw/zinc/56/60/05/1093566005.db2.gz QJTMZHGAYVHFCL-KZNAEPCWSA-N 0 1 322.493 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)c1ccccc1)C1CC1 ZINC001276702703 1093606280 /nfs/dbraw/zinc/60/62/80/1093606280.db2.gz JJGXQBGPCBTIMK-GUYCJALGSA-N 0 1 320.864 3.417 20 30 DGEDMN COC(=O)c1cccc(Nc2cc(C#N)c(F)cc2O)c1F ZINC001214582862 1093806504 /nfs/dbraw/zinc/80/65/04/1093806504.db2.gz BIFNGKIUGOSHSG-UHFFFAOYSA-N 0 1 304.252 3.072 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2c(F)cccc2F)C1 ZINC001214741412 1093844924 /nfs/dbraw/zinc/84/49/24/1093844924.db2.gz KAQCFLFTCOIKMU-CXAGYDPISA-N 0 1 322.399 3.258 20 30 DGEDMN COc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1C#N ZINC001214993561 1093897950 /nfs/dbraw/zinc/89/79/50/1093897950.db2.gz JUAGLAPDOVJIME-UHFFFAOYSA-N 0 1 324.409 3.232 20 30 DGEDMN COc1cccc(O)c1-c1nc(-c2cc(C#N)ccc2F)no1 ZINC001215322390 1093960045 /nfs/dbraw/zinc/96/00/45/1093960045.db2.gz KNEOEIVFGOOMAR-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215471855 1093988313 /nfs/dbraw/zinc/98/83/13/1093988313.db2.gz FQARLRRACBKAEI-WQKRQUQVSA-N 0 1 319.276 3.344 20 30 DGEDMN COc1cc(C#N)c(Nc2cc3[nH]ncc3c(F)c2)cc1OC ZINC001215555429 1094000900 /nfs/dbraw/zinc/00/09/00/1094000900.db2.gz WLXLWTUJDMXWBM-UHFFFAOYSA-N 0 1 312.304 3.334 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](CC)CC(C)C)C[C@H]1C ZINC001216270929 1094163238 /nfs/dbraw/zinc/16/32/38/1094163238.db2.gz HYBOODVMZAIUPO-BPLDGKMQSA-N 0 1 300.874 3.248 20 30 DGEDMN N#Cc1cn(-c2cncn2Cc2ccccc2)c2cc(N)ccc12 ZINC001216554933 1094217895 /nfs/dbraw/zinc/21/78/95/1094217895.db2.gz QPYMHPLXRJDCRP-UHFFFAOYSA-N 0 1 313.364 3.329 20 30 DGEDMN N#Cc1cc(-c2nc(-c3ncccc3C3CC3)no2)ccc1O ZINC001216969589 1094285505 /nfs/dbraw/zinc/28/55/05/1094285505.db2.gz HPLIIBDGOWRHCU-UHFFFAOYSA-N 0 1 304.309 3.253 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3cnc4ccc[nH]c3-4)n2)c1 ZINC001217399425 1094400588 /nfs/dbraw/zinc/40/05/88/1094400588.db2.gz SUUUJJNBEJPKSI-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135180888 1094431873 /nfs/dbraw/zinc/43/18/73/1094431873.db2.gz KMSSUKIMZODUJJ-OLZOCXBDSA-N 0 1 323.506 3.427 20 30 DGEDMN Cc1[nH]nc2cc(-c3nc(-c4cccc(C#N)c4)no3)ccc12 ZINC001217576361 1094445018 /nfs/dbraw/zinc/44/50/18/1094445018.db2.gz OVHVMWCUWAHUOT-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001135353576 1094499765 /nfs/dbraw/zinc/49/97/65/1094499765.db2.gz JMALJMSENDUWGG-QWHCGFSZSA-N 0 1 307.438 3.021 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001135406643 1094523114 /nfs/dbraw/zinc/52/31/14/1094523114.db2.gz XKPLUMAKVFCJKH-TZMCWYRMSA-N 0 1 300.874 3.297 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@H]1C[C@H](C)CC(C)(C)C1 ZINC001135406639 1094523585 /nfs/dbraw/zinc/52/35/85/1094523585.db2.gz XKPLUMAKVFCJKH-GXTWGEPZSA-N 0 1 300.874 3.297 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCC[C@H](C)CCC)[C@H]2C1 ZINC001218004466 1094553390 /nfs/dbraw/zinc/55/33/90/1094553390.db2.gz SEQWXKVANRCUML-FGTMMUONSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001135560737 1094576699 /nfs/dbraw/zinc/57/66/99/1094576699.db2.gz KYWPFLINKFPUAN-CHWSQXEVSA-N 0 1 321.465 3.267 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(-c2nc3ccccc3s2)cc1 ZINC001218199614 1094654542 /nfs/dbraw/zinc/65/45/42/1094654542.db2.gz GJIQWBCPQKUGMP-XJKSGUPXSA-N 0 1 321.405 3.454 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1cc(C(F)(F)F)ccc1C(F)(F)F ZINC001218202236 1094656867 /nfs/dbraw/zinc/65/68/67/1094656867.db2.gz ZGTFIJMKEKFHLR-RDDDGLTNSA-N 0 1 324.224 3.215 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)C1CCC(C)CC1 ZINC001121613427 1094728303 /nfs/dbraw/zinc/72/83/03/1094728303.db2.gz YZLOGIWTZAMALN-UHFFFAOYSA-N 0 1 314.437 3.178 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135878775 1094730127 /nfs/dbraw/zinc/73/01/27/1094730127.db2.gz ZVICNDNFRXNXGV-INIZCTEOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)C[C@@H](N)c2ccccc2OCC)C1 ZINC001122139235 1094910040 /nfs/dbraw/zinc/91/00/40/1094910040.db2.gz WIELIILJFOXEQY-NVXWUHKLSA-N 0 1 316.445 3.290 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001122415918 1094970954 /nfs/dbraw/zinc/97/09/54/1094970954.db2.gz RVJKPELIYJHHSO-GOSISDBHSA-N 0 1 320.392 3.298 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCCC1(F)F)c1nnc2n1CCCCC2 ZINC001122514767 1094995823 /nfs/dbraw/zinc/99/58/23/1094995823.db2.gz WROMCLRNHDDURD-RYUDHWBXSA-N 0 1 322.359 3.006 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001112014193 1095025116 /nfs/dbraw/zinc/02/51/16/1095025116.db2.gz MDBUGVLMKLJQJV-LNLFQRSKSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC[C@@H](/N=C\c1ccc(N(CC)CC)cc1O)C(=O)OCC ZINC001337735947 1095075893 /nfs/dbraw/zinc/07/58/93/1095075893.db2.gz NVFYIMMXDTVVSL-YZYPPFLQSA-N 0 1 318.417 3.165 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cc3cc[nH]c3c(C)c2)cc1 ZINC001170989060 1095086372 /nfs/dbraw/zinc/08/63/72/1095086372.db2.gz JQDRSUQHFHORFB-UHFFFAOYSA-N 0 1 307.353 3.300 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001171009969 1095123755 /nfs/dbraw/zinc/12/37/55/1095123755.db2.gz STRPCPUYHYIYLZ-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2ncc3ccccc3c2O)cc1 ZINC001136768669 1095156058 /nfs/dbraw/zinc/15/60/58/1095156058.db2.gz LDBQOOIZZSEKPI-UHFFFAOYSA-N 0 1 318.332 3.205 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CCCCCCCC ZINC001115273608 1095156928 /nfs/dbraw/zinc/15/69/28/1095156928.db2.gz PCEGJRHKLWFUSC-BDXSIMOUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)c1ccccc1 ZINC001136797610 1095173143 /nfs/dbraw/zinc/17/31/43/1095173143.db2.gz OEWKZLREAYWNDK-MRXNPFEDSA-N 0 1 304.353 3.380 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1Cc2ccc(C)cc2S1 ZINC001123234244 1095196709 /nfs/dbraw/zinc/19/67/09/1095196709.db2.gz SKHYYJDBKJJQPL-HIFRSBDPSA-N 0 1 311.410 3.105 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)Sc1ccc(F)cc1 ZINC001123234601 1095197332 /nfs/dbraw/zinc/19/73/32/1095197332.db2.gz YDBJSZLYHPMEFT-RISCZKNCSA-N 0 1 317.389 3.399 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1csc(C(C)(C)C)n1 ZINC001123236745 1095197393 /nfs/dbraw/zinc/19/73/93/1095197393.db2.gz AMECNZISSUPXAE-JTQLQIEISA-N 0 1 302.403 3.147 20 30 DGEDMN C#CC1(NC(=O)[C@@H](N)c2ccc3ccccc3c2)CCCCC1 ZINC001221275787 1095229253 /nfs/dbraw/zinc/22/92/53/1095229253.db2.gz HXQRIXVOUBVRDA-SFHVURJKSA-N 0 1 306.409 3.292 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H](C)OCCCCCC)[C@@H]2C1 ZINC001221547450 1095300436 /nfs/dbraw/zinc/30/04/36/1095300436.db2.gz ODVZCEFXUZGQIP-KZNAEPCWSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)[C@H](C(=O)C(C#N)c1ncc(F)cc1F)c1cccnc1 ZINC001123475968 1095313185 /nfs/dbraw/zinc/31/31/85/1095313185.db2.gz IEAIYBJAOINTND-ZFWWWQNUSA-N 0 1 315.323 3.371 20 30 DGEDMN CC(C)Nc1cccc(F)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC001143822139 1095393726 /nfs/dbraw/zinc/39/37/26/1095393726.db2.gz NIOBEJDTAWHTNP-UHFFFAOYSA-N 0 1 313.332 3.476 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(C(C)(C)C)CC2)c1 ZINC001115887230 1095412734 /nfs/dbraw/zinc/41/27/34/1095412734.db2.gz CMAWDMMDYYIJBA-UHFFFAOYSA-N 0 1 317.433 3.199 20 30 DGEDMN CCN(CC)c1ccc(C=NNCC2CCOCC2)cc1F ZINC001116114200 1095458466 /nfs/dbraw/zinc/45/84/66/1095458466.db2.gz HIRDRPLBIQLFAR-UHFFFAOYSA-N 0 1 307.413 3.022 20 30 DGEDMN CC[C@H]1CN(Cc2ccccc2)CCN1Cc1cncc(C#N)c1 ZINC001144084620 1095505772 /nfs/dbraw/zinc/50/57/72/1095505772.db2.gz JKSDUZGPSXWPER-FQEVSTJZSA-N 0 1 320.440 3.050 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCN2Cc2ccc(C#N)cn2)cc1 ZINC001144169780 1095548667 /nfs/dbraw/zinc/54/86/67/1095548667.db2.gz WOMGEJVLTHYHFK-SFHVURJKSA-N 0 1 321.380 3.077 20 30 DGEDMN N#CCCCNCc1ccc(OC(F)F)cc1OC(F)F ZINC001116573876 1095605409 /nfs/dbraw/zinc/60/54/09/1095605409.db2.gz RABXIGQCRRSMAH-UHFFFAOYSA-N 0 1 306.259 3.283 20 30 DGEDMN N#C[C@@H]1CN([C@@H]2CCc3cc(Cl)c(Cl)cc3C2)CCC1=O ZINC001171160954 1095611293 /nfs/dbraw/zinc/61/12/93/1095611293.db2.gz CSOBUYKMDWEWIY-CHWSQXEVSA-N 0 1 323.223 3.265 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2cc(C(F)(F)F)cnc2C#N)[nH]n1 ZINC001339472941 1095635881 /nfs/dbraw/zinc/63/58/81/1095635881.db2.gz XGRXRUYSQHFQFG-MRVPVSSYSA-N 0 1 309.295 3.047 20 30 DGEDMN N=C(Nc1ccc2c(c1)COC2=O)c1ccc2cc(O)ccc2c1 ZINC001171185147 1095658875 /nfs/dbraw/zinc/65/88/75/1095658875.db2.gz SNXQNGZEJANOQA-UHFFFAOYSA-N 0 1 318.332 3.253 20 30 DGEDMN CN(Cc1cc(F)cc(F)c1)C[C@@H](O)c1ccc(C#N)cc1 ZINC001116804370 1095664734 /nfs/dbraw/zinc/66/47/34/1095664734.db2.gz AXWZMYMCMLKNFG-QGZVFWFLSA-N 0 1 302.324 3.002 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@@H](C#N)CCc1ccccc1 ZINC001137569326 1095679629 /nfs/dbraw/zinc/67/96/29/1095679629.db2.gz VDDMJVLMZFWZEW-GFCCVEGCSA-N 0 1 318.327 3.367 20 30 DGEDMN COc1cccc(C2(N[C@H](C)Cc3cccc(C#N)c3)COC2)c1 ZINC001171196315 1095697953 /nfs/dbraw/zinc/69/79/53/1095697953.db2.gz YWKZWJMVOYTENF-OAHLLOKOSA-N 0 1 322.408 3.013 20 30 DGEDMN C#Cc1ccc(CN2CCC(O)(c3ccccc3F)CC2)cc1 ZINC001144573711 1095722931 /nfs/dbraw/zinc/72/29/31/1095722931.db2.gz PKJHHISTBGSGTB-UHFFFAOYSA-N 0 1 309.384 3.291 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3nccc4occc43)CC2)cc1 ZINC001144576126 1095726219 /nfs/dbraw/zinc/72/62/19/1095726219.db2.gz BBFPEGUXVFTKOC-UHFFFAOYSA-N 0 1 317.392 3.131 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2ccnc(C#N)c2)C1 ZINC001222736385 1095737827 /nfs/dbraw/zinc/73/78/27/1095737827.db2.gz QCWKURVPUAHGDP-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2ccc(C#N)nc2)C1 ZINC001222966969 1095804878 /nfs/dbraw/zinc/80/48/78/1095804878.db2.gz VUTNUOJYDWXGFM-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CCC[C@@H](OCc2ccc(C#N)cn2)C1 ZINC001222980989 1095810692 /nfs/dbraw/zinc/81/06/92/1095810692.db2.gz DDSNHYLZVLKGDL-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2ccc(C#N)cn2)C1 ZINC001222980989 1095810709 /nfs/dbraw/zinc/81/07/09/1095810709.db2.gz DDSNHYLZVLKGDL-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCc1ccc(-c2noc(C)n2)cc1 ZINC001223464063 1095976663 /nfs/dbraw/zinc/97/66/63/1095976663.db2.gz JPHWYKFJHCEGMB-AWEZNQCLSA-N 0 1 314.341 3.171 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N(C)[C@@H](C)C1CC1 ZINC001340577522 1095980458 /nfs/dbraw/zinc/98/04/58/1095980458.db2.gz GDBMASZYAVNBKM-LBPRGKRZSA-N 0 1 322.416 3.242 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CC[N@H+]1CCc1ccccc1 ZINC001276898854 1095996654 /nfs/dbraw/zinc/99/66/54/1095996654.db2.gz LWXWEPDIIIHQEZ-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001276898854 1095996667 /nfs/dbraw/zinc/99/66/67/1095996667.db2.gz LWXWEPDIIIHQEZ-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](O[C@H](C#N)c2ccccc2)C1 ZINC001223710700 1096042494 /nfs/dbraw/zinc/04/24/94/1096042494.db2.gz GWHHUAJFKKEENW-SZVBFZGTSA-N 0 1 307.397 3.498 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](O[C@@H](C#N)c2ccccc2)C1 ZINC001223710701 1096043194 /nfs/dbraw/zinc/04/31/94/1096043194.db2.gz GWHHUAJFKKEENW-WDYCEAGBSA-N 0 1 307.397 3.498 20 30 DGEDMN C[C@@H](CCC#N)N1CCN(Cc2cccc3cccnc32)CC1 ZINC001340847177 1096050194 /nfs/dbraw/zinc/05/01/94/1096050194.db2.gz IQUCNQPWAXHXAN-INIZCTEOSA-N 0 1 308.429 3.045 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@H](C)C1=O)c1ccccc1Cl ZINC001223772929 1096062136 /nfs/dbraw/zinc/06/21/36/1096062136.db2.gz SZOYJQURNBIFPT-RFAUZJTJSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCc1cccc(OC(=O)C(C)C)c1 ZINC001223894470 1096090086 /nfs/dbraw/zinc/09/00/86/1096090086.db2.gz XPHNXNQXBVXYTF-OAHLLOKOSA-N 0 1 318.369 3.374 20 30 DGEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@@H](F)CCCCCC)C[C@@H]21 ZINC001223912304 1096094371 /nfs/dbraw/zinc/09/43/71/1096094371.db2.gz QNRBCEAUUFMJHK-SQNIBIBYSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)CCC(C)(C)C)C[C@@H]21 ZINC001223922660 1096095504 /nfs/dbraw/zinc/09/55/04/1096095504.db2.gz JEJSWBASZHKPGZ-ZACQAIPSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001224051263 1096123174 /nfs/dbraw/zinc/12/31/74/1096123174.db2.gz QNEQOPYHRUOMMT-UHFFFAOYSA-N 0 1 324.468 3.079 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CCC)c3ccccc3)C[C@@H]21 ZINC001224079524 1096130372 /nfs/dbraw/zinc/13/03/72/1096130372.db2.gz IMACWKIHZYOGKD-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN CC1(C(=O)NCC2CCN(CC#Cc3ccccc3)CC2)CCC1 ZINC001224094453 1096132883 /nfs/dbraw/zinc/13/28/83/1096132883.db2.gz IMLAAOMAFOYYDZ-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCCC1(C(=O)NCC2CCN(C/C=C/Cl)CC2)CC1 ZINC001224394050 1096201303 /nfs/dbraw/zinc/20/13/03/1096201303.db2.gz IGAGFPMZTRAORA-ONNFQVAWSA-N 0 1 310.869 3.314 20 30 DGEDMN COc1ccc(CNCc2ccccc2N2CCCC2)cc1C#N ZINC001119496036 1096213547 /nfs/dbraw/zinc/21/35/47/1096213547.db2.gz FSEMMDZYMGGEAS-UHFFFAOYSA-N 0 1 321.424 3.457 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCCCP(=O)(OCC)OCC ZINC001224763049 1096280244 /nfs/dbraw/zinc/28/02/44/1096280244.db2.gz PAIVFOSARKVIRB-LBPRGKRZSA-N 0 1 320.322 3.269 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](OCCCc2ccncc2)C1 ZINC001224948306 1096320069 /nfs/dbraw/zinc/32/00/69/1096320069.db2.gz RPBUMHKFUZQUEQ-FQEVSTJZSA-N 0 1 321.424 3.177 20 30 DGEDMN CC[C@@H]1OC(C)=C(OC[C@@H](C)COCc2ccccc2)C1=O ZINC001225003455 1096332548 /nfs/dbraw/zinc/33/25/48/1096332548.db2.gz JCEMRNVTUUJCFU-BBRMVZONSA-N 0 1 304.386 3.465 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C/C=C/Cl)C3)CCC1 ZINC001148248824 1096335705 /nfs/dbraw/zinc/33/57/05/1096335705.db2.gz GNMMDSFYPJCNIW-RUDMXATFSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CCCC(=O)NCC1(N[C@H](C)c2cc(F)ccc2F)CC1 ZINC001277014818 1096337780 /nfs/dbraw/zinc/33/77/80/1096337780.db2.gz ZLCSSQFJGPTBEB-GFCCVEGCSA-N 0 1 308.372 3.230 20 30 DGEDMN N#CCc1ccc(O[C@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001225266442 1096378779 /nfs/dbraw/zinc/37/87/79/1096378779.db2.gz KEEODPLGSPWNTM-FQEVSTJZSA-N 0 1 317.392 3.278 20 30 DGEDMN C[C@H](C#N)c1cccc(C(=O)N2CCC(c3cnc[nH]3)CC2)c1 ZINC001148614379 1096411706 /nfs/dbraw/zinc/41/17/06/1096411706.db2.gz AJOBFDBSDMTXON-CYBMUJFWSA-N 0 1 308.385 3.057 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCCN(Cc3ccccc3F)C2)cn1 ZINC001225499714 1096450366 /nfs/dbraw/zinc/45/03/66/1096450366.db2.gz NHWXNNTWUHQHBN-KRWDZBQOSA-N 0 1 311.360 3.136 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1nccnc1C ZINC001148821177 1096454628 /nfs/dbraw/zinc/45/46/28/1096454628.db2.gz WOGWJQWPUIXBJS-UHFFFAOYSA-N 0 1 320.481 3.132 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001148910287 1096465179 /nfs/dbraw/zinc/46/51/79/1096465179.db2.gz PXKGXHGKPIBDOJ-UHFFFAOYSA-N 0 1 307.608 3.065 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C3CCC3)C2)CC1 ZINC001086896751 1096494625 /nfs/dbraw/zinc/49/46/25/1096494625.db2.gz UDZXMSSKWYOWPI-AWEZNQCLSA-N 0 1 310.869 3.242 20 30 DGEDMN COc1ccc(C#N)cc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001225689194 1096504776 /nfs/dbraw/zinc/50/47/76/1096504776.db2.gz OPVGNYKCLQXSLK-GDBMZVCRSA-N 0 1 323.396 3.176 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C3CC(C)C3)C2)CC1 ZINC001086913979 1096507101 /nfs/dbraw/zinc/50/71/01/1096507101.db2.gz CJNBIEIBPQIMFA-SIWZUTFBSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(Br)cccc1OC ZINC001225803047 1096533265 /nfs/dbraw/zinc/53/32/65/1096533265.db2.gz YXBJPKHEOXAETP-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4c(F)cc(F)cc4C3)CCC2S1 ZINC001171371999 1096552067 /nfs/dbraw/zinc/55/20/67/1096552067.db2.gz SBDSYQMWKZBYKU-CZUORRHYSA-N 0 1 321.392 3.096 20 30 DGEDMN C#C[C@@H](COc1cccc(Cl)c1)Oc1cccc2[nH]nnc21 ZINC001225992232 1096582628 /nfs/dbraw/zinc/58/26/28/1096582628.db2.gz QWQMTJWBHFZOTI-LBPRGKRZSA-N 0 1 313.744 3.071 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001110131854 1096608143 /nfs/dbraw/zinc/60/81/43/1096608143.db2.gz IGAYFKPQQOUOBN-YRPNKDGESA-N 0 1 324.468 3.329 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1noc2cc(Br)ccc21 ZINC001226312532 1096659593 /nfs/dbraw/zinc/65/95/93/1096659593.db2.gz SSICSXOHPWHOJD-LURJTMIESA-N 0 1 324.130 3.188 20 30 DGEDMN C=C(Cl)CN1CC2(CCC2)[C@@H]1C1CCN(C(=O)C(C)C)CC1 ZINC001093358568 1096680741 /nfs/dbraw/zinc/68/07/41/1096680741.db2.gz DZMJRCWJLGOOEC-INIZCTEOSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1csc(C)n1 ZINC001226523012 1096712295 /nfs/dbraw/zinc/71/22/95/1096712295.db2.gz OCXNMRWPMZGLSU-HZPDHXFCSA-N 0 1 321.490 3.325 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(OC)ccc1Br ZINC001226550640 1096718981 /nfs/dbraw/zinc/71/89/81/1096718981.db2.gz VUHKFYSVRICKQI-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN CCCCCCC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001149798147 1096736999 /nfs/dbraw/zinc/73/69/99/1096736999.db2.gz ABPFCXFYUXKOSJ-UHFFFAOYSA-N 0 1 320.864 3.368 20 30 DGEDMN C=CC[C@H](CCCC)Oc1nc[nH]c(=O)c1Br ZINC001226723364 1096761064 /nfs/dbraw/zinc/76/10/64/1096761064.db2.gz WTAPDTMKXPGVHR-SECBINFHSA-N 0 1 301.184 3.458 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(Br)c(C#N)c1 ZINC001226729020 1096761696 /nfs/dbraw/zinc/76/16/96/1096761696.db2.gz HPMLYTBVMRUIJG-GFCCVEGCSA-N 0 1 322.158 3.309 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3ccco3)CCC[C@@H]12 ZINC001094347073 1096797782 /nfs/dbraw/zinc/79/77/82/1096797782.db2.gz JRMDOKUERJXUJY-GDBMZVCRSA-N 0 1 308.809 3.007 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H]3CC=CCC3)CCC[C@@H]12 ZINC001094361253 1096805710 /nfs/dbraw/zinc/80/57/10/1096805710.db2.gz WSSXHDLUPZIXTK-LZLYRXPVSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001277164421 1096809499 /nfs/dbraw/zinc/80/94/99/1096809499.db2.gz IGXBRUZUKIGQRG-MRXNPFEDSA-N 0 1 321.852 3.076 20 30 DGEDMN C#CCCCC(=O)NC1CCN(Cc2ccccc2Cl)CC1 ZINC001227084938 1096863912 /nfs/dbraw/zinc/86/39/12/1096863912.db2.gz PXIDMHGATSZMAF-UHFFFAOYSA-N 0 1 318.848 3.224 20 30 DGEDMN N#Cc1ccc2nc(OC(C(F)(F)F)C(F)(F)F)[nH]c2c1 ZINC001227217058 1096898084 /nfs/dbraw/zinc/89/80/84/1096898084.db2.gz ZTIOECWYRZWKEJ-UHFFFAOYSA-N 0 1 309.169 3.307 20 30 DGEDMN C=CCOCc1nn([C@H]2CCc3c(C)cccc3C2)c2c1CNC2 ZINC001171565687 1096925356 /nfs/dbraw/zinc/92/53/56/1096925356.db2.gz BNQBGCGLYQLFKA-INIZCTEOSA-N 0 1 323.440 3.227 20 30 DGEDMN Cc1cc(O)cc(O[C@@H]2CC[N@@H+](Cc3ccccc3C#N)C2)c1 ZINC001227471457 1096937556 /nfs/dbraw/zinc/93/75/56/1096937556.db2.gz CGPFPHHAEFXPEQ-GOSISDBHSA-N 0 1 308.381 3.226 20 30 DGEDMN Cc1cc(O)cc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)c1 ZINC001227471457 1096937560 /nfs/dbraw/zinc/93/75/60/1096937560.db2.gz CGPFPHHAEFXPEQ-GOSISDBHSA-N 0 1 308.381 3.226 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Oc1[nH]c(=S)nnc1C ZINC001227601270 1096957606 /nfs/dbraw/zinc/95/76/06/1096957606.db2.gz JHNKUXUNFCBJMF-LLVKDONJSA-N 0 1 303.387 3.174 20 30 DGEDMN CCCCCCCCC(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001171818261 1096974231 /nfs/dbraw/zinc/97/42/31/1096974231.db2.gz DFJLZBHYVOGCBE-INIZCTEOSA-N 0 1 320.481 3.384 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1cc(C)on1 ZINC001171822939 1096975248 /nfs/dbraw/zinc/97/52/48/1096975248.db2.gz VGCVMUCGYNRVLT-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN N#C[C@H](Oc1[nH]c(=O)nc2sccc21)c1ccccc1F ZINC001227846634 1096998768 /nfs/dbraw/zinc/99/87/68/1096998768.db2.gz XZXLEXMVVXEIMK-NSHDSACASA-N 0 1 301.302 3.180 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2nccc3cc[nH]c32)C1 ZINC001227898819 1097009597 /nfs/dbraw/zinc/00/95/97/1097009597.db2.gz QLTAYSLDKWMVRG-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC)c(C(F)(F)F)c1 ZINC001227898921 1097009741 /nfs/dbraw/zinc/00/97/41/1097009741.db2.gz JYLGGFFQQNLLDX-SSDOTTSWSA-N 0 1 302.248 3.312 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)oc1C ZINC001227972835 1097023191 /nfs/dbraw/zinc/02/31/91/1097023191.db2.gz HCAHFOPHXAGQMB-HUUCEWRRSA-N 0 1 319.449 3.021 20 30 DGEDMN C[NH+](C)CC[C@H](Oc1cc([O-])ccc1C#N)c1cccs1 ZINC001228005937 1097031301 /nfs/dbraw/zinc/03/13/01/1097031301.db2.gz UCDINQDDXSRJNY-AWEZNQCLSA-N 0 1 302.399 3.397 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CCC[C@H]1C[C@@H](N1CC[C@@H](C#N)C1)C2 ZINC001172101432 1097051573 /nfs/dbraw/zinc/05/15/73/1097051573.db2.gz GTLXFTMFCQNYAB-CAOSSQGBSA-N 0 1 319.449 3.152 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228123923 1097055371 /nfs/dbraw/zinc/05/53/71/1097055371.db2.gz UEZVLMZJYRGBDV-FVQBIDKESA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228131271 1097058070 /nfs/dbraw/zinc/05/80/70/1097058070.db2.gz YONORRBHLQVGKN-DJIMGWMZSA-N 0 1 319.449 3.102 20 30 DGEDMN COc1cccc(C2(N[C@@H](C)Cc3ccc(C#N)cc3)COC2)c1 ZINC001172300566 1097093216 /nfs/dbraw/zinc/09/32/16/1097093216.db2.gz WWKHSUHOHJHPOI-HNNXBMFYSA-N 0 1 322.408 3.013 20 30 DGEDMN Cc1ccnc(O[C@H]2CCC[N@@H+](Cc3ccccc3)C2)c1C#N ZINC001228307757 1097093975 /nfs/dbraw/zinc/09/39/75/1097093975.db2.gz QBGIVXQPARXWBV-KRWDZBQOSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1ccc(C2(N[C@H](C)Cc3ccc(C#N)cc3)COC2)cc1 ZINC001172303436 1097094717 /nfs/dbraw/zinc/09/47/17/1097094717.db2.gz XYVDSAYXGZQJBJ-MRXNPFEDSA-N 0 1 306.409 3.313 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCC[C@H]1C(=O)OC(C)(C)C ZINC001172307618 1097095663 /nfs/dbraw/zinc/09/56/63/1097095663.db2.gz PBICFYMWPOKDSV-YOEHRIQHSA-N 0 1 314.429 3.295 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)NCC(=O)c1cc(F)ccc1F ZINC001172300097 1097109640 /nfs/dbraw/zinc/10/96/40/1097109640.db2.gz QUYBAAPXJDTISG-LBPRGKRZSA-N 0 1 314.335 3.240 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001228580687 1097146063 /nfs/dbraw/zinc/14/60/63/1097146063.db2.gz ZCFYQLBRPGOICZ-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001228588875 1097147649 /nfs/dbraw/zinc/14/76/49/1097147649.db2.gz JEVWZFDLGHLOSP-UHFFFAOYSA-N 0 1 306.372 3.122 20 30 DGEDMN Cc1nc[nH]c1-c1nc(-c2ccc(C#N)c3ccccc23)no1 ZINC001212668909 1097178014 /nfs/dbraw/zinc/17/80/14/1097178014.db2.gz XANAECXKKDOCGO-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC001228747434 1097181538 /nfs/dbraw/zinc/18/15/38/1097181538.db2.gz ATPMEDJZPYWOKQ-HUUCEWRRSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001228809545 1097195671 /nfs/dbraw/zinc/19/56/71/1097195671.db2.gz JMFWRRBDOIUKDB-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC[C@@H](CCC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228813382 1097196550 /nfs/dbraw/zinc/19/65/50/1097196550.db2.gz BUDOMHLBJRYALP-JTQLQIEISA-N 0 1 309.318 3.211 20 30 DGEDMN C#C[C@H](CCCCC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228812934 1097196874 /nfs/dbraw/zinc/19/68/74/1097196874.db2.gz ZOECRYDSVKLXJR-LLVKDONJSA-N 0 1 321.329 3.048 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228829089 1097199004 /nfs/dbraw/zinc/19/90/04/1097199004.db2.gz PGLYMCWTOUJHPA-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cc(F)cc(C#N)c2)C1 ZINC001229036636 1097241834 /nfs/dbraw/zinc/24/18/34/1097241834.db2.gz VVIDAKFYYGYMHF-CZUORRHYSA-N 0 1 311.360 3.307 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001229048933 1097244518 /nfs/dbraw/zinc/24/45/18/1097244518.db2.gz QDWIWUVFINCZEM-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC[C@@H](Oc1[nH]c(=O)[nH]c(=O)c1F)c1ccc(Cl)cc1 ZINC001229124424 1097259615 /nfs/dbraw/zinc/25/96/15/1097259615.db2.gz LZVCWTMIMIMZMA-SNVBAGLBSA-N 0 1 310.712 3.377 20 30 DGEDMN C[C@H](CSc1ccc(Cl)cc1)N1CCN(CCC#N)CC1 ZINC001172880224 1097274352 /nfs/dbraw/zinc/27/43/52/1097274352.db2.gz SVAQIRHKGMUHIC-CQSZACIVSA-N 0 1 323.893 3.352 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CC2CCCC2)C(C)C)CC1 ZINC001229461563 1097325799 /nfs/dbraw/zinc/32/57/99/1097325799.db2.gz AXADOGJIENETIF-GOSISDBHSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C(=O)OC)cc1Cl ZINC001229751749 1097377329 /nfs/dbraw/zinc/37/73/29/1097377329.db2.gz SJUHHOHGBTZHRN-NSHDSACASA-N 0 1 310.733 3.115 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H]1CC[C@@H](CC)C1)CCC2 ZINC001173280822 1097412172 /nfs/dbraw/zinc/41/21/72/1097412172.db2.gz NKHFHCQQFVBZMP-CVEARBPZSA-N 0 1 303.450 3.370 20 30 DGEDMN C=CCOC1CCC(N2CC(Oc3ccc(F)cc3)C2)CC1 ZINC001173322988 1097416667 /nfs/dbraw/zinc/41/66/67/1097416667.db2.gz AJCVHJXUBAJEGT-UHFFFAOYSA-N 0 1 305.393 3.403 20 30 DGEDMN N#CCC1CCC(N2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC001173336837 1097421812 /nfs/dbraw/zinc/42/18/12/1097421812.db2.gz NZCASUAXUOPMAT-UHFFFAOYSA-N 0 1 317.477 3.183 20 30 DGEDMN C=CCOC1CCC(NC2(c3cccc(F)c3)COC2)CC1 ZINC001173316033 1097427778 /nfs/dbraw/zinc/42/77/78/1097427778.db2.gz WXETVBCAHASKIE-UHFFFAOYSA-N 0 1 305.393 3.155 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(F)c(C(C)=O)cc1OC ZINC001230274262 1097468210 /nfs/dbraw/zinc/46/82/10/1097468210.db2.gz QXHZWTJKRQOMRG-GFCCVEGCSA-N 0 1 308.305 3.025 20 30 DGEDMN COC(=O)[C@H](Cc1ccccc1)N(C)C1CCC(C)(C#N)CC1 ZINC001173597018 1097468221 /nfs/dbraw/zinc/46/82/21/1097468221.db2.gz DJAXOWAMERDPIU-HFCFLWKCSA-N 0 1 314.429 3.175 20 30 DGEDMN C=C[C@H](C(=O)NC1CCN(C/C=C\Cl)CC1)c1ccccc1 ZINC001230333988 1097478414 /nfs/dbraw/zinc/47/84/14/1097478414.db2.gz BLDALFPNQIRLQV-KOJMWDNASA-N 0 1 318.848 3.289 20 30 DGEDMN C#CCC1(C(=O)NC2CCN(C[C@@H](F)CC)CC2)CCCCC1 ZINC001230405218 1097488652 /nfs/dbraw/zinc/48/86/52/1097488652.db2.gz XYNSJHMHJFFFRE-INIZCTEOSA-N 0 1 322.468 3.289 20 30 DGEDMN C=C[C@H](CCCC)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230454126 1097493470 /nfs/dbraw/zinc/49/34/70/1097493470.db2.gz RGROMQVMVCSZRE-LLVKDONJSA-N 0 1 317.393 3.071 20 30 DGEDMN C=CCC[C@H](CC)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230452599 1097493550 /nfs/dbraw/zinc/49/35/50/1097493550.db2.gz HLIAUYPGZPICML-NSHDSACASA-N 0 1 317.393 3.071 20 30 DGEDMN Cc1ccccc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001173815936 1097511722 /nfs/dbraw/zinc/51/17/22/1097511722.db2.gz PRYPVXZCUWQLHH-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C(C)C[N@H+](CCCNC(=O)[C@@H](C)C1CC1)Cc1cccnc1 ZINC001230723049 1097565451 /nfs/dbraw/zinc/56/54/51/1097565451.db2.gz ZCBWJXIVDCTESR-INIZCTEOSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)C1CC(C)(C)C1)Cc1cccnc1 ZINC001230748607 1097573474 /nfs/dbraw/zinc/57/34/74/1097573474.db2.gz SHDKAUDVWRGYRM-UHFFFAOYSA-N 0 1 315.461 3.012 20 30 DGEDMN C=C[C@H](CC(=O)OC(C)(C)C)Oc1cc2[nH]ncc2c(F)c1 ZINC001230931729 1097625547 /nfs/dbraw/zinc/62/55/47/1097625547.db2.gz QHBTYXVWTVKNIO-SNVBAGLBSA-N 0 1 306.337 3.367 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)c(F)cc1F ZINC001230949542 1097629711 /nfs/dbraw/zinc/62/97/11/1097629711.db2.gz AXIACIPHUKDKKO-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)c(C)cc1F ZINC001230997714 1097640746 /nfs/dbraw/zinc/64/07/46/1097640746.db2.gz AJSMDKVXIZPWMA-SSDOTTSWSA-N 0 1 315.138 3.495 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cccc([C@@H](C)CC(=O)OC)c1 ZINC001231014086 1097646823 /nfs/dbraw/zinc/64/68/23/1097646823.db2.gz YLEKIJJTIZXZMT-NHYWBVRUSA-N 0 1 318.369 3.341 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001231112205 1097671104 /nfs/dbraw/zinc/67/11/04/1097671104.db2.gz AHINWPLPPGTNBU-GOSISDBHSA-N 0 1 300.446 3.302 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CC[N@@H+]1CCc1ccc(CC)cc1 ZINC001231179880 1097689958 /nfs/dbraw/zinc/68/99/58/1097689958.db2.gz XMAZUZRMNMGWEW-IBGZPJMESA-N 0 1 314.473 3.290 20 30 DGEDMN CC(C)c1ccc(CN2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)cc1 ZINC001231246597 1097701353 /nfs/dbraw/zinc/70/13/53/1097701353.db2.gz HHBDBCYZFOMFQR-YJBOKZPZSA-N 0 1 313.445 3.002 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc(CC)s2)C1 ZINC001231503720 1097766932 /nfs/dbraw/zinc/76/69/32/1097766932.db2.gz MDIFHNYMGJMKIN-CYBMUJFWSA-N 0 1 308.447 3.187 20 30 DGEDMN C=CCN(CC=C)Cc1[nH]nc2cc(Br)ccc21 ZINC001231614456 1097792773 /nfs/dbraw/zinc/79/27/73/1097792773.db2.gz XNGJBBOEKQDMTC-UHFFFAOYSA-N 0 1 306.207 3.499 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001231620550 1097793457 /nfs/dbraw/zinc/79/34/57/1097793457.db2.gz DSHXFDDLMKTXSU-UHFFFAOYSA-N 0 1 312.413 3.292 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@H](Oc3ncccc3OC)C2)c1 ZINC001231662663 1097808324 /nfs/dbraw/zinc/80/83/24/1097808324.db2.gz FKKMIAXNVRTEIM-SFHVURJKSA-N 0 1 322.408 3.115 20 30 DGEDMN COc1ccc(C[N@@H+](C)Cc2cccc(C#N)c2)cc1OC(C)=O ZINC001231711206 1097822045 /nfs/dbraw/zinc/82/20/45/1097822045.db2.gz VYFZTNDWYZCQRE-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN COc1ccc(CN(C)Cc2cccc(C#N)c2)cc1OC(C)=O ZINC001231711206 1097822054 /nfs/dbraw/zinc/82/20/54/1097822054.db2.gz VYFZTNDWYZCQRE-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN CN1CCN(c2ccc(Nc3cc(C#N)ccc3F)cc2)CC1 ZINC001174814010 1097862483 /nfs/dbraw/zinc/86/24/83/1097862483.db2.gz BCQATAARNKGAJZ-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1nnc(C)o1 ZINC001174977794 1097891827 /nfs/dbraw/zinc/89/18/27/1097891827.db2.gz CVMSAMDDTKXLFA-UONOGXRCSA-N 0 1 322.453 3.060 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001175083185 1097941977 /nfs/dbraw/zinc/94/19/77/1097941977.db2.gz XBPDAIQQSXOBOA-KDOFPFPSSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cccc(F)c1 ZINC001277616289 1097944260 /nfs/dbraw/zinc/94/42/60/1097944260.db2.gz SXDGQUKNFWKDJC-HNNXBMFYSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1=CCCCCC1 ZINC001277638002 1097985313 /nfs/dbraw/zinc/98/53/13/1097985313.db2.gz MDQLGDJFLIAPPE-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(C/C=C\Cl)CC1(C)C ZINC001281546454 1097988739 /nfs/dbraw/zinc/98/87/39/1097988739.db2.gz JTZAUKXBLHESGL-MEODZPNASA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3ncccc3c2)C1 ZINC001277652616 1098012977 /nfs/dbraw/zinc/01/29/77/1098012977.db2.gz YNTGKXXPJNDYOP-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cc(F)ccc2F)C1 ZINC001277652551 1098013748 /nfs/dbraw/zinc/01/37/48/1098013748.db2.gz WKWOHLAAUGOWMH-ZDUSSCGKSA-N 0 1 322.399 3.005 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2cc(C#N)ccc2[O-])[C@@H](c2ccccc2)CO1 ZINC001232681556 1098113762 /nfs/dbraw/zinc/11/37/62/1098113762.db2.gz QTFFTRHSBSBBBD-KBXCAEBGSA-N 0 1 308.381 3.226 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)c1 ZINC001232681531 1098113814 /nfs/dbraw/zinc/11/38/14/1098113814.db2.gz PRRDGVFMIIZXHG-QGZVFWFLSA-N 0 1 323.396 3.011 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001232681566 1098113830 /nfs/dbraw/zinc/11/38/30/1098113830.db2.gz QYBQFSMBFGUEBB-KRWDZBQOSA-N 0 1 300.402 3.045 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCCN(Cc3ccc(C#N)s3)C2)c1 ZINC001232845440 1098151635 /nfs/dbraw/zinc/15/16/35/1098151635.db2.gz XGDAEBUMVFBOEV-CQSZACIVSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1ccc(CN2CCN(C)[C@H](c3ccccc3)C2)c(C#N)c1 ZINC001232966485 1098185919 /nfs/dbraw/zinc/18/59/19/1098185919.db2.gz NNSXBMOLXTZELV-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN Cc1ccc(CN2CC3(CN(Cc4ccccc4)C3)C2)c(C#N)c1 ZINC001232967804 1098186845 /nfs/dbraw/zinc/18/68/45/1098186845.db2.gz XAPOFPIMNUAXHX-UHFFFAOYSA-N 0 1 317.436 3.185 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2c([O-])cc(Br)cc2F)C1 ZINC001233031279 1098206636 /nfs/dbraw/zinc/20/66/36/1098206636.db2.gz ATZWLHUBTVRIBD-JTQLQIEISA-N 0 1 312.182 3.139 20 30 DGEDMN C=CCCCN1CC[C@H]2C[C@]21C(=O)Nc1cc(C)ccc1C#N ZINC001277758527 1098222618 /nfs/dbraw/zinc/22/26/18/1098222618.db2.gz OUCJXMHAGXIJOT-QFBILLFUSA-N 0 1 309.413 3.236 20 30 DGEDMN C=CCN1C(=O)CC[C@@]12CCCN(Cc1ccc(Cl)o1)CC2 ZINC001233315777 1098283960 /nfs/dbraw/zinc/28/39/60/1098283960.db2.gz XWYBBVJXBFBNBF-QGZVFWFLSA-N 0 1 322.836 3.466 20 30 DGEDMN COc1ccccc1[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233383248 1098309775 /nfs/dbraw/zinc/30/97/75/1098309775.db2.gz BQAZEKHKUUIDHF-HNNXBMFYSA-N 0 1 308.381 3.262 20 30 DGEDMN COc1ccccc1[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233383249 1098310257 /nfs/dbraw/zinc/31/02/57/1098310257.db2.gz BQAZEKHKUUIDHF-OAHLLOKOSA-N 0 1 308.381 3.262 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(=O)OCC)cc1Cl ZINC001233582929 1098382100 /nfs/dbraw/zinc/38/21/00/1098382100.db2.gz NDXFQWMINDEJIF-MRVPVSSYSA-N 0 1 310.733 3.115 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2nccs2)CCCCC1 ZINC001176785845 1098430038 /nfs/dbraw/zinc/43/00/38/1098430038.db2.gz PLXBCPNBUWYAPR-UHFFFAOYSA-N 0 1 321.490 3.266 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1CCCc1ccsc1 ZINC001233947865 1098464545 /nfs/dbraw/zinc/46/45/45/1098464545.db2.gz ORNOLBVTONEXMM-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN CCCCCC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001233977581 1098470137 /nfs/dbraw/zinc/47/01/37/1098470137.db2.gz FLSZXCXPBMXUMS-UHFFFAOYSA-N 0 1 300.446 3.057 20 30 DGEDMN COC(=O)c1cccc2nc(O[C@@H](C)c3ccccc3C#N)[nH]c21 ZINC001234045401 1098484693 /nfs/dbraw/zinc/48/46/93/1098484693.db2.gz UHCLORNTKYUJFO-NSHDSACASA-N 0 1 321.336 3.361 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(CCCC(=O)OCC)cc1 ZINC001234152347 1098505737 /nfs/dbraw/zinc/50/57/37/1098505737.db2.gz UXOGVJXWWJPSPW-GFCCVEGCSA-N 0 1 318.369 3.171 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc([C@H]2CC(=O)C=C(C)O2)cc1 ZINC001234157799 1098506425 /nfs/dbraw/zinc/50/64/25/1098506425.db2.gz BYBJDQPXQNAUOZ-BDJLRTHQSA-N 0 1 314.337 3.219 20 30 DGEDMN CC(C)c1ccc(CN2CC[C@H]2CN(C)C(=O)C#CC2CC2)cc1 ZINC001234178036 1098509966 /nfs/dbraw/zinc/50/99/66/1098509966.db2.gz HWJRFSNROFQMKR-FQEVSTJZSA-N 0 1 324.468 3.256 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(Br)ccc(C)c1F ZINC001234211491 1098515891 /nfs/dbraw/zinc/51/58/91/1098515891.db2.gz UATINULQEBERTA-SSDOTTSWSA-N 0 1 315.138 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)OCCCCCC ZINC001234276794 1098525834 /nfs/dbraw/zinc/52/58/34/1098525834.db2.gz SURRJFJMFXTQRT-IRXDYDNUSA-N 0 1 310.482 3.081 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001234554430 1098597447 /nfs/dbraw/zinc/59/74/47/1098597447.db2.gz ISOGXXAIOLRFOS-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC1CC2(C1)CC(F)(F)C2 ZINC001177915177 1098646324 /nfs/dbraw/zinc/64/63/24/1098646324.db2.gz KNKQBTNHZZGPQK-SNVBAGLBSA-N 0 1 310.369 3.124 20 30 DGEDMN CC(C)(NC(=O)C(C#N)Cc1cccs1)c1ccc(C#N)cc1 ZINC001177917304 1098647879 /nfs/dbraw/zinc/64/78/79/1098647879.db2.gz RLRIPODZEVIIIO-AWEZNQCLSA-N 0 1 323.421 3.354 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CCCC(F)(F)C1 ZINC001177917015 1098648518 /nfs/dbraw/zinc/64/85/18/1098648518.db2.gz BUPCETJWGBLKJI-VXGBXAGGSA-N 0 1 312.385 3.372 20 30 DGEDMN C=CCCC(=O)NCCCCCCNCc1nc(C)oc1C ZINC001178008417 1098678225 /nfs/dbraw/zinc/67/82/25/1098678225.db2.gz ZRDAPZCNPZMKKV-UHFFFAOYSA-N 0 1 307.438 3.024 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1oc(C(C)C)nc1C ZINC001277907998 1098710396 /nfs/dbraw/zinc/71/03/96/1098710396.db2.gz PYBMSASORJVTPM-ZDUSSCGKSA-N 0 1 321.465 3.255 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001277913911 1098734806 /nfs/dbraw/zinc/73/48/06/1098734806.db2.gz JSZSLCAQHUGIAU-IUODEOHRSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC(C)(C)c1ccc(F)cc1 ZINC001235354648 1098974179 /nfs/dbraw/zinc/97/41/79/1098974179.db2.gz MKNFZRKXZMNZLY-QGZVFWFLSA-N 0 1 318.436 3.212 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1nocc1C ZINC001179426350 1099102312 /nfs/dbraw/zinc/10/23/12/1099102312.db2.gz WNBOOKWHFYDTOG-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN CSc1ccc(CNCc2n[nH]c3ccccc32)cc1C#N ZINC001179584488 1099150776 /nfs/dbraw/zinc/15/07/76/1099150776.db2.gz RWXZTIOKWQYGMD-UHFFFAOYSA-N 0 1 308.410 3.446 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001235745527 1099161574 /nfs/dbraw/zinc/16/15/74/1099161574.db2.gz ZLOGPYPBEPLIAL-PYTPGESOSA-N 0 1 316.420 3.338 20 30 DGEDMN C#CCN(CC#C)CC(C)(C)c1ccc(Br)cc1 ZINC001180120656 1099319734 /nfs/dbraw/zinc/31/97/34/1099319734.db2.gz KTEBUBGMHZHZGJ-UHFFFAOYSA-N 0 1 304.231 3.295 20 30 DGEDMN COc1cccc(CN(C)Cc2cc(C#N)ccc2N(C)C)c1 ZINC001180430007 1099403507 /nfs/dbraw/zinc/40/35/07/1099403507.db2.gz JLVZAPIEUNLVIF-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@H](CC(N)=O)c1ccccc1Cl ZINC001180706922 1099495913 /nfs/dbraw/zinc/49/59/13/1099495913.db2.gz ARKQDTSRRZWSFB-BXUZGUMPSA-N 0 1 307.825 3.174 20 30 DGEDMN O=C(CC1CCCCC1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001180810532 1099511698 /nfs/dbraw/zinc/51/16/98/1099511698.db2.gz PJPBNFRBACVVAE-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@H](C)n2cccc2)CC1 ZINC001273468150 1099516245 /nfs/dbraw/zinc/51/62/45/1099516245.db2.gz XHMWDOKHWOBARN-JKSUJKDBSA-N 0 1 323.868 3.162 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1n[nH]cc1Cl ZINC001187849355 1099616889 /nfs/dbraw/zinc/61/68/89/1099616889.db2.gz RCSGLEBKENVTFU-JTQLQIEISA-N 0 1 305.765 3.119 20 30 DGEDMN CCC(C)(CC)C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001187961085 1099643781 /nfs/dbraw/zinc/64/37/81/1099643781.db2.gz PBUHKYWYMFAFNF-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3ccc(C#N)cc3F)cnc2[nH]1 ZINC001236531741 1099666308 /nfs/dbraw/zinc/66/63/08/1099666308.db2.gz LRYSRVFECLGLSB-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCCC(C)(C)C)[C@@H]2C1 ZINC001188026048 1099669681 /nfs/dbraw/zinc/66/96/81/1099669681.db2.gz IVOWRZAFYTXYDP-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](CC)CCCC)[C@@H]2C1 ZINC001188616417 1099797132 /nfs/dbraw/zinc/79/71/32/1099797132.db2.gz CLOSZNZKXVCAQU-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)(O)[C@H]1CCCN1Cc1ccc(C#N)c(Br)c1 ZINC001236859465 1099839427 /nfs/dbraw/zinc/83/94/27/1099839427.db2.gz CGNAHQRWWOAINN-CQSZACIVSA-N 0 1 323.234 3.056 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001188821344 1099870221 /nfs/dbraw/zinc/87/02/21/1099870221.db2.gz PGUBGBMEQAQADV-IBGZPJMESA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(CC)Cc2ncc(C)o2)CC1 ZINC001281824383 1099896457 /nfs/dbraw/zinc/89/64/57/1099896457.db2.gz DWKVECPTQZYKHX-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN N#Cc1cc(Cl)cc(Cl)c1NS(=O)(=O)CC1CC1 ZINC001188963970 1099914814 /nfs/dbraw/zinc/91/48/14/1099914814.db2.gz NLAMAIFMWNZVKA-UHFFFAOYSA-N 0 1 305.186 3.017 20 30 DGEDMN CCCCCCCCN1CCN(C(=O)c2ccc(N)cc2)CC1 ZINC001236999158 1099919835 /nfs/dbraw/zinc/91/98/35/1099919835.db2.gz ITDSAZRIFQLEDI-UHFFFAOYSA-N 0 1 317.477 3.387 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CN(Cc3ccc(F)cc3)C[C@H]21 ZINC001188999731 1099924237 /nfs/dbraw/zinc/92/42/37/1099924237.db2.gz YSXGJAWBWUPCGU-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)c2cc(-c3ccncc3)n[nH]2)cc1 ZINC001189325539 1100028207 /nfs/dbraw/zinc/02/82/07/1100028207.db2.gz YAVPFCANJWMQMU-LBPRGKRZSA-N 0 1 317.352 3.351 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)c1cc(-c2ccccn2)n[nH]1 ZINC001189473041 1100055983 /nfs/dbraw/zinc/05/59/83/1100055983.db2.gz JVAFYHQFDZITCD-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN CCC[C@H](C)C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189459678 1100062956 /nfs/dbraw/zinc/06/29/56/1100062956.db2.gz FMWHKNRXFLHFGW-KBPBESRZSA-N 0 1 319.474 3.089 20 30 DGEDMN N#C[C@H]1CN(Cc2ccccc2CCc2ccccc2)CCC1=O ZINC001237295843 1100066768 /nfs/dbraw/zinc/06/67/68/1100066768.db2.gz ZWTDLRXRHJGJDD-FQEVSTJZSA-N 0 1 318.420 3.386 20 30 DGEDMN N#C[C@H]1CC[C@H](NC(=O)c2ccc(CN3CCCCC3)o2)CC1 ZINC001189752184 1100123944 /nfs/dbraw/zinc/12/39/44/1100123944.db2.gz UTMZXERWGSUUFW-SHTZXODSSA-N 0 1 315.417 3.078 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCN2Cc2ccnc(C#N)c2)cc1 ZINC001237462440 1100131675 /nfs/dbraw/zinc/13/16/75/1100131675.db2.gz IGLUAKBDCOMUHB-SFHVURJKSA-N 0 1 321.380 3.077 20 30 DGEDMN Cn1cc(CN2CCC[C@H]2c2cnccn2)c2cc(C#N)ccc21 ZINC001237471042 1100135499 /nfs/dbraw/zinc/13/54/99/1100135499.db2.gz MYLOTRFSLYGTOK-IBGZPJMESA-N 0 1 317.396 3.177 20 30 DGEDMN CC[C@H](C)CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001189883199 1100144889 /nfs/dbraw/zinc/14/48/89/1100144889.db2.gz RODQCVNKIDTXEE-PKOBYXMFSA-N 0 1 312.457 3.007 20 30 DGEDMN CCCc1nnsc1C(=O)Nc1c(C#N)oc2ccccc21 ZINC001190150768 1100207965 /nfs/dbraw/zinc/20/79/65/1100207965.db2.gz OLBVXKXZADFXJI-UHFFFAOYSA-N 0 1 312.354 3.361 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@H](N(C)C/C=C/Cl)C1 ZINC001190353704 1100252537 /nfs/dbraw/zinc/25/25/37/1100252537.db2.gz BJVFWJNGMNEHQX-PZBABLGHSA-N 0 1 305.249 3.050 20 30 DGEDMN CCCCCC(C)(C)C(=O)NCCNCC#Cc1ccccc1 ZINC001151869999 1100268581 /nfs/dbraw/zinc/26/85/81/1100268581.db2.gz NIHDVMWGHITMIZ-UHFFFAOYSA-N 0 1 314.473 3.350 20 30 DGEDMN N#Cc1cc(CN2CCC3(C[C@H]3CCO)CC2)ccc1Cl ZINC001238188345 1100384639 /nfs/dbraw/zinc/38/46/39/1100384639.db2.gz ANDGPLYAYAZXTQ-OAHLLOKOSA-N 0 1 304.821 3.196 20 30 DGEDMN CCCCCCCNC(=O)NCC1CN(Cc2ccccc2)C1 ZINC001191112516 1100402553 /nfs/dbraw/zinc/40/25/53/1100402553.db2.gz DCPZAXCOAIUFOV-UHFFFAOYSA-N 0 1 317.477 3.388 20 30 DGEDMN COc1cc(C(=O)Nc2occ(C)c2C#N)cc(Cl)c1O ZINC001191138546 1100417429 /nfs/dbraw/zinc/41/74/29/1100417429.db2.gz GMFFAGVHGPHAAC-UHFFFAOYSA-N 0 1 306.705 3.080 20 30 DGEDMN CCCCc1ccc(S(=O)(=O)Nc2ccc(C#N)nc2)cc1 ZINC001191233770 1100435498 /nfs/dbraw/zinc/43/54/98/1100435498.db2.gz QNBDGCWVOLJZMZ-UHFFFAOYSA-N 0 1 315.398 3.097 20 30 DGEDMN C#CC1CCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)CC1 ZINC001191371178 1100459943 /nfs/dbraw/zinc/45/99/43/1100459943.db2.gz RVXTWHQMAFXSOR-UHFFFAOYSA-N 0 1 313.788 3.216 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001191753633 1100513911 /nfs/dbraw/zinc/51/39/11/1100513911.db2.gz FYAZJYQPASPNBW-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN CCc1cc(CN2CCC[C@H](c3noc(C)n3)C2)ccc1C#N ZINC001238766495 1100523775 /nfs/dbraw/zinc/52/37/75/1100523775.db2.gz MWALXAQVZZWTPG-KRWDZBQOSA-N 0 1 310.401 3.192 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cc(C)ccc2OCC)cc1 ZINC001191828115 1100529860 /nfs/dbraw/zinc/52/98/60/1100529860.db2.gz IWYMMDMGAXRJAY-UHFFFAOYSA-N 0 1 315.394 3.176 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC001191912008 1100539256 /nfs/dbraw/zinc/53/92/56/1100539256.db2.gz LWXMDUVYGKOUBI-LSDHHAIUSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC001191912035 1100539863 /nfs/dbraw/zinc/53/98/63/1100539863.db2.gz MGYVLDRKDTUNQK-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001191890219 1100541320 /nfs/dbraw/zinc/54/13/20/1100541320.db2.gz PKWXHCKDZQNSAE-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]([N@H+](C)CCOCCCC)C2)CC1 ZINC001192181600 1100587161 /nfs/dbraw/zinc/58/71/61/1100587161.db2.gz WHMIAVHTWRCAAK-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001192578016 1100637683 /nfs/dbraw/zinc/63/76/83/1100637683.db2.gz LFOUELJQUFARPR-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001192586773 1100639662 /nfs/dbraw/zinc/63/96/62/1100639662.db2.gz VUEXCONSUXZOIQ-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCCCc2cccs2)C1 ZINC001192916832 1100676046 /nfs/dbraw/zinc/67/60/46/1100676046.db2.gz DMICPYHAPPIOJN-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN N#CCS(=O)(=O)Nc1c(Cl)cc(F)cc1-c1ccccc1 ZINC001192985351 1100684349 /nfs/dbraw/zinc/68/43/49/1100684349.db2.gz AYGVEFYOZYACIJ-UHFFFAOYSA-N 0 1 324.764 3.411 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001192997857 1100685715 /nfs/dbraw/zinc/68/57/15/1100685715.db2.gz AKNCZLGKPNCVEI-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN N#CCS(=O)(=O)Nc1ccccc1-c1ccc(Cl)cc1 ZINC001192980432 1100689552 /nfs/dbraw/zinc/68/95/52/1100689552.db2.gz JUZCVEGYAIDVAY-UHFFFAOYSA-N 0 1 306.774 3.272 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(Cl)cc(Cl)c1Cl ZINC001193149306 1100712039 /nfs/dbraw/zinc/71/20/39/1100712039.db2.gz NESUVSBEVURJSX-RXMQYKEDSA-N 0 1 313.593 3.301 20 30 DGEDMN N#Cc1cc(C(=O)Nc2csc(-c3ccncc3)n2)ccc1O ZINC001193498374 1100752948 /nfs/dbraw/zinc/75/29/48/1100752948.db2.gz KTRBJKVVBUAOQT-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CC1(C)CN(C(=O)c2ccc(O)c(C#N)c2)Cc2ccccc21 ZINC001193504031 1100757076 /nfs/dbraw/zinc/75/70/76/1100757076.db2.gz LSFJBDOHHUGIFS-UHFFFAOYSA-N 0 1 306.365 3.197 20 30 DGEDMN COc1ccc([C@H](NC(=O)c2ccc(O)c(C#N)c2)C2CC2)cc1 ZINC001193515824 1100760483 /nfs/dbraw/zinc/76/04/83/1100760483.db2.gz UEZIZSGGFLVCRE-GOSISDBHSA-N 0 1 322.364 3.154 20 30 DGEDMN Cc1ccc2[nH]cc(CN(C)C(=O)c3cccc(C#N)c3O)c2c1 ZINC001193646541 1100782959 /nfs/dbraw/zinc/78/29/59/1100782959.db2.gz LLLVOXIURWZISJ-UHFFFAOYSA-N 0 1 319.364 3.326 20 30 DGEDMN Cc1ccccc1CC(C)(C)NC(=O)c1cccc(C#N)c1O ZINC001193660530 1100786206 /nfs/dbraw/zinc/78/62/06/1100786206.db2.gz ZFHJVOWWXSRPNJ-UHFFFAOYSA-N 0 1 308.381 3.323 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001194200089 1100892798 /nfs/dbraw/zinc/89/27/98/1100892798.db2.gz JKEXLRBMHHFEQC-CQSZACIVSA-N 0 1 318.486 3.215 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](N(C)CC#CC)C2)CCCCC1 ZINC001194199191 1100892942 /nfs/dbraw/zinc/89/29/42/1100892942.db2.gz BDJJQJCLGIASCM-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN N#Cc1nc(-c2cccc(N3CCCCC3)c2)cc2[nH]cnc21 ZINC001240249031 1100909340 /nfs/dbraw/zinc/90/93/40/1100909340.db2.gz KDWWYUDCFGBEPX-UHFFFAOYSA-N 0 1 303.369 3.487 20 30 DGEDMN N#CCc1ccc(-c2cccc(CCN3CCOCC3)c2)c(F)c1 ZINC001240265900 1100915400 /nfs/dbraw/zinc/91/54/00/1100915400.db2.gz MWZVSGQPQZDARO-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2ccc(F)cc2C)CC1 ZINC001194343387 1100927769 /nfs/dbraw/zinc/92/77/69/1100927769.db2.gz QHQCGMHSNUQDEN-UHFFFAOYSA-N 0 1 306.381 3.011 20 30 DGEDMN C[NH+]1CCN(Cc2cccc(-c3ccccc3CC#N)c2)CC1 ZINC001240298338 1100930302 /nfs/dbraw/zinc/93/03/02/1100930302.db2.gz VQNHTUMZISNVKH-UHFFFAOYSA-N 0 1 305.425 3.167 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(Cl)c(C#N)c3)nc2)CC1 ZINC001240404055 1100980922 /nfs/dbraw/zinc/98/09/22/1100980922.db2.gz DLSMMJADAFGBGN-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2cccc(CC)c2)CC1 ZINC001195192275 1101086715 /nfs/dbraw/zinc/08/67/15/1101086715.db2.gz VWSIKSGRPUPWME-UHFFFAOYSA-N 0 1 300.446 3.250 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](CC/C=C\CCC)CC1 ZINC001195175046 1101092564 /nfs/dbraw/zinc/09/25/64/1101092564.db2.gz YUAXKWAHRAXGAV-GIFJBRJJSA-N 0 1 322.493 3.248 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc(F)c3CC#N)cc2)CC1 ZINC001240855012 1101160494 /nfs/dbraw/zinc/16/04/94/1101160494.db2.gz UOVANDNSFRQJID-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN N#Cc1ccc(C(=O)NCc2cccc(C(F)F)c2)c(O)c1 ZINC001195757448 1101190364 /nfs/dbraw/zinc/19/03/64/1101190364.db2.gz BNOCWKUZHZKDRG-UHFFFAOYSA-N 0 1 302.280 3.131 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2-c2cnco2)c(O)c1 ZINC001195758235 1101190726 /nfs/dbraw/zinc/19/07/26/1101190726.db2.gz LKTAVUGOCYOUQH-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN CC[C@H](NC(=O)c1ccc(C#N)cc1O)c1ccccc1OC ZINC001195765132 1101193679 /nfs/dbraw/zinc/19/36/79/1101193679.db2.gz WHXAPOVWAZZJDY-HNNXBMFYSA-N 0 1 310.353 3.154 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(C#N)cc2O)c2ccsc2n1 ZINC001195741750 1101196498 /nfs/dbraw/zinc/19/64/98/1101196498.db2.gz YIVXRJOUHCNGTH-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN Cc1ccc2[nH]cc(CN(C)C(=O)c3ccc(C#N)cc3O)c2c1 ZINC001195751566 1101198777 /nfs/dbraw/zinc/19/87/77/1101198777.db2.gz VAQRHVHGUKIRMY-UHFFFAOYSA-N 0 1 319.364 3.326 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)Cc2cccc(F)c2)CC1 ZINC001195866378 1101215394 /nfs/dbraw/zinc/21/53/94/1101215394.db2.gz ZLRIXGPMFVNCKJ-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C#CCC1(C(=O)N2CCC[N@H+]([C@@H](C)c3ccccc3)CC2)CCC1 ZINC001196108214 1101243769 /nfs/dbraw/zinc/24/37/69/1101243769.db2.gz ZTYHXNOIOMIGBP-SFHVURJKSA-N 0 1 324.468 3.476 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C2(C)CCCCCC2)CC1 ZINC001196177740 1101263909 /nfs/dbraw/zinc/26/39/09/1101263909.db2.gz LWCCRLFEGSTQKO-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C#Cc1cc(NS(=O)(=O)c2ccc(C)cc2F)ccc1F ZINC001196383056 1101296865 /nfs/dbraw/zinc/29/68/65/1101296865.db2.gz MSJNISXQBBXJEQ-UHFFFAOYSA-N 0 1 307.321 3.055 20 30 DGEDMN C=CCCCCC[N@@H+]1CCCN(C(=O)c2cocc2C)CC1 ZINC001196774664 1101397525 /nfs/dbraw/zinc/39/75/25/1101397525.db2.gz JHDYISMNHJRKFD-UHFFFAOYSA-N 0 1 304.434 3.482 20 30 DGEDMN N#Cc1cccc(CN[C@@H](CO)c2cccc(C(F)(F)F)c2)c1 ZINC001196927953 1101427520 /nfs/dbraw/zinc/42/75/20/1101427520.db2.gz YSEXBPGXYVLDDW-INIZCTEOSA-N 0 1 320.314 3.400 20 30 DGEDMN Cc1nnc(C2=CCN(Cc3ccccc3)CC2)c(C#N)c1C ZINC001241501612 1101514189 /nfs/dbraw/zinc/51/41/89/1101514189.db2.gz OWAOJSVFRJFSSI-UHFFFAOYSA-N 0 1 304.397 3.254 20 30 DGEDMN Cc1noc(C(F)(F)F)c1C(=O)Nc1ccsc1C#N ZINC001197593447 1101613477 /nfs/dbraw/zinc/61/34/77/1101613477.db2.gz XAFVJQNWCLQVLB-UHFFFAOYSA-N 0 1 301.249 3.187 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)CCCF)C2 ZINC001278334443 1101646478 /nfs/dbraw/zinc/64/64/78/1101646478.db2.gz BXJOJYLZASXVDQ-MRXNPFEDSA-N 0 1 316.848 3.193 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@@H](CC)C(C)(C)C)CC1 ZINC001197802351 1101670200 /nfs/dbraw/zinc/67/02/00/1101670200.db2.gz PBHVMOIRWWJFPV-QGZVFWFLSA-N 0 1 324.509 3.186 20 30 DGEDMN CC(C)Oc1nccnc1C(=O)Nc1c(C#N)oc2ccccc21 ZINC001198048317 1101736612 /nfs/dbraw/zinc/73/66/12/1101736612.db2.gz GWDYBJOSMWVBPP-UHFFFAOYSA-N 0 1 322.324 3.134 20 30 DGEDMN COc1cc(CCC#N)c(-c2ccc3[nH]nnc3c2)cc1OC ZINC001241941240 1101794494 /nfs/dbraw/zinc/79/44/94/1101794494.db2.gz VCJATMAFKDSLCN-UHFFFAOYSA-N 0 1 308.341 3.098 20 30 DGEDMN COc1cc(CCC#N)c(-c2ccc3nn[nH]c3c2)cc1OC ZINC001241941240 1101794499 /nfs/dbraw/zinc/79/44/99/1101794499.db2.gz VCJATMAFKDSLCN-UHFFFAOYSA-N 0 1 308.341 3.098 20 30 DGEDMN C=CCN[C@H](CNC(=O)C1CCCCC1)c1ccccc1OC ZINC001198500287 1101880738 /nfs/dbraw/zinc/88/07/38/1101880738.db2.gz XYKNOQSQOSFKEU-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001152459623 1101900620 /nfs/dbraw/zinc/90/06/20/1101900620.db2.gz HLFYPOAXPHRLIA-QZTJIDSGSA-N 0 1 314.473 3.301 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCC(=C)C)c1ccccc1OC ZINC001198751560 1101976592 /nfs/dbraw/zinc/97/65/92/1101976592.db2.gz IDSQRSBXJSEYID-KRWDZBQOSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](C)C1CCC1)c1ccccc1OC ZINC001198766404 1101982424 /nfs/dbraw/zinc/98/24/24/1101982424.db2.gz BLCATRLREGTXOQ-YOEHRIQHSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@H](F)C(C)C)c1ccccc1OC ZINC001198844011 1101997947 /nfs/dbraw/zinc/99/79/47/1101997947.db2.gz YZDPIMIPFSZSBE-NVXWUHKLSA-N 0 1 322.424 3.012 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCCC1CC1)c1ccccc1OC ZINC001198855000 1102000533 /nfs/dbraw/zinc/00/05/33/1102000533.db2.gz BYRWTGJSPORMSL-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3cccc(OC)c3C#N)cc2[nH]1 ZINC001242299727 1102038631 /nfs/dbraw/zinc/03/86/31/1102038631.db2.gz VNYJZWVSWLYMEB-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN CN(C)c1ccc(-c2ccnc(-c3ccc(O)c(C#N)c3)n2)cc1 ZINC001242563832 1102210982 /nfs/dbraw/zinc/21/09/82/1102210982.db2.gz MEHBZYYTEPEPEG-UHFFFAOYSA-N 0 1 316.364 3.454 20 30 DGEDMN N#Cc1cc(-c2ncc3c(n2)-c2ccccc2OC3)ccc1O ZINC001242564402 1102213909 /nfs/dbraw/zinc/21/39/09/1102213909.db2.gz XWSVTQOKXDSKHS-UHFFFAOYSA-N 0 1 301.305 3.280 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C[C@H](C)CC)C2 ZINC001273833166 1102362090 /nfs/dbraw/zinc/36/20/90/1102362090.db2.gz MANSSGRZFUDCEI-PBHICJAKSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C[C@@H](C)CC)C2 ZINC001273833169 1102362791 /nfs/dbraw/zinc/36/27/91/1102362791.db2.gz MANSSGRZFUDCEI-YOEHRIQHSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCOCc1ncn2c1CN(CCc1ccccc1)CCC2 ZINC001201596611 1102649359 /nfs/dbraw/zinc/64/93/59/1102649359.db2.gz IILPUOXSQVIODS-UHFFFAOYSA-N 0 1 311.429 3.034 20 30 DGEDMN C=CC[C@@H](CO)CNCc1c(F)cc(Br)cc1F ZINC001201762079 1102721119 /nfs/dbraw/zinc/72/11/19/1102721119.db2.gz YFGNCTNHKBUZEY-SECBINFHSA-N 0 1 320.177 3.002 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)Nc1cnc(C#N)cn1 ZINC001201831911 1102739313 /nfs/dbraw/zinc/73/93/13/1102739313.db2.gz YGFZFUODNQOTNI-UHFFFAOYSA-N 0 1 324.450 3.231 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccccc3OCC#N)cc2[nH]1 ZINC001243586456 1102828044 /nfs/dbraw/zinc/82/80/44/1102828044.db2.gz KSQQEJDIUWMTOK-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN CN1CCN(c2cccc(-c3ccccc3OCC#N)c2)CC1 ZINC001243671116 1102905183 /nfs/dbraw/zinc/90/51/83/1102905183.db2.gz JAKTVALPXXTQTC-UHFFFAOYSA-N 0 1 307.397 3.008 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC/C=C\c1ccccc1)C2 ZINC001111016272 1103017756 /nfs/dbraw/zinc/01/77/56/1103017756.db2.gz CBVRPTHGYNTOFH-CSMWOAOJSA-N 0 1 322.452 3.225 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CCCCCC)C2 ZINC001111172452 1103063713 /nfs/dbraw/zinc/06/37/13/1103063713.db2.gz CTNZEGSWBLZAJE-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1cccc(C2CC2)c1 ZINC001488643678 1103080596 /nfs/dbraw/zinc/08/05/96/1103080596.db2.gz KUOVFLZYCZQIIM-IBGZPJMESA-N 0 1 312.457 3.215 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1ccc2[nH]c(C)nc2c1 ZINC001243972593 1103098437 /nfs/dbraw/zinc/09/84/37/1103098437.db2.gz YWWBBGGBFQJVJQ-UHFFFAOYSA-N 0 1 308.341 3.096 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC1(C=C)CCCCC1 ZINC001488675168 1103103053 /nfs/dbraw/zinc/10/30/53/1103103053.db2.gz WWSBDUQIHYQNLX-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001488848511 1103179143 /nfs/dbraw/zinc/17/91/43/1103179143.db2.gz LPXUWMVVPIRJPQ-CXFBKOSJSA-N 0 1 319.276 3.392 20 30 DGEDMN CCOC(=O)c1cc(-c2cc(F)c(O)c(F)c2)ccc1C#N ZINC001244095808 1103208657 /nfs/dbraw/zinc/20/86/57/1103208657.db2.gz DRRMKNYBTWJALJ-UHFFFAOYSA-N 0 1 303.264 3.386 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)ccc1C)C2 ZINC001095829858 1103229100 /nfs/dbraw/zinc/22/91/00/1103229100.db2.gz HIKDEMHPTOWLAJ-PVAVHDDUSA-N 0 1 302.393 3.045 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)CCCF)cc1 ZINC001326481551 1103333162 /nfs/dbraw/zinc/33/31/62/1103333162.db2.gz RJGAZOKQXRQXJY-ZDUSSCGKSA-N 0 1 312.816 3.456 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(C#N)cc3Cl)n2)CC1 ZINC001244329345 1103365468 /nfs/dbraw/zinc/36/54/68/1103365468.db2.gz URGDYCKILRPODY-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN Cc1n[nH]c(C2CC2)c1-c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001212958462 1103387352 /nfs/dbraw/zinc/38/73/52/1103387352.db2.gz AOCLJRAUOZJRES-UHFFFAOYSA-N 0 1 309.304 3.323 20 30 DGEDMN CSc1ccccc1C(C)N=Nc1cc(Cl)nc(N)n1 ZINC001327031870 1103504607 /nfs/dbraw/zinc/50/46/07/1103504607.db2.gz SOGOAFPTJPZINJ-UHFFFAOYSA-N 0 1 307.810 3.270 20 30 DGEDMN COC(=O)c1ccc(-c2cc(C)c(O)c(Cl)c2)c(C#N)n1 ZINC001244548207 1103514881 /nfs/dbraw/zinc/51/48/81/1103514881.db2.gz XPPDSVMHCMKUQJ-UHFFFAOYSA-N 0 1 302.717 3.074 20 30 DGEDMN CCc1nc([C@H](C)ON=C(c2ccccc2)C(F)(F)F)n[nH]1 ZINC001327105737 1103524350 /nfs/dbraw/zinc/52/43/50/1103524350.db2.gz YFXFARMPPYWVDP-VIFPVBQESA-N 0 1 312.295 3.411 20 30 DGEDMN CCc1n[nH]c([C@H](C)ON=C(c2ccccc2)C(F)(F)F)n1 ZINC001327105737 1103524359 /nfs/dbraw/zinc/52/43/59/1103524359.db2.gz YFXFARMPPYWVDP-VIFPVBQESA-N 0 1 312.295 3.411 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occc1C(C)C)C2 ZINC001095909417 1103527933 /nfs/dbraw/zinc/52/79/33/1103527933.db2.gz PVXCWAVBSSMERU-KBMXLJTQSA-N 0 1 302.418 3.314 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)OC(C)(C)C)C[C@@H](F)C1 ZINC001258725151 1103532950 /nfs/dbraw/zinc/53/29/50/1103532950.db2.gz IOPPHSNIFBKYJC-KBPBESRZSA-N 0 1 300.418 3.232 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001327125855 1103536156 /nfs/dbraw/zinc/53/61/56/1103536156.db2.gz LXMNSWGJQCXEMU-IVFAQJDTSA-N 0 1 324.468 3.365 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001327125857 1103539202 /nfs/dbraw/zinc/53/92/02/1103539202.db2.gz LXMNSWGJQCXEMU-PCYKQNFTSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001327148540 1103554028 /nfs/dbraw/zinc/55/40/28/1103554028.db2.gz SUDAZWXYLHTNSH-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001327148537 1103555024 /nfs/dbraw/zinc/55/50/24/1103555024.db2.gz SUDAZWXYLHTNSH-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c(F)cccc1F ZINC001490358737 1103600315 /nfs/dbraw/zinc/60/03/15/1103600315.db2.gz YAUKWDOYTAJQOU-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCC2CCCCCC2)C1 ZINC001490443393 1103603475 /nfs/dbraw/zinc/60/34/75/1103603475.db2.gz RDFCWAVGNKNABZ-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC001490448966 1103606868 /nfs/dbraw/zinc/60/68/68/1103606868.db2.gz IWLWCBTVPQIRLU-MRXNPFEDSA-N 0 1 306.475 3.493 20 30 DGEDMN C=CCN1CCC[C@@H]([C@@H]2CCCCN2C(=O)CCCC(C)=O)C1 ZINC001327299623 1103618132 /nfs/dbraw/zinc/61/81/32/1103618132.db2.gz PIXAKTAYBQDXRZ-MSOLQXFVSA-N 0 1 320.477 3.025 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)CC1CCCCC1 ZINC001114922835 1103638025 /nfs/dbraw/zinc/63/80/25/1103638025.db2.gz YNFUBQDUXMKHAW-INDMIFKZSA-N 0 1 316.489 3.053 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001490538927 1103641680 /nfs/dbraw/zinc/64/16/80/1103641680.db2.gz HLYGRUVVZQPCGJ-LJQANCHMSA-N 0 1 324.468 3.304 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1C1CC1)C2 ZINC001095925764 1103657371 /nfs/dbraw/zinc/65/73/71/1103657371.db2.gz RQIHLUGVMRJBQS-KBMXLJTQSA-N 0 1 300.402 3.068 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)C(C)C ZINC001327512960 1103667404 /nfs/dbraw/zinc/66/74/04/1103667404.db2.gz OPNGWMYOAGMRBF-VQIMIIECSA-N 0 1 314.473 3.398 20 30 DGEDMN COCOc1cc(C)c(-c2cc3[nH]cnc3c(C#N)n2)c(C)c1 ZINC001244770496 1103682703 /nfs/dbraw/zinc/68/27/03/1103682703.db2.gz QDCYCVXOWGEBGX-UHFFFAOYSA-N 0 1 308.341 3.096 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC2(C)C)CC1 ZINC001490619909 1103684267 /nfs/dbraw/zinc/68/42/67/1103684267.db2.gz ODFMXKMCMDOQCM-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)CCc1ccccc1C)C1CC1 ZINC001490711783 1103702075 /nfs/dbraw/zinc/70/20/75/1103702075.db2.gz XIKGRUOEROYCKU-KRWDZBQOSA-N 0 1 320.864 3.165 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H](CN(C)CC(=C)Cl)C1 ZINC001490768679 1103733142 /nfs/dbraw/zinc/73/31/42/1103733142.db2.gz SHHJEEVDEDFJEQ-QGZVFWFLSA-N 0 1 324.896 3.493 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCCc3ccccc31)C2 ZINC001096174029 1103776977 /nfs/dbraw/zinc/77/69/77/1103776977.db2.gz OIJQVWILKWWJMT-BOFXEDATSA-N 0 1 324.468 3.188 20 30 DGEDMN N#Cc1nc(-c2c(Cl)cc(CO)cc2Cl)cc2[nH]cnc21 ZINC001245009016 1103798578 /nfs/dbraw/zinc/79/85/78/1103798578.db2.gz ZLTJHYLDFHFKOO-UHFFFAOYSA-N 0 1 319.151 3.296 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3ccccc3n1)C2 ZINC001096407764 1103825273 /nfs/dbraw/zinc/82/52/73/1103825273.db2.gz UADVJQDRZDSUMZ-MNEFBYGVSA-N 0 1 321.424 3.146 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C[C@@H]1C ZINC001278396510 1103836529 /nfs/dbraw/zinc/83/65/29/1103836529.db2.gz BEBDJUFZBGGGAM-ZFWWWQNUSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cc(C)no2)CC1(C)C ZINC001328083935 1103838278 /nfs/dbraw/zinc/83/82/78/1103838278.db2.gz WYIVXPZOHRHCSW-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc3ccccc3c1C)C2 ZINC001096487541 1103846190 /nfs/dbraw/zinc/84/61/90/1103846190.db2.gz VQADQIMIPGUVSO-PVAVHDDUSA-N 0 1 322.408 3.100 20 30 DGEDMN O=C1C=C2CN(Cc3c[nH]c4c3cccc4F)CCC2S1 ZINC001137052866 1103847769 /nfs/dbraw/zinc/84/77/69/1103847769.db2.gz PNIIPSNUFGMVLC-AWEZNQCLSA-N 0 1 302.374 3.081 20 30 DGEDMN C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3ccccc3o1)C2 ZINC001096485110 1103849046 /nfs/dbraw/zinc/84/90/46/1103849046.db2.gz IUDYXDUCGAXZGK-PMPSAXMXSA-N 0 1 310.397 3.344 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3ccccc3F)C[C@H]21 ZINC001114343527 1103868824 /nfs/dbraw/zinc/86/88/24/1103868824.db2.gz PVWAMSYYPALARJ-HWLIBYHHSA-N 0 1 316.420 3.289 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2conc2CC)[C@@H](C)C1 ZINC001328266290 1103892734 /nfs/dbraw/zinc/89/27/34/1103892734.db2.gz IENSUGWLZUMNPN-GOEBONIOSA-N 0 1 319.449 3.062 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1CCC(C)(C)CC1)C2 ZINC001096684389 1103902701 /nfs/dbraw/zinc/90/27/01/1103902701.db2.gz FMADFUNLXLPEJY-SQNIBIBYSA-N 0 1 316.489 3.338 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)N[C@H](C)c2ncc(C)o2)CCC1 ZINC001328400552 1103933946 /nfs/dbraw/zinc/93/39/46/1103933946.db2.gz YTXIUCLTBYFKKY-DZGCQCFKSA-N 0 1 319.449 3.275 20 30 DGEDMN N#CC1(c2ccc(C3=CC[C@H](N4CCOCC4)CC3)nc2)CCC1 ZINC001245418272 1104039511 /nfs/dbraw/zinc/03/95/11/1104039511.db2.gz IJSUWZJXZUOXIP-SFHVURJKSA-N 0 1 323.440 3.295 20 30 DGEDMN N#CCc1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1F ZINC001245423943 1104043057 /nfs/dbraw/zinc/04/30/57/1104043057.db2.gz JRDOPFYQFQVQGE-KRWDZBQOSA-N 0 1 300.377 3.160 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)CC1(C)CC1 ZINC001328751088 1104054588 /nfs/dbraw/zinc/05/45/88/1104054588.db2.gz ZQMUMSRIJLTYHA-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)CC1CCCCC1 ZINC001328764477 1104058735 /nfs/dbraw/zinc/05/87/35/1104058735.db2.gz ARTNTBXQGRJORM-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCCC1CCCCC1 ZINC001328785455 1104069206 /nfs/dbraw/zinc/06/92/06/1104069206.db2.gz HZKNHTNDLUXOMG-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1sccc1C(C)C ZINC001328797737 1104077169 /nfs/dbraw/zinc/07/71/69/1104077169.db2.gz OWCQJJRGQJCGED-HNNXBMFYSA-N 0 1 318.486 3.431 20 30 DGEDMN Cc1cccc(C(=O)N[C@@H](C)[C@H](C)NCc2ccccc2C#N)c1 ZINC001328979000 1104113740 /nfs/dbraw/zinc/11/37/40/1104113740.db2.gz XPIUUOXVWBNXNC-HOTGVXAUSA-N 0 1 321.424 3.163 20 30 DGEDMN N#Cc1cccc(-c2noc(-c3cc(-c4cccnc4)n[nH]3)n2)c1 ZINC001212801142 1104151475 /nfs/dbraw/zinc/15/14/75/1104151475.db2.gz WAKYLTJMUCNWAW-UHFFFAOYSA-N 0 1 314.308 3.060 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1-c1cc(O)c(F)c(F)c1F ZINC001245634914 1104165652 /nfs/dbraw/zinc/16/56/52/1104165652.db2.gz HUVORVQIJIIZTL-UHFFFAOYSA-N 0 1 307.227 3.135 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1sccc1CC ZINC001329203815 1104188294 /nfs/dbraw/zinc/18/82/94/1104188294.db2.gz VXLBIXVZYNGWBH-MNOVXSKESA-N 0 1 300.855 3.159 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccccc1C#Cc1ccccc1)N(C)C ZINC001137243035 1104199807 /nfs/dbraw/zinc/19/98/07/1104199807.db2.gz UKNXWMSLDPEMKC-IBGZPJMESA-N 0 1 306.409 3.365 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC(C)(C)CNCc1nc(C)oc1C ZINC001329321363 1104220591 /nfs/dbraw/zinc/22/05/91/1104220591.db2.gz PLPYKCFOYFNZIA-UHFFFAOYSA-N 0 1 321.465 3.078 20 30 DGEDMN O[C@@]1(c2ccccc2)CCCN(CC#Cc2ccc(F)cc2)C1 ZINC001329343950 1104225390 /nfs/dbraw/zinc/22/53/90/1104225390.db2.gz ILCMGTJBZMXNIK-FQEVSTJZSA-N 0 1 309.384 3.161 20 30 DGEDMN CCOC(=O)c1cnc(-c2c(F)ccc(O)c2Cl)c(C#N)c1 ZINC001245833186 1104259868 /nfs/dbraw/zinc/25/98/68/1104259868.db2.gz UHCLOYGUPDMREQ-UHFFFAOYSA-N 0 1 320.707 3.295 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cnccc2C)C1 ZINC001278419553 1104285244 /nfs/dbraw/zinc/28/52/44/1104285244.db2.gz BCQFNZHHRWGLMV-VQIMIIECSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2cccc(F)c2F)C1 ZINC001274208210 1104286122 /nfs/dbraw/zinc/28/61/22/1104286122.db2.gz IZLBBQQCMQYKFB-XJKSGUPXSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)Cc1cc(C)ccc1OC ZINC001329523919 1104298846 /nfs/dbraw/zinc/29/88/46/1104298846.db2.gz MKGQHSRTQFUVMW-HNNXBMFYSA-N 0 1 318.461 3.152 20 30 DGEDMN N#Cc1cccc(-n2[nH]c(COCc3ccccc3)cc2=O)c1 ZINC001245922634 1104312250 /nfs/dbraw/zinc/31/22/50/1104312250.db2.gz GUPMPTYHKHHCNL-UHFFFAOYSA-N 0 1 305.337 3.166 20 30 DGEDMN N#Cc1ccc(NC(=S)Nc2ccc(F)c(F)c2O)cc1 ZINC001245923903 1104313914 /nfs/dbraw/zinc/31/39/14/1104313914.db2.gz YNCXZRZQJVCEMT-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cccc(Cl)c2C)C1=O ZINC001274413459 1104375085 /nfs/dbraw/zinc/37/50/85/1104375085.db2.gz UNMFWVDEIMMMAQ-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN C=C[C@@H](C(=O)N[C@H]1CCN(CCF)CC1(C)C)c1ccccc1 ZINC001274460371 1104392967 /nfs/dbraw/zinc/39/29/67/1104392967.db2.gz RUKMEXLNPHALSZ-SJORKVTESA-N 0 1 318.436 3.142 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001274599032 1104416819 /nfs/dbraw/zinc/41/68/19/1104416819.db2.gz XLZZWWWGZKXWOB-MJGOQNOKSA-N 0 1 312.457 3.197 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001274599033 1104417246 /nfs/dbraw/zinc/41/72/46/1104417246.db2.gz XLZZWWWGZKXWOB-PKOBYXMFSA-N 0 1 312.457 3.197 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(C2CC2)c1 ZINC001274619311 1104422487 /nfs/dbraw/zinc/42/24/87/1104422487.db2.gz QWEODPPPCKIEMM-IHWYPQMZSA-N 0 1 304.821 3.192 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)C[C@H]2CCc3ccccc32)C1 ZINC001278434919 1104428327 /nfs/dbraw/zinc/42/83/27/1104428327.db2.gz YOGKVZZIJKSNKZ-YLJYHZDGSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cccc(C)c2Cl)C1=O ZINC001274676054 1104451984 /nfs/dbraw/zinc/45/19/84/1104451984.db2.gz QIKZQTKWHBAWOZ-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001274693403 1104459559 /nfs/dbraw/zinc/45/95/59/1104459559.db2.gz KBPQCKRBKIRCEA-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)C3CCC3)C2)C1 ZINC001330148889 1104488713 /nfs/dbraw/zinc/48/87/13/1104488713.db2.gz SLHWCBWUFMSVSO-LJQANCHMSA-N 0 1 322.493 3.060 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CN(C/C=C\c2ccccc2)CC1(C)C ZINC001330189762 1104503095 /nfs/dbraw/zinc/50/30/95/1104503095.db2.gz DOHPQMJNPYJWNH-POGDKVGISA-N 0 1 324.468 3.186 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CN(C/C=C/c2ccccc2)CC1(C)C ZINC001330189761 1104503124 /nfs/dbraw/zinc/50/31/24/1104503124.db2.gz DOHPQMJNPYJWNH-BLRBJFNZSA-N 0 1 324.468 3.186 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001274848403 1104518783 /nfs/dbraw/zinc/51/87/83/1104518783.db2.gz VBBHLMXCJSJTEJ-CRAIPNDOSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2cccc(F)c2)CCC1 ZINC001274870390 1104524920 /nfs/dbraw/zinc/52/49/20/1104524920.db2.gz CLAZXIYZVJLYLO-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=CCCCN1CC2(CCN2C(=O)Nc2cc(F)ccc2F)C1 ZINC001274964411 1104556708 /nfs/dbraw/zinc/55/67/08/1104556708.db2.gz LOWMGDRCTFFLMA-UHFFFAOYSA-N 0 1 321.371 3.223 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3(CC(C)C)CCC3)C2)C1 ZINC001330499525 1104562447 /nfs/dbraw/zinc/56/24/47/1104562447.db2.gz KMHWXDCGVMNAKF-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H](C)CCCNCc1cc(F)ccc1C#N ZINC001330779340 1104643022 /nfs/dbraw/zinc/64/30/22/1104643022.db2.gz GPQXDLWZCMVJAA-YLIUPVCFSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001275274430 1104701448 /nfs/dbraw/zinc/70/14/48/1104701448.db2.gz NSQLOTNBIQFVMI-MNORPUOESA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001275403728 1104748512 /nfs/dbraw/zinc/74/85/12/1104748512.db2.gz LDVWFPCURNIMDY-NWDGAFQWSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCCc1sccc1C ZINC001275421660 1104753761 /nfs/dbraw/zinc/75/37/61/1104753761.db2.gz MTTDTUJAPDMGMF-ZDUSSCGKSA-N 0 1 314.882 3.226 20 30 DGEDMN Cc1ccc(C(=O)N[C@@H](C)CNCc2ccccc2C#N)cc1C ZINC001275428200 1104756178 /nfs/dbraw/zinc/75/61/78/1104756178.db2.gz NPDADENJPNSEFD-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)cc(Cl)c1 ZINC001275453284 1104763203 /nfs/dbraw/zinc/76/32/03/1104763203.db2.gz WLTTZAWGKKQFKI-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN Cc1ccc(CN2C[C@H]3CC[C@@H](C2)N3Cc2ccc[nH]2)c(C#N)c1 ZINC001275617502 1104833536 /nfs/dbraw/zinc/83/35/36/1104833536.db2.gz YKOYMRNSPZNHFH-BGYRXZFFSA-N 0 1 320.440 3.044 20 30 DGEDMN C=CCCC(=O)N(C)CC(C)(C)CN[C@H](C)c1ncc(C)o1 ZINC001275652024 1104848939 /nfs/dbraw/zinc/84/89/39/1104848939.db2.gz UDTDBVXIBALTMX-CQSZACIVSA-N 0 1 307.438 3.084 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001275849130 1104931332 /nfs/dbraw/zinc/93/13/32/1104931332.db2.gz BQHUWEBDDCQNLL-SZMVWBNQSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1scc(C)c1Cl)C2 ZINC001095458978 1105014936 /nfs/dbraw/zinc/01/49/36/1105014936.db2.gz DHYFHPWXCAGSNS-AGIUHOORSA-N 0 1 322.861 3.068 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3ccccc3nc1C)C2 ZINC001095489029 1105038260 /nfs/dbraw/zinc/03/82/60/1105038260.db2.gz ZARJEYGYSYCXFA-MNEFBYGVSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(CC)c3ccccc13)C2 ZINC001095722258 1105101621 /nfs/dbraw/zinc/10/16/21/1105101621.db2.gz YHGDRYRURBOFTH-LJHODMEESA-N 0 1 323.440 3.182 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN([C@@H](C)CC)CC2)CCCC1 ZINC001248674554 1105144026 /nfs/dbraw/zinc/14/40/26/1105144026.db2.gz DZDUIBCDLGVPKR-INIZCTEOSA-N 0 1 307.482 3.249 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN([C@H](C)CC)CC2)CCCC1 ZINC001248674555 1105144199 /nfs/dbraw/zinc/14/41/99/1105144199.db2.gz DZDUIBCDLGVPKR-MRXNPFEDSA-N 0 1 307.482 3.249 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2ccccc2)CC1 ZINC001248743650 1105153908 /nfs/dbraw/zinc/15/39/08/1105153908.db2.gz ZQKJFKBRAWAEGA-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN N#C[C@@H]1CN(Cc2sc(Cl)c3ccccc23)CCC1=O ZINC001249068663 1105219144 /nfs/dbraw/zinc/21/91/44/1105219144.db2.gz XAFMFIVSRHAIDK-SNVBAGLBSA-N 0 1 304.802 3.469 20 30 DGEDMN CCN(Cc1cnn2ccc(C#N)cc12)Cc1cccc(F)c1 ZINC001249113666 1105227827 /nfs/dbraw/zinc/22/78/27/1105227827.db2.gz MOQZNYMHCKMYPQ-UHFFFAOYSA-N 0 1 308.360 3.367 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(N4CCCC4)cc3)no2)C1 ZINC001249231913 1105252367 /nfs/dbraw/zinc/25/23/67/1105252367.db2.gz SIPDTOGVASBHIA-SFHVURJKSA-N 0 1 310.401 3.102 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001111441661 1105324241 /nfs/dbraw/zinc/32/42/41/1105324241.db2.gz ZIEMEXYEGCUOFD-NBYUQASBSA-N 0 1 322.452 3.091 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1coc3ccc(C)cc13)C2 ZINC001097156510 1105355602 /nfs/dbraw/zinc/35/56/02/1105355602.db2.gz GIIFHDOUOUVRST-NXHRZFHOSA-N 0 1 324.424 3.191 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c3ccccc13)C2 ZINC001097935619 1105466695 /nfs/dbraw/zinc/46/66/95/1105466695.db2.gz DTEMMZCLNTWRJJ-YSGRDPCXSA-N 0 1 318.420 3.117 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1CCCCCC1)C2 ZINC001097937705 1105475089 /nfs/dbraw/zinc/47/50/89/1105475089.db2.gz GGHOMUSQENKGQN-KURKYZTESA-N 0 1 302.462 3.092 20 30 DGEDMN C=CCN1CC[C@]2(CCCN(Cc3cc4ccccc4[nH]3)C2)C1=O ZINC001137315826 1105481917 /nfs/dbraw/zinc/48/19/17/1105481917.db2.gz OGBUSWSSXZEVAO-FQEVSTJZSA-N 0 1 323.440 3.168 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3c[nH]c4ccc(F)cc34)CC[C@H]2S1 ZINC001137335196 1105488491 /nfs/dbraw/zinc/48/84/91/1105488491.db2.gz JRUFRVCTTAEBPF-OAHLLOKOSA-N 0 1 302.374 3.081 20 30 DGEDMN O=C1C=C2CN(Cc3c[nH]c4ccc(F)cc34)CCC2S1 ZINC001137335196 1105488494 /nfs/dbraw/zinc/48/84/94/1105488494.db2.gz JRUFRVCTTAEBPF-OAHLLOKOSA-N 0 1 302.374 3.081 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(c1)C=CCC3)C2 ZINC001098018379 1105503724 /nfs/dbraw/zinc/50/37/24/1105503724.db2.gz MILQYRQQJLOBCK-QRVBRYPASA-N 0 1 308.425 3.167 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](CNCc2nc(C)c(C)o2)C1 ZINC001278565896 1105508355 /nfs/dbraw/zinc/50/83/55/1105508355.db2.gz RIHMDJCCXNANQL-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN C#C[C@@H](NC[C@H]1CCC=CO1)c1ccc(Br)cc1 ZINC001462273959 1105534564 /nfs/dbraw/zinc/53/45/64/1105534564.db2.gz ABLLKMCVXMGNHP-HUUCEWRRSA-N 0 1 306.203 3.406 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccc(CC)cc1)C2 ZINC001098046667 1105536123 /nfs/dbraw/zinc/53/61/23/1105536123.db2.gz WITSCEROQYXGHY-AXUOBQJMSA-N 0 1 312.457 3.260 20 30 DGEDMN Cc1nc(C#N)ccc1NS(=O)(=O)c1cccc2ccccc21 ZINC001250591004 1105542794 /nfs/dbraw/zinc/54/27/94/1105542794.db2.gz JJOSNAFMTGWSAI-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCN(Cc1nc2ccc(OCC)cc2[nH]1)C(=O)OCC ZINC001250591634 1105543620 /nfs/dbraw/zinc/54/36/20/1105543620.db2.gz TVBCRKQWXXJPNY-UHFFFAOYSA-N 0 1 303.362 3.106 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncsc1C(C)C)C2 ZINC001098195984 1105560765 /nfs/dbraw/zinc/56/07/65/1105560765.db2.gz HKGWNRCGQBJBLH-MCIONIFRSA-N 0 1 319.474 3.178 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccsc1C(F)F)C2 ZINC001098294976 1105567701 /nfs/dbraw/zinc/56/77/01/1105567701.db2.gz NIWUXHDAPRYIBZ-JLLWLGSASA-N 0 1 312.385 3.207 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(s1)CCC3)C2 ZINC001098330496 1105570184 /nfs/dbraw/zinc/57/01/84/1105570184.db2.gz PRNNUPXGFVHJMV-KFWWJZLASA-N 0 1 316.470 3.148 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC(c3ccccc3)C1)C2 ZINC001098485471 1105579509 /nfs/dbraw/zinc/57/95/09/1105579509.db2.gz GIZHBCNFSPNKFP-JIARVOFWSA-N 0 1 310.441 3.088 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)cccc1F)C2 ZINC001098495857 1105580561 /nfs/dbraw/zinc/58/05/61/1105580561.db2.gz KRFQTNAHFBSZOI-BMFZPTHFSA-N 0 1 302.393 3.045 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccoc2CC)CC1 ZINC001278607493 1105595045 /nfs/dbraw/zinc/59/50/45/1105595045.db2.gz KWGCQAMBZLJJSI-UHFFFAOYSA-N 0 1 324.852 3.426 20 30 DGEDMN N#Cc1ccc(Cl)c(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)c1 ZINC001250889275 1105599947 /nfs/dbraw/zinc/59/99/47/1105599947.db2.gz UAOSMDHWZVLEDS-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN CSc1nc(-c2nc3ccc(NC(C)=O)cc3[nH]2)ccc1C#N ZINC001250958447 1105610919 /nfs/dbraw/zinc/61/09/19/1105610919.db2.gz WKYOCZBZVOWUTP-UHFFFAOYSA-N 0 1 323.381 3.177 20 30 DGEDMN CSc1nc(-c2nc3ccc(N(C)C)cc3[nH]2)ccc1C#N ZINC001250957360 1105611016 /nfs/dbraw/zinc/61/10/16/1105611016.db2.gz BICWUVUAKKEYCD-UHFFFAOYSA-N 0 1 309.398 3.284 20 30 DGEDMN CC[C@@H](F)CN1CC(C)(C)CC[C@@H]1CNC(=O)C#CC(C)C ZINC001099065438 1105637986 /nfs/dbraw/zinc/63/79/86/1105637986.db2.gz SXOCPKXHZGTKFX-HZPDHXFCSA-N 0 1 310.457 3.001 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCC(C)(F)F ZINC001099126625 1105645712 /nfs/dbraw/zinc/64/57/12/1105645712.db2.gz XEGIOGVCJXQDJN-AWEZNQCLSA-N 0 1 314.420 3.052 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C1CCC1 ZINC001099154339 1105650269 /nfs/dbraw/zinc/65/02/69/1105650269.db2.gz XHFRQISQBCAORI-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H]1CC=CCC1 ZINC001099171895 1105652935 /nfs/dbraw/zinc/65/29/35/1105652935.db2.gz WHAKJRNLLVUTEL-MSOLQXFVSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099215197 1105658450 /nfs/dbraw/zinc/65/84/50/1105658450.db2.gz PZQWYOVLSUQXBI-APWZRJJASA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC[C@@H](C)CC ZINC001099280157 1105663743 /nfs/dbraw/zinc/66/37/43/1105663743.db2.gz BCONJRNFJMVZRU-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CCCC)C(C)C)C2 ZINC001111474581 1105686818 /nfs/dbraw/zinc/68/68/18/1105686818.db2.gz BENQFLYXOJIAIA-TVFCKZIOSA-N 0 1 304.478 3.194 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001490924567 1105722266 /nfs/dbraw/zinc/72/22/66/1105722266.db2.gz FQPBQEUZKWWCRT-QAQDUYKDSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCCN(C/C=C/Cl)C1 ZINC001491108355 1105755105 /nfs/dbraw/zinc/75/51/05/1105755105.db2.gz SAXDJCPSIJSFDH-WGPYJDKQSA-N 0 1 310.869 3.336 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001491214319 1105774749 /nfs/dbraw/zinc/77/47/49/1105774749.db2.gz PPLKHBQQBMZUHC-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN N#CC1(CNC[C@@H](O)COc2cccc3ccccc32)CCCC1 ZINC001252391433 1105776158 /nfs/dbraw/zinc/77/61/58/1105776158.db2.gz PAZMYVXSAFQVPC-QGZVFWFLSA-N 0 1 324.424 3.253 20 30 DGEDMN C=C[C@](C)(O)CN[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001252585500 1105798393 /nfs/dbraw/zinc/79/83/93/1105798393.db2.gz PSZQZMPIARKQHW-ICSRJNTNSA-N 0 1 321.424 3.189 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CCCC#CC)C1 ZINC001491293782 1105802176 /nfs/dbraw/zinc/80/21/76/1105802176.db2.gz XYVGAJHKSIHWLR-HOTGVXAUSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCC[C@H](O)CNCc1csc(-c2ccc(F)cc2)n1 ZINC001252623872 1105807204 /nfs/dbraw/zinc/80/72/04/1105807204.db2.gz SFDBJXRANBAWIQ-HNNXBMFYSA-N 0 1 306.406 3.366 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(CC)CC)CC1(C)C ZINC001278676453 1105814395 /nfs/dbraw/zinc/81/43/95/1105814395.db2.gz HBIWPPNOVHHRJT-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001491414919 1105823076 /nfs/dbraw/zinc/82/30/76/1105823076.db2.gz ZAOMBLQQWSFFAJ-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN1CCc2cnc(OC)cc2C1 ZINC001253241730 1105908117 /nfs/dbraw/zinc/90/81/17/1105908117.db2.gz CLKPEZLEXXWLFA-GOSISDBHSA-N 0 1 318.461 3.336 20 30 DGEDMN C=CCCCCCC[C@H](O)CNc1ccc2nc(CO)[nH]c2c1 ZINC001253244758 1105909655 /nfs/dbraw/zinc/90/96/55/1105909655.db2.gz QKFPMEOUPBKSCH-HNNXBMFYSA-N 0 1 317.433 3.355 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101338007 1105911112 /nfs/dbraw/zinc/91/11/12/1105911112.db2.gz UIPDLOAGHNVFBG-XWBRQJBESA-N 0 1 310.869 3.122 20 30 DGEDMN CC(C)(C)OC[C@H](O)CN(CCC#N)C1CCCCCCC1 ZINC001253453080 1105940192 /nfs/dbraw/zinc/94/01/92/1105940192.db2.gz SJDURCNAQHHFEO-QGZVFWFLSA-N 0 1 310.482 3.491 20 30 DGEDMN CC(C)(C)OC[C@@H](O)CN(CCC#N)C1CCCCCCC1 ZINC001253453079 1105940821 /nfs/dbraw/zinc/94/08/21/1105940821.db2.gz SJDURCNAQHHFEO-KRWDZBQOSA-N 0 1 310.482 3.491 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)=C2CCC2)C1 ZINC001278741063 1105988414 /nfs/dbraw/zinc/98/84/14/1105988414.db2.gz KPWORTLHQXMWRD-OAHLLOKOSA-N 0 1 312.404 3.136 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H](NCc2cc(C#N)co2)C1 ZINC001254196779 1106031853 /nfs/dbraw/zinc/03/18/53/1106031853.db2.gz VESNDXBQKLIISH-AWEZNQCLSA-N 0 1 319.405 3.030 20 30 DGEDMN CCOc1ccc(N2CCN(CCC(C)(C)C#N)CC2)cc1 ZINC001254211241 1106035068 /nfs/dbraw/zinc/03/50/68/1106035068.db2.gz LZMHMUJHZSRHDU-UHFFFAOYSA-N 0 1 301.434 3.147 20 30 DGEDMN COC(=O)[C@@H](C)N(C)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254357109 1106059592 /nfs/dbraw/zinc/05/95/92/1106059592.db2.gz YPEYBDLWVJGTMG-IMUUDWKMSA-N 0 1 318.392 3.023 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)CC(C)C)[C@H](C)C1 ZINC001102502630 1106065208 /nfs/dbraw/zinc/06/52/08/1106065208.db2.gz IKGDSNGQPBMSFV-UKRRQHHQSA-N 0 1 314.901 3.495 20 30 DGEDMN C[C@@H](Cc1ccccc1[N+](=O)[O-])N1CCc2ccc(C#N)cc2C1 ZINC001254553316 1106102662 /nfs/dbraw/zinc/10/26/62/1106102662.db2.gz UYBVTRIGZBBNLR-AWEZNQCLSA-N 0 1 321.380 3.456 20 30 DGEDMN C[C@H](N[C@@H]1CC[C@H](C#N)C1)C(=O)Nc1ccc2ccccc2c1 ZINC001254644094 1106120948 /nfs/dbraw/zinc/12/09/48/1106120948.db2.gz QNIIXKKLJRUMNJ-GRDNDAEWSA-N 0 1 307.397 3.449 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N[C@@H]2COc3cc(Br)ccc32)C1 ZINC001254648788 1106121675 /nfs/dbraw/zinc/12/16/75/1106121675.db2.gz OHRCYULNIKBODX-IRUJWGPZSA-N 0 1 307.191 3.164 20 30 DGEDMN C[C@@H]1CN([C@H]2CC[C@@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001254671881 1106129555 /nfs/dbraw/zinc/12/95/55/1106129555.db2.gz VZGRSHWHWFHXCF-BARDWOONSA-N 0 1 307.438 3.008 20 30 DGEDMN COc1cc(N2CCN([C@@H]3CC[C@H](C#N)C3)CC2)ccc1Cl ZINC001254674475 1106131976 /nfs/dbraw/zinc/13/19/76/1106131976.db2.gz ZXHKPSXNABNIPG-UONOGXRCSA-N 0 1 319.836 3.163 20 30 DGEDMN CC[C@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@@H](C#N)C1 ZINC001254674323 1106132082 /nfs/dbraw/zinc/13/20/82/1106132082.db2.gz XKRPFAKDQWTDDK-ILXRZTDVSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@H](CC#N)N[C@@H]1CN(C(=O)OC(C)(C)C)CCC1(C)C ZINC001255158570 1106194220 /nfs/dbraw/zinc/19/42/20/1106194220.db2.gz KZGXDUDTDNCSOR-ZIAGYGMSSA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@@H](CC#N)NC1CC2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001255165206 1106196572 /nfs/dbraw/zinc/19/65/72/1106196572.db2.gz VERSMZDYCNTGSZ-SYJJWHGVSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@H](CNC(=O)OC(C)(C)C)[C@@H]1C ZINC001255164472 1106196582 /nfs/dbraw/zinc/19/65/82/1106196582.db2.gz KQUVPSDWBYQHQY-RRFJBIMHSA-N 0 1 309.454 3.304 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN([C@@H](CC)CC#N)[C@H]2CC ZINC001255167505 1106197267 /nfs/dbraw/zinc/19/72/67/1106197267.db2.gz BSBVGRSYVPYDJC-BQFCYCMXSA-N 0 1 303.450 3.100 20 30 DGEDMN CC[C@H](CC#N)N1CCC(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001255167281 1106197359 /nfs/dbraw/zinc/19/73/59/1106197359.db2.gz XMDKTNQATVFFQM-MRXNPFEDSA-N 0 1 321.465 3.258 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN([C@H](CC)CC#N)[C@H]2CC ZINC001255167509 1106197441 /nfs/dbraw/zinc/19/74/41/1106197441.db2.gz BSBVGRSYVPYDJC-RYRKJORJSA-N 0 1 303.450 3.100 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@@]2(CC(=O)N(c3cccc(C)c3)C2)C1 ZINC001255170452 1106198712 /nfs/dbraw/zinc/19/87/12/1106198712.db2.gz RXAPGAZDLWSXPB-VQIMIIECSA-N 0 1 311.429 3.116 20 30 DGEDMN CC[C@H](CC#N)N1CCCC[C@@H]1[C@@H](C(=O)OC)c1ccccc1 ZINC001255175142 1106199630 /nfs/dbraw/zinc/19/96/30/1106199630.db2.gz NEFPVEHIUXLVKI-KURKYZTESA-N 0 1 314.429 3.490 20 30 DGEDMN CC[C@H](CC#N)N(C)[C@H]1CCCC[C@@H]1NC(=O)OC(C)(C)C ZINC001255178245 1106200175 /nfs/dbraw/zinc/20/01/75/1106200175.db2.gz DCIVBKHGPYBCMD-ILXRZTDVSA-N 0 1 309.454 3.446 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(Cc2ccc(C(=O)OC)cc2)CC1 ZINC001255177324 1106200970 /nfs/dbraw/zinc/20/09/70/1106200970.db2.gz PYVKAHHLOYDDIZ-SFHVURJKSA-N 0 1 314.429 3.420 20 30 DGEDMN C=C[C@H](COC)N[C@H](C)c1ccc(Br)cc1F ZINC001255454469 1106252772 /nfs/dbraw/zinc/25/27/72/1106252772.db2.gz PWCULPKPDMIWHX-MWLCHTKSSA-N 0 1 302.187 3.440 20 30 DGEDMN N#Cc1cccc(Cl)c1NS(=O)(=O)c1cccc(F)c1 ZINC001255642421 1106282212 /nfs/dbraw/zinc/28/22/12/1106282212.db2.gz DARPFGIDWOTBAR-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cncc(C#N)c2)c(C)cc1Cl ZINC001256140912 1106376429 /nfs/dbraw/zinc/37/64/29/1106376429.db2.gz NRCRAPHNAKCYFY-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)c1ccccc1C)C(C)C ZINC001278930012 1106406974 /nfs/dbraw/zinc/40/69/74/1106406974.db2.gz RQWUUWIDCXSFFI-GOSISDBHSA-N 0 1 314.473 3.291 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNC/C(Cl)=C/Cl)C(C)(C)C ZINC001278945684 1106441996 /nfs/dbraw/zinc/44/19/96/1106441996.db2.gz MZISBOXXNZMJHQ-KGTBHZDVSA-N 0 1 307.265 3.392 20 30 DGEDMN C[C@H]1C[N@H+](C2CCC(C#N)CC2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001256972552 1106518238 /nfs/dbraw/zinc/51/82/38/1106518238.db2.gz BUJDHNAIXDMCKG-FXQLRMTLSA-N 0 1 321.465 3.398 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CCN1[C@@H](CC)CCCC ZINC001257420169 1106585498 /nfs/dbraw/zinc/58/54/98/1106585498.db2.gz JRKAPNMIGAXOCL-RDJZCZTQSA-N 0 1 320.481 3.369 20 30 DGEDMN N#C[C@H]1CC[N@H+](C2CCC(C3CCC4(CC3)OCCO4)CC2)C1 ZINC001257494984 1106598833 /nfs/dbraw/zinc/59/88/33/1106598833.db2.gz BRRMQKWZZKACCR-KLHKWILBSA-N 0 1 318.461 3.324 20 30 DGEDMN N#C[C@H]1CCN(C2CCC(C3CCC4(CC3)OCCO4)CC2)C1 ZINC001257494984 1106598837 /nfs/dbraw/zinc/59/88/37/1106598837.db2.gz BRRMQKWZZKACCR-KLHKWILBSA-N 0 1 318.461 3.324 20 30 DGEDMN C[C@H]1C[C@H](N2CC[C@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257656088 1106615613 /nfs/dbraw/zinc/61/56/13/1106615613.db2.gz URHLLAODFUHCDI-JJRVBVJISA-N 0 1 307.438 3.010 20 30 DGEDMN C[C@H]1C[C@@H](N2CC[C@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257656087 1106615674 /nfs/dbraw/zinc/61/56/74/1106615674.db2.gz URHLLAODFUHCDI-GRDNDAEWSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCOCc1nn(C2CCC(OC(C)C)CC2)c2c1CNC2 ZINC001257879365 1106639487 /nfs/dbraw/zinc/63/94/87/1106639487.db2.gz GRBOAWJIYIWVTN-UHFFFAOYSA-N 0 1 319.449 3.098 20 30 DGEDMN C=C1C[C@@H]2CC(N3CCSC[C@H]3C(=O)OCC)C[C@H](C1)C2 ZINC001257895665 1106642895 /nfs/dbraw/zinc/64/28/95/1106642895.db2.gz XGYFQAUEEONZSH-QXULXFAOSA-N 0 1 309.475 3.102 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cnccc2C2CCC2)cc1 ZINC001258053277 1106664680 /nfs/dbraw/zinc/66/46/80/1106664680.db2.gz ASUJYBIAJARYQV-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN Cc1ccnc(C(C)C)c1NS(=O)(=O)c1ccc(C#N)cc1 ZINC001258054170 1106664725 /nfs/dbraw/zinc/66/47/25/1106664725.db2.gz YABJJUCCKMLFRY-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001316935769 1106783623 /nfs/dbraw/zinc/78/36/23/1106783623.db2.gz NIZOFSDJWDGDES-UHFFFAOYSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001316942609 1106794145 /nfs/dbraw/zinc/79/41/45/1106794145.db2.gz CNCVPPHCMQITMF-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001320038401 1106808476 /nfs/dbraw/zinc/80/84/76/1106808476.db2.gz RQLLSAZINOXOGN-IUODEOHRSA-N 0 1 316.228 3.304 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC[C@@](C)(C#N)C2)CC1(C)C ZINC001258565655 1106826043 /nfs/dbraw/zinc/82/60/43/1106826043.db2.gz YSWFPDBCWIHBFE-KSSFIOAISA-N 0 1 321.465 3.400 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001316988479 1106859296 /nfs/dbraw/zinc/85/92/96/1106859296.db2.gz HMCFPEFWBLTLDG-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001316993178 1106874512 /nfs/dbraw/zinc/87/45/12/1106874512.db2.gz YQRXQFYKPLKGMG-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(C(C)C)nc1)C1CC1 ZINC001317015539 1106902681 /nfs/dbraw/zinc/90/26/81/1106902681.db2.gz HTXSNONZCCGEIK-INIZCTEOSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)Cc1cccc(CC)c1)C1CC1 ZINC001317019507 1106907134 /nfs/dbraw/zinc/90/71/34/1106907134.db2.gz QIOZACMFVFIPMD-QGZVFWFLSA-N 0 1 320.864 3.028 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](OC)C1CC1 ZINC001317041535 1106939534 /nfs/dbraw/zinc/93/95/34/1106939534.db2.gz DJCUHVJSYIEKDO-FGTMMUONSA-N 0 1 322.493 3.057 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1[C@@H](CCC)CCCC ZINC001258760436 1106957382 /nfs/dbraw/zinc/95/73/82/1106957382.db2.gz PQRBTNBQJYTCIX-RDJZCZTQSA-N 0 1 320.481 3.276 20 30 DGEDMN CC1(C)CC[C@H](C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)C1 ZINC001317062296 1106958880 /nfs/dbraw/zinc/95/88/80/1106958880.db2.gz GEYDGBXRQJWREW-OALUTQOASA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCO[C@H]1CC[N@H+](Cc2cc(Cl)cc(Cl)c2[O-])C1 ZINC001320377898 1106986416 /nfs/dbraw/zinc/98/64/16/1106986416.db2.gz QEMBJRFMAMLXTK-LBPRGKRZSA-N 0 1 302.201 3.476 20 30 DGEDMN C=C[C@@H](COC)[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC001320427710 1107004768 /nfs/dbraw/zinc/00/47/68/1107004768.db2.gz HRRIHDCUGXIGBQ-ONGXEEELSA-N 0 1 300.196 3.006 20 30 DGEDMN C=C[C@@H](COC)N[C@@H]1CCc2c1cc(Br)cc2F ZINC001320428387 1107005409 /nfs/dbraw/zinc/00/54/09/1107005409.db2.gz YTZAKKZFPNOESY-IINYFYTJSA-N 0 1 314.198 3.366 20 30 DGEDMN C=C1CC=C(F)C=C1NS(=O)(=O)c1cc(F)c(F)cc1F ZINC001259044283 1107068648 /nfs/dbraw/zinc/06/86/48/1107068648.db2.gz ZOHBKSAALDJCII-UHFFFAOYSA-N 0 1 319.279 3.079 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc(C#N)c(Cl)c2)cc1C ZINC001259162641 1107085801 /nfs/dbraw/zinc/08/58/01/1107085801.db2.gz GDGOZCLVBABZIF-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001317176025 1107090974 /nfs/dbraw/zinc/09/09/74/1107090974.db2.gz IYYRQXXIBRBYAI-CHWSQXEVSA-N 0 1 324.877 3.109 20 30 DGEDMN N#Cc1cccc(Cl)c1NS(=O)(=O)Cc1ccc(F)cc1 ZINC001259253041 1107105700 /nfs/dbraw/zinc/10/57/00/1107105700.db2.gz JKOSTKVHBOTNEW-UHFFFAOYSA-N 0 1 324.764 3.293 20 30 DGEDMN CCC[C@H](C)CC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001320693549 1107106877 /nfs/dbraw/zinc/10/68/77/1107106877.db2.gz KAZUDKQORXKTNY-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCC=C(Cl)Cl ZINC001321078492 1107242107 /nfs/dbraw/zinc/24/21/07/1107242107.db2.gz WKRWMHVXBSJCTJ-AATRIKPKSA-N 0 1 305.249 3.170 20 30 DGEDMN Cc1ccsc1S(=O)(=O)Nc1ccc(C#N)c(F)c1F ZINC001260067807 1107243723 /nfs/dbraw/zinc/24/37/23/1107243723.db2.gz GUGAWGWECHHEKG-UHFFFAOYSA-N 0 1 314.338 3.007 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(Cl)ccc1F ZINC001321146318 1107265586 /nfs/dbraw/zinc/26/55/86/1107265586.db2.gz UNGUCZITIRLZIZ-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2c(C)noc2C)C1 ZINC001317377483 1107281725 /nfs/dbraw/zinc/28/17/25/1107281725.db2.gz UACBWAXMBKIGOT-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2c(Cl)cccc2C#N)ccc1F ZINC001260760144 1107315222 /nfs/dbraw/zinc/31/52/22/1107315222.db2.gz OUDJZAMAVCCNNH-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC[C@@H]1CCCN1Cc1ccon1 ZINC001317438325 1107368848 /nfs/dbraw/zinc/36/88/48/1107368848.db2.gz YFIAOAWNYQKLCP-SJLPKXTDSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001321661451 1107405961 /nfs/dbraw/zinc/40/59/61/1107405961.db2.gz LALMVAJREQXLJG-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CNCc2ocnc2C)CCCCC1 ZINC001321673833 1107410362 /nfs/dbraw/zinc/41/03/62/1107410362.db2.gz VNWJSYIMEOEJBP-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001321978040 1107477782 /nfs/dbraw/zinc/47/77/82/1107477782.db2.gz KLHSCIGJPZECNE-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2ccc(N3CCCC3)cc2)n1 ZINC001322059104 1107496227 /nfs/dbraw/zinc/49/62/27/1107496227.db2.gz RIIYGSHRDHMRDB-UHFFFAOYSA-N 0 1 315.808 3.485 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001322086730 1107505688 /nfs/dbraw/zinc/50/56/88/1107505688.db2.gz BSNFHSCFKAVZLI-ZFWWWQNUSA-N 0 1 308.853 3.020 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@@H](C)C(C)C ZINC001317544791 1107510686 /nfs/dbraw/zinc/51/06/86/1107510686.db2.gz CCPKVLWUEIMEJN-SFHVURJKSA-N 0 1 314.473 3.158 20 30 DGEDMN C#CCN(CCNC(=O)c1cc2cccc(C)c2s1)C1CC1 ZINC001317553463 1107528605 /nfs/dbraw/zinc/52/86/05/1107528605.db2.gz LCRZXAMHNSKZON-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1)C1CC1 ZINC001317558535 1107539568 /nfs/dbraw/zinc/53/95/68/1107539568.db2.gz VWLOQZKGZGPTKE-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H](C)c1ccco1)C(C)C ZINC001317701465 1107611061 /nfs/dbraw/zinc/61/10/61/1107611061.db2.gz ATQFWEMRYMXWDH-CQSZACIVSA-N 0 1 312.841 3.352 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001317768012 1107651654 /nfs/dbraw/zinc/65/16/54/1107651654.db2.gz YEVXNOCABYWOQV-MJGOQNOKSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccc(F)cc2F)C1 ZINC001317770234 1107653872 /nfs/dbraw/zinc/65/38/72/1107653872.db2.gz PFEXUOKZVBDFBL-OAHLLOKOSA-N 0 1 322.399 3.467 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)CCCC)C1 ZINC001317837776 1107698598 /nfs/dbraw/zinc/69/85/98/1107698598.db2.gz VHRSUEQZIYXHGX-HIFRSBDPSA-N 0 1 300.874 3.346 20 30 DGEDMN CC(C)(C)c1ccc(N=NC2CCCc3[nH]c(=O)ccc32)nn1 ZINC001322629531 1107720599 /nfs/dbraw/zinc/72/05/99/1107720599.db2.gz RZQJILSXDCIVDE-UHFFFAOYSA-N 0 1 311.389 3.027 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC(CN(C)CC(=C)Cl)CC1 ZINC001317876012 1107728870 /nfs/dbraw/zinc/72/88/70/1107728870.db2.gz QNYGDSLQHKHTMS-NSIKDUERSA-N 0 1 310.869 3.432 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCCN(CC#CC)C2)CCCCC1 ZINC001317947544 1107761406 /nfs/dbraw/zinc/76/14/06/1107761406.db2.gz BSTWPFUABMIAGS-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001317950698 1107763922 /nfs/dbraw/zinc/76/39/22/1107763922.db2.gz XSDKCRKNJBQMNR-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2Cc2ccc(C#N)cc2F)n1 ZINC001322862777 1107771038 /nfs/dbraw/zinc/77/10/38/1107771038.db2.gz RPGPIFOFJLENGU-KRWDZBQOSA-N 0 1 324.403 3.477 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001318078839 1107826657 /nfs/dbraw/zinc/82/66/57/1107826657.db2.gz RADRQECMMSMNKV-MRXNPFEDSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCC[C@H](C(=O)NC1CN(CC(C)C)C1)c1ccccc1 ZINC001318099931 1107841327 /nfs/dbraw/zinc/84/13/27/1107841327.db2.gz YXWUNCAFQBXYHL-SFHVURJKSA-N 0 1 300.446 3.193 20 30 DGEDMN CC(C)c1ccc([C@@H](C)N2CC[C@@H]2CNC(=O)C#CC2CC2)cc1 ZINC001323225904 1107892471 /nfs/dbraw/zinc/89/24/71/1107892471.db2.gz KRVNCKQTAOWVQL-OXQOHEQNSA-N 0 1 324.468 3.475 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001323303280 1107925671 /nfs/dbraw/zinc/92/56/71/1107925671.db2.gz RWBZRJHRBAPBSR-IEBWSBKVSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001318406205 1107986653 /nfs/dbraw/zinc/98/66/53/1107986653.db2.gz FPONJCQNCDMWSE-QGZVFWFLSA-N 0 1 316.445 3.084 20 30 DGEDMN N#C[C@H](C(=O)c1cn[nH]c1-c1ccsc1)c1cccc(F)n1 ZINC001263381298 1108033840 /nfs/dbraw/zinc/03/38/40/1108033840.db2.gz QLYNYAZMJHTZNP-JTQLQIEISA-N 0 1 312.329 3.162 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001318474814 1108033733 /nfs/dbraw/zinc/03/37/33/1108033733.db2.gz XBQJNCQHNXYBHZ-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CC/C=C\c2ccccc2)C1 ZINC001318482241 1108041253 /nfs/dbraw/zinc/04/12/53/1108041253.db2.gz FOAWMMWCBITYIF-CXOXVUTBSA-N 0 1 324.468 3.426 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001318490579 1108049562 /nfs/dbraw/zinc/04/95/62/1108049562.db2.gz CJUBLQHHUSMSHU-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001318491508 1108050199 /nfs/dbraw/zinc/05/01/99/1108050199.db2.gz KBPJHFAJMLGULV-HIFRSBDPSA-N 0 1 322.399 3.177 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CCC=C(C)C ZINC001323697166 1108056578 /nfs/dbraw/zinc/05/65/78/1108056578.db2.gz XPZGHTVREFDECA-QRVBRYPASA-N 0 1 316.489 3.458 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCF ZINC001323774973 1108081487 /nfs/dbraw/zinc/08/14/87/1108081487.db2.gz TVUGMDYTWLYHDF-CALCHBBNSA-N 0 1 308.441 3.180 20 30 DGEDMN Cc1cc(C(=O)OCc2nc[nH]c2C(F)(F)F)cc(C)c1C#N ZINC001263537989 1108091584 /nfs/dbraw/zinc/09/15/84/1108091584.db2.gz APNWNHRMRRTVIW-UHFFFAOYSA-N 0 1 323.274 3.274 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C#CC(C)(C)C)C2)CC1 ZINC001323826233 1108096191 /nfs/dbraw/zinc/09/61/91/1108096191.db2.gz VKHMLNZNSVSOEG-UHFFFAOYSA-N 0 1 322.880 3.103 20 30 DGEDMN CC[C@@H](CNC(=O)C(C)(C)CC)NCc1cc(F)ccc1C#N ZINC001318568476 1108101321 /nfs/dbraw/zinc/10/13/21/1108101321.db2.gz OKHSLIUSWWWHPZ-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1ccc(F)cc1F ZINC001263616765 1108112524 /nfs/dbraw/zinc/11/25/24/1108112524.db2.gz HTOKCGUWXRXUNK-GXFFZTMASA-N 0 1 303.312 3.161 20 30 DGEDMN N#CCOc1ccc(CN2Cc3ccc(O)cc3C3(CC3)C2)cc1 ZINC001324047128 1108159599 /nfs/dbraw/zinc/15/95/99/1108159599.db2.gz IFXUTGWPNFKVIG-UHFFFAOYSA-N 0 1 320.392 3.342 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2CC(=C)C)CCCCC1 ZINC001263820501 1108233244 /nfs/dbraw/zinc/23/32/44/1108233244.db2.gz ACNQMCRYEPFXEJ-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccc(F)cc1 ZINC001263820606 1108234670 /nfs/dbraw/zinc/23/46/70/1108234670.db2.gz CJEWGJVLUDZQEA-MAUKXSAKSA-N 0 1 318.436 3.428 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN([C@@H](C)c2ccccc2F)CC1 ZINC001324352304 1108261409 /nfs/dbraw/zinc/26/14/09/1108261409.db2.gz CZXLHUXOJSDNEM-HNNXBMFYSA-N 0 1 316.420 3.223 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cc(C)[nH]c2C)CC1 ZINC001319039135 1108288362 /nfs/dbraw/zinc/28/83/62/1108288362.db2.gz XSUPFPGPORANER-UHFFFAOYSA-N 0 1 323.868 3.216 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001264060611 1108358691 /nfs/dbraw/zinc/35/86/91/1108358691.db2.gz HNNVXPSXZFLLPU-BPQIPLTHSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001264060999 1108362474 /nfs/dbraw/zinc/36/24/74/1108362474.db2.gz UWRVFYLFDCYLFN-RBUKOAKNSA-N 0 1 324.468 3.219 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cncs2)[C@@H]1CC ZINC001264062401 1108363277 /nfs/dbraw/zinc/36/32/77/1108363277.db2.gz DPHGQERSHZPBCM-ZMSDIMECSA-N 0 1 321.490 3.215 20 30 DGEDMN CCCCCCCN1CCO[C@H](CNC(=O)C(C)=C2CCC2)C1 ZINC001319310879 1108410973 /nfs/dbraw/zinc/41/09/73/1108410973.db2.gz ROJBNVYPIDZKSI-GOSISDBHSA-N 0 1 322.493 3.274 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001319319163 1108412549 /nfs/dbraw/zinc/41/25/49/1108412549.db2.gz OFHXKMOEANSXEV-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001319402101 1108447502 /nfs/dbraw/zinc/44/75/02/1108447502.db2.gz DMIZIDNDVQGKPX-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCCCCC(C)C)CC2 ZINC001319407759 1108449679 /nfs/dbraw/zinc/44/96/79/1108449679.db2.gz OFQNGDNUGBZNJW-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H](C)CNCc1cccc(F)c1F ZINC001319449136 1108472977 /nfs/dbraw/zinc/47/29/77/1108472977.db2.gz VYNSEQHZENMZAE-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1cccc(C)c1 ZINC001264367021 1108473663 /nfs/dbraw/zinc/47/36/63/1108473663.db2.gz PVXXXWAKAWUPDG-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1cccc2ccccc21 ZINC001264378639 1108491560 /nfs/dbraw/zinc/49/15/60/1108491560.db2.gz NRFKEDPERGGZBB-IBGZPJMESA-N 0 1 322.452 3.491 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1cccs1 ZINC001264378387 1108491856 /nfs/dbraw/zinc/49/18/56/1108491856.db2.gz INWJKBQKJGPGDP-HNNXBMFYSA-N 0 1 306.475 3.180 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCCc2ccccc21 ZINC001264378811 1108493575 /nfs/dbraw/zinc/49/35/75/1108493575.db2.gz RNCGXPRPDFNNKC-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC(C)(C)CNC(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001324888099 1108494854 /nfs/dbraw/zinc/49/48/54/1108494854.db2.gz CZICEWXLTDZKJM-HZPDHXFCSA-N 0 1 319.424 3.082 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl ZINC001319516281 1108502951 /nfs/dbraw/zinc/50/29/51/1108502951.db2.gz WJDQXFLZAFHINW-CDRMENOJSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1ccccc1C ZINC001319537637 1108511890 /nfs/dbraw/zinc/51/18/90/1108511890.db2.gz IMELINUVIDFXJL-OAHLLOKOSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)c1cccc(C)c1 ZINC001319538733 1108513908 /nfs/dbraw/zinc/51/39/08/1108513908.db2.gz ARCVWTGQJIJSCB-LSDHHAIUSA-N 0 1 308.853 3.288 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1ccc2cncn2c1C ZINC001324977263 1108545529 /nfs/dbraw/zinc/54/55/29/1108545529.db2.gz PARLUEZVNXVQGE-UHFFFAOYSA-N 0 1 305.381 3.471 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1cnoc1C)C1CCCCC1 ZINC001319649616 1108575844 /nfs/dbraw/zinc/57/58/44/1108575844.db2.gz ZUJGUBNHRWNSBC-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1CC[C@H]([N@@H+]2CCc3cc(C(C)(C)C)ccc3C2)C1=O ZINC001325139835 1108582153 /nfs/dbraw/zinc/58/21/53/1108582153.db2.gz PTLAJDMITNRBSJ-SFHVURJKSA-N 0 1 312.457 3.129 20 30 DGEDMN C=CCN1CC[C@H](N2CCc3cc(C(C)(C)C)ccc3C2)C1=O ZINC001325139835 1108582171 /nfs/dbraw/zinc/58/21/71/1108582171.db2.gz PTLAJDMITNRBSJ-SFHVURJKSA-N 0 1 312.457 3.129 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCN(CCF)[C@@H]2CC)CCCCC1 ZINC001264621188 1108596349 /nfs/dbraw/zinc/59/63/49/1108596349.db2.gz MKSHCGJYTQSPJT-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC1(C)C)c1ccccc1CC ZINC001325361592 1108632359 /nfs/dbraw/zinc/63/23/59/1108632359.db2.gz IQTPSGIGLXIAQY-QZTJIDSGSA-N 0 1 312.457 3.065 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1ncc(C)s1)C(C)C ZINC001319914390 1108692633 /nfs/dbraw/zinc/69/26/33/1108692633.db2.gz CYASVTZAWARFOX-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C[C@H]1C=CCCC1 ZINC001264999213 1108693798 /nfs/dbraw/zinc/69/37/98/1108693798.db2.gz MWQQQWAMZMQVCX-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN Cc1c(C(=O)Nc2ccc(Cl)c(C#N)c2)ccc2cncn21 ZINC001319920464 1108694950 /nfs/dbraw/zinc/69/49/50/1108694950.db2.gz GRAQGXKMRBJAPT-UHFFFAOYSA-N 0 1 310.744 3.420 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](NC/C(Cl)=C\Cl)C(C)C ZINC001319934421 1108699887 /nfs/dbraw/zinc/69/98/87/1108699887.db2.gz CCIZWRJIAVIWSL-KADHNRKRSA-N 0 1 319.276 3.229 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(NC(=O)C3CC3)cc2)s1 ZINC001319935794 1108702183 /nfs/dbraw/zinc/70/21/83/1108702183.db2.gz YCVWIKXBRBFYKF-UHFFFAOYSA-N 0 1 311.410 3.258 20 30 DGEDMN CCC(C)(CC)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001265071662 1108720849 /nfs/dbraw/zinc/72/08/49/1108720849.db2.gz SGSCRXPCAHCRLD-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc(OC)c1C ZINC001265135712 1108747708 /nfs/dbraw/zinc/74/77/08/1108747708.db2.gz QWIGTKCOVPSASW-UHFFFAOYSA-N 0 1 324.852 3.198 20 30 DGEDMN CC(C)(C)C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001265180825 1108761909 /nfs/dbraw/zinc/76/19/09/1108761909.db2.gz BZGFALYJMWPNSJ-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001265279358 1108803028 /nfs/dbraw/zinc/80/30/28/1108803028.db2.gz ROGZXWRIALQBFV-HZPDHXFCSA-N 0 1 316.420 3.271 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001265301298 1108813732 /nfs/dbraw/zinc/81/37/32/1108813732.db2.gz HYRGTJIXLFWVBQ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCCCC1(F)F)C1CC1 ZINC001265364334 1108845009 /nfs/dbraw/zinc/84/50/09/1108845009.db2.gz NBTTUBGYXZQNJR-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccccc1Cl)C1CC1 ZINC001265378355 1108850332 /nfs/dbraw/zinc/85/03/32/1108850332.db2.gz KDKSOQPPWVWVKR-AWEZNQCLSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc2c(c1)CCC2)C1CC1 ZINC001265380008 1108851600 /nfs/dbraw/zinc/85/16/00/1108851600.db2.gz IBNLHLUYZNBJNQ-QGZVFWFLSA-N 0 1 318.848 3.026 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001265448307 1108874578 /nfs/dbraw/zinc/87/45/78/1108874578.db2.gz RGZMEYCZWOEBJS-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN CCC(C)(CC)C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001265459841 1108880071 /nfs/dbraw/zinc/88/00/71/1108880071.db2.gz PBUHKYWYMFAFNF-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C(C)=C\CC)C1 ZINC001265520723 1108898005 /nfs/dbraw/zinc/89/80/05/1108898005.db2.gz JCJGVWRVBHCWBB-JYTDYBOUSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@@H]1CCCN(CCF)C1)c1ccccc1 ZINC001265596871 1108927810 /nfs/dbraw/zinc/92/78/10/1108927810.db2.gz LULRJAPPVMCAKN-WMZOPIPTSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)OC1CCCCCC1 ZINC001265653505 1108950200 /nfs/dbraw/zinc/95/02/00/1108950200.db2.gz BQVZDPZZHUYGSJ-IAGOWNOFSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCCN(Cc2ccns2)C1 ZINC001265705686 1108976254 /nfs/dbraw/zinc/97/62/54/1108976254.db2.gz LZBSFXSOYUBDSW-ZIAGYGMSSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H]2CCCN(CCF)C2)CCCC1 ZINC001265707378 1108977462 /nfs/dbraw/zinc/97/74/62/1108977462.db2.gz AGLDXHQJBOUVNU-HZPDHXFCSA-N 0 1 310.457 3.309 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001265757162 1108994887 /nfs/dbraw/zinc/99/48/87/1108994887.db2.gz RMPPAJDSUXMHQQ-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cscc1C(F)F ZINC001265774243 1109001092 /nfs/dbraw/zinc/00/10/92/1109001092.db2.gz BVXYCVMBGSLXGN-MRVPVSSYSA-N 0 1 308.781 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)COc1cccc(C(C)C)c1 ZINC001265776942 1109002171 /nfs/dbraw/zinc/00/21/71/1109002171.db2.gz CKSTWHKBYJMBHA-CQSZACIVSA-N 0 1 324.852 3.036 20 30 DGEDMN CCC[C@H](CC)C(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001265844228 1109023642 /nfs/dbraw/zinc/02/36/42/1109023642.db2.gz WTMZLCGRLANVEG-ZFWWWQNUSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)c1cccc(OC)c1 ZINC001265873588 1109032853 /nfs/dbraw/zinc/03/28/53/1109032853.db2.gz XCYFGGCWHANEIN-JSGCOSHPSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](NCc3ccccc3F)C2)CCC1 ZINC001265928817 1109044015 /nfs/dbraw/zinc/04/40/15/1109044015.db2.gz RIUGICYMECZQCS-INIZCTEOSA-N 0 1 316.420 3.263 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC001266063445 1109076648 /nfs/dbraw/zinc/07/66/48/1109076648.db2.gz JSWJSPOBSAPBLK-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001266063444 1109076805 /nfs/dbraw/zinc/07/68/05/1109076805.db2.gz JSWJSPOBSAPBLK-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN C[C@H](C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001266096546 1109088454 /nfs/dbraw/zinc/08/84/54/1109088454.db2.gz HXXPSYUBPOSAOM-FXAWDEMLSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001266108414 1109093768 /nfs/dbraw/zinc/09/37/68/1109093768.db2.gz WIZLZJIQNLGRAY-ZIAGYGMSSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CCN(C[C@H](F)CC)CC2)CCC1 ZINC001266190411 1109121210 /nfs/dbraw/zinc/12/12/10/1109121210.db2.gz WXJFWFQKNSTSKC-OAHLLOKOSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cccc(OC)c2C)C1 ZINC001266209173 1109128133 /nfs/dbraw/zinc/12/81/33/1109128133.db2.gz KJUQHJXBRGSSKW-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001266236387 1109135333 /nfs/dbraw/zinc/13/53/33/1109135333.db2.gz GYFUQCDAZSVJNN-DOTOQJQBSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001279280362 1109144295 /nfs/dbraw/zinc/14/42/95/1109144295.db2.gz HUSAHCCTVLXUNR-HLIUYOAVSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CC(CC)CC)c(F)c1 ZINC001279722368 1109161592 /nfs/dbraw/zinc/16/15/92/1109161592.db2.gz HZFXZUIUYXDXNE-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C#Cc1ccc(C(=O)NCc2ccc([C@H](C)NCCF)cc2)cc1 ZINC001280353796 1109189449 /nfs/dbraw/zinc/18/94/49/1109189449.db2.gz BKZZNEGBPWRNIU-HNNXBMFYSA-N 0 1 324.399 3.218 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCCN(CC(=C)Cl)CC1 ZINC001280734878 1109244892 /nfs/dbraw/zinc/24/48/92/1109244892.db2.gz ZGHGHNDWMMGJSK-INIZCTEOSA-N 0 1 310.869 3.478 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](C)C1CC1 ZINC001281062983 1109299080 /nfs/dbraw/zinc/29/90/80/1109299080.db2.gz LINKCWAQBSBKJS-DNVCBOLYSA-N 0 1 312.457 3.152 20 30 DGEDMN C=CCCC(=O)NC[C@H]1Cc2ccccc2CN1CC=C(C)C ZINC001281062713 1109299286 /nfs/dbraw/zinc/29/92/86/1109299286.db2.gz JESISPZFZPSZDU-LJQANCHMSA-N 0 1 312.457 3.462 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC001281953391 1109421056 /nfs/dbraw/zinc/42/10/56/1109421056.db2.gz USPVDUBAPGGOKL-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(Cl)c1C ZINC001282133845 1109456166 /nfs/dbraw/zinc/45/61/66/1109456166.db2.gz PQDUZFJRRFNLFE-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccnc2ccccc21 ZINC001282156672 1109458801 /nfs/dbraw/zinc/45/88/01/1109458801.db2.gz PJOHLUVIUAJHGY-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001282169751 1109462010 /nfs/dbraw/zinc/46/20/10/1109462010.db2.gz XLNULBYQFYNMNO-NEPJUHHUSA-N 0 1 310.388 3.160 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2cscc2s1 ZINC001282437164 1109509853 /nfs/dbraw/zinc/50/98/53/1109509853.db2.gz GWTNIMWCIIKHFA-NSHDSACASA-N 0 1 306.456 3.036 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001282466341 1109517117 /nfs/dbraw/zinc/51/71/17/1109517117.db2.gz CGWBMSNKUWOGDW-WMZOPIPTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001282693353 1109553588 /nfs/dbraw/zinc/55/35/88/1109553588.db2.gz OAOAZVPXHFPLIX-KBPBESRZSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001282741128 1109560270 /nfs/dbraw/zinc/56/02/70/1109560270.db2.gz QVFQDYPHCKZNJN-HOTGVXAUSA-N 0 1 300.446 3.111 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001282834663 1109572880 /nfs/dbraw/zinc/57/28/80/1109572880.db2.gz XTLAFOSPPRGGRB-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](CNCc2ncoc2C(C)C)C1 ZINC001283043102 1109589232 /nfs/dbraw/zinc/58/92/32/1109589232.db2.gz ZQZHKKZEWBFOED-SHTZXODSSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccccc1Cl ZINC001283705751 1109677524 /nfs/dbraw/zinc/67/75/24/1109677524.db2.gz WHWIVOISJBTBCC-LLVKDONJSA-N 0 1 301.217 3.143 20 30 DGEDMN CCCCCC(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@@H]1C ZINC001283845879 1109688885 /nfs/dbraw/zinc/68/88/85/1109688885.db2.gz KSRRIDJSKBPFIH-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2)[C@H]1C ZINC001284121308 1109739851 /nfs/dbraw/zinc/73/98/51/1109739851.db2.gz KXHPKWVPYKJFLA-MAUKXSAKSA-N 0 1 316.420 3.098 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1oc(C)nc1C)C(C)(C)C ZINC001284614864 1109825319 /nfs/dbraw/zinc/82/53/19/1109825319.db2.gz ZNWBEZUDEDOWFX-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(C)cc(C=O)c2O)c(Cl)c1 ZINC001304269912 1111172738 /nfs/dbraw/zinc/17/27/38/1111172738.db2.gz AQRAWGRDHKYERC-UHFFFAOYSA-N 0 1 313.740 3.400 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cn[nH]c2-c2ccc(OC)cc2)cc1 ZINC001304802158 1111183557 /nfs/dbraw/zinc/18/35/57/1111183557.db2.gz LIVMHRLLYDRPDC-UHFFFAOYSA-N 0 1 317.348 3.319 20 30 DGEDMN N#Cc1cc(NC(=O)c2c(F)ccc(O)c2O)ccc1C1CC1 ZINC001306889761 1111246960 /nfs/dbraw/zinc/24/69/60/1111246960.db2.gz JNBZOYRIAHRTDI-UHFFFAOYSA-N 0 1 312.300 3.238 20 30 DGEDMN CC1(C)CN(Cc2cc(C#N)ccn2)CCN1Cc1ccccc1 ZINC001307460209 1111302530 /nfs/dbraw/zinc/30/25/30/1111302530.db2.gz HWHXPJOSDKIAOO-UHFFFAOYSA-N 0 1 320.440 3.050 20 30 DGEDMN C#CCN(CCOc1ccccc1C(=O)OC(C)(C)C)C1CC1 ZINC001307775925 1111338398 /nfs/dbraw/zinc/33/83/98/1111338398.db2.gz DDBFKUDPENGROS-UHFFFAOYSA-N 0 1 315.413 3.118 20 30 DGEDMN N#Cc1ccnc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1 ZINC001307844874 1111345185 /nfs/dbraw/zinc/34/51/85/1111345185.db2.gz PFAAKYSZQKVBJL-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN CC1(C)C[C@@]1(NCC(=O)Nc1cccc(C#N)c1)c1ccccc1 ZINC001307960963 1111360362 /nfs/dbraw/zinc/36/03/62/1111360362.db2.gz BNLKSOXWYDEIKR-HXUWFJFHSA-N 0 1 319.408 3.412 20 30 DGEDMN C#CCN(Cc1cc([N+](=O)[O-])ccc1C(F)(F)F)C(C)C ZINC001308096536 1111377502 /nfs/dbraw/zinc/37/75/02/1111377502.db2.gz OPAADAPEZMQBCD-UHFFFAOYSA-N 0 1 300.280 3.457 20 30 DGEDMN C=CCC[C@H]1CCC[N@@H+]1Cc1csc(-c2ccn(C)n2)n1 ZINC001308137682 1111383472 /nfs/dbraw/zinc/38/34/72/1111383472.db2.gz TUCPONVJIAWEFQ-AWEZNQCLSA-N 0 1 302.447 3.474 20 30 DGEDMN C=CC[C@@H](CO)NCc1c(F)c(Cl)ccc1Br ZINC001308388300 1111424573 /nfs/dbraw/zinc/42/45/73/1111424573.db2.gz IZXXAUREJZOZPB-QMMMGPOBSA-N 0 1 322.605 3.268 20 30 DGEDMN COc1cccc(OC)c1CN(C)CCc1cccc(C#N)c1 ZINC001308909350 1111492228 /nfs/dbraw/zinc/49/22/28/1111492228.db2.gz QFNNVLNMOKJALP-UHFFFAOYSA-N 0 1 310.397 3.250 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2nc3ccccc3c(C)c2C#N)[nH]n1 ZINC001339626764 1111540624 /nfs/dbraw/zinc/54/06/24/1111540624.db2.gz FKMCUNSRLYXRQY-LLVKDONJSA-N 0 1 305.385 3.490 20 30 DGEDMN C#Cc1ccc(NC(=O)NCc2nc3ccc(C)cc3[nH]2)cc1 ZINC001311302385 1111594429 /nfs/dbraw/zinc/59/44/29/1111594429.db2.gz BXZGGEVNPXAOOF-UHFFFAOYSA-N 0 1 304.353 3.174 20 30 DGEDMN C=C(C)CCNC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001312727027 1111668838 /nfs/dbraw/zinc/66/88/38/1111668838.db2.gz KULSKJDWCMFTDL-IRXDYDNUSA-N 0 1 315.461 3.257 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(CF)cc1)C1CC1 ZINC001317031797 1111738866 /nfs/dbraw/zinc/73/88/66/1111738866.db2.gz MBVOBIJCFLXZAK-OAHLLOKOSA-N 0 1 310.800 3.007 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCCCC[C@H]1c1ccco1 ZINC000078831453 185117946 /nfs/dbraw/zinc/11/79/46/185117946.db2.gz UJGQJUZELZQXJK-INIZCTEOSA-N 0 1 302.418 3.397 20 30 DGEDMN CC[C@@H]1CN(c2nc(C)ccc2C#N)CCN1Cc1ccccc1 ZINC000302172919 529402784 /nfs/dbraw/zinc/40/27/84/529402784.db2.gz VOHZZHPGKIDXNI-LJQANCHMSA-N 0 1 320.440 3.363 20 30 DGEDMN CC[C@@H]1CN(c2ncc(C#N)cc2F)CCN1Cc1ccccc1 ZINC000413244446 529403400 /nfs/dbraw/zinc/40/34/00/529403400.db2.gz ZBOPUOTVAIJFBP-QGZVFWFLSA-N 0 1 324.403 3.193 20 30 DGEDMN C=CCC[C@@H](O)CN1CCC(C(F)(F)F)(C(F)(F)F)C1 ZINC000129357039 432005075 /nfs/dbraw/zinc/00/50/75/432005075.db2.gz KHLLINCKQCEELE-SECBINFHSA-N 0 1 305.262 3.130 20 30 DGEDMN CC[C@H](C(=O)N1CCC(C)(C#N)CC1)N(C)Cc1ccccc1 ZINC000355642729 529593979 /nfs/dbraw/zinc/59/39/79/529593979.db2.gz MDEHVOSCTSJUNX-QGZVFWFLSA-N 0 1 313.445 3.049 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)[C@H](c2ccccc2)C1 ZINC000183086041 529774572 /nfs/dbraw/zinc/77/45/72/529774572.db2.gz BBNCYIPUZIXTQN-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN Cc1ccc(CNCc2cccc(C#N)c2)c(O[C@@H]2CCOC2)c1 ZINC000150752779 186063797 /nfs/dbraw/zinc/06/37/97/186063797.db2.gz BNNVQLMZMLPDFE-LJQANCHMSA-N 0 1 322.408 3.324 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2snc(Cl)c2C#N)n[nH]1 ZINC000277172948 213344497 /nfs/dbraw/zinc/34/44/97/213344497.db2.gz HYFYWHHGWVMMCH-BQBZGAKWSA-N 0 1 310.814 3.473 20 30 DGEDMN COc1ccc(S(=O)(=O)Nc2cccc(C#N)c2)c(Cl)c1 ZINC000074936154 191390891 /nfs/dbraw/zinc/39/08/91/191390891.db2.gz AXJXYTPMZRWTQR-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(N(C)C(C)C)nc1 ZINC000276958184 213202354 /nfs/dbraw/zinc/20/23/54/213202354.db2.gz LNTIVAYEPFJCOR-UHFFFAOYSA-N 0 1 323.440 3.228 20 30 DGEDMN N#Cc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1F ZINC000192193046 283142325 /nfs/dbraw/zinc/14/23/25/283142325.db2.gz NDPOERWDBLQIJP-LJQANCHMSA-N 0 1 308.356 3.329 20 30 DGEDMN CN(C(=O)[C@H]1CCN(Cc2ccccc2C#N)C1)c1ccccc1 ZINC000281128112 216097524 /nfs/dbraw/zinc/09/75/24/216097524.db2.gz OCHMLZIMMJTUFF-SFHVURJKSA-N 0 1 319.408 3.043 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1ccc2c(c1)NC(=O)CO2 ZINC000425365640 237939631 /nfs/dbraw/zinc/93/96/31/237939631.db2.gz MHRZTTBIZYOJPF-CYBMUJFWSA-N 0 1 321.380 3.082 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCN(Cc3ccc(Cl)cc3)CC2)C1 ZINC000429724078 238058842 /nfs/dbraw/zinc/05/88/42/238058842.db2.gz LPMJMZCZTXWIHZ-NVXWUHKLSA-N 0 1 303.837 3.150 20 30 DGEDMN N#CCc1ccc(NS(=O)(=O)c2cnc3ccccc3c2)cc1 ZINC000430988921 238091568 /nfs/dbraw/zinc/09/15/68/238091568.db2.gz QFYBGBXMCJAWCB-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2cccc3c[nH]nc32)C2CC2)cc1 ZINC000193232680 201010637 /nfs/dbraw/zinc/01/06/37/201010637.db2.gz GQGXPAUXQOZPMF-UHFFFAOYSA-N 0 1 316.364 3.239 20 30 DGEDMN COC(=O)c1coc(CN(Cc2ccc(C#N)cc2)C(C)C)c1 ZINC000171035259 432096261 /nfs/dbraw/zinc/09/62/61/432096261.db2.gz PIJPSKRJGQEHLQ-UHFFFAOYSA-N 0 1 312.369 3.348 20 30 DGEDMN N#C[C@@H](C(=O)c1ccncc1Oc1ccccc1)c1ccncn1 ZINC000442535865 239096311 /nfs/dbraw/zinc/09/63/11/239096311.db2.gz MCAPBYZGMWWWJN-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1ccncc1Oc1ccccc1)c1ccncn1 ZINC000442535865 239096315 /nfs/dbraw/zinc/09/63/15/239096315.db2.gz MCAPBYZGMWWWJN-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN C=CCC[C@H](O)CN(C)Cc1csc(Br)c1 ZINC000268528901 432100332 /nfs/dbraw/zinc/10/03/32/432100332.db2.gz IALYEWQTRATHHL-NSHDSACASA-N 0 1 304.253 3.270 20 30 DGEDMN C[C@H](N[C@@H]1CCN(Cc2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000271819420 209243011 /nfs/dbraw/zinc/24/30/11/209243011.db2.gz OTPRRMLGUPPPCF-HNAYVOBHSA-N 0 1 319.408 3.010 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cccc(F)c1Br ZINC000449524132 240265908 /nfs/dbraw/zinc/26/59/08/240265908.db2.gz YZODOLZSPPDOGO-NEPJUHHUSA-N 0 1 300.171 3.021 20 30 DGEDMN CN(C)C(=O)C1(NCc2ccc(C#N)cc2Cl)CCCCC1 ZINC000451414284 241114541 /nfs/dbraw/zinc/11/45/41/241114541.db2.gz SMOPOYUMYOAQNQ-UHFFFAOYSA-N 0 1 319.836 3.092 20 30 DGEDMN C[C@@H](N[C@H](C[S@](C)=O)c1ccccc1)c1cccc(C#N)c1 ZINC000190000500 432111202 /nfs/dbraw/zinc/11/12/02/432111202.db2.gz LORFLFXPWMNGHX-KEQGGVEFSA-N 0 1 312.438 3.329 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000455981410 242322568 /nfs/dbraw/zinc/32/25/68/242322568.db2.gz JNUAJQICVOEWRV-INIZCTEOSA-N 0 1 322.412 3.044 20 30 DGEDMN CC(=O)Nc1cccc(CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000271751921 209182148 /nfs/dbraw/zinc/18/21/48/209182148.db2.gz GAKNFXHVYXPRTB-UHFFFAOYSA-N 0 1 305.381 3.296 20 30 DGEDMN C=C(C)CCNC(=O)N(C)[C@@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000459009227 243226113 /nfs/dbraw/zinc/22/61/13/243226113.db2.gz VHGNDQVLHRLKTO-GOSISDBHSA-N 0 1 315.461 3.259 20 30 DGEDMN N#Cc1ccccc1CN1CCC(CO)(c2ccccc2)CC1 ZINC000293228913 223455002 /nfs/dbraw/zinc/45/50/02/223455002.db2.gz HDTJSHJHTONFPR-UHFFFAOYSA-N 0 1 306.409 3.084 20 30 DGEDMN Cc1ccc(C)c(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000271710945 209145518 /nfs/dbraw/zinc/14/55/18/209145518.db2.gz VWFFBSFQQLCVDZ-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN COc1ncc(CN[C@H](C)c2ccc(C#N)cc2)cc1Cl ZINC000271689640 209127864 /nfs/dbraw/zinc/12/78/64/209127864.db2.gz RCFVGQUBSGTCOT-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN COCCOc1ccccc1CN[C@H](C)c1ccc(C#N)cc1 ZINC000266501352 205391734 /nfs/dbraw/zinc/39/17/34/205391734.db2.gz VRPYBZHCAJLLFJ-OAHLLOKOSA-N 0 1 310.397 3.434 20 30 DGEDMN C[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)CCS1 ZINC000494110060 246113367 /nfs/dbraw/zinc/11/33/67/246113367.db2.gz YKGPJIMHMKOMQD-NSHDSACASA-N 0 1 312.385 3.487 20 30 DGEDMN C=CCN(C)[C@@H](C)C(=O)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000494627390 246173533 /nfs/dbraw/zinc/17/35/33/246173533.db2.gz YXDWSKLGDVLKBU-GJZGRUSLSA-N 0 1 300.446 3.249 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2sccc2C#N)C1=O)c1ccccc1 ZINC000267737225 206250340 /nfs/dbraw/zinc/25/03/40/206250340.db2.gz YDLIXRZTLPNVCE-DOMZBBRYSA-N 0 1 311.410 3.076 20 30 DGEDMN CCN(CC(=O)N[C@@H](C#N)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC000152380038 335036556 /nfs/dbraw/zinc/03/65/56/335036556.db2.gz ABZRQLYNQZSRBD-KRWDZBQOSA-N 0 1 313.445 3.149 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000190269755 432138609 /nfs/dbraw/zinc/13/86/09/432138609.db2.gz CMTZMPJIZOUEPW-HNAYVOBHSA-N 0 1 300.446 3.152 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)s2)c(-c2ccccc2)n1 ZINC000181826391 432146657 /nfs/dbraw/zinc/14/66/57/432146657.db2.gz HFNNSNOTYQKZCH-UHFFFAOYSA-N 0 1 308.410 3.310 20 30 DGEDMN CC(C)C[C@@H](CNc1ccc(C#N)c(Cl)c1)N1CCOCC1 ZINC000110795468 194359680 /nfs/dbraw/zinc/35/96/80/194359680.db2.gz IYXQLWWXGTWZCU-INIZCTEOSA-N 0 1 321.852 3.370 20 30 DGEDMN CCOc1ccc(C#N)cc1NS(=O)(=O)c1cccc(C)c1 ZINC000057125706 184027711 /nfs/dbraw/zinc/02/77/11/184027711.db2.gz DYJXDKOAWCTLPG-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@@H]3CCCC[C@H]3C2)c(C#N)c1C ZINC000246377215 284890642 /nfs/dbraw/zinc/89/06/42/284890642.db2.gz ONMJVMVAOIAXOL-QEJZJMRPSA-N 0 1 315.417 3.217 20 30 DGEDMN Cc1ccccc1[C@H]1CCN(CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000337676856 253158125 /nfs/dbraw/zinc/15/81/25/253158125.db2.gz FYUGWARPRVZEOF-KRWDZBQOSA-N 0 1 319.408 3.295 20 30 DGEDMN C[C@H](c1ccccc1)N(C)C(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000338357725 253272233 /nfs/dbraw/zinc/27/22/33/253272233.db2.gz KZPZOBMWXHGAIA-JKSUJKDBSA-N 0 1 321.424 3.428 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@@H](C)c1cccs1)c1ccccc1 ZINC000271662539 209105472 /nfs/dbraw/zinc/10/54/72/209105472.db2.gz DTKOICOQKTUUET-GOEBONIOSA-N 0 1 312.438 3.280 20 30 DGEDMN Cc1ccc2nc(CNC(=O)C=Cc3cccc(C#N)c3)[nH]c2c1 ZINC000255162407 285135236 /nfs/dbraw/zinc/13/52/36/285135236.db2.gz MNWPETNFVYVKFT-VURMDHGXSA-N 0 1 316.364 3.073 20 30 DGEDMN C[C@H](NCC(=O)N1c2ccccc2C[C@H]1C)c1ccc(C#N)cc1 ZINC000271656132 209101460 /nfs/dbraw/zinc/10/14/60/209101460.db2.gz KDEJNNPWHCBDFX-CABCVRRESA-N 0 1 319.408 3.187 20 30 DGEDMN C/C(=C/c1ccccc1)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000255573888 285165524 /nfs/dbraw/zinc/16/55/24/285165524.db2.gz QRRARYJNCRQTSZ-LGMDPLHJSA-N 0 1 318.424 3.179 20 30 DGEDMN Cc1cc(N2CCN([C@@H](C)c3ccsc3)CC2)cc(C#N)n1 ZINC000342836682 254062840 /nfs/dbraw/zinc/06/28/40/254062840.db2.gz AUKHDGCPULSVFV-AWEZNQCLSA-N 0 1 312.442 3.206 20 30 DGEDMN N#Cc1ccncc1C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000342989021 254083463 /nfs/dbraw/zinc/08/34/63/254083463.db2.gz LUQORMBQTKXJCD-UHFFFAOYSA-N 0 1 313.267 3.019 20 30 DGEDMN C=C[C@@H](CC(=O)Nc1cc(CN(C)C)ccn1)c1ccccc1 ZINC000120327793 195143281 /nfs/dbraw/zinc/14/32/81/195143281.db2.gz GOZQHIPHOZCROO-INIZCTEOSA-N 0 1 309.413 3.442 20 30 DGEDMN CN(C)c1ccccc1C[N@@H+](C)CCOc1ccc(C#N)cc1 ZINC000344106670 254226272 /nfs/dbraw/zinc/22/62/72/254226272.db2.gz HKSUVMRYKNUQHU-UHFFFAOYSA-N 0 1 309.413 3.135 20 30 DGEDMN Cc1ccc(CN(C)CCOCc2ccc(C#N)cc2)c(C)n1 ZINC000344131271 254229879 /nfs/dbraw/zinc/22/98/79/254229879.db2.gz VXPRROVZJYTYRQ-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN COCCN(Cc1cccs1)Cc1ccc(F)c(C#N)c1 ZINC000344355144 254261148 /nfs/dbraw/zinc/26/11/48/254261148.db2.gz RFMUUKDWEGQPPO-UHFFFAOYSA-N 0 1 304.390 3.407 20 30 DGEDMN Cc1cnn(-c2ccncc2NCc2ccc(C#N)cc2F)c1 ZINC000345011753 254323154 /nfs/dbraw/zinc/32/31/54/254323154.db2.gz LWRUWFHBLHHVTQ-UHFFFAOYSA-N 0 1 307.332 3.199 20 30 DGEDMN N#Cc1cccc(NC(=O)c2ccc(Nc3ccncc3)cc2)c1 ZINC000345627075 254381089 /nfs/dbraw/zinc/38/10/89/254381089.db2.gz SUXKYJOAAXKLEI-UHFFFAOYSA-N 0 1 314.348 3.371 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC(C)(C)C)c2ccccc2)CC1 ZINC000491041183 533143860 /nfs/dbraw/zinc/14/38/60/533143860.db2.gz XZZAMMIXFFFBJP-UHFFFAOYSA-N 0 1 312.457 3.411 20 30 DGEDMN CCN(C(=O)CNC1(c2cccc(C#N)c2)CC1)c1ccccc1 ZINC000271651869 209096505 /nfs/dbraw/zinc/09/65/05/209096505.db2.gz BLJDZBSRWDRLIT-UHFFFAOYSA-N 0 1 319.408 3.190 20 30 DGEDMN CCN(Cc1ccc2c(c1)OCO2)Cc1ccc(C#N)cc1OC ZINC000120609485 195200753 /nfs/dbraw/zinc/20/07/53/195200753.db2.gz MWYZLXHHBDTOLL-UHFFFAOYSA-N 0 1 324.380 3.318 20 30 DGEDMN COc1ccc2c(c1)[C@H](C(=O)N[C@H]1CCCc3cn[nH]c31)CC2 ZINC000330349201 533293274 /nfs/dbraw/zinc/29/32/74/533293274.db2.gz JOLZSAXZZIXZCG-ZBFHGGJFSA-N 0 1 311.385 3.482 20 30 DGEDMN C[C@@H](NCC(=O)Nc1c(F)cccc1F)c1ccc(C#N)cc1 ZINC000320049840 533350105 /nfs/dbraw/zinc/35/01/05/533350105.db2.gz PWJHWHAZKRICLX-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN Cc1cc2c(cc1C)O[C@H](C(=O)N[C@@H]1CCCc3cn[nH]c31)C2 ZINC000330163278 292004179 /nfs/dbraw/zinc/00/41/79/292004179.db2.gz VBQIPRMAIZKPSU-ZBFHGGJFSA-N 0 1 311.385 3.364 20 30 DGEDMN N#Cc1csc(CN2CCC(n3cc(Cl)cn3)CC2)c1 ZINC000356955212 285575396 /nfs/dbraw/zinc/57/53/96/285575396.db2.gz FUFOFOFSXRAQSQ-UHFFFAOYSA-N 0 1 306.822 3.307 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(Oc2ccccc2)cn1)c1ccccn1 ZINC000091104667 400094605 /nfs/dbraw/zinc/09/46/05/400094605.db2.gz TZDKERVJNGVNBK-AWEZNQCLSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1cnc(Oc2ccccc2)cn1)c1ccccn1 ZINC000091104667 400094609 /nfs/dbraw/zinc/09/46/09/400094609.db2.gz TZDKERVJNGVNBK-AWEZNQCLSA-N 0 1 316.320 3.154 20 30 DGEDMN C[C@H](NCc1cccnc1N1CCCC1)c1ccc(C#N)cc1 ZINC000595074171 400099901 /nfs/dbraw/zinc/09/99/01/400099901.db2.gz UPBPJMGKIURLFO-HNNXBMFYSA-N 0 1 306.413 3.404 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000595404805 400141561 /nfs/dbraw/zinc/14/15/61/400141561.db2.gz OCJGFPZJUUAZKI-HOTGVXAUSA-N 0 1 307.401 3.126 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000595404805 400141563 /nfs/dbraw/zinc/14/15/63/400141563.db2.gz OCJGFPZJUUAZKI-HOTGVXAUSA-N 0 1 307.401 3.126 20 30 DGEDMN N#Cc1ccc(C2=CCN(CCO[C@@H]3CC3(F)F)CC2)cc1 ZINC000595406580 400141625 /nfs/dbraw/zinc/14/16/25/400141625.db2.gz DKSWWEVZIASIRE-MRXNPFEDSA-N 0 1 304.340 3.072 20 30 DGEDMN CCS[C@H]1CCC[C@@H]1NCc1cccc(C(=O)OC)c1C#N ZINC000585817437 400145762 /nfs/dbraw/zinc/14/57/62/400145762.db2.gz BQFCRNGZUJDIPV-HOTGVXAUSA-N 0 1 318.442 3.109 20 30 DGEDMN CC(C)[C@H](NCc1ccc(C#N)cc1Cl)[C@H](O)C(F)(F)F ZINC000595481894 400164210 /nfs/dbraw/zinc/16/42/10/400164210.db2.gz KMYUUSSRBUSLQB-STQMWFEESA-N 0 1 320.742 3.249 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1cc(O)ccc1[N+](=O)[O-] ZINC000093100970 400226119 /nfs/dbraw/zinc/22/61/19/400226119.db2.gz RUZMNXDDEFODKU-UHFFFAOYSA-N 0 1 305.378 3.452 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)NCC(C)(C)CCC#N)o1 ZINC000588770893 400228802 /nfs/dbraw/zinc/22/88/02/400228802.db2.gz LCRHSTYMNQCWNO-UHFFFAOYSA-N 0 1 305.422 3.181 20 30 DGEDMN CC(C)[C@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)c1ccccc1F ZINC000595694920 400229871 /nfs/dbraw/zinc/22/98/71/400229871.db2.gz WQELUEUIQVZBLS-BBRMVZONSA-N 0 1 317.408 3.063 20 30 DGEDMN C[C@H]1c2ccccc2C[C@H]1NCc1c(C#N)cccc1[N+](=O)[O-] ZINC000595707430 400237947 /nfs/dbraw/zinc/23/79/47/400237947.db2.gz OKGFXLAHRJXAGG-YVEFUNNKSA-N 0 1 307.353 3.284 20 30 DGEDMN C[C@H](CCC#N)N1CCN(c2ccc(Br)cc2)CC1 ZINC000595722522 400243076 /nfs/dbraw/zinc/24/30/76/400243076.db2.gz AWSLJVCZFVWUNM-CYBMUJFWSA-N 0 1 322.250 3.263 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)N(C)Cc1ccccc1 ZINC000610345927 400246279 /nfs/dbraw/zinc/24/62/79/400246279.db2.gz CWFNUVAFYDFLNW-HZPDHXFCSA-N 0 1 321.424 3.256 20 30 DGEDMN CC(C)CNC(=O)c1cccc(CN2CCC[C@@H](CC#N)C2)c1 ZINC000595615001 400208312 /nfs/dbraw/zinc/20/83/12/400208312.db2.gz NULZKZBWQCNNOL-INIZCTEOSA-N 0 1 313.445 3.198 20 30 DGEDMN N#Cc1ccncc1NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000094139610 400269193 /nfs/dbraw/zinc/26/91/93/400269193.db2.gz ISQVWIXWXMVSBU-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2ccc(F)cc2C#N)o1 ZINC000610577671 400276349 /nfs/dbraw/zinc/27/63/49/400276349.db2.gz JVAQVTXZDYFBAK-UHFFFAOYSA-N 0 1 315.348 3.384 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC000595845591 400280569 /nfs/dbraw/zinc/28/05/69/400280569.db2.gz REOGTFDNBOQAKB-OKILXGFUSA-N 0 1 315.373 3.025 20 30 DGEDMN CN(CCC(=O)Nc1ccc(C#N)c(Cl)c1)Cc1ccco1 ZINC000030276708 400323008 /nfs/dbraw/zinc/32/30/08/400323008.db2.gz MPGGRONDVSIKEL-UHFFFAOYSA-N 0 1 317.776 3.265 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000589310693 400287036 /nfs/dbraw/zinc/28/70/36/400287036.db2.gz GDPKDHBSCIAANF-MRXNPFEDSA-N 0 1 300.793 3.164 20 30 DGEDMN CC(C)c1cc(C(=O)N(Cc2ccc(C#N)cc2)C(C)C)n[nH]1 ZINC000069009008 400305493 /nfs/dbraw/zinc/30/54/93/400305493.db2.gz DNRQGFBUPPVHGO-UHFFFAOYSA-N 0 1 310.401 3.456 20 30 DGEDMN COc1cccc(/C=N/C[C@H](c2ccsc2)N(C)C)c1O ZINC000026432206 400257689 /nfs/dbraw/zinc/25/76/89/400257689.db2.gz LVQSXPGKDHAJAD-IREQLNLFSA-N 0 1 304.415 3.184 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000595791467 400261327 /nfs/dbraw/zinc/26/13/27/400261327.db2.gz UVKDXFLBVQYPEN-MAUKXSAKSA-N 0 1 302.393 3.478 20 30 DGEDMN C[C@@H]1CCc2c1[nH]n(-c1cc(C#N)ccc1Br)c2=O ZINC000601948272 400383465 /nfs/dbraw/zinc/38/34/65/400383465.db2.gz MWLQERFWZCZTDJ-SCZZXKLOSA-N 0 1 318.174 3.069 20 30 DGEDMN Cc1[nH]n(-c2ccccc2OC(F)(F)F)c(=O)c1CCC#N ZINC000601950082 400384940 /nfs/dbraw/zinc/38/49/40/400384940.db2.gz UDZSVNAQWOZZRV-SNVBAGLBSA-N 0 1 311.263 3.228 20 30 DGEDMN Cc1[nH]n(-c2cc(C(F)(F)F)ccc2F)c(=O)c1CCC#N ZINC000601949363 400385229 /nfs/dbraw/zinc/38/52/29/400385229.db2.gz NMVPWTWNBZDRTR-JTQLQIEISA-N 0 1 313.254 3.487 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c(F)c1 ZINC000611386081 400388550 /nfs/dbraw/zinc/38/85/50/400388550.db2.gz CABGZCLDQRKGQM-ZDUSSCGKSA-N 0 1 311.360 3.295 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@@H]1C)c1cccc(C#N)c1 ZINC000611387209 400389683 /nfs/dbraw/zinc/38/96/83/400389683.db2.gz HJOYKJCFRJWPNN-RLFYNMQTSA-N 0 1 313.445 3.246 20 30 DGEDMN C[C@H](NCC(=O)N1c2ccccc2C[C@@H]1C)c1cccc(C#N)c1 ZINC000611388259 400389818 /nfs/dbraw/zinc/38/98/18/400389818.db2.gz DOCWCPIDFJAQDA-GJZGRUSLSA-N 0 1 319.408 3.187 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611387832 400390470 /nfs/dbraw/zinc/39/04/70/400390470.db2.gz UBAIHYZXYKISRN-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN CC[C@@H](CC#N)NCCC(=O)Nc1cccc(C(F)(F)F)c1 ZINC000578639700 400330572 /nfs/dbraw/zinc/33/05/72/400330572.db2.gz DZFLWGQVKGHPSE-LBPRGKRZSA-N 0 1 313.323 3.316 20 30 DGEDMN COc1ccc(C2CCN(Cc3ccnc(C#N)c3)CC2)cc1 ZINC000610993866 400336003 /nfs/dbraw/zinc/33/60/03/400336003.db2.gz LDWHBZLNBGSKAM-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2ccccc2C#N)CC1 ZINC000610996801 400337346 /nfs/dbraw/zinc/33/73/46/400337346.db2.gz HZDJRRKQWVXRFB-UHFFFAOYSA-N 0 1 300.402 3.114 20 30 DGEDMN C[C@@](C#N)(NC(=O)c1cc(F)cc2nc[nH]c21)C1CCCCC1 ZINC000580734281 400345871 /nfs/dbraw/zinc/34/58/71/400345871.db2.gz MPFYSAICUJKPAA-KRWDZBQOSA-N 0 1 314.364 3.294 20 30 DGEDMN COc1ccccc1[C@H](CNc1cccc(F)c1C#N)N(C)C ZINC000069829388 400346007 /nfs/dbraw/zinc/34/60/07/400346007.db2.gz TVVJPGXRTFHSHO-KRWDZBQOSA-N 0 1 313.376 3.421 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCCC[C@@H]2C)c1 ZINC000069874984 400347036 /nfs/dbraw/zinc/34/70/36/400347036.db2.gz VKPORUKDXVABRE-KRWDZBQOSA-N 0 1 313.445 3.425 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1cc(Cl)ccc1Cl ZINC000581020332 400349453 /nfs/dbraw/zinc/34/94/53/400349453.db2.gz IHVCTSSODJYEIX-NWDGAFQWSA-N 0 1 317.216 3.015 20 30 DGEDMN COc1ccc(CN2CC(Cc3ccc(F)cc3)C2)cc1C#N ZINC000611173121 400354188 /nfs/dbraw/zinc/35/41/88/400354188.db2.gz WAQJYZSLFIFGKQ-UHFFFAOYSA-N 0 1 310.372 3.380 20 30 DGEDMN COC(=O)CCN(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000611255272 400364793 /nfs/dbraw/zinc/36/47/93/400364793.db2.gz BRHVBAUTADWJMB-UHFFFAOYSA-N 0 1 314.410 3.185 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2ccn(-c3ccncc3)n2)cc1 ZINC000071039415 400367479 /nfs/dbraw/zinc/36/74/79/400367479.db2.gz ZKCQUBAHPYOMCF-UHFFFAOYSA-N 0 1 320.352 3.084 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cccc2cnccc21 ZINC000073075535 400429444 /nfs/dbraw/zinc/42/94/44/400429444.db2.gz HJGQAKDZLILWCF-UHFFFAOYSA-N 0 1 305.297 3.249 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC[C@@H](C)C1 ZINC000073600631 400458766 /nfs/dbraw/zinc/45/87/66/400458766.db2.gz WBXJNTRKZITYSZ-CABCVRRESA-N 0 1 317.408 3.193 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000602436504 400460625 /nfs/dbraw/zinc/46/06/25/400460625.db2.gz OYLJYSVFKNJOQW-CQSZACIVSA-N 0 1 319.836 3.038 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)N1CCc2ccccc21 ZINC000127467187 400502166 /nfs/dbraw/zinc/50/21/66/400502166.db2.gz WWSHXZNVVQNVMC-HUUCEWRRSA-N 0 1 319.408 3.187 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)Nc1ccccc1C#N ZINC000127469994 400502198 /nfs/dbraw/zinc/50/21/98/400502198.db2.gz GZDMKGAKKFGIFX-ZIAGYGMSSA-N 0 1 318.380 3.108 20 30 DGEDMN COc1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000127477627 400502232 /nfs/dbraw/zinc/50/22/32/400502232.db2.gz AWXQKDGEEDGYJQ-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1CCc2ccccc21 ZINC000127466943 400502601 /nfs/dbraw/zinc/50/26/01/400502601.db2.gz WWSHXZNVVQNVMC-LSDHHAIUSA-N 0 1 319.408 3.187 20 30 DGEDMN N#CCCN(Cc1cccnc1)[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000602436338 400460807 /nfs/dbraw/zinc/46/08/07/400460807.db2.gz ZDULBLKQLKADNT-KRWDZBQOSA-N 0 1 324.432 3.237 20 30 DGEDMN N#C[C@@H]1CCC[C@H]1NCCc1cc(Cl)c2c(c1)OCCCO2 ZINC000590961763 400470261 /nfs/dbraw/zinc/47/02/61/400470261.db2.gz SUKFLCIGIVOONF-DZGCQCFKSA-N 0 1 320.820 3.326 20 30 DGEDMN CN(C)[C@H](CNC(=O)CC1(C#N)CCCCC1)c1cccs1 ZINC000596652644 400472281 /nfs/dbraw/zinc/47/22/81/400472281.db2.gz HYCXCPLTNAAHKC-CQSZACIVSA-N 0 1 319.474 3.331 20 30 DGEDMN C[C@H](CC#N)C(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000596661186 400474817 /nfs/dbraw/zinc/47/48/17/400474817.db2.gz HHTGHHHVSFQFCK-CJNGLKHVSA-N 0 1 319.836 3.143 20 30 DGEDMN COC(=O)c1cc(CN[C@@H](C)c2cccc(C#N)c2)cs1 ZINC000591023134 400476692 /nfs/dbraw/zinc/47/66/92/400476692.db2.gz YTLUUOLBBLPOJM-NSHDSACASA-N 0 1 300.383 3.257 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@@H](C2CCC2)C1 ZINC000591062223 400481076 /nfs/dbraw/zinc/48/10/76/400481076.db2.gz YEOCQYMDNQBMMJ-CQSZACIVSA-N 0 1 317.820 3.272 20 30 DGEDMN C#Cc1cccc(NC(=O)NC[C@@H](c2ccco2)N2CCCC2)c1 ZINC000043985993 400482931 /nfs/dbraw/zinc/48/29/31/400482931.db2.gz DPKRZLKBVKVBOE-KRWDZBQOSA-N 0 1 323.396 3.220 20 30 DGEDMN CO[C@H](CNCc1cccc(C#N)n1)c1ccc(Cl)cc1 ZINC000602564006 400498604 /nfs/dbraw/zinc/49/86/04/400498604.db2.gz CKDZWLGQSQYLEA-MRXNPFEDSA-N 0 1 301.777 3.084 20 30 DGEDMN COC(=O)c1csc(CN[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000602638692 400520418 /nfs/dbraw/zinc/52/04/18/400520418.db2.gz FTRCVNXOHWRLCA-INIZCTEOSA-N 0 1 312.394 3.183 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](CC#N)C2)c(-c2ccc(F)cc2)n1 ZINC000597177007 400578287 /nfs/dbraw/zinc/57/82/87/400578287.db2.gz LLIJFKVKDGFTKF-AWEZNQCLSA-N 0 1 312.392 3.352 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(Cc2ccccc2)C(=O)C1(C)C ZINC000602671000 400532374 /nfs/dbraw/zinc/53/23/74/400532374.db2.gz GYADNEYGNPHWPQ-INIZCTEOSA-N 0 1 313.445 3.049 20 30 DGEDMN CCCc1nc(C)c(CN[C@@H](C)CC2(C#N)CCOCC2)o1 ZINC000602672537 400533867 /nfs/dbraw/zinc/53/38/67/400533867.db2.gz MHCNHXSFIXYEFV-ZDUSSCGKSA-N 0 1 305.422 3.124 20 30 DGEDMN Cn1cc(CN2CCC[C@H](CC#N)C2)c(-c2cccs2)n1 ZINC000597178494 400578896 /nfs/dbraw/zinc/57/88/96/400578896.db2.gz YREMZVQACQFJOR-CYBMUJFWSA-N 0 1 300.431 3.274 20 30 DGEDMN C=C(CC)CNCc1cc(Br)c([N+](=O)[O-])s1 ZINC000602681848 400536348 /nfs/dbraw/zinc/53/63/48/400536348.db2.gz NNNRMTFAHZTKCI-UHFFFAOYSA-N 0 1 305.197 3.475 20 30 DGEDMN COc1ccc2c(c1)OCC[C@@H]2NCc1ccc(C#N)c(C)c1 ZINC000618194428 400546472 /nfs/dbraw/zinc/54/64/72/400546472.db2.gz KDUSDARNESNNPM-SFHVURJKSA-N 0 1 308.381 3.489 20 30 DGEDMN Cc1cc(CNC(C)(C)C(=O)Nc2ccccc2)ccc1C#N ZINC000618193491 400547192 /nfs/dbraw/zinc/54/71/92/400547192.db2.gz MYAMKNDDNCFASQ-UHFFFAOYSA-N 0 1 307.397 3.374 20 30 DGEDMN N#CCC1(CN2CCC[N@@H+](Cc3ccc(F)cc3)CC2)CC1 ZINC000602759754 400565151 /nfs/dbraw/zinc/56/51/51/400565151.db2.gz OASYTYFRXIVJIL-UHFFFAOYSA-N 0 1 301.409 3.027 20 30 DGEDMN CN1CCN(C(=O)CC2(C#N)CCCCC2)C2(CCCCC2)C1 ZINC000597329966 400613079 /nfs/dbraw/zinc/61/30/79/400613079.db2.gz QUWFDEVBRJRCQW-UHFFFAOYSA-N 0 1 317.477 3.327 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000592147379 400614133 /nfs/dbraw/zinc/61/41/33/400614133.db2.gz KVTUSJQVBGTIPU-LJQANCHMSA-N 0 1 308.381 3.329 20 30 DGEDMN C[C@H](CC#N)NC[C@@H](O)Cn1c2ccccc2c2ccccc21 ZINC000602864479 400593509 /nfs/dbraw/zinc/59/35/09/400593509.db2.gz ROVZKVZJWLQIOW-HUUCEWRRSA-N 0 1 307.397 3.047 20 30 DGEDMN Cc1cc(C)c(C#N)c(NC[C@@H](c2ccsc2)N(C)C)n1 ZINC000075606797 400598399 /nfs/dbraw/zinc/59/83/99/400598399.db2.gz JLHLUTWJYMERPH-HNNXBMFYSA-N 0 1 300.431 3.346 20 30 DGEDMN CC1(C)C[C@]1(NCc1cnc2c(C#N)cnn2c1)c1ccccc1 ZINC000597255204 400600416 /nfs/dbraw/zinc/60/04/16/400600416.db2.gz TUAZSZSEOTURSI-IBGZPJMESA-N 0 1 317.396 3.016 20 30 DGEDMN Cc1ccc2nc(CNC(=O)CC3(C#N)CCCCC3)[nH]c2c1 ZINC000597324809 400612201 /nfs/dbraw/zinc/61/22/01/400612201.db2.gz GUFXTFJHMKPUHE-UHFFFAOYSA-N 0 1 310.401 3.352 20 30 DGEDMN C/C(=C\c1ccccc1C(F)(F)F)CN1CC[C@@](O)(CC#N)C1 ZINC000592522747 400663384 /nfs/dbraw/zinc/66/33/84/400663384.db2.gz QVWCCAQUGMERPH-ISBHARSQSA-N 0 1 324.346 3.459 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2C3CCC2CC3)c1 ZINC000603315925 400668092 /nfs/dbraw/zinc/66/80/92/400668092.db2.gz WSEVYQQVZIKYJQ-UHFFFAOYSA-N 0 1 311.429 3.177 20 30 DGEDMN CC[C@H](N[C@H]1CCC[C@H](C#N)C1)c1nnc2n1CCCCC2 ZINC000613254581 400683458 /nfs/dbraw/zinc/68/34/58/400683458.db2.gz OUYNVZVXZARCNC-KKUMJFAQSA-N 0 1 301.438 3.128 20 30 DGEDMN N#Cc1nc(N2C[C@@H]3C[C@H]2CN3Cc2ccccc2)ccc1Cl ZINC000603416798 400683600 /nfs/dbraw/zinc/68/36/00/400683600.db2.gz SWZGRTLCKWPGQQ-GJZGRUSLSA-N 0 1 324.815 3.070 20 30 DGEDMN CN(CC(=O)Nc1nncs1)CC12CC3CC(CC(C3)C1)C2 ZINC000052244019 400724985 /nfs/dbraw/zinc/72/49/85/400724985.db2.gz LVTYHLGOLLCYGY-UHFFFAOYSA-N 0 1 320.462 3.274 20 30 DGEDMN Cc1[nH]n(Cc2ccc(C(F)(F)F)cc2)c(=O)c1CCC#N ZINC000603920976 400732993 /nfs/dbraw/zinc/73/29/93/400732993.db2.gz IENVTKOYZJFMOO-CYBMUJFWSA-N 0 1 309.291 3.343 20 30 DGEDMN Cc1[nH]n(-c2ccc(F)cc2Br)c(=O)c1CCC#N ZINC000603921319 400733018 /nfs/dbraw/zinc/73/30/18/400733018.db2.gz RFERXURJSUSRBR-SNVBAGLBSA-N 0 1 324.153 3.231 20 30 DGEDMN N#Cc1c(Cl)nsc1N[C@H]1CCN(Cc2ccccc2)C1 ZINC000051265282 400698419 /nfs/dbraw/zinc/69/84/19/400698419.db2.gz HYOPZZRIGYPHLG-LBPRGKRZSA-N 0 1 318.833 3.355 20 30 DGEDMN CCN(CCOc1ccc(C#N)cc1OC)CCC(F)(F)F ZINC000077274052 400703863 /nfs/dbraw/zinc/70/38/63/400703863.db2.gz YVCXQBGBJWIJRF-UHFFFAOYSA-N 0 1 316.323 3.220 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)COc1ccccc1C ZINC000053420110 400783226 /nfs/dbraw/zinc/78/32/26/400783226.db2.gz CZROGECZVLYPIG-INIZCTEOSA-N 0 1 317.454 3.484 20 30 DGEDMN C=CCNC(=O)CN[C@H](CC(C)C)c1cc(Cl)ccc1OC ZINC000179664452 400879323 /nfs/dbraw/zinc/87/93/23/400879323.db2.gz RFKVIOGRVILWPI-OAHLLOKOSA-N 0 1 324.852 3.328 20 30 DGEDMN Cc1ccc([C@@H](NC[C@H](O)CC(C)(C)C#N)c2ccccn2)cc1 ZINC000598612441 400844718 /nfs/dbraw/zinc/84/47/18/400844718.db2.gz VEDRAELFMJLJFG-IEBWSBKVSA-N 0 1 323.440 3.370 20 30 DGEDMN Cc1ccccc1[C@]1(F)CCN(C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598619967 400845397 /nfs/dbraw/zinc/84/53/97/400845397.db2.gz QROPCKBHONITGX-YJBOKZPZSA-N 0 1 304.409 3.166 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN(CCO)CCc1ccccc1 ZINC000614166787 400847475 /nfs/dbraw/zinc/84/74/75/400847475.db2.gz AMTJIDNUSIHDPM-UHFFFAOYSA-N 0 1 314.816 3.249 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1cccc(CN2CCSCC2)c1C ZINC000593440360 400850937 /nfs/dbraw/zinc/85/09/37/400850937.db2.gz KJZDCALSCPRGJS-CQSZACIVSA-N 0 1 317.458 3.032 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cc(C(C)(C)C)[nH]n3)[nH]c21 ZINC000605363415 400855563 /nfs/dbraw/zinc/85/55/63/400855563.db2.gz YJCLPUOZMWEHDC-LLVKDONJSA-N 0 1 321.384 3.382 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000079309306 400858068 /nfs/dbraw/zinc/85/80/68/400858068.db2.gz RCBUITLGOGTWOK-KBPBESRZSA-N 0 1 319.405 3.059 20 30 DGEDMN N#CC1(CC(=O)Nc2cccc(-c3cn[nH]n3)c2)CCCCC1 ZINC000598693242 400858859 /nfs/dbraw/zinc/85/88/59/400858859.db2.gz AJKLOBQWEFGNGB-UHFFFAOYSA-N 0 1 309.373 3.274 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccsc1 ZINC000619746371 400897661 /nfs/dbraw/zinc/89/76/61/400897661.db2.gz QAOKMBLBGHEOJL-WBMJQRKESA-N 0 1 311.410 3.076 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@H]3CCc4cc(C#N)ccc43)c2[nH]1 ZINC000619811223 400908012 /nfs/dbraw/zinc/90/80/12/400908012.db2.gz ZROVEPMYCVQREF-INIZCTEOSA-N 0 1 316.364 3.160 20 30 DGEDMN Cc1nc2cccc(C(=O)NCc3ccc(C#N)c(Cl)c3)c2[nH]1 ZINC000619815226 400909051 /nfs/dbraw/zinc/90/90/51/400909051.db2.gz LGFJGURQHATFRM-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN CCCN(CC#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000056485219 400928408 /nfs/dbraw/zinc/92/84/08/400928408.db2.gz ROCOVPLEIBTOKO-UHFFFAOYSA-N 0 1 302.765 3.106 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cccc2[nH]ncc21 ZINC000056478270 400928449 /nfs/dbraw/zinc/92/84/49/400928449.db2.gz CXMLABNEBDVFCP-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1CCN(CCc2cccs2)CC1 ZINC000080906009 400998535 /nfs/dbraw/zinc/99/85/35/400998535.db2.gz FUZLDDRFAIIKCG-SFHVURJKSA-N 0 1 311.454 3.173 20 30 DGEDMN Cc1ccc(CN2C[C@H](c3ccccc3)[C@](F)(CO)C2)cc1C#N ZINC000599432451 401048998 /nfs/dbraw/zinc/04/89/98/401048998.db2.gz SZOLUPZHOYFVJT-WOJBJXKFSA-N 0 1 324.399 3.167 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC3(CCCC3)[C@H]2c2ccco2)CC1 ZINC000599465246 401054399 /nfs/dbraw/zinc/05/43/99/401054399.db2.gz DJRABINPMWKMFC-GDBMZVCRSA-N 0 1 300.402 3.251 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000599526463 401068792 /nfs/dbraw/zinc/06/87/92/401068792.db2.gz MERHDLQDUMVOFY-GFCCVEGCSA-N 0 1 303.793 3.048 20 30 DGEDMN Cc1cccc(N2CCN(CCOc3ccccc3C#N)CC2)c1 ZINC000058823020 401074450 /nfs/dbraw/zinc/07/44/50/401074450.db2.gz DRTSNWWUNVRGSW-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@@H](C)c1ccc(Cl)cc1 ZINC000058890285 401078355 /nfs/dbraw/zinc/07/83/55/401078355.db2.gz IFURSZBEVKACCI-BLLLJJGKSA-N 0 1 307.825 3.045 20 30 DGEDMN C=CCC[C@@H](NCc1cnc(C(=O)OC)cn1)c1ccccc1 ZINC000599355813 401033443 /nfs/dbraw/zinc/03/34/43/401033443.db2.gz HBENERZYZQSMSX-MRXNPFEDSA-N 0 1 311.385 3.060 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(Cc3ccc(C#N)cc3Cl)C2)o1 ZINC000615224096 401033937 /nfs/dbraw/zinc/03/39/37/401033937.db2.gz MLDDVYDLMQKYEI-CQSZACIVSA-N 0 1 316.792 3.283 20 30 DGEDMN Cc1ccc(CN2C[C@H](C)O[C@@]3(CCO[C@H](C)C3)C2)cc1C#N ZINC000599398664 401041287 /nfs/dbraw/zinc/04/12/87/401041287.db2.gz DURGCZZLNWBRHV-JTDSTZFVSA-N 0 1 314.429 3.025 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@@H](C)Cc1ccc(C#N)cc1 ZINC000594335472 401047447 /nfs/dbraw/zinc/04/74/47/401047447.db2.gz PLXZOFGSXYCCPX-VIFPVBQESA-N 0 1 318.327 3.345 20 30 DGEDMN Cc1cc(CN2CCc3c(CC#N)cccc3C2)ccc1C#N ZINC000599677303 401109798 /nfs/dbraw/zinc/10/97/98/401109798.db2.gz DUAMEWRXUNELFA-UHFFFAOYSA-N 0 1 301.393 3.491 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3ccccc3n2C2CC2)cc1O ZINC000615483076 401090444 /nfs/dbraw/zinc/09/04/44/401090444.db2.gz PPWZDGNMBPSCSJ-UHFFFAOYSA-N 0 1 318.336 3.201 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC[C@@]3(CC(=O)c4ccccc4O3)C2)C1 ZINC000625804084 401224005 /nfs/dbraw/zinc/22/40/05/401224005.db2.gz WCKBXNSPRITDBN-SPYBWZPUSA-N 0 1 310.397 3.179 20 30 DGEDMN C=CCn1c(Cc2cc(C)n[nH]2)nnc1N(C)c1cccc(C)c1 ZINC000634336732 401266645 /nfs/dbraw/zinc/26/66/45/401266645.db2.gz MERLVFBIZXOCAE-UHFFFAOYSA-N 0 1 322.416 3.163 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H]2CCN2C2CCCC2)c1 ZINC000635417501 401438944 /nfs/dbraw/zinc/43/89/44/401438944.db2.gz TZVVVNKSCDKFFT-QGZVFWFLSA-N 0 1 300.402 3.207 20 30 DGEDMN C=CCOCCNCc1ccc(Br)c(F)c1F ZINC000623487766 401530260 /nfs/dbraw/zinc/53/02/60/401530260.db2.gz RQWRAAIMIKBLOK-UHFFFAOYSA-N 0 1 306.150 3.020 20 30 DGEDMN Cn1cc(CNCc2ccc(Br)s2)cc1C#N ZINC000189589396 165167430 /nfs/dbraw/zinc/16/74/30/165167430.db2.gz BZGBDWGKTLUJCZ-UHFFFAOYSA-N 0 1 310.220 3.011 20 30 DGEDMN CCN(Cc1ccc2c(c1)OCO2)Cc1ccc(C#N)c(F)c1 ZINC000191933284 165275390 /nfs/dbraw/zinc/27/53/90/165275390.db2.gz OZSXREDCCLRZJM-UHFFFAOYSA-N 0 1 312.344 3.448 20 30 DGEDMN C#C[C@@](C)(CC)NCc1ccc(Br)c([N+](=O)[O-])c1 ZINC000384195466 685170806 /nfs/dbraw/zinc/17/08/06/685170806.db2.gz JCNZKCQYJKVBGW-ZDUSSCGKSA-N 0 1 311.179 3.249 20 30 DGEDMN N#Cc1ccc([C@H](O)CNC2(c3nccs3)CCCC2)cc1 ZINC000129230491 196031237 /nfs/dbraw/zinc/03/12/37/196031237.db2.gz MALCMCSRFZQHIP-OAHLLOKOSA-N 0 1 313.426 3.107 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC000132548378 196264555 /nfs/dbraw/zinc/26/45/55/196264555.db2.gz OVUPRCNRFSTVGH-UHFFFAOYSA-N 0 1 312.335 3.039 20 30 DGEDMN N#CCC[C@H](C#N)CSc1nc(-c2ccc3c(c2)CCC3)n[nH]1 ZINC000151212211 227057151 /nfs/dbraw/zinc/05/71/51/227057151.db2.gz OZFKZRCBWDSYHV-GFCCVEGCSA-N 0 1 323.425 3.496 20 30 DGEDMN CCN(Cc1ccc(Br)cc1C#N)C[C@@H](C)OC ZINC000291220409 222232460 /nfs/dbraw/zinc/23/24/60/222232460.db2.gz LLOCDVYUERIZBL-LLVKDONJSA-N 0 1 311.223 3.178 20 30 DGEDMN C[C@H]1CCCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000174406590 228290079 /nfs/dbraw/zinc/29/00/79/228290079.db2.gz UVNPGGYERSEATQ-ZDUSSCGKSA-N 0 1 305.809 3.071 20 30 DGEDMN C[C@H]1[C@@H](c2ccccc2)CC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000177543697 228331842 /nfs/dbraw/zinc/33/18/42/228331842.db2.gz URXFMBIOLVENFO-KXBFYZLASA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@H]1[C@@H](c2ccccc2)CCN1CC(=O)Nc1cccc(C#N)c1 ZINC000177543697 228331845 /nfs/dbraw/zinc/33/18/45/228331845.db2.gz URXFMBIOLVENFO-KXBFYZLASA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1C[C@@H]2CCCC[C@H]2C1 ZINC000177570228 228331861 /nfs/dbraw/zinc/33/18/61/228331861.db2.gz BGGTYNHUGPFEAI-KBPBESRZSA-N 0 1 317.820 3.272 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000331556264 229032918 /nfs/dbraw/zinc/03/29/18/229032918.db2.gz MVBJPIBWNIUZTE-QMTHXVAHSA-N 0 1 316.792 3.430 20 30 DGEDMN N#CCc1ccc(CN2CCO[C@@]3(CCc4ccccc43)C2)cc1 ZINC000342753834 229118069 /nfs/dbraw/zinc/11/80/69/229118069.db2.gz QQEPSVNLUPGMHQ-NRFANRHFSA-N 0 1 318.420 3.427 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc3c(c2)CC(C)(C)O3)CC1 ZINC000342821064 229119439 /nfs/dbraw/zinc/11/94/39/229119439.db2.gz LLUMUXYLNAHMMM-UHFFFAOYSA-N 0 1 314.429 3.152 20 30 DGEDMN CN(C)Cc1ccc(C(=O)Nc2ccc(C(C)(C)C#N)cc2)cn1 ZINC000339232215 229077477 /nfs/dbraw/zinc/07/74/77/229077477.db2.gz IGKCBEMPDDDRAP-UHFFFAOYSA-N 0 1 322.412 3.197 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H](C#N)C(=O)c1cn[nH]c1C(C)(C)C ZINC000344632032 229140053 /nfs/dbraw/zinc/14/00/53/229140053.db2.gz ISPOYYMTPXROND-NSHDSACASA-N 0 1 321.384 3.084 20 30 DGEDMN Cc1cccc2nc(C(C#N)=C([O-])c3cn4ccccc4[nH+]3)[nH]c21 ZINC000345393348 229151834 /nfs/dbraw/zinc/15/18/34/229151834.db2.gz IWCJTTRKSOMJHP-LBPRGKRZSA-N 0 1 315.336 3.009 20 30 DGEDMN COc1cccc([C@@H](C)N2CCN(CCC(C)(C)C#N)CC2)c1 ZINC000345719657 229155115 /nfs/dbraw/zinc/15/51/15/229155115.db2.gz XQBFBMCXWXZJSP-MRXNPFEDSA-N 0 1 315.461 3.314 20 30 DGEDMN Cc1cc(C)c(C(=O)C(C#N)c2nc3ccccc3o2)c(=O)[nH]1 ZINC000349288540 229211011 /nfs/dbraw/zinc/21/10/11/229211011.db2.gz VXBOHIPXXXGYAV-NSHDSACASA-N 0 1 307.309 3.035 20 30 DGEDMN Cc1cc(C)n(-c2ccc(CN3CCC(F)(C#N)CC3)cc2)n1 ZINC000352484455 229253819 /nfs/dbraw/zinc/25/38/19/229253819.db2.gz PMFDISSNJGFHGP-UHFFFAOYSA-N 0 1 312.392 3.317 20 30 DGEDMN N#CC1(CN[C@]2(Cc3ccc(C(F)(F)F)cc3)CCOC2)CC1 ZINC000353198301 229271127 /nfs/dbraw/zinc/27/11/27/229271127.db2.gz ZGOCKVCEEFYNCH-INIZCTEOSA-N 0 1 324.346 3.300 20 30 DGEDMN N#CC(C(=O)c1occ2c1CCOC2)c1nccc2ccccc21 ZINC000354174321 229290700 /nfs/dbraw/zinc/29/07/00/229290700.db2.gz BYBFYPPIKHBYQF-MRXNPFEDSA-N 0 1 318.332 3.391 20 30 DGEDMN N#C[C@@H](C(=O)c1occ2c1CCOC2)c1nccc2ccccc21 ZINC000354174321 229290706 /nfs/dbraw/zinc/29/07/06/229290706.db2.gz BYBFYPPIKHBYQF-MRXNPFEDSA-N 0 1 318.332 3.391 20 30 DGEDMN Cn1ccc(C(=O)C(C#N)c2nc(-c3ccncc3)cs2)c1 ZINC000357777141 229353513 /nfs/dbraw/zinc/35/35/13/229353513.db2.gz CWXPQNHQWVIRNR-ZDUSSCGKSA-N 0 1 308.366 3.034 20 30 DGEDMN Cn1ccc(C(=O)[C@H](C#N)c2nc(-c3ccncc3)cs2)c1 ZINC000357777141 229353518 /nfs/dbraw/zinc/35/35/18/229353518.db2.gz CWXPQNHQWVIRNR-ZDUSSCGKSA-N 0 1 308.366 3.034 20 30 DGEDMN CC(C)(O)[C@@H]1CCCN1Cc1cc(C#N)ccc1Br ZINC000191814782 263765661 /nfs/dbraw/zinc/76/56/61/263765661.db2.gz YENSBNSKTLGTSN-AWEZNQCLSA-N 0 1 323.234 3.056 20 30 DGEDMN COc1cccc2c1CCN(Cc1ccc(C#N)cc1F)CC2 ZINC000193716492 263798818 /nfs/dbraw/zinc/79/88/18/263798818.db2.gz XQPMTULKWCQBOS-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN C[C@@H](N[C@H](CO)c1ccc(F)cc1)c1ccc(C#N)c(F)c1 ZINC000346140335 263967758 /nfs/dbraw/zinc/96/77/58/263967758.db2.gz PAYFIAHMECBEKC-PIGZYNQJSA-N 0 1 302.324 3.221 20 30 DGEDMN C[C@H]1CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC12CCC2 ZINC000357031467 267217405 /nfs/dbraw/zinc/21/74/05/267217405.db2.gz GFWPYAFETUDDKY-MAUKXSAKSA-N 0 1 323.440 3.176 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1ncc(C#N)cc1Cl ZINC000360411841 267366939 /nfs/dbraw/zinc/36/69/39/267366939.db2.gz DGXWNHGEMDAKHZ-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)[C@H](C)Oc1ccc(C#N)cc1 ZINC000360416411 267367840 /nfs/dbraw/zinc/36/78/40/267367840.db2.gz HGGGCJHBXYCMIL-LBPRGKRZSA-N 0 1 320.352 3.149 20 30 DGEDMN Cc1nsc(N2CCCN(Cc3ccccc3)CC2)c1C#N ZINC000370544700 267686493 /nfs/dbraw/zinc/68/64/93/267686493.db2.gz WQDKRRAQRRALHA-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN Cn1cc(CN[C@H]2CC(C)(C)Oc3cc(F)ccc32)cc1C#N ZINC000365140104 267801658 /nfs/dbraw/zinc/80/16/58/267801658.db2.gz TVDDEPCZGRIWKJ-INIZCTEOSA-N 0 1 313.376 3.428 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N[C@H]1CCCc2cn[nH]c21 ZINC000374588217 268051666 /nfs/dbraw/zinc/05/16/66/268051666.db2.gz RGVLGPRORODITR-JTQLQIEISA-N 0 1 307.279 3.185 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2cccc3cn[nH]c32)c1 ZINC000355394351 268151277 /nfs/dbraw/zinc/15/12/77/268151277.db2.gz RFNRYFBSYDUELS-UHFFFAOYSA-N 0 1 317.352 3.261 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](n3ncc4ccccc43)C2)c1F ZINC000357823729 268247927 /nfs/dbraw/zinc/24/79/27/268247927.db2.gz ULBFHGIZYJZRJF-KRWDZBQOSA-N 0 1 320.371 3.494 20 30 DGEDMN N#Cc1cccc(OCCCN2CCc3ccc(O)cc3C2)c1 ZINC000357701057 268272968 /nfs/dbraw/zinc/27/29/68/268272968.db2.gz ASVNGUIXYFONSK-UHFFFAOYSA-N 0 1 308.381 3.091 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1ccc2ccccc2n1 ZINC000077966215 426153829 /nfs/dbraw/zinc/15/38/29/426153829.db2.gz BCVFMRQYEZDTHZ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](c3ccccc3C)C[C@@H]2C)CC1 ZINC000078183774 275381794 /nfs/dbraw/zinc/38/17/94/275381794.db2.gz DAXIPZZDRYPTNF-HKUYNNGSSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](C)CC[C@@H]2c2ccccc2)CC1 ZINC000288284438 275382122 /nfs/dbraw/zinc/38/21/22/275382122.db2.gz HKOCLLDMSRLIFC-YLJYHZDGSA-N 0 1 324.468 3.331 20 30 DGEDMN CCN(C)[C@H](C(=O)NCC1CCC(C#N)CC1)c1ccccc1 ZINC000282584761 276309851 /nfs/dbraw/zinc/30/98/51/276309851.db2.gz DXSWFFNHBBJNHQ-HTWSVDAQSA-N 0 1 313.445 3.126 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1(C)C ZINC000496084024 277188384 /nfs/dbraw/zinc/18/83/84/277188384.db2.gz OTPVXYVAFVEXKX-ZIAGYGMSSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)N(CCC#N)c2ccccc2)CC1 ZINC000111259079 431205005 /nfs/dbraw/zinc/20/50/05/431205005.db2.gz PQLXRQPZUJZXKM-INIZCTEOSA-N 0 1 311.429 3.220 20 30 DGEDMN CC(C)C1CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000072717864 431346977 /nfs/dbraw/zinc/34/69/77/431346977.db2.gz LKHLJLHPSHRRIS-SFHVURJKSA-N 0 1 311.429 3.032 20 30 DGEDMN COc1cccc(NC(=O)CCN(CCC#N)CCC(C)C)c1 ZINC000072692535 431347447 /nfs/dbraw/zinc/34/74/47/431347447.db2.gz KNJMYLZFLRLKMG-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN CC(C)N1CC[C@@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1=O ZINC000114630836 431402012 /nfs/dbraw/zinc/40/20/12/431402012.db2.gz XOLBVZPDLSDOKY-KOSUEXCASA-N 0 1 315.200 3.127 20 30 DGEDMN CC(C)CN(CC(=O)Nc1cc(Cl)ccc1C#N)C1CC1 ZINC000078339724 431660721 /nfs/dbraw/zinc/66/07/21/431660721.db2.gz PBBWIKIOHSXIOJ-UHFFFAOYSA-N 0 1 305.809 3.271 20 30 DGEDMN CCn1nc(C(C)C)cc1C(=O)C(C#N)c1nc(C)cs1 ZINC000078734155 431701491 /nfs/dbraw/zinc/70/14/91/431701491.db2.gz XOSFRYGGFNLFHZ-LLVKDONJSA-N 0 1 302.403 3.281 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCOc1ccc(F)cc1 ZINC000120463031 431727073 /nfs/dbraw/zinc/72/70/73/431727073.db2.gz PDXYOAWCLOXTIT-UHFFFAOYSA-N 0 1 314.360 3.217 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000120771502 431735004 /nfs/dbraw/zinc/73/50/04/431735004.db2.gz FPAUOEQDJBTUGM-DLBZAZTESA-N 0 1 315.461 3.266 20 30 DGEDMN N#CC(C(=O)c1ccn(C2CCCC2)n1)c1nc2ccccc2[nH]1 ZINC000121306010 431769880 /nfs/dbraw/zinc/76/98/80/431769880.db2.gz STEPLOSCFIAXTN-CYBMUJFWSA-N 0 1 319.368 3.365 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000032459608 431780530 /nfs/dbraw/zinc/78/05/30/431780530.db2.gz JKSXSRPBLPETMY-UHFFFAOYSA-N 0 1 316.364 3.221 20 30 DGEDMN CCC(=O)N1CC[C@@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000122905262 431848262 /nfs/dbraw/zinc/84/82/62/431848262.db2.gz BQONAIGAIUJFGM-RGOWCBCBSA-N 0 1 315.200 3.129 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nnc3n2CCCCC3)sc1C ZINC000041510282 431859821 /nfs/dbraw/zinc/85/98/21/431859821.db2.gz ACQTUODPYOKGNO-GFCCVEGCSA-N 0 1 314.414 3.173 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2nnc3n2CCCCC3)sc1C ZINC000041510282 431859824 /nfs/dbraw/zinc/85/98/24/431859824.db2.gz ACQTUODPYOKGNO-GFCCVEGCSA-N 0 1 314.414 3.173 20 30 DGEDMN CC[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@H](C)O1 ZINC000092487390 431909423 /nfs/dbraw/zinc/90/94/23/431909423.db2.gz QMBYCZHGPRQVDI-YJBOKZPZSA-N 0 1 301.434 3.036 20 30 DGEDMN Fc1ccc(C#CCN(C[C@@H]2CCCO2)[C@@H]2CCSC2)cc1 ZINC000089952853 431887183 /nfs/dbraw/zinc/88/71/83/431887183.db2.gz WRQMTCIZPBYPAU-MSOLQXFVSA-N 0 1 319.445 3.164 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@H](c2ccco2)N2CCCCC2)n1 ZINC000127498323 431973387 /nfs/dbraw/zinc/97/33/87/431973387.db2.gz YSKCTWYAZIFDQE-MRXNPFEDSA-N 0 1 310.401 3.494 20 30 DGEDMN CC[C@H](C)NC(=O)c1cccc(CNCc2ccc(C#N)cc2)c1 ZINC000048715183 431931673 /nfs/dbraw/zinc/93/16/73/431931673.db2.gz CZEZSQFBAPDRPV-HNNXBMFYSA-N 0 1 321.424 3.376 20 30 DGEDMN COCCCOc1cccc(CNCc2ccc(C#N)s2)c1 ZINC000125823623 431936594 /nfs/dbraw/zinc/93/65/94/431936594.db2.gz FPEVMAHNGBBFPC-UHFFFAOYSA-N 0 1 316.426 3.325 20 30 DGEDMN CCN(CC(=O)N1CCCC[C@@H]1C)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340389 431969477 /nfs/dbraw/zinc/96/94/77/431969477.db2.gz QLFYJJWPHYLIMZ-HOTGVXAUSA-N 0 1 313.445 3.342 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)CCc1cccc2ccccc21 ZINC000101556260 431985203 /nfs/dbraw/zinc/98/52/03/431985203.db2.gz IUJZGIRSJFHTPQ-UHFFFAOYSA-N 0 1 323.440 3.076 20 30 DGEDMN CC(C)(NCCCOc1cccc(C#N)c1)c1nccs1 ZINC000128172751 431989149 /nfs/dbraw/zinc/98/91/49/431989149.db2.gz CDHVXBGJPNKQDX-UHFFFAOYSA-N 0 1 301.415 3.309 20 30 DGEDMN C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1CC[C@@H](C)[C@@H]1C ZINC000248356795 432282385 /nfs/dbraw/zinc/28/23/85/432282385.db2.gz OTUDZEHZJODDFS-WOPDTQHZSA-N 0 1 317.458 3.166 20 30 DGEDMN COC[C@@H]1CCCN(Cc2cc(C#N)ccc2Br)C1 ZINC000191790764 432302802 /nfs/dbraw/zinc/30/28/02/432302802.db2.gz ZNTOKNAMSSZMLQ-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Oc3cccc(F)c3)C2)s1 ZINC000183212369 432268739 /nfs/dbraw/zinc/26/87/39/432268739.db2.gz SLUYFHVUTHXNJE-AWEZNQCLSA-N 0 1 302.374 3.412 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)[C@@H](c2ccccc2)C1 ZINC000248833217 432322744 /nfs/dbraw/zinc/32/27/44/432322744.db2.gz IXLWIAOMANYNRN-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN CC(C)Oc1ccc(N(C)C(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000192265653 432351775 /nfs/dbraw/zinc/35/17/75/432351775.db2.gz BATWFJVFMCGYFL-UHFFFAOYSA-N 0 1 310.353 3.328 20 30 DGEDMN CC[C@H](NC[C@@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000184368390 432384435 /nfs/dbraw/zinc/38/44/35/432384435.db2.gz VAGPSLHNNDRNDJ-LSDHHAIUSA-N 0 1 301.415 3.098 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN2CC[C@H](C)C2)ccc1Cl ZINC000261392852 432428236 /nfs/dbraw/zinc/42/82/36/432428236.db2.gz PPVWSEHUKCETGD-ZDUSSCGKSA-N 0 1 319.836 3.237 20 30 DGEDMN CC(C)N(CC(=O)N(CCC#N)c1cccc(Cl)c1)C1CC1 ZINC000193045396 432441510 /nfs/dbraw/zinc/44/15/10/432441510.db2.gz ZNLJLZZNJDFWPP-UHFFFAOYSA-N 0 1 319.836 3.459 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cccc3cn[nH]c32)cc1C#N ZINC000154013892 432464627 /nfs/dbraw/zinc/46/46/27/432464627.db2.gz NMMDXNVXKQFUEE-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN CC(C)OC(=O)c1ccc(CN2CCC(C)(C#N)CC2)cc1 ZINC000272534178 432519764 /nfs/dbraw/zinc/51/97/64/432519764.db2.gz IWURUSNRXIWDON-UHFFFAOYSA-N 0 1 300.402 3.377 20 30 DGEDMN CCN(C)[C@H](C(=O)N[C@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000264042822 432607687 /nfs/dbraw/zinc/60/76/87/432607687.db2.gz QMOPJCUEEIFBGY-BEFAXECRSA-N 0 1 321.424 3.428 20 30 DGEDMN CCN(C[C@@H](C)C#N)C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000195163227 432609169 /nfs/dbraw/zinc/60/91/69/432609169.db2.gz ODIGNMFCZMHZDU-YOEHRIQHSA-N 0 1 309.454 3.115 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@](C)(CN(CCC#N)CC2CC2)C1 ZINC000195167915 432610147 /nfs/dbraw/zinc/61/01/47/432610147.db2.gz SPDBGVHWJGLICW-GOSISDBHSA-N 0 1 321.465 3.259 20 30 DGEDMN Cc1cc(C2CCN(c3snc(Cl)c3C#N)CC2)[nH]n1 ZINC000273569700 432613094 /nfs/dbraw/zinc/61/30/94/432613094.db2.gz XRJKHYZFITUFJT-UHFFFAOYSA-N 0 1 307.810 3.084 20 30 DGEDMN CCN(CC)c1ccc(CNCc2ccc(C#N)s2)cn1 ZINC000176951843 432569162 /nfs/dbraw/zinc/56/91/62/432569162.db2.gz GGJNAJIZIBZZEO-UHFFFAOYSA-N 0 1 300.431 3.151 20 30 DGEDMN CN(C)[C@H](CNc1ncc(C#N)cc1Cl)c1ccsc1 ZINC000263790179 432578236 /nfs/dbraw/zinc/57/82/36/432578236.db2.gz NGDUOHMEINLZGT-CYBMUJFWSA-N 0 1 306.822 3.383 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@H](C[S@@](C)=O)c1ccccc1 ZINC000186322770 432582052 /nfs/dbraw/zinc/58/20/52/432582052.db2.gz BKXNDDNWGWDAQP-XMSQKQJNSA-N 0 1 312.438 3.076 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1 ZINC000194973153 432591303 /nfs/dbraw/zinc/59/13/03/432591303.db2.gz COVABHIJTSFROY-LLVKDONJSA-N 0 1 324.409 3.049 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H](C#N)C(=O)c1csc(C2CC2)n1 ZINC000194987534 432593512 /nfs/dbraw/zinc/59/35/12/432593512.db2.gz UNAQDFBLUJHECN-NSHDSACASA-N 0 1 322.393 3.397 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1csc(C2CC2)n1 ZINC000194987534 432593514 /nfs/dbraw/zinc/59/35/14/432593514.db2.gz UNAQDFBLUJHECN-NSHDSACASA-N 0 1 322.393 3.397 20 30 DGEDMN C=CCC[C@@H]([NH2+]Cc1nnc(COc2ccccc2)[n-]1)C1CC1 ZINC000186432376 432594459 /nfs/dbraw/zinc/59/44/59/432594459.db2.gz DXJZGVMMFLRGMB-MRXNPFEDSA-N 0 1 312.417 3.218 20 30 DGEDMN C=CCC[C@H](CC)[NH2+]Cc1nnc(COc2ccccc2)[n-]1 ZINC000186438111 432596441 /nfs/dbraw/zinc/59/64/41/432596441.db2.gz XUTSFHIRLBDQPU-AWEZNQCLSA-N 0 1 300.406 3.218 20 30 DGEDMN CC[C@H](N[C@H](C)C(=O)N(C)CCC#N)c1ccc(Cl)s1 ZINC000273483244 432604554 /nfs/dbraw/zinc/60/45/54/432604554.db2.gz IQASNAKELRXLGN-MNOVXSKESA-N 0 1 313.854 3.203 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCCC2(CC2)C1 ZINC000186981806 432639226 /nfs/dbraw/zinc/63/92/26/432639226.db2.gz NNSSVRJFKBIAAY-KOHRHEQBSA-N 0 1 317.477 3.182 20 30 DGEDMN CO[C@H]1CCCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000158204318 432639546 /nfs/dbraw/zinc/63/95/46/432639546.db2.gz QIOTVOXDDFZNCG-HNNXBMFYSA-N 0 1 313.319 3.048 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC[C@@H](C)C1 ZINC000226507812 432706708 /nfs/dbraw/zinc/70/67/08/432706708.db2.gz JUWWRARNQDXDKR-VXGBXAGGSA-N 0 1 305.809 3.271 20 30 DGEDMN Cc1csc(C(C)(C)NCCOc2ccccc2C#N)n1 ZINC000265536464 432707988 /nfs/dbraw/zinc/70/79/88/432707988.db2.gz WDRCHRPVSMLOPO-UHFFFAOYSA-N 0 1 301.415 3.227 20 30 DGEDMN CC1(C#N)CCN(CCOc2cccc(Br)c2)CC1 ZINC000227354256 432711799 /nfs/dbraw/zinc/71/17/99/432711799.db2.gz OGSPAIRMLCXXCD-UHFFFAOYSA-N 0 1 323.234 3.454 20 30 DGEDMN C=CCOc1ccc(CN2CC=C(COC)CC2)cc1OC ZINC000187615704 432673131 /nfs/dbraw/zinc/67/31/31/432673131.db2.gz QWOIOPICJPYHTB-UHFFFAOYSA-N 0 1 303.402 3.039 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC(CC)(CC)CC2)CC1 ZINC000189134376 432765321 /nfs/dbraw/zinc/76/53/21/432765321.db2.gz PWVKMBCYTLUZAF-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@@H]2CCC[N@H+]2Cc2ccccc2)n1 ZINC000161451221 432780408 /nfs/dbraw/zinc/78/04/08/432780408.db2.gz UPXDBSMZMOFNFQ-SFHVURJKSA-N 0 1 306.413 3.338 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(F)cc2OC(F)F)cc1O ZINC000188724631 432742568 /nfs/dbraw/zinc/74/25/68/432742568.db2.gz GUUNCBPTRUQVTI-UHFFFAOYSA-N 0 1 322.242 3.257 20 30 DGEDMN COc1ccc(N(C)C(=O)c2ccc(C#N)c(O)c2)cc1Cl ZINC000188779519 432746095 /nfs/dbraw/zinc/74/60/95/432746095.db2.gz BKRHJAYMSJHSRC-UHFFFAOYSA-N 0 1 316.744 3.202 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000178859197 432784024 /nfs/dbraw/zinc/78/40/24/432784024.db2.gz LAHHLFRPRGPQMJ-HNNXBMFYSA-N 0 1 300.402 3.114 20 30 DGEDMN C=CCN(CCCCCS(=O)(=O)CC)Cc1ccccc1 ZINC000276504181 432813868 /nfs/dbraw/zinc/81/38/68/432813868.db2.gz TTZUGYNGJXECAA-UHFFFAOYSA-N 0 1 309.475 3.280 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)c(F)c1 ZINC000268281793 432861338 /nfs/dbraw/zinc/86/13/38/432861338.db2.gz PMWZQKMAZUESGS-RDJZCZTQSA-N 0 1 314.360 3.378 20 30 DGEDMN C[C@@H]1C[C@@H](NCCSCc2ccccc2C#N)c2nccn21 ZINC000296186263 432881683 /nfs/dbraw/zinc/88/16/83/432881683.db2.gz YHZXJDRYTYFUHG-CZUORRHYSA-N 0 1 312.442 3.284 20 30 DGEDMN Cc1ncsc1NC(=O)CC1(N2CCOCC2)CCCC1 ZINC000329954476 432884789 /nfs/dbraw/zinc/88/47/89/432884789.db2.gz OKIKQDHRQHJJBW-UHFFFAOYSA-N 0 1 309.435 3.075 20 30 DGEDMN CC[C@H](C)n1ncc(C(=O)N[C@@H](C)C2=CC[N@@H+](C)CC2)c1C ZINC000330034737 432889671 /nfs/dbraw/zinc/88/96/71/432889671.db2.gz BFGCFBAPANRKIO-STQMWFEESA-N 0 1 304.438 3.118 20 30 DGEDMN CC(C)C[C@@H]1CCCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000330142439 432894482 /nfs/dbraw/zinc/89/44/82/432894482.db2.gz QNEUSSHPYVIDOB-DZGCQCFKSA-N 0 1 304.438 3.459 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2ccc([N+](=O)[O-])cc2C#N)n[nH]1 ZINC000277467171 432853064 /nfs/dbraw/zinc/85/30/64/432853064.db2.gz REGDQESCTFHTHK-UWVGGRQHSA-N 0 1 314.349 3.271 20 30 DGEDMN CC(C)c1ccsc1C(=O)NC[C@@H]1CCCCN1CCO ZINC000331336045 432939698 /nfs/dbraw/zinc/93/96/98/432939698.db2.gz QGZQDGMWJCPJKY-ZDUSSCGKSA-N 0 1 310.463 3.023 20 30 DGEDMN CC(C)CN(C([O-])=[NH+][C@H]1CCn2ccnc2C1)C1CCCC1 ZINC000330236779 432898580 /nfs/dbraw/zinc/89/85/80/432898580.db2.gz AKBHPHGIOWUOPO-AWEZNQCLSA-N 0 1 304.438 3.013 20 30 DGEDMN C[C@H](c1ccc(F)cc1F)N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000278757462 432900881 /nfs/dbraw/zinc/90/08/81/432900881.db2.gz XMXNNFXOYRSQCZ-IJEWVQPXSA-N 0 1 319.399 3.336 20 30 DGEDMN CC1CCC(N(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C2CC2)CC1 ZINC000330280214 432902438 /nfs/dbraw/zinc/90/24/38/432902438.db2.gz SDSHMTHMDJHWME-SLTAFYQDSA-N 0 1 316.449 3.155 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(C(C)(C)C)n2)nc(C)n1 ZINC000330413102 432907821 /nfs/dbraw/zinc/90/78/21/432907821.db2.gz BPRVNFGMVGJQEP-NSHDSACASA-N 0 1 314.414 3.338 20 30 DGEDMN O=C(NCC1CCC(F)(F)CC1)c1cc(O)cc([N+](=O)[O-])c1 ZINC000330402183 432907945 /nfs/dbraw/zinc/90/79/45/432907945.db2.gz ORUODVNNYKRSAM-UHFFFAOYSA-N 0 1 314.288 3.431 20 30 DGEDMN C#C[C@@H](NCc1cnc(NC(=O)OC(C)(C)C)s1)C(C)C ZINC000289518950 432967686 /nfs/dbraw/zinc/96/76/86/432967686.db2.gz PGBBLIQCHJCCBI-GFCCVEGCSA-N 0 1 309.435 3.238 20 30 DGEDMN C=C[C@H](CO)N[C@H](C)c1cc2cc(Br)ccc2o1 ZINC000289815470 432974314 /nfs/dbraw/zinc/97/43/14/432974314.db2.gz ORPAWUUDYSEZIY-BXKDBHETSA-N 0 1 310.191 3.393 20 30 DGEDMN CC[C@H]1CCCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000341171711 432983408 /nfs/dbraw/zinc/98/34/08/432983408.db2.gz SJCPAQOUMXTFAQ-HNNXBMFYSA-N 0 1 319.836 3.461 20 30 DGEDMN CN(C)Cc1ccnc(NC(=O)c2ccc(C(C)(C)C#N)cc2)c1 ZINC000279736680 432991439 /nfs/dbraw/zinc/99/14/39/432991439.db2.gz DCVGUOTWOBWYGY-UHFFFAOYSA-N 0 1 322.412 3.197 20 30 DGEDMN COc1ccc(C(=O)C2CCN([C@@H](C)CCC#N)CC2)cc1 ZINC000297841631 433022765 /nfs/dbraw/zinc/02/27/65/433022765.db2.gz KNFPQCPFUWGJHJ-AWEZNQCLSA-N 0 1 300.402 3.282 20 30 DGEDMN CCCOc1ccc(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000354391256 433055021 /nfs/dbraw/zinc/05/50/21/433055021.db2.gz BZAKQINMHDJDLQ-CYBMUJFWSA-N 0 1 315.760 3.409 20 30 DGEDMN CCCOc1ccc(Cl)cc1C(=O)[C@H](C#N)c1ccncn1 ZINC000354391256 433055024 /nfs/dbraw/zinc/05/50/24/433055024.db2.gz BZAKQINMHDJDLQ-CYBMUJFWSA-N 0 1 315.760 3.409 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000298404561 433126433 /nfs/dbraw/zinc/12/64/33/433126433.db2.gz KPQCAJKQRHIJKU-FZKCQIBNSA-N 0 1 313.445 3.102 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000298428211 433132138 /nfs/dbraw/zinc/13/21/38/433132138.db2.gz VCPNBKSWMNITOR-FZKCQIBNSA-N 0 1 313.445 3.387 20 30 DGEDMN Cn1c(CN[C@@H]2CCC[C@@H](C#N)C2)nc2cccc(Cl)c21 ZINC000446804266 433104373 /nfs/dbraw/zinc/10/43/73/433104373.db2.gz QMFVESJWSCMKCS-VXGBXAGGSA-N 0 1 302.809 3.399 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000280610723 433107902 /nfs/dbraw/zinc/10/79/02/433107902.db2.gz YZMMARWPHTUFPI-SFHVURJKSA-N 0 1 315.461 3.283 20 30 DGEDMN C=CC[C@H]1N(Cc2cn(C)nc2C(=O)OCC)CCCC1(C)C ZINC000446830116 433108952 /nfs/dbraw/zinc/10/89/52/433108952.db2.gz OQXDRKBUNKMQJI-OAHLLOKOSA-N 0 1 319.449 3.164 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1ccc(Cl)cc1-n1cncn1 ZINC000361337931 433116258 /nfs/dbraw/zinc/11/62/58/433116258.db2.gz UZKNHNULVUXRDP-OAHLLOKOSA-N 0 1 302.809 3.461 20 30 DGEDMN COc1cc2c(cc1CN(C)[C@@H](C)c1cccc(C#N)c1)OCO2 ZINC000425367804 433207794 /nfs/dbraw/zinc/20/77/94/433207794.db2.gz UVMCBZPZDFUANC-ZDUSSCGKSA-N 0 1 324.380 3.489 20 30 DGEDMN COc1cc2c(cc1CN(C)[C@H](C)c1cccc(C#N)c1)OCO2 ZINC000425367803 433208318 /nfs/dbraw/zinc/20/83/18/433208318.db2.gz UVMCBZPZDFUANC-CYBMUJFWSA-N 0 1 324.380 3.489 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@@H](C)C[C@@H]2c2ccc(CC)o2)C1=O ZINC000337197379 433215223 /nfs/dbraw/zinc/21/52/23/433215223.db2.gz XBADPZDMBNXGDT-HYVNUMGLSA-N 0 1 316.445 3.402 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000280909040 433223293 /nfs/dbraw/zinc/22/32/93/433223293.db2.gz DEVTZRDJYMRCCP-GJZGRUSLSA-N 0 1 305.422 3.070 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCCN(c3ccccc3)CC2)c1 ZINC000298758309 433238002 /nfs/dbraw/zinc/23/80/02/433238002.db2.gz WSHTXRURSJNNGU-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN C=CCn1c(S[C@H](C)c2n[nH]c(C)n2)nnc1-c1ccco1 ZINC000412990721 433254628 /nfs/dbraw/zinc/25/46/28/433254628.db2.gz PITZRIVBPDQHMR-SECBINFHSA-N 0 1 316.390 3.004 20 30 DGEDMN CC[C@H](C(=O)Nc1ccccc1SC[C@@H](C)C#N)N(C)C ZINC000298866951 433266735 /nfs/dbraw/zinc/26/67/35/433266735.db2.gz JWKDWYKXSMCEMA-GXTWGEPZSA-N 0 1 305.447 3.217 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)CC[S@@](=O)c1ccccc1 ZINC000425535291 433288830 /nfs/dbraw/zinc/28/88/30/433288830.db2.gz NDQWICYLMVNYML-IVZQSRNASA-N 0 1 312.438 3.359 20 30 DGEDMN CC[C@H]1CCCC[N@H+]1[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447849889 433299562 /nfs/dbraw/zinc/29/95/62/433299562.db2.gz KCIRHDIFXKNITJ-FUHWJXTLSA-N 0 1 311.429 3.318 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000361637628 433307040 /nfs/dbraw/zinc/30/70/40/433307040.db2.gz AHOPCHUTKIJMQQ-UHFFFAOYSA-N 0 1 316.357 3.489 20 30 DGEDMN Cc1cnc([C@@H](C)NCCC(=O)Nc2ccccc2C#N)s1 ZINC000299186026 433347273 /nfs/dbraw/zinc/34/72/73/433347273.db2.gz BUUSQSZTAJKLBM-GFCCVEGCSA-N 0 1 314.414 3.003 20 30 DGEDMN CC(C)[C@H]1CN(C)CCN1C(=O)Nc1ccc(C#N)cc1Cl ZINC000354958076 433377674 /nfs/dbraw/zinc/37/76/74/433377674.db2.gz LESNHHKESHLQPP-OAHLLOKOSA-N 0 1 320.824 3.016 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000375012519 433334290 /nfs/dbraw/zinc/33/42/90/433334290.db2.gz LPWXIXRUDHLYKI-IAGOWNOFSA-N 0 1 314.429 3.205 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000454440041 433427268 /nfs/dbraw/zinc/42/72/68/433427268.db2.gz SEEJWDOIHHVVFB-HNNXBMFYSA-N 0 1 319.449 3.236 20 30 DGEDMN C[C@H](NCc1ccc(C#N)c(F)c1)c1ccc([S@@](C)=O)cc1 ZINC000361862985 433429553 /nfs/dbraw/zinc/42/95/53/433429553.db2.gz SHMYPWXXHKNUDW-AMXDTQDGSA-N 0 1 316.401 3.286 20 30 DGEDMN CCC[C@@H]1CN(C(=O)CCCC#N)CCN1Cc1ccccc1 ZINC000291912775 433390445 /nfs/dbraw/zinc/39/04/45/433390445.db2.gz MMEJXNRLWKYTJD-GOSISDBHSA-N 0 1 313.445 3.193 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)C[C@@H]1Cc1ccccc1 ZINC000341983927 433396699 /nfs/dbraw/zinc/39/66/99/433396699.db2.gz DCNFBQURCHXHQU-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN C#Cc1cccc(NC(=O)N2CCC(c3cn[nH]c3C)CC2)c1 ZINC000375245057 433399320 /nfs/dbraw/zinc/39/93/20/433399320.db2.gz NJFKKBWUNHRQBB-UHFFFAOYSA-N 0 1 308.385 3.111 20 30 DGEDMN CC(C)(C#N)CCCN1CCNC(=O)[C@H]1CC1CCCCC1 ZINC000421044267 433407635 /nfs/dbraw/zinc/40/76/35/433407635.db2.gz SIQUOLXSJCMKKQ-MRXNPFEDSA-N 0 1 305.466 3.087 20 30 DGEDMN N#Cc1cnc(N[C@H]2CCN(Cc3ccccc3)C2)c(Cl)c1 ZINC000339234804 433459173 /nfs/dbraw/zinc/45/91/73/433459173.db2.gz FJCHEGXTQJUAFV-HNNXBMFYSA-N 0 1 312.804 3.293 20 30 DGEDMN CC(C)c1ccc(CN2CCN(c3cccc(C#N)n3)CC2)cc1 ZINC000301281896 433485063 /nfs/dbraw/zinc/48/50/63/433485063.db2.gz OOGNZXONVQJNRV-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN CC(=O)N1CC[C@@H](NCc2ccc(C#N)c(F)c2)c2ccccc21 ZINC000421344943 433489143 /nfs/dbraw/zinc/48/91/43/433489143.db2.gz VKRMEKCFEVVFDE-GOSISDBHSA-N 0 1 323.371 3.285 20 30 DGEDMN Cc1c(CN2CCSCC2)cccc1NC(=O)CCCC#N ZINC000292429053 433563298 /nfs/dbraw/zinc/56/32/98/433563298.db2.gz USTHLGJPQIZCSR-UHFFFAOYSA-N 0 1 317.458 3.176 20 30 DGEDMN C=CCc1cc(CNCc2c(C)onc2CC)cc(OC)c1O ZINC000293002879 433609781 /nfs/dbraw/zinc/60/97/81/433609781.db2.gz PAAZAHAOGWSUGL-UHFFFAOYSA-N 0 1 316.401 3.278 20 30 DGEDMN C=CCC(C)(C)CNCc1csc(N(C(C)=O)C2CC2)n1 ZINC000293009361 433609955 /nfs/dbraw/zinc/60/99/55/433609955.db2.gz PPGXXSSXGJEWJX-UHFFFAOYSA-N 0 1 307.463 3.350 20 30 DGEDMN C=CCOc1ccc(CNc2cc3c(cn2)CCC3)cc1OC ZINC000339554408 433652320 /nfs/dbraw/zinc/65/23/20/433652320.db2.gz KUZAREZBSJRLGH-UHFFFAOYSA-N 0 1 310.397 3.756 20 30 DGEDMN C[C@@H]1CN(CCCNc2ccc3cc(C#N)ccc3n2)C[C@H](C)O1 ZINC000301797216 433729487 /nfs/dbraw/zinc/72/94/87/433729487.db2.gz AROPTVDKZVIZDK-GASCZTMLSA-N 0 1 324.428 3.018 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2c3c(cc4c2CCC4)CCC3)cc1O ZINC000427643276 433744733 /nfs/dbraw/zinc/74/47/33/433744733.db2.gz YHQDKLHMBYUQER-UHFFFAOYSA-N 0 1 318.376 3.494 20 30 DGEDMN CC(C)N1CCN(c2nc3c(cc2C#N)CCCC3(C)C)CC1 ZINC000428101526 433751339 /nfs/dbraw/zinc/75/13/39/433751339.db2.gz SXKHWFDMTCDHDY-UHFFFAOYSA-N 0 1 312.461 3.098 20 30 DGEDMN CC(C)C[C@@H](N)c1noc([C@H](C)Oc2ccccc2C#N)n1 ZINC000414622720 433753587 /nfs/dbraw/zinc/75/35/87/433753587.db2.gz SQIHDIYGSIZVIV-WCQYABFASA-N 0 1 300.362 3.127 20 30 DGEDMN C=C(C)[C@@H](Nc1ncnc2[nH]cnc21)c1ccc(F)c(F)c1 ZINC000302421855 433823854 /nfs/dbraw/zinc/82/38/54/433823854.db2.gz OIWVYMXVHNWXFA-GFCCVEGCSA-N 0 1 301.300 3.360 20 30 DGEDMN CC[C@@H](C)[N@@H+](CC(=O)[O-])Cc1cccc(OCCCC#N)c1 ZINC000414674510 433785091 /nfs/dbraw/zinc/78/50/91/433785091.db2.gz FDOLTHALMFCAAX-CQSZACIVSA-N 0 1 304.390 3.054 20 30 DGEDMN COCCc1nc(C)c([C@@H](C)NCc2ccc(C#N)s2)s1 ZINC000421872953 433785881 /nfs/dbraw/zinc/78/58/81/433785881.db2.gz HBXDFIOTAKNJLL-SNVBAGLBSA-N 0 1 321.471 3.424 20 30 DGEDMN N#CCc1cccc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)c1 ZINC000456720494 433791687 /nfs/dbraw/zinc/79/16/87/433791687.db2.gz AVDQIRNZVNAUEU-IBGZPJMESA-N 0 1 319.408 3.356 20 30 DGEDMN C=C(C)[C@H](Nc1nnc(C(F)F)o1)c1ccc(F)c(F)c1 ZINC000432140958 433819713 /nfs/dbraw/zinc/81/97/13/433819713.db2.gz BURMTFKIOKKOHI-JTQLQIEISA-N 0 1 301.243 4.015 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000362827250 433875071 /nfs/dbraw/zinc/87/50/71/433875071.db2.gz QLPHKKVJOCNGRC-GWCFXTLKSA-N 0 1 321.327 3.420 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CC[C@H](C(C)(C)C)C2)c(C#N)c1C ZINC000344183463 433853575 /nfs/dbraw/zinc/85/35/75/433853575.db2.gz ARAKUYFXGJJQOD-OCCSQVGLSA-N 0 1 317.433 3.463 20 30 DGEDMN CCOc1ccc(C#N)cc1NS(=O)(=O)CCC(C)(C)C ZINC000357107756 433864058 /nfs/dbraw/zinc/86/40/58/433864058.db2.gz SZPPRCCRDORLLS-UHFFFAOYSA-N 0 1 310.419 3.135 20 30 DGEDMN CCC[C@]1(NCc2ccc(C#N)cc2Br)CCOC1 ZINC000285395316 433934632 /nfs/dbraw/zinc/93/46/32/433934632.db2.gz CEKSQXORGAPDLE-HNNXBMFYSA-N 0 1 323.234 3.370 20 30 DGEDMN N#CC1(NC(=O)c2cc(Cl)c3ccccc3c2O)CCC1 ZINC000436547612 434003556 /nfs/dbraw/zinc/00/35/56/434003556.db2.gz OIMAOMUGEKZMRM-UHFFFAOYSA-N 0 1 300.745 3.375 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC(O)(c3ccccc3Cl)CC2)C1 ZINC000294202572 434038957 /nfs/dbraw/zinc/03/89/57/434038957.db2.gz RMHFVAHVZUHSLA-ZIAGYGMSSA-N 0 1 304.821 3.316 20 30 DGEDMN COc1cc2c(cc1CN[C@@H](C)c1ccc(C#N)cc1)OCO2 ZINC000353001277 434064691 /nfs/dbraw/zinc/06/46/91/434064691.db2.gz HLJQAFRGDWGXCH-LBPRGKRZSA-N 0 1 310.353 3.146 20 30 DGEDMN CCN(CC)[C@H](C(=O)N(C)CC(C)(C)C#N)c1ccccc1 ZINC000353286046 434073235 /nfs/dbraw/zinc/07/32/35/434073235.db2.gz CZTOMQUFPXPECA-INIZCTEOSA-N 0 1 301.434 3.078 20 30 DGEDMN COc1ccc(CN[C@@H]2CCOc3c(OC)cccc32)cc1C#N ZINC000363570262 434087514 /nfs/dbraw/zinc/08/75/14/434087514.db2.gz XLGPWXCWRPLMTM-MRXNPFEDSA-N 0 1 324.380 3.189 20 30 DGEDMN Cc1ccc(-c2cc(NC(=O)Nc3cc(C#N)ccc3C)[nH]n2)o1 ZINC000340380756 434098270 /nfs/dbraw/zinc/09/82/70/434098270.db2.gz SSCABSHRVQRAKZ-UHFFFAOYSA-N 0 1 321.340 3.802 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)Cc2ccc(C#N)cc2)c1 ZINC000436660778 434053495 /nfs/dbraw/zinc/05/34/95/434053495.db2.gz WAPGBMTYZIBOND-UHFFFAOYSA-N 0 1 308.337 3.038 20 30 DGEDMN CN(CCOc1ccccc1C#N)Cc1cc(F)cc(F)c1 ZINC000353523332 434196566 /nfs/dbraw/zinc/19/65/66/434196566.db2.gz AQLDZBCRSNURMT-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2ccc([N+](=O)[O-])c(C#N)c2)C1 ZINC000364044276 434217938 /nfs/dbraw/zinc/21/79/38/434217938.db2.gz UULWGYGRLNEVGC-INIZCTEOSA-N 0 1 308.341 3.065 20 30 DGEDMN CC#CCCCC(=O)NC1CCN(Cc2ccsc2)CC1 ZINC000364083875 434229735 /nfs/dbraw/zinc/22/97/35/434229735.db2.gz ULDOXHRCCUJSKT-UHFFFAOYSA-N 0 1 304.459 3.022 20 30 DGEDMN N#Cc1cc(C[N@H+]2CC[C@@H](n3ncc4ccccc43)C2)ccc1F ZINC000364693200 434281845 /nfs/dbraw/zinc/28/18/45/434281845.db2.gz GJKNLVLNUYWSQR-QGZVFWFLSA-N 0 1 320.371 3.494 20 30 DGEDMN N#Cc1cc(CN2CC[C@@H](n3ncc4ccccc43)C2)ccc1F ZINC000364693200 434281856 /nfs/dbraw/zinc/28/18/56/434281856.db2.gz GJKNLVLNUYWSQR-QGZVFWFLSA-N 0 1 320.371 3.494 20 30 DGEDMN CN(CCc1ccccn1)Cc1ccc(C#N)cc1OC(F)F ZINC000348295344 434289787 /nfs/dbraw/zinc/28/97/87/434289787.db2.gz OUAPGPXZBTXLLY-UHFFFAOYSA-N 0 1 317.339 3.229 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)c1cnc([C@@H]2CCCO2)s1 ZINC000328812152 434314429 /nfs/dbraw/zinc/31/44/29/434314429.db2.gz BHPIMKSMWBZYFI-MNOVXSKESA-N 0 1 318.402 3.100 20 30 DGEDMN COc1ccccc1NC(=O)CN1CC[C@H](C2CCOCC2)C1 ZINC000328819377 434318057 /nfs/dbraw/zinc/31/80/57/434318057.db2.gz HHWQDWWFNLHEKO-HNNXBMFYSA-N 0 1 318.417 3.032 20 30 DGEDMN CC1=CCN(C([O-])=[NH+][C@@H]2CCc3nc(C(C)(C)C)cn3C2)CC1 ZINC000328872725 434320504 /nfs/dbraw/zinc/32/05/04/434320504.db2.gz QQLSFECPLGFCIF-CQSZACIVSA-N 0 1 316.449 3.061 20 30 DGEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)c1csc2c1CCCC2 ZINC000328934454 434331313 /nfs/dbraw/zinc/33/13/13/434331313.db2.gz QAXQPSVUQDGSTP-JTQLQIEISA-N 0 1 301.415 3.212 20 30 DGEDMN CC(C)(CNC([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1)C1CCCCC1 ZINC000328976250 434336611 /nfs/dbraw/zinc/33/66/11/434336611.db2.gz QDZAEQRLCDHCQU-OAHLLOKOSA-N 0 1 318.465 3.377 20 30 DGEDMN CC(C)CC[C@@H]1CCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329621639 434443368 /nfs/dbraw/zinc/44/33/68/434443368.db2.gz RPGHVCJLQJJPOZ-KGLIPLIRSA-N 0 1 304.438 3.082 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCCC[C@@H]1c1ccccc1 ZINC000329455636 434413280 /nfs/dbraw/zinc/41/32/80/434413280.db2.gz PVQLLXZIMUMTEE-IAGOWNOFSA-N 0 1 324.428 3.339 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CC[C@H](n2cccn2)C1 ZINC000329633715 434448612 /nfs/dbraw/zinc/44/86/12/434448612.db2.gz SQIGJHYXUKLWLQ-UGUYLWEFSA-N 0 1 304.438 3.054 20 30 DGEDMN C[C@]1(NC(=O)N[C@H]2CCc3nc[nH]c3C2)CCCc2ccccc21 ZINC000329595942 434439891 /nfs/dbraw/zinc/43/98/91/434439891.db2.gz IJTWPNQNBDAJKM-LIRRHRJNSA-N 0 1 324.428 3.022 20 30 DGEDMN CC[C@@H]1Cc2ccccc2CN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329744070 434471310 /nfs/dbraw/zinc/47/13/10/434471310.db2.gz QMMLIRPIZBUXPU-HZPDHXFCSA-N 0 1 324.428 3.018 20 30 DGEDMN Cc1nc(CCNC([O-])=[NH+][C@@H]2CC[C@@H]3CCC[C@H]3C2)cs1 ZINC000329805456 434483328 /nfs/dbraw/zinc/48/33/28/434483328.db2.gz KUAHXLGVEIUUPT-MELADBBJSA-N 0 1 307.463 3.466 20 30 DGEDMN O=C(NCCC1=CCCCCC1)c1cccn2c(O)nnc12 ZINC000329874640 434500415 /nfs/dbraw/zinc/50/04/15/434500415.db2.gz FVTLOMHRCOFNSN-UHFFFAOYSA-N 0 1 300.362 3.020 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)c1F)c1ccc([S@@](C)=O)cc1 ZINC000360210354 434547327 /nfs/dbraw/zinc/54/73/27/434547327.db2.gz XHTGNWNJWWJVCC-VERVWZFWSA-N 0 1 316.401 3.286 20 30 DGEDMN C=C[C@H](C)NCc1ccc(F)cc1I ZINC000417926352 434550383 /nfs/dbraw/zinc/55/03/83/434550383.db2.gz DJHPFNYQVRMIKH-QMMMGPOBSA-N 0 1 305.134 3.094 20 30 DGEDMN C#Cc1ccc(CN2CCCN(C(=O)c3ccccc3)CC2)cc1 ZINC000368014713 434563882 /nfs/dbraw/zinc/56/38/82/434563882.db2.gz GWDKZCXAFCAONV-UHFFFAOYSA-N 0 1 318.420 3.016 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1ccc(C#N)cc1Br ZINC000451685235 434574963 /nfs/dbraw/zinc/57/49/63/434574963.db2.gz WVBJDBYIKLFIQR-CABCVRRESA-N 0 1 321.218 3.144 20 30 DGEDMN N#CCc1ccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)cc1 ZINC000368827226 434585811 /nfs/dbraw/zinc/58/58/11/434585811.db2.gz VGWDLXPWNFMPMF-UHFFFAOYSA-N 0 1 317.392 3.483 20 30 DGEDMN Cc1cccc2nc(CNC(=O)[C@@H](C)c3cccc(C#N)c3)[nH]c21 ZINC000360392878 434594419 /nfs/dbraw/zinc/59/44/19/434594419.db2.gz DVSCPBNCDNHHEW-ZDUSSCGKSA-N 0 1 318.380 3.163 20 30 DGEDMN O=C(NC1C2CC3CC(C2)CC1C3)N[C@H]1CCCc2cn[nH]c21 ZINC000330142068 295386830 /nfs/dbraw/zinc/38/68/30/295386830.db2.gz YALMRZLWECHVSR-SCEVWBLRSA-N 0 1 314.433 3.115 20 30 DGEDMN C=CCN1CC[C@@H](N2CC3(CCCC3)[C@H]2c2ccccc2)C1=O ZINC000459577835 434615953 /nfs/dbraw/zinc/61/59/53/434615953.db2.gz MDGSEIPEBHVFFU-QZTJIDSGSA-N 0 1 310.441 3.391 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(c2ccccc2)c2ccccc2)C1=O ZINC000410312716 434638508 /nfs/dbraw/zinc/63/85/08/434638508.db2.gz FPLJLNOGOQFDOI-IBGZPJMESA-N 0 1 320.436 3.495 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCc2[nH]c(C(C)C)nc2C1)C1CCCC1 ZINC000369635528 434598736 /nfs/dbraw/zinc/59/87/36/434598736.db2.gz DGAQMCMVGPSNSO-CQSZACIVSA-N 0 1 313.445 3.042 20 30 DGEDMN CC(C)c1cc(C(=O)N2CCC[C@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000369817933 434600897 /nfs/dbraw/zinc/60/08/97/434600897.db2.gz RRACTIIZRLSRGT-YJBOKZPZSA-N 0 1 322.412 3.445 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC000439442291 434602859 /nfs/dbraw/zinc/60/28/59/434602859.db2.gz LSARPCHPDIHRMU-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN Cc1cc(O)c(CN2CCO[C@H](c3cccc(C#N)c3)C2)cc1C ZINC000371285196 434662392 /nfs/dbraw/zinc/66/23/92/434662392.db2.gz YDTFNIVVIHQYQQ-FQEVSTJZSA-N 0 1 322.408 3.454 20 30 DGEDMN C=CCn1cc(CN[C@H](CSc2ccccc2)C(C)C)nn1 ZINC000424292055 434680361 /nfs/dbraw/zinc/68/03/61/434680361.db2.gz FYYKYLRJWSXVNC-QGZVFWFLSA-N 0 1 316.474 3.371 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC[C@@H](O)[C@H](C3CCCC3)C2)c1 ZINC000459767544 434710325 /nfs/dbraw/zinc/71/03/25/434710325.db2.gz AJICHCASPUXVQX-FUHWJXTLSA-N 0 1 302.393 3.070 20 30 DGEDMN Cc1ccnc(N2CCN(CCC3(C#N)CCCCC3)CC2)c1 ZINC000411320651 434762097 /nfs/dbraw/zinc/76/20/97/434762097.db2.gz GUYCZQHODQYICE-UHFFFAOYSA-N 0 1 312.461 3.376 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2Br)[C@H](C)C1 ZINC000441340743 434765552 /nfs/dbraw/zinc/76/55/52/434765552.db2.gz LCYIOXSUBDUYNL-BXUZGUMPSA-N 0 1 323.234 3.320 20 30 DGEDMN Cc1cc(CN[C@H]2C[C@@H](C)N(c3ccccc3)C2=O)ccc1C#N ZINC000444002253 434782435 /nfs/dbraw/zinc/78/24/35/434782435.db2.gz NCUZDJXZJFGGCB-BEFAXECRSA-N 0 1 319.408 3.150 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)[C@H](C)c1n[nH]c(C)n1 ZINC000411721300 434819924 /nfs/dbraw/zinc/81/99/24/434819924.db2.gz SFTOWTABDSJIGT-CYBMUJFWSA-N 0 1 302.426 3.030 20 30 DGEDMN CC(C)c1cc(N[C@@H](C)c2ccc(C#N)cc2)nc(N(C)C)n1 ZINC000462089830 434901552 /nfs/dbraw/zinc/90/15/52/434901552.db2.gz IWLUPAHNLBQXDL-ZDUSSCGKSA-N 0 1 309.417 3.711 20 30 DGEDMN COC(=O)c1cccc(CN(C)C2CCC(F)(F)CC2)c1C#N ZINC000553990976 434909434 /nfs/dbraw/zinc/90/94/34/434909434.db2.gz KZUXGEWFXZJUGX-UHFFFAOYSA-N 0 1 322.355 3.355 20 30 DGEDMN C#C[C@H](CC)NCc1ccc(OC)cc1OCc1cccnc1 ZINC000491808133 434865801 /nfs/dbraw/zinc/86/58/01/434865801.db2.gz VJQIBBYGRREPFA-QGZVFWFLSA-N 0 1 310.397 3.171 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C=Cc3ccc(C#N)cc3)ccc12 ZINC000492317796 434959249 /nfs/dbraw/zinc/95/92/49/434959249.db2.gz GQWRLYNGEFMPCF-RMKNXTFCSA-N 0 1 302.337 3.395 20 30 DGEDMN C[C@H](CN(C)[C@@H](C(N)=O)c1ccc(C#N)cc1)C1CCCCC1 ZINC000528773650 435029321 /nfs/dbraw/zinc/02/93/21/435029321.db2.gz MSEUMPCVHMXJLL-RDTXWAMCSA-N 0 1 313.445 3.233 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3[C@@H](C)[C@@H](C)O[C@H]3C)[nH]c21 ZINC000528952439 435031287 /nfs/dbraw/zinc/03/12/87/435031287.db2.gz AHEAWYOKJGRJRQ-ARUSPNSKSA-N 0 1 311.385 3.107 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1C2CCC1CC2 ZINC000524486016 434979449 /nfs/dbraw/zinc/97/94/49/434979449.db2.gz ZDIGNQRQGBGWRJ-IGEOTXOUSA-N 0 1 315.392 3.088 20 30 DGEDMN N#C[C@H](C(=O)c1ccc(Oc2ccccc2)cn1)c1ccncn1 ZINC000555945896 434990409 /nfs/dbraw/zinc/99/04/09/434990409.db2.gz WLNCNZOGFSTXTN-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1ccc(Oc2ccccc2)cn1)c1ccncn1 ZINC000555945896 434990414 /nfs/dbraw/zinc/99/04/14/434990414.db2.gz WLNCNZOGFSTXTN-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN CCOC(=O)[C@@]1(C(C)C)CCN(Cc2cccc(C#N)c2F)C1 ZINC000556067414 434993541 /nfs/dbraw/zinc/99/35/41/434993541.db2.gz QHGRZGLSPOOFQF-SFHVURJKSA-N 0 1 318.392 3.109 20 30 DGEDMN CC(C)(CC#N)CNC(=O)c1cccc(C(F)(F)F)c1O ZINC000574768736 435003208 /nfs/dbraw/zinc/00/32/08/435003208.db2.gz MRSCUUSILMREHB-UHFFFAOYSA-N 0 1 300.280 3.081 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=Cc1ccc(C#N)cc1 ZINC000492528883 435003947 /nfs/dbraw/zinc/00/39/47/435003947.db2.gz GAFQTUWMWWQCGZ-VOTSOKGWSA-N 0 1 302.284 3.179 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCOCC2CCCC2)CC1 ZINC000574902813 435005403 /nfs/dbraw/zinc/00/54/03/435005403.db2.gz QXKOPJXADBGOPJ-UHFFFAOYSA-N 0 1 313.445 3.146 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2cccnc2)s1)c1ccccn1 ZINC000556504515 435010531 /nfs/dbraw/zinc/01/05/31/435010531.db2.gz KQWUGIHBUMCEKH-LBPRGKRZSA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(-c2cccnc2)s1)c1ccccn1 ZINC000556504515 435010536 /nfs/dbraw/zinc/01/05/36/435010536.db2.gz KQWUGIHBUMCEKH-LBPRGKRZSA-N 0 1 306.350 3.090 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)CN1CCC(C)(C)C1 ZINC000557564377 435058044 /nfs/dbraw/zinc/05/80/44/435058044.db2.gz OPVUZXOYKHMXGJ-UHFFFAOYSA-N 0 1 304.409 3.072 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000558631183 435102139 /nfs/dbraw/zinc/10/21/39/435102139.db2.gz IIRJHHACBPHJIW-UHFFFAOYSA-N 0 1 316.380 3.306 20 30 DGEDMN C[C@@H](C#N)CN(C[C@H]1CCCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000494454868 435196342 /nfs/dbraw/zinc/19/63/42/435196342.db2.gz QJSOXXWTXDAQHL-GJZGRUSLSA-N 0 1 321.465 3.258 20 30 DGEDMN Cn1cc(CN2CCC(OCC3CCCCC3)CC2)cc1C#N ZINC000579662769 435171712 /nfs/dbraw/zinc/17/17/12/435171712.db2.gz ACDFFKWGHQQQOT-UHFFFAOYSA-N 0 1 315.461 3.458 20 30 DGEDMN C[C@@H](C#N)CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495986352 435207218 /nfs/dbraw/zinc/20/72/18/435207218.db2.gz ZHVLMSUNBXSLIX-LSDHHAIUSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@@](C#N)(NC(=O)c1[nH]nc2c1CCCCC2)C1CCCCC1 ZINC000562306925 435256921 /nfs/dbraw/zinc/25/69/21/435256921.db2.gz LNHMMZLAUISGHH-SFHVURJKSA-N 0 1 314.433 3.271 20 30 DGEDMN CC[C@@H](C(=O)N1CCC(CC#N)CC1)N(C)Cc1ccccc1 ZINC000564515443 435272570 /nfs/dbraw/zinc/27/25/70/435272570.db2.gz BEPUZLAAGNFGQV-SFHVURJKSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@H](C(=O)N1CCC(CC#N)CC1)N(C)Cc1ccccc1 ZINC000564515433 435272986 /nfs/dbraw/zinc/27/29/86/435272986.db2.gz BEPUZLAAGNFGQV-GOSISDBHSA-N 0 1 313.445 3.049 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](CCNC(=O)OC(C)(C)C)C1 ZINC000496973845 435278908 /nfs/dbraw/zinc/27/89/08/435278908.db2.gz KJKQPDJCVJNMBL-CYBMUJFWSA-N 0 1 302.846 3.366 20 30 DGEDMN C=CCCC[N@H+](C)Cc1coc(-c2ccc(C(=O)[O-])cc2)n1 ZINC000565426762 435279843 /nfs/dbraw/zinc/27/98/43/435279843.db2.gz MYRMJAANLUSYIM-UHFFFAOYSA-N 0 1 300.358 3.438 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H](CC(F)(F)F)C1 ZINC000643253832 435285412 /nfs/dbraw/zinc/28/54/12/435285412.db2.gz BIDMUMVPYNNHQF-ZDUSSCGKSA-N 0 1 306.372 3.075 20 30 DGEDMN C=C(C)CN(C)CC(=O)NCC1(c2ccc(F)cc2)CCCC1 ZINC000481211298 435415315 /nfs/dbraw/zinc/41/53/15/435415315.db2.gz NLHFBXUREDZXNZ-UHFFFAOYSA-N 0 1 318.436 3.262 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@H](c1cccs1)c1ccccc1 ZINC000481256300 435417471 /nfs/dbraw/zinc/41/74/71/435417471.db2.gz MFGOYDURFVYHQP-SFHVURJKSA-N 0 1 314.454 3.462 20 30 DGEDMN C=C(C)CN(C)CC(=O)NC(c1ccccc1)c1ccccc1 ZINC000481249981 435418028 /nfs/dbraw/zinc/41/80/28/435418028.db2.gz BPXVDKJTBYKZPG-UHFFFAOYSA-N 0 1 308.425 3.400 20 30 DGEDMN CCn1nc(C)cc1C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000643778752 435371022 /nfs/dbraw/zinc/37/10/22/435371022.db2.gz GARBZDUAQVKRLV-NSHDSACASA-N 0 1 322.290 3.115 20 30 DGEDMN C=CC[N@@H+](CCc1ccccc1)Cc1nc(C(=O)[O-])cs1 ZINC000568062535 435387510 /nfs/dbraw/zinc/38/75/10/435387510.db2.gz GRZOQIGPEDLZSW-UHFFFAOYSA-N 0 1 302.399 3.072 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)Cc1nc(C(=O)[O-])cs1 ZINC000568062535 435387513 /nfs/dbraw/zinc/38/75/13/435387513.db2.gz GRZOQIGPEDLZSW-UHFFFAOYSA-N 0 1 302.399 3.072 20 30 DGEDMN Cc1nc(NC(=O)C(C#N)c2nc(C(C)C)cs2)oc1C ZINC000536622405 435395567 /nfs/dbraw/zinc/39/55/67/435395567.db2.gz RFLVVVXUXMAYMQ-SNVBAGLBSA-N 0 1 304.375 3.117 20 30 DGEDMN Cn1cncc1CCCN1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000514869942 435445566 /nfs/dbraw/zinc/44/55/66/435445566.db2.gz DWNYDULDKLYHKF-RTBURBONSA-N 0 1 308.429 3.124 20 30 DGEDMN C=C(C)[C@@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000568409689 435433863 /nfs/dbraw/zinc/43/38/63/435433863.db2.gz ONCQXAKCLOWUJI-MEDUHNTESA-N 0 1 319.355 3.300 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@H](C)c2ccc(CC)cc2)CC1 ZINC000568898941 435483134 /nfs/dbraw/zinc/48/31/34/435483134.db2.gz LAPMOEDJPKIDOQ-OAHLLOKOSA-N 0 1 300.446 3.324 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cc(C#N)cs1 ZINC000568917772 435484127 /nfs/dbraw/zinc/48/41/27/435484127.db2.gz GSJPAOYKQGLQCR-UHFFFAOYSA-N 0 1 311.410 3.140 20 30 DGEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C#N)c1ccc(C(C)(C)C)cc1 ZINC000516935750 435561784 /nfs/dbraw/zinc/56/17/84/435561784.db2.gz ILHUDDBYMLQRQA-DYVFJYSZSA-N 0 1 324.428 3.267 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2nc(-c3cc4ccccc4o3)n[nH]2)C1 ZINC000569990078 435580226 /nfs/dbraw/zinc/58/02/26/435580226.db2.gz AXEMMYQUXHLJTL-UHFFFAOYSA-N 0 1 321.340 3.096 20 30 DGEDMN C=C(CC)C[N@H+]1CCCC[C@H]1C(=O)Nc1ccc2c(c1)CCO2 ZINC000540214313 435593915 /nfs/dbraw/zinc/59/39/15/435593915.db2.gz ZHWYCGPRXFGFTB-KRWDZBQOSA-N 0 1 314.429 3.381 20 30 DGEDMN C=C(CC)CN1CCCC[C@H]1C(=O)Nc1ccc2c(c1)CCO2 ZINC000540214313 435593917 /nfs/dbraw/zinc/59/39/17/435593917.db2.gz ZHWYCGPRXFGFTB-KRWDZBQOSA-N 0 1 314.429 3.381 20 30 DGEDMN CC(C)[C@H](NC(=O)CN1CCC(CC#N)CC1)c1ccccc1 ZINC000541952578 435646175 /nfs/dbraw/zinc/64/61/75/435646175.db2.gz RRGNDYGUIUOPQV-IBGZPJMESA-N 0 1 313.445 3.126 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(C)C[C@H]1CC ZINC000571020527 435670053 /nfs/dbraw/zinc/67/00/53/435670053.db2.gz GCMJTRXYQHTPST-MRXNPFEDSA-N 0 1 301.434 3.363 20 30 DGEDMN C=CCNC(=O)CN(Cc1cccc(C(F)(F)F)c1)C(C)C ZINC000520172492 435725918 /nfs/dbraw/zinc/72/59/18/435725918.db2.gz KJQZEPGRBMNWNR-UHFFFAOYSA-N 0 1 314.351 3.218 20 30 DGEDMN C=CCN1CCC(Nc2nc(-c3ccccc3F)cs2)CC1 ZINC000549121499 435740484 /nfs/dbraw/zinc/74/04/84/435740484.db2.gz CCHBQKPDVBVARR-UHFFFAOYSA-N 0 1 317.433 4.012 20 30 DGEDMN CC[C@](C)(O)CN(Cc1ccccc1)Cc1ccc(C#N)cn1 ZINC000571533124 435714785 /nfs/dbraw/zinc/71/47/85/435714785.db2.gz FGPJDJRIATYBRS-IBGZPJMESA-N 0 1 309.413 3.116 20 30 DGEDMN C#C[C@H](NC(=O)c1sccc1CN(C)C)c1ccc(F)cc1 ZINC000491243414 435720252 /nfs/dbraw/zinc/72/02/52/435720252.db2.gz WYRRUZXGTHIVLO-HNNXBMFYSA-N 0 1 316.401 3.053 20 30 DGEDMN C=C(C)CN(CCO[C@@H]1CC1(F)F)Cc1cnn(C(C)C)c1 ZINC000660537781 435794234 /nfs/dbraw/zinc/79/42/34/435794234.db2.gz DERSOSDOTOPBFG-OAHLLOKOSA-N 0 1 313.392 3.266 20 30 DGEDMN C=CCC[C@@H](NCc1n[nH]c([C@@H]2CCCO2)n1)c1ccco1 ZINC000655794919 435802973 /nfs/dbraw/zinc/80/29/73/435802973.db2.gz VEUKPNVOMKABSZ-OCCSQVGLSA-N 0 1 302.378 3.046 20 30 DGEDMN C=C(C)C[N@H+](C)[C@H](C(=O)[O-])c1ccc(Br)cc1F ZINC000652430520 435977632 /nfs/dbraw/zinc/97/76/32/435977632.db2.gz JOIXCNSJZGWTTJ-LBPRGKRZSA-N 0 1 316.170 3.222 20 30 DGEDMN COCC#CCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000656678348 436003712 /nfs/dbraw/zinc/00/37/12/436003712.db2.gz DQEFALCOHCRATP-MRXNPFEDSA-N 0 1 317.820 3.393 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)NCc1ccc2cncn2c1 ZINC000661305752 436026213 /nfs/dbraw/zinc/02/62/13/436026213.db2.gz YJDNKAQLSUQDCY-WBVHZDCISA-N 0 1 312.417 3.268 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccccc2OC)C1 ZINC000661377480 436048629 /nfs/dbraw/zinc/04/86/29/436048629.db2.gz OFWLJCCXNBVJRV-CABCVRRESA-N 0 1 302.418 3.310 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](CC)Oc2ccccc2C)nn1 ZINC000657388881 436174323 /nfs/dbraw/zinc/17/43/23/436174323.db2.gz HDEHIVPMXUJQFN-QGZVFWFLSA-N 0 1 314.433 3.110 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](c3ccc(C)cc3C)C2)nn1 ZINC000653651166 436234552 /nfs/dbraw/zinc/23/45/52/436234552.db2.gz ZTARVURULDCOQV-QGZVFWFLSA-N 0 1 310.445 3.461 20 30 DGEDMN C=CCCn1cc(CNC2(C(C)(C)c3ccccc3)CC2)nn1 ZINC000657485792 436223650 /nfs/dbraw/zinc/22/36/50/436223650.db2.gz RWMMIPIRTAUVTO-UHFFFAOYSA-N 0 1 310.445 3.454 20 30 DGEDMN C=CCC1(NCc2csc(N(CC)C(C)=O)n2)CCCC1 ZINC000657703127 436284547 /nfs/dbraw/zinc/28/45/47/436284547.db2.gz UMOJRQYHNIKIJH-UHFFFAOYSA-N 0 1 307.463 3.494 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N[C@@H](C)c1ccccc1OC ZINC000662079099 436265963 /nfs/dbraw/zinc/26/59/63/436265963.db2.gz KGFOPXWAQSESLY-HRCADAONSA-N 0 1 314.429 3.055 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc(OC(F)F)cc1 ZINC000662085350 436270773 /nfs/dbraw/zinc/27/07/73/436270773.db2.gz FKMYJHRELWUTIB-OKILXGFUSA-N 0 1 322.355 3.410 20 30 DGEDMN C=CCOCCCN[C@H](C)c1ncc(Br)s1 ZINC000658209184 436400855 /nfs/dbraw/zinc/40/08/55/436400855.db2.gz DQUAUVRUHVRAQD-SECBINFHSA-N 0 1 305.241 3.149 20 30 DGEDMN C=C(C)COc1cc(CNCc2ccnn2CC)ccc1OC ZINC000663348283 436444309 /nfs/dbraw/zinc/44/43/09/436444309.db2.gz QRWSZABJZKINFV-UHFFFAOYSA-N 0 1 315.417 3.156 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000664136899 436562327 /nfs/dbraw/zinc/56/23/27/436562327.db2.gz PDAYIZVPGIBHJG-UHFFFAOYSA-N 0 1 321.440 3.431 20 30 DGEDMN N#Cc1cccc(CN(C[C@H]2CCCO2)[C@@H]2CCSC2)c1F ZINC000340945118 303203464 /nfs/dbraw/zinc/20/34/64/303203464.db2.gz CIDNRZUUXFVBEG-HZPDHXFCSA-N 0 1 320.433 3.184 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1c(O)cccc1F ZINC000132980734 312656561 /nfs/dbraw/zinc/65/65/61/312656561.db2.gz GVKYIILQIYTAGC-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN N#Cc1csc(CNCc2cc(Cl)c3c(c2)OCCO3)c1 ZINC000177683607 312782564 /nfs/dbraw/zinc/78/25/64/312782564.db2.gz FUNPLNHMUCQYES-UHFFFAOYSA-N 0 1 320.801 3.334 20 30 DGEDMN N#Cc1ccc(N[C@H]2CCCC[C@@H]2N2CCOCC2)cc1Cl ZINC000532167037 313524156 /nfs/dbraw/zinc/52/41/56/313524156.db2.gz AOEYNOJDUMFLFY-IRXDYDNUSA-N 0 1 319.836 3.267 20 30 DGEDMN N#Cc1ccc(N[C@@H]2CCCC[C@@H]2N2CCOCC2)cc1Cl ZINC000532167038 313524506 /nfs/dbraw/zinc/52/45/06/313524506.db2.gz AOEYNOJDUMFLFY-SJORKVTESA-N 0 1 319.836 3.267 20 30 DGEDMN Cc1cccc([C@H]2CCN(CC(=O)Nc3cccc(C#N)c3)C2)c1 ZINC000542320836 314069989 /nfs/dbraw/zinc/06/99/89/314069989.db2.gz XDLOBZLPPWLJQV-SFHVURJKSA-N 0 1 319.408 3.295 20 30 DGEDMN N#Cc1ccc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)nc1 ZINC000554366614 314676378 /nfs/dbraw/zinc/67/63/78/314676378.db2.gz OMGSLNNMBKFZHJ-BEFAXECRSA-N 0 1 307.397 3.211 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)NCC1CCCCC1 ZINC000565611133 315274859 /nfs/dbraw/zinc/27/48/59/315274859.db2.gz OYMBHUBATGGICR-LSDHHAIUSA-N 0 1 313.445 3.294 20 30 DGEDMN C[C@H](NCCOC[C@@H]1CCCO1)c1ccc(C#N)cc1Cl ZINC000575738159 316057681 /nfs/dbraw/zinc/05/76/81/316057681.db2.gz YLGCVKSEZLLQLU-JSGCOSHPSA-N 0 1 308.809 3.058 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1cnc2c(C#N)cnn2c1)C1CC1 ZINC000576875278 316176509 /nfs/dbraw/zinc/17/65/09/316176509.db2.gz OPBYZHNOOJKCPK-CQSZACIVSA-N 0 1 317.396 3.327 20 30 DGEDMN C[C@H](N[C@H]1C[C@@H](C)N(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000181995786 323278857 /nfs/dbraw/zinc/27/88/57/323278857.db2.gz RRZXDYFSUKBBFT-VCBZYWHSSA-N 0 1 319.408 3.403 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000182538905 323303770 /nfs/dbraw/zinc/30/37/70/323303770.db2.gz FOFDXTUYYFIQRF-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN COc1cc(CNc2ccc3c(c2)nc(C)n3C)ccc1C#N ZINC000581601296 324134920 /nfs/dbraw/zinc/13/49/20/324134920.db2.gz KLYFUDAUZMLIGR-UHFFFAOYSA-N 0 1 306.369 3.374 20 30 DGEDMN N#Cc1cnc(C[N@H+]2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)nc1 ZINC000582291157 324211922 /nfs/dbraw/zinc/21/19/22/324211922.db2.gz CPLFRHHWQGROIR-KURKYZTESA-N 0 1 304.397 3.116 20 30 DGEDMN N#Cc1cnc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)nc1 ZINC000582291157 324211926 /nfs/dbraw/zinc/21/19/26/324211926.db2.gz CPLFRHHWQGROIR-KURKYZTESA-N 0 1 304.397 3.116 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1C[C@H]1c1cccc(Br)c1 ZINC000584269360 332177945 /nfs/dbraw/zinc/17/79/45/332177945.db2.gz PRIBMQRWWWWXLR-TUKIKUTGSA-N 0 1 318.218 3.338 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1cc(OC)ccc1OC ZINC000150903218 332197921 /nfs/dbraw/zinc/19/79/21/332197921.db2.gz NTHJPKFGAXVMOK-UHFFFAOYSA-N 0 1 320.433 3.321 20 30 DGEDMN CCN(CCC#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000103209030 332235881 /nfs/dbraw/zinc/23/58/81/332235881.db2.gz ODEQFANRGMELNZ-UHFFFAOYSA-N 0 1 302.765 3.106 20 30 DGEDMN N#CCCC1CCN(CC(=O)N2CCC[C@@H]3CCCC[C@H]32)CC1 ZINC000580187167 332992861 /nfs/dbraw/zinc/99/28/61/332992861.db2.gz DTGGNXRZHINXPO-ZWKOTPCHSA-N 0 1 317.477 3.183 20 30 DGEDMN CN(C)[C@H](CNC(=O)C1(C#N)CCCC1)c1ccc(Cl)cc1 ZINC000531305679 333341418 /nfs/dbraw/zinc/34/14/18/333341418.db2.gz FKYHCSCZEYKNEN-OAHLLOKOSA-N 0 1 319.836 3.143 20 30 DGEDMN C=CCCCN1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000522775881 333389103 /nfs/dbraw/zinc/38/91/03/333389103.db2.gz HUKVUNHHJIPVKC-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCN(c3ccc4ccccc4n3)CC2)C1 ZINC000293763023 334202184 /nfs/dbraw/zinc/20/21/84/334202184.db2.gz KCGMBNFDQMEZHP-WBVHZDCISA-N 0 1 306.413 3.049 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000049798353 334357911 /nfs/dbraw/zinc/35/79/11/334357911.db2.gz ZSZZDJDIVDSFRO-MRXNPFEDSA-N 0 1 307.413 3.036 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000303089927 334380138 /nfs/dbraw/zinc/38/01/38/334380138.db2.gz SHIGTFSZGKZKKM-KRWDZBQOSA-N 0 1 313.445 3.097 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000303089927 334380140 /nfs/dbraw/zinc/38/01/40/334380140.db2.gz SHIGTFSZGKZKKM-KRWDZBQOSA-N 0 1 313.445 3.097 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2CC(=O)NC2(C#N)CCC2)c1C ZINC000525893572 336382372 /nfs/dbraw/zinc/38/23/72/336382372.db2.gz DCGBZPQVAUMFSQ-KRWDZBQOSA-N 0 1 311.429 3.003 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@H](c2cccc(F)c2)C1 ZINC000584366999 337223969 /nfs/dbraw/zinc/22/39/69/337223969.db2.gz YGGSYHUBLNTMRZ-RDJZCZTQSA-N 0 1 316.420 3.204 20 30 DGEDMN C=CCCC[N@H+]1CCc2cc(OC)c(OC)cc2[C@@H]1CC(=O)[O-] ZINC000584534990 337357847 /nfs/dbraw/zinc/35/78/47/337357847.db2.gz MVRNAEYTYHYDRF-HNNXBMFYSA-N 0 1 319.401 3.044 20 30 DGEDMN COc1ccccc1-n1nccc1CN1CCC(CCC#N)CC1 ZINC000572484247 338309654 /nfs/dbraw/zinc/30/96/54/338309654.db2.gz IWCYFUNDAZBBTR-UHFFFAOYSA-N 0 1 324.428 3.397 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000503429531 339065159 /nfs/dbraw/zinc/06/51/59/339065159.db2.gz JIOUNHRYDMBULG-KDOFPFPSSA-N 0 1 318.848 3.365 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2ccccc2)CC1 ZINC000509247244 340264493 /nfs/dbraw/zinc/26/44/93/340264493.db2.gz NFHXWAHPSCVWEJ-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN COC[C@@H](CNC[C@H](C#N)CCC#N)c1ccc(Cl)cc1 ZINC000517340518 340468123 /nfs/dbraw/zinc/46/81/23/340468123.db2.gz ZIOXSQJQSPYTSC-DZGCQCFKSA-N 0 1 305.809 3.103 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000065482902 340826207 /nfs/dbraw/zinc/82/62/07/340826207.db2.gz UWQLHQOQHGZIFJ-OAHLLOKOSA-N 0 1 307.438 3.270 20 30 DGEDMN CCOc1c(OC)cc(CN2CCC(CC#N)CC2)cc1OC ZINC000541922435 340989664 /nfs/dbraw/zinc/98/96/64/340989664.db2.gz ZPUTVPUEMLBPPS-UHFFFAOYSA-N 0 1 318.417 3.228 20 30 DGEDMN CN1CCC(C#N)(NC(=O)c2ccc(C3CCCC3)cc2)CC1 ZINC000564258709 341019106 /nfs/dbraw/zinc/01/91/06/341019106.db2.gz QANUZVDIBXTNLS-UHFFFAOYSA-N 0 1 311.429 3.062 20 30 DGEDMN C=C(C)CN(CC)CC(=O)Nc1ccc(N2CCCC2)cc1C ZINC000072817403 341107845 /nfs/dbraw/zinc/10/78/45/341107845.db2.gz OTBFQPVAJDBPAQ-UHFFFAOYSA-N 0 1 315.461 3.432 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](C)c1cc(C)ccc1OC ZINC000073736634 341120556 /nfs/dbraw/zinc/12/05/56/341120556.db2.gz HWPAKBZUJXCQNB-HOTGVXAUSA-N 0 1 318.461 3.467 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000545940628 341147512 /nfs/dbraw/zinc/14/75/12/341147512.db2.gz NOFHPUXMVSEAJE-INIZCTEOSA-N 0 1 306.837 3.253 20 30 DGEDMN COc1ccc(CN2CCC(C#N)(c3ccccn3)CC2)cc1C ZINC000548791397 341275781 /nfs/dbraw/zinc/27/57/81/341275781.db2.gz FCYQQVOMORCJDL-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@H]1CCN(c2ccc(F)c(Cl)c2)C1 ZINC000554191062 341446547 /nfs/dbraw/zinc/44/65/47/341446547.db2.gz CAKXEICWJMWYEV-OLZOCXBDSA-N 0 1 320.799 3.091 20 30 DGEDMN CC[C@H](CC#N)NCCOc1cc(F)cc(Br)c1 ZINC000568182578 341656986 /nfs/dbraw/zinc/65/69/86/341656986.db2.gz UNZNHYWBJDUNIH-GFCCVEGCSA-N 0 1 315.186 3.249 20 30 DGEDMN C[C@H](CCC#N)Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC000340711234 533788675 /nfs/dbraw/zinc/78/86/75/533788675.db2.gz ZGWKPDCMMWWHEM-SNVBAGLBSA-N 0 1 316.386 3.027 20 30 DGEDMN C[C@@H]1CCC[C@H](CC[NH+]=C([O-])N2CCC[C@H](n3cncn3)C2)C1 ZINC000329802324 533834343 /nfs/dbraw/zinc/83/43/43/533834343.db2.gz KGYCSESEHJFLHC-OAGGEKHMSA-N 0 1 319.453 3.045 20 30 DGEDMN Cc1c(F)cccc1NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169255174 533892263 /nfs/dbraw/zinc/89/22/63/533892263.db2.gz FPADZABNAWAXSV-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)Nc2cc(C(N)=O)ccc2CN(C)C)C1 ZINC000331278618 534125882 /nfs/dbraw/zinc/12/58/82/534125882.db2.gz AABWEENVVZTGDU-BXUZGUMPSA-N 0 1 318.421 3.146 20 30 DGEDMN Cc1nc(CN2CCN(CCCCC(C)(C)C#N)CC2)cs1 ZINC000336864337 534358107 /nfs/dbraw/zinc/35/81/07/534358107.db2.gz QXRNXGLSFMEPJX-UHFFFAOYSA-N 0 1 320.506 3.289 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@H]3CCCC[C@@H]3C2)c(C#N)c1C ZINC000177556967 534548155 /nfs/dbraw/zinc/54/81/55/534548155.db2.gz ONMJVMVAOIAXOL-NWANDNLSSA-N 0 1 315.417 3.217 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N[C@H](C)Cc1ccccc1C ZINC000489407520 534557714 /nfs/dbraw/zinc/55/77/14/534557714.db2.gz QCJHTMCZZCUZTM-SJLPKXTDSA-N 0 1 300.446 3.083 20 30 DGEDMN N#CC1(F)CCN(C[C@@H](O)Cc2ccc3ccccc3c2)CC1 ZINC000352448418 534567700 /nfs/dbraw/zinc/56/77/00/534567700.db2.gz HALDVCUSTKPTDP-SFHVURJKSA-N 0 1 312.388 3.071 20 30 DGEDMN N#CCCN(CC1CC1)C(=O)c1cc(C2CCCCC2)[nH]n1 ZINC000129519381 534641549 /nfs/dbraw/zinc/64/15/49/534641549.db2.gz JCGZSLAKWOSEAG-UHFFFAOYSA-N 0 1 300.406 3.223 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(C)[C@H](C)c1ccc(F)cc1 ZINC000489442162 534693946 /nfs/dbraw/zinc/69/39/46/534693946.db2.gz SFNZWCOWICXIHS-PBHICJAKSA-N 0 1 304.409 3.386 20 30 DGEDMN N#CCc1ccc(CN(CCC2CCCCC2)CC(N)=O)cc1 ZINC000343546355 534717431 /nfs/dbraw/zinc/71/74/31/534717431.db2.gz GAIXZHMHRRAETE-UHFFFAOYSA-N 0 1 313.445 3.010 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC(CO)(c3ccccc3)CC2)c1 ZINC000293500897 534784209 /nfs/dbraw/zinc/78/42/09/534784209.db2.gz ZSBORSPWANGUJP-UHFFFAOYSA-N 0 1 324.399 3.223 20 30 DGEDMN N#Cc1cccc(OCCCN2CCN(c3ccccc3)CC2)c1 ZINC000195577832 534811389 /nfs/dbraw/zinc/81/13/89/534811389.db2.gz XCFSTSHQOJASIP-UHFFFAOYSA-N 0 1 321.424 3.149 20 30 DGEDMN C=CCN(C)[C@H](C)C(=O)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000494627392 534816075 /nfs/dbraw/zinc/81/60/75/534816075.db2.gz YXDWSKLGDVLKBU-LSDHHAIUSA-N 0 1 300.446 3.249 20 30 DGEDMN C=CCOCCN1CC[C@@H](c2cccc(Br)c2)C1 ZINC000451565819 534878976 /nfs/dbraw/zinc/87/89/76/534878976.db2.gz IKQBREXUVRNESY-CQSZACIVSA-N 0 1 310.235 3.441 20 30 DGEDMN C=CCN(CCCN1CCOCC1)Cc1ccc(Cl)s1 ZINC000157411857 526273030 /nfs/dbraw/zinc/27/30/30/526273030.db2.gz HUYPXZGNKMMYBJ-UHFFFAOYSA-N 0 1 314.882 3.112 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1c(CC)nn(C)c1CC ZINC000352935530 526326166 /nfs/dbraw/zinc/32/61/66/526326166.db2.gz ZKNYBRYQTMGNBO-UHFFFAOYSA-N 0 1 301.434 3.369 20 30 DGEDMN C=CCN(Cc1ccc(C)o1)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000353494095 526334514 /nfs/dbraw/zinc/33/45/14/526334514.db2.gz YOCFOVKOHJLVDK-OAHLLOKOSA-N 0 1 323.400 3.313 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1cc(=O)n2c(C)cccc2n1 ZINC000131313600 526356910 /nfs/dbraw/zinc/35/69/10/526356910.db2.gz SROZFFMCSIAIAF-UHFFFAOYSA-N 0 1 319.408 3.191 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](CC)c2ccccc2OC(F)F)C1=O ZINC000337167608 526513973 /nfs/dbraw/zinc/51/39/73/526513973.db2.gz AVGQRNFAEAWIOL-KGLIPLIRSA-N 0 1 324.371 3.116 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000180034860 526574157 /nfs/dbraw/zinc/57/41/57/526574157.db2.gz RKQYAFIEJIQESD-MRXNPFEDSA-N 0 1 324.424 3.218 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H]1CCSc2ccc(Cl)cc21 ZINC000193348225 526636598 /nfs/dbraw/zinc/63/65/98/526636598.db2.gz IZFRXLIDFJVSOP-GWCFXTLKSA-N 0 1 310.850 3.157 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000184037072 526668603 /nfs/dbraw/zinc/66/86/03/526668603.db2.gz NXMGILUZHZRXDQ-UHFFFAOYSA-N 0 1 302.765 3.113 20 30 DGEDMN C#CCC1(C(=O)N2CCC(c3cn[nH]c3C)CC2)CCCCC1 ZINC000375913528 526676228 /nfs/dbraw/zinc/67/62/28/526676228.db2.gz JJQBMKXLRQBSSO-UHFFFAOYSA-N 0 1 313.445 3.398 20 30 DGEDMN C=C(C)Cn1c([C@H](C)CC)nnc1N1CCN(C2CCC2)CC1 ZINC000338861528 526738027 /nfs/dbraw/zinc/73/80/27/526738027.db2.gz BDOXABLDZRBJAG-OAHLLOKOSA-N 0 1 317.481 3.042 20 30 DGEDMN C=CCOCCC[N@H+]1CCC[C@@H]1c1nnc(-c2ccccc2)[n-]1 ZINC000348053410 526754851 /nfs/dbraw/zinc/75/48/51/526754851.db2.gz VEHAHWCERBKUAC-MRXNPFEDSA-N 0 1 312.417 3.201 20 30 DGEDMN C=CCOCCCN1CCN(c2nc3ccccc3s2)CC1 ZINC000348029164 526757524 /nfs/dbraw/zinc/75/75/24/526757524.db2.gz HOLZAZAKRPQIBJ-UHFFFAOYSA-N 0 1 317.458 3.011 20 30 DGEDMN C=CCOCCCN1CCN([C@H](C)c2ccc(F)cc2F)CC1 ZINC000348039149 526757769 /nfs/dbraw/zinc/75/77/69/526757769.db2.gz SNOBLRHZCDMACF-OAHLLOKOSA-N 0 1 324.415 3.236 20 30 DGEDMN C=CCOCCN(CCC)[C@H](C)C(=O)Nc1ccccc1C ZINC000339131731 526769229 /nfs/dbraw/zinc/76/92/29/526769229.db2.gz FJOZDHKRFDQPJU-MRXNPFEDSA-N 0 1 304.434 3.237 20 30 DGEDMN C=CCOCCN(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O ZINC000338926105 526769426 /nfs/dbraw/zinc/76/94/26/526769426.db2.gz PEVYWUQDPIIVTP-GJZGRUSLSA-N 0 1 316.228 3.086 20 30 DGEDMN C=CCOCCN1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC000339006703 526772394 /nfs/dbraw/zinc/77/23/94/526772394.db2.gz ZPZCSESRZTYGHI-OAHLLOKOSA-N 0 1 308.853 3.221 20 30 DGEDMN C=CCOc1ccc(-c2nc([C@@H](N)CC(C)C)no2)cc1OC ZINC000339357069 526830889 /nfs/dbraw/zinc/83/08/89/526830889.db2.gz NWNWYDZQDVQBNW-ZDUSSCGKSA-N 0 1 317.389 3.356 20 30 DGEDMN C=CCOc1ccc(-c2nc([C@H](N)CC(C)C)no2)cc1OC ZINC000339357065 526831038 /nfs/dbraw/zinc/83/10/38/526831038.db2.gz NWNWYDZQDVQBNW-CYBMUJFWSA-N 0 1 317.389 3.356 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2ccc(-c3nnc[nH]3)cc2)c1 ZINC000184871104 526919315 /nfs/dbraw/zinc/91/93/15/526919315.db2.gz SYHWSYSLMGCIDR-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000448730909 526943828 /nfs/dbraw/zinc/94/38/28/526943828.db2.gz BTTKGHSZIWTIMW-UHFFFAOYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CC1CCN(CC(=O)N(C)Cc2c(F)cccc2Cl)CC1 ZINC000348179683 526978870 /nfs/dbraw/zinc/97/88/70/526978870.db2.gz GUXYSJCZYBPJAQ-UHFFFAOYSA-N 0 1 324.827 3.336 20 30 DGEDMN C=CCSCCN[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000180839994 527005961 /nfs/dbraw/zinc/00/59/61/527005961.db2.gz BBDJSQFMNYHAMS-INIZCTEOSA-N 0 1 305.422 3.157 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000352904452 527152641 /nfs/dbraw/zinc/15/26/41/527152641.db2.gz YZQPENNNPZEKQY-HOTGVXAUSA-N 0 1 319.449 3.379 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000342725902 527324017 /nfs/dbraw/zinc/32/40/17/527324017.db2.gz SHIBSLIGVMNEQO-GFCCVEGCSA-N 0 1 310.825 3.492 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1ccc(Br)cc1 ZINC000342754659 527324793 /nfs/dbraw/zinc/32/47/93/527324793.db2.gz AXCLEHQEBSYMAB-NSHDSACASA-N 0 1 311.223 3.284 20 30 DGEDMN C=CCCOCCNCc1c(F)cccc1OC(F)(F)F ZINC000341271883 527366199 /nfs/dbraw/zinc/36/61/99/527366199.db2.gz CCYYNKWGSVAUPA-UHFFFAOYSA-N 0 1 307.287 3.407 20 30 DGEDMN CC(C)(c1nc(C2(N)CCCC2)no1)c1cc(F)cc(C#N)c1 ZINC000414624768 527380353 /nfs/dbraw/zinc/38/03/53/527380353.db2.gz VTPXDCCDIHZXJP-UHFFFAOYSA-N 0 1 314.364 3.134 20 30 DGEDMN C=C[C@@H](CO)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000352483065 527440224 /nfs/dbraw/zinc/44/02/24/527440224.db2.gz XDYXHMOQYKMNMK-NSHDSACASA-N 0 1 300.383 3.193 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C)[C@@H](C)[C@H]1C)c1ccccc1 ZINC000428026032 527462228 /nfs/dbraw/zinc/46/22/28/527462228.db2.gz XILPVPXGNJHFSM-JZXOWHBKSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCC[C@H](O)CNC(C)(C)c1nc(C(F)(F)F)cs1 ZINC000348511830 527494182 /nfs/dbraw/zinc/49/41/82/527494182.db2.gz GQGIIOLXDZKAFT-VIFPVBQESA-N 0 1 308.369 3.314 20 30 DGEDMN CC(=CC=Cc1ccccc1[N+](=O)[O-])c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435339309 527499015 /nfs/dbraw/zinc/49/90/15/527499015.db2.gz RSFMUOIGVHOVSW-IGJCCDITSA-N 0 1 322.324 3.387 20 30 DGEDMN CC(=Cc1cnc(C2CCC2)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435334736 527536060 /nfs/dbraw/zinc/53/60/60/527536060.db2.gz BSVADFXKYXTBKU-RMKNXTFCSA-N 0 1 312.398 3.235 20 30 DGEDMN C=C[C@H](CO)N[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000353566596 527595296 /nfs/dbraw/zinc/59/52/96/527595296.db2.gz MPQFNEXJKFXAMA-BXUZGUMPSA-N 0 1 305.809 3.032 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC[C@H]1c1ccsc1 ZINC000339140626 527626805 /nfs/dbraw/zinc/62/68/05/527626805.db2.gz GNUQZNJZLHXIGI-ZBFHGGJFSA-N 0 1 304.459 3.474 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CC[C@H](c2ccccc2F)C1 ZINC000337539690 527629813 /nfs/dbraw/zinc/62/98/13/527629813.db2.gz XZSPRBUBWLGSLY-CVEARBPZSA-N 0 1 316.420 3.204 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)C[C@H](CC#N)c2ccccc2)C[C@H]1C ZINC000356610441 527966251 /nfs/dbraw/zinc/96/62/51/527966251.db2.gz AJGMYBCFYKVAHX-RYRKJORJSA-N 0 1 313.445 3.015 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000175845937 528120921 /nfs/dbraw/zinc/12/09/21/528120921.db2.gz IGOCRIVNLXHAHM-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccc([N+](=O)[O-])n2C)n1 ZINC000353893417 528241214 /nfs/dbraw/zinc/24/12/14/528241214.db2.gz KQVIJLQHRUALBE-SECBINFHSA-N 0 1 318.358 3.003 20 30 DGEDMN CCOc1cc(Cl)ccc1C(=O)[C@@H](C#N)c1ccncn1 ZINC000354474934 528293115 /nfs/dbraw/zinc/29/31/15/528293115.db2.gz XJEOMGDDTOELEX-LBPRGKRZSA-N 0 1 301.733 3.019 20 30 DGEDMN CCOc1cc(Cl)ccc1C(=O)C(C#N)c1ccncn1 ZINC000354474934 528293118 /nfs/dbraw/zinc/29/31/18/528293118.db2.gz XJEOMGDDTOELEX-LBPRGKRZSA-N 0 1 301.733 3.019 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(CCC(C)C)CC1 ZINC000347216117 528619587 /nfs/dbraw/zinc/61/95/87/528619587.db2.gz RASBXXPFHYLASY-INIZCTEOSA-N 0 1 307.482 3.143 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)[C@@H](c1ccccc1)N(CC)CC ZINC000343229118 528629216 /nfs/dbraw/zinc/62/92/16/528629216.db2.gz WKQYCOAXNIVXPF-DOTOQJQBSA-N 0 1 301.434 3.078 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(OC[C@@H]3CCCO3)cc2)CC1 ZINC000356457171 528841314 /nfs/dbraw/zinc/84/13/14/528841314.db2.gz NWJSERFUBJIHPH-SFHVURJKSA-N 0 1 314.429 3.370 20 30 DGEDMN CCC(CC)n1nccc1C(=O)C(C#N)c1nc(C)cs1 ZINC000431270082 528917790 /nfs/dbraw/zinc/91/77/90/528917790.db2.gz PKYGBTJZBQRXQG-GFCCVEGCSA-N 0 1 302.403 3.499 20 30 DGEDMN CC1(C)CN(C[C@H](O)c2ccc(C#N)cc2)[C@H]1c1ccncc1 ZINC000292445983 529123559 /nfs/dbraw/zinc/12/35/59/529123559.db2.gz NICDVTOYPPAGHB-ROUUACIJSA-N 0 1 307.397 3.070 20 30 DGEDMN CC[C@@H](NCC(=O)NC1(C#N)CCC1)c1ccc(Cl)s1 ZINC000347242957 529153907 /nfs/dbraw/zinc/15/39/07/529153907.db2.gz XZYMGADUGPSVLA-SNVBAGLBSA-N 0 1 311.838 3.005 20 30 DGEDMN CC[C@H]1CN(c2ncc(C#N)cc2F)CCN1Cc1ccccc1 ZINC000413244442 529302169 /nfs/dbraw/zinc/30/21/69/529302169.db2.gz ZBOPUOTVAIJFBP-KRWDZBQOSA-N 0 1 324.403 3.193 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1coc(C2CCC2)n1 ZINC000195260835 546254005 /nfs/dbraw/zinc/25/40/05/546254005.db2.gz XLMWHKKSCAGVPB-GFCCVEGCSA-N 0 1 320.352 3.319 20 30 DGEDMN O=C(C[C@H]1CCc2ccccc2C1)NC1(c2nnc[nH]2)CCC1 ZINC000329534357 546486941 /nfs/dbraw/zinc/48/69/41/546486941.db2.gz YPTQNXIJZBPNKN-ZDUSSCGKSA-N 0 1 310.401 3.336 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]CC3(C)CCCCC3)C2)c1 ZINC000329617223 546488702 /nfs/dbraw/zinc/48/87/02/546488702.db2.gz QBVZYKHAXDRXHU-OAHLLOKOSA-N 0 1 304.438 3.323 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@H](C)C2CCOCC2)n[nH]1 ZINC000330197612 546496036 /nfs/dbraw/zinc/49/60/36/546496036.db2.gz SANGFDPURHMVPL-SRVKXCTJSA-N 0 1 308.426 3.398 20 30 DGEDMN C=CCC[C@H](O)CN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000675491533 548225485 /nfs/dbraw/zinc/22/54/85/548225485.db2.gz LCBQBVGNKCZPPF-AXFHLTTASA-N 0 1 319.289 3.376 20 30 DGEDMN C=CCCOCC(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000676672762 548393662 /nfs/dbraw/zinc/39/36/62/548393662.db2.gz CRGBSKJLJWDREV-UHFFFAOYSA-N 0 1 313.279 3.113 20 30 DGEDMN C=C(C)[C@H](CO)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 ZINC000678049132 548600805 /nfs/dbraw/zinc/60/08/05/548600805.db2.gz FGSVTORAYVMFKG-QWRGUYRKSA-N 0 1 320.380 3.278 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)c1cccc(C#N)c1 ZINC000678764345 548758749 /nfs/dbraw/zinc/75/87/49/548758749.db2.gz PXRKZFIWTFRSLZ-OLZOCXBDSA-N 0 1 318.380 3.357 20 30 DGEDMN COCC#CCN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000679946818 548970316 /nfs/dbraw/zinc/97/03/16/548970316.db2.gz TUKBTTITIZLBPV-SFHVURJKSA-N 0 1 314.816 3.258 20 30 DGEDMN C=CCCOCc1nc(-c2ccc(F)c(CN(C)C)c2)no1 ZINC000680753323 549097444 /nfs/dbraw/zinc/09/74/44/549097444.db2.gz HVOVIFMWJPEBPP-UHFFFAOYSA-N 0 1 305.353 3.030 20 30 DGEDMN C=CCCN(C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000682430811 549322242 /nfs/dbraw/zinc/32/22/42/549322242.db2.gz ROTBIEYYKWFNPW-OAHLLOKOSA-N 0 1 309.841 3.160 20 30 DGEDMN C=CCOCCCC(=O)Nc1ccccc1Nc1ccncc1 ZINC000684851056 549707589 /nfs/dbraw/zinc/70/75/89/549707589.db2.gz MSOJBTKVAVYQOP-UHFFFAOYSA-N 0 1 311.385 3.168 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCC[C@@H]2c2ccccc2OC)C1=O ZINC000685001069 549732551 /nfs/dbraw/zinc/73/25/51/549732551.db2.gz ILGCBWFWKBUFHU-IAGOWNOFSA-N 0 1 314.429 3.009 20 30 DGEDMN C=CC[C@H](CO)NCc1c(Cl)cc(Cl)cc1N(C)C ZINC000685620325 549781581 /nfs/dbraw/zinc/78/15/81/549781581.db2.gz GYISKCUKORCGOW-LLVKDONJSA-N 0 1 303.233 3.086 20 30 DGEDMN CCO[C@H](CC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422544 574529259 /nfs/dbraw/zinc/52/92/59/574529259.db2.gz UCRUUNOFZKHLJI-ZYHUDNBSSA-N 0 1 306.362 3.427 20 30 DGEDMN COC[C@H](C)NC(=S)Nc1ccccc1SCCC#N ZINC000730952781 574539336 /nfs/dbraw/zinc/53/93/36/574539336.db2.gz DBSROBKFCVVYQB-NSHDSACASA-N 0 1 309.460 3.014 20 30 DGEDMN Fc1ccc2c(c1)C(=NNc1cnc3ccccc3n1)CCO2 ZINC000731557872 574552201 /nfs/dbraw/zinc/55/22/01/574552201.db2.gz AJIYNOOAMQUWHI-UHFFFAOYSA-N 0 1 308.316 3.368 20 30 DGEDMN CSc1ccc(C=NNc2ccccc2S(C)(=O)=O)cc1 ZINC000731936020 574563129 /nfs/dbraw/zinc/56/31/29/574563129.db2.gz XPZUMWRJSBUQHT-UHFFFAOYSA-N 0 1 320.439 3.258 20 30 DGEDMN C#CCOc1ccc(NC(=O)CN(C)CCCCCC)cc1 ZINC000732290279 574570971 /nfs/dbraw/zinc/57/09/71/574570971.db2.gz MECPDBCXMLRFPM-UHFFFAOYSA-N 0 1 302.418 3.149 20 30 DGEDMN CC(C)n1cc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000732711586 574579815 /nfs/dbraw/zinc/57/98/15/574579815.db2.gz DHDOKPPAKNMKMW-UHFFFAOYSA-N 0 1 307.741 3.472 20 30 DGEDMN Cc1ccccc1[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000738770229 574603947 /nfs/dbraw/zinc/60/39/47/574603947.db2.gz XZNKWTUZWFKXDM-MJGOQNOKSA-N 0 1 320.392 3.482 20 30 DGEDMN Fc1cc(F)c(N=NCc2ccc3c(c2)OCCO3)cc1F ZINC000734302034 574655612 /nfs/dbraw/zinc/65/56/12/574655612.db2.gz WLGRZZWBNLELMS-UHFFFAOYSA-N 0 1 308.259 3.321 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CCOC[C@H]1CCCO1 ZINC000734303361 574655614 /nfs/dbraw/zinc/65/56/14/574655614.db2.gz MHKCXASIFHPMJD-DTOZUZIGSA-N 0 1 318.200 3.314 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1occc1Br ZINC000735224466 574691437 /nfs/dbraw/zinc/69/14/37/574691437.db2.gz SEJBBWOWJHUEIZ-UHFFFAOYSA-N 0 1 310.107 3.396 20 30 DGEDMN COc1ccccc1CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735793718 574715698 /nfs/dbraw/zinc/71/56/98/574715698.db2.gz XOMBVJYPVPIGED-CQSZACIVSA-N 0 1 319.364 3.299 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)CCC2CCCCC2)o1 ZINC000735984860 574724626 /nfs/dbraw/zinc/72/46/26/574724626.db2.gz HHVHPKSFOPYPKY-UHFFFAOYSA-N 0 1 317.397 3.311 20 30 DGEDMN CCS[C@@H]1CCCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000737232125 574828238 /nfs/dbraw/zinc/82/82/38/574828238.db2.gz ZCGWFKSPDCCXOY-HZPDHXFCSA-N 0 1 318.442 3.292 20 30 DGEDMN C[N@@H+](CCCOc1ccc(C#N)cc1)[C@H](C(=O)[O-])c1ccccc1 ZINC000737439392 574843594 /nfs/dbraw/zinc/84/35/94/574843594.db2.gz NOIVTZRMICEYIT-SFHVURJKSA-N 0 1 324.380 3.085 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@@H+]2[C@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581173 574874147 /nfs/dbraw/zinc/87/41/47/574874147.db2.gz CBYVJGRUOUSDFY-WBVHZDCISA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@H+]2[C@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581173 574874149 /nfs/dbraw/zinc/87/41/49/574874149.db2.gz CBYVJGRUOUSDFY-WBVHZDCISA-N 0 1 310.353 3.423 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3)C2)cc1 ZINC000739106228 574892136 /nfs/dbraw/zinc/89/21/36/574892136.db2.gz QYBATQCSUIBEHE-ZWKOTPCHSA-N 0 1 306.365 3.173 20 30 DGEDMN C#CCSCCNCc1c(Cl)cccc1OC(F)F ZINC000740014625 574918332 /nfs/dbraw/zinc/91/83/32/574918332.db2.gz PCWMRVKYBKSQFK-UHFFFAOYSA-N 0 1 305.777 3.397 20 30 DGEDMN CCCCCCN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000740875041 574944322 /nfs/dbraw/zinc/94/43/22/574944322.db2.gz ZXYQBMXWMCPPRE-UHFFFAOYSA-N 0 1 302.422 3.126 20 30 DGEDMN CC(=NNc1cc(Cl)nc(C)n1)c1cc([N+](=O)[O-])ccc1C ZINC000741765784 574969346 /nfs/dbraw/zinc/96/93/46/574969346.db2.gz JEXSPZOUMVDTLH-UHFFFAOYSA-N 0 1 319.752 3.491 20 30 DGEDMN C[C@@H](NCc1cn2ccccc2n1)c1ccc(OCC#N)cc1 ZINC000742370267 574992879 /nfs/dbraw/zinc/99/28/79/574992879.db2.gz JFBLNWPOUIGGMW-CQSZACIVSA-N 0 1 306.369 3.087 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@H]1Cc2ccccc21 ZINC000744010328 575068340 /nfs/dbraw/zinc/06/83/40/575068340.db2.gz GICWNLBPBTXKFL-HUUCEWRRSA-N 0 1 301.349 3.089 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)C1CCC(c2ccccc2)CC1 ZINC000744033633 575069163 /nfs/dbraw/zinc/06/91/63/575069163.db2.gz SWRIPIMUNALXOC-JCYILVPMSA-N 0 1 312.413 3.194 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C(C)C(C)(C)C)n1 ZINC000744071919 575071074 /nfs/dbraw/zinc/07/10/74/575071074.db2.gz NTTGRNQKHFUULI-PFEDMVJOSA-N 0 1 320.414 3.488 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3Cc4ccccc43)[nH]c21 ZINC000744159534 575075475 /nfs/dbraw/zinc/07/54/75/575075475.db2.gz DOYVYDCLZNPLAG-GJZGRUSLSA-N 0 1 301.349 3.388 20 30 DGEDMN C#CCN(CC(=O)N([C@H](C)C1CC1)C1CC1)C1CCCCC1 ZINC000744213854 575078986 /nfs/dbraw/zinc/07/89/86/575078986.db2.gz OKTKELJUYFXYJY-OAHLLOKOSA-N 0 1 302.462 3.044 20 30 DGEDMN CC[C@H](CC(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)C(C)C ZINC000729761585 575262633 /nfs/dbraw/zinc/26/26/33/575262633.db2.gz LPPJNOHZNYURHA-OLZOCXBDSA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@H](CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000729761585 575262634 /nfs/dbraw/zinc/26/26/34/575262634.db2.gz LPPJNOHZNYURHA-OLZOCXBDSA-N 0 1 322.430 3.243 20 30 DGEDMN Cc1ccc(NN=Cc2ccc(Br)cc2F)nn1 ZINC000729936489 575267239 /nfs/dbraw/zinc/26/72/39/575267239.db2.gz SCBSIBCSYLOSRC-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN COc1ccccc1C=NNc1ccc([N+](=O)[O-])cc1OC ZINC000746679281 575289380 /nfs/dbraw/zinc/28/93/80/575289380.db2.gz COJXEOQFVXTITK-UHFFFAOYSA-N 0 1 301.302 3.058 20 30 DGEDMN C#CCOc1ccccc1CNCc1nc2ccc(C)cc2[nH]1 ZINC000744447607 575343790 /nfs/dbraw/zinc/34/37/90/575343790.db2.gz STFZJRYTPBHFHV-UHFFFAOYSA-N 0 1 305.381 3.173 20 30 DGEDMN CC(C)CN(CCC#N)C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000746175405 575438011 /nfs/dbraw/zinc/43/80/11/575438011.db2.gz WVMLRQUVVPUNBQ-UHFFFAOYSA-N 0 1 322.412 3.259 20 30 DGEDMN C#CCNC(=O)[C@@H](C)N1CC=C(c2cccc3ccccc32)CC1 ZINC000746171613 575438333 /nfs/dbraw/zinc/43/83/33/575438333.db2.gz MQRPSNQQNPTTHC-MRXNPFEDSA-N 0 1 318.420 3.067 20 30 DGEDMN C#CCNCC(=O)N[C@@H](CCCc1ccccc1)c1ccccc1 ZINC000746513477 575457434 /nfs/dbraw/zinc/45/74/34/575457434.db2.gz JCAGRRHGSSJCCR-FQEVSTJZSA-N 0 1 320.436 3.090 20 30 DGEDMN COc1cc(NC(C)=O)ccc1[C@H](C)NCc1ccc(C#N)cc1 ZINC000747855960 575546984 /nfs/dbraw/zinc/54/69/84/575546984.db2.gz FJVCXYLSJCWMOL-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN CC(C)OCc1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000748411358 575585041 /nfs/dbraw/zinc/58/50/41/575585041.db2.gz OETGSKWPENIPKY-UHFFFAOYSA-N 0 1 310.353 3.441 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@]23CCc2ccccc23)c1 ZINC000748411701 575585073 /nfs/dbraw/zinc/58/50/73/575585073.db2.gz WUTNNHVBMOGPKR-KXBFYZLASA-N 0 1 304.349 3.106 20 30 DGEDMN COc1cccc2cc(C(=O)Nc3cc(C#N)ccc3O)oc21 ZINC000748410570 575585120 /nfs/dbraw/zinc/58/51/20/575585120.db2.gz AQFLUPZBQXVSDE-UHFFFAOYSA-N 0 1 308.293 3.271 20 30 DGEDMN C[N@@H+](CC(=O)NC1(C#N)CCCCC1)C1CCCCCCC1 ZINC000748806359 575608130 /nfs/dbraw/zinc/60/81/30/575608130.db2.gz YBNCEXPLDVEZFG-UHFFFAOYSA-N 0 1 305.466 3.374 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C2CCCC2)cc1 ZINC000750687216 575745773 /nfs/dbraw/zinc/74/57/73/575745773.db2.gz LXKJBOBRMNNHBO-LRDDRELGSA-N 0 1 314.385 3.169 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1cc(F)c(F)cc1F ZINC000751133165 575771336 /nfs/dbraw/zinc/77/13/36/575771336.db2.gz MCBVJHHGVLSFEA-UHFFFAOYSA-N 0 1 311.219 3.164 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)cc1 ZINC000751153436 575772476 /nfs/dbraw/zinc/77/24/76/575772476.db2.gz UAOWNVWTSYPEFH-VVLHAWIVSA-N 0 1 310.397 3.333 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1ccc(Cl)nn1 ZINC000751517132 575787930 /nfs/dbraw/zinc/78/79/30/575787930.db2.gz UVUHRZXHWYVZEN-GFCCVEGCSA-N 0 1 315.808 3.050 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC(c2ccc(F)cc2)CC1 ZINC000752092848 575822570 /nfs/dbraw/zinc/82/25/70/575822570.db2.gz GADQIKWUASODSZ-UHFFFAOYSA-N 0 1 318.436 3.430 20 30 DGEDMN C(=Nn1cnnc1-c1ccccc1)[C@H]1CCOc2ccccc21 ZINC000752163449 575825291 /nfs/dbraw/zinc/82/52/91/575825291.db2.gz WYTZOSKGGHOZIJ-OAHLLOKOSA-N 0 1 304.353 3.345 20 30 DGEDMN C[C@H]1CN(C)CC1N=Nc1c(F)cc(F)cc1Br ZINC000752166649 575825656 /nfs/dbraw/zinc/82/56/56/575825656.db2.gz XTVAACKPGNNLST-ZETCQYMHSA-N 0 1 318.165 3.077 20 30 DGEDMN COC(=O)c1ccccc1CN=Nc1ccc(C(F)(F)F)cn1 ZINC000725721785 575842441 /nfs/dbraw/zinc/84/24/41/575842441.db2.gz WCHNQPIPLGPESU-UHFFFAOYSA-N 0 1 323.274 3.333 20 30 DGEDMN Oc1c(F)cc(C=NNCCCOc2ccccc2)cc1F ZINC000749855270 576109315 /nfs/dbraw/zinc/10/93/15/576109315.db2.gz GVHQEIXNXCLANX-UHFFFAOYSA-N 0 1 306.312 3.063 20 30 DGEDMN Fc1cc(C=NOCc2ccccc2)ccc1N1CCOCC1 ZINC000727256455 576115379 /nfs/dbraw/zinc/11/53/79/576115379.db2.gz WKMFKLVFSVDLDR-UHFFFAOYSA-N 0 1 314.360 3.213 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cn(C)nc1C ZINC000727649891 576136312 /nfs/dbraw/zinc/13/63/12/576136312.db2.gz OFRLWBLRMANHSX-UHFFFAOYSA-N 0 1 307.741 3.126 20 30 DGEDMN CC(C)CN(CCC#N)CCC(=O)NCc1ccccc1Cl ZINC000740423303 576166355 /nfs/dbraw/zinc/16/63/55/576166355.db2.gz FKURVWBNHXAXEH-UHFFFAOYSA-N 0 1 321.852 3.218 20 30 DGEDMN C(=N[N-]c1cccc[nH+]1)c1csc(-c2ccc3c(c2)OCO3)n1 ZINC000728427684 576181389 /nfs/dbraw/zinc/18/13/89/576181389.db2.gz HQHFVVACAMJNSJ-UHFFFAOYSA-N 0 1 324.365 3.380 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)[C@@H]2CCCN2Cc2ccccc2)c1 ZINC000728481683 576184491 /nfs/dbraw/zinc/18/44/91/576184491.db2.gz QQCRAWHCXPMUCZ-FQEVSTJZSA-N 0 1 318.420 3.295 20 30 DGEDMN N#CC(C(=O)CC1CC1)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000916946012 620650232 /nfs/dbraw/zinc/65/02/32/620650232.db2.gz IFFRVVOJIBAQGP-GFCCVEGCSA-N 0 1 311.168 3.441 20 30 DGEDMN C=CCN(CC(=O)N(C)Cc1ccc(SC)cc1)C(C)C ZINC000917068792 620654860 /nfs/dbraw/zinc/65/48/60/620654860.db2.gz BWKAXJBWRWQEHS-UHFFFAOYSA-N 0 1 306.475 3.263 20 30 DGEDMN C=CCN(CC(=O)N(CCC#N)c1cccc(C)c1C)C(C)C ZINC000917069877 620655247 /nfs/dbraw/zinc/65/52/47/620655247.db2.gz YZVSMPQZFMEHGD-UHFFFAOYSA-N 0 1 313.445 3.447 20 30 DGEDMN COc1cccc(O)c1/C=N/C[C@@H]1CCN1Cc1ccccc1 ZINC000852997656 620658598 /nfs/dbraw/zinc/65/85/98/620658598.db2.gz AINVBJLKYSIGKH-UOEVCUDDSA-N 0 1 310.397 3.094 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc(N2CCCC2)cc1C)C(C)C ZINC000917166879 620659069 /nfs/dbraw/zinc/65/90/69/620659069.db2.gz LDABNRNLCHALLG-UHFFFAOYSA-N 0 1 315.461 3.430 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cccc(OC2CCCC2)c1 ZINC000917545000 620673081 /nfs/dbraw/zinc/67/30/81/620673081.db2.gz KLBLHYSDXYHMRK-UHFFFAOYSA-N 0 1 315.461 3.417 20 30 DGEDMN COc1ccc(CNN=Cc2ccc(N(C)CCC#N)cc2)cc1 ZINC000917547254 620673622 /nfs/dbraw/zinc/67/36/22/620673622.db2.gz METKUZRMMLARHF-UHFFFAOYSA-N 0 1 322.412 3.169 20 30 DGEDMN C[C@H](CN1CCCC1=O)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000917557989 620674562 /nfs/dbraw/zinc/67/45/62/620674562.db2.gz YNCVQEJJEQMXBJ-PRILJTSFSA-N 0 1 315.200 3.129 20 30 DGEDMN CC(C)CCOc1ccc(C=NNc2ncnc3[nH]cnc32)cc1 ZINC000917554326 620675354 /nfs/dbraw/zinc/67/53/54/620675354.db2.gz BRMVELYFUZGTAQ-UHFFFAOYSA-N 0 1 324.388 3.224 20 30 DGEDMN Fc1ccc(-c2ccc(C=NNc3ncnc4nc[nH]c43)o2)cc1 ZINC000917554425 620675575 /nfs/dbraw/zinc/67/55/75/620675575.db2.gz DYYHFOBWQNXGLC-UHFFFAOYSA-N 0 1 322.303 3.198 20 30 DGEDMN COc1cccc2c1OC(C)(C)CC2N=Nc1ccncc1F ZINC000853402616 620693170 /nfs/dbraw/zinc/69/31/70/620693170.db2.gz CYPAOEGWCRQYAS-UHFFFAOYSA-N 0 1 315.348 3.029 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2ccc(Cl)s2)cc1 ZINC000918185591 620700850 /nfs/dbraw/zinc/70/08/50/620700850.db2.gz RIDKLOWJDCYALP-UHFFFAOYSA-N 0 1 309.822 3.305 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC000890658745 617635882 /nfs/dbraw/zinc/63/58/82/617635882.db2.gz RZQUIQZETUTHFV-ZDUSSCGKSA-N 0 1 318.380 3.186 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC000890658758 617635928 /nfs/dbraw/zinc/63/59/28/617635928.db2.gz SFXJMYFUFJWZLB-AWEZNQCLSA-N 0 1 323.348 3.093 20 30 DGEDMN C=CCN(Cc1ccccc1)[C@@H]1CCC2(C[C@@H]1F)OCCO2 ZINC000891674266 617865565 /nfs/dbraw/zinc/86/55/65/617865565.db2.gz GTJXFHRMEFFBBH-DLBZAZTESA-N 0 1 305.393 3.308 20 30 DGEDMN COc1ccccc1C/C(C)=C/C(=O)Nc1cc(C#N)ccc1O ZINC000155097666 620766147 /nfs/dbraw/zinc/76/61/47/620766147.db2.gz OQKYDJANVRXLIT-JLHYYAGUSA-N 0 1 322.364 3.400 20 30 DGEDMN COc1cc(CN[C@@H]2CCc3c2nccc3Cl)ccc1C#N ZINC000926447754 618022630 /nfs/dbraw/zinc/02/26/30/618022630.db2.gz FVBNLYWFWPTNPC-OAHLLOKOSA-N 0 1 313.788 3.392 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)C[C@@H]2C[C@H]2C2CC2)cc1 ZINC000892488992 618080182 /nfs/dbraw/zinc/08/01/82/618080182.db2.gz BRGLITGKVBWKKY-XIRDDKMYSA-N 0 1 310.397 3.333 20 30 DGEDMN C=CCOCCCN[C@@H](c1ccccn1)c1ccccc1OC ZINC000926701649 618093063 /nfs/dbraw/zinc/09/30/63/618093063.db2.gz CBFKDDSCUUWKFV-LJQANCHMSA-N 0 1 312.413 3.362 20 30 DGEDMN N#CC(C(=O)CC[C@H]1CCc2ccccc21)C(=O)NC1CCCC1 ZINC000892530303 618100379 /nfs/dbraw/zinc/10/03/79/618100379.db2.gz MXSNTNBJAIFFCQ-CRAIPNDOSA-N 0 1 324.424 3.264 20 30 DGEDMN C#Cc1ccc(NC(=O)Cc2n[nH]c3c2CCCC3)c(Cl)c1 ZINC000885671605 620779891 /nfs/dbraw/zinc/77/98/91/620779891.db2.gz QGQHERTXDIXLSI-UHFFFAOYSA-N 0 1 313.788 3.104 20 30 DGEDMN Cc1nc(C)c(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)s1 ZINC000892706189 618143982 /nfs/dbraw/zinc/14/39/82/618143982.db2.gz TUNIPVCIQCYBBM-GFCCVEGCSA-N 0 1 324.409 3.364 20 30 DGEDMN C#C[C@H](N[C@H]1COC2(CCC2)C1)c1ccc(Br)cc1 ZINC000894666371 618416432 /nfs/dbraw/zinc/41/64/32/618416432.db2.gz CBNWQIXBAPWGLS-CABCVRRESA-N 0 1 320.230 3.425 20 30 DGEDMN C=CCCCCCCCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000854475090 620807491 /nfs/dbraw/zinc/80/74/91/620807491.db2.gz RFCVGYWUINXIFH-CQSZACIVSA-N 0 1 304.438 3.258 20 30 DGEDMN CN(CCCN(C)C(=O)OC(C)(C)C)Cc1csc(C#N)c1 ZINC000894919948 618499399 /nfs/dbraw/zinc/49/93/99/618499399.db2.gz AXMUHQBMHCMMJL-UHFFFAOYSA-N 0 1 323.462 3.309 20 30 DGEDMN C[C@H]1CC(NC(=O)c2ccccc2SC(F)(F)F)=NO1 ZINC000777435447 618537673 /nfs/dbraw/zinc/53/76/73/618537673.db2.gz HJMYQPCLXPBNLA-ZETCQYMHSA-N 0 1 304.293 3.151 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC000895315686 618593503 /nfs/dbraw/zinc/59/35/03/618593503.db2.gz DJNLJIOKTZWHJJ-CYBMUJFWSA-N 0 1 321.178 3.204 20 30 DGEDMN C[C@@H](C#N)CN(C)CC1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000893962636 618680827 /nfs/dbraw/zinc/68/08/27/618680827.db2.gz QAIJOAUWJIQPTJ-HNSVSWJLSA-N 0 1 321.465 3.256 20 30 DGEDMN N#Cc1c(N[C@@H]2CCCN3CCSC[C@H]23)cccc1C(F)F ZINC000895571114 618800166 /nfs/dbraw/zinc/80/01/66/618800166.db2.gz ZOZBUOOOWRKQQL-HUUCEWRRSA-N 0 1 323.412 3.488 20 30 DGEDMN C#CCC1(O)CCN(Cc2cc(C)cc(Br)c2)CC1 ZINC000895670484 618815746 /nfs/dbraw/zinc/81/57/46/618815746.db2.gz RWMUQZSVOJYERU-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN C#CCN1CCC(Nc2cc(C#N)ccc2C(F)(F)F)CC1 ZINC000895808245 618833973 /nfs/dbraw/zinc/83/39/73/618833973.db2.gz DVHAZASVXPCZGA-UHFFFAOYSA-N 0 1 307.319 3.087 20 30 DGEDMN C[C@@H](CCC#N)CNC1(c2ncc(Br)cn2)CCC1 ZINC000897351926 619071161 /nfs/dbraw/zinc/07/11/61/619071161.db2.gz SJSQKYKRNUCMRV-NSHDSACASA-N 0 1 323.238 3.148 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cccc(Br)c2OC)C1 ZINC000862445281 619109494 /nfs/dbraw/zinc/10/94/94/619109494.db2.gz PMIFRDPMFQYHOY-LBPRGKRZSA-N 0 1 308.219 3.303 20 30 DGEDMN CC[C@@H]([NH2+]C1CCN(C(C)C)CC1)c1cccc(C#N)c1[O-] ZINC000925303137 619156634 /nfs/dbraw/zinc/15/66/34/619156634.db2.gz LPYXKXDXVANGRL-QGZVFWFLSA-N 0 1 301.434 3.177 20 30 DGEDMN O=C1C=COC2(CCN(C/C=C/c3cccc(F)c3)CC2)C1 ZINC000897599101 619165156 /nfs/dbraw/zinc/16/51/56/619165156.db2.gz AVCQCEJTBVZTOA-DUXPYHPUSA-N 0 1 301.361 3.177 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)nn1 ZINC000897882509 619191100 /nfs/dbraw/zinc/19/11/00/619191100.db2.gz PJKHPQLXHDQBKC-MQMHXKEQSA-N 0 1 318.371 3.168 20 30 DGEDMN N#Cc1cnc(Cl)c(C[N@H+]2CCCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000898513335 619282507 /nfs/dbraw/zinc/28/25/07/619282507.db2.gz ROXTZRGEATZEOT-GJZGRUSLSA-N 0 1 319.836 3.245 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000898513335 619282510 /nfs/dbraw/zinc/28/25/10/619282510.db2.gz ROXTZRGEATZEOT-GJZGRUSLSA-N 0 1 319.836 3.245 20 30 DGEDMN C=C1CN(C(=O)c2cccc(CN(C)Cc3ccccc3)c2)C1 ZINC000898694698 619291520 /nfs/dbraw/zinc/29/15/20/619291520.db2.gz NPEWJSNQBZHOBG-UHFFFAOYSA-N 0 1 306.409 3.331 20 30 DGEDMN CC#CCCCC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC000899025006 619307325 /nfs/dbraw/zinc/30/73/25/619307325.db2.gz YAVKXNGNSMNOOK-UHFFFAOYSA-N 0 1 307.397 3.057 20 30 DGEDMN C#CC[C@@H]1C(=O)N(c2ccc(OC)cc2C(F)(F)F)N=C1C ZINC000899355926 619328305 /nfs/dbraw/zinc/32/83/05/619328305.db2.gz FIBHNYHDZBHQPW-NSHDSACASA-N 0 1 310.275 3.076 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2ccc(OC)cc2C(F)(F)F)c1=O ZINC000899355926 619328306 /nfs/dbraw/zinc/32/83/06/619328306.db2.gz FIBHNYHDZBHQPW-NSHDSACASA-N 0 1 310.275 3.076 20 30 DGEDMN Cn1nc2c(c1/C=C/C(=O)c1ccc(O)cc1Cl)CCC2 ZINC000899391263 619336597 /nfs/dbraw/zinc/33/65/97/619336597.db2.gz IMCILSWYYQONLG-BQYQJAHWSA-N 0 1 302.761 3.164 20 30 DGEDMN O=C(C=Cc1ccc2nc[nH]c2c1)c1ccnn1CC1CCC1 ZINC000899413724 619338823 /nfs/dbraw/zinc/33/88/23/619338823.db2.gz QGKHQMULUAJXBH-ALCCZGGFSA-N 0 1 306.369 3.456 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)cc1 ZINC000900418953 619428064 /nfs/dbraw/zinc/42/80/64/619428064.db2.gz STFKYSCEOHHMTJ-KBXCAEBGSA-N 0 1 322.412 3.331 20 30 DGEDMN Cc1cc(C#N)ccc1Cn1cc(CN(C(C)C)C(C)C)nn1 ZINC000900559166 619438599 /nfs/dbraw/zinc/43/85/99/619438599.db2.gz ZSWSKEIXLDXPBY-UHFFFAOYSA-N 0 1 311.433 3.125 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000901037469 619468234 /nfs/dbraw/zinc/46/82/34/619468234.db2.gz BPWHTSUPOSYJOX-APPDUMDISA-N 0 1 323.400 3.018 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1ccnn1CC1CCC1 ZINC000901169584 619476403 /nfs/dbraw/zinc/47/64/03/619476403.db2.gz GUALKMOHQHEZTF-UHFFFAOYSA-N 0 1 322.416 3.406 20 30 DGEDMN COc1ccc2c(c1Cl)C(=N[N-]c1[nH+]ccn1C)CCC2 ZINC000901235859 619479326 /nfs/dbraw/zinc/47/93/26/619479326.db2.gz LNRGMRGACCXBBA-UHFFFAOYSA-N 0 1 304.781 3.235 20 30 DGEDMN COc1ccc2c(c1Cl)C(=NNc1nccn1C)CCC2 ZINC000901235859 619479328 /nfs/dbraw/zinc/47/93/28/619479328.db2.gz LNRGMRGACCXBBA-UHFFFAOYSA-N 0 1 304.781 3.235 20 30 DGEDMN N#Cc1ccsc1NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000901300035 619482657 /nfs/dbraw/zinc/48/26/57/619482657.db2.gz QELPMSJKQKGNGE-UHFFFAOYSA-N 0 1 315.398 3.451 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CC[C@](C(=O)[O-])(C(C)C)C2)cc1 ZINC000901470036 619506306 /nfs/dbraw/zinc/50/63/06/619506306.db2.gz YNLLXARWUNMJTM-GOSISDBHSA-N 0 1 303.402 3.184 20 30 DGEDMN O=C(/C=C\c1ccc(O)c(F)c1F)c1cc2n(n1)CCCC2 ZINC000901562566 619517058 /nfs/dbraw/zinc/51/70/58/619517058.db2.gz CRGQZHJLLKRZHI-XQRVVYSFSA-N 0 1 304.296 3.099 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCCC[C@H]2CCC(=O)[O-])cc1 ZINC000901996939 619572507 /nfs/dbraw/zinc/57/25/07/619572507.db2.gz LAAGGHKVZLTMJD-INIZCTEOSA-N 0 1 303.402 3.471 20 30 DGEDMN CC(C)(CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)CC(F)F ZINC000881363035 625354288 /nfs/dbraw/zinc/35/42/88/625354288.db2.gz IJWUGKJMBPCQEH-UHFFFAOYSA-N 0 1 306.316 3.445 20 30 DGEDMN C[C@@H](NC[C@@H](c1ccco1)N1CCCCC1)c1cc(C#N)ccn1 ZINC000902723351 619665861 /nfs/dbraw/zinc/66/58/61/619665861.db2.gz NJPJKFBSJKEGAF-QAPCUYQASA-N 0 1 324.428 3.424 20 30 DGEDMN C[C@@H](NCc1ccc(N2CCCC2)cc1)c1cc(C#N)ccn1 ZINC000902741901 619668802 /nfs/dbraw/zinc/66/88/02/619668802.db2.gz HGXLAONZMDBHFU-OAHLLOKOSA-N 0 1 306.413 3.404 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2cc(C#N)ccn2)c1 ZINC000902866702 619684074 /nfs/dbraw/zinc/68/40/74/619684074.db2.gz LVIWTDALWMZYAP-WMLDXEAASA-N 0 1 320.396 3.485 20 30 DGEDMN C[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cc(C#N)ccn1 ZINC000902989674 619700005 /nfs/dbraw/zinc/70/00/05/619700005.db2.gz KUAPOMXDXKFKEY-FZKQIMNGSA-N 0 1 312.392 3.046 20 30 DGEDMN C[C@H](NCC1(C#N)CCC1)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000903035733 619705040 /nfs/dbraw/zinc/70/50/40/619705040.db2.gz ULOKSXMNWGXGNU-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN C[C@H]1[C@H](NCC2(C#N)CCC2)CCCN1C(=O)OC(C)(C)C ZINC000903035437 619705150 /nfs/dbraw/zinc/70/51/50/619705150.db2.gz PGZAAFIVSVTBML-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@@H](N[C@H]1Cc2ccccc2NC1=O)c1cccc(C#N)c1 ZINC000903069822 619714901 /nfs/dbraw/zinc/71/49/01/619714901.db2.gz JXXLRAJYRWRRSW-AEFFLSMTSA-N 0 1 305.381 3.162 20 30 DGEDMN C=CCC[C@H](NCc1nc(C#N)cs1)[C@@]1(C)CCCCO1 ZINC000903264901 619747720 /nfs/dbraw/zinc/74/77/20/619747720.db2.gz XMKCVCFRXXSZEC-GOEBONIOSA-N 0 1 305.447 3.398 20 30 DGEDMN C=CCC[C@H](NCc1cc(C(F)(F)F)n(C)n1)[C@H]1CCCO1 ZINC000903337138 619759788 /nfs/dbraw/zinc/75/97/88/619759788.db2.gz SQTVHHYIRWEWON-QWHCGFSZSA-N 0 1 317.355 3.042 20 30 DGEDMN C=CCC[C@@H](NCc1cc(C(F)(F)F)n(C)n1)[C@H]1CCCO1 ZINC000903337136 619759902 /nfs/dbraw/zinc/75/99/02/619759902.db2.gz SQTVHHYIRWEWON-CHWSQXEVSA-N 0 1 317.355 3.042 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(C(=O)[O-])s1)C2 ZINC000903693537 619799313 /nfs/dbraw/zinc/79/93/13/619799313.db2.gz YGCJGJKOBLEBEU-UHFFFAOYSA-N 0 1 312.394 3.071 20 30 DGEDMN CC#CC[C@H]([NH2+]Cc1ccc(-c2ccc(F)cc2C)o1)C(=O)[O-] ZINC000904057740 619867259 /nfs/dbraw/zinc/86/72/59/619867259.db2.gz AHUUKKVZJVAKKJ-INIZCTEOSA-N 0 1 315.344 3.350 20 30 DGEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N(O)Cc2ccccc2Cl)C1 ZINC000904193942 619895472 /nfs/dbraw/zinc/89/54/72/619895472.db2.gz ZCBSITQXXPXYKM-ZWNOBZJWSA-N 0 1 319.792 3.147 20 30 DGEDMN O=C([C@@H]1CCCc2[nH]ncc21)N(O)CCCCc1ccccc1 ZINC000904197075 619896547 /nfs/dbraw/zinc/89/65/47/619896547.db2.gz QKILRDBMSVJQER-OAHLLOKOSA-N 0 1 313.401 3.070 20 30 DGEDMN C=CCOc1ccccc1CNC[C@@](O)(CC)C(F)(F)F ZINC000905104687 619971856 /nfs/dbraw/zinc/97/18/56/619971856.db2.gz QSANTOBQKPVWJN-AWEZNQCLSA-N 0 1 303.324 3.044 20 30 DGEDMN Cn1cc(C=NNc2ccccc2[N+](=O)[O-])c(C2CCCC2)n1 ZINC000905417599 619996330 /nfs/dbraw/zinc/99/63/30/619996330.db2.gz ANBAKCQRVUTJDQ-UHFFFAOYSA-N 0 1 313.361 3.432 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cccc(Oc2ccccn2)c1 ZINC000905436149 619999296 /nfs/dbraw/zinc/99/92/96/619999296.db2.gz KTKGMJDILMRKLC-UHFFFAOYSA-N 0 1 324.428 3.283 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2csc(C)c2C)n1 ZINC000905500619 620002307 /nfs/dbraw/zinc/00/23/07/620002307.db2.gz WCECAGSHXXAWJJ-UHFFFAOYSA-N 0 1 304.419 3.446 20 30 DGEDMN CCOCc1nc(C)cc(NN=C(C)c2ccncc2Cl)n1 ZINC000905503371 620002411 /nfs/dbraw/zinc/00/24/11/620002411.db2.gz UMHQFEFAQLOYTN-UHFFFAOYSA-N 0 1 319.796 3.206 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cccc(C)c2F)n1 ZINC000905503402 620002516 /nfs/dbraw/zinc/00/25/16/620002516.db2.gz VKQYWGFQQWOWCW-UHFFFAOYSA-N 0 1 302.353 3.215 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C(F)(F)F)CCN(CC)CC1 ZINC000905824550 620034783 /nfs/dbraw/zinc/03/47/83/620034783.db2.gz FZQFIYZVIVKFAX-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC3(CCC3)[C@@H]2c2ccncc2)CCC1 ZINC000905967090 620045366 /nfs/dbraw/zinc/04/53/66/620045366.db2.gz SEXTVUKXWIELSB-SJORKVTESA-N 0 1 311.429 3.053 20 30 DGEDMN N#CCCCC(=O)N[C@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC000907817040 620175218 /nfs/dbraw/zinc/17/52/18/620175218.db2.gz ZLIUGEUHUPMVGL-AWEZNQCLSA-N 0 1 314.433 3.125 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)cc1 ZINC000908211614 620194353 /nfs/dbraw/zinc/19/43/53/620194353.db2.gz JHKXANLVPVDTEH-IGNZVWTISA-N 0 1 322.412 3.019 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)cc1 ZINC000908211616 620194421 /nfs/dbraw/zinc/19/44/21/620194421.db2.gz JHKXANLVPVDTEH-UUWFMWQGSA-N 0 1 322.412 3.019 20 30 DGEDMN N#CC1(CCCCOC(=O)[C@@H]2CCCc3[nH]ncc32)CCC1 ZINC000909063295 620237858 /nfs/dbraw/zinc/23/78/58/620237858.db2.gz BMNAGOLGJBAOEK-CYBMUJFWSA-N 0 1 301.390 3.237 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](N)c2ccccc2OC)CCCCC1 ZINC000911665715 620339703 /nfs/dbraw/zinc/33/97/03/620339703.db2.gz SMZMJYHWSIIXEL-INIZCTEOSA-N 0 1 316.445 3.338 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cc(-c3c[nH]c4ccccc34)[nH]n2)C1 ZINC000912046080 620362418 /nfs/dbraw/zinc/36/24/18/620362418.db2.gz DIQPHSQSIZGIDO-ZDUSSCGKSA-N 0 1 318.380 3.043 20 30 DGEDMN Cc1c(C=NNc2cccc(C)c2)c(=O)n(-c2ccccc2)n1C ZINC000912775602 620406007 /nfs/dbraw/zinc/40/60/07/620406007.db2.gz XKUBTXIPZLVHAA-UHFFFAOYSA-N 0 1 320.396 3.239 20 30 DGEDMN CC(=NN=c1[nH]c2cc(F)c(F)cc2[nH]1)c1cc(O)ccc1O ZINC000912825209 620408516 /nfs/dbraw/zinc/40/85/16/620408516.db2.gz LKEXPJANDIHBQA-UHFFFAOYSA-N 0 1 318.283 3.088 20 30 DGEDMN COc1ccc(CN=Nc2nc3cc(F)c(F)cc3[nH]2)cc1 ZINC000912817740 620408953 /nfs/dbraw/zinc/40/89/53/620408953.db2.gz KKDDCYBFVLCFCB-UHFFFAOYSA-N 0 1 302.284 3.296 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000151589802 620465734 /nfs/dbraw/zinc/46/57/34/620465734.db2.gz KMVOICNLHWAJLZ-HNNXBMFYSA-N 0 1 302.374 3.169 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2ccc(C(F)(F)F)s2)n1 ZINC000761834585 620531926 /nfs/dbraw/zinc/53/19/26/620531926.db2.gz SNOMFUUEZDTJAZ-UHFFFAOYSA-N 0 1 321.715 3.239 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000153273930 620545433 /nfs/dbraw/zinc/54/54/33/620545433.db2.gz BZTPGBFDRKLHEZ-CVEARBPZSA-N 0 1 300.402 3.084 20 30 DGEDMN Cc1ccccc1C(C)N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000914835545 620556403 /nfs/dbraw/zinc/55/64/03/620556403.db2.gz JTAWTKCSGVCIQU-UHFFFAOYSA-N 0 1 302.399 3.235 20 30 DGEDMN CC1(C)CC(=NOC[C@H]2CCOC2)CN1Cc1ccccc1 ZINC000788034191 620564939 /nfs/dbraw/zinc/56/49/39/620564939.db2.gz OLUIICVSPQTTHA-INIZCTEOSA-N 0 1 302.418 3.080 20 30 DGEDMN Fc1ccc(-c2ccc(C=NNCCN3CCCCC3)o2)cc1 ZINC000915973239 620610462 /nfs/dbraw/zinc/61/04/62/620610462.db2.gz DJJHSJQWEYPRFO-UHFFFAOYSA-N 0 1 315.392 3.495 20 30 DGEDMN N#CCCn1cc(CN=Nc2ccccn2)c(-c2ccccc2)n1 ZINC000916275977 620623739 /nfs/dbraw/zinc/62/37/39/620623739.db2.gz DFIMFXJPKLSNSX-UHFFFAOYSA-N 0 1 316.368 3.305 20 30 DGEDMN COc1cc(CN=Nc2ccccn2)c(Br)cc1O ZINC000921255606 620845193 /nfs/dbraw/zinc/84/51/93/620845193.db2.gz WQYHPBHZTHJBTR-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN C[C@@H](N[C@@H]1CC[C@H](C#N)C1)c1cc(Br)ncc1F ZINC000818356626 620899937 /nfs/dbraw/zinc/89/99/37/620899937.db2.gz OUQFBFJYWKWBTK-KXUCPTDWSA-N 0 1 312.186 3.326 20 30 DGEDMN C#CCCOc1ccc(CNCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000113325087 620904545 /nfs/dbraw/zinc/90/45/45/620904545.db2.gz VZBKUFOJPRJZDN-UHFFFAOYSA-N 0 1 310.353 3.287 20 30 DGEDMN C#CCOc1ccc(CNCc2ccc(OCCC)nc2)cc1 ZINC000113339773 620904952 /nfs/dbraw/zinc/90/49/52/620904952.db2.gz PNWSDENAKYATFZ-UHFFFAOYSA-N 0 1 310.397 3.172 20 30 DGEDMN N#Cc1cc(CNCc2ccc(N3CCCCC3)nc2)cs1 ZINC000921540466 620905008 /nfs/dbraw/zinc/90/50/08/620905008.db2.gz SBCYRIWWXNAFDH-UHFFFAOYSA-N 0 1 312.442 3.295 20 30 DGEDMN COC[C@@H](NCc1ccc(O[C@@H](C)C#N)cc1)c1ccccc1 ZINC000113355417 620905491 /nfs/dbraw/zinc/90/54/91/620905491.db2.gz PWUAPQRWNRZSJZ-HNAYVOBHSA-N 0 1 310.397 3.455 20 30 DGEDMN N#Cc1cc(CNCc2ccc3c(c2)OCCCO3)cs1 ZINC000921671125 620930250 /nfs/dbraw/zinc/93/02/50/620930250.db2.gz NEUNMMAGSDDCMU-UHFFFAOYSA-N 0 1 300.383 3.071 20 30 DGEDMN CC(=O)N1CC[C@H](NCc2csc(C#N)c2)c2ccccc21 ZINC000921935319 620969834 /nfs/dbraw/zinc/96/98/34/620969834.db2.gz KMFGKKBEYRYSLO-INIZCTEOSA-N 0 1 311.410 3.207 20 30 DGEDMN CC1(C)CC[C@@H](CNCc2cc(C#N)ccc2Br)O1 ZINC000922019968 620981414 /nfs/dbraw/zinc/98/14/14/620981414.db2.gz DANLXHRWBFZQRR-ZDUSSCGKSA-N 0 1 323.234 3.368 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCN2Cc2ccccc2)CCCCC1 ZINC000856356745 620988032 /nfs/dbraw/zinc/98/80/32/620988032.db2.gz JAUBEXHHNSPQNB-LJQANCHMSA-N 0 1 324.468 3.351 20 30 DGEDMN CC1(C)CO[C@@H](CNCc2cc(C#N)ccc2Br)C1 ZINC000922324102 621024398 /nfs/dbraw/zinc/02/43/98/621024398.db2.gz KUHSUUPEZFPTEE-CYBMUJFWSA-N 0 1 323.234 3.225 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1Cc2ccccc2[C@H](C)C1 ZINC000857202145 621067338 /nfs/dbraw/zinc/06/73/38/621067338.db2.gz YWCOIKXVNVTUDU-CVEARBPZSA-N 0 1 300.446 3.419 20 30 DGEDMN C[C@@H]1Cc2cc(CNCc3cc(C#N)ccc3N(C)C)ccc2O1 ZINC000922701064 621085062 /nfs/dbraw/zinc/08/50/62/621085062.db2.gz ATBCTTULZRQQEC-CQSZACIVSA-N 0 1 321.424 3.237 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1ccnn1CC1CCC1 ZINC000925366493 621118616 /nfs/dbraw/zinc/11/86/16/621118616.db2.gz GCIHEOCNNCAPRC-OAHLLOKOSA-N 0 1 324.428 3.436 20 30 DGEDMN C#CC[C@H](COC)NCc1cnc(CCc2ccccc2)s1 ZINC000858333896 621176954 /nfs/dbraw/zinc/17/69/54/621176954.db2.gz CXJZNZHACUUPLE-MRXNPFEDSA-N 0 1 314.454 3.056 20 30 DGEDMN C#CC[C@H](COC)NCc1cc(Cl)cc(Cl)c1OC ZINC000858335836 621177611 /nfs/dbraw/zinc/17/76/11/621177611.db2.gz JQFGPCUNBOTZGX-GFCCVEGCSA-N 0 1 302.201 3.130 20 30 DGEDMN COCCC1CCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000859398506 621274581 /nfs/dbraw/zinc/27/45/81/621274581.db2.gz IZNSMUOZPSZEEC-UHFFFAOYSA-N 0 1 322.836 3.491 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CCC1 ZINC000838785507 621280601 /nfs/dbraw/zinc/28/06/01/621280601.db2.gz QLWDAKFWIPCWKL-HZMBPMFUSA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCNC(=O)[C@@H](C)N1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000839186063 621345150 /nfs/dbraw/zinc/34/51/50/621345150.db2.gz HZQQPYKHCIWOFW-AEFFLSMTSA-N 0 1 310.441 3.132 20 30 DGEDMN N#CCc1cccc2c1CCN(CC1(Br)CC1)C2 ZINC000860109645 621367401 /nfs/dbraw/zinc/36/74/01/621367401.db2.gz XMJTXNHGITUHIC-UHFFFAOYSA-N 0 1 305.219 3.038 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(C3CCOCC3)cc2)c1 ZINC000867013712 621389085 /nfs/dbraw/zinc/38/90/85/621389085.db2.gz VLIMBKJXPNHALX-UHFFFAOYSA-N 0 1 322.364 3.410 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000860732439 621437231 /nfs/dbraw/zinc/43/72/31/621437231.db2.gz ADJXFIOHSAYYMY-FZMZJTMJSA-N 0 1 306.431 3.432 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000753531989 621446317 /nfs/dbraw/zinc/44/63/17/621446317.db2.gz ISOIFXOEPIENFK-BZUAXINKSA-N 0 1 306.450 3.464 20 30 DGEDMN Fc1ccc(Cl)cc1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000753690916 621460021 /nfs/dbraw/zinc/46/00/21/621460021.db2.gz YXBQOGWJEIERBS-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cc(O)cc(O)c1 ZINC000753698815 621460653 /nfs/dbraw/zinc/46/06/53/621460653.db2.gz XXCKKHMXUTZIGW-UHFFFAOYSA-N 0 1 321.720 3.496 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cc2c(s1)CCCC2 ZINC000753932915 621472152 /nfs/dbraw/zinc/47/21/52/621472152.db2.gz BIGDADCLTQAUFW-OKILXGFUSA-N 0 1 316.474 3.211 20 30 DGEDMN Cc1cccc(CC(=O)Nc2cc(C#N)ccc2O)c1Cl ZINC000754247884 621498827 /nfs/dbraw/zinc/49/88/27/621498827.db2.gz OGMIQMLSDAKCRR-UHFFFAOYSA-N 0 1 300.745 3.407 20 30 DGEDMN Cc1ccc([C@@H](C)NCC(=O)Nc2oc(C)c(C)c2C#N)s1 ZINC000754678068 621524199 /nfs/dbraw/zinc/52/41/99/621524199.db2.gz ZJRQRUOUCKISIP-LLVKDONJSA-N 0 1 317.414 3.427 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)/C=C\c2ccccc2C#N)cc1F ZINC000754872670 621539412 /nfs/dbraw/zinc/53/94/12/621539412.db2.gz SVSGEGWXIAKUHL-NTMALXAHSA-N 0 1 323.371 3.411 20 30 DGEDMN CCCCc1ccc(NC(=S)NN=Cc2[nH]cnc2C)cc1 ZINC000755527256 621577709 /nfs/dbraw/zinc/57/77/09/621577709.db2.gz UWFXPKZTVNLRSC-UHFFFAOYSA-N 0 1 315.446 3.381 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc(Br)c(OC)s2)C1 ZINC000862444857 621591118 /nfs/dbraw/zinc/59/11/18/621591118.db2.gz HUHANOVPEJWNHW-SNVBAGLBSA-N 0 1 314.248 3.364 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC000862446732 621591855 /nfs/dbraw/zinc/59/18/55/621591855.db2.gz CEXQRCUVIWEGDC-LLVKDONJSA-N 0 1 308.212 3.486 20 30 DGEDMN CCCCc1nc(C=NNCc2ccc(C#N)cc2)c(Cl)[nH]1 ZINC000755801553 621594509 /nfs/dbraw/zinc/59/45/09/621594509.db2.gz HYHLVMISBSNOOG-UHFFFAOYSA-N 0 1 315.808 3.401 20 30 DGEDMN CCSc1ccc(C(C)=NNC2=N[C@H]3CCCC[C@H]3N2)cc1 ZINC000755815269 621595562 /nfs/dbraw/zinc/59/55/62/621595562.db2.gz RWWQORHHHUZNNV-IYBDPMFKSA-N 0 1 316.474 3.383 20 30 DGEDMN C[C@@H]1CN(C2CC2)CC1N=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000755889094 621599909 /nfs/dbraw/zinc/59/99/09/621599909.db2.gz KNVLAUAKLPEMBY-SECBINFHSA-N 0 1 308.769 3.130 20 30 DGEDMN Cc1ccnc(NN=Cc2ccc(OC[C@@H]3CCCO3)cc2)c1 ZINC000755901800 621601449 /nfs/dbraw/zinc/60/14/49/621601449.db2.gz HQKWNCFANRVHJR-KRWDZBQOSA-N 0 1 311.385 3.394 20 30 DGEDMN C[C@@]1(C(=O)[C@H](C#N)c2nc3cc(F)ccc3s2)CCCO1 ZINC000756276823 621624282 /nfs/dbraw/zinc/62/42/82/621624282.db2.gz SBZQFYVAUFZFLP-BONVTDFDSA-N 0 1 304.346 3.181 20 30 DGEDMN CCc1[nH]nc(Cl)c1CN=Nc1ccc(C(F)(F)F)cn1 ZINC000863069107 621637183 /nfs/dbraw/zinc/63/71/83/621637183.db2.gz WOBPZCBTEWSMHG-UHFFFAOYSA-N 0 1 317.702 3.485 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2nc(C)cs2)c(OC)c1 ZINC000863105999 621638796 /nfs/dbraw/zinc/63/87/96/621638796.db2.gz ILJOSAKBZBDSCS-UHFFFAOYSA-N 0 1 319.386 3.083 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)CCCc1c[nH]c2ccccc12 ZINC000756553856 621647923 /nfs/dbraw/zinc/64/79/23/621647923.db2.gz OHGAEDMNQRGHSF-KRWDZBQOSA-N 0 1 324.424 3.131 20 30 DGEDMN Cc1ccc(OC(F)F)c(C=NNCCN2CCCCC2)c1 ZINC000756825305 621666703 /nfs/dbraw/zinc/66/67/03/621666703.db2.gz CEGNDMWFPHNZGM-UHFFFAOYSA-N 0 1 311.376 3.006 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNCc1cccc(Cl)c1 ZINC000756832049 621667170 /nfs/dbraw/zinc/66/71/70/621667170.db2.gz OCIBCRPMKPMNJI-UHFFFAOYSA-N 0 1 305.721 3.078 20 30 DGEDMN CCOc1ccc(/C=N/[C@H]2CN(C)Cc3ccccc32)c(O)c1 ZINC000756840735 621667431 /nfs/dbraw/zinc/66/74/31/621667431.db2.gz GWVUMEBKPSNWSI-PQFHHTBUSA-N 0 1 310.397 3.397 20 30 DGEDMN COc1ccc2c(c1)CCCC2=NNc1ccncc1Cl ZINC000756842611 621667554 /nfs/dbraw/zinc/66/75/54/621667554.db2.gz WEUDEJOVVMVLGN-UHFFFAOYSA-N 0 1 301.777 3.318 20 30 DGEDMN CCOc1ccc(O)c(/C=N/[C@@H]2CN(C)Cc3ccccc32)c1 ZINC000756846838 621667976 /nfs/dbraw/zinc/66/79/76/621667976.db2.gz OKUHFCJJFFZHHT-JLWFYQMASA-N 0 1 310.397 3.397 20 30 DGEDMN Cc1cc(Cl)cc(C)c1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000756895322 621670836 /nfs/dbraw/zinc/67/08/36/621670836.db2.gz MTYWRYNACSRFMM-GASCZTMLSA-N 0 1 304.825 3.151 20 30 DGEDMN Cc1cc(Cl)cc(C)c1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000756895324 621670983 /nfs/dbraw/zinc/67/09/83/621670983.db2.gz MTYWRYNACSRFMM-HUUCEWRRSA-N 0 1 304.825 3.151 20 30 DGEDMN CC(=NN=c1cc(C)cc[nH]1)c1ncc(Br)s1 ZINC000756895530 621671004 /nfs/dbraw/zinc/67/10/04/621671004.db2.gz RCRSOPNIWLDQKB-UHFFFAOYSA-N 0 1 311.208 3.445 20 30 DGEDMN C#CC[C@@H](NCc1ccc(OC)c([N+](=O)[O-])c1)c1ccccc1 ZINC000756995989 621677771 /nfs/dbraw/zinc/67/77/71/621677771.db2.gz BUVPDIGNSUXGQY-MRXNPFEDSA-N 0 1 310.353 3.458 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C2CC2)[C@@H]1c1cccc(OC)c1 ZINC000839594617 621697985 /nfs/dbraw/zinc/69/79/85/621697985.db2.gz WVSRWUMMEFUJFM-IAGOWNOFSA-N 0 1 306.837 3.315 20 30 DGEDMN CC(=NNc1cccc([N+](=O)[O-])c1)c1cc(F)cc(F)c1O ZINC000757451544 621712638 /nfs/dbraw/zinc/71/26/38/621712638.db2.gz SVZHTPDGGOSHMU-UHFFFAOYSA-N 0 1 307.256 3.415 20 30 DGEDMN COc1ccc(C(=O)/C=C2/COc3ccc(F)cc32)c(O)c1 ZINC000757472561 621715066 /nfs/dbraw/zinc/71/50/66/621715066.db2.gz NZVWRPVFQFDIRG-POHAHGRESA-N 0 1 300.285 3.199 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cnc3cccc(C)n23)c(O)c1 ZINC000757471580 621715126 /nfs/dbraw/zinc/71/51/26/621715126.db2.gz GOPIZBXMHHJXCN-TWGQIWQCSA-N 0 1 308.337 3.253 20 30 DGEDMN CCOC(=O)c1ccc(/C=C/C(=O)c2ccc(C)cc2O)o1 ZINC000757477037 621716100 /nfs/dbraw/zinc/71/61/00/621716100.db2.gz YAOFZEXQDQVNKI-VMPITWQZSA-N 0 1 300.310 3.366 20 30 DGEDMN C#CCOc1ccccc1CNc1ccc(F)c(-c2nc[nH]n2)c1 ZINC000864012058 621726981 /nfs/dbraw/zinc/72/69/81/621726981.db2.gz OTFXJXFJWWYQKI-UHFFFAOYSA-N 0 1 322.343 3.235 20 30 DGEDMN O=C1c2c(cc(F)cc2F)O/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000758030936 621754893 /nfs/dbraw/zinc/75/48/93/621754893.db2.gz TZKKWDQJFQYITP-PQMHYQBVSA-N 0 1 319.219 3.195 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2ccc(F)cc2Cl)nc1 ZINC000758118041 621759054 /nfs/dbraw/zinc/75/90/54/621759054.db2.gz LTHDKLPKVWFCGE-UHFFFAOYSA-N 0 1 307.712 3.107 20 30 DGEDMN COC(=O)C1CC(/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000864347072 621759240 /nfs/dbraw/zinc/75/92/40/621759240.db2.gz JRUGYAXAQRLVCZ-OMCISZLKSA-N 0 1 302.157 3.070 20 30 DGEDMN CC[C@H](C)N(Cc1ccccc1)Cn1ccc(C)c(C#N)c1=O ZINC000758195897 621764966 /nfs/dbraw/zinc/76/49/66/621764966.db2.gz IBLQJKDEYCAPMZ-INIZCTEOSA-N 0 1 309.413 3.287 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2Cn2cccc(C#N)c2=O)c1C ZINC000758226587 621768526 /nfs/dbraw/zinc/76/85/26/621768526.db2.gz YLVHFBLKRMFWJA-SFHVURJKSA-N 0 1 307.397 3.131 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC[C@H]3[C@H]3CCCO3)n2)cc1 ZINC000789514377 625515972 /nfs/dbraw/zinc/51/59/72/625515972.db2.gz PTBTXZWZAFGKIF-RBUKOAKNSA-N 0 1 322.412 3.023 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(F)c(C#N)c1)N1CCCCCC1 ZINC000758953435 621813896 /nfs/dbraw/zinc/81/38/96/621813896.db2.gz XABVPDUDWUYWGN-ZDUSSCGKSA-N 0 1 304.365 3.005 20 30 DGEDMN N#Cc1cc(C(=O)N[C@@H](CN2CCCCC2)c2ccccc2)co1 ZINC000865137617 621864807 /nfs/dbraw/zinc/86/48/07/621864807.db2.gz HIJDKJUACIJQMI-SFHVURJKSA-N 0 1 323.396 3.108 20 30 DGEDMN O=C(/C=C/c1ccnn1CC1CCC1)c1ccc(O)c(F)c1 ZINC000865216728 621874101 /nfs/dbraw/zinc/87/41/01/621874101.db2.gz FWBJLHMQKHZSDX-FNORWQNLSA-N 0 1 300.333 3.424 20 30 DGEDMN COc1ccccc1CC(C)(C)C(=O)Nc1cc(C#N)ccc1O ZINC000759716870 621885704 /nfs/dbraw/zinc/88/57/04/621885704.db2.gz DWOXKPFMJQJADS-UHFFFAOYSA-N 0 1 324.380 3.480 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)nc1 ZINC000759751907 621887804 /nfs/dbraw/zinc/88/78/04/621887804.db2.gz XXXVDHSDQXKNRZ-UHFFFAOYSA-N 0 1 312.279 3.129 20 30 DGEDMN N#CCc1cccc(C(=O)OC[C@H]2CCCN2Cc2ccco2)c1 ZINC000760139947 621917095 /nfs/dbraw/zinc/91/70/95/621917095.db2.gz JODLETDAICAGCL-QGZVFWFLSA-N 0 1 324.380 3.167 20 30 DGEDMN N#Cc1ccc2[nH]cc(C=NN=c3[nH]c4ccccc4[nH]3)c2c1 ZINC000760225035 621922205 /nfs/dbraw/zinc/92/22/05/621922205.db2.gz RSTIUZLMNHWTDP-UHFFFAOYSA-N 0 1 300.325 3.362 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2cc(F)cc3cccnc23)nc1 ZINC000760242968 621923696 /nfs/dbraw/zinc/92/36/96/621923696.db2.gz MDVILRRNUHSNFG-UHFFFAOYSA-N 0 1 311.276 3.123 20 30 DGEDMN N#CCOc1ccc(CNCc2ccc(C(F)F)nc2)cc1 ZINC000865452170 621924703 /nfs/dbraw/zinc/92/47/03/621924703.db2.gz LKPNASLGEOKBJR-UHFFFAOYSA-N 0 1 303.312 3.211 20 30 DGEDMN Clc1ccc(C=NNc2ncnc3nc[nH]c32)c2ncccc12 ZINC000760253778 621924907 /nfs/dbraw/zinc/92/49/07/621924907.db2.gz MDTYHAHRHHAUAJ-UHFFFAOYSA-N 0 1 323.747 3.001 20 30 DGEDMN C=CC[C@@H](NCc1cnc(CCOC)s1)c1ccncc1 ZINC000865465410 621927419 /nfs/dbraw/zinc/92/74/19/621927419.db2.gz ZEXGNJVAODJMEV-OAHLLOKOSA-N 0 1 303.431 3.134 20 30 DGEDMN Cc1cc(Cl)ccc1O[C@H](C)C(=O)C(C#N)c1ccncn1 ZINC000760338129 621933184 /nfs/dbraw/zinc/93/31/84/621933184.db2.gz CXZRQAXHQSGJMK-YPMHNXCESA-N 0 1 315.760 3.082 20 30 DGEDMN CC(C)(Oc1cccc(Cl)c1)C(=O)C(C#N)c1ccncn1 ZINC000760342182 621933375 /nfs/dbraw/zinc/93/33/75/621933375.db2.gz WNCSDOQGKVYGNM-ZDUSSCGKSA-N 0 1 315.760 3.164 20 30 DGEDMN Cn1c(C(O)=C(C#N)c2ccncn2)ccc1-c1ccc(F)cc1 ZINC000760345705 621933772 /nfs/dbraw/zinc/93/37/72/621933772.db2.gz MQYIZTLYHJUXIO-AWEZNQCLSA-N 0 1 320.327 3.111 20 30 DGEDMN Cc1ccc(Cl)c(O[C@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760357080 621934901 /nfs/dbraw/zinc/93/49/01/621934901.db2.gz JZGVJGOGWOMTJG-NEPJUHHUSA-N 0 1 315.760 3.082 20 30 DGEDMN C=C(C)COc1cc(CNCc2ccnc(F)c2)ccc1OC ZINC000865722512 621983605 /nfs/dbraw/zinc/98/36/05/621983605.db2.gz YRAXPQGTDXQBEC-UHFFFAOYSA-N 0 1 316.376 3.474 20 30 DGEDMN C[C@@H]1CC(N=Nc2ncc(C(F)(F)F)cc2Cl)CN1C ZINC000761795258 622030133 /nfs/dbraw/zinc/03/01/33/622030133.db2.gz YSCFTMZYCBJOFF-SSDOTTSWSA-N 0 1 306.719 3.246 20 30 DGEDMN CCCCOc1ccc(CN=Nc2cnn(C)c2)cc1OCC ZINC000761859917 622034456 /nfs/dbraw/zinc/03/44/56/622034456.db2.gz CMVSQCOOKKIXAG-UHFFFAOYSA-N 0 1 316.405 3.444 20 30 DGEDMN COc1cccc(CC(=NNc2cnn(C)c2)c2ccccc2)c1 ZINC000761866261 622035737 /nfs/dbraw/zinc/03/57/37/622035737.db2.gz QSZPTBIYQAHYHI-UHFFFAOYSA-N 0 1 320.396 3.488 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000762023372 622046882 /nfs/dbraw/zinc/04/68/82/622046882.db2.gz CUDDVTNVQCZMKC-XJKSGUPXSA-N 0 1 300.402 3.084 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000762027010 622047009 /nfs/dbraw/zinc/04/70/09/622047009.db2.gz UUIYRYXPUTTYRW-BLLLJJGKSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(C)c1nc([C@H](C)NCc2ccc(O[C@@H](C)C#N)cc2)n[nH]1 ZINC000762624385 622087687 /nfs/dbraw/zinc/08/76/87/622087687.db2.gz AXKFYLYNMMMTLK-STQMWFEESA-N 0 1 313.405 3.070 20 30 DGEDMN CC(C)Oc1cc(O)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000762693049 622091931 /nfs/dbraw/zinc/09/19/31/622091931.db2.gz ANQBIGNAHZGIRG-UHFFFAOYSA-N 0 1 312.325 3.009 20 30 DGEDMN Cc1ccc2c(c1)OCCCC2=NNc1ccncc1Cl ZINC000763179866 622127947 /nfs/dbraw/zinc/12/79/47/622127947.db2.gz REMHQLUAOYEDSO-UHFFFAOYSA-N 0 1 301.777 3.454 20 30 DGEDMN COc1ccc2c(c1)C(N=Nc1ccncc1Cl)CCCO2 ZINC000763179110 622128115 /nfs/dbraw/zinc/12/81/15/622128115.db2.gz MJVZWHKOTMBFJR-UHFFFAOYSA-N 0 1 317.776 3.154 20 30 DGEDMN COCCn1cc(CN=Nc2c(Cl)cccc2Cl)cn1 ZINC000763188760 622128477 /nfs/dbraw/zinc/12/84/77/622128477.db2.gz WNWKUCZVYZTBGA-UHFFFAOYSA-N 0 1 313.188 3.282 20 30 DGEDMN CN(Cc1ccc(F)cc1F)C[C@@H](O)c1ccc(C#N)cc1 ZINC000763232133 622131834 /nfs/dbraw/zinc/13/18/34/622131834.db2.gz FFNOHQVTVYMLFG-QGZVFWFLSA-N 0 1 302.324 3.002 20 30 DGEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N(C1CC1)C1CCCCC1 ZINC000867927837 622193964 /nfs/dbraw/zinc/19/39/64/622193964.db2.gz POJKUFCTMUMLDF-LJQANCHMSA-N 0 1 323.440 3.069 20 30 DGEDMN COc1cc(C(C)=NNc2ccc(C)cc2)cc([N+](=O)[O-])c1O ZINC000764648833 622210442 /nfs/dbraw/zinc/21/04/42/622210442.db2.gz KYZXNPDGOAHPGG-UHFFFAOYSA-N 0 1 315.329 3.454 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=Cc1ccc(C#N)c(C)c1 ZINC000766446931 622330665 /nfs/dbraw/zinc/33/06/65/622330665.db2.gz JUANGKVDLRPSRU-UHFFFAOYSA-N 0 1 310.313 3.230 20 30 DGEDMN CCCCCN(Cn1cc2c(c(C#N)c1=O)CCC2)C(C)C ZINC000766612013 622342179 /nfs/dbraw/zinc/34/21/79/622342179.db2.gz KQBMKWNHTRFUIB-UHFFFAOYSA-N 0 1 301.434 3.067 20 30 DGEDMN C[C@H]1CC(=NO[C@H]2CCCCO2)CN(Cc2ccccc2)C1 ZINC000767388736 622395523 /nfs/dbraw/zinc/39/55/23/622395523.db2.gz WGGRKAHEELBQBB-YJBOKZPZSA-N 0 1 302.418 3.428 20 30 DGEDMN C[C@@H]1CC(=NO[C@@H]2CCCCO2)CN(Cc2ccccc2)C1 ZINC000767388733 622395632 /nfs/dbraw/zinc/39/56/32/622395632.db2.gz WGGRKAHEELBQBB-CRAIPNDOSA-N 0 1 302.418 3.428 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)CC ZINC000767658656 622412272 /nfs/dbraw/zinc/41/22/72/622412272.db2.gz IPJFZXJQURIWHB-RAQZMWMQSA-N 0 1 318.398 3.084 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)CC ZINC000767658656 622412275 /nfs/dbraw/zinc/41/22/75/622412275.db2.gz IPJFZXJQURIWHB-RAQZMWMQSA-N 0 1 318.398 3.084 20 30 DGEDMN COc1ccc(N=NCc2ccc(OC)c(F)c2)c([N+](=O)[O-])c1 ZINC000767801222 622422252 /nfs/dbraw/zinc/42/22/52/622422252.db2.gz IKDCAMAHSKWDKI-UHFFFAOYSA-N 0 1 319.292 3.197 20 30 DGEDMN Cc1ccc(C=NNCCN2CCCCC2)cc1Br ZINC000767900748 622426844 /nfs/dbraw/zinc/42/68/44/622426844.db2.gz WKSWBKQMDMCRFL-UHFFFAOYSA-N 0 1 324.266 3.167 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H](C#N)C(=O)C1(C(F)F)CCC1 ZINC000870115135 622434111 /nfs/dbraw/zinc/43/41/11/622434111.db2.gz BRDBMZXQTXGEFL-JTQLQIEISA-N 0 1 303.312 3.185 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H]2Cc3ccc(Cl)cc32)nc(C)n1 ZINC000870134038 622436538 /nfs/dbraw/zinc/43/65/38/622436538.db2.gz GOUXRTLAJIPWOR-GJZGRUSLSA-N 0 1 311.772 3.263 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000870136366 622437000 /nfs/dbraw/zinc/43/70/00/622437000.db2.gz MJTIDIUXLTXFBX-SZWDSURNSA-N 0 1 316.788 3.279 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000870140090 622437058 /nfs/dbraw/zinc/43/70/58/622437058.db2.gz VSEQNPJFTDABKK-MOLYVOAJSA-N 0 1 316.788 3.279 20 30 DGEDMN C#CCOc1ccccc1CN1CCN(c2ccccc2)CC1 ZINC000768137559 622441531 /nfs/dbraw/zinc/44/15/31/622441531.db2.gz IMQBPBHYJHTCKS-UHFFFAOYSA-N 0 1 306.409 3.021 20 30 DGEDMN CC[C@@H]1CO[C@H](C)CN1Cc1ccc(N(C)CCC#N)cc1 ZINC000768174058 622443091 /nfs/dbraw/zinc/44/30/91/622443091.db2.gz XBIJTKCYQCYADY-NVXWUHKLSA-N 0 1 301.434 3.036 20 30 DGEDMN N#CC(C(=O)CCc1ccc(F)c2c1CCCC2)c1ccncn1 ZINC000870288261 622452303 /nfs/dbraw/zinc/45/23/03/622452303.db2.gz OUCQHPDLMCYLGK-MRXNPFEDSA-N 0 1 323.371 3.304 20 30 DGEDMN N#CC(C(=O)CCc1ccccc1C(F)(F)F)c1ccncn1 ZINC000870288826 622452312 /nfs/dbraw/zinc/45/23/12/622452312.db2.gz YZGQSTKORIERKY-LBPRGKRZSA-N 0 1 319.286 3.304 20 30 DGEDMN C#CCCN(Cc1cnc(-c2ccccc2)[nH]1)CC(F)(F)F ZINC000768533186 622462941 /nfs/dbraw/zinc/46/29/41/622462941.db2.gz DWUOQFAVZSHCAV-UHFFFAOYSA-N 0 1 307.319 3.464 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2cc(C3CCCCC3)n[nH]2)c1 ZINC000870691497 622497178 /nfs/dbraw/zinc/49/71/78/622497178.db2.gz NIZRVPWWVALMBF-UHFFFAOYSA-N 0 1 310.357 3.053 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000769284644 622513748 /nfs/dbraw/zinc/51/37/48/622513748.db2.gz VKKGGLZRPZMNKA-QILRFPOHSA-N 0 1 311.168 3.297 20 30 DGEDMN C[C@H]1[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CCOC1(C)C ZINC000769450882 622528432 /nfs/dbraw/zinc/52/84/32/622528432.db2.gz CEMKRFSMSHQLNL-OAHLLOKOSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@H]1N(Cc2ccc(N(C)CCC#N)cc2)CCOC1(C)C ZINC000769450882 622528435 /nfs/dbraw/zinc/52/84/35/622528435.db2.gz CEMKRFSMSHQLNL-OAHLLOKOSA-N 0 1 301.434 3.036 20 30 DGEDMN O=C1c2ccccc2CCN1N=Cc1cccc(Cl)c1O ZINC000769592142 622542430 /nfs/dbraw/zinc/54/24/30/622542430.db2.gz FUOSSPKBLUCAOT-UHFFFAOYSA-N 0 1 300.745 3.078 20 30 DGEDMN CCc1noc(C)c1C(C)=NNc1ncnc2ccsc21 ZINC000769820442 622564567 /nfs/dbraw/zinc/56/45/67/622564567.db2.gz FOXSOKZWABSMQG-UHFFFAOYSA-N 0 1 301.375 3.386 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1c(O)cccc1Cl ZINC000769912320 622575390 /nfs/dbraw/zinc/57/53/90/622575390.db2.gz GFOJPFOLZULMKP-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN C#CCN(CC#CC)C(=O)c1cc(Cl)c2ccccc2c1O ZINC000769914345 622576230 /nfs/dbraw/zinc/57/62/30/622576230.db2.gz RCMTUVFBSOXHRL-UHFFFAOYSA-N 0 1 311.768 3.298 20 30 DGEDMN CN1CCN(c2ccc(/C=C3/Oc4ccccc4C3=O)cc2)CC1 ZINC000771523612 622771605 /nfs/dbraw/zinc/77/16/05/622771605.db2.gz CCLPADGEXRKAPN-XMHGGMMESA-N 0 1 320.392 3.055 20 30 DGEDMN C#C[C@H]1CC[C@H](N[C@@H](c2ccn(C)n2)c2ccc(F)cc2)CC1 ZINC000872140484 622830578 /nfs/dbraw/zinc/83/05/78/622830578.db2.gz OYFDEDYOBBJYDN-LSBZLQRGSA-N 0 1 311.404 3.430 20 30 DGEDMN C#C[C@H]1CC[C@H](N[C@H](c2ccn(C)n2)c2ccc(F)cc2)CC1 ZINC000872140483 622830699 /nfs/dbraw/zinc/83/06/99/622830699.db2.gz OYFDEDYOBBJYDN-FNHZYXHNSA-N 0 1 311.404 3.430 20 30 DGEDMN Cc1noc2ncc(CN=Nc3nccnc3C3CCC3)cc12 ZINC000872373320 622900128 /nfs/dbraw/zinc/90/01/28/622900128.db2.gz BFXQNWKRRZAMOR-UHFFFAOYSA-N 0 1 308.345 3.035 20 30 DGEDMN Cn1nc2c(c1CN=Nc1ccc(F)c(F)c1F)CCCC2 ZINC000872379194 622900423 /nfs/dbraw/zinc/90/04/23/622900423.db2.gz XSCGUSDGGAVBPK-UHFFFAOYSA-N 0 1 308.307 3.162 20 30 DGEDMN COC(=O)c1cc(N=NCC(F)(F)C(F)(F)F)ccc1F ZINC000872380090 622901075 /nfs/dbraw/zinc/90/10/75/622901075.db2.gz UNEQEMAUVTYZIR-UHFFFAOYSA-N 0 1 314.185 3.208 20 30 DGEDMN C#CCN(Cc1cc(O)ccc1[N+](=O)[O-])[C@@H]1CCc2ccccc21 ZINC000772598158 622916433 /nfs/dbraw/zinc/91/64/33/622916433.db2.gz IDDJQSQZMNECQW-LJQANCHMSA-N 0 1 322.364 3.423 20 30 DGEDMN Cc1cncc(C=CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000772653170 622923584 /nfs/dbraw/zinc/92/35/84/622923584.db2.gz IHPHTWFWSXSFKQ-LFAOLKIESA-N 0 1 316.364 3.464 20 30 DGEDMN Cc1cccc2c1OC/C(=C\c1cc(O)ccc1[N+](=O)[O-])C2=O ZINC000772805514 622943334 /nfs/dbraw/zinc/94/33/34/622943334.db2.gz IJGFMYNRLDHLBY-KPKJPENVSA-N 0 1 311.293 3.268 20 30 DGEDMN Cc1ccc(C#N)nc1N1CCC[C@@H](c2cc(C(C)C)n[nH]2)C1 ZINC000872647637 622948672 /nfs/dbraw/zinc/94/86/72/622948672.db2.gz HSERYTILBMOCFB-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN CCN(CCNCc1ccc(C#N)cc1F)C(=O)OC(C)(C)C ZINC000772917851 622954205 /nfs/dbraw/zinc/95/42/05/622954205.db2.gz DLDNSPBDBUFHGK-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2cnn(CC)c2)c1 ZINC000772984745 622960999 /nfs/dbraw/zinc/96/09/99/622960999.db2.gz PVSGUVZXLYJSGV-YOEHRIQHSA-N 0 1 312.417 3.435 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2cnn(CC)c2)c1 ZINC000772984718 622961349 /nfs/dbraw/zinc/96/13/49/622961349.db2.gz PVSGUVZXLYJSGV-PBHICJAKSA-N 0 1 312.417 3.435 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(Cl)nc2)cc1C#N ZINC000773082288 622980234 /nfs/dbraw/zinc/98/02/34/622980234.db2.gz HOWPNHWORLLHSN-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN CC(C)c1nccnc1N=NCc1cnc(-c2ccccc2)[nH]1 ZINC000773090920 622982335 /nfs/dbraw/zinc/98/23/35/622982335.db2.gz KOPISALHSLHDTO-UHFFFAOYSA-N 0 1 306.373 3.436 20 30 DGEDMN COCCN(C)c1ccc(C=NN[C@@H]2CCCCC2(C)C)cn1 ZINC000773122594 622987695 /nfs/dbraw/zinc/98/76/95/622987695.db2.gz ANHUNRJZDXMORP-MRXNPFEDSA-N 0 1 318.465 3.057 20 30 DGEDMN COc1ccc(CNN=Cc2ccc(N(C)C(C)C)nc2)cc1 ZINC000790158364 625611466 /nfs/dbraw/zinc/61/14/66/625611466.db2.gz XHVUILXQTOXMNT-UHFFFAOYSA-N 0 1 312.417 3.059 20 30 DGEDMN COC(=O)c1cc(CN=Nc2nc3ccccc3cc2C)cn1C ZINC000790169922 625611804 /nfs/dbraw/zinc/61/18/04/625611804.db2.gz CVOXRPVNQWEGPP-UHFFFAOYSA-N 0 1 322.368 3.114 20 30 DGEDMN C#CCCCCNC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872937775 623002083 /nfs/dbraw/zinc/00/20/83/623002083.db2.gz QWLUTGIYHGBODD-OAHLLOKOSA-N 0 1 316.449 3.226 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872983094 623010196 /nfs/dbraw/zinc/01/01/96/623010196.db2.gz VFVHVGRBRLQXNO-GJZGRUSLSA-N 0 1 316.449 3.224 20 30 DGEDMN C=C(C)CCNC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000873030451 623021331 /nfs/dbraw/zinc/02/13/31/623021331.db2.gz GXSKJDRLGPNVEN-AWEZNQCLSA-N 0 1 304.438 3.388 20 30 DGEDMN C[C@H](NCc1cccc(F)c1C#N)[C@H](C)NC(=O)OC(C)(C)C ZINC000873130835 623043994 /nfs/dbraw/zinc/04/39/94/623043994.db2.gz HHGXTKGCPDGNES-RYUDHWBXSA-N 0 1 321.396 3.089 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000773574804 623046892 /nfs/dbraw/zinc/04/68/92/623046892.db2.gz GUQKFHQRSJQQGQ-ROUUACIJSA-N 0 1 312.457 3.301 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCCC1(C)C ZINC000773981398 623096165 /nfs/dbraw/zinc/09/61/65/623096165.db2.gz YBRUYPVULSPFJY-OLZOCXBDSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)CC(C)(C)C ZINC000773980186 623096198 /nfs/dbraw/zinc/09/61/98/623096198.db2.gz OEJQJYCRXYLFDS-CHWSQXEVSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)C(=O)Nc2ccc(Cl)cc2)ns1 ZINC000774042312 623101165 /nfs/dbraw/zinc/10/11/65/623101165.db2.gz GTLNXXWIHHAROV-LLVKDONJSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cc(C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)ns1 ZINC000774042312 623101166 /nfs/dbraw/zinc/10/11/66/623101166.db2.gz GTLNXXWIHHAROV-LLVKDONJSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1ccc(C=CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cn1 ZINC000774106344 623107786 /nfs/dbraw/zinc/10/77/86/623107786.db2.gz XXAWKYYMHINIJR-HVHJFMEUSA-N 0 1 316.364 3.464 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)c1F ZINC000774660205 623172721 /nfs/dbraw/zinc/17/27/21/623172721.db2.gz PCXYRTWTDGFIJL-JKSUJKDBSA-N 0 1 314.360 3.378 20 30 DGEDMN CC[C@@H](CN(CC)Cn1cccc(C#N)c1=O)c1ccccc1 ZINC000774700374 623178599 /nfs/dbraw/zinc/17/85/99/623178599.db2.gz AYFJNXCIIRPCSD-INIZCTEOSA-N 0 1 309.413 3.193 20 30 DGEDMN COc1cccc(CC(=NNc2nccn2C)c2ccccc2)c1 ZINC000790299251 625631276 /nfs/dbraw/zinc/63/12/76/625631276.db2.gz AAHHZAOALBHYHS-UHFFFAOYSA-N 0 1 320.396 3.488 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=C1CCc2c1cc(Br)cc2F ZINC000790299373 625631688 /nfs/dbraw/zinc/63/16/88/625631688.db2.gz CXKXVXKGVCYMAV-UHFFFAOYSA-N 0 1 323.169 3.084 20 30 DGEDMN Cn1ccnc1NN=C1CCc2c1c(Br)ccc2F ZINC000790305226 625632121 /nfs/dbraw/zinc/63/21/21/625632121.db2.gz FWPBFCFWQHWTHO-UHFFFAOYSA-N 0 1 323.169 3.084 20 30 DGEDMN COc1cc(Cl)ccc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000775295937 623250538 /nfs/dbraw/zinc/25/05/38/623250538.db2.gz VVOJEFIBSCFPDX-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C#C[C@H](NCc1ccc(OC(F)F)c(Cl)c1)[C@@H]1CCCO1 ZINC000775343269 623257492 /nfs/dbraw/zinc/25/74/92/623257492.db2.gz VTJYBYWFAWXBHE-JSGCOSHPSA-N 0 1 315.747 3.212 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCC=C)c(Cl)c1)[C@@H]1CCCO1 ZINC000775352314 623258772 /nfs/dbraw/zinc/25/87/72/623258772.db2.gz WRZFICXJJUEEQA-WBVHZDCISA-N 0 1 305.805 3.175 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000775399050 623267461 /nfs/dbraw/zinc/26/74/61/623267461.db2.gz FIYDXXGKGXAURV-HNAYVOBHSA-N 0 1 324.399 3.357 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000775400048 623268706 /nfs/dbraw/zinc/26/87/06/623268706.db2.gz HJAGTAQNGFVQRM-KRWDZBQOSA-N 0 1 310.372 3.427 20 30 DGEDMN C#CC[C@H](NCC(=O)N1c2ccccc2C[C@@H]1C)c1ccccc1 ZINC000775399452 623268768 /nfs/dbraw/zinc/26/87/68/623268768.db2.gz RQPVVOLUQSVWFT-LPHOPBHVSA-N 0 1 318.420 3.318 20 30 DGEDMN C#CC[C@H](NCc1ccc(C(=O)OC)c(F)c1)c1ccccc1 ZINC000775401415 623269556 /nfs/dbraw/zinc/26/95/56/623269556.db2.gz UBKTWJTYHUKILP-SFHVURJKSA-N 0 1 311.356 3.467 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)C1CCC(C)CC1)c1ccccc1 ZINC000775403707 623269620 /nfs/dbraw/zinc/26/96/20/623269620.db2.gz IGKIXAZEZQWOFQ-KVZIAJEVSA-N 0 1 312.457 3.378 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(Br)s1)[C@H]1CCCO1 ZINC000775572491 623291454 /nfs/dbraw/zinc/29/14/54/623291454.db2.gz BAPOAXKQCBFRDO-GARJFASQSA-N 0 1 314.248 3.342 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(OC(F)(F)F)cc1)[C@@H]1CCCO1 ZINC000775572502 623291638 /nfs/dbraw/zinc/29/16/38/623291638.db2.gz RYKQTRGNXBVIEH-UGFHNGPFSA-N 0 1 313.319 3.417 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H](C)c1ccc(N(C)C)cc1 ZINC000775835065 623339478 /nfs/dbraw/zinc/33/94/78/623339478.db2.gz DTLJHTONHPSUAC-CQSZACIVSA-N 0 1 309.413 3.484 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)Nc1cc(C#N)c(F)cc1C ZINC000874901399 623387472 /nfs/dbraw/zinc/38/74/72/623387472.db2.gz PGJNHRMJZZKDFU-UHFFFAOYSA-N 0 1 300.337 3.413 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1ccc(O[C@H](C)C#N)cc1 ZINC000776187245 623392578 /nfs/dbraw/zinc/39/25/78/623392578.db2.gz KLZTWVPBYTYKQL-CYBMUJFWSA-N 0 1 323.352 3.210 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1ccc(-n2cccn2)cc1 ZINC000776189590 623393786 /nfs/dbraw/zinc/39/37/86/623393786.db2.gz MWPMOSGMQJVLDT-UHFFFAOYSA-N 0 1 320.352 3.105 20 30 DGEDMN COc1cccc(OC)c1/C=C/C(=O)c1cc(F)ccc1O ZINC000776189613 623394056 /nfs/dbraw/zinc/39/40/56/623394056.db2.gz IKEGQLBELLDMRQ-VQHVLOKHSA-N 0 1 302.301 3.445 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000882138003 625645291 /nfs/dbraw/zinc/64/52/91/625645291.db2.gz BATOAIQUHIRXTJ-KGLIPLIRSA-N 0 1 317.414 3.182 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2cc(F)cc(Cl)c2)nc1 ZINC000776725931 623455977 /nfs/dbraw/zinc/45/59/77/623455977.db2.gz HINXRMRZBYVOKT-UHFFFAOYSA-N 0 1 307.712 3.107 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(C#N)c(F)c2)cc1C#N ZINC000776745416 623459156 /nfs/dbraw/zinc/45/91/56/623459156.db2.gz FIFCRELQZGAXRZ-LBPRGKRZSA-N 0 1 309.344 3.428 20 30 DGEDMN COC(=O)c1occc1C=NN=c1[nH]c2ccccc2cc1C ZINC000776785620 623463358 /nfs/dbraw/zinc/46/33/58/623463358.db2.gz XYCYBELETRGFLB-UHFFFAOYSA-N 0 1 309.325 3.369 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)c1c(F)cc(O)cc1F ZINC000777052401 623490655 /nfs/dbraw/zinc/49/06/55/623490655.db2.gz CYNNLBNOYJOPHP-UHFFFAOYSA-N 0 1 303.264 3.208 20 30 DGEDMN C=CCSc1ccccc1C(=O)OCc1n[nH]c(CC)n1 ZINC000777075415 623494095 /nfs/dbraw/zinc/49/40/95/623494095.db2.gz GMXDIZCWZAPVLJ-UHFFFAOYSA-N 0 1 303.387 3.002 20 30 DGEDMN CC(C)[C@H](Cc1ccccc1)NN=Cc1cnc(N(C)C)s1 ZINC000777448146 623526655 /nfs/dbraw/zinc/52/66/55/623526655.db2.gz MDYRBNZCFSDUHJ-INIZCTEOSA-N 0 1 316.474 3.400 20 30 DGEDMN C#CC[C@@H]([NH2+]Cc1cc(=O)oc2cc([O-])ccc12)c1ccccc1 ZINC000777719430 623560623 /nfs/dbraw/zinc/56/06/23/623560623.db2.gz DDPTYGCYFKTACE-GOSISDBHSA-N 0 1 319.360 3.353 20 30 DGEDMN Clc1ccnc(NN=Cc2cnc3ccc(Cl)cn23)c1 ZINC000777906531 623583701 /nfs/dbraw/zinc/58/37/01/623583701.db2.gz WUCGTRXXCDGBEQ-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2c(C)ccc3cccnc32)c1 ZINC000777914642 623584402 /nfs/dbraw/zinc/58/44/02/623584402.db2.gz BUEVECMTFAKYFF-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN CC[C@H](C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)N(CC)CC ZINC000778650606 623679845 /nfs/dbraw/zinc/67/98/45/623679845.db2.gz KRDWSIYGFVMJFG-DZGCQCFKSA-N 0 1 312.417 3.168 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876690972 623683951 /nfs/dbraw/zinc/68/39/51/623683951.db2.gz WSBJYVDWZZXWMC-HUUCEWRRSA-N 0 1 309.454 3.258 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)n1 ZINC000876804000 623710041 /nfs/dbraw/zinc/71/00/41/623710041.db2.gz LOMUDSWQTHBPFF-JKSUJKDBSA-N 0 1 313.426 3.103 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H]2COCC[C@@H]21 ZINC000779164953 623737211 /nfs/dbraw/zinc/73/72/11/623737211.db2.gz WFRCEDZHDNADOW-YOEHRIQHSA-N 0 1 319.832 3.353 20 30 DGEDMN Cc1cccc(C)c1OCCNCc1cc(C#N)cnc1Cl ZINC000876954089 623753781 /nfs/dbraw/zinc/75/37/81/623753781.db2.gz CIOHPLFRRMQISZ-UHFFFAOYSA-N 0 1 315.804 3.392 20 30 DGEDMN N#CCC1(CNCc2cnn(Cc3ccccc3)c2Cl)CC1 ZINC000790591248 625674898 /nfs/dbraw/zinc/67/48/98/625674898.db2.gz PQYQRUPHHSBOOW-UHFFFAOYSA-N 0 1 314.820 3.368 20 30 DGEDMN O=C(/C=C\c1ccnn1-c1ccccc1)c1ccc(O)cc1O ZINC000790601522 625675971 /nfs/dbraw/zinc/67/59/71/625675971.db2.gz VRYQBKFQEJWQCX-TWGQIWQCSA-N 0 1 306.321 3.180 20 30 DGEDMN CCOCc1cc(C=NNc2cccc(OC)n2)ccc1OC ZINC000779816596 623812428 /nfs/dbraw/zinc/81/24/28/623812428.db2.gz DBAGCDBMMPMODU-UHFFFAOYSA-N 0 1 315.373 3.081 20 30 DGEDMN CC(C)O[C@H]1C[C@H](NCc2cc(C#N)cnc2Cl)C1(C)C ZINC000877378859 623863630 /nfs/dbraw/zinc/86/36/30/623863630.db2.gz CJLYVTJPBLESKB-KBPBESRZSA-N 0 1 307.825 3.288 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1c[nH]nc1-c1ccsc1 ZINC000780189377 623875431 /nfs/dbraw/zinc/87/54/31/623875431.db2.gz YSOLRWAVTODLTP-UHFFFAOYSA-N 0 1 308.370 3.461 20 30 DGEDMN COCCN(Cc1cc(C#N)cnc1Cl)[C@H]1CC[C@H](C)C1 ZINC000877474347 623899866 /nfs/dbraw/zinc/89/98/66/623899866.db2.gz TZVVRTHDPVVANP-WFASDCNBSA-N 0 1 307.825 3.244 20 30 DGEDMN COc1ccc(NN=C(C)c2nc(C(F)(F)F)cs2)nc1 ZINC000780268920 623900601 /nfs/dbraw/zinc/90/06/01/623900601.db2.gz NOJPSQFVSIJFBI-UHFFFAOYSA-N 0 1 316.308 3.402 20 30 DGEDMN C[C@@H]1CC(N=Nc2ncncc2Cl)CN1Cc1ccccc1 ZINC000780279018 623902261 /nfs/dbraw/zinc/90/22/61/623902261.db2.gz JFKQBHDOVMXNGQ-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN Cc1cc(Cl)nc(CN[C@@H](C)CC2(C#N)CCOCC2)c1 ZINC000877497951 623912055 /nfs/dbraw/zinc/91/20/55/623912055.db2.gz GXKWPWONQSOQEM-ZDUSSCGKSA-N 0 1 307.825 3.232 20 30 DGEDMN C[C@@H](O)C[C@@H]1CCCCCN1Cc1cc(C#N)cnc1Cl ZINC000877517832 623920574 /nfs/dbraw/zinc/92/05/74/623920574.db2.gz QQFUESSCNZMZIQ-DOMZBBRYSA-N 0 1 307.825 3.122 20 30 DGEDMN C#CCCCCCCN[C@@H](C)c1ncc(Br)cn1 ZINC000877549003 623937471 /nfs/dbraw/zinc/93/74/71/623937471.db2.gz GDTQRGVUYSXTCV-LBPRGKRZSA-N 0 1 310.239 3.473 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(N3CCCCC3)cc2)nc1 ZINC000780557768 623951010 /nfs/dbraw/zinc/95/10/10/623951010.db2.gz DGBYXIUGRBNCOE-UHFFFAOYSA-N 0 1 306.413 3.233 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2ccc(F)cc2C#N)CC1 ZINC000877746528 624018190 /nfs/dbraw/zinc/01/81/90/624018190.db2.gz CQOYIHFGBVTGKJ-UHFFFAOYSA-N 0 1 314.408 3.149 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=Cc1ccccc1C#N ZINC000781357355 624060163 /nfs/dbraw/zinc/06/01/63/624060163.db2.gz NUFACJBCHIGXGE-SREVYHEPSA-N 0 1 302.284 3.179 20 30 DGEDMN Cc1cc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)co1 ZINC000781448970 624071791 /nfs/dbraw/zinc/07/17/91/624071791.db2.gz UKZRUQKSHCQQJO-LLVKDONJSA-N 0 1 302.717 3.203 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCC(C)(C)C2)c1 ZINC000781763921 624141234 /nfs/dbraw/zinc/14/12/34/624141234.db2.gz ZNOOWMYWYYZRAP-SWLSCSKDSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCCCC#N ZINC000782094331 624203052 /nfs/dbraw/zinc/20/30/52/624203052.db2.gz AWKKWUFDJDSGLP-HNNXBMFYSA-N 0 1 309.454 3.402 20 30 DGEDMN CC(=NNc1ccccc1F)c1ccc(N2CCNC2=O)cc1 ZINC000782186718 624217153 /nfs/dbraw/zinc/21/71/53/624217153.db2.gz PHTBJIRQYBOPDW-UHFFFAOYSA-N 0 1 312.348 3.191 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccnc(Cl)c1 ZINC000782192876 624217821 /nfs/dbraw/zinc/21/78/21/624217821.db2.gz CBEJMKXSZZAXFL-RJRFIUFISA-N 0 1 304.689 3.245 20 30 DGEDMN CCc1cc(CNc2ccc(Br)cc2C#N)n[nH]1 ZINC000782953082 624291498 /nfs/dbraw/zinc/29/14/98/624291498.db2.gz PQTRZBFPQDYDMZ-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000878579458 624314186 /nfs/dbraw/zinc/31/41/86/624314186.db2.gz LQNOMXPHIQTOOW-KBXCAEBGSA-N 0 1 309.413 3.290 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H]1CCC(C)(C)CO1 ZINC000878607814 624320900 /nfs/dbraw/zinc/32/09/00/624320900.db2.gz ZFUBORWSXIPPCW-HNNXBMFYSA-N 0 1 307.434 3.355 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CCC(C)(C)CO1 ZINC000878607814 624320902 /nfs/dbraw/zinc/32/09/02/624320902.db2.gz ZFUBORWSXIPPCW-HNNXBMFYSA-N 0 1 307.434 3.355 20 30 DGEDMN O=C(/C=C/c1cc(-n2ccnc2)cs1)c1ccc(O)cc1O ZINC000783711509 624385974 /nfs/dbraw/zinc/38/59/74/624385974.db2.gz ZILHGOSYYHWZGQ-DUXPYHPUSA-N 0 1 312.350 3.241 20 30 DGEDMN C[C@@H](C=Nn1c(=O)c2ccccc2[nH]c1=S)Cc1ccccc1 ZINC000783821308 624397806 /nfs/dbraw/zinc/39/78/06/624397806.db2.gz LYMQZDVRBNGSMO-CYBMUJFWSA-N 0 1 323.421 3.398 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nccc(C)c1C(=O)OCC ZINC000878991517 624403081 /nfs/dbraw/zinc/40/30/81/624403081.db2.gz KILMFBZVNNHONL-GASCZTMLSA-N 0 1 300.402 3.250 20 30 DGEDMN N#Cc1ccc(NN=Cc2cc(-c3cccnc3)[nH]n2)cc1Cl ZINC000783881169 624405203 /nfs/dbraw/zinc/40/52/03/624405203.db2.gz SCZXONHSEYMCLX-UHFFFAOYSA-N 0 1 322.759 3.443 20 30 DGEDMN N#Cc1ccc(C2CCN(CC3(Br)CC3)CC2)nc1 ZINC000879024518 624410690 /nfs/dbraw/zinc/41/06/90/624410690.db2.gz XFFPLIOMSDDKHJ-UHFFFAOYSA-N 0 1 320.234 3.060 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H](F)C(C)C ZINC000784490784 624533486 /nfs/dbraw/zinc/53/34/86/624533486.db2.gz KIFKKJBKVZEGQI-LSDHHAIUSA-N 0 1 318.392 3.453 20 30 DGEDMN N#C[C@H](C(=O)C1(Cc2ccccc2Cl)CC1)c1ccncn1 ZINC000784545330 624546276 /nfs/dbraw/zinc/54/62/76/624546276.db2.gz HPPKSYBEUATYHP-ZDUSSCGKSA-N 0 1 311.772 3.329 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000879714714 624650670 /nfs/dbraw/zinc/65/06/70/624650670.db2.gz XVVKPNLCZITUEQ-KBPBESRZSA-N 0 1 315.417 3.292 20 30 DGEDMN C#CC[C@@H](NCc1cc(Br)nn1C)c1ccccc1 ZINC000785504319 624678759 /nfs/dbraw/zinc/67/87/59/624678759.db2.gz VCBIWTZZVQGVNK-CQSZACIVSA-N 0 1 318.218 3.037 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](c2nc(C)cs2)C1 ZINC000880007068 624751022 /nfs/dbraw/zinc/75/10/22/624751022.db2.gz AGONEELKACEYNG-GJZGRUSLSA-N 0 1 321.490 3.054 20 30 DGEDMN COC(=O)CC[C@@H]1CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000880041480 624764055 /nfs/dbraw/zinc/76/40/55/624764055.db2.gz LLDTVYBXRDSEGX-ZDUSSCGKSA-N 0 1 320.820 3.377 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](C)N(CC1(CC#N)CC1)CC2 ZINC000880089366 624781582 /nfs/dbraw/zinc/78/15/82/624781582.db2.gz BKUOSIJXZCAJRB-ZDUSSCGKSA-N 0 1 300.402 3.317 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CC1 ZINC000786535961 624913270 /nfs/dbraw/zinc/91/32/70/624913270.db2.gz WVHADTNVNNOKTB-ABAIWWIYSA-N 0 1 318.804 3.101 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CC1 ZINC000786535963 624913332 /nfs/dbraw/zinc/91/33/32/624913332.db2.gz WVHADTNVNNOKTB-NHYWBVRUSA-N 0 1 318.804 3.101 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@@H]2CCC[C@@H]2C)c1 ZINC000786541084 624915119 /nfs/dbraw/zinc/91/51/19/624915119.db2.gz KBXHCIUZFNQKFD-BHYGNILZSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2C[C@]2(C)C(C)C)c1 ZINC000786547987 624918609 /nfs/dbraw/zinc/91/86/09/624918609.db2.gz ZZABKLOFFXJJOQ-GPMSIDNRSA-N 0 1 312.413 3.002 20 30 DGEDMN C#C[C@H](N[C@H]1CCSc2ccc(OC)cc21)[C@@H]1CCCO1 ZINC000786580594 624925411 /nfs/dbraw/zinc/92/54/11/624925411.db2.gz BWBIVMCOWUTAHX-JYJNAYRXSA-N 0 1 303.427 3.003 20 30 DGEDMN Cc1cc(COC(=O)[C@@H]2CCCN2C2CCCC2)ccc1C#N ZINC000786882173 624960542 /nfs/dbraw/zinc/96/05/42/624960542.db2.gz NWZYTZWXNXXMJD-SFHVURJKSA-N 0 1 312.413 3.317 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@@H]2CCCN2C2CCCC2)cc1F ZINC000786889593 624961933 /nfs/dbraw/zinc/96/19/33/624961933.db2.gz NCALZVSFTYWJDA-KRWDZBQOSA-N 0 1 316.376 3.148 20 30 DGEDMN CCN(Cc1cc(C#N)ccc1OC)[C@H](CO)c1ccccc1 ZINC000880464895 624961932 /nfs/dbraw/zinc/96/19/32/624961932.db2.gz XBLYMARQHRUBBB-GOSISDBHSA-N 0 1 310.397 3.122 20 30 DGEDMN Cn1cc(C2C[C@H]3CC[C@@H](C2)N3Cc2ccc(F)cc2C#N)cn1 ZINC000880519942 624972064 /nfs/dbraw/zinc/97/20/64/624972064.db2.gz ULNJFNCMHNKQHO-WUUXGELPSA-N 0 1 324.403 3.341 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CC[C@H](C)C1)c1ccccc1 ZINC000787040244 624973150 /nfs/dbraw/zinc/97/31/50/624973150.db2.gz UWIRGTVUBJFSOC-SVGFKBNWSA-N 0 1 312.413 3.399 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880659012 624995581 /nfs/dbraw/zinc/99/55/81/624995581.db2.gz VNUZWDBYUZJNHT-UICACZKSSA-N 0 1 301.434 3.327 20 30 DGEDMN N#Cc1cnc2c(c1)CCCC2N=Nc1ccc([N+](=O)[O-])cc1 ZINC000788409945 625160489 /nfs/dbraw/zinc/16/04/89/625160489.db2.gz ZNLCHHRSCWPFQC-UHFFFAOYSA-N 0 1 307.313 3.014 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)NC[C@H]1CC[N@H+]1C(C)(C)C ZINC000880985932 625179789 /nfs/dbraw/zinc/17/97/89/625179789.db2.gz AOFPNLIZXOPNJX-CYBMUJFWSA-N 0 1 318.396 3.000 20 30 DGEDMN Cc1cc(C#N)cc(N2CCN([C@@H](C)c3ccccc3)CC2)n1 ZINC000882810502 625825113 /nfs/dbraw/zinc/82/51/13/625825113.db2.gz QLFQEBYGCPDKAU-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CSCCS1)c1nccc2ccccc21 ZINC000792093740 625909375 /nfs/dbraw/zinc/90/93/75/625909375.db2.gz AHKSVGREETYNTC-ZIAGYGMSSA-N 0 1 314.435 3.260 20 30 DGEDMN Cc1ccccc1OCC(=O)C(C#N)c1cnc2ccccc2n1 ZINC000792108196 625910202 /nfs/dbraw/zinc/91/02/02/625910202.db2.gz KZQSUZVGUGBSTM-CQSZACIVSA-N 0 1 317.348 3.194 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1cc(C)cc(Br)c1[O-] ZINC000792193218 625922781 /nfs/dbraw/zinc/92/27/81/625922781.db2.gz SJEGHVXUILUQLA-UHFFFAOYSA-N 0 1 314.223 3.098 20 30 DGEDMN CNC(=O)c1ccc(CN(CC#Cc2ccccc2)C2CC2)cc1 ZINC000792196087 625923731 /nfs/dbraw/zinc/92/37/31/625923731.db2.gz IPJTWKVJDBTDMR-UHFFFAOYSA-N 0 1 318.420 3.062 20 30 DGEDMN CC[C@H](C)[C@H](OC)C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000796630433 626067909 /nfs/dbraw/zinc/06/79/09/626067909.db2.gz DKHYLDMFQKFMNQ-XEGUGMAKSA-N 0 1 322.792 3.048 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)N1CCCC1)C1CCCCC1 ZINC000796632797 626068086 /nfs/dbraw/zinc/06/80/86/626068086.db2.gz SZDVALXSOFSBBP-OAHLLOKOSA-N 0 1 304.434 3.314 20 30 DGEDMN C#CCN(CCOCC(F)(F)C(F)(F)F)Cc1ccccc1 ZINC000796639612 626068766 /nfs/dbraw/zinc/06/87/66/626068766.db2.gz MFSGPSCIJKGIOQ-UHFFFAOYSA-N 0 1 321.289 3.336 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000796693459 626073919 /nfs/dbraw/zinc/07/39/19/626073919.db2.gz RHIIUNRFQKREEV-YGRLFVJLSA-N 0 1 304.346 3.037 20 30 DGEDMN Cc1oc(C(C)C)nc1C(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000796789076 626083390 /nfs/dbraw/zinc/08/33/90/626083390.db2.gz MILJXAFEHJJJBL-ZDUSSCGKSA-N 0 1 311.341 3.068 20 30 DGEDMN C=CCCOC(=O)[C@@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000796853188 626090106 /nfs/dbraw/zinc/09/01/06/626090106.db2.gz FEDOGVNGUHYHAN-HNNXBMFYSA-N 0 1 309.356 3.263 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCC(F)(F)C1)c1cnc2ccccc2n1 ZINC000796900405 626094417 /nfs/dbraw/zinc/09/44/17/626094417.db2.gz PPXAPOUFAVGQRX-MNOVXSKESA-N 0 1 301.296 3.241 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCC(F)(F)C1)c1cnc2ccccc2n1 ZINC000796900406 626094495 /nfs/dbraw/zinc/09/44/95/626094495.db2.gz PPXAPOUFAVGQRX-QWRGUYRKSA-N 0 1 301.296 3.241 20 30 DGEDMN C#C[C@@H](NCc1ccc(N(C)c2ccccc2)nc1)[C@@H]1CCCO1 ZINC000797876165 626176154 /nfs/dbraw/zinc/17/61/54/626176154.db2.gz YFFKSILIPLMVQO-MOPGFXCFSA-N 0 1 321.424 3.120 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1cn2ccccc2n1 ZINC000798230013 626203577 /nfs/dbraw/zinc/20/35/77/626203577.db2.gz HORHMRLVUYQDCC-SNAWJCMRSA-N 0 1 300.264 3.214 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(Cl)ccc2OCC#N)[C@H](C)C1 ZINC000799344743 626293135 /nfs/dbraw/zinc/29/31/35/626293135.db2.gz ZLTJYTOEUFFUGP-IUODEOHRSA-N 0 1 308.809 3.242 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cc(C(F)(F)F)n[nH]1 ZINC000799342310 626293183 /nfs/dbraw/zinc/29/31/83/626293183.db2.gz OHANVGXMAINOIE-ZDUSSCGKSA-N 0 1 306.291 3.077 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(Cl)ccc2OCC#N)[C@H](C)C1 ZINC000799344741 626293295 /nfs/dbraw/zinc/29/32/95/626293295.db2.gz ZLTJYTOEUFFUGP-DOMZBBRYSA-N 0 1 308.809 3.242 20 30 DGEDMN Fc1cc(NN=Cc2cc(C(F)(F)F)n[nH]2)cc(F)c1F ZINC000799481980 626304296 /nfs/dbraw/zinc/30/42/96/626304296.db2.gz MTRPMYPHBFWICV-UHFFFAOYSA-N 0 1 308.185 3.292 20 30 DGEDMN FC(F)(F)c1cc(C=NNCc2cccc(Cl)c2)[nH]n1 ZINC000799482264 626304524 /nfs/dbraw/zinc/30/45/24/626304524.db2.gz RDVWEWLGRBVECH-UHFFFAOYSA-N 0 1 302.687 3.206 20 30 DGEDMN Cc1cc(C)c(C(=O)/C=C/c2cnc3ccc(C#N)cn23)c(O)c1 ZINC000799895575 626334188 /nfs/dbraw/zinc/33/41/88/626334188.db2.gz PYACKYYETPEZIK-SNAWJCMRSA-N 0 1 317.348 3.425 20 30 DGEDMN C=C(Br)CNCc1ccc(C)cc1-c1cnn(C)c1 ZINC000801719479 626415760 /nfs/dbraw/zinc/41/57/60/626415760.db2.gz ASURSMWRRLTRPJ-UHFFFAOYSA-N 0 1 320.234 3.394 20 30 DGEDMN C[C@@H](C#N)OCCN1CCC[C@@H]1Cc1c(F)cccc1Cl ZINC000801912771 626425293 /nfs/dbraw/zinc/42/52/93/626425293.db2.gz CNRPEYGPTPJWTI-QWHCGFSZSA-N 0 1 310.800 3.415 20 30 DGEDMN CC(C)(C)SCCN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000801912655 626425409 /nfs/dbraw/zinc/42/54/09/626425409.db2.gz YMCDJSHALHHGIT-UHFFFAOYSA-N 0 1 317.502 3.208 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000802797106 626573660 /nfs/dbraw/zinc/57/36/60/626573660.db2.gz OUYDPVFFHSONJH-RISCZKNCSA-N 0 1 322.355 3.116 20 30 DGEDMN C=CCCCN(C)CN1C[C@H](Cc2cccc(F)c2)OC1=O ZINC000839998925 626608648 /nfs/dbraw/zinc/60/86/48/626608648.db2.gz JQGRSXDWZOHBOB-INIZCTEOSA-N 0 1 306.381 3.045 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccccc1C(C)(C)C#N)N(C)C ZINC000803496899 626618431 /nfs/dbraw/zinc/61/84/31/626618431.db2.gz JCDGZWVZOOKPLI-MRXNPFEDSA-N 0 1 302.418 3.117 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1c(O)cccc1F ZINC000131652615 626665741 /nfs/dbraw/zinc/66/57/41/626665741.db2.gz ZPAVDXBQTKOGRD-SNVBAGLBSA-N 0 1 300.289 3.074 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1ccc(F)cc1Br ZINC000883400904 626673656 /nfs/dbraw/zinc/67/36/56/626673656.db2.gz XXCHJPRBRKZZAL-GFCCVEGCSA-N 0 1 302.187 3.005 20 30 DGEDMN C#CCSCCN[C@H](COC)c1cccc(Cl)c1F ZINC000806146084 626701647 /nfs/dbraw/zinc/70/16/47/626701647.db2.gz JUXMBAMYTKVDFK-CYBMUJFWSA-N 0 1 301.814 3.123 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(C#N)cc1)N1CCCCC1 ZINC000132497916 626721777 /nfs/dbraw/zinc/72/17/77/626721777.db2.gz LFZFQQIHURWCGQ-KRWDZBQOSA-N 0 1 300.402 3.112 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cc(OC)cc(OC)c2)c(O)c1 ZINC000029054590 626763721 /nfs/dbraw/zinc/76/37/21/626763721.db2.gz RWOYCGABFPHNGL-QPJJXVBHSA-N 0 1 314.337 3.314 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807839701 626770691 /nfs/dbraw/zinc/77/06/91/626770691.db2.gz KAXAPDKFJVLNBI-VIFPVBQESA-N 0 1 318.200 3.296 20 30 DGEDMN COc1ccc2c(c1F)CCC2=NN=c1[nH]c2ccccc2[nH]1 ZINC000807946563 626779354 /nfs/dbraw/zinc/77/93/54/626779354.db2.gz WYYCVYYVNSDUTP-UHFFFAOYSA-N 0 1 310.332 3.473 20 30 DGEDMN Cc1ccc([N+](=O)[O-])cc1N=NC(C)c1cn2c(n1)CCCC2 ZINC000807967310 626780698 /nfs/dbraw/zinc/78/06/98/626780698.db2.gz RYTKSMDSWFSAMT-UHFFFAOYSA-N 0 1 313.361 3.272 20 30 DGEDMN FC(F)(F)c1ccc(CN=Nc2cnnc3ccccc23)[nH]1 ZINC000807973725 626781407 /nfs/dbraw/zinc/78/14/07/626781407.db2.gz WOOLKMNBHHXWOX-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1CC(C)(C)CC1=O ZINC000808147533 626797295 /nfs/dbraw/zinc/79/72/95/626797295.db2.gz LISCRGLUQAJYAZ-UHFFFAOYSA-N 0 1 313.445 3.232 20 30 DGEDMN COc1ccc(CNCc2cc(C#N)ccc2F)c(C)c1OC ZINC000808390845 626820010 /nfs/dbraw/zinc/82/00/10/626820010.db2.gz CYJJLBLHWIWRNR-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2n[nH]c3c2cccc3OC)c1 ZINC000809152906 626886849 /nfs/dbraw/zinc/88/68/49/626886849.db2.gz QOHYRLJXAHFESD-UHFFFAOYSA-N 0 1 319.364 3.220 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CC2CCSCC2)c1 ZINC000134975379 626896765 /nfs/dbraw/zinc/89/67/65/626896765.db2.gz WTLVTSGIRCBEFP-HNNXBMFYSA-N 0 1 316.426 3.176 20 30 DGEDMN CN(Cn1cccc(C#N)c1=O)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000840181712 626922591 /nfs/dbraw/zinc/92/25/91/626922591.db2.gz MQEHUAPAAYGPBC-RBUKOAKNSA-N 0 1 321.424 3.336 20 30 DGEDMN C#C[C@H](CC)NCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000809686375 626936020 /nfs/dbraw/zinc/93/60/20/626936020.db2.gz OUIFNDDCOIQINO-CVEARBPZSA-N 0 1 307.821 3.399 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@](CO)(CCC)C1 ZINC000809790751 626954365 /nfs/dbraw/zinc/95/43/65/626954365.db2.gz MOPSJNYGPSCSMN-SFHVURJKSA-N 0 1 321.848 3.337 20 30 DGEDMN N#Cc1csc(CN[C@@H](c2ccccc2)C2CCOCC2)n1 ZINC000810146962 626981168 /nfs/dbraw/zinc/98/11/68/626981168.db2.gz ZVYHKFSIHHLXPC-KRWDZBQOSA-N 0 1 313.426 3.272 20 30 DGEDMN C[C@H](OC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C)c1cccc(C#N)c1 ZINC000885261968 626995773 /nfs/dbraw/zinc/99/57/73/626995773.db2.gz YCFXUSPXYIQTEA-PRXAMGSTSA-N 0 1 309.369 3.252 20 30 DGEDMN C[C@@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1cccc(C#N)c1 ZINC000810568921 627017819 /nfs/dbraw/zinc/01/78/19/627017819.db2.gz SAPSLYWMOLFBIH-ACJLOTCBSA-N 0 1 318.392 3.375 20 30 DGEDMN C#CCC1(OC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)CCC1 ZINC000810745602 627043608 /nfs/dbraw/zinc/04/36/08/627043608.db2.gz RHKQFIVMGOIVCH-UHFFFAOYSA-N 0 1 321.380 3.452 20 30 DGEDMN CC[C@@H](C#N)Oc1cc(CNCc2cncs2)ccc1OC ZINC000136128449 627046493 /nfs/dbraw/zinc/04/64/93/627046493.db2.gz PFGMGPQFJZVNTF-ZDUSSCGKSA-N 0 1 317.414 3.122 20 30 DGEDMN CCOC(=O)C(C)(C)C(=O)C(C#N)c1nc(C(C)C)cs1 ZINC000136340429 627057563 /nfs/dbraw/zinc/05/75/63/627057563.db2.gz WXGZPTOQSGBRBG-SNVBAGLBSA-N 0 1 308.403 3.032 20 30 DGEDMN N#CC1(C[C@H](O)CNC2(c3c(F)cccc3F)CCC2)CCC1 ZINC000886304124 627133606 /nfs/dbraw/zinc/13/36/06/627133606.db2.gz OGMCJWYGSSKRAT-ZDUSSCGKSA-N 0 1 320.383 3.378 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2OC)C1 ZINC000886360106 627142900 /nfs/dbraw/zinc/14/29/00/627142900.db2.gz PMKAQYQZGOVARE-STQMWFEESA-N 0 1 320.820 3.021 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2OC)C1 ZINC000886360105 627143125 /nfs/dbraw/zinc/14/31/25/627143125.db2.gz PMKAQYQZGOVARE-QWHCGFSZSA-N 0 1 320.820 3.021 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)NC2(c3cccc(C#N)c3)CC2)c1 ZINC000886578072 627166631 /nfs/dbraw/zinc/16/66/31/627166631.db2.gz INNAOKPVFPZZHN-CYBMUJFWSA-N 0 1 321.380 3.080 20 30 DGEDMN CSc1ccc(CN[C@@H]2CCCc3c2cnn3C)cc1C#N ZINC000886688469 627190316 /nfs/dbraw/zinc/19/03/16/627190316.db2.gz SHWWXGZVQUYCQH-OAHLLOKOSA-N 0 1 312.442 3.181 20 30 DGEDMN C=CC[C@H](C)[C@@H](C)NCc1nc(Cc2ccccc2F)no1 ZINC000886714573 627196574 /nfs/dbraw/zinc/19/65/74/627196574.db2.gz OEJAPGPEQNJLED-QWHCGFSZSA-N 0 1 303.381 3.490 20 30 DGEDMN C[C@H](N[C@H]1CCc2c1cccc2F)C(=O)Nc1ccccc1C#N ZINC000886921034 627214261 /nfs/dbraw/zinc/21/42/61/627214261.db2.gz VEAHOEQWORPSME-SGTLLEGYSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCC1(NCc2ncc(-c3cccs3)o2)CCOCC1 ZINC000887124400 627226688 /nfs/dbraw/zinc/22/66/88/627226688.db2.gz BWWRIFRYJUFSFM-UHFFFAOYSA-N 0 1 302.399 3.065 20 30 DGEDMN C#CCCOc1ccc(CN2CC(C)(C)[C@@H]2[C@H]2CCCO2)cc1 ZINC000811510609 627285531 /nfs/dbraw/zinc/28/55/31/627285531.db2.gz LBMSNYBGBFPEPV-MOPGFXCFSA-N 0 1 313.441 3.478 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1ccc(OC)c(O)c1 ZINC000811646850 627298738 /nfs/dbraw/zinc/29/87/38/627298738.db2.gz OHMCECWMXLGEKL-UHFFFAOYSA-N 0 1 314.341 3.024 20 30 DGEDMN N#Cc1ccc(C2CCN(C(=O)c3c(O)cccc3F)CC2)cc1 ZINC000887701644 627308552 /nfs/dbraw/zinc/30/85/52/627308552.db2.gz FKMOHWUVYUKHCM-UHFFFAOYSA-N 0 1 324.355 3.423 20 30 DGEDMN CCOC(=O)c1ccc(N=NC(C)c2cn(C)c(C)n2)cc1C ZINC000812230395 627362143 /nfs/dbraw/zinc/36/21/43/627362143.db2.gz ZLIPACIKKFODCI-UHFFFAOYSA-N 0 1 314.389 3.050 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000812372936 627376320 /nfs/dbraw/zinc/37/63/20/627376320.db2.gz QKHSXCXSVBIIFG-UHFFFAOYSA-N 0 1 302.284 3.383 20 30 DGEDMN COc1c(O)cccc1C=NNc1ccc(C(F)(F)F)cn1 ZINC000812382790 627376921 /nfs/dbraw/zinc/37/69/21/627376921.db2.gz OWRKUZYOEFCHQB-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1cc(C(F)(F)F)ccn1 ZINC000813216406 627468363 /nfs/dbraw/zinc/46/83/63/627468363.db2.gz QYOSBRPGXFKALS-AAOUONPWSA-N 0 1 305.259 3.318 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C2(c3cccnc3)CCCC2)c1 ZINC000813500864 627494521 /nfs/dbraw/zinc/49/45/21/627494521.db2.gz LKWQGGQSQSVXRT-UHFFFAOYSA-N 0 1 307.353 3.109 20 30 DGEDMN Fc1cc(Br)ccc1N=NCc1nccs1 ZINC000814835740 627596800 /nfs/dbraw/zinc/59/68/00/627596800.db2.gz ABWNQOLYDOGLPN-UHFFFAOYSA-N 0 1 300.156 3.491 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1cnc(C(C)(C)C)nc1 ZINC000814806998 627593445 /nfs/dbraw/zinc/59/34/45/627593445.db2.gz ALRISXWLGBKTLQ-UHFFFAOYSA-N 0 1 308.389 3.107 20 30 DGEDMN Clc1cccc2c1C(=NNc1cnc3ccccc3n1)CO2 ZINC000814830271 627596141 /nfs/dbraw/zinc/59/61/41/627596141.db2.gz FMRZMHLWRJDEIN-UHFFFAOYSA-N 0 1 310.744 3.492 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2COc3ccc(Cl)cc32)n1 ZINC000814856058 627598822 /nfs/dbraw/zinc/59/88/22/627598822.db2.gz ZNYXFCPOXJXPIV-UHFFFAOYSA-N 0 1 309.156 3.300 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1ncc(Cl)s1 ZINC000814922923 627608162 /nfs/dbraw/zinc/60/81/62/627608162.db2.gz DIOGQGKIIUCEBT-UHFFFAOYSA-N 0 1 313.741 3.168 20 30 DGEDMN CCOc1ccc(NN=Cc2cc(-c3cccnc3)[nH]n2)cc1 ZINC000814983868 627615902 /nfs/dbraw/zinc/61/59/02/627615902.db2.gz RBUKIQILYNHGRV-UHFFFAOYSA-N 0 1 307.357 3.316 20 30 DGEDMN C#CCNC(=O)CCN(C)[C@@H](C)c1ccc(Cl)cc1Cl ZINC000815010323 627616434 /nfs/dbraw/zinc/61/64/34/627616434.db2.gz SZDWGRRQEXSFLH-NSHDSACASA-N 0 1 313.228 3.126 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000815095253 627625969 /nfs/dbraw/zinc/62/59/69/627625969.db2.gz BGHMSEYKPCAUGJ-UKRRQHHQSA-N 0 1 308.372 3.150 20 30 DGEDMN N#Cc1ccccc1COC(=O)[C@H]1CCCCN1C1CCCC1 ZINC000815443656 627674252 /nfs/dbraw/zinc/67/42/52/627674252.db2.gz FZEKUOPGUGVKBB-GOSISDBHSA-N 0 1 312.413 3.399 20 30 DGEDMN O=C(OCC#Cc1ccccc1)[C@H]1CCCCN1C1CCCC1 ZINC000815444684 627674307 /nfs/dbraw/zinc/67/43/07/627674307.db2.gz HQVJCGLCWBNWBZ-LJQANCHMSA-N 0 1 311.425 3.378 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CC3CCC2CC3)c1 ZINC000815907511 627711537 /nfs/dbraw/zinc/71/15/37/627711537.db2.gz CDPKUDQPVRXPSN-LCSXWQTCSA-N 0 1 310.397 3.469 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)c1ccc(C(C)C)nc1CC ZINC000815940178 627715650 /nfs/dbraw/zinc/71/56/50/627715650.db2.gz MRMPQHFCPAACLQ-HNNXBMFYSA-N 0 1 314.429 3.022 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1 ZINC000816039148 627729659 /nfs/dbraw/zinc/72/96/59/627729659.db2.gz OPMCPOSNIFCSDJ-QZWWFDLISA-N 0 1 310.397 3.009 20 30 DGEDMN C[C@H](CC(C)(C)C#N)Nc1ccc(CCN2CCOCC2)cc1 ZINC000925769209 627791025 /nfs/dbraw/zinc/79/10/25/627791025.db2.gz ZBJVYUICJRCHBD-MRXNPFEDSA-N 0 1 315.461 3.302 20 30 DGEDMN C#CCn1ccc(CN(CC)Cc2ccc3ccccc3c2O)n1 ZINC000816594113 627812459 /nfs/dbraw/zinc/81/24/59/627812459.db2.gz PWKGBMVDROTJAP-UHFFFAOYSA-N 0 1 319.408 3.397 20 30 DGEDMN CCCCCCCCC(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000816681897 627824448 /nfs/dbraw/zinc/82/44/48/627824448.db2.gz JVIOFMPCOUUBSH-KRWDZBQOSA-N 0 1 324.509 3.449 20 30 DGEDMN N#CC1(NC(=O)C(F)(F)c2cc(Cl)ccc2Cl)CC1 ZINC000817439413 627902156 /nfs/dbraw/zinc/90/21/56/627902156.db2.gz MTYZDZFOATXIGB-UHFFFAOYSA-N 0 1 305.111 3.258 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](NCC(C)(C)C#N)C12CCC2 ZINC000926874024 627967297 /nfs/dbraw/zinc/96/72/97/627967297.db2.gz MBFXNKDBWDSYLL-ZDUSSCGKSA-N 0 1 307.438 3.058 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@H](CC)c2ccc(C)cc2)CC1 ZINC000928655192 628172757 /nfs/dbraw/zinc/17/27/57/628172757.db2.gz NDRALHDNPULQEA-KRWDZBQOSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCC[C@H]([NH2+]Cc1ccc(-c2nn[n-]n2)o1)c1ccccc1 ZINC000819733679 628184074 /nfs/dbraw/zinc/18/40/74/628184074.db2.gz ABXLHQPPRFMXKH-HNNXBMFYSA-N 0 1 309.373 3.257 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCCC[C@@H]1C ZINC000819942242 628202029 /nfs/dbraw/zinc/20/20/29/628202029.db2.gz FVPXFMWCLZVVDT-MELADBBJSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)C=C[C@H]1CCCO1)c1nc2cc(F)ccc2s1 ZINC000820031521 628215132 /nfs/dbraw/zinc/21/51/32/628215132.db2.gz KVXFYAXPCPLPSK-GKUNOOHESA-N 0 1 316.357 3.347 20 30 DGEDMN CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC(C)CC2CCC2)o1 ZINC000820235747 628238405 /nfs/dbraw/zinc/23/84/05/628238405.db2.gz USOGCBGBLRFZKQ-UHFFFAOYSA-N 0 1 317.397 3.031 20 30 DGEDMN COC(=O)c1ccc(/C=C/C(=O)c2cccc(CN(C)C)c2)o1 ZINC000821018202 628326906 /nfs/dbraw/zinc/32/69/06/628326906.db2.gz XRRCZJOMWZVYAG-VQHVLOKHSA-N 0 1 313.353 3.024 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCC[C@@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000823738269 628592479 /nfs/dbraw/zinc/59/24/79/628592479.db2.gz UESXCANIUYMXDQ-CABCVRRESA-N 0 1 307.438 3.171 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000823736886 628592514 /nfs/dbraw/zinc/59/25/14/628592514.db2.gz CJTDPFNPTOBAAM-LSDHHAIUSA-N 0 1 307.438 3.313 20 30 DGEDMN Cc1ccc(CN2CCSCC2)cc1NC(=O)C#CC(C)C ZINC000824982718 628712897 /nfs/dbraw/zinc/71/28/97/628712897.db2.gz DMKDKFSSUUCHCM-UHFFFAOYSA-N 0 1 316.470 3.142 20 30 DGEDMN C#Cc1cccc(CNCc2c(C(C)C)nc3sc(C)nn32)c1 ZINC000825226782 628739922 /nfs/dbraw/zinc/73/99/22/628739922.db2.gz LXERAWVMCSTLJU-UHFFFAOYSA-N 0 1 324.453 3.494 20 30 DGEDMN N#CCC[C@@H](NCc1cccc(-c2nn[nH]n2)c1)c1ccccc1 ZINC000826381367 628850661 /nfs/dbraw/zinc/85/06/61/628850661.db2.gz IZFBRHHKTHRYSM-QGZVFWFLSA-N 0 1 318.384 3.001 20 30 DGEDMN N#Cc1cnccc1CN[C@H](c1ccccc1)C1CCOCC1 ZINC000828159333 629029049 /nfs/dbraw/zinc/02/90/49/629029049.db2.gz FMUPQFJMSHCDBI-LJQANCHMSA-N 0 1 307.397 3.211 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](CCCC)C(=O)Nc2cc(C)on2)C1 ZINC000829799493 629194831 /nfs/dbraw/zinc/19/48/31/629194831.db2.gz POMKCMAWCSSIST-HZPDHXFCSA-N 0 1 317.433 3.216 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC000829804917 629195198 /nfs/dbraw/zinc/19/51/98/629195198.db2.gz NDXABEUUZQTUMH-AWEZNQCLSA-N 0 1 315.367 3.386 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC000829809843 629196551 /nfs/dbraw/zinc/19/65/51/629196551.db2.gz IUNLGYPPWDINLE-CQSZACIVSA-N 0 1 315.367 3.386 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2ccn(CCc3ccccc3)n2)C1 ZINC000829815902 629197707 /nfs/dbraw/zinc/19/77/07/629197707.db2.gz VPUWKQFJPKGPRO-IBGZPJMESA-N 0 1 307.441 3.361 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccccc2CC(C)(C)C)CC1 ZINC000830162480 629232816 /nfs/dbraw/zinc/23/28/16/629232816.db2.gz KOIXQEWSOSCXNJ-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2C)CC1 ZINC000830316314 629252098 /nfs/dbraw/zinc/25/20/98/629252098.db2.gz MURFZLRCHAVUFW-ZDUSSCGKSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC1CCN(Cc2nc3ccc(Br)cc3o2)CC1 ZINC000830351045 629257024 /nfs/dbraw/zinc/25/70/24/629257024.db2.gz MQNYXLYSAQCKDV-UHFFFAOYSA-N 0 1 319.202 3.436 20 30 DGEDMN C[N@@H+](Cc1ccc(F)cc1F)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830825445 629309043 /nfs/dbraw/zinc/30/90/43/629309043.db2.gz WQCAWTNYJUCPHU-MRXNPFEDSA-N 0 1 316.307 3.094 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2ccnc(F)c2)CC1 ZINC000831462899 629380093 /nfs/dbraw/zinc/38/00/93/629380093.db2.gz KOYWDTYITRMQCK-UHFFFAOYSA-N 0 1 304.413 3.063 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)C3(C#N)CC(C)C3)C2)n[nH]1 ZINC000831905036 629426432 /nfs/dbraw/zinc/42/64/32/629426432.db2.gz OXMHEYFTRPYREC-FVRSWCFKSA-N 0 1 314.433 3.179 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CC3(CCC3)[C@H]2c2ccco2)cc1 ZINC000832233469 629453427 /nfs/dbraw/zinc/45/34/27/629453427.db2.gz ZIASRUQHTLTBGQ-FUHWJXTLSA-N 0 1 308.381 3.412 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@@H+]2[C@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928458 629534729 /nfs/dbraw/zinc/53/47/29/629534729.db2.gz RQBQNOFEZZVHAA-RDJZCZTQSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@H+]2[C@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928458 629534731 /nfs/dbraw/zinc/53/47/31/629534731.db2.gz RQBQNOFEZZVHAA-RDJZCZTQSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1cccc2c1CC[N@H+]([C@@H](C(=O)[O-])c1cccc(C#N)c1)C2 ZINC000832974474 629542872 /nfs/dbraw/zinc/54/28/72/629542872.db2.gz NFFQDXRQQYQNFR-GOSISDBHSA-N 0 1 306.365 3.051 20 30 DGEDMN Cc1ccccc1[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000832977265 629544013 /nfs/dbraw/zinc/54/40/13/629544013.db2.gz GSBGPYZAFFOZQP-HKUYNNGSSA-N 0 1 320.392 3.482 20 30 DGEDMN N#CC1CC[NH+]([C@@H](C(=O)[O-])c2ccc(Cl)cc2Cl)CC1 ZINC000833061590 629553703 /nfs/dbraw/zinc/55/37/03/629553703.db2.gz DUPAEASBBQTNHA-CYBMUJFWSA-N 0 1 313.184 3.355 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1occc1C(=O)[O-] ZINC000833064777 629554653 /nfs/dbraw/zinc/55/46/53/629554653.db2.gz NKWPCDKHJRCPCG-HOTGVXAUSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1occc1C(=O)[O-] ZINC000833064777 629554655 /nfs/dbraw/zinc/55/46/55/629554655.db2.gz NKWPCDKHJRCPCG-HOTGVXAUSA-N 0 1 310.353 3.250 20 30 DGEDMN N#Cc1ccccc1C[N@@H+](CCCC(=O)[O-])Cc1ccccc1 ZINC000833074790 629555351 /nfs/dbraw/zinc/55/53/51/629555351.db2.gz CYGSPNDOKAZINO-UHFFFAOYSA-N 0 1 308.381 3.425 20 30 DGEDMN CC(C)(C#N)CC[N@@H+](CCC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000833407398 629599773 /nfs/dbraw/zinc/59/97/73/629599773.db2.gz KFNHUEOYMLOLIF-UHFFFAOYSA-N 0 1 310.344 3.181 20 30 DGEDMN CC(C)[N@@H+]1CC(N=Nc2ccc(C(=O)[O-])c(Cl)c2)[C@H](C)C1 ZINC000833627054 629633005 /nfs/dbraw/zinc/63/30/05/629633005.db2.gz QBVKRQQKJGVINA-SNVBAGLBSA-N 0 1 309.797 3.166 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc(NC(=O)[O-])nc2)C2CC2)cc1 ZINC000833971774 629662966 /nfs/dbraw/zinc/66/29/66/629662966.db2.gz NBNJKBPYCXOTTJ-UHFFFAOYSA-N 0 1 322.368 3.208 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000834888528 629798422 /nfs/dbraw/zinc/79/84/22/629798422.db2.gz NYBBJMBEFCFMDM-BHYNMZESSA-N 0 1 312.207 3.149 20 30 DGEDMN COC(=O)c1ccc(N=NC2C[C@H](C)n3ccnc32)cc1Cl ZINC000834973729 629815444 /nfs/dbraw/zinc/81/54/44/629815444.db2.gz NDOCFTFGRQKWDE-VIFPVBQESA-N 0 1 318.764 3.104 20 30 DGEDMN Cc1cc2c(c(C)c1Cl)C(=NNc1ccncn1)CCO2 ZINC000835002905 629820180 /nfs/dbraw/zinc/82/01/80/629820180.db2.gz UMCHGRIZLAEZLX-UHFFFAOYSA-N 0 1 302.765 3.346 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC2(F)F)cc1 ZINC000835060769 629841050 /nfs/dbraw/zinc/84/10/50/629841050.db2.gz CXGFQVFJBHCVOB-ZIAGYGMSSA-N 0 1 320.339 3.332 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C[C@@H]1C ZINC000835063739 629841395 /nfs/dbraw/zinc/84/13/95/629841395.db2.gz QDFSXNJYCGJQEQ-ORIJERBGSA-N 0 1 304.434 3.216 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C[C@H]1C ZINC000835061452 629841532 /nfs/dbraw/zinc/84/15/32/629841532.db2.gz LEUNSZHCYQHXLJ-TXCZRRACSA-N 0 1 312.413 3.084 20 30 DGEDMN CC[C@@H](CC(F)(F)F)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000835063358 629841872 /nfs/dbraw/zinc/84/18/72/629841872.db2.gz KJTCGRYWWKJIAV-JQWIXIFHSA-N 0 1 318.339 3.123 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000835065647 629842488 /nfs/dbraw/zinc/84/24/88/629842488.db2.gz PKLGSANKBUTCCD-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(C)CC(CC(C)C)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000835071869 629843619 /nfs/dbraw/zinc/84/36/19/629843619.db2.gz XZNFUMUCNHEYNF-INIZCTEOSA-N 0 1 306.450 3.462 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3C[C@H]3c3cccnc3)[nH]c21 ZINC000835172932 629869207 /nfs/dbraw/zinc/86/92/07/629869207.db2.gz NDIKTIAQMLZLNJ-RRFJBIMHSA-N 0 1 316.364 3.246 20 30 DGEDMN N#C[C@H](C(=O)CC1CC(F)(F)C1)c1cnc2ccccc2n1 ZINC000835348088 629912078 /nfs/dbraw/zinc/91/20/78/629912078.db2.gz JSACTZDIBQCCNR-NSHDSACASA-N 0 1 301.296 3.241 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C2CCCCCC2)c1 ZINC000102119153 629938883 /nfs/dbraw/zinc/93/88/83/629938883.db2.gz AWNHMNAHJSSOLB-MRXNPFEDSA-N 0 1 314.385 3.313 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCCCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000841750692 630461597 /nfs/dbraw/zinc/46/15/97/630461597.db2.gz ACYJUEYAVNGZPY-GOSISDBHSA-N 0 1 322.368 3.419 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCC2CCC(F)(F)CC2)cc1 ZINC000842022975 630479577 /nfs/dbraw/zinc/47/95/77/630479577.db2.gz RUPUBRVKYNGSFS-UHFFFAOYSA-N 0 1 311.376 3.215 20 30 DGEDMN CC(C)Cn1nccc1C=NN=c1[nH]c2cc(F)c(F)cc2[nH]1 ZINC000842524336 630544412 /nfs/dbraw/zinc/54/44/12/630544412.db2.gz AAYGBEUFWHQBEU-UHFFFAOYSA-N 0 1 318.331 3.140 20 30 DGEDMN CC(C)Cn1nccc1C=NNc1cccc(Br)n1 ZINC000842535055 630545565 /nfs/dbraw/zinc/54/55/65/630545565.db2.gz BNPYFKIIQAICNB-UHFFFAOYSA-N 0 1 322.210 3.143 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)C1 ZINC000842630115 630555907 /nfs/dbraw/zinc/55/59/07/630555907.db2.gz XRKGBZNOPATDQI-RHSMWYFYSA-N 0 1 316.832 3.306 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@@H](c3ccccc3C)CC2=O)C1 ZINC000842629201 630556269 /nfs/dbraw/zinc/55/62/69/630556269.db2.gz LFAKOXLIDHWHFI-ROUUACIJSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000843999622 630706391 /nfs/dbraw/zinc/70/63/91/630706391.db2.gz FZZQLEDRYRDOSK-MRXNPFEDSA-N 0 1 319.408 3.123 20 30 DGEDMN C=CCc1cc(CNCc2cnc(C)s2)cc(OC)c1OC ZINC000105478138 630712956 /nfs/dbraw/zinc/71/29/56/630712956.db2.gz PEXLMFHNVFYEDE-UHFFFAOYSA-N 0 1 318.442 3.487 20 30 DGEDMN Cc1cc(CN(C)C[C@@H](O)c2cccc(C#N)c2)cc(Cl)n1 ZINC000844372467 630722104 /nfs/dbraw/zinc/72/21/04/630722104.db2.gz ZLDBNVYKMXCAJB-MRXNPFEDSA-N 0 1 315.804 3.081 20 30 DGEDMN C#CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC000844714707 630801503 /nfs/dbraw/zinc/80/15/03/630801503.db2.gz WODSKZCDLNDTMS-OKZBNKHCSA-N 0 1 312.457 3.300 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000105758615 631057584 /nfs/dbraw/zinc/05/75/84/631057584.db2.gz LBNLKVIVELQBQO-CJNGLKHVSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC[C@H](C)CC2)cc1 ZINC000845443198 631175277 /nfs/dbraw/zinc/17/52/77/631175277.db2.gz OKWYMOYHWBYBHP-XJKCOSOUSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@H]1C1CCCC1)c1nnc2n1CCCCC2 ZINC000845447075 631175860 /nfs/dbraw/zinc/17/58/60/631175860.db2.gz YKWWYAUKZJDUHL-RBSFLKMASA-N 0 1 312.417 3.007 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CC[C@H](C)CC2)c1 ZINC000845527644 631180731 /nfs/dbraw/zinc/18/07/31/631180731.db2.gz RMAUOTNRDKGVQI-KBRIMQKVSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1nccc(N(C)C[C@H](O)c2cccc(C#N)c2)c1Cl ZINC000845608025 631183347 /nfs/dbraw/zinc/18/33/47/631183347.db2.gz CUXSSHHTUWDVRF-HNNXBMFYSA-N 0 1 301.777 3.085 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1cc(Cl)cc(Br)c1O ZINC000845893136 631201220 /nfs/dbraw/zinc/20/12/20/631201220.db2.gz PCDPJYASOGGAOR-LURJTMIESA-N 0 1 317.570 3.296 20 30 DGEDMN CCCSCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845836502 631198473 /nfs/dbraw/zinc/19/84/73/631198473.db2.gz ADIYFBCLDLHTJK-CQSZACIVSA-N 0 1 301.411 3.139 20 30 DGEDMN N#CC(C(=O)Cc1ccsc1)=C(O)C1(c2ccccc2)CC1 ZINC000845840386 631198640 /nfs/dbraw/zinc/19/86/40/631198640.db2.gz MMPCRYCVXVPFFT-HNNXBMFYSA-N 0 1 309.390 3.300 20 30 DGEDMN CC[C@H](SC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845841755 631198688 /nfs/dbraw/zinc/19/86/88/631198688.db2.gz PZCLNNKKXLVSRI-KGLIPLIRSA-N 0 1 301.411 3.138 20 30 DGEDMN C[C@@H]1CC(=O)CC[C@@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845918 631199128 /nfs/dbraw/zinc/19/91/28/631199128.db2.gz QMGIKWUIAUXVFZ-COXVUDFISA-N 0 1 323.392 3.001 20 30 DGEDMN CCCCCCCCCS(=O)(=O)N[C@H]1CCN(C)[C@H](C)C1 ZINC000845876372 631200329 /nfs/dbraw/zinc/20/03/29/631200329.db2.gz ACJIBQFTHNRODI-CVEARBPZSA-N 0 1 318.527 3.139 20 30 DGEDMN CC(C)c1ncc(CN(C)C[C@H](O)c2cccc(C#N)c2)s1 ZINC000846006998 631205448 /nfs/dbraw/zinc/20/54/48/631205448.db2.gz MHDFOOYFTKXJQO-INIZCTEOSA-N 0 1 315.442 3.304 20 30 DGEDMN C[N@H+](Cc1cnc(C2CC2)s1)C[C@@H](O)c1cccc(C#N)c1 ZINC000846009035 631205524 /nfs/dbraw/zinc/20/55/24/631205524.db2.gz ZNACSLQTUXSIHE-MRXNPFEDSA-N 0 1 313.426 3.058 20 30 DGEDMN CN(Cc1cnc(C2CC2)s1)C[C@@H](O)c1cccc(C#N)c1 ZINC000846009035 631205525 /nfs/dbraw/zinc/20/55/25/631205525.db2.gz ZNACSLQTUXSIHE-MRXNPFEDSA-N 0 1 313.426 3.058 20 30 DGEDMN C[C@@H](CC(=O)Nc1ccc(F)cc1)NCC1(C#N)CCCC1 ZINC000846009969 631205705 /nfs/dbraw/zinc/20/57/05/631205705.db2.gz GICJVCUUKHBNEN-ZDUSSCGKSA-N 0 1 303.381 3.216 20 30 DGEDMN C#CCOc1ccc(CN[C@H](c2ccccc2F)[C@@H](C)O)cc1 ZINC000846016362 631206362 /nfs/dbraw/zinc/20/63/62/631206362.db2.gz HNJWNDFNZKSKNQ-KUHUBIRLSA-N 0 1 313.372 3.049 20 30 DGEDMN C=CC[N@H+](CCCc1ccc(C(F)(F)F)cc1)CC(=O)[O-] ZINC000846263171 631217220 /nfs/dbraw/zinc/21/72/20/631217220.db2.gz DFHCFWUWCRBHBO-UHFFFAOYSA-N 0 1 301.308 3.211 20 30 DGEDMN C=C(C)CONC(=O)[C@@H]1CCc2cc(Br)ccc21 ZINC000846531480 631255535 /nfs/dbraw/zinc/25/55/35/631255535.db2.gz BUUVORCFMYGDPL-CYBMUJFWSA-N 0 1 310.191 3.103 20 30 DGEDMN C=C[C@H](C)ONC(=O)[C@@H]1CCc2cc(Br)ccc21 ZINC000846553453 631260171 /nfs/dbraw/zinc/26/01/71/631260171.db2.gz BKAXGRFPRMISBI-TVQRCGJNSA-N 0 1 310.191 3.101 20 30 DGEDMN Cc1ccccc1[C@@H](O)C1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000846898265 631345238 /nfs/dbraw/zinc/34/52/38/631345238.db2.gz CUOYOHBWSBKLSL-FQEVSTJZSA-N 0 1 321.424 3.207 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)N(CC)[C@@H](C)c2ccc(F)cc2)C1 ZINC000847026274 631385402 /nfs/dbraw/zinc/38/54/02/631385402.db2.gz PHUYIZMOVSPUCL-JKSUJKDBSA-N 0 1 316.420 3.080 20 30 DGEDMN N#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000847624407 631497932 /nfs/dbraw/zinc/49/79/32/631497932.db2.gz PUHBXJUBCLHOPB-NSHDSACASA-N 0 1 321.739 3.210 20 30 DGEDMN O=C([O-])c1cc(N=NC2CCC[N@H+]3CCCC[C@@H]23)ccc1Cl ZINC000848399018 631653723 /nfs/dbraw/zinc/65/37/23/631653723.db2.gz HZFVTUCFFCEROR-HNNXBMFYSA-N 0 1 321.808 3.454 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=C1CCCN2CCCC[C@@H]12 ZINC000848410991 631656425 /nfs/dbraw/zinc/65/64/25/631656425.db2.gz UYXPXRRGOXRCCC-YJBOKZPZSA-N 0 1 301.434 3.048 20 30 DGEDMN C[C@H](COc1ccccc1)NN=C1CCCN2CCCC[C@H]12 ZINC000848410988 631656787 /nfs/dbraw/zinc/65/67/87/631656787.db2.gz UYXPXRRGOXRCCC-CRAIPNDOSA-N 0 1 301.434 3.048 20 30 DGEDMN C#CC[C@H]1CCCN(Cn2cc(-c3ccccc3[N+](=O)[O-])cn2)C1 ZINC000848489433 631670436 /nfs/dbraw/zinc/67/04/36/631670436.db2.gz NBKOYPZWUQOZDZ-HNNXBMFYSA-N 0 1 324.384 3.151 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2cncnc2C)c1 ZINC000848533250 631681825 /nfs/dbraw/zinc/68/18/25/631681825.db2.gz FVPFTWHZOPWXPT-CJNGLKHVSA-N 0 1 310.401 3.317 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(OCCC)nc1 ZINC000107716644 631703649 /nfs/dbraw/zinc/70/36/49/631703649.db2.gz ZWPFAVIDLRUPHE-UHFFFAOYSA-N 0 1 310.397 3.172 20 30 DGEDMN C#CCOc1cccc(NS(=O)(=O)c2ccccc2Cl)c1 ZINC000108250132 631836058 /nfs/dbraw/zinc/83/60/58/631836058.db2.gz LMLMZFSMWGQZFF-UHFFFAOYSA-N 0 1 321.785 3.153 20 30 DGEDMN C=CCN1CCC(Nc2nnc(-c3cccc(Cl)c3)o2)CC1 ZINC000849164795 631849472 /nfs/dbraw/zinc/84/94/72/631849472.db2.gz VMAOCJHNBKVKBL-UHFFFAOYSA-N 0 1 318.808 3.452 20 30 DGEDMN C=CC[C@H](NCc1cc(C(F)(F)F)nn1C)c1ccncc1 ZINC000850137493 632063669 /nfs/dbraw/zinc/06/36/69/632063669.db2.gz PXUYUYLCBUZRQD-ZDUSSCGKSA-N 0 1 310.323 3.241 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)C1CC2(CC2)C1 ZINC000850755480 632216052 /nfs/dbraw/zinc/21/60/52/632216052.db2.gz DXFUFVNWFCUFHF-CYBMUJFWSA-N 0 1 302.761 3.178 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCCC1)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC000850757498 632217155 /nfs/dbraw/zinc/21/71/55/632217155.db2.gz LJXJDLWGRGOJHC-JYJNAYRXSA-N 0 1 316.445 3.361 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000850760493 632218130 /nfs/dbraw/zinc/21/81/30/632218130.db2.gz SOVZENJBYUAHPC-LOWDOPEQSA-N 0 1 316.788 3.424 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CCC1 ZINC000850829188 632232699 /nfs/dbraw/zinc/23/26/99/632232699.db2.gz FXZWGVHRGCVIJT-XHDPSFHLSA-N 0 1 318.373 3.427 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3C[C@H]3OC(C)(C)C)[nH]c21 ZINC000850849382 632237010 /nfs/dbraw/zinc/23/70/10/632237010.db2.gz AKAJMJGJONSRAL-MBNYWOFBSA-N 0 1 311.385 3.251 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CCC1(C)C)c1ccccc1 ZINC000850978480 632275108 /nfs/dbraw/zinc/27/51/08/632275108.db2.gz TUDDHXBYQMBDAD-PMPSAXMXSA-N 0 1 312.413 3.399 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C1CC2(CC2)C1)c1ccccc1 ZINC000850977721 632275495 /nfs/dbraw/zinc/27/54/95/632275495.db2.gz CHUXMKNZQPYMIS-HZPDHXFCSA-N 0 1 310.397 3.153 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCCC1 ZINC000850987235 632278582 /nfs/dbraw/zinc/27/85/82/632278582.db2.gz XKNCPERRMDLARK-AUUYWEPGSA-N 0 1 323.396 3.401 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)OC[C@H]1CCN1Cc1ccccc1 ZINC000851469065 632419312 /nfs/dbraw/zinc/41/93/12/632419312.db2.gz RGGKZOATVDOZFE-IEBWSBKVSA-N 0 1 317.429 3.033 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CCOc1ccc(/C=C/C(=O)[O-])cc1 ZINC000851709835 632471822 /nfs/dbraw/zinc/47/18/22/632471822.db2.gz ZLAQQCNSWVEPCO-MWAXKCFLSA-N 0 1 313.397 3.346 20 30 DGEDMN CC1(C)OC[C@H](CCN2CCC[C@@H]2[C@H](C#N)c2ccccc2)O1 ZINC000851794414 632496032 /nfs/dbraw/zinc/49/60/32/632496032.db2.gz SLUKNUMCEBOHLO-RCCFBDPRSA-N 0 1 314.429 3.300 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1nc(-c2ccccc2)cs1 ZINC000852100979 632553990 /nfs/dbraw/zinc/55/39/90/632553990.db2.gz LYHZPZHWNDPTGL-QGZVFWFLSA-N 0 1 313.426 3.140 20 30 DGEDMN CN(CC#Cc1ccccc1)CC(C)(C)NC(=O)OC(C)(C)C ZINC000852306977 632584183 /nfs/dbraw/zinc/58/41/83/632584183.db2.gz OCTLKCZJJJKFNJ-UHFFFAOYSA-N 0 1 316.445 3.273 20 30 DGEDMN CCc1ccc2c(CN3CCC[C@@H](CC#N)C3)cc(=O)oc2c1 ZINC000852320345 632586355 /nfs/dbraw/zinc/58/63/55/632586355.db2.gz ZRCNMLMWKWQSCU-HNNXBMFYSA-N 0 1 310.397 3.481 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001032168730 660210240 /nfs/dbraw/zinc/21/02/40/660210240.db2.gz OXOBQFQWIMXLLD-UHFFFAOYSA-N 0 1 318.486 3.255 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(Cl)o2)CC1(C)C ZINC000995455055 660211258 /nfs/dbraw/zinc/21/12/58/660211258.db2.gz JTKPELNDNXBYLX-LLVKDONJSA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cscc2C)CC1(C)C ZINC000995630031 660231827 /nfs/dbraw/zinc/23/18/27/660231827.db2.gz BXVVNGDOZZGYCM-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2coc3c2cccc3C)[C@H]1C ZINC000993419048 659732691 /nfs/dbraw/zinc/73/26/91/659732691.db2.gz GTDQSBPHGHKUCU-QAPCUYQASA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccoc2Cl)CC1(C)C ZINC000995718347 660267443 /nfs/dbraw/zinc/26/74/43/660267443.db2.gz GSIZIYXWCQBPSA-NSHDSACASA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CCC(C)(C)C2)CC1(C)C ZINC000996250822 660278584 /nfs/dbraw/zinc/27/85/84/660278584.db2.gz YYGRLUREXNVHPW-KGLIPLIRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C)c(F)c2)CC1(C)C ZINC000996015672 660274494 /nfs/dbraw/zinc/27/44/94/660274494.db2.gz CMXOJOPIAYWMEM-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)oc(C)c2C)CC1(C)C ZINC000996018919 660274529 /nfs/dbraw/zinc/27/45/29/660274529.db2.gz QCQRMCILZPSVQY-AWEZNQCLSA-N 0 1 324.852 3.398 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC000996233652 660277893 /nfs/dbraw/zinc/27/78/93/660277893.db2.gz KXCSSLDPLOIPJK-YOEHRIQHSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)C2CCCC2)CC1(C)C ZINC000996499724 660283983 /nfs/dbraw/zinc/28/39/83/660283983.db2.gz FPPWATXSBIJKEK-DZGCQCFKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](C)C2CCCC2)CC1(C)C ZINC000996499725 660284114 /nfs/dbraw/zinc/28/41/14/660284114.db2.gz FPPWATXSBIJKEK-HIFRSBDPSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(F)cc2C)CC1(C)C ZINC000996543253 660285433 /nfs/dbraw/zinc/28/54/33/660285433.db2.gz SEFQVBLXIKKZFK-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC000996869344 660296040 /nfs/dbraw/zinc/29/60/40/660296040.db2.gz GRIMQVMHDSMZAJ-AWEZNQCLSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(NCc2ncc(C(C)C)o2)CC1 ZINC000996871733 660296371 /nfs/dbraw/zinc/29/63/71/660296371.db2.gz FENHHHJUICJNCH-UHFFFAOYSA-N 0 1 319.449 3.091 20 30 DGEDMN Cc1ccc(C)c([C@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)c1 ZINC001032397063 660311671 /nfs/dbraw/zinc/31/16/71/660311671.db2.gz KWALNQHXSBWJJG-WDSOQIARSA-N 0 1 322.452 3.063 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc(C(F)F)cc1C ZINC001032456991 660321741 /nfs/dbraw/zinc/32/17/41/660321741.db2.gz CCQUMLGRLHKBDH-RYUDHWBXSA-N 0 1 310.344 3.000 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](C)C1 ZINC000946314638 660341871 /nfs/dbraw/zinc/34/18/71/660341871.db2.gz TWUSCNZOCODWSF-YJBOKZPZSA-N 0 1 322.408 3.020 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C2CCC2)cc1 ZINC001032546197 660343148 /nfs/dbraw/zinc/34/31/48/660343148.db2.gz PTMRJJZUVWNVTM-PMACEKPBSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001032535325 660339191 /nfs/dbraw/zinc/33/91/91/660339191.db2.gz DXPRMPRPMQYHAM-FYQPLNBISA-N 0 1 324.468 3.117 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)C2CC(C)C2)CC1 ZINC000997959933 660360236 /nfs/dbraw/zinc/36/02/36/660360236.db2.gz KQUUFLMJXIGNDU-BCLQGDPASA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1(C(F)F)CCCC1 ZINC001032585275 660357454 /nfs/dbraw/zinc/35/74/54/660357454.db2.gz BNXJOLLATFPVAN-KBPBESRZSA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@H]2CC2(F)F)CC1 ZINC000998010637 660363656 /nfs/dbraw/zinc/36/36/56/660363656.db2.gz GAUVUZHEFUDCTL-CHWSQXEVSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@H]2CCC(F)(F)C2)C1 ZINC001032614214 660378807 /nfs/dbraw/zinc/37/88/07/660378807.db2.gz KIFXMPXGSDWSNA-KKUMJFAQSA-N 0 1 324.415 3.063 20 30 DGEDMN CC(C)(C)CC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC000998528615 660392584 /nfs/dbraw/zinc/39/25/84/660392584.db2.gz ZGRFZTQGVCTRRJ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)C1CCCCC1 ZINC001032671891 660410682 /nfs/dbraw/zinc/41/06/82/660410682.db2.gz HOINRKSHFVGLJE-IRXDYDNUSA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC1CCCC1)C(C)C ZINC001032661037 660408293 /nfs/dbraw/zinc/40/82/93/660408293.db2.gz NYQAKTJOGSRORO-GBESFXJTSA-N 0 1 316.489 3.147 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)CC1CCCC1 ZINC001032660847 660408579 /nfs/dbraw/zinc/40/85/79/660408579.db2.gz LJNODOJIGXARPK-BZSNNMDCSA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)CC1CCCCC1 ZINC001032661187 660408622 /nfs/dbraw/zinc/40/86/22/660408622.db2.gz QITRCENEPOSKKP-FHWLQOOXSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCCCC2(F)F)C1 ZINC000999801175 660427603 /nfs/dbraw/zinc/42/76/03/660427603.db2.gz RBIIGEHVQMATSO-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2nc(C)c(C)s2)C1 ZINC000999892641 660429418 /nfs/dbraw/zinc/42/94/18/660429418.db2.gz DRVUNXGJJFIQJT-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc(CC)cc1C ZINC001032734287 660435896 /nfs/dbraw/zinc/43/58/96/660435896.db2.gz FMCSCKRVTBWTEI-GJZGRUSLSA-N 0 1 302.418 3.015 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1sc(C)cc1C ZINC001032757426 660439478 /nfs/dbraw/zinc/43/94/78/660439478.db2.gz RDDFQWFFTNPMQK-HOTGVXAUSA-N 0 1 318.486 3.159 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(c2ccccc2)CCCC1 ZINC001032768634 660441816 /nfs/dbraw/zinc/44/18/16/660441816.db2.gz NOUTUUIJPMGMBJ-OALUTQOASA-N 0 1 324.468 3.360 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(s1)CCC2 ZINC001032766931 660441846 /nfs/dbraw/zinc/44/18/46/660441846.db2.gz WYWCXOPPLXMDOR-GJZGRUSLSA-N 0 1 316.470 3.102 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1coc2cc(C)ccc12 ZINC001032769787 660442267 /nfs/dbraw/zinc/44/22/67/660442267.db2.gz YQTLJHZUMFFIEO-IRXDYDNUSA-N 0 1 324.424 3.145 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC[C@H]1CCc2ccccc21 ZINC001032797101 660449488 /nfs/dbraw/zinc/44/94/88/660449488.db2.gz JBPCNDBOWCOOFQ-QYZOEREBSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCc2ccccc21 ZINC001032807437 660451910 /nfs/dbraw/zinc/45/19/10/660451910.db2.gz JOCYDCYSSWAERQ-CMKODMSKSA-N 0 1 324.468 3.358 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@@H](c3cc[nH]n3)C2)c1 ZINC000929295503 660524255 /nfs/dbraw/zinc/52/42/55/660524255.db2.gz FKBWSYBQEGDHGC-CQSZACIVSA-N 0 1 300.793 3.314 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCCc3ccccc32)CC1 ZINC001004020438 660525802 /nfs/dbraw/zinc/52/58/02/660525802.db2.gz BUFKOROZQZRBGZ-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C(C)(F)F)cc2)CC1 ZINC001004298371 660540064 /nfs/dbraw/zinc/54/00/64/660540064.db2.gz NFFCCXSKPHGYFV-UHFFFAOYSA-N 0 1 320.383 3.016 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc3c2CCCCC3)C1 ZINC001033178542 660572864 /nfs/dbraw/zinc/57/28/64/660572864.db2.gz UVGAOZXUWJXXSU-KRWDZBQOSA-N 0 1 312.457 3.288 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1Cc1ccc(C#N)s1 ZINC000947962416 660606353 /nfs/dbraw/zinc/60/63/53/660606353.db2.gz MRIVUZMGWZJRAX-OLZOCXBDSA-N 0 1 319.474 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001033318468 660608123 /nfs/dbraw/zinc/60/81/23/660608123.db2.gz QLZRFZAZIRJHMU-AWEZNQCLSA-N 0 1 317.820 3.154 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001033318098 660608143 /nfs/dbraw/zinc/60/81/43/660608143.db2.gz OMLPPYKJGJYLRO-SFHVURJKSA-N 0 1 312.457 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001033391224 660618642 /nfs/dbraw/zinc/61/86/42/660618642.db2.gz PDTFCSSMVZMPTQ-CJNGLKHVSA-N 0 1 306.837 3.162 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001033457821 660624605 /nfs/dbraw/zinc/62/46/05/660624605.db2.gz DRCZAQWWXSLIOR-INIZCTEOSA-N 0 1 320.864 3.336 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(F)c3ccccc23)C1 ZINC001033454233 660626001 /nfs/dbraw/zinc/62/60/01/660626001.db2.gz TVIWJJWXBLYIOO-AWEZNQCLSA-N 0 1 312.388 3.311 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001033512118 660628887 /nfs/dbraw/zinc/62/88/87/660628887.db2.gz FPTCMXOTJWFVCA-MAUKXSAKSA-N 0 1 300.446 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001033607022 660638446 /nfs/dbraw/zinc/63/84/46/660638446.db2.gz SWACYBWHBYFVGE-CQSZACIVSA-N 0 1 312.335 3.038 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccsc2C(F)F)C1 ZINC001033618948 660640042 /nfs/dbraw/zinc/64/00/42/660640042.db2.gz HPQIZSSMKISHOF-SNVBAGLBSA-N 0 1 300.374 3.018 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001033634476 660643191 /nfs/dbraw/zinc/64/31/91/660643191.db2.gz PSNJLGKKEDKCAJ-OFLPRAFFSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)C2CCC(CCCC)CC2)C1 ZINC001033640262 660644837 /nfs/dbraw/zinc/64/48/37/660644837.db2.gz NMXYJWOWWSCZFJ-ABHNRTSZSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(C)c(CC)s2)C1 ZINC001033644685 660645267 /nfs/dbraw/zinc/64/52/67/660645267.db2.gz PBNQRNAASHZFTJ-AWEZNQCLSA-N 0 1 306.475 3.341 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001033674346 660647785 /nfs/dbraw/zinc/64/77/85/660647785.db2.gz UNAPNFMRXXNYJI-SFHVURJKSA-N 0 1 312.457 3.054 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001033688582 660648795 /nfs/dbraw/zinc/64/87/95/660648795.db2.gz OJCHWFFVAXPYSM-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2c(Cl)cccc2OC)C1 ZINC001033688119 660650023 /nfs/dbraw/zinc/65/00/23/660650023.db2.gz OBNZAKIBEGRIKS-CYBMUJFWSA-N 0 1 322.836 3.071 20 30 DGEDMN CCN(C(=O)C1=CCCC1)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001033794769 660664538 /nfs/dbraw/zinc/66/45/38/660664538.db2.gz HEKJLSJOUOZKFA-IBGZPJMESA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(Cl)c2C)C1 ZINC001033840212 660668448 /nfs/dbraw/zinc/66/84/48/660668448.db2.gz BEFYRZKOLJQFKC-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2C[C@H]2c2ccccc2)CC1 ZINC001005395273 660668636 /nfs/dbraw/zinc/66/86/36/660668636.db2.gz HVTPURYMVWXQDZ-ICSRJNTNSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2scc(C)c2Cl)C1 ZINC001033844911 660668804 /nfs/dbraw/zinc/66/88/04/660668804.db2.gz AJHFJAOUYMLZLG-CYBMUJFWSA-N 0 1 324.877 3.270 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc3c2CCCC3)C1 ZINC001033852556 660671069 /nfs/dbraw/zinc/67/10/69/660671069.db2.gz YBHBCSPAPVQBMZ-KRWDZBQOSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(CC)s2)C1 ZINC001033889031 660674367 /nfs/dbraw/zinc/67/43/67/660674367.db2.gz UJINKZOATMVOLL-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001033886330 660675371 /nfs/dbraw/zinc/67/53/71/660675371.db2.gz ANKSHHLPRVYLOL-IBGZPJMESA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001033903343 660676360 /nfs/dbraw/zinc/67/63/60/660676360.db2.gz XLPPKCKBXZYPIN-MRXNPFEDSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c3cccnc23)C1 ZINC001033907602 660677855 /nfs/dbraw/zinc/67/78/55/660677855.db2.gz VJIGVABNILEGKF-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001033910402 660678302 /nfs/dbraw/zinc/67/83/02/660678302.db2.gz IEWXVFDWIKGZKZ-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c3ccccc23)C1 ZINC001033967830 660686728 /nfs/dbraw/zinc/68/67/28/660686728.db2.gz ISYVRJKHXVOLPE-QGZVFWFLSA-N 0 1 320.436 3.318 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001033995035 660691527 /nfs/dbraw/zinc/69/15/27/660691527.db2.gz VEGXADZLOLYWBR-GOSISDBHSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C3CC3)s2)C1 ZINC001034012255 660693429 /nfs/dbraw/zinc/69/34/29/660693429.db2.gz DJNXNQIEYQZOJK-CQSZACIVSA-N 0 1 304.459 3.348 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001034045620 660701417 /nfs/dbraw/zinc/70/14/17/660701417.db2.gz UQIYZEMIYIFUMW-INIZCTEOSA-N 0 1 304.409 3.165 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001034218642 660724715 /nfs/dbraw/zinc/72/47/15/660724715.db2.gz GULXWLVGWDFETD-GVDBMIGSSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccccc2C(C)C)C1 ZINC001034234385 660726291 /nfs/dbraw/zinc/72/62/91/660726291.db2.gz MQALSCKZDCZRBA-QGZVFWFLSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001034270282 660730901 /nfs/dbraw/zinc/73/09/01/660730901.db2.gz FBTRYSQRFOKBOP-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001034278471 660732161 /nfs/dbraw/zinc/73/21/61/660732161.db2.gz JQIZFEIVKJJVHT-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001034298882 660733639 /nfs/dbraw/zinc/73/36/39/660733639.db2.gz OHZKKPYLAXQAML-INIZCTEOSA-N 0 1 323.440 3.084 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001034309647 660735314 /nfs/dbraw/zinc/73/53/14/660735314.db2.gz NZHAPRKHSBCMBL-KRWDZBQOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cnc3ccsc3c2)C1 ZINC001034339875 660739021 /nfs/dbraw/zinc/73/90/21/660739021.db2.gz JWQLYIJPLZXKDK-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C(CC)CC)C2)CC1 ZINC001040609279 660740992 /nfs/dbraw/zinc/74/09/92/660740992.db2.gz CWPVSKLVGOBJEP-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC001034373159 660743053 /nfs/dbraw/zinc/74/30/53/660743053.db2.gz RFVBBKMNWUKBCW-LJQANCHMSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001034411984 660750156 /nfs/dbraw/zinc/75/01/56/660750156.db2.gz ZGODPGHBMFETKQ-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)CC2CCCCCC2)C1 ZINC001034414726 660750786 /nfs/dbraw/zinc/75/07/86/660750786.db2.gz BSITWGLGKRJXLY-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN CC(C)C(=O)N1CCC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001040612356 660756145 /nfs/dbraw/zinc/75/61/45/660756145.db2.gz BVKGUOYAZVFNPE-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001034479095 660757568 /nfs/dbraw/zinc/75/75/68/660757568.db2.gz RKBASKRBDNQHNR-QWHCGFSZSA-N 0 1 324.877 3.109 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001034507442 660763302 /nfs/dbraw/zinc/76/33/02/660763302.db2.gz JAFJJMHNCRVTMW-DOTOQJQBSA-N 0 1 318.848 3.136 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC001034516262 660765607 /nfs/dbraw/zinc/76/56/07/660765607.db2.gz YFQIOEHZKFHMBW-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001034515272 660765715 /nfs/dbraw/zinc/76/57/15/660765715.db2.gz CBPKQOZGDVHNNZ-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2sccc2CC)C1 ZINC001034541429 660770590 /nfs/dbraw/zinc/77/05/90/660770590.db2.gz QKGSDOKLPSIWNL-HNNXBMFYSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC001005686782 660789452 /nfs/dbraw/zinc/78/94/52/660789452.db2.gz YJXSTVXQWNVAFS-QZTJIDSGSA-N 0 1 316.489 3.171 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CC(F)(F)F)C2)CC1 ZINC001040620888 660790243 /nfs/dbraw/zinc/79/02/43/660790243.db2.gz KLNSTAFXRMJFKX-UHFFFAOYSA-N 0 1 324.774 3.006 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C2CC(C)(C)CC(C)(C)C2)CC1 ZINC001005764741 660812553 /nfs/dbraw/zinc/81/25/53/660812553.db2.gz DYAGIJFHXYIXPR-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1ccsc1)CC2 ZINC001035153052 660818771 /nfs/dbraw/zinc/81/87/71/660818771.db2.gz KCKDDDRUZNEPAD-UHFFFAOYSA-N 0 1 324.877 3.429 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](c1ccccc1)C1CC1)CC2 ZINC001035749039 660850844 /nfs/dbraw/zinc/85/08/44/660850844.db2.gz LPFMIPQYRKBNNH-LJQANCHMSA-N 0 1 324.468 3.291 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccccc1CCC)CC2 ZINC001035749313 660850853 /nfs/dbraw/zinc/85/08/53/660850853.db2.gz KTTNHTIKIIRXEB-UHFFFAOYSA-N 0 1 312.457 3.363 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(C)c1Cl)CC2 ZINC001035821586 660854049 /nfs/dbraw/zinc/85/40/49/660854049.db2.gz BADSVPFSSQDTED-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(Cl)ccc1C)CC2 ZINC001035818300 660854063 /nfs/dbraw/zinc/85/40/63/660854063.db2.gz QYQQVLIODSBGJI-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCc1ccccc1C)CC2 ZINC001035808167 660855153 /nfs/dbraw/zinc/85/51/53/660855153.db2.gz XEWJCEDULQBQMM-UHFFFAOYSA-N 0 1 312.457 3.038 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@H](CC)CC1)CC2 ZINC001035841088 660858228 /nfs/dbraw/zinc/85/82/28/660858228.db2.gz KJJFKGCVSKBGBX-IYARVYRRSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCc3ccccc31)CC2 ZINC001035860369 660860703 /nfs/dbraw/zinc/86/07/03/660860703.db2.gz LJAZZTYEZVDFFD-HXUWFJFHSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cccc(F)c3)CC[C@@H]21 ZINC001036634773 660935340 /nfs/dbraw/zinc/93/53/40/660935340.db2.gz YDYSCTSSLBLIMK-HOCLYGCPSA-N 0 1 322.811 3.115 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc3cc(C)ccc3[nH]2)CC1 ZINC001005810109 660940040 /nfs/dbraw/zinc/94/00/40/660940040.db2.gz VPFXDHGDTPBKEY-UHFFFAOYSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(Cl)cc2C)CC1 ZINC001005914619 660948890 /nfs/dbraw/zinc/94/88/90/660948890.db2.gz OEUHFLKIQWXQQB-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(Cl)c2F)CC1 ZINC001005921218 660949612 /nfs/dbraw/zinc/94/96/12/660949612.db2.gz RXPIXTBHSALZGP-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cc(C)c(C)o3)CC[C@H]21 ZINC001036692677 660952679 /nfs/dbraw/zinc/95/26/79/660952679.db2.gz KNFZKTNCCLOJGB-HUUCEWRRSA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2C)CC1 ZINC001006122364 660964858 /nfs/dbraw/zinc/96/48/58/660964858.db2.gz FYLZWVNQHUDZKC-VQTJNVASSA-N 0 1 324.468 3.045 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccoc3CC)CC[C@H]21 ZINC001036705341 660957728 /nfs/dbraw/zinc/95/77/28/660957728.db2.gz HGGZIIRRCTXEAO-UKRRQHHQSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CC(C)(C)C3)CC[C@@H]21 ZINC001036705020 660957815 /nfs/dbraw/zinc/95/78/15/660957815.db2.gz BYLQHYFIFCXYJO-HIFRSBDPSA-N 0 1 310.869 3.098 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(C(F)F)c2)CC1 ZINC001006310856 660974110 /nfs/dbraw/zinc/97/41/10/660974110.db2.gz PYIYCLFAYVKNOC-UHFFFAOYSA-N 0 1 320.383 3.184 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCCc3ccccc32)CC1 ZINC001006321754 660974564 /nfs/dbraw/zinc/97/45/64/660974564.db2.gz ZLFUQOYKJVXJQU-GOSISDBHSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCc1cc(CN2CC(C)(C)OC[C@@H]2C)c(O)c(OC)c1 ZINC000792727759 660981519 /nfs/dbraw/zinc/98/15/19/660981519.db2.gz UBEZGRFGTWVCJF-ZDUSSCGKSA-N 0 1 305.418 3.129 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1ccc(C(=O)N(C)C)cc1 ZINC000792883858 660991320 /nfs/dbraw/zinc/99/13/20/660991320.db2.gz BCDPVBWQDATDOH-UHFFFAOYSA-N 0 1 321.384 3.101 20 30 DGEDMN COc1cc(C(C)=NNCC2(C)CCCC2)cc([N+](=O)[O-])c1O ZINC000793083668 661003931 /nfs/dbraw/zinc/00/39/31/661003931.db2.gz DMPFAQCZMVEQNO-UHFFFAOYSA-N 0 1 321.377 3.203 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CN=Nc1cccc(Cl)n1 ZINC000793163998 661009131 /nfs/dbraw/zinc/00/91/31/661009131.db2.gz PBDCTRFQNCKQGV-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN N#CC1(COC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCCC1 ZINC000793190234 661011479 /nfs/dbraw/zinc/01/14/79/661011479.db2.gz LQWOTDWIDQLHQA-UHFFFAOYSA-N 0 1 310.357 3.103 20 30 DGEDMN Cc1ccncc1CN(C)Cc1ccc(N(C)CCC#N)cc1 ZINC000793338000 661024914 /nfs/dbraw/zinc/02/49/14/661024914.db2.gz RJLOETSCUFVKRX-UHFFFAOYSA-N 0 1 308.429 3.372 20 30 DGEDMN C[C@@H]1CCC[C@H](C)CN1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793505298 661039729 /nfs/dbraw/zinc/03/97/29/661039729.db2.gz QDMXHEUJWBQSRJ-LSDHHAIUSA-N 0 1 313.445 3.067 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C(F)(F)Cc2ccccc2)c1 ZINC000793736296 661054814 /nfs/dbraw/zinc/05/48/14/661054814.db2.gz WFRATDCRCOFSQQ-UHFFFAOYSA-N 0 1 302.280 3.080 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000793876481 661061318 /nfs/dbraw/zinc/06/13/18/661061318.db2.gz WZEHMXVGMPQBOV-DLBZAZTESA-N 0 1 312.498 3.041 20 30 DGEDMN C=CCCN(Cc1n[nH]c(C(C)C)n1)OCc1ccccc1 ZINC000794513403 661088337 /nfs/dbraw/zinc/08/83/37/661088337.db2.gz LTAMRQFRCLDFHP-UHFFFAOYSA-N 0 1 300.406 3.438 20 30 DGEDMN C[C@@H]1CCN(Cc2ccccc2)CC1N=NCCC(F)(F)F ZINC000794548758 661090375 /nfs/dbraw/zinc/09/03/75/661090375.db2.gz ZYHGJHGYAMEUMB-CYBMUJFWSA-N 0 1 313.367 3.426 20 30 DGEDMN Clc1nc2cc(Cl)ccn2c1CN=Nc1ccccn1 ZINC000794871297 661111017 /nfs/dbraw/zinc/11/10/17/661111017.db2.gz XCVBDIKCXALCPF-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN Clc1ccc(CN=Nc2cncnc2)cc1Br ZINC000794977007 661118653 /nfs/dbraw/zinc/11/86/53/661118653.db2.gz SSSWRSKYNZPHQX-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN COc1cc(C)cc2c1OCCC2=NNc1cccc(F)n1 ZINC000795008848 661121347 /nfs/dbraw/zinc/12/13/47/661121347.db2.gz PKVPTRWBTZHYAV-UHFFFAOYSA-N 0 1 301.321 3.136 20 30 DGEDMN Fc1cccc(NN=Cc2ccc(Br)s2)n1 ZINC000795009693 661121515 /nfs/dbraw/zinc/12/15/15/661121515.db2.gz IHGZQLIWAFNFMA-UHFFFAOYSA-N 0 1 300.156 3.491 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1C[C@H](C(F)F)CC1=O ZINC000795426847 661149399 /nfs/dbraw/zinc/14/93/99/661149399.db2.gz GPNUTIXYRXMHAI-CQSZACIVSA-N 0 1 300.393 3.126 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(Cl)c1 ZINC000969126428 655526115 /nfs/dbraw/zinc/52/61/15/655526115.db2.gz BSGGNGOJPLMXAJ-QGZVFWFLSA-N 0 1 318.848 3.338 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC000969139502 655527244 /nfs/dbraw/zinc/52/72/44/655527244.db2.gz NHWJMQFSEXPWFX-FQEVSTJZSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccoc1C(C)C ZINC000969158722 655529029 /nfs/dbraw/zinc/52/90/29/655529029.db2.gz RMXQWKAYTMXFAW-INIZCTEOSA-N 0 1 316.445 3.401 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cccc(C3CC3)c2)C1 ZINC000969443364 655546783 /nfs/dbraw/zinc/54/67/83/655546783.db2.gz CIKGHFXXWJHFJJ-QGZVFWFLSA-N 0 1 318.848 3.050 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2ccc(F)cc2Cl)C1 ZINC000969586015 655551479 /nfs/dbraw/zinc/55/14/79/655551479.db2.gz FGTVHRBHIIJBED-CQSZACIVSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC000969830578 655559134 /nfs/dbraw/zinc/55/91/34/655559134.db2.gz NKPAFYZHMGGBAZ-PBHICJAKSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H](NCc3ccccc3F)C2)CC1 ZINC000969830959 655559137 /nfs/dbraw/zinc/55/91/37/655559137.db2.gz XCUPEIYODFBNLW-QGZVFWFLSA-N 0 1 316.420 3.263 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cscc3s2)C1 ZINC000970175543 655586903 /nfs/dbraw/zinc/58/69/03/655586903.db2.gz SGBPXIGTOUPKQQ-JTQLQIEISA-N 0 1 306.456 3.199 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC000970269499 655591404 /nfs/dbraw/zinc/59/14/04/655591404.db2.gz XSADEOKPYFUQBC-CYBMUJFWSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC000970511395 655603763 /nfs/dbraw/zinc/60/37/63/655603763.db2.gz KMYITOOBGWXSQQ-OAHLLOKOSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC000970513541 655604041 /nfs/dbraw/zinc/60/40/41/655604041.db2.gz VIZRKYGWJZMSTR-GDBMZVCRSA-N 0 1 306.837 3.042 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC000970672260 655611917 /nfs/dbraw/zinc/61/19/17/655611917.db2.gz IDVBZHGCRMMRJV-CQSZACIVSA-N 0 1 311.429 3.021 20 30 DGEDMN O=C(/C=C\c1ccc2c(c1)OCCO2)c1ccc(O)c(F)c1 ZINC000255172937 655627887 /nfs/dbraw/zinc/62/78/87/655627887.db2.gz ABCHXULQLJZNTG-RJRFIUFISA-N 0 1 300.285 3.199 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC000970858442 655630514 /nfs/dbraw/zinc/63/05/14/655630514.db2.gz PKBKSRIWPDUALS-CYBMUJFWSA-N 0 1 320.502 3.499 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC000970861585 655630994 /nfs/dbraw/zinc/63/09/94/655630994.db2.gz SJTZFSKVLSRUSK-CHWSQXEVSA-N 0 1 318.486 3.109 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@@H](CCCN2C(=O)C=C2CCC2)C1 ZINC001021560613 655632558 /nfs/dbraw/zinc/63/25/58/655632558.db2.gz ZTSDUTIAIRTKCK-HOTGVXAUSA-N 0 1 308.853 3.162 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)C=C2CCC2)C1 ZINC001021560618 655632564 /nfs/dbraw/zinc/63/25/64/655632564.db2.gz ZTSDUTIAIRTKCK-JKSUJKDBSA-N 0 1 308.853 3.162 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC000970928102 655638215 /nfs/dbraw/zinc/63/82/15/655638215.db2.gz IUBWWIWQRORTEY-LSDHHAIUSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC000970931820 655638643 /nfs/dbraw/zinc/63/86/43/655638643.db2.gz PUBYIJVCDNWDAY-AWEZNQCLSA-N 0 1 312.413 3.286 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000970948854 655641608 /nfs/dbraw/zinc/64/16/08/655641608.db2.gz URRLZHNRMHTKQV-CQSZACIVSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC000970992182 655647767 /nfs/dbraw/zinc/64/77/67/655647767.db2.gz GMBRMZJFSQJMQO-CQSZACIVSA-N 0 1 310.397 3.183 20 30 DGEDMN C#CCC1(NCc2ccc(Br)cc2C#N)CCC1 ZINC000933296564 655697924 /nfs/dbraw/zinc/69/79/24/655697924.db2.gz KCFWGSPQQXPSOR-UHFFFAOYSA-N 0 1 303.203 3.356 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2cccc(C)c2)CC1 ZINC000949523106 655698187 /nfs/dbraw/zinc/69/81/87/655698187.db2.gz JMVDHSWGDDXLRU-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])cc1F ZINC000255334630 655702325 /nfs/dbraw/zinc/70/23/25/655702325.db2.gz DBBMPDVNXSLHBI-KXFIGUGUSA-N 0 1 317.272 3.344 20 30 DGEDMN Cc1ccc(CCCC(=O)C(C#N)C(=O)NC2CCCC2)s1 ZINC000176022236 655708980 /nfs/dbraw/zinc/70/89/80/655708980.db2.gz GCUKNPNTRADRGN-HNNXBMFYSA-N 0 1 318.442 3.147 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@]1(C)CCOc2ccccc21 ZINC000933376352 655709707 /nfs/dbraw/zinc/70/97/07/655709707.db2.gz XEQMKCLFWIIZEQ-LJQANCHMSA-N 0 1 308.381 3.354 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccccc2CC(C)(C)C)C1 ZINC000971756492 655724706 /nfs/dbraw/zinc/72/47/06/655724706.db2.gz MUKDKXFYHYFUDJ-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCC1(C)C ZINC001039475862 655745404 /nfs/dbraw/zinc/74/54/04/655745404.db2.gz MTBFKKYAFLZKBL-IKGGRYGDSA-N 0 1 304.478 3.454 20 30 DGEDMN CC(C)(C)[C@@H](C#N)NC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000934236659 655758206 /nfs/dbraw/zinc/75/82/06/655758206.db2.gz RCDHQNADDPRCQE-SNVBAGLBSA-N 0 1 306.316 3.169 20 30 DGEDMN C=CC[C@H]1CC[N@H+]1Cc1cc(=O)oc2cc([O-])c(Cl)cc12 ZINC000934464083 655776792 /nfs/dbraw/zinc/77/67/92/655776792.db2.gz MDFPSTVHYGBAOH-NSHDSACASA-N 0 1 305.761 3.302 20 30 DGEDMN C=CC[C@H]1CC[N@@H+]1Cc1cc(=O)oc2cc([O-])c(Cl)cc12 ZINC000934464083 655776793 /nfs/dbraw/zinc/77/67/93/655776793.db2.gz MDFPSTVHYGBAOH-NSHDSACASA-N 0 1 305.761 3.302 20 30 DGEDMN CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC000972210682 655779491 /nfs/dbraw/zinc/77/94/91/655779491.db2.gz WVYPSTPNCAPXER-CYBMUJFWSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC000972247745 655782416 /nfs/dbraw/zinc/78/24/16/655782416.db2.gz VTRZWWIUNGUUAQ-APWZRJJASA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC000972472463 655804005 /nfs/dbraw/zinc/80/40/05/655804005.db2.gz OHIMOXBBFOVFCH-RTBURBONSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCCN(C/C=C\Cl)C2)CC1 ZINC001023572227 655844899 /nfs/dbraw/zinc/84/48/99/655844899.db2.gz YMPIVWKGATXEGS-YHTRPRNISA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1OC ZINC000176817151 655847547 /nfs/dbraw/zinc/84/75/47/655847547.db2.gz OSWDPUNBNMKVAM-UHFFFAOYSA-N 0 1 324.336 3.090 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCOCCc2ccccc2)c1 ZINC000176815834 655847646 /nfs/dbraw/zinc/84/76/46/655847646.db2.gz CCBCSUOYRLLXBF-UHFFFAOYSA-N 0 1 324.380 3.242 20 30 DGEDMN Cc1ccc(C)c(C(=O)CCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000176816296 655847653 /nfs/dbraw/zinc/84/76/53/655847653.db2.gz OGXBNZYOBPLUIT-UHFFFAOYSA-N 0 1 322.364 3.482 20 30 DGEDMN CCn1cc(CC(=O)Nc2cc(C#N)ccc2O)c2ccccc21 ZINC000176826077 655849298 /nfs/dbraw/zinc/84/92/98/655849298.db2.gz LJOSWVKGOIAZBD-UHFFFAOYSA-N 0 1 319.364 3.420 20 30 DGEDMN CC(C)(C)c1nc(CC(=O)Nc2cc(C#N)ccc2O)cs1 ZINC000176829445 655849629 /nfs/dbraw/zinc/84/96/29/655849629.db2.gz SCVVAZZZXJHBMI-UHFFFAOYSA-N 0 1 315.398 3.199 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)C(CC)(CC)CC)C2)C1 ZINC000972613898 655850134 /nfs/dbraw/zinc/85/01/34/655850134.db2.gz GQGQXOXNEFRKJW-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN Cn1cc(/C=C\C(=O)c2ccccc2O)c(-c2ccncc2)n1 ZINC000255613419 655871948 /nfs/dbraw/zinc/87/19/48/655871948.db2.gz TUIUKNIEWOVWAV-SREVYHEPSA-N 0 1 305.337 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C4CC4)CCC3)CC[C@@H]21 ZINC001036779694 661199329 /nfs/dbraw/zinc/19/93/29/661199329.db2.gz OKHAJOAYGGZTDV-ZBFHGGJFSA-N 0 1 322.880 3.242 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccoc1C(F)(F)F ZINC001024461914 655907150 /nfs/dbraw/zinc/90/71/50/655907150.db2.gz MXQIYOXHAQAELV-NSHDSACASA-N 0 1 316.323 3.069 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(C2CCC2)cc1 ZINC001024467647 655908271 /nfs/dbraw/zinc/90/82/71/655908271.db2.gz JCFAFBMOKPFPGJ-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001024488418 655910211 /nfs/dbraw/zinc/91/02/11/655910211.db2.gz QBWPOIVIIJQSPP-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001024513591 655912201 /nfs/dbraw/zinc/91/22/01/655912201.db2.gz RFKWVMWIAOKJBE-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCOc1ccccc1C(=O)NC[C@@H]1CCCCN1CC=C ZINC001024514728 655912495 /nfs/dbraw/zinc/91/24/95/655912495.db2.gz DIBLUEJZWHQOEF-INIZCTEOSA-N 0 1 314.429 3.022 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2SCCc3ccccc32)c1 ZINC000179730908 655912829 /nfs/dbraw/zinc/91/28/29/655912829.db2.gz NDSYKEFTHRNJIB-MRXNPFEDSA-N 0 1 310.378 3.233 20 30 DGEDMN Cc1nc2ccccc2cc1C(=O)Nc1cc(C#N)ccc1O ZINC000179732717 655912942 /nfs/dbraw/zinc/91/29/42/655912942.db2.gz JJBGBRUGSLNNQJ-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc(C)cc(Cl)c1 ZINC001024553732 655916350 /nfs/dbraw/zinc/91/63/50/655916350.db2.gz FGHFXFOPYAEFDL-KRWDZBQOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001024557140 655916699 /nfs/dbraw/zinc/91/66/99/655916699.db2.gz MLTIDHIAWCSNIQ-FUHWJXTLSA-N 0 1 316.420 3.012 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3cccc[n+]3[O-])cc2[nH]1 ZINC000065903982 655918224 /nfs/dbraw/zinc/91/82/24/655918224.db2.gz GPOLPEJLLYYXOU-UHFFFAOYSA-N 0 1 310.357 3.175 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnc2ccsc2c1 ZINC001024593988 655921565 /nfs/dbraw/zinc/92/15/65/655921565.db2.gz WNSLWNCNJJFSII-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001024591678 655921829 /nfs/dbraw/zinc/92/18/29/655921829.db2.gz GXGHMSSWTDFZFD-INIZCTEOSA-N 0 1 311.429 3.247 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c2ccccc12 ZINC001024679796 655929921 /nfs/dbraw/zinc/92/99/21/655929921.db2.gz AQIQNXMPBCIOGO-QGZVFWFLSA-N 0 1 320.436 3.366 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2scnc2c1 ZINC001024740359 655934255 /nfs/dbraw/zinc/93/42/55/655934255.db2.gz QZHRSVPTIFIONF-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ncsc1C(C)C ZINC001024754499 655935553 /nfs/dbraw/zinc/93/55/53/655935553.db2.gz UTJHUBGSJRSGRV-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccccc1OC(C)C ZINC001024765357 655936623 /nfs/dbraw/zinc/93/66/23/655936623.db2.gz GDOMAONAKVPUCV-INIZCTEOSA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024804122 655940524 /nfs/dbraw/zinc/94/05/24/655940524.db2.gz AGSIHMOUACQHOK-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(F)c2occc21 ZINC001024808537 655941342 /nfs/dbraw/zinc/94/13/42/655941342.db2.gz HQXWHORUYZJASC-ZDUSSCGKSA-N 0 1 316.376 3.342 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc2cccc(C)c2o1 ZINC001024817489 655942622 /nfs/dbraw/zinc/94/26/22/655942622.db2.gz CBNIMBJEQHELKV-KRWDZBQOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCCC1(C)C ZINC001024816566 655942677 /nfs/dbraw/zinc/94/26/77/655942677.db2.gz MJMUGVLKCYUFBS-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1coc2ccccc12 ZINC001024826711 655943241 /nfs/dbraw/zinc/94/32/41/655943241.db2.gz XUVSYAURZSKFCJ-HNNXBMFYSA-N 0 1 310.397 3.040 20 30 DGEDMN CCc1nc(CC(=O)C(C#N)c2nc3ccccc3o2)cs1 ZINC000066516425 655952940 /nfs/dbraw/zinc/95/29/40/655952940.db2.gz UCDBXMPDBXVZAF-NSHDSACASA-N 0 1 311.366 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CCC(=C)CC3)CC[C@@H]21 ZINC001036811505 661206941 /nfs/dbraw/zinc/20/69/41/661206941.db2.gz RLZYPCRDDOROIW-IRXDYDNUSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001025069884 655963490 /nfs/dbraw/zinc/96/34/90/655963490.db2.gz RJBQIUVXBWCDCU-YJBOKZPZSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)(C)C(=O)N1CCCC[C@H]1CNCc1ccccc1C#N ZINC001025144747 655969967 /nfs/dbraw/zinc/96/99/67/655969967.db2.gz CFNKAULFKINIKH-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001025202131 655978160 /nfs/dbraw/zinc/97/81/60/655978160.db2.gz VMGFQARLNKIDCG-HZPDHXFCSA-N 0 1 321.852 3.226 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1CC(C)(C)C1 ZINC001025227716 655981818 /nfs/dbraw/zinc/98/18/18/655981818.db2.gz BBUHEEFNYWZGNS-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1occc1Cl ZINC001025264454 655986211 /nfs/dbraw/zinc/98/62/11/655986211.db2.gz AJVYEKAQIRXHCB-CABCVRRESA-N 0 1 320.820 3.025 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)C1(F)CCCCC1 ZINC001025284393 655989116 /nfs/dbraw/zinc/98/91/16/655989116.db2.gz GRWBUTTVWDFCOY-DLBZAZTESA-N 0 1 320.452 3.138 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2ccccc2)C1 ZINC001025325590 655994186 /nfs/dbraw/zinc/99/41/86/655994186.db2.gz IPDSIKHTASPMDE-ZBFHGGJFSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2CC(C)(C)C2)C1 ZINC001025411037 656007042 /nfs/dbraw/zinc/00/70/42/656007042.db2.gz FSULDCQJMCQFLB-UONOGXRCSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cccc3c2CCCC3)C1 ZINC001025425418 656009498 /nfs/dbraw/zinc/00/94/98/656009498.db2.gz POZWODRCHFVOMR-SJLPKXTDSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2cc(C)[nH]c2C)C1 ZINC001025547018 656034213 /nfs/dbraw/zinc/03/42/13/656034213.db2.gz WVCYFGRPRNKYNK-DZGCQCFKSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)[C@H]3CC[C@H](C)CC3)CC[C@H]21 ZINC001036874750 661219300 /nfs/dbraw/zinc/21/93/00/661219300.db2.gz OKDRQGPOFZXXQU-QSPRXWTASA-N 0 1 324.896 3.488 20 30 DGEDMN CC(C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1)=C1CCCC1 ZINC001020064399 656069941 /nfs/dbraw/zinc/06/99/41/656069941.db2.gz QACBGFOPOPKCFD-WGSAOQKQSA-N 0 1 323.440 3.186 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(C)cc3)CC[C@@H]21 ZINC001036878565 661220272 /nfs/dbraw/zinc/22/02/72/661220272.db2.gz YSIIXXMJHUFIAQ-IRXDYDNUSA-N 0 1 318.848 3.284 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1oc2ccc(F)cc2c1C ZINC001038345641 656076998 /nfs/dbraw/zinc/07/69/98/656076998.db2.gz QPIYEVLYFHFCJS-AWEZNQCLSA-N 0 1 316.376 3.261 20 30 DGEDMN Cc1cc(=O)[n-]c(C(C)(C)[NH2+]CCCCC2(C#N)CCC2)n1 ZINC000930656641 656116164 /nfs/dbraw/zinc/11/61/64/656116164.db2.gz AARNVVYWSCVCKQ-UHFFFAOYSA-N 0 1 302.422 3.180 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001008312898 656140569 /nfs/dbraw/zinc/14/05/69/656140569.db2.gz LBHBALBBRRHRJF-JHJVBQTASA-N 0 1 324.877 3.272 20 30 DGEDMN C=CCN(Cc1cnc(Br)c(C)c1)[C@H](C)COC ZINC000930933765 656156359 /nfs/dbraw/zinc/15/63/59/656156359.db2.gz ZLPAIRQDNYWGIR-GFCCVEGCSA-N 0 1 313.239 3.175 20 30 DGEDMN C[C@@H]1CN(CC2CCC(C#N)CC2)C[C@H](CC(F)(F)F)O1 ZINC000931073283 656169274 /nfs/dbraw/zinc/16/92/74/656169274.db2.gz NLZLTPWNHFJUNC-RYSNWHEDSA-N 0 1 304.356 3.358 20 30 DGEDMN C[C@H]1CN(CC2CCC(C#N)CC2)C[C@@H](CC(F)(F)F)O1 ZINC000931073282 656169339 /nfs/dbraw/zinc/16/93/39/656169339.db2.gz NLZLTPWNHFJUNC-GFJIZPEISA-N 0 1 304.356 3.358 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C)CCCCCC2)C(C)(C)C1 ZINC000974689711 656222334 /nfs/dbraw/zinc/22/23/34/656222334.db2.gz LQQLDVLQUMXKJQ-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(-n3cccc3)c2)C(C)(C)C1 ZINC000974784611 656228865 /nfs/dbraw/zinc/22/88/65/656228865.db2.gz RYIXHYGAARXHHL-GOSISDBHSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)Cc2cccc(C)c2Cl)C(C)(C)C1 ZINC000974804183 656230830 /nfs/dbraw/zinc/23/08/30/656230830.db2.gz CXLRCFCSKGFHJI-HNNXBMFYSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2F)C(C)(C)C1 ZINC000974811861 656231824 /nfs/dbraw/zinc/23/18/24/656231824.db2.gz NOMVCVRBNQDFEX-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974827326 656232742 /nfs/dbraw/zinc/23/27/42/656232742.db2.gz MYFJNMBUBGQTMV-QZTJIDSGSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2cccs2)C(C)(C)C1 ZINC000974903584 656240355 /nfs/dbraw/zinc/24/03/55/656240355.db2.gz XZNJSRPHALDQSI-ZDUSSCGKSA-N 0 1 306.475 3.038 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000974997928 656247661 /nfs/dbraw/zinc/24/76/61/656247661.db2.gz SSEIXLKANLSIKM-CQSZACIVSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000974997928 656247664 /nfs/dbraw/zinc/24/76/64/656247664.db2.gz SSEIXLKANLSIKM-CQSZACIVSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001008773788 656248013 /nfs/dbraw/zinc/24/80/13/656248013.db2.gz ZYGRVQDVDQRODQ-OAHLLOKOSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)C(C)(C)C1 ZINC000975038848 656250942 /nfs/dbraw/zinc/25/09/42/656250942.db2.gz OBRHUWPRVLFAPO-QGZVFWFLSA-N 0 1 315.461 3.140 20 30 DGEDMN C=CCC[N@@H+]1C[C@H](NC(=O)c2sc(C)cc2OC)C(C)(C)C1 ZINC000975107582 656256766 /nfs/dbraw/zinc/25/67/66/656256766.db2.gz HMCHFCWURKUBQN-AWEZNQCLSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001008909266 656261120 /nfs/dbraw/zinc/26/11/20/656261120.db2.gz QQGFODBZEXAIEP-CABCVRRESA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001008909266 656261121 /nfs/dbraw/zinc/26/11/21/656261121.db2.gz QQGFODBZEXAIEP-CABCVRRESA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c3occc32)C1 ZINC001009166251 656268724 /nfs/dbraw/zinc/26/87/24/656268724.db2.gz GBYAOVYVCKKTEW-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc([C@H](C)CC)no2)C(C)(C)C1 ZINC000977245317 656318550 /nfs/dbraw/zinc/31/85/50/656318550.db2.gz XUZCZMHKPAFBKI-CZUORRHYSA-N 0 1 319.449 3.204 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(CC)nc2C)C(C)(C)C1 ZINC000977312148 656321226 /nfs/dbraw/zinc/32/12/26/656321226.db2.gz FRVZHUJCACTDFF-ZDUSSCGKSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccn2C2CCCC2)C(C)(C)C1 ZINC000977374194 656325138 /nfs/dbraw/zinc/32/51/38/656325138.db2.gz BKXFKDJJKBWJFK-QGZVFWFLSA-N 0 1 315.461 3.229 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000977451083 656331010 /nfs/dbraw/zinc/33/10/10/656331010.db2.gz HJATWKRBEAXIDT-LJQANCHMSA-N 0 1 322.452 3.110 20 30 DGEDMN C#CCC[N@H+]1C[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977659571 656353477 /nfs/dbraw/zinc/35/34/77/656353477.db2.gz CDVLVPWNBLVMNX-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3C[C@H]3CC(C)(C)C)[C@@H]2C1 ZINC000978174602 656368300 /nfs/dbraw/zinc/36/83/00/656368300.db2.gz YIVCLJMYUWNXCK-XFIYOXNOSA-N 0 1 324.896 3.105 20 30 DGEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001027647913 656385383 /nfs/dbraw/zinc/38/53/83/656385383.db2.gz MVUCQQBFKHDQGS-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001052282527 656425356 /nfs/dbraw/zinc/42/53/56/656425356.db2.gz OEMGQQDQKZLLOB-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN N#Cc1ccccc1CN1CCC[C@@H](NC(=O)C2=CCCC2)CC1 ZINC001052305060 656426767 /nfs/dbraw/zinc/42/67/67/656426767.db2.gz RKOQUPFFIJNQDG-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@]2(C)CC=CCC2)CC1 ZINC001052412186 656434239 /nfs/dbraw/zinc/43/42/39/656434239.db2.gz RESYLGDNJYDUGS-DOTOQJQBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CCCC23CC3)CC1 ZINC001052491327 656439107 /nfs/dbraw/zinc/43/91/07/656439107.db2.gz BLUJDWPETWQOHG-CABCVRRESA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@H]2C=CCCC2)CC1 ZINC001052487983 656439240 /nfs/dbraw/zinc/43/92/40/656439240.db2.gz FRQVRBFXDQICTP-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1sc(C2CC2)nc1C ZINC001027880835 656455166 /nfs/dbraw/zinc/45/51/66/656455166.db2.gz VJWGBRNQUDOPEV-AWEZNQCLSA-N 0 1 319.474 3.099 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cccc(C(F)F)c1F ZINC001027887077 656461308 /nfs/dbraw/zinc/46/13/08/656461308.db2.gz HNSNXSKRAIVRMI-LLVKDONJSA-N 0 1 312.335 3.144 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cscc1Cl ZINC001039390139 656492363 /nfs/dbraw/zinc/49/23/63/656492363.db2.gz XATCEZHJLZZGHL-NEPJUHHUSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cc(C)cc1F ZINC001039403380 656494152 /nfs/dbraw/zinc/49/41/52/656494152.db2.gz SQZWBDHNEFHPDV-KGLIPLIRSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(F)cc1C ZINC001039415868 656496153 /nfs/dbraw/zinc/49/61/53/656496153.db2.gz YYCMHQQWNIHRHA-SJORKVTESA-N 0 1 316.420 3.307 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)ccc(C)c1F ZINC001039429306 656500187 /nfs/dbraw/zinc/50/01/87/656500187.db2.gz OZNWIQNQRSIWHU-KGLIPLIRSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1CCCC1)C2 ZINC001048496628 656501227 /nfs/dbraw/zinc/50/12/27/656501227.db2.gz MEWNDJYIUJNSMB-RBVVOMGSSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)no1 ZINC001039447282 656503953 /nfs/dbraw/zinc/50/39/53/656503953.db2.gz GNBKHDAPPBYOBX-CABCVRRESA-N 0 1 317.433 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(CC)(CC)CC ZINC001039456248 656505340 /nfs/dbraw/zinc/50/53/40/656505340.db2.gz MNHATAQSPMNDIM-SJORKVTESA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001039455528 656505661 /nfs/dbraw/zinc/50/56/61/656505661.db2.gz DDINUFALDWRUBF-MKXGPGLRSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1oc(CC)cc1C ZINC001039460154 656506365 /nfs/dbraw/zinc/50/63/65/656506365.db2.gz BOHRRQSNVGRULZ-CABCVRRESA-N 0 1 302.418 3.015 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(C)cccn2c1 ZINC001039458711 656506736 /nfs/dbraw/zinc/50/67/36/656506736.db2.gz LDZXZYARPRVYAD-MSOLQXFVSA-N 0 1 323.440 3.113 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccccc2n1 ZINC001039462056 656507145 /nfs/dbraw/zinc/50/71/45/656507145.db2.gz XDMMLAMXHWOLSC-SJORKVTESA-N 0 1 321.424 3.100 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C)c1C ZINC001039465595 656508213 /nfs/dbraw/zinc/50/82/13/656508213.db2.gz OKRPYRNDQZCSGQ-MSOLQXFVSA-N 0 1 310.441 3.006 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)cc1 ZINC001039463392 656508301 /nfs/dbraw/zinc/50/83/01/656508301.db2.gz MXMWVSDRYQHTEP-MOPGFXCFSA-N 0 1 310.441 3.122 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(C)cc1C ZINC001039467101 656508824 /nfs/dbraw/zinc/50/88/24/656508824.db2.gz VSUWKYOGXTWHJD-MSOLQXFVSA-N 0 1 312.457 3.477 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCCC2 ZINC001039466119 656509104 /nfs/dbraw/zinc/50/91/04/656509104.db2.gz STIUNSSJZYIKDX-UXHICEINSA-N 0 1 324.468 3.430 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(c2ccccc2C)CC1 ZINC001039478492 656512591 /nfs/dbraw/zinc/51/25/91/656512591.db2.gz JMIXTIAALFXFSH-MSOLQXFVSA-N 0 1 324.468 3.278 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC[C@H](C)F)C1 ZINC001039906329 656541438 /nfs/dbraw/zinc/54/14/38/656541438.db2.gz KZNMQINMKCAXJN-HRCADAONSA-N 0 1 308.441 3.156 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCC=CCCC3)C[C@@H]2C1 ZINC001048887231 656543906 /nfs/dbraw/zinc/54/39/06/656543906.db2.gz YRENYEPNWMHWII-YESZJQIVSA-N 0 1 322.880 3.266 20 30 DGEDMN C#CCCN1CCC[C@H]1CNC(=O)c1cc2sccc2s1 ZINC001027969139 656557274 /nfs/dbraw/zinc/55/72/74/656557274.db2.gz BZDSEXSAMDGCTL-LBPRGKRZSA-N 0 1 318.467 3.180 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3c(C)cccc3C)C[C@@H]2C1 ZINC001049077822 656558523 /nfs/dbraw/zinc/55/85/23/656558523.db2.gz KYEPMGTYGKRKKG-IYBDPMFKSA-N 0 1 318.848 3.060 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3CC(C(C)(C)C)C3)C[C@@H]2C1 ZINC001049244776 656577858 /nfs/dbraw/zinc/57/78/58/656577858.db2.gz ZWOYGARVTNWKMZ-IRHLINNNSA-N 0 1 324.896 3.201 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccnc2ccccc21 ZINC001028006727 656592753 /nfs/dbraw/zinc/59/27/53/656592753.db2.gz BVGRTBBPJBZEOD-OAHLLOKOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CCC3CC3)C2)CC1 ZINC001040647208 656600399 /nfs/dbraw/zinc/60/03/99/656600399.db2.gz XRXJORRBQSUBRQ-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3cc(C)co3)C2)CC1 ZINC001040814849 656608048 /nfs/dbraw/zinc/60/80/48/656608048.db2.gz HIALGCUXZLWMOO-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3(C)CC=CC3)C2)CC1 ZINC001040833084 656609731 /nfs/dbraw/zinc/60/97/31/656609731.db2.gz QRGWKUNHTMMSGI-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN CC[C@H](C)C(=O)N1CC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041086605 656630097 /nfs/dbraw/zinc/63/00/97/656630097.db2.gz HPJICDFXFBWSPC-GHTZIAJQSA-N 0 1 324.468 3.009 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)sc1C ZINC001049574994 656642903 /nfs/dbraw/zinc/64/29/03/656642903.db2.gz FGTXMBXOOKUYQU-IRXDYDNUSA-N 0 1 316.470 3.067 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001028048486 656644485 /nfs/dbraw/zinc/64/44/85/656644485.db2.gz GHIMSHSKRLNBRW-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001028048486 656644486 /nfs/dbraw/zinc/64/44/86/656644486.db2.gz GHIMSHSKRLNBRW-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(CCCC)cc1 ZINC001049581604 656645256 /nfs/dbraw/zinc/64/52/56/656645256.db2.gz IXEFKTIKUOLGDG-UXHICEINSA-N 0 1 324.468 3.341 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750801 656678914 /nfs/dbraw/zinc/67/89/14/656678914.db2.gz NZQCNBRHUAQWRR-QZTJIDSGSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761519 656682135 /nfs/dbraw/zinc/68/21/35/656682135.db2.gz JDDSHOZZIZUUNH-OLZOCXBDSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(C2CC2)s1 ZINC001049850204 656698350 /nfs/dbraw/zinc/69/83/50/656698350.db2.gz SHHLSBOKXQKKRA-CABCVRRESA-N 0 1 316.470 3.490 20 30 DGEDMN CC(C)CC(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@@H]2C1 ZINC001041906776 656698406 /nfs/dbraw/zinc/69/84/06/656698406.db2.gz NXYRFPOQSJEUOL-WOJBJXKFSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)c1ccccc1 ZINC001049885712 656714000 /nfs/dbraw/zinc/71/40/00/656714000.db2.gz OXWHUMLKLCEPFJ-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C12CCC(CC1)CC2 ZINC001049904383 656717057 /nfs/dbraw/zinc/71/70/57/656717057.db2.gz OZXWXXHBVMRTKX-SFANZJOMSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3sccc3Cl)C[C@H]21 ZINC001042066751 656717602 /nfs/dbraw/zinc/71/76/02/656717602.db2.gz JHTCEROOBWVLFC-DGCLKSJQSA-N 0 1 310.850 3.124 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C4CCC4)cc3)C[C@H]21 ZINC001042083897 656720287 /nfs/dbraw/zinc/72/02/87/656720287.db2.gz NIDJZWRNYBYLDW-AZUAARDMSA-N 0 1 322.452 3.124 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912957 656721307 /nfs/dbraw/zinc/72/13/07/656721307.db2.gz RKFZTYAJJQKJTF-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccoc1C(C)C ZINC001049919106 656723088 /nfs/dbraw/zinc/72/30/88/656723088.db2.gz UFZQPBFXBKSNPD-DLBZAZTESA-N 0 1 314.429 3.105 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnc(C(C)C)s1 ZINC001049934911 656727312 /nfs/dbraw/zinc/72/73/12/656727312.db2.gz DLXMJTNCLJQJPE-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC000981584275 656730053 /nfs/dbraw/zinc/73/00/53/656730053.db2.gz ZBBVSJHYMRJWBB-IRXDYDNUSA-N 0 1 304.478 3.335 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccccc3C)C2)CC1 ZINC001050414888 656741415 /nfs/dbraw/zinc/74/14/15/656741415.db2.gz ZZSGMUCKFVUWIU-UHFFFAOYSA-N 0 1 318.848 3.286 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CC[C@H]2c2ccc(F)cc2)CC1 ZINC000981673754 656746144 /nfs/dbraw/zinc/74/61/44/656746144.db2.gz LMBNWYPLEKWBDE-ROUUACIJSA-N 0 1 316.420 3.040 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)C(C)(C)C3CCCCC3)C[C@@H]21 ZINC001042262169 656746808 /nfs/dbraw/zinc/74/68/08/656746808.db2.gz HBXPXXMIXGPREW-WMZOPIPTSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001052729784 656754807 /nfs/dbraw/zinc/75/48/07/656754807.db2.gz ZEUUGGGQRYPSHG-OWCLPIDISA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3sccc3CC)C[C@H]21 ZINC001042369482 656766363 /nfs/dbraw/zinc/76/63/63/656766363.db2.gz YSIBSAQXSWCEGK-HUUCEWRRSA-N 0 1 304.459 3.033 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2c[nH]cc2C2CC2)CC1 ZINC001052833710 656773784 /nfs/dbraw/zinc/77/37/84/656773784.db2.gz IQYOHTKPOVMQMU-CQSZACIVSA-N 0 1 321.852 3.229 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CC23CCCC3)CC1 ZINC001052840091 656775018 /nfs/dbraw/zinc/77/50/18/656775018.db2.gz XBLIKJHQWMGBRT-CABCVRRESA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2ccccc2C)CC1 ZINC001052925477 656791774 /nfs/dbraw/zinc/79/17/74/656791774.db2.gz QNEXUFGXZAMFFO-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc3scnc32)C1 ZINC001042717893 656794952 /nfs/dbraw/zinc/79/49/52/656794952.db2.gz CFQZKWSKFCADOR-UHFFFAOYSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3ccccc3CCC)C2)C1 ZINC000981884514 656797008 /nfs/dbraw/zinc/79/70/08/656797008.db2.gz XAHNGHCKIDPNOC-UHFFFAOYSA-N 0 1 324.468 3.200 20 30 DGEDMN C=CCn1cccc1C(=O)N(C)C1CN(CC2CCCCC2)C1 ZINC001042928438 656802587 /nfs/dbraw/zinc/80/25/87/656802587.db2.gz JEAPNWVQMMBUIX-UHFFFAOYSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001043085707 656811253 /nfs/dbraw/zinc/81/12/53/656811253.db2.gz OPMBWPLCMWKEEV-LJQANCHMSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccccc2C2(C)CC2)CC1 ZINC000981944378 656817933 /nfs/dbraw/zinc/81/79/33/656817933.db2.gz KOZAPSJGZWZLAA-UHFFFAOYSA-N 0 1 312.457 3.462 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)C(C)(C)c2cccc(F)c2)CC1 ZINC000981944653 656820083 /nfs/dbraw/zinc/82/00/83/656820083.db2.gz WJTZKBFZVUVREY-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC001038918324 656848985 /nfs/dbraw/zinc/84/89/85/656848985.db2.gz ALPYXIJRVRDZQF-OAHLLOKOSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001028201629 656862705 /nfs/dbraw/zinc/86/27/05/656862705.db2.gz ITVPCJIQMOMFNL-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](C)c3ccccc3C)C2)C1 ZINC000982050378 656876943 /nfs/dbraw/zinc/87/69/43/656876943.db2.gz GOBYYZNRONQZLR-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C#CC[N@@H+]1CCCC2(CN(C(=O)C(C)(C)C3CCCCC3)C2)C1 ZINC000982058621 656877959 /nfs/dbraw/zinc/87/79/59/656877959.db2.gz WAUBAXUUWOFSCU-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C(C)(C)C3CCCCC3)C2)C1 ZINC000982058621 656877968 /nfs/dbraw/zinc/87/79/68/656877968.db2.gz WAUBAXUUWOFSCU-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H](C)Cc2ccccc2Cl)CC1 ZINC000982059453 656878578 /nfs/dbraw/zinc/87/85/78/656878578.db2.gz YJXUKRMMNXPHBY-OAHLLOKOSA-N 0 1 320.864 3.239 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)Cc2ccccc2Cl)CC1 ZINC000982059453 656878585 /nfs/dbraw/zinc/87/85/85/656878585.db2.gz YJXUKRMMNXPHBY-OAHLLOKOSA-N 0 1 320.864 3.239 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CC=CCC1 ZINC001054032877 656886998 /nfs/dbraw/zinc/88/69/98/656886998.db2.gz KJMPZBVDLQYCFW-YLJYHZDGSA-N 0 1 324.468 3.462 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1coc(C)c1 ZINC001054038837 656889751 /nfs/dbraw/zinc/88/97/51/656889751.db2.gz NBGOWIXJCNOUIE-LJQANCHMSA-N 0 1 324.424 3.321 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]ccc1C ZINC001054041176 656889919 /nfs/dbraw/zinc/88/99/19/656889919.db2.gz IVTDKVHQAFOMHF-SFHVURJKSA-N 0 1 323.440 3.056 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001054050995 656893478 /nfs/dbraw/zinc/89/34/78/656893478.db2.gz QSZQSLNJARKTKM-FUMNGEBKSA-N 0 1 324.468 3.152 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2CCC(C3CC3)CC2)C1 ZINC001044247399 656902106 /nfs/dbraw/zinc/90/21/06/656902106.db2.gz DJTSJZIKOGLNJP-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)c3ccc(C(C)C)cc3)C2)C1 ZINC000982128287 656902241 /nfs/dbraw/zinc/90/22/41/656902241.db2.gz BURGAIHPPZDRBH-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3ccc(C(C)C)cc3)C2)C1 ZINC000982128287 656902244 /nfs/dbraw/zinc/90/22/44/656902244.db2.gz BURGAIHPPZDRBH-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H](C)c3ccccc3)C2)C1 ZINC000982139153 656903765 /nfs/dbraw/zinc/90/37/65/656903765.db2.gz OSUMGBFBXWIJKH-GOSISDBHSA-N 0 1 324.468 3.128 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3cccc4ccccc43)C2)C1 ZINC000982137327 656905775 /nfs/dbraw/zinc/90/57/75/656905775.db2.gz AHQJBWMMPBXMCO-UHFFFAOYSA-N 0 1 318.420 3.011 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3C[C@H](C)C[C@H](C)C3)C2)C1 ZINC000982167069 656909208 /nfs/dbraw/zinc/90/92/08/656909208.db2.gz ZPLGAWVBMFEXOT-PIIMJCKOSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1CC(C)C1)C2 ZINC001054115195 656913619 /nfs/dbraw/zinc/91/36/19/656913619.db2.gz TWKVHLPULQVHQL-PUJMQQBBSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@]2(C)CCCc3ccccc32)CC1 ZINC000982221928 656919941 /nfs/dbraw/zinc/91/99/41/656919941.db2.gz KJTNXDJACXZJHV-FQEVSTJZSA-N 0 1 312.457 3.001 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1c(F)cccc1Cl ZINC001038944881 656924740 /nfs/dbraw/zinc/92/47/40/656924740.db2.gz JUFYNBDBDPILIA-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1cccc2ccncc21 ZINC001028234081 656931725 /nfs/dbraw/zinc/93/17/25/656931725.db2.gz QKNBJNNPDZXFSX-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1[nH]c2ccc(C)cc2c1C ZINC001028236755 656932847 /nfs/dbraw/zinc/93/28/47/656932847.db2.gz OUJABBQQYXUWHV-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1(C(C)C)CC1)C2 ZINC001054194870 656934381 /nfs/dbraw/zinc/93/43/81/656934381.db2.gz YNYMOUVCLMWEAH-QGZVFWFLSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1CC3(CC3)C1)C2 ZINC001054196087 656934637 /nfs/dbraw/zinc/93/46/37/656934637.db2.gz RUXOPFFTYHWSAI-GOSISDBHSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccccc3C)cc2C1 ZINC001054252441 656950377 /nfs/dbraw/zinc/95/03/77/656950377.db2.gz PKJVNIKPZXGPRB-UHFFFAOYSA-N 0 1 318.420 3.264 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cocc3C)cc2C1 ZINC001054277394 656958289 /nfs/dbraw/zinc/95/82/89/656958289.db2.gz YROSMSZNLZPJLK-UHFFFAOYSA-N 0 1 310.397 3.410 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCC[C@@H]3CC)cc2C1 ZINC001054279428 656960225 /nfs/dbraw/zinc/96/02/25/656960225.db2.gz FPQIPROIKRCJEO-PXNSSMCTSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1oc2c(cccc2C)c1C ZINC001028334930 656995789 /nfs/dbraw/zinc/99/57/89/656995789.db2.gz LAULBYPTBSRXPI-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1ccccc1CC(C)C ZINC001028341536 657000243 /nfs/dbraw/zinc/00/02/43/657000243.db2.gz NISFVLRUSGZFEH-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001028356081 657004542 /nfs/dbraw/zinc/00/45/42/657004542.db2.gz YOIKJYPZXRJIRJ-IBGZPJMESA-N 0 1 308.425 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cscc2Cl)C[C@@H]1C ZINC001054767083 657005949 /nfs/dbraw/zinc/00/59/49/657005949.db2.gz PCKLDEVTENESMI-QPUJVOFHSA-N 0 1 319.257 3.204 20 30 DGEDMN CC#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@@H]3CCCC[C@H]3C)C[C@@]2(C)C1 ZINC000982492740 657015343 /nfs/dbraw/zinc/01/53/43/657015343.db2.gz VSXYKMWZCTXGFC-LFGUQSLTSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2cccc(C)c2)C[C@H]1C ZINC001054937912 657022972 /nfs/dbraw/zinc/02/29/72/657022972.db2.gz BCTDYWIOSLIXPM-RHSMWYFYSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2coc(C(F)F)c2)C[C@@H]1C ZINC001055013650 657032125 /nfs/dbraw/zinc/03/21/25/657032125.db2.gz VYHXHGNFSQKQJA-GZMMTYOYSA-N 0 1 318.751 3.020 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(-c3ccccc3)o2)CC1 ZINC001045562865 657036495 /nfs/dbraw/zinc/03/64/95/657036495.db2.gz UFGMOKIXXTVNEW-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(CC)c(CC)o2)CC1 ZINC001045621712 657044223 /nfs/dbraw/zinc/04/42/23/657044223.db2.gz QFNIAAMGLOVPKZ-UHFFFAOYSA-N 0 1 316.445 3.012 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(C)c(F)cc2Cl)CC1 ZINC001045630255 657045835 /nfs/dbraw/zinc/04/58/35/657045835.db2.gz SIVPFGJLFPOFPZ-UHFFFAOYSA-N 0 1 322.811 3.005 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C(C)(C)C)s2)CC1 ZINC001045645196 657047540 /nfs/dbraw/zinc/04/75/40/657047540.db2.gz RPVNOCUOJCBYJS-UHFFFAOYSA-N 0 1 318.486 3.263 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2oc3c(cccc3C)c2C)CC1 ZINC001045646169 657048865 /nfs/dbraw/zinc/04/88/65/657048865.db2.gz AKUIVUHHQHBLBO-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc3c(cccc3C)o2)CC1 ZINC001045647597 657048893 /nfs/dbraw/zinc/04/88/93/657048893.db2.gz LRBSQHTZQWQZMD-UHFFFAOYSA-N 0 1 324.424 3.349 20 30 DGEDMN CC[C@H](C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045718230 657058880 /nfs/dbraw/zinc/05/88/80/657058880.db2.gz LGCGPWPFZMXHMB-SXLOBPIMSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccccc2CC)C1 ZINC001000021925 657086001 /nfs/dbraw/zinc/08/60/01/657086001.db2.gz JHBVKSWZJISVEW-HNNXBMFYSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)c1ccc[nH]1)C2 ZINC001045913115 657088032 /nfs/dbraw/zinc/08/80/32/657088032.db2.gz ATNAIQQJFCJQIQ-QGZVFWFLSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)c1ccc[nH]1)C2 ZINC001045913112 657088567 /nfs/dbraw/zinc/08/85/67/657088567.db2.gz ATNAIQQJFCJQIQ-KRWDZBQOSA-N 0 1 321.852 3.085 20 30 DGEDMN CCC(C)(C)C(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001000059928 657089345 /nfs/dbraw/zinc/08/93/45/657089345.db2.gz ZMMBZSZQMXYZBO-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2cccs2)C1 ZINC001000171743 657100843 /nfs/dbraw/zinc/10/08/43/657100843.db2.gz QPALBQUPHOBRTN-QWHCGFSZSA-N 0 1 312.866 3.185 20 30 DGEDMN C#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@H]3CCCCC3(C)C)C[C@@]2(C)C1 ZINC000982842573 657102784 /nfs/dbraw/zinc/10/27/84/657102784.db2.gz QCULKPGQRCCVNU-AHRSYUTCSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H](F)CC)C2 ZINC001045957537 657104629 /nfs/dbraw/zinc/10/46/29/657104629.db2.gz YEFZGICOWPFCHO-GOEBONIOSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001045964458 657107886 /nfs/dbraw/zinc/10/78/86/657107886.db2.gz IKWFNOXQYSHCJK-QZTJIDSGSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2cc(C)oc2C)C1 ZINC001000471556 657128872 /nfs/dbraw/zinc/12/88/72/657128872.db2.gz FEPHRPQCCQIEBF-HIFRSBDPSA-N 0 1 324.852 3.333 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccoc2CCC)C1 ZINC001000696074 657143997 /nfs/dbraw/zinc/14/39/97/657143997.db2.gz RZDSREMLWTXXAY-ZDUSSCGKSA-N 0 1 310.825 3.179 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cscc2C(F)F)C1 ZINC001046324183 657147310 /nfs/dbraw/zinc/14/73/10/657147310.db2.gz VEICPIDPPMCHBF-AWEZNQCLSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2scc(C)c2Cl)C1 ZINC001046342924 657151002 /nfs/dbraw/zinc/15/10/02/657151002.db2.gz XVGYGLSBDRZVBJ-HNNXBMFYSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2cccs2)C1 ZINC001000882729 657160841 /nfs/dbraw/zinc/16/08/41/657160841.db2.gz RGOKENPEYRBVDD-ZDUSSCGKSA-N 0 1 312.866 3.014 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3ccccc3s2)C1 ZINC001046459128 657163687 /nfs/dbraw/zinc/16/36/87/657163687.db2.gz WTYBURUWDAXYPW-QGZVFWFLSA-N 0 1 300.427 3.282 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc(C)cc(Cl)c2)CC1 ZINC001000945287 657166626 /nfs/dbraw/zinc/16/66/26/657166626.db2.gz GOJOFSHZZMPBBW-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc(C3CCCC3)cc2)CC1 ZINC001000951115 657167539 /nfs/dbraw/zinc/16/75/39/657167539.db2.gz SFYKSXMXHOVHHW-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001046495962 657169632 /nfs/dbraw/zinc/16/96/32/657169632.db2.gz LUANEWDAJDSBSE-IBGZPJMESA-N 0 1 314.429 3.415 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001046516335 657171621 /nfs/dbraw/zinc/17/16/21/657171621.db2.gz JBHKXPDJUYSNML-LJQANCHMSA-N 0 1 312.413 3.430 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2coc3cc(C)c(C)cc23)CC1 ZINC001001003008 657173251 /nfs/dbraw/zinc/17/32/51/657173251.db2.gz YPGZEQXQJBWDMO-UHFFFAOYSA-N 0 1 322.408 3.045 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc3sccc3c2)C1 ZINC001046743014 657211008 /nfs/dbraw/zinc/21/10/08/657211008.db2.gz OSSUATSFQDHVJC-QGZVFWFLSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc3sccc3c2)C1 ZINC001046743013 657211295 /nfs/dbraw/zinc/21/12/95/657211295.db2.gz OSSUATSFQDHVJC-KRWDZBQOSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001046803386 657223502 /nfs/dbraw/zinc/22/35/02/657223502.db2.gz WDXFXAUXEVZGLX-KRWDZBQOSA-N 0 1 318.804 3.466 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001046799716 657223873 /nfs/dbraw/zinc/22/38/73/657223873.db2.gz UVPZCRNXXJHBTM-QGZVFWFLSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001028933875 657226587 /nfs/dbraw/zinc/22/65/87/657226587.db2.gz VIPDQSBIWLRNPH-CQSZACIVSA-N 0 1 323.868 3.017 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)C2CCC(CCCC)CC2)C1 ZINC001046822235 657230905 /nfs/dbraw/zinc/23/09/05/657230905.db2.gz MOLWQVBJZSBXGW-TVPLGVNVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc(C)c(CC)s2)C1 ZINC001046826164 657231675 /nfs/dbraw/zinc/23/16/75/657231675.db2.gz VJWAAPPLCRQJEA-KRWDZBQOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001046854084 657236218 /nfs/dbraw/zinc/23/62/18/657236218.db2.gz JHSMTAAVAZUZSL-QGZVFWFLSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)c2cccc(C)c2)C1 ZINC001046911820 657245359 /nfs/dbraw/zinc/24/53/59/657245359.db2.gz GUASNSANQFMYBX-MRXNPFEDSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2C[C@]23CCc2ccccc23)CC1 ZINC000983409211 657246265 /nfs/dbraw/zinc/24/62/65/657246265.db2.gz AZZBQCBLXWEOFT-CTNGQTDRSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001046974009 657248823 /nfs/dbraw/zinc/24/88/23/657248823.db2.gz BUPIAAHBHBSPAM-QLFBSQMISA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc4ccccc4c3)[C@@H]2C1 ZINC001050189871 657266292 /nfs/dbraw/zinc/26/62/92/657266292.db2.gz SYXVIVTYQXWVPA-VQTJNVASSA-N 0 1 318.420 3.009 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC3CCCC3)C2)CC1 ZINC001050414922 657303355 /nfs/dbraw/zinc/30/33/55/657303355.db2.gz CIRZIMOLKQEVPJ-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC(C)(C)C3CC3)C2)CC1 ZINC001050514283 657317758 /nfs/dbraw/zinc/31/77/58/657317758.db2.gz KEUPLXBTHVTFEJ-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccsc3C)C2)CC1 ZINC001050512809 657317804 /nfs/dbraw/zinc/31/78/04/657317804.db2.gz UYICVEGERYTJGS-UHFFFAOYSA-N 0 1 324.877 3.347 20 30 DGEDMN COc1cc(/C=C/C(=O)c2cccc(F)c2)cc([N+](=O)[O-])c1O ZINC000028911311 657328428 /nfs/dbraw/zinc/32/84/28/657328428.db2.gz ZRKGHOFWLCSOJI-AATRIKPKSA-N 0 1 317.272 3.344 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)CC1 ZINC001050601589 657329092 /nfs/dbraw/zinc/32/90/92/657329092.db2.gz CMCASBPSQXJFFY-SCAQPMJSSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3CCC(=C)CC3)C2)CC1 ZINC001050643651 657336625 /nfs/dbraw/zinc/33/66/25/657336625.db2.gz JHDJZYZBWRGARF-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3C[C@]3(C)CC)C2)CC1 ZINC001050714405 657346504 /nfs/dbraw/zinc/34/65/04/657346504.db2.gz HSHICPYGYUWYBA-ZBFHGGJFSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cccs3)cccc2C1 ZINC001051279152 657379956 /nfs/dbraw/zinc/37/99/56/657379956.db2.gz VRJLDUCNBYYXOV-UHFFFAOYSA-N 0 1 312.438 3.222 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC=CCC3)cccc2C1 ZINC001051279937 657380355 /nfs/dbraw/zinc/38/03/55/657380355.db2.gz NXFZLCBIKZRYMC-MRXNPFEDSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C34CCC(CC3)C4)cccc2C1 ZINC001051292552 657382541 /nfs/dbraw/zinc/38/25/41/657382541.db2.gz DCIZHNBXVGSUEH-UHFFFAOYSA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCCC[C@@H]3C)cccc2C1 ZINC001051298623 657383056 /nfs/dbraw/zinc/38/30/56/657383056.db2.gz ZRIASKTUWMAXPP-LPHOPBHVSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(OCC)c(C)c2)C1 ZINC000968372516 657398234 /nfs/dbraw/zinc/39/82/34/657398234.db2.gz ABQRUWXJXZNSQB-RHSMWYFYSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(C)cc(C)c2)C1 ZINC000957324537 657399832 /nfs/dbraw/zinc/39/98/32/657399832.db2.gz MLAQUZOZTPKCGW-UHFFFAOYSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2sc(CC)nc2C)C1 ZINC000968404877 657407294 /nfs/dbraw/zinc/40/72/94/657407294.db2.gz HIWUPHYSLDUMBH-TZMCWYRMSA-N 0 1 321.490 3.030 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968404651 657407412 /nfs/dbraw/zinc/40/74/12/657407412.db2.gz GBBLZGLBHHRJFS-UYFTZEKXSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2sc(CC)nc2C)C1 ZINC000968404874 657407619 /nfs/dbraw/zinc/40/76/19/657407619.db2.gz HIWUPHYSLDUMBH-GXTWGEPZSA-N 0 1 321.490 3.030 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccccc2OC(C)C)CC1 ZINC000957409785 657413047 /nfs/dbraw/zinc/41/30/47/657413047.db2.gz XZSUXTZXCWTKPB-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454316 657413572 /nfs/dbraw/zinc/41/35/72/657413572.db2.gz KVZXPLPOXYPLCV-IFXJQAMLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454316 657413576 /nfs/dbraw/zinc/41/35/76/657413576.db2.gz KVZXPLPOXYPLCV-IFXJQAMLSA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC000968540508 657423770 /nfs/dbraw/zinc/42/37/70/657423770.db2.gz VSJBUJLIPOYXEJ-ZFWWWQNUSA-N 0 1 316.470 3.089 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968560606 657426342 /nfs/dbraw/zinc/42/63/42/657426342.db2.gz DPBFOBYGYYSFNC-WFASDCNBSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cccc3ccccc32)C1 ZINC000968574977 657430824 /nfs/dbraw/zinc/43/08/24/657430824.db2.gz PYVVUOVBMHTEEE-JXFKEZNVSA-N 0 1 322.452 3.395 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968579238 657432147 /nfs/dbraw/zinc/43/21/47/657432147.db2.gz LSMKAEBVYHXICX-DNVCBOLYSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC1=CCCCC1 ZINC001029423420 657434480 /nfs/dbraw/zinc/43/44/80/657434480.db2.gz ZRMJQFZPKYUNRW-CALCHBBNSA-N 0 1 302.462 3.374 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC000968598559 657437202 /nfs/dbraw/zinc/43/72/02/657437202.db2.gz HZVWTZMWQFEVCJ-OXQOHEQNSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968610386 657441532 /nfs/dbraw/zinc/44/15/32/657441532.db2.gz PEAGIJRJNCXVJU-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684698 657457786 /nfs/dbraw/zinc/45/77/86/657457786.db2.gz HEQDEVGXDJLAJB-HOCLYGCPSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684698 657457789 /nfs/dbraw/zinc/45/77/89/657457789.db2.gz HEQDEVGXDJLAJB-HOCLYGCPSA-N 0 1 304.434 3.419 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC000968692908 657460902 /nfs/dbraw/zinc/46/09/02/657460902.db2.gz QUALIDWCZUCLEM-IWCLVNKQSA-N 0 1 324.468 3.121 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC000968692580 657461334 /nfs/dbraw/zinc/46/13/34/657461334.db2.gz OHKFIQJEKYAJSI-HNAYVOBHSA-N 0 1 312.457 3.110 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2cc(C)sc2C)CC1 ZINC000957835887 657466801 /nfs/dbraw/zinc/46/68/01/657466801.db2.gz NEYDKLRRXYAVNN-UHFFFAOYSA-N 0 1 306.475 3.018 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(c3ccccc3C)CC2)CC1 ZINC000957915997 657472406 /nfs/dbraw/zinc/47/24/06/657472406.db2.gz UORSZQFEBUYCSC-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1sccc1C ZINC000968730348 657474210 /nfs/dbraw/zinc/47/42/10/657474210.db2.gz VTAWKWFQNOXEED-OAHLLOKOSA-N 0 1 304.459 3.054 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1c(C)noc1CCC ZINC000968857084 657502924 /nfs/dbraw/zinc/50/29/24/657502924.db2.gz SMFHRPDIYSZTBS-HNNXBMFYSA-N 0 1 319.449 3.096 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC000968962502 657523829 /nfs/dbraw/zinc/52/38/29/657523829.db2.gz HJEQNBIVTGUZNO-SFHVURJKSA-N 0 1 324.468 3.480 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC000968971467 657526120 /nfs/dbraw/zinc/52/61/20/657526120.db2.gz LWSLWLCHQFJQQK-QGZVFWFLSA-N 0 1 323.440 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2oc(C(F)F)cc2C)C1 ZINC000968979336 657527969 /nfs/dbraw/zinc/52/79/69/657527969.db2.gz JZERXLHXTZAGRS-SNVBAGLBSA-N 0 1 318.751 3.082 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(CCC)cc1 ZINC000969007803 657533022 /nfs/dbraw/zinc/53/30/22/657533022.db2.gz HTVJLIRSKFJUCA-LJQANCHMSA-N 0 1 312.457 3.247 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000969044297 657540902 /nfs/dbraw/zinc/54/09/02/657540902.db2.gz MEKPFXYYCWEMNU-INDMIFKZSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC000969044299 657541661 /nfs/dbraw/zinc/54/16/61/657541661.db2.gz MEKPFXYYCWEMNU-SQNIBIBYSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1sc(CC)nc1C ZINC000969065512 657548242 /nfs/dbraw/zinc/54/82/42/657548242.db2.gz TWIGNKXANWKYQJ-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1sc(CC)nc1C ZINC000969065512 657548246 /nfs/dbraw/zinc/54/82/46/657548246.db2.gz TWIGNKXANWKYQJ-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cccc(C)c1Cl ZINC000969082642 657551231 /nfs/dbraw/zinc/55/12/31/657551231.db2.gz VUWRZHCIOBJLJW-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(C)c1Cl ZINC000969082642 657551237 /nfs/dbraw/zinc/55/12/37/657551237.db2.gz VUWRZHCIOBJLJW-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccccc2F)CC1 ZINC000985224404 657578646 /nfs/dbraw/zinc/57/86/46/657578646.db2.gz PBYUVTLTDBNPEV-UHFFFAOYSA-N 0 1 310.800 3.115 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001007458371 657609662 /nfs/dbraw/zinc/60/96/62/657609662.db2.gz WSTSIOLXZTZSJW-INIZCTEOSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nccc3ccsc32)C1 ZINC001007530636 657617487 /nfs/dbraw/zinc/61/74/87/657617487.db2.gz BMROQFHOJSBUKD-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN Cn1cccc1[C@H](O)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000304406340 657631111 /nfs/dbraw/zinc/63/11/11/657631111.db2.gz XDSVFNSWMIYFHY-JYINPYMRSA-N 0 1 313.184 3.190 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC001007726850 657631194 /nfs/dbraw/zinc/63/11/94/657631194.db2.gz MGFMXMLQAGDURE-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001008094598 657659503 /nfs/dbraw/zinc/65/95/03/657659503.db2.gz IGPGNTWNEXSAPA-INIZCTEOSA-N 0 1 313.445 3.038 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001008087707 657660665 /nfs/dbraw/zinc/66/06/65/657660665.db2.gz JKFHKUZPTRQZJF-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001008182606 657667090 /nfs/dbraw/zinc/66/70/90/657667090.db2.gz LFIHSZQFEMAXGV-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC001008181403 657667840 /nfs/dbraw/zinc/66/78/40/657667840.db2.gz DTWZMFSQMPTKKU-AWEZNQCLSA-N 0 1 306.475 3.182 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cccc(F)c2C)CC1 ZINC000985444483 657677779 /nfs/dbraw/zinc/67/77/79/657677779.db2.gz JCGMQVJXVQQRFZ-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001008549781 657703579 /nfs/dbraw/zinc/70/35/79/657703579.db2.gz GMJLLUSWHXXIIQ-OAHLLOKOSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001008636303 657713484 /nfs/dbraw/zinc/71/34/84/657713484.db2.gz GTBPGFUGSSBWKI-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN N#Cc1ccccc1CNC[C@@H]1CCCCN1C(=O)C=C1CCC1 ZINC000959951276 657731235 /nfs/dbraw/zinc/73/12/35/657731235.db2.gz RWMZZMQRAWWDTJ-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001009201442 657755704 /nfs/dbraw/zinc/75/57/04/657755704.db2.gz KNJCPKMPORPCPC-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001009305589 657765626 /nfs/dbraw/zinc/76/56/26/657765626.db2.gz OXKBJHANYDGTEM-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001009307024 657766636 /nfs/dbraw/zinc/76/66/36/657766636.db2.gz XVFFKNNMIAWMRW-ZCYBBYNQSA-N 0 1 316.489 3.360 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001009305611 657766818 /nfs/dbraw/zinc/76/68/18/657766818.db2.gz PLDSMGKOJCJLDH-KRWDZBQOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001009309914 657768873 /nfs/dbraw/zinc/76/88/73/657768873.db2.gz BJKPIANPZXWHIJ-AWEZNQCLSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001009329580 657770159 /nfs/dbraw/zinc/77/01/59/657770159.db2.gz OOOLPWJDLDOKLR-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001009355019 657772551 /nfs/dbraw/zinc/77/25/51/657772551.db2.gz AJUJTQQSYLAGPP-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001009376537 657775082 /nfs/dbraw/zinc/77/50/82/657775082.db2.gz IZPLXTJDZIZBGZ-RDJZCZTQSA-N 0 1 318.848 3.136 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC001009403647 657779402 /nfs/dbraw/zinc/77/94/02/657779402.db2.gz SYVBOFYQSJIXEG-KRWDZBQOSA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001009423611 657784455 /nfs/dbraw/zinc/78/44/55/657784455.db2.gz HJANFGKXLSUICH-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2CCC(=C)CC2)CC1 ZINC000985614963 657784812 /nfs/dbraw/zinc/78/48/12/657784812.db2.gz QEMVULLFOZWMTK-UHFFFAOYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001009446335 657788095 /nfs/dbraw/zinc/78/80/95/657788095.db2.gz CJNLJDOZVKCSNX-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC000985646759 657793710 /nfs/dbraw/zinc/79/37/10/657793710.db2.gz QHGQYQNHMUWYGP-ZDUSSCGKSA-N 0 1 310.825 3.058 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001009586432 657796818 /nfs/dbraw/zinc/79/68/18/657796818.db2.gz KHSVACABITVBHD-UKRRQHHQSA-N 0 1 322.399 3.225 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960381990 657801085 /nfs/dbraw/zinc/80/10/85/657801085.db2.gz GKHMYYWLOFLJSA-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001009634941 657803498 /nfs/dbraw/zinc/80/34/98/657803498.db2.gz JNYIERIQUJKZEQ-MRXNPFEDSA-N 0 1 324.424 3.267 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC001009779885 657818281 /nfs/dbraw/zinc/81/82/81/657818281.db2.gz LMCBDSOSCIVUOV-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC000985738498 657828325 /nfs/dbraw/zinc/82/83/25/657828325.db2.gz VRXXBHZZVALCPY-OAHLLOKOSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)C2CCCC2)CC1 ZINC000985748188 657831904 /nfs/dbraw/zinc/83/19/04/657831904.db2.gz ZVTHLZCEHAVPDT-CQSZACIVSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2oc(CC)cc2C)CC1 ZINC000985756679 657834753 /nfs/dbraw/zinc/83/47/53/657834753.db2.gz UNYLYSUHCKHTLA-UHFFFAOYSA-N 0 1 324.852 3.439 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1scnc1C ZINC000960786198 657874946 /nfs/dbraw/zinc/87/49/46/657874946.db2.gz CDLJALYLUOKPSE-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](NC(=O)CC3=CCCCC3)C2)c1 ZINC001010386528 657891723 /nfs/dbraw/zinc/89/17/23/657891723.db2.gz SYOSUZTWZGXYFR-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)c3ccco3)C2)C1 ZINC000961080199 657942459 /nfs/dbraw/zinc/94/24/59/657942459.db2.gz ACRMYQWMRHSHHZ-GJZGRUSLSA-N 0 1 322.836 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CC(C)C)C2)C1 ZINC000961106399 657950825 /nfs/dbraw/zinc/95/08/25/657950825.db2.gz BXSASQYNEACOKF-CVEARBPZSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3=CCCC3)C2)C1 ZINC000961137863 657960975 /nfs/dbraw/zinc/96/09/75/657960975.db2.gz FWOWKJCORXSSSL-IRXDYDNUSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3=CCCC3)C2)C1 ZINC000961137856 657961051 /nfs/dbraw/zinc/96/10/51/657961051.db2.gz FWOWKJCORXSSSL-DLBZAZTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC000961163017 657969785 /nfs/dbraw/zinc/96/97/85/657969785.db2.gz CPZNJVUMKUOYFH-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3(C)CCC3)C2)C1 ZINC000961229345 657983904 /nfs/dbraw/zinc/98/39/04/657983904.db2.gz MAKCWOYNBMKHID-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3(CC)CC3)C2)C1 ZINC000961235163 657984895 /nfs/dbraw/zinc/98/48/95/657984895.db2.gz QOTZHVXKWSRTPV-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C(C)(C)C)C2)C1 ZINC000961273086 657991405 /nfs/dbraw/zinc/99/14/05/657991405.db2.gz MZEHMYLCIKUTQR-HUUCEWRRSA-N 0 1 312.885 3.346 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(F)cc2)cc([N+](=O)[O-])c1O ZINC000038321601 658015714 /nfs/dbraw/zinc/01/57/14/658015714.db2.gz LBMPNDYVOVLXQM-FARCUNLSSA-N 0 1 317.272 3.344 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2Cl)[C@H]1C ZINC000986304273 658035140 /nfs/dbraw/zinc/03/51/40/658035140.db2.gz SDMKJAXAPUATHE-ONGXEEELSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3c(o2)CCCC3)[C@H]1C ZINC000986342324 658041217 /nfs/dbraw/zinc/04/12/17/658041217.db2.gz OWOWRTHTVYGRHE-JSGCOSHPSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001014724956 658043020 /nfs/dbraw/zinc/04/30/20/658043020.db2.gz YIHDLEIQXXHXNR-ZDUSSCGKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cccc(CC)c2)[C@H]1C ZINC000986440442 658052184 /nfs/dbraw/zinc/05/21/84/658052184.db2.gz KTYDEJSNEWADLE-WMLDXEAASA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)oc(C)c2C)[C@H]1C ZINC000986512791 658059476 /nfs/dbraw/zinc/05/94/76/658059476.db2.gz BVNGJTQWBMOLRT-SMDDNHRTSA-N 0 1 310.825 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccc(C)cc2)[C@H]1C ZINC000986524316 658060640 /nfs/dbraw/zinc/06/06/40/658060640.db2.gz RPJPRPNENKOBTA-RDJZCZTQSA-N 0 1 320.864 3.259 20 30 DGEDMN Oc1ccc(C=Nn2c(=S)[nH]nc2-c2ccc(F)cc2)cc1 ZINC000254306613 658073296 /nfs/dbraw/zinc/07/32/96/658073296.db2.gz GTHHZSPINYOXQG-UHFFFAOYSA-N 0 1 314.345 3.335 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001015084767 658084042 /nfs/dbraw/zinc/08/40/42/658084042.db2.gz QZUWLOWKYOIBAR-GFCCVEGCSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc(C(F)F)c2)[C@H]1C ZINC000986733867 658094969 /nfs/dbraw/zinc/09/49/69/658094969.db2.gz JCBGQYDRROCMSI-ONGXEEELSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001015373007 658115120 /nfs/dbraw/zinc/11/51/20/658115120.db2.gz NJHJLKCNUYYFBV-ZDUSSCGKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3occc3s2)[C@@H]1C ZINC000986957123 658124080 /nfs/dbraw/zinc/12/40/80/658124080.db2.gz LKMMCFQIDQNHHM-MNOVXSKESA-N 0 1 324.833 3.439 20 30 DGEDMN C=C(Cl)C[N@H+]1CCC[C@H]1[C@H]1CCCN(C(=O)CC2CC2)C1 ZINC000963249173 658169742 /nfs/dbraw/zinc/16/97/42/658169742.db2.gz VDPULNOMQUHMDR-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001015766662 658181679 /nfs/dbraw/zinc/18/16/79/658181679.db2.gz ZJCFHHUDUQXOPI-INIZCTEOSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2occ3c2CCCC3)[C@@H]1C ZINC000987410930 658204388 /nfs/dbraw/zinc/20/43/88/658204388.db2.gz UAHZIQBUQBDOAE-DOMZBBRYSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001015961637 658211414 /nfs/dbraw/zinc/21/14/14/658211414.db2.gz KBXZVQXKBZULMQ-GOSISDBHSA-N 0 1 314.473 3.293 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001016130716 658240249 /nfs/dbraw/zinc/24/02/49/658240249.db2.gz KWPNCMQUKXPZOA-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2-c2ccccc2)C1 ZINC001016176247 658249521 /nfs/dbraw/zinc/24/95/21/658249521.db2.gz VCFOCMIPSUZNJV-KRWDZBQOSA-N 0 1 306.409 3.344 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(cccc3F)s2)C1 ZINC001016212068 658256024 /nfs/dbraw/zinc/25/60/24/658256024.db2.gz GHNICRQTRCGTAE-LBPRGKRZSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CC2CCCC2)CC1 ZINC001016488191 658292411 /nfs/dbraw/zinc/29/24/11/658292411.db2.gz CEGUFWIAUCDQBS-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN CCC(CC)C(=O)N1C[C@H](NCc2ccccc2C#N)C[C@@H]1C ZINC000988108262 658301850 /nfs/dbraw/zinc/30/18/50/658301850.db2.gz FYYPDKWMYRMRID-KBXCAEBGSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H]2CCC2(C)C)CC1 ZINC001016780282 658328478 /nfs/dbraw/zinc/32/84/78/658328478.db2.gz IKYZRMAYRSNNOH-KGLIPLIRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H](C)c2ccco2)CC1 ZINC001016818994 658337803 /nfs/dbraw/zinc/33/78/03/658337803.db2.gz IHLKXUMLCLAJBE-DZGCQCFKSA-N 0 1 322.836 3.106 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2sccc2Cl)C1 ZINC000988864622 658411473 /nfs/dbraw/zinc/41/14/73/658411473.db2.gz HRFRWHIMCDZKHX-VHSXEESVSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(F)ccc2CC)C1 ZINC000989092865 658434916 /nfs/dbraw/zinc/43/49/16/658434916.db2.gz GWTTZKCHXWARAD-SWLSCSKDSA-N 0 1 324.827 3.333 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2ccc(F)cc2)C1 ZINC000989115129 658438204 /nfs/dbraw/zinc/43/82/04/658438204.db2.gz RYNHEPJMVFAMTA-CZUORRHYSA-N 0 1 324.827 3.090 20 30 DGEDMN Cc1cccc([C@H](C)N2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)c1 ZINC001017541777 658438329 /nfs/dbraw/zinc/43/83/29/658438329.db2.gz GTJMYGAAYVORMV-FFZOFVMBSA-N 0 1 322.452 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(CC)s2)C1 ZINC000989131150 658439918 /nfs/dbraw/zinc/43/99/18/658439918.db2.gz NHDOBZIYNDCUEF-VXGBXAGGSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)sc2C)C1 ZINC000989144307 658443207 /nfs/dbraw/zinc/44/32/07/658443207.db2.gz YKFWPCVJZOSYIO-GWCFXTLKSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2ccc(C)cc2)C1 ZINC000989152762 658444697 /nfs/dbraw/zinc/44/46/97/658444697.db2.gz DPEUYIMXRGONLQ-WBVHZDCISA-N 0 1 320.864 3.259 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(C(C)C)c1 ZINC001017703854 658451394 /nfs/dbraw/zinc/45/13/94/658451394.db2.gz GVHOJTWQSXARNC-BGYRXZFFSA-N 0 1 324.468 3.051 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CCCC)cc1 ZINC001017719052 658453606 /nfs/dbraw/zinc/45/36/06/658453606.db2.gz KZHICDZNWWVKRG-BGYRXZFFSA-N 0 1 324.468 3.341 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cccc(C)c2F)C1 ZINC000966266456 658460354 /nfs/dbraw/zinc/46/03/54/658460354.db2.gz QOPQDWKETPPIJK-XJKSGUPXSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966292119 658463443 /nfs/dbraw/zinc/46/34/43/658463443.db2.gz BNFMHJZHEKDSAI-BEFAXECRSA-N 0 1 312.457 3.192 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966327458 658466806 /nfs/dbraw/zinc/46/68/06/658466806.db2.gz POZGUCWNCGPAIJ-JXFKEZNVSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2sc(C)cc2C)C1 ZINC000966418332 658473229 /nfs/dbraw/zinc/47/32/29/658473229.db2.gz DPVNDGCITRVKRL-DOMZBBRYSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(F)F)nc2)C1 ZINC000966558574 658481412 /nfs/dbraw/zinc/48/14/12/658481412.db2.gz DZFUTJYRIAFMDI-DOMZBBRYSA-N 0 1 323.387 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc3cc[nH]c32)C1 ZINC000989467796 658494609 /nfs/dbraw/zinc/49/46/09/658494609.db2.gz AWXQOTRQDXAKSV-TZMCWYRMSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC000966874307 658502820 /nfs/dbraw/zinc/50/28/20/658502820.db2.gz GELUTZYPFXREOV-ZYHUDNBSSA-N 0 1 312.360 3.152 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cncc(Cl)c2C)C1 ZINC000966876177 658503658 /nfs/dbraw/zinc/50/36/58/658503658.db2.gz WBVZSTOUVAJHFU-MLGOLLRUSA-N 0 1 321.852 3.060 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cncc(Cl)c2C)C1 ZINC000966876179 658504051 /nfs/dbraw/zinc/50/40/51/658504051.db2.gz WBVZSTOUVAJHFU-WBMJQRKESA-N 0 1 321.852 3.060 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC000966878665 658505905 /nfs/dbraw/zinc/50/59/05/658505905.db2.gz HCYMBWNCTZCBKG-YVEFUNNKSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccsc2Cl)C1 ZINC000989520037 658508271 /nfs/dbraw/zinc/50/82/71/658508271.db2.gz AYVVQQFLKKWJEQ-VHSXEESVSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCCC2 ZINC001018214578 658518827 /nfs/dbraw/zinc/51/88/27/658518827.db2.gz SVPKAWUIMKVEHF-IYBDPMFKSA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCC1CCCCC1 ZINC001018235382 658523102 /nfs/dbraw/zinc/52/31/02/658523102.db2.gz ALAOOAXFUIQNPE-HDICACEKSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CC2)cc1 ZINC001018295002 658531366 /nfs/dbraw/zinc/53/13/66/658531366.db2.gz IPVUARDCSFLSJJ-KDURUIRLSA-N 0 1 310.441 3.429 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)c1ccc(Cl)s1 ZINC000127880034 658534027 /nfs/dbraw/zinc/53/40/27/658534027.db2.gz DQDKDOXQAMJJEV-JTQLQIEISA-N 0 1 304.758 3.363 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)c(CC)o2)C1 ZINC000989671837 658539806 /nfs/dbraw/zinc/53/98/06/658539806.db2.gz FSUJEKJNIRBRRU-QWHCGFSZSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(CC)c(CC)o2)C1 ZINC000989685648 658541014 /nfs/dbraw/zinc/54/10/14/658541014.db2.gz FBEJLYVREASOFI-JSGCOSHPSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC000989685577 658541101 /nfs/dbraw/zinc/54/11/01/658541101.db2.gz DAMYCEUKTKGIEU-UKRRQHHQSA-N 0 1 323.868 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(CC)c(CC)o2)C1 ZINC000989685647 658541160 /nfs/dbraw/zinc/54/11/60/658541160.db2.gz FBEJLYVREASOFI-GXTWGEPZSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccccc2Cl)C1 ZINC000989708325 658548466 /nfs/dbraw/zinc/54/84/66/658548466.db2.gz SQVXWDBNBAHXIU-NEPJUHHUSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C[C@H](C(=O)N1CCCN(CC=C(C)C)CC1)c1ccccc1 ZINC000989718689 658554300 /nfs/dbraw/zinc/55/43/00/658554300.db2.gz BIDFGTNUNDWCAA-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC000967291950 658563998 /nfs/dbraw/zinc/56/39/98/658563998.db2.gz PMNWEEAWOKVCJD-BEFAXECRSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3ccccc3[nH]2)C1 ZINC000989768571 658569990 /nfs/dbraw/zinc/56/99/90/658569990.db2.gz HHMJORBVYNQYGJ-GXTWGEPZSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cccc(C)c2Cl)C1 ZINC000967338426 658570667 /nfs/dbraw/zinc/57/06/67/658570667.db2.gz QGUMJKMVWSOMMI-XJKSGUPXSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cccc(C)c2Cl)C1 ZINC000967338424 658571246 /nfs/dbraw/zinc/57/12/46/658571246.db2.gz QGUMJKMVWSOMMI-CJNGLKHVSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](c2ccc(F)cc2)C(C)C)CC1 ZINC000989752885 658571570 /nfs/dbraw/zinc/57/15/70/658571570.db2.gz XAAVPLOLFDJYKK-SFHVURJKSA-N 0 1 318.436 3.286 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3ccccc3o2)C1 ZINC000989755146 658572174 /nfs/dbraw/zinc/57/21/74/658572174.db2.gz IBRFGMXCIXKBLA-JSGCOSHPSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC000967413576 658578338 /nfs/dbraw/zinc/57/83/38/658578338.db2.gz BZBOUDPQAHUPJM-KDOFPFPSSA-N 0 1 323.440 3.169 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424116 658580567 /nfs/dbraw/zinc/58/05/67/658580567.db2.gz PAVJPAUMJSFDER-OXJNMPFZSA-N 0 1 324.468 3.336 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC000967470914 658590711 /nfs/dbraw/zinc/59/07/11/658590711.db2.gz VEDFEPICYZMCSW-KDOFPFPSSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC000967676740 658618862 /nfs/dbraw/zinc/61/88/62/658618862.db2.gz JVHWDHGGABMKAF-KSSFIOAISA-N 0 1 311.429 3.103 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H](NCc3cccc(F)c3F)C2)C1 ZINC001019353288 658637493 /nfs/dbraw/zinc/63/74/93/658637493.db2.gz BKFYHDWXJGCCED-AWEZNQCLSA-N 0 1 320.383 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001019453365 658647300 /nfs/dbraw/zinc/64/73/00/658647300.db2.gz DZMXEDKGCJUEDG-ZDUSSCGKSA-N 0 1 322.767 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001019454175 658648562 /nfs/dbraw/zinc/64/85/62/658648562.db2.gz UOCRBMXKVZIEIE-IRXDYDNUSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001019469802 658650366 /nfs/dbraw/zinc/65/03/66/658650366.db2.gz OQYKLFXVFYAZJJ-JDFRZJQESA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C(C)C)oc2C)C1 ZINC001019591546 658656599 /nfs/dbraw/zinc/65/65/99/658656599.db2.gz MWIAXMTWVCACRH-ZDUSSCGKSA-N 0 1 310.825 3.268 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccoc2C2CC2)C1 ZINC000968052951 658657329 /nfs/dbraw/zinc/65/73/29/658657329.db2.gz CMEUVVBNDNIKQT-CJNGLKHVSA-N 0 1 302.418 3.173 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C(F)F)c2)C1 ZINC001019688596 658661505 /nfs/dbraw/zinc/66/15/05/658661505.db2.gz AXPWIXVTYJIEHL-ZDUSSCGKSA-N 0 1 314.763 3.181 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968126784 658673055 /nfs/dbraw/zinc/67/30/55/658673055.db2.gz BCMSNLTXOXJUTK-WFASDCNBSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191887 658680393 /nfs/dbraw/zinc/68/03/93/658680393.db2.gz PQGRTSHSQSLCBC-CZUORRHYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968191435 658680540 /nfs/dbraw/zinc/68/05/40/658680540.db2.gz NLNASULIGPZDQF-WBMJQRKESA-N 0 1 306.837 3.275 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC(F)(F)F)CC[C@@H]2C)C1 ZINC000968274446 658688975 /nfs/dbraw/zinc/68/89/75/658688975.db2.gz LYQPJRVNJOTIHX-QWHCGFSZSA-N 0 1 318.383 3.122 20 30 DGEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000968344721 658702709 /nfs/dbraw/zinc/70/27/09/658702709.db2.gz DKRLMHJOSMCIOV-AUUYWEPGSA-N 0 1 324.399 3.052 20 30 DGEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000968344723 658702970 /nfs/dbraw/zinc/70/29/70/658702970.db2.gz DKRLMHJOSMCIOV-KUHUBIRLSA-N 0 1 324.399 3.052 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC000968345937 658703870 /nfs/dbraw/zinc/70/38/70/658703870.db2.gz PVLOAJZMDZRWRX-SWLSCSKDSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1ccc(-c2ccco2)o1 ZINC001038495856 658756689 /nfs/dbraw/zinc/75/66/89/658756689.db2.gz FCFFOVPNFQGODO-CQSZACIVSA-N 0 1 314.385 3.310 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2cc(Cl)ccc2o1 ZINC001038488234 658757263 /nfs/dbraw/zinc/75/72/63/658757263.db2.gz NYBGGZHBCHURSW-CQSZACIVSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3c(C)oc4ccccc43)CCC[C@@H]12 ZINC000990874953 658773733 /nfs/dbraw/zinc/77/37/33/658773733.db2.gz XKFRZIMVWSKKFX-XLIONFOSSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(F)F)c(F)c1 ZINC001038706433 658784596 /nfs/dbraw/zinc/78/45/96/658784596.db2.gz QPYDDXMAKJKFRE-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@]3(C2)CCCN(C/C=C/Cl)C3)C1 ZINC001040385552 658799982 /nfs/dbraw/zinc/79/99/82/658799982.db2.gz UUNZUYJHLYNVBN-WEBJUUICSA-N 0 1 322.880 3.410 20 30 DGEDMN Cc1cc(/C=C/C(=O)c2cc(F)ccc2O)ccc1-n1cncn1 ZINC000156673288 658933385 /nfs/dbraw/zinc/93/33/85/658933385.db2.gz GCMQBMLGTVMDMP-ZZXKWVIFSA-N 0 1 323.327 3.317 20 30 DGEDMN C=CCc1cc(OC)cc(CN(C)Cc2cccn2C)c1O ZINC000164503807 658937280 /nfs/dbraw/zinc/93/72/80/658937280.db2.gz BYHTUIXZNZJYIG-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1ncsc1C(C)C ZINC001038910122 658955194 /nfs/dbraw/zinc/95/51/94/658955194.db2.gz XYKCMDVKRWFJJR-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001038992322 658974425 /nfs/dbraw/zinc/97/44/25/658974425.db2.gz SIWMBRMUXPZCPV-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCn1cccc1C(=O)NC1CN(CC2CCCCCC2)C1 ZINC001030447202 659178718 /nfs/dbraw/zinc/17/87/18/659178718.db2.gz MUHLFNGFLVYYND-UHFFFAOYSA-N 0 1 315.461 3.059 20 30 DGEDMN N#Cc1cccc(CNC[C@@](O)(c2ccccc2)C(F)(F)F)c1 ZINC000170790790 659237055 /nfs/dbraw/zinc/23/70/55/659237055.db2.gz DOEFOYMFWNNXMM-MRXNPFEDSA-N 0 1 320.314 3.098 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)cc1C#N ZINC000172688735 659349967 /nfs/dbraw/zinc/34/99/67/659349967.db2.gz WKEIZDIWIDDRDL-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN Cc1[nH]c2ccccc2c1C(=O)[C@@H](C#N)C(=O)C1=COCCC1 ZINC000173915612 659405537 /nfs/dbraw/zinc/40/55/37/659405537.db2.gz DTNGVDWNYGEGNY-AWEZNQCLSA-N 0 1 308.337 3.062 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3csc4ccccc34)CCC[C@H]12 ZINC000992049229 659455641 /nfs/dbraw/zinc/45/56/41/659455641.db2.gz KUNVVXZDCMYNKQ-HKUYNNGSSA-N 0 1 324.449 3.261 20 30 DGEDMN C#CCC[N@H+]1CC[C@]2(NC(=O)c3cc(Cl)cs3)CCC[C@H]12 ZINC000992307658 659519472 /nfs/dbraw/zinc/51/94/72/659519472.db2.gz XRQMUUDNYWMDGM-GOEBONIOSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(C(C)C)oc3C)CCC[C@H]12 ZINC000992397723 659540957 /nfs/dbraw/zinc/54/09/57/659540957.db2.gz XTSRGKAZOAQIHS-HKUYNNGSSA-N 0 1 314.429 3.071 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)c1ccoc1 ZINC000130177768 659543251 /nfs/dbraw/zinc/54/32/51/659543251.db2.gz PVJNEAXWXHUXTJ-SNVBAGLBSA-N 0 1 306.680 3.033 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccccc3Cl)CCC[C@H]12 ZINC000992430320 659548197 /nfs/dbraw/zinc/54/81/97/659548197.db2.gz YXSRNHCBPJEKLA-FUHWJXTLSA-N 0 1 316.832 3.090 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccccc3Cl)CCC[C@H]12 ZINC000992430322 659548308 /nfs/dbraw/zinc/54/83/08/659548308.db2.gz YXSRNHCBPJEKLA-WMZOPIPTSA-N 0 1 316.832 3.090 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CC)c(CC)c3)CCC[C@@H]12 ZINC000992528663 659571902 /nfs/dbraw/zinc/57/19/02/659571902.db2.gz NPILAKFCYKKNPW-TZIWHRDSSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001046443976 659605528 /nfs/dbraw/zinc/60/55/28/659605528.db2.gz MHILWSCFNQQYBV-ZDUSSCGKSA-N 0 1 318.364 3.147 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2CCCC2)cc1Cl ZINC000130276214 659648608 /nfs/dbraw/zinc/64/86/08/659648608.db2.gz RRBXAEDVSBOTPJ-GFCCVEGCSA-N 0 1 320.776 3.186 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C=C2CCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993185085 659693358 /nfs/dbraw/zinc/69/33/58/659693358.db2.gz LBDBXQZHLBHEGC-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nc(C(C)C)oc2C)[C@H]1C ZINC000993335362 659717006 /nfs/dbraw/zinc/71/70/06/659717006.db2.gz WKHIUEBGISUZKO-UKRRQHHQSA-N 0 1 319.449 3.265 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCC3)[C@H]1C ZINC000993370428 659723010 /nfs/dbraw/zinc/72/30/10/659723010.db2.gz VVHXQQFYEVDZRB-OXQOHEQNSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(OC)c(C)c2)[C@@H]1C ZINC000993378733 659724281 /nfs/dbraw/zinc/72/42/81/659724281.db2.gz LQAJMXUMJKRVNZ-DOTOQJQBSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)[C@@H]1C ZINC000993445425 659744416 /nfs/dbraw/zinc/74/44/16/659744416.db2.gz KJIBUXWKKULBHI-MECKTXNYSA-N 0 1 316.489 3.214 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nccc3ccsc32)[C@@H]1C ZINC000993466916 659749304 /nfs/dbraw/zinc/74/93/04/659749304.db2.gz RXUPQCLSCLSVBC-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@@H]1C ZINC000993461884 659749698 /nfs/dbraw/zinc/74/96/98/659749698.db2.gz DKFDLKPWEXQAAE-BLLLJJGKSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)noc2C(C)C)[C@H]1C ZINC000993463436 659749774 /nfs/dbraw/zinc/74/97/74/659749774.db2.gz FTVWYMPCNNBXOD-CABCVRRESA-N 0 1 319.449 3.265 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccccc2C(C)(C)C)[C@@H]1C ZINC000993490172 659754504 /nfs/dbraw/zinc/75/45/04/659754504.db2.gz PWGKDPKFLUIENW-MAUKXSAKSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@@H]1C ZINC000993664345 659773043 /nfs/dbraw/zinc/77/30/43/659773043.db2.gz LMGFBQSPSRBJTC-RDJZCZTQSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3cc(F)ccc3C)C2)C1 ZINC001015674394 659773338 /nfs/dbraw/zinc/77/33/38/659773338.db2.gz ZJAUDKDALPOEMF-KRWDZBQOSA-N 0 1 316.420 3.181 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)cc(F)cc2C)[C@H]1C ZINC000993669528 659774044 /nfs/dbraw/zinc/77/40/44/659774044.db2.gz BHRIZFUHEGRKDI-ZBFHGGJFSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2csc3ccccc23)[C@H]1C ZINC000993656938 659776199 /nfs/dbraw/zinc/77/61/99/659776199.db2.gz QDBFVYAHGFKEFR-CZUORRHYSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C2CCC2)C2CCC2)[C@@H]1C ZINC000993705175 659782980 /nfs/dbraw/zinc/78/29/80/659782980.db2.gz UBZSGWRLHIKINA-WMLDXEAASA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc[nH]c2C2CCC2)[C@@H]1C ZINC000993835952 659794661 /nfs/dbraw/zinc/79/46/61/659794661.db2.gz WMQVKIQNGOHSDE-XJKSGUPXSA-N 0 1 301.434 3.051 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(F)c2Cl)[C@@H]1C ZINC000993880373 659796679 /nfs/dbraw/zinc/79/66/79/659796679.db2.gz SZNSOBJDOHYCLX-SMDDNHRTSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3ccccc3c2)[C@@H]1C ZINC000993883401 659796884 /nfs/dbraw/zinc/79/68/84/659796884.db2.gz CMILYWIMOPYQAO-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(OCC)c2)[C@@H]1C ZINC000993907835 659800802 /nfs/dbraw/zinc/80/08/02/659800802.db2.gz URHYSMZOPOMZDM-RDJZCZTQSA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(C(C)C)n2)[C@H]1C ZINC000994025282 659809844 /nfs/dbraw/zinc/80/98/44/659809844.db2.gz RKCVYWKGLCPVCX-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)[C@H]1C ZINC000994054848 659810292 /nfs/dbraw/zinc/81/02/92/659810292.db2.gz OECWWRFPZZDWMV-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)[C@@H]1C ZINC000994054852 659810880 /nfs/dbraw/zinc/81/08/80/659810880.db2.gz OECWWRFPZZDWMV-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3sccc3c2)[C@H]1C ZINC000994118181 659812501 /nfs/dbraw/zinc/81/25/01/659812501.db2.gz AQQJEUCZQYISTC-CZUORRHYSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(C)C)oc2C)[C@@H]1C ZINC000994126364 659813717 /nfs/dbraw/zinc/81/37/17/659813717.db2.gz PNNPXUHYHVNZJL-XJKSGUPXSA-N 0 1 304.434 3.480 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@H]1C ZINC000994126433 659813790 /nfs/dbraw/zinc/81/37/90/659813790.db2.gz QKXUANQDHNYMRQ-NVXWUHKLSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cnccc3c2)[C@H]1C ZINC000994171100 659816392 /nfs/dbraw/zinc/81/63/92/659816392.db2.gz VYZNTHDXKFUZQG-RDTXWAMCSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3scnc3c2)[C@H]1C ZINC000994137632 659816958 /nfs/dbraw/zinc/81/69/58/659816958.db2.gz WJMRMIKIXLIPRU-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C(C)C)cc2)[C@@H]1C ZINC000994297145 659833549 /nfs/dbraw/zinc/83/35/49/659833549.db2.gz JWUHOOHLHUZNBS-LPHOPBHVSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(C3CCCCC3)CC2)[C@H]1C ZINC000994300062 659834567 /nfs/dbraw/zinc/83/45/67/659834567.db2.gz ZBGMPHMJTPFXJW-AEFFLSMTSA-N 0 1 316.489 3.339 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ncsc3c2)[C@@H]1C ZINC000994324551 659838161 /nfs/dbraw/zinc/83/81/61/659838161.db2.gz BVBUYFJFCIRFRZ-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2oc3ccccc3c2C)[C@H]1C ZINC000994360367 659842725 /nfs/dbraw/zinc/84/27/25/659842725.db2.gz AIBPDQQYUOOARH-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@@H]1C ZINC000994368731 659845368 /nfs/dbraw/zinc/84/53/68/659845368.db2.gz WXAXSGJBVLFUDJ-SWLSCSKDSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)cc2)[C@H]1C ZINC000994461151 659857107 /nfs/dbraw/zinc/85/71/07/659857107.db2.gz JCMMEGQRTGBGBI-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H]1C ZINC000994496536 659863097 /nfs/dbraw/zinc/86/30/97/659863097.db2.gz HXOVUBXAJOYIQC-SJLPKXTDSA-N 0 1 312.457 3.182 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(C3CC3)n2)[C@H]1C ZINC000994510158 659865014 /nfs/dbraw/zinc/86/50/14/659865014.db2.gz SHDPOWRTQCWJOC-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc(C(C)C)s2)[C@H]1C ZINC000994532651 659873918 /nfs/dbraw/zinc/87/39/18/659873918.db2.gz LHDOCSDELSRUHN-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(CC)c(CC)c2)[C@H]1C ZINC000994556839 659881795 /nfs/dbraw/zinc/88/17/95/659881795.db2.gz FTHBCYYBZFXGNY-DNVCBOLYSA-N 0 1 312.457 3.027 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(CC)c(CC)c2)[C@@H]1C ZINC000994556844 659882066 /nfs/dbraw/zinc/88/20/66/659882066.db2.gz FTHBCYYBZFXGNY-KXBFYZLASA-N 0 1 312.457 3.027 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cc(C)c(Cl)cc1C ZINC001038584271 659906760 /nfs/dbraw/zinc/90/67/60/659906760.db2.gz UEDQCJBSCDGNDI-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN CCCN(CC(=O)N(CCC#N)c1ccc(C)c(C)c1)C1CC1 ZINC000175178355 659916875 /nfs/dbraw/zinc/91/68/75/659916875.db2.gz ZUEVQPNCVWNXFN-UHFFFAOYSA-N 0 1 313.445 3.425 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000175315707 659918874 /nfs/dbraw/zinc/91/88/74/659918874.db2.gz PVKKNSXFLQGLOC-SNAWJCMRSA-N 0 1 305.236 3.475 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2ccccc2[N+](=O)[O-])cc1 ZINC000237702996 659937121 /nfs/dbraw/zinc/93/71/21/659937121.db2.gz JZVRMWQUQWUNSK-ZDUSSCGKSA-N 0 1 311.341 3.175 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc3occc3s2)C[C@@H]1C ZINC000939131981 659986072 /nfs/dbraw/zinc/98/60/72/659986072.db2.gz DZVKFIHBSZTRAG-ONGXEEELSA-N 0 1 324.833 3.297 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccccc2O)CC1 ZINC000948200620 659988274 /nfs/dbraw/zinc/98/82/74/659988274.db2.gz MNIQNUGBQNRCRM-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2ccccc2C)C[C@H]1C ZINC000939199533 659990630 /nfs/dbraw/zinc/99/06/30/659990630.db2.gz REBDGXIQTBELTH-RHSMWYFYSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(Cl)cs2)C[C@H]1C ZINC000939250771 659992531 /nfs/dbraw/zinc/99/25/31/659992531.db2.gz PWHSCGYRCSPBDV-LDYMZIIASA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)cc2C)C[C@H]1C ZINC000939658605 660008654 /nfs/dbraw/zinc/00/86/54/660008654.db2.gz LBVGZNYISMCKPU-CZUORRHYSA-N 0 1 306.837 3.106 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)c(C)s2)C[C@H]1C ZINC000939698151 660010854 /nfs/dbraw/zinc/01/08/54/660010854.db2.gz VGENJDFXEYZKDC-ZWNOBZJWSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cscc3s2)C1 ZINC001031853833 660015598 /nfs/dbraw/zinc/01/55/98/660015598.db2.gz GSJKPIHWPMUBKL-UHFFFAOYSA-N 0 1 306.456 3.201 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C)sc2C)C(C)(C)C1 ZINC000940868075 660069359 /nfs/dbraw/zinc/06/93/59/660069359.db2.gz UDMAHOYRQCGJHA-MRXNPFEDSA-N 0 1 318.486 3.219 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c3ccccc23)C(C)(C)C1 ZINC000940869433 660069866 /nfs/dbraw/zinc/06/98/66/660069866.db2.gz GCCIIDVFELUWQH-SFHVURJKSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C23CCC(CC2)C3(C)C)C(C)(C)C1 ZINC000941085886 660084547 /nfs/dbraw/zinc/08/45/47/660084547.db2.gz YBPQUTYQWRNCCE-NGEICVOHSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000941163747 660089996 /nfs/dbraw/zinc/08/99/96/660089996.db2.gz PRZDGYXBPMEDNC-GDBMZVCRSA-N 0 1 318.486 3.010 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000941181430 660092328 /nfs/dbraw/zinc/09/23/28/660092328.db2.gz SZJMRTCUSLVIDI-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000941181430 660092329 /nfs/dbraw/zinc/09/23/29/660092329.db2.gz SZJMRTCUSLVIDI-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C(C)(C)C1 ZINC000941200004 660094044 /nfs/dbraw/zinc/09/40/44/660094044.db2.gz ULCCVBPFZHBOCJ-LJQANCHMSA-N 0 1 324.468 3.029 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000941233288 660096023 /nfs/dbraw/zinc/09/60/23/660096023.db2.gz UIYAPMNUJMDWOQ-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)[C@@]2(C)CC=C(C)CC2)C(C)(C)C1 ZINC000941232862 660096033 /nfs/dbraw/zinc/09/60/33/660096033.db2.gz PTHLCNIETRPADK-XLIONFOSSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cccn2C(C)C)C(C)(C)C1 ZINC000941222980 660096868 /nfs/dbraw/zinc/09/68/68/660096868.db2.gz BLMJSYBHJDZUTM-MRXNPFEDSA-N 0 1 303.450 3.085 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)s2)C(C)(C)C1 ZINC000941243499 660097377 /nfs/dbraw/zinc/09/73/77/660097377.db2.gz YOURGSOUKZSNNS-INIZCTEOSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2sccc2C(C)C)C(C)(C)C1 ZINC000941236773 660098508 /nfs/dbraw/zinc/09/85/08/660098508.db2.gz AGHMZSRESWLPRI-HNNXBMFYSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cccc(C)c3o2)C(C)(C)C1 ZINC000941236772 660098684 /nfs/dbraw/zinc/09/86/84/660098684.db2.gz AFBSTMWGXWYAGS-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001032036773 660164618 /nfs/dbraw/zinc/16/46/18/660164618.db2.gz WTXVHNZYNXQONB-RBUKOAKNSA-N 0 1 312.457 3.031 20 30 DGEDMN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1cncc(C#N)c1 ZINC000929384000 661303193 /nfs/dbraw/zinc/30/31/93/661303193.db2.gz UMCBLZMURXMOJI-IBGZPJMESA-N 0 1 307.397 3.471 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000931470096 661480340 /nfs/dbraw/zinc/48/03/40/661480340.db2.gz BATXBBGXMTVNTB-CVEARBPZSA-N 0 1 304.434 3.443 20 30 DGEDMN N#CC1CCC(CN2Cc3cccnc3N3CCC[C@H]3C2)CC1 ZINC000931559042 661488550 /nfs/dbraw/zinc/48/85/50/661488550.db2.gz BIXGIVJTRQVRJZ-HTWSVDAQSA-N 0 1 310.445 3.196 20 30 DGEDMN C#CCC1(O)CCN(Cc2cccc(C)c2Br)CC1 ZINC000931701064 661499756 /nfs/dbraw/zinc/49/97/56/661499756.db2.gz VJTYXTCQAZUGSP-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN N#CCCN(CC1CC1)C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC000931939783 661524489 /nfs/dbraw/zinc/52/44/89/661524489.db2.gz VUWMFPMUZRYNDI-UHFFFAOYSA-N 0 1 318.327 3.266 20 30 DGEDMN N#CC1(NC(=O)c2cccc3nc(C(F)F)[nH]c32)CCCC1 ZINC000932016624 661529914 /nfs/dbraw/zinc/52/99/14/661529914.db2.gz NNOXNQDNLWSSCP-UHFFFAOYSA-N 0 1 304.300 3.067 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3nc4c(s3)CCC4)C2)o1 ZINC000932188125 661545848 /nfs/dbraw/zinc/54/58/48/661545848.db2.gz IYPITINPILBBNE-GFCCVEGCSA-N 0 1 313.426 3.476 20 30 DGEDMN C[C@H](N[C@H](c1ccccn1)C1CC1)C(=O)Nc1cccc(C#N)c1 ZINC000932377819 661563957 /nfs/dbraw/zinc/56/39/57/661563957.db2.gz QPXPDWODIXVDGB-UGSOOPFHSA-N 0 1 320.396 3.021 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(Cc1ccccn1)CC(C)C ZINC000932442413 661569740 /nfs/dbraw/zinc/56/97/40/661569740.db2.gz PLNXSXSUDUTPLA-QGZVFWFLSA-N 0 1 315.461 3.129 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(CC2CCC(C#N)CC2)[C@@H]2C[C@@H]21 ZINC000932730259 661593859 /nfs/dbraw/zinc/59/38/59/661593859.db2.gz OBJQDQSPMZAMLA-FJBKBRRZSA-N 0 1 319.449 3.010 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)c(Cl)c1 ZINC000933362503 661630532 /nfs/dbraw/zinc/63/05/32/661630532.db2.gz BGDRWIZREYMENL-SNVBAGLBSA-N 0 1 316.792 3.106 20 30 DGEDMN O=C1C=COC2(CCN(Cc3cccc(C(F)F)c3)CC2)C1 ZINC000933646987 661647737 /nfs/dbraw/zinc/64/77/37/661647737.db2.gz UKFFHKAMJMJIMA-UHFFFAOYSA-N 0 1 307.340 3.462 20 30 DGEDMN O=C1C=COC2(CCN(Cc3ccccc3OC3CC3)CC2)C1 ZINC000933646162 661647770 /nfs/dbraw/zinc/64/77/70/661647770.db2.gz DHWQLMJTSCESNB-UHFFFAOYSA-N 0 1 313.397 3.066 20 30 DGEDMN CN(CCc1nc2ccccc2n1C)Cc1cc(C#N)cs1 ZINC000933774357 661656873 /nfs/dbraw/zinc/65/68/73/661656873.db2.gz ONXKDADESSGZMP-UHFFFAOYSA-N 0 1 310.426 3.181 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)c1ccc(F)cc1F ZINC000933775402 661657395 /nfs/dbraw/zinc/65/73/95/661657395.db2.gz HRIWRAFTCLTCPU-OAHLLOKOSA-N 0 1 308.353 3.063 20 30 DGEDMN COc1ccc(COCCN(C)Cc2cc(C#N)cs2)cc1 ZINC000933777205 661657468 /nfs/dbraw/zinc/65/74/68/661657468.db2.gz IGXAEIHIKZAHPT-UHFFFAOYSA-N 0 1 316.426 3.277 20 30 DGEDMN COc1ccccc1N1CCCN(Cc2ccccc2C#N)CC1 ZINC000934172890 661684617 /nfs/dbraw/zinc/68/46/17/661684617.db2.gz XIDYXIGMTNWGNZ-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)N[C@@H]1CCN(C)[C@H]1c1ccccc1 ZINC000935120228 661761673 /nfs/dbraw/zinc/76/16/73/661761673.db2.gz VMVIIVIDGPRGNX-MOPGFXCFSA-N 0 1 319.408 3.042 20 30 DGEDMN Cc1csc(C(C#N)C(=O)COc2ccc(C)cc2C)n1 ZINC000041521011 661834918 /nfs/dbraw/zinc/83/49/18/661834918.db2.gz QBKPHJAZVCQURW-ZDUSSCGKSA-N 0 1 300.383 3.324 20 30 DGEDMN C#Cc1cccc(NC(=O)c2ccc(Br)cc2O)c1 ZINC000044490157 661861737 /nfs/dbraw/zinc/86/17/37/661861737.db2.gz XZYPEJJLYDSDSI-UHFFFAOYSA-N 0 1 316.154 3.388 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C[C@H]1C ZINC000947460749 661909857 /nfs/dbraw/zinc/90/98/57/661909857.db2.gz YMPCYEVZAVEOPW-YPMHNXCESA-N 0 1 322.811 3.003 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)C[C@@H]1C ZINC000947524302 661914002 /nfs/dbraw/zinc/91/40/02/661914002.db2.gz RNJAFFCOMOKEAW-RDJZCZTQSA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3ccsc32)C[C@H]1C ZINC000947899275 661936821 /nfs/dbraw/zinc/93/68/21/661936821.db2.gz HXNFIQFVNDVFDV-HIFRSBDPSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C[C@H]1C ZINC000947975936 661941767 /nfs/dbraw/zinc/94/17/67/661941767.db2.gz RSIIHWYCNQACIN-CHWSQXEVSA-N 0 1 322.811 3.003 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2CCC2(F)F)CC1 ZINC000948473185 661977520 /nfs/dbraw/zinc/97/75/20/661977520.db2.gz BLBNSEDMRIGOLA-QWHCGFSZSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC000948632075 661987200 /nfs/dbraw/zinc/98/72/00/661987200.db2.gz RSGMOBVANVAJFD-BFYDXBDKSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2(C)CC(=C)C2)CC1 ZINC000948747020 661995229 /nfs/dbraw/zinc/99/52/29/661995229.db2.gz MQANNZHZDIRKSA-HNNXBMFYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000948901894 662006314 /nfs/dbraw/zinc/00/63/14/662006314.db2.gz GLTWEZKSKQQHQM-ZGXWSNOMSA-N 0 1 324.468 3.324 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1cocc1C ZINC000948950983 662009004 /nfs/dbraw/zinc/00/90/04/662009004.db2.gz QHGVRZXZSILJTH-IBGZPJMESA-N 0 1 324.424 3.493 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccc(C)cc2)CC1 ZINC000949201742 662012487 /nfs/dbraw/zinc/01/24/87/662012487.db2.gz UBSWKDCNEBDIRW-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(Cl)ccc2F)CC1 ZINC000949181938 662012519 /nfs/dbraw/zinc/01/25/19/662012519.db2.gz RQJUKSNMJFTYAN-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CCC[C@H]2c2ccccc2)CC1 ZINC000949228716 662013242 /nfs/dbraw/zinc/01/32/42/662013242.db2.gz JVPRMPKJVPSODR-OALUTQOASA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC000950089631 662045851 /nfs/dbraw/zinc/04/58/51/662045851.db2.gz JEMKQZLTUREUGO-INIZCTEOSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)OC2CCCCCC2)CC1 ZINC000950414308 662064870 /nfs/dbraw/zinc/06/48/70/662064870.db2.gz UQQZDQXXRCLZEY-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@@H](C)c2cccc(F)c2)CC1 ZINC000950413949 662064916 /nfs/dbraw/zinc/06/49/16/662064916.db2.gz QWWRXLISALNFFA-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)Cc2ccccc2Cl)CC1 ZINC000950961292 662096982 /nfs/dbraw/zinc/09/69/82/662096982.db2.gz BHZYKIYRJNOPAK-OAHLLOKOSA-N 0 1 320.864 3.239 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)CSc1ccc(C)cc1 ZINC000193370960 662131995 /nfs/dbraw/zinc/13/19/95/662131995.db2.gz IHCHEEYHXHCZSP-OAHLLOKOSA-N 0 1 318.442 3.102 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(Cl)s2)CC1 ZINC000951555991 662139238 /nfs/dbraw/zinc/13/92/38/662139238.db2.gz ORRKNKKTEZPNLH-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccccc2CC)C1 ZINC000951558036 662139269 /nfs/dbraw/zinc/13/92/69/662139269.db2.gz RLQORCGFILNFJV-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN O=C(C#Cc1ccccc1)NC[C@@H](c1cccs1)N1CCCC1 ZINC000080499820 662145241 /nfs/dbraw/zinc/14/52/41/662145241.db2.gz JDULEODJFUHSBC-KRWDZBQOSA-N 0 1 324.449 3.053 20 30 DGEDMN C=C(Br)CSc1nc(-c2ccccc2O)n[nH]1 ZINC000193697508 662145595 /nfs/dbraw/zinc/14/55/95/662145595.db2.gz PWESHMHLRIMXIR-UHFFFAOYSA-N 0 1 312.192 3.178 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC000951773010 662150987 /nfs/dbraw/zinc/15/09/87/662150987.db2.gz CYWGHRKMNUMGBG-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@H]2CCc3ccccc32)CC1 ZINC000952325904 662182424 /nfs/dbraw/zinc/18/24/24/662182424.db2.gz KFBHQVJDNSEPBM-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN CC(C)C[C@H]1COCCN1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000245746972 662240405 /nfs/dbraw/zinc/24/04/05/662240405.db2.gz MZHHZNGPCVDGCF-WBVHZDCISA-N 0 1 302.418 3.224 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2C[C@]23CCc2ccccc23)CC1 ZINC000956973580 662340911 /nfs/dbraw/zinc/34/09/11/662340911.db2.gz GNHPFKGTBYEJLR-CTNGQTDRSA-N 0 1 324.468 3.001 20 30 DGEDMN CC(C)(C)CN(CCC#N)Cc1ccc(N2CCOCC2)cc1 ZINC000093101171 662344355 /nfs/dbraw/zinc/34/43/55/662344355.db2.gz PZYSRRILMKLGQX-UHFFFAOYSA-N 0 1 315.461 3.285 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(O[C@H](C)CC)cc2)CC1 ZINC000957097330 662349966 /nfs/dbraw/zinc/34/99/66/662349966.db2.gz CPLZAPPXEXXECQ-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2nc3ccc(Br)cc3[nH]2)C1 ZINC000932148702 685325393 /nfs/dbraw/zinc/32/53/93/685325393.db2.gz RNWYJCKXDYXYLL-JTQLQIEISA-N 0 1 319.206 3.061 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc[nH]c2C2CCC2)[C@H]1C ZINC000986971771 685355098 /nfs/dbraw/zinc/35/50/98/685355098.db2.gz NQFOYUCSXKPFLH-SWLSCSKDSA-N 0 1 321.852 3.227 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2CCC)CC[C@@H]1C ZINC001071501835 686670343 /nfs/dbraw/zinc/67/03/43/686670343.db2.gz VJFWIGVZUIFCAI-DZGCQCFKSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(OC)c(C)c2)CC[C@@H]1C ZINC001071522797 686676510 /nfs/dbraw/zinc/67/65/10/686676510.db2.gz UPXSMVFYFDVICO-RDJZCZTQSA-N 0 1 316.445 3.081 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2Cl)CC[C@H]1C ZINC001071526654 686679040 /nfs/dbraw/zinc/67/90/40/686679040.db2.gz UFSFSHPKWKMSEM-VXGBXAGGSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cc(C)cn3c2)CC[C@@H]1C ZINC001071555773 686687686 /nfs/dbraw/zinc/68/76/86/686687686.db2.gz GNFDBGXFJIZDIS-RDJZCZTQSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@H]1C ZINC001071584445 686696258 /nfs/dbraw/zinc/69/62/58/686696258.db2.gz QJUUEWPQHOGGKF-NEPJUHHUSA-N 0 1 312.360 3.294 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)CC[C@H]1C ZINC001071613017 686701940 /nfs/dbraw/zinc/70/19/40/686701940.db2.gz QINNTTUPCWBHEN-CZUORRHYSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)ccc2CC)CC[C@@H]1C ZINC001071645866 686711526 /nfs/dbraw/zinc/71/15/26/686711526.db2.gz OLXZXTBQQYFKJX-XJKSGUPXSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(-n3cccc3)c2)CC[C@H]1C ZINC001071656495 686713405 /nfs/dbraw/zinc/71/34/05/686713405.db2.gz YMKBDUOVLJJCCO-AEFFLSMTSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccnc3ccccc32)CC[C@@H]1C ZINC001071662898 686716254 /nfs/dbraw/zinc/71/62/54/686716254.db2.gz FVCXTINSRPKGSK-LSDHHAIUSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nc3ccccc3cc2C)CC[C@H]1C ZINC001071694933 686724131 /nfs/dbraw/zinc/72/41/31/686724131.db2.gz LBDVIOJQWYVGOA-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)CC[C@H]1C ZINC001071713059 686730618 /nfs/dbraw/zinc/73/06/18/686730618.db2.gz XYYFBPHCTTXZLD-CVEARBPZSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCN1C[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)CC[C@H]1C ZINC001071716633 686733148 /nfs/dbraw/zinc/73/31/48/686733148.db2.gz YKSAIJKUABSHNQ-OXQOHEQNSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1C[C@H](NC(=O)Cc2cc3ccccc3o2)CC[C@@H]1C ZINC001071724212 686733429 /nfs/dbraw/zinc/73/34/29/686733429.db2.gz CXGCJQSGJGOFFN-GOEBONIOSA-N 0 1 312.413 3.130 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)CC[C@@H]1C ZINC001071716631 686733592 /nfs/dbraw/zinc/73/35/92/686733592.db2.gz YKSAIJKUABSHNQ-JXFKEZNVSA-N 0 1 324.468 3.099 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C(F)F)c2)CC[C@@H]1C ZINC001071772883 686748003 /nfs/dbraw/zinc/74/80/03/686748003.db2.gz SAEGGTKYSALHGX-AAEUAGOBSA-N 0 1 312.360 3.376 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@@H]1C ZINC001071779899 686750769 /nfs/dbraw/zinc/75/07/69/686750769.db2.gz RACRCKKMKXXHBD-GXTWGEPZSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(Cl)c2F)CC[C@H]1C ZINC001071783623 686751979 /nfs/dbraw/zinc/75/19/79/686751979.db2.gz RCAOPORVGGPYEW-NEPJUHHUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@H]1C ZINC001071792304 686754763 /nfs/dbraw/zinc/75/47/63/686754763.db2.gz HKYNFQKSOIRDDB-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cccc2Cl)CC[C@@H]1C ZINC001071796915 686757106 /nfs/dbraw/zinc/75/71/06/686757106.db2.gz ZKWFPPACNAKFHD-KBPBESRZSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3occc3s2)CC[C@H]1C ZINC001071821787 686761018 /nfs/dbraw/zinc/76/10/18/686761018.db2.gz SKMHMMOXKMHCLT-CHWSQXEVSA-N 0 1 316.426 3.100 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2cccc(F)c2)CC[C@@H]1C ZINC001071824793 686762048 /nfs/dbraw/zinc/76/20/48/686762048.db2.gz LZNMWOOZDUXDAA-WMLDXEAASA-N 0 1 318.436 3.258 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)cc2Cl)CC[C@H]1C ZINC001071835517 686765132 /nfs/dbraw/zinc/76/51/32/686765132.db2.gz OAWHYQZNMNYCCE-HUUCEWRRSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@@H]1C ZINC001071845116 686769381 /nfs/dbraw/zinc/76/93/81/686769381.db2.gz XGRXHSNWCJBZPK-LRDDRELGSA-N 0 1 322.811 3.085 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(C)cc2OC)CC[C@@H]1C ZINC001071869283 686776707 /nfs/dbraw/zinc/77/67/07/686776707.db2.gz PGWCSMYIUJTCOX-GXTWGEPZSA-N 0 1 322.474 3.224 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2scnc2C2CC2)CC[C@H]1C ZINC001071882970 686780414 /nfs/dbraw/zinc/78/04/14/686780414.db2.gz CYNOJQAVQJXGEA-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2scnc2C2CC2)CC[C@@H]1C ZINC001071882968 686780619 /nfs/dbraw/zinc/78/06/19/686780619.db2.gz CYNOJQAVQJXGEA-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2C)CC[C@@H]1C ZINC001071913507 686790343 /nfs/dbraw/zinc/79/03/43/686790343.db2.gz FLMVTPITOJQBQI-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)CC[C@@H]1C ZINC001071923610 686791649 /nfs/dbraw/zinc/79/16/49/686791649.db2.gz LBAPUXSLOQESKP-YJBOKZPZSA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2nc(C3CC3)oc2C)CC[C@H]1C ZINC001071945704 686793538 /nfs/dbraw/zinc/79/35/38/686793538.db2.gz GZQBYTQNZSZBHY-DOMZBBRYSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc(C3CCCC3)n2)CC[C@@H]1C ZINC001071941726 686794327 /nfs/dbraw/zinc/79/43/27/686794327.db2.gz JNZSKXHMRXIGPM-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc3sccc3c2)CC[C@H]1C ZINC001071949937 686795485 /nfs/dbraw/zinc/79/54/85/686795485.db2.gz AXQXCLJPPOIBLZ-CZUORRHYSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C(F)F)c2)CC[C@H]1C ZINC001072005929 686812388 /nfs/dbraw/zinc/81/23/88/686812388.db2.gz QIUDCZHNQSNCLX-IUODEOHRSA-N 0 1 308.372 3.393 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C(F)F)c2)CC[C@@H]1C ZINC001072004739 686813011 /nfs/dbraw/zinc/81/30/11/686813011.db2.gz DYZGXLFXYFOOEK-BBRMVZONSA-N 0 1 320.383 3.230 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC001072012659 686817883 /nfs/dbraw/zinc/81/78/83/686817883.db2.gz QUJFYKHBIIUEGB-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2occ3c2CCCC3)CC[C@H]1C ZINC001072026774 686830196 /nfs/dbraw/zinc/83/01/96/686830196.db2.gz OBSKSMHLNMEVFC-ZBFHGGJFSA-N 0 1 316.445 3.317 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C3CCCCC3)CC2)CC[C@H]1C ZINC001072029056 686831218 /nfs/dbraw/zinc/83/12/18/686831218.db2.gz DTZZSZZYPPPIMJ-SJLPKXTDSA-N 0 1 316.489 3.339 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccccc2C2CCC2)CC[C@@H]1C ZINC001072032445 686832224 /nfs/dbraw/zinc/83/22/24/686832224.db2.gz DTEKCHVOEMMKHQ-RDJZCZTQSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccccc2C2CCC2)CC[C@H]1C ZINC001072032444 686833036 /nfs/dbraw/zinc/83/30/36/686833036.db2.gz DTEKCHVOEMMKHQ-NVXWUHKLSA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc3ccccc32)CC[C@@H]1C ZINC001072043892 686840354 /nfs/dbraw/zinc/84/03/54/686840354.db2.gz GEEBCQMRKSOXHA-FUHWJXTLSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2sccc2C2CC2)CC[C@H]1C ZINC001072045502 686841530 /nfs/dbraw/zinc/84/15/30/686841530.db2.gz RQCSCIGGRFEGGN-TZMCWYRMSA-N 0 1 304.459 3.394 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2C2CC2)CC[C@H]1C ZINC001072046149 686841731 /nfs/dbraw/zinc/84/17/31/686841731.db2.gz VPJPJWJKIBWUNX-HIFRSBDPSA-N 0 1 316.470 3.232 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)nc3ccccc32)CC[C@H]1C ZINC001072049411 686845387 /nfs/dbraw/zinc/84/53/87/686845387.db2.gz PFRHRQWXTFPRGD-HZPDHXFCSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@@H]1C ZINC001072054849 686847430 /nfs/dbraw/zinc/84/74/30/686847430.db2.gz KZLSBSRZDLFMMD-IRXDYDNUSA-N 0 1 300.446 3.381 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@H]1C ZINC001072054850 686847738 /nfs/dbraw/zinc/84/77/38/686847738.db2.gz KZLSBSRZDLFMMD-SJORKVTESA-N 0 1 300.446 3.381 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(CC(C)C)cc2)CC[C@H]1C ZINC001072063627 686852904 /nfs/dbraw/zinc/85/29/04/686852904.db2.gz VPIFHOOEAFMCEO-APWZRJJASA-N 0 1 312.457 3.101 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@@H]1C ZINC001072087855 686859557 /nfs/dbraw/zinc/85/95/57/686859557.db2.gz CICBFCVBNFRZBN-DOTOQJQBSA-N 0 1 316.420 3.049 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cncc3ccccc32)CC[C@H]1C ZINC001072092654 686861879 /nfs/dbraw/zinc/86/18/79/686861879.db2.gz VLWLQPFTOUEJJN-ZBFHGGJFSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@H]1C ZINC001072098796 686864347 /nfs/dbraw/zinc/86/43/47/686864347.db2.gz GCLOMQIECGLBDO-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccccc2CC(C)C)CC[C@H]1C ZINC001072102295 686867846 /nfs/dbraw/zinc/86/78/46/686867846.db2.gz OBFNHFAAIBPMJQ-AEFFLSMTSA-N 0 1 312.457 3.101 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C3CC3)c2)CC[C@@H]1C ZINC001072109621 686870461 /nfs/dbraw/zinc/87/04/61/686870461.db2.gz KGBBCPBRZLXURD-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)CC[C@@H]1C ZINC001072122428 686877027 /nfs/dbraw/zinc/87/70/27/686877027.db2.gz IPRWTFGMEMYMBW-BBRMVZONSA-N 0 1 320.383 3.014 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)CC[C@H]1C ZINC001072123530 686877321 /nfs/dbraw/zinc/87/73/21/686877321.db2.gz SBHHZLBGMXHXSH-UZLBHIALSA-N 0 1 322.452 3.470 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)CC[C@H]1C ZINC001072122464 686877405 /nfs/dbraw/zinc/87/74/05/686877405.db2.gz IPRWTFGMEMYMBW-CZUORRHYSA-N 0 1 320.383 3.014 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C3CCC(CCCC)CC3)C2)C1 ZINC001073408706 687189900 /nfs/dbraw/zinc/18/99/00/687189900.db2.gz FWAYUWPKIQDCIS-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)Cc2ccccc2)[C@H]1C ZINC001075123320 687337797 /nfs/dbraw/zinc/33/77/97/687337797.db2.gz GYGLDWZUIJDZKE-QRTARXTBSA-N 0 1 320.864 3.197 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]3CN(C/C=C/Cl)C[C@H]32)CC1 ZINC001076208968 687494906 /nfs/dbraw/zinc/49/49/06/687494906.db2.gz SOGDVKSDTIVTDF-IDPZZDNASA-N 0 1 308.853 3.018 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001080258318 687936152 /nfs/dbraw/zinc/93/61/52/687936152.db2.gz ZJXURNACASJQEW-FZKQIMNGSA-N 0 1 311.429 3.021 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001080576625 687996143 /nfs/dbraw/zinc/99/61/43/687996143.db2.gz XKXHLCBQTMHTKP-CZUORRHYSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001080697596 688008424 /nfs/dbraw/zinc/00/84/24/688008424.db2.gz KKTJOSBJIGMSAP-CXAGYDPISA-N 0 1 311.429 3.021 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC001080782646 688012024 /nfs/dbraw/zinc/01/20/24/688012024.db2.gz UYZCNTWMIYIAGA-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001080934263 688027708 /nfs/dbraw/zinc/02/77/08/688027708.db2.gz JYTGTEUUARORBT-TZMCWYRMSA-N 0 1 321.490 3.201 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C[C@H]1C ZINC001082658545 688120916 /nfs/dbraw/zinc/12/09/16/688120916.db2.gz JQKMOXCQGWTOCQ-DZQJYWQESA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2cccc(C)c2)C[C@H]1C ZINC001082769662 688126998 /nfs/dbraw/zinc/12/69/98/688126998.db2.gz ITLHVIBEIDKSDN-FRFSOERESA-N 0 1 320.864 3.288 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](C)c2ccccc2)C[C@H]1C ZINC001082900946 688131246 /nfs/dbraw/zinc/13/12/46/688131246.db2.gz LVHGDROZTVULNW-CKEIUWERSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCC(C)(C)C2)C[C@H]1C ZINC001082949732 688133536 /nfs/dbraw/zinc/13/35/36/688133536.db2.gz DFUGCNNCZIGPHT-BPLDGKMQSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001661152459 1196573079 /nfs/dbraw/zinc/57/30/79/1196573079.db2.gz QQCOHOMUXFBZJE-BWODNOAJSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)CC1CC(F)(F)C1 ZINC001661929439 1196663415 /nfs/dbraw/zinc/66/34/15/1196663415.db2.gz HRUDNDBMWDYKBT-CYBMUJFWSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(CC)C(=O)Nc1nc(-c2ccc(Br)cc2)n[nH]1 ZINC001584085010 1192176862 /nfs/dbraw/zinc/17/68/62/1192176862.db2.gz XYJDHWAJAKSJDV-UHFFFAOYSA-N 0 1 321.178 3.139 20 30 DGEDMN C=CCC1(NC(=O)c2ccc(CN3CCCC3)o2)CCCC1 ZINC001584636114 1192209739 /nfs/dbraw/zinc/20/97/39/1192209739.db2.gz TZDFGSVAXFOHRH-UHFFFAOYSA-N 0 1 302.418 3.494 20 30 DGEDMN C=CCCCC(=O)Nc1[nH]c(CCCC)nc1C(=O)OCC ZINC001584926566 1192230829 /nfs/dbraw/zinc/23/08/29/1192230829.db2.gz YBSIQQFWRBGENR-UHFFFAOYSA-N 0 1 307.394 3.224 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC3(CC3)CC2)o1 ZINC001589774018 1192473438 /nfs/dbraw/zinc/47/34/38/1192473438.db2.gz VGJMZMPNPVARBD-UHFFFAOYSA-N 0 1 315.381 3.100 20 30 DGEDMN CCCCCC(C)N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC001590587800 1192528530 /nfs/dbraw/zinc/52/85/30/1192528530.db2.gz LOASJJNCESKRSV-UHFFFAOYSA-N 0 1 319.413 3.421 20 30 DGEDMN CCCCCCCCCCn1cc(-c2nn[nH]n2)ccc1=O ZINC001590592995 1192530207 /nfs/dbraw/zinc/53/02/07/1192530207.db2.gz RLIXILCDLXLJJG-UHFFFAOYSA-N 0 1 303.410 3.169 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(Cc1ncc(C)s1)C(C)C ZINC001664530307 1197009997 /nfs/dbraw/zinc/00/99/97/1197009997.db2.gz KWEAHPOQLQSVJS-UHFFFAOYSA-N 0 1 323.506 3.380 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCCc4ccccc43)[nH]c2c1 ZINC001595734965 1192668430 /nfs/dbraw/zinc/66/84/30/1192668430.db2.gz PRWKWYFFVQXRQB-OAHLLOKOSA-N 0 1 316.364 3.493 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cccc(O)c2F)cc1C#N ZINC001596643865 1192699903 /nfs/dbraw/zinc/69/99/03/1192699903.db2.gz URGJPAYNYVTLEB-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001690971061 1176051234 /nfs/dbraw/zinc/05/12/34/1176051234.db2.gz QNUCSJQOSPYOCQ-ZDUSSCGKSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1F ZINC001772541144 1176272372 /nfs/dbraw/zinc/27/23/72/1176272372.db2.gz GFSZIMWZJUWIES-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1ccc(C2CCC2)cc1 ZINC001691053036 1176278614 /nfs/dbraw/zinc/27/86/14/1176278614.db2.gz IROAJWFJZUABBW-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C[C@@H]1CCN1CCCF)c1ccccc1 ZINC001691071105 1176293419 /nfs/dbraw/zinc/29/34/19/1176293419.db2.gz ALZCWXULQIELRL-ROUUACIJSA-N 0 1 318.436 3.239 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H](C)C1CCCCC1 ZINC001691100353 1176313298 /nfs/dbraw/zinc/31/32/98/1176313298.db2.gz RWDBSCGFMODGTB-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@@H](C)c2ccc(F)cc2F)C1 ZINC001691122026 1176327997 /nfs/dbraw/zinc/32/79/97/1176327997.db2.gz ZBQHIXFPNOZPAX-UONOGXRCSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001691149711 1176340687 /nfs/dbraw/zinc/34/06/87/1176340687.db2.gz UVKOWANMFWKLBX-HDJSIYSDSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1)C1CC1 ZINC001691215210 1176396901 /nfs/dbraw/zinc/39/69/01/1176396901.db2.gz JODZHMGADQCTMC-RTBURBONSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN(CCNC(=O)c1oc2ccccc2c1CC)C1CC1 ZINC001691239537 1176409385 /nfs/dbraw/zinc/40/93/85/1176409385.db2.gz SRLAWLYPLMQRAC-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001691244841 1176411526 /nfs/dbraw/zinc/41/15/26/1176411526.db2.gz CUPIUCOQHXGPIA-SOUVJXGZSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C[C@@H]1C)CCN(CCF)CC2 ZINC001691275304 1176421235 /nfs/dbraw/zinc/42/12/35/1176421235.db2.gz OOQJXVMWCGQNNB-JKSUJKDBSA-N 0 1 310.457 3.261 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001691372000 1176455436 /nfs/dbraw/zinc/45/54/36/1176455436.db2.gz PQDZSJMKWRLXAF-KRWDZBQOSA-N 0 1 314.473 3.158 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CC1CCCCCC1 ZINC001691402494 1176469273 /nfs/dbraw/zinc/46/92/73/1176469273.db2.gz MEUUIFFYXKCKBQ-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC(C1CCC1)C1CCC1 ZINC001754283982 1176481251 /nfs/dbraw/zinc/48/12/51/1176481251.db2.gz SUABGXJHXWNSNM-ZDUSSCGKSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1CN(C)CCC(F)(F)F ZINC001692249811 1176569714 /nfs/dbraw/zinc/56/97/14/1176569714.db2.gz ICOUREFTZQIQRY-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C#CCCCCC(=O)N[C@@]1(C)CCN(Cc2ccsc2)C1 ZINC001671629950 1176657125 /nfs/dbraw/zinc/65/71/25/1176657125.db2.gz HTZFCGZUQUIVPN-KRWDZBQOSA-N 0 1 304.459 3.022 20 30 DGEDMN C=CCCC(=O)N(C)C1CCN(Cc2cccc(F)c2)CC1 ZINC001752295135 1176719876 /nfs/dbraw/zinc/71/98/76/1176719876.db2.gz NSPLADCQJHTQFG-UHFFFAOYSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2(C(F)F)CCC2)CC1 ZINC001755029651 1176722855 /nfs/dbraw/zinc/72/28/55/1176722855.db2.gz YAAPGNIRDOWJSR-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1(NCc2cc3ccccc3o2)CC1 ZINC001670781184 1176836944 /nfs/dbraw/zinc/83/69/44/1176836944.db2.gz FZXRRZQPRQEHDE-UHFFFAOYSA-N 0 1 324.424 3.221 20 30 DGEDMN CCC(C)(C)CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001670788954 1176845518 /nfs/dbraw/zinc/84/55/18/1176845518.db2.gz TYPQIUPYOPBKCG-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN CC(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001753692367 1176933770 /nfs/dbraw/zinc/93/37/70/1176933770.db2.gz CXPJYWWPOGETDK-OAHLLOKOSA-N 0 1 315.461 3.177 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](CC)CC(F)(F)F ZINC001753720714 1176939445 /nfs/dbraw/zinc/93/94/45/1176939445.db2.gz FOPXMNNXPXSEKQ-WDEREUQCSA-N 0 1 314.779 3.154 20 30 DGEDMN CC#CC[C@@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC001620990163 1192777314 /nfs/dbraw/zinc/77/73/14/1192777314.db2.gz HFNAMBNSERLHEY-ZDUSSCGKSA-N 0 1 303.789 3.464 20 30 DGEDMN Cc1cc([C@@H](C)[N@@H+](C)CCc2cccc(C#N)c2)oc1C(=O)[O-] ZINC001600139789 1192794023 /nfs/dbraw/zinc/79/40/23/1192794023.db2.gz JFJLVFZEZFLTGQ-CYBMUJFWSA-N 0 1 312.369 3.393 20 30 DGEDMN Cc1cc([C@H](C)[N@@H+](C)CCc2cccc(C#N)c2)oc1C(=O)[O-] ZINC001600139790 1192794053 /nfs/dbraw/zinc/79/40/53/1192794053.db2.gz JFJLVFZEZFLTGQ-ZDUSSCGKSA-N 0 1 312.369 3.393 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001670864158 1177377504 /nfs/dbraw/zinc/37/75/04/1177377504.db2.gz OXNIBNJQFWECSM-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC2(C(F)(F)F)CC2)[C@H]1C ZINC001700818345 1177384040 /nfs/dbraw/zinc/38/40/40/1177384040.db2.gz WERYZJMBEBJFEY-WDEREUQCSA-N 0 1 324.774 3.051 20 30 DGEDMN Cc1cc(F)ccc1C[N@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001600233613 1192817405 /nfs/dbraw/zinc/81/74/05/1192817405.db2.gz VNGVDKLEWGONNM-QGZVFWFLSA-N 0 1 312.344 3.264 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1 ZINC001691651966 1177527610 /nfs/dbraw/zinc/52/76/10/1177527610.db2.gz GMTDSXQTZWULPK-LJQANCHMSA-N 0 1 312.457 3.221 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC1=CCCCC1 ZINC001671010933 1177570308 /nfs/dbraw/zinc/57/03/08/1177570308.db2.gz DVMAZDQNXRAASG-KDURUIRLSA-N 0 1 314.473 3.356 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOC(C)C ZINC001671019304 1177571978 /nfs/dbraw/zinc/57/19/78/1177571978.db2.gz UCFPSXQRYMUREY-CALCHBBNSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CCC=C)CC1)c1ccccc1 ZINC001113743688 1177729402 /nfs/dbraw/zinc/72/94/02/1177729402.db2.gz SLNFXMRGJSLQIB-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN C#CC[C@H](CC(=O)N1CCN(CCC2CC2)CC1)c1ccccc1 ZINC001113736595 1177729554 /nfs/dbraw/zinc/72/95/54/1177729554.db2.gz LKMZHIUSWYUGIT-HXUWFJFHSA-N 0 1 324.468 3.128 20 30 DGEDMN Cc1ccc([C@@H](C)[NH2+][C@@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC001600374523 1192839631 /nfs/dbraw/zinc/83/96/31/1192839631.db2.gz ZJLCHGBHRYNRRT-IAQYHMDHSA-N 0 1 300.383 3.405 20 30 DGEDMN CNC(=O)c1ccc(C[N@@H+](C)[C@@H](C)c2ccc(C#N)cc2)cc1 ZINC000109004353 1177865146 /nfs/dbraw/zinc/86/51/46/1177865146.db2.gz FPOJTGQKYHWEOJ-AWEZNQCLSA-N 0 1 307.397 3.111 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cc(C)ccc2C)C1 ZINC001691860948 1177888912 /nfs/dbraw/zinc/88/89/12/1177888912.db2.gz OCPWBVGEIVJHEL-LJQANCHMSA-N 0 1 314.473 3.345 20 30 DGEDMN N#C[C@@H]1CCCN1Cc1cc(Cl)cc(Br)c1O ZINC001141367815 1178032525 /nfs/dbraw/zinc/03/25/25/1178032525.db2.gz ROOOMCIASFBDQA-JTQLQIEISA-N 0 1 315.598 3.296 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(COC)CCCCC2)C1 ZINC001671138407 1178043822 /nfs/dbraw/zinc/04/38/22/1178043822.db2.gz VHDAJQHLBUVYFN-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1cccc(S(=O)(=O)Nc2ccc(C3CCC3)nc2)c1 ZINC001259118534 1178082795 /nfs/dbraw/zinc/08/27/95/1178082795.db2.gz JSXQNWPYEWKRSS-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN Cc1cccc(C[N@H+]2CC[C@H](Nc3nccc(C)c3C#N)C2)c1 ZINC001307178369 1178084378 /nfs/dbraw/zinc/08/43/78/1178084378.db2.gz YJUTYXAJUXKGDP-KRWDZBQOSA-N 0 1 306.413 3.257 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1C#N ZINC001331256811 1178165865 /nfs/dbraw/zinc/16/58/65/1178165865.db2.gz FDMNRNLDEWVGHE-AWEZNQCLSA-N 0 1 313.788 3.392 20 30 DGEDMN N#Cc1ccc(NN=C(C[C@H]2CCCO2)c2ccc(F)cc2)nc1 ZINC001331365135 1178195393 /nfs/dbraw/zinc/19/53/93/1178195393.db2.gz SLEYLWNNJBJUHS-MRXNPFEDSA-N 0 1 324.359 3.478 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc2scnc21 ZINC001331437541 1178213339 /nfs/dbraw/zinc/21/33/39/1178213339.db2.gz ATHQYLWGKDQEMG-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c[nH]c2ccc(F)cc12 ZINC001331646317 1178266568 /nfs/dbraw/zinc/26/65/68/1178266568.db2.gz HCZQSYBALICJBF-LLVKDONJSA-N 0 1 323.799 3.110 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCC(F)(F)F)[C@@H]1C ZINC001331918010 1178308354 /nfs/dbraw/zinc/30/83/54/1178308354.db2.gz JZHMPKADTIJZCX-MNOVXSKESA-N 0 1 312.763 3.051 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C#CC2CC2)CCCN1C/C=C/c1ccccc1 ZINC001332177820 1178381615 /nfs/dbraw/zinc/38/16/15/1178381615.db2.gz QCEGHNROKXYKMG-NNWNFCCCSA-N 0 1 322.452 3.082 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C2CCC2)cc1)C(C)C ZINC001332710920 1178479217 /nfs/dbraw/zinc/47/92/17/1178479217.db2.gz MXJWTHKJCPXMBR-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN CC(C)=CC(=O)N[C@@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001332973702 1178532740 /nfs/dbraw/zinc/53/27/40/1178532740.db2.gz OGVNCJOYKGPHAH-SFHVURJKSA-N 0 1 324.468 3.221 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](C)CCCc2ccccc2)CC1 ZINC001333459187 1178608273 /nfs/dbraw/zinc/60/82/73/1178608273.db2.gz ZWZSIUPXHRUIME-GOSISDBHSA-N 0 1 324.468 3.027 20 30 DGEDMN N#C[C@H](NC(=O)C(F)(F)c1c(F)cccc1F)C1CCCC1 ZINC001334282531 1178744879 /nfs/dbraw/zinc/74/48/79/1178744879.db2.gz VRPOHVHMHRCEEZ-LBPRGKRZSA-N 0 1 314.282 3.255 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@H]2CC(=O)[O-])c(C(F)(F)F)c1 ZINC001601100985 1192918846 /nfs/dbraw/zinc/91/88/46/1192918846.db2.gz UJZCTJSCBAMVFQ-LBPRGKRZSA-N 0 1 312.291 3.016 20 30 DGEDMN N#Cc1cc(C[N@@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)cs1 ZINC001601088451 1192917225 /nfs/dbraw/zinc/91/72/25/1192917225.db2.gz WDNNBXXHTBFGEG-HOTGVXAUSA-N 0 1 312.394 3.410 20 30 DGEDMN N#Cc1cc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)cs1 ZINC001601088451 1192917227 /nfs/dbraw/zinc/91/72/27/1192917227.db2.gz WDNNBXXHTBFGEG-HOTGVXAUSA-N 0 1 312.394 3.410 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC001335408095 1178906515 /nfs/dbraw/zinc/90/65/15/1178906515.db2.gz ZLHZWABWSIQQCZ-UKRRQHHQSA-N 0 1 319.474 3.137 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@H]2C(=O)[O-])o1 ZINC001601099820 1192918724 /nfs/dbraw/zinc/91/87/24/1192918724.db2.gz CXOISZPQNKQNRC-BBRMVZONSA-N 0 1 302.374 3.007 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)cc1F ZINC001601100988 1192918763 /nfs/dbraw/zinc/91/87/63/1192918763.db2.gz UPYMFIMWBXHHJW-HLLBOEOZSA-N 0 1 316.376 3.163 20 30 DGEDMN C[C@H](CC#N)NC[C@H](O)COC(c1ccccc1)c1ccccc1 ZINC001335899760 1178968408 /nfs/dbraw/zinc/96/84/08/1178968408.db2.gz YUINQWJLPJMWDF-APWZRJJASA-N 0 1 324.424 3.045 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)c2ccc(F)c(F)c2)nc(C)n1 ZINC001341899478 1179078753 /nfs/dbraw/zinc/07/87/53/1179078753.db2.gz SEPIOQOKLJNLPF-GXFFZTMASA-N 0 1 315.323 3.352 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCCCCC1 ZINC001342400323 1179138264 /nfs/dbraw/zinc/13/82/64/1179138264.db2.gz GFMJZOROLSSCKP-UHFFFAOYSA-N 0 1 322.416 3.388 20 30 DGEDMN N#CC(C(=O)Cc1ccc(-n2cccc2)cc1)c1cccc(F)n1 ZINC001342639307 1179164733 /nfs/dbraw/zinc/16/47/33/1179164733.db2.gz FOFVKNGIEHBNQJ-INIZCTEOSA-N 0 1 319.339 3.430 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)[C@@H]1CC ZINC001342977224 1179219832 /nfs/dbraw/zinc/21/98/32/1179219832.db2.gz CJQRSUOJROESCH-OCCSQVGLSA-N 0 1 314.437 3.178 20 30 DGEDMN N#Cc1ccc(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)c(F)c1 ZINC001343252811 1179247893 /nfs/dbraw/zinc/24/78/93/1179247893.db2.gz BQIMNSRNOMFPBL-MRXNPFEDSA-N 0 1 324.355 3.129 20 30 DGEDMN Cn1c(Cl)cnc1[C@H](C#N)C(=O)C1(C(F)F)CCCC1 ZINC001344863079 1179487650 /nfs/dbraw/zinc/48/76/50/1179487650.db2.gz DUSSRMVHGBTVOJ-MRVPVSSYSA-N 0 1 301.724 3.075 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c[nH]c2c1cccc2C ZINC001344875398 1179490680 /nfs/dbraw/zinc/49/06/80/1179490680.db2.gz RQBADJWCNIINCS-OAHLLOKOSA-N 0 1 306.369 3.112 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c[nH]c2c1cccc2C ZINC001344875393 1179490847 /nfs/dbraw/zinc/49/08/47/1179490847.db2.gz RQBADJWCNIINCS-HNNXBMFYSA-N 0 1 306.369 3.112 20 30 DGEDMN C=CCCCN(CC)c1nnc(-c2[nH]cnc2C)n1CC1CC1 ZINC001345052374 1179517929 /nfs/dbraw/zinc/51/79/29/1179517929.db2.gz NTJMGVRCOZFXAQ-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cc(C)c(Br)o1 ZINC001345643571 1179584975 /nfs/dbraw/zinc/58/49/75/1179584975.db2.gz RNUHNACIKOYGCP-SECBINFHSA-N 0 1 322.162 3.057 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)c1cc(C)c(Br)o1 ZINC001345643571 1179584979 /nfs/dbraw/zinc/58/49/79/1179584979.db2.gz RNUHNACIKOYGCP-SECBINFHSA-N 0 1 322.162 3.057 20 30 DGEDMN CCC(CC)n1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)n1 ZINC001346095439 1179651989 /nfs/dbraw/zinc/65/19/89/1179651989.db2.gz DSVSAGULXOUTKZ-NSHDSACASA-N 0 1 319.796 3.121 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)Cc1ccccc1OC1CCCC1 ZINC001346548104 1179718227 /nfs/dbraw/zinc/71/82/27/1179718227.db2.gz HOLPUEFZQPDTMB-INIZCTEOSA-N 0 1 323.396 3.160 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1oc2ccccc2c1COC ZINC001347337108 1179797323 /nfs/dbraw/zinc/79/73/23/1179797323.db2.gz CRVPCUHUBHHCMO-CYBMUJFWSA-N 0 1 323.352 3.286 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CCC2CCC2)CC1 ZINC001479991530 1179943159 /nfs/dbraw/zinc/94/31/59/1179943159.db2.gz LVUMSBUMPQBRDZ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1[NH2+]Cc1cccc(F)c1-c1nnn[n-]1 ZINC001602825614 1192998588 /nfs/dbraw/zinc/99/85/88/1192998588.db2.gz ZNGUMHJWJQMINW-IUODEOHRSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1[NH2+]Cc1cccc(F)c1-c1nn[n-]n1 ZINC001602825614 1192998590 /nfs/dbraw/zinc/99/85/90/1192998590.db2.gz ZNGUMHJWJQMINW-IUODEOHRSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1[NH2+]Cc1cccc(F)c1-c1nnn[n-]1 ZINC001602825615 1192998743 /nfs/dbraw/zinc/99/87/43/1192998743.db2.gz ZNGUMHJWJQMINW-SWLSCSKDSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1[NH2+]Cc1cccc(F)c1-c1nn[n-]n1 ZINC001602825615 1192998746 /nfs/dbraw/zinc/99/87/46/1192998746.db2.gz ZNGUMHJWJQMINW-SWLSCSKDSA-N 0 1 315.396 3.230 20 30 DGEDMN CC(=[NH+]Nc1ccc(CC(=O)[O-])cc1)c1c(C)cc(N)cc1C ZINC001602992068 1193005326 /nfs/dbraw/zinc/00/53/26/1193005326.db2.gz CZWLXJYHCQEGRX-UHFFFAOYSA-N 0 1 311.385 3.349 20 30 DGEDMN CC(C)(CCC#N)C[N@H+]1CCC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001603592020 1193023130 /nfs/dbraw/zinc/02/31/30/1193023130.db2.gz TUFJAYIFZNPIGI-GFCCVEGCSA-N 0 1 321.446 3.351 20 30 DGEDMN C=CCCC(=O)NCC1CC(N[C@H](C)c2c(F)cccc2F)C1 ZINC001100169442 1180417353 /nfs/dbraw/zinc/41/73/53/1180417353.db2.gz RMDOGFLROOJKBE-IYXRBSQSSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100595076 1180834440 /nfs/dbraw/zinc/83/44/40/1180834440.db2.gz LLKLRQNCSJJUGV-LRVUVFPRSA-N 0 1 321.490 3.038 20 30 DGEDMN C[C@H](C[N@@H+](Cc1csc(C#N)c1)Cc1ccccc1)C(=O)[O-] ZINC001604166227 1193058155 /nfs/dbraw/zinc/05/81/55/1193058155.db2.gz XZRJOLLESLQJCW-CYBMUJFWSA-N 0 1 314.410 3.343 20 30 DGEDMN C[C@H](Oc1ccccc1C[N@@H+](C)Cc1cccc(C#N)c1)C(=O)[O-] ZINC001604442222 1193072585 /nfs/dbraw/zinc/07/25/85/1193072585.db2.gz ANXIJKJVCSCHOZ-AWEZNQCLSA-N 0 1 324.380 3.042 20 30 DGEDMN C[C@@]1(C#N)CCC[N@H+](Cc2ccnc3c(C(=O)[O-])cccc23)C1 ZINC001604482342 1193075520 /nfs/dbraw/zinc/07/55/20/1193075520.db2.gz HXUKESNXTOTZIS-SFHVURJKSA-N 0 1 309.369 3.059 20 30 DGEDMN C[C@@]1(C#N)CCC[N@@H+](Cc2ccnc3c(C(=O)[O-])cccc23)C1 ZINC001604482342 1193075523 /nfs/dbraw/zinc/07/55/23/1193075523.db2.gz HXUKESNXTOTZIS-SFHVURJKSA-N 0 1 309.369 3.059 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@@H]1CC=CCC1 ZINC001356265470 1181166829 /nfs/dbraw/zinc/16/68/29/1181166829.db2.gz IKSAEIWBVGBTNQ-ZIAGYGMSSA-N 0 1 312.369 3.089 20 30 DGEDMN N#C[C@H](C(=O)CSc1ccc(F)cc1)c1cccc(F)n1 ZINC001357598908 1181412335 /nfs/dbraw/zinc/41/23/35/1181412335.db2.gz MQAHWIGAAOPFQL-LBPRGKRZSA-N 0 1 304.321 3.328 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@@H+](CCCC1(C#N)CCOCC1)CC1CC1 ZINC001604890487 1193098493 /nfs/dbraw/zinc/09/84/93/1193098493.db2.gz WMKBQTLHJFFKSZ-KRWDZBQOSA-N 0 1 322.449 3.052 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)Nc2ncccc2C)CCCC1(C)C ZINC001473431279 1181525427 /nfs/dbraw/zinc/52/54/27/1181525427.db2.gz DCCPMZHRVLGFOI-HNNXBMFYSA-N 0 1 301.434 3.395 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001604980244 1193103272 /nfs/dbraw/zinc/10/32/72/1193103272.db2.gz BCNLQTXVIQQQFR-RYUDHWBXSA-N 0 1 300.280 3.113 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)C)[C@@H](C)c1ccccc1Cl ZINC001492950684 1181748536 /nfs/dbraw/zinc/74/85/36/1181748536.db2.gz ALOKZEIFHFLETJ-HNNXBMFYSA-N 0 1 320.864 3.499 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1[nH]c(CCCC)nc1C(=O)OCC ZINC001435887649 1181853107 /nfs/dbraw/zinc/85/31/07/1181853107.db2.gz UZRVEWKEROPHEW-LBPRGKRZSA-N 0 1 321.421 3.470 20 30 DGEDMN N#CCCN(C(=O)c1cc(C(F)F)n[nH]1)c1ccc(F)cc1 ZINC001443371200 1182100324 /nfs/dbraw/zinc/10/03/24/1182100324.db2.gz PGCHJJADHLGGJJ-UHFFFAOYSA-N 0 1 308.263 3.047 20 30 DGEDMN CCc1cc(C(=O)Nc2cc(C#N)ccc2O)cc(Cl)n1 ZINC001447065759 1182182538 /nfs/dbraw/zinc/18/25/38/1182182538.db2.gz SUOKBRRJFBUTGN-UHFFFAOYSA-N 0 1 301.733 3.127 20 30 DGEDMN N#Cc1c2ccccc2[nH]c1C(=O)Nc1cc(C(F)(F)F)n[nH]1 ZINC001546020045 1182243481 /nfs/dbraw/zinc/24/34/81/1182243481.db2.gz PLFDMCBWQFQJGS-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ncc(C#N)cc3C)c2C)o1 ZINC001450904797 1182259364 /nfs/dbraw/zinc/25/93/64/1182259364.db2.gz UYQAFCUHAQPARO-UHFFFAOYSA-N 0 1 321.340 3.114 20 30 DGEDMN COc1ccc(NC(=O)c2c(O)cccc2Cl)cc1C#N ZINC001454986404 1182355685 /nfs/dbraw/zinc/35/56/85/1182355685.db2.gz IOFVJXWXLFTOCY-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN Cc1ccc(F)cc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458044528 1182491134 /nfs/dbraw/zinc/49/11/34/1182491134.db2.gz PYWGCUSKVMPHBR-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN N#Cc1cc2cccnc2nc1NCCc1ccc2cn[nH]c2c1 ZINC001458367721 1182518508 /nfs/dbraw/zinc/51/85/08/1182518508.db2.gz LFJLDNCVWVMTKX-UHFFFAOYSA-N 0 1 314.352 3.032 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)c1nc(Cl)nc2[nH]cnc21 ZINC001458441034 1182523648 /nfs/dbraw/zinc/52/36/48/1182523648.db2.gz CNCRSTZEIUYBEG-VIFPVBQESA-N 0 1 312.764 3.075 20 30 DGEDMN N#Cc1ccc(F)cc1N1CC2(CN(Cc3ccccc3)C2)C1 ZINC001458536020 1182536377 /nfs/dbraw/zinc/53/63/77/1182536377.db2.gz LCOIHQZTWORBIC-UHFFFAOYSA-N 0 1 307.372 3.020 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H](CSc2ccccc2)C1 ZINC001459596361 1182596997 /nfs/dbraw/zinc/59/69/97/1182596997.db2.gz RJBSOAWDJGPYHO-GDBMZVCRSA-N 0 1 305.443 3.218 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)Nc1ccc(F)c(-c2nc[nH]n2)c1 ZINC001459680690 1182604446 /nfs/dbraw/zinc/60/44/46/1182604446.db2.gz PJMKTZRVIQSDIV-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C#CCN(CC1CC1)[C@@H]1CCN(c2c(C)cc(C)cc2C)C1=O ZINC001459773192 1182615380 /nfs/dbraw/zinc/61/53/80/1182615380.db2.gz FYQMLTPZGMPUTC-GOSISDBHSA-N 0 1 310.441 3.062 20 30 DGEDMN C#CCOCCN1CCC(c2ccccc2Br)CC1 ZINC001459963092 1182634405 /nfs/dbraw/zinc/63/44/05/1182634405.db2.gz MNNBTEXLNNPTMF-UHFFFAOYSA-N 0 1 322.246 3.278 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001460288563 1182673060 /nfs/dbraw/zinc/67/30/60/1182673060.db2.gz FCEFBZWTNCXXCR-CYBMUJFWSA-N 0 1 305.805 3.362 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC001460361063 1182682183 /nfs/dbraw/zinc/68/21/83/1182682183.db2.gz LDNWCMUIDQHURO-KGLIPLIRSA-N 0 1 317.389 3.210 20 30 DGEDMN C=CCN(C[C@H]1CC(c2ccc(Cl)cc2)=NO1)[C@@H](C)COC ZINC001460410123 1182686451 /nfs/dbraw/zinc/68/64/51/1182686451.db2.gz RVMBHJXSDKCBEQ-XJKSGUPXSA-N 0 1 322.836 3.356 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCC(C)(F)CC1 ZINC001460552676 1182694893 /nfs/dbraw/zinc/69/48/93/1182694893.db2.gz GHLSAORRVIPBDL-CSLYMUCUSA-N 0 1 323.456 3.130 20 30 DGEDMN CCC#C[C@H](C)N1CC[C@@H](c2nc(C)c(C(=O)OCC)s2)C1 ZINC001460719536 1182712384 /nfs/dbraw/zinc/71/23/84/1182712384.db2.gz QUBDZYLBWQIRIH-GXTWGEPZSA-N 0 1 320.458 3.219 20 30 DGEDMN C[C@H]1CC[C@](CO)(NCc2cc(C#N)cs2)c2ccccc21 ZINC001461819804 1182861306 /nfs/dbraw/zinc/86/13/06/1182861306.db2.gz KYSOWDLHDGXXOC-SCLBCKFNSA-N 0 1 312.438 3.494 20 30 DGEDMN Cc1cc(C)cc(OCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001461918438 1182876272 /nfs/dbraw/zinc/87/62/72/1182876272.db2.gz OEVHEJBNVUZVOX-UHFFFAOYSA-N 0 1 320.352 3.069 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001461923834 1182879195 /nfs/dbraw/zinc/87/91/95/1182879195.db2.gz YQJNRUCJYPCSGX-UHFFFAOYSA-N 0 1 315.336 3.175 20 30 DGEDMN CC(C)[C@H](CNCc1cccc(F)c1C#N)C(=O)OC(C)(C)C ZINC001462383495 1182944198 /nfs/dbraw/zinc/94/41/98/1182944198.db2.gz CLKVVDRMVLNYPO-HNNXBMFYSA-N 0 1 320.408 3.401 20 30 DGEDMN C=CCC[C@H](C)[NH2+][C@@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC001462390988 1182945830 /nfs/dbraw/zinc/94/58/30/1182945830.db2.gz JBZVWWPVMRAUBV-STQMWFEESA-N 0 1 308.426 3.013 20 30 DGEDMN CCC[C@@H]1CN(C(=O)C#CC(C)C)CCN1Cc1ccccc1 ZINC001466401001 1183202298 /nfs/dbraw/zinc/20/22/98/1183202298.db2.gz GVZVVKRDFLLYPV-LJQANCHMSA-N 0 1 312.457 3.159 20 30 DGEDMN CC1(C#N)CN(C(=O)c2cc(-c3ccc4ccccc4c3)[nH]n2)C1 ZINC001467643197 1183276240 /nfs/dbraw/zinc/27/62/40/1183276240.db2.gz MBCKTSDWAPFPCZ-UHFFFAOYSA-N 0 1 316.364 3.216 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001468404415 1183331050 /nfs/dbraw/zinc/33/10/50/1183331050.db2.gz AVCLXNJKABIGHJ-UHFFFAOYSA-N 0 1 314.776 3.272 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635370 1183659639 /nfs/dbraw/zinc/65/96/39/1183659639.db2.gz JMTMWBMUKXYMSY-NSHDSACASA-N 0 1 311.389 3.354 20 30 DGEDMN Cc1nc(C#N)cc(N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)n1 ZINC001472842841 1183689751 /nfs/dbraw/zinc/68/97/51/1183689751.db2.gz COGHLUVBDPMVLQ-MRVPVSSYSA-N 0 1 312.764 3.360 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)[C@@H](CC)CO ZINC001473140862 1183720269 /nfs/dbraw/zinc/72/02/69/1183720269.db2.gz KOIBDVANVPUJDR-ZDUSSCGKSA-N 0 1 316.214 3.347 20 30 DGEDMN C=CCN(CC(=O)Nc1ncccc1C)CC1CCCCC1 ZINC001473164091 1183722812 /nfs/dbraw/zinc/72/28/12/1183722812.db2.gz SBFFGSKDYJAJCZ-UHFFFAOYSA-N 0 1 301.434 3.397 20 30 DGEDMN C=C/C=C\CCN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC001473429482 1183756440 /nfs/dbraw/zinc/75/64/40/1183756440.db2.gz DYPQFYVJJQJNJG-ARJAWSKDSA-N 0 1 301.821 3.466 20 30 DGEDMN CN(CCc1ccc2c(c1)OC(F)(F)O2)[C@H]1CCC[C@@H]1C#N ZINC001473707117 1183791447 /nfs/dbraw/zinc/79/14/47/1183791447.db2.gz HNMWUELNQWXUOS-OLZOCXBDSA-N 0 1 308.328 3.175 20 30 DGEDMN C=CCOc1ccccc1CNCc1cccc2[nH]c(=O)oc21 ZINC001474005942 1183848993 /nfs/dbraw/zinc/84/89/93/1183848993.db2.gz MEJCROAMYSGBGG-UHFFFAOYSA-N 0 1 310.353 3.388 20 30 DGEDMN N#CCc1ccc(CNCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC001474192037 1183880056 /nfs/dbraw/zinc/88/00/56/1183880056.db2.gz HNZJYEIITFFAJI-UHFFFAOYSA-N 0 1 302.381 3.433 20 30 DGEDMN C=C1CCC(CNC(=O)NC[C@@H](c2cccs2)N(C)C)CC1 ZINC001477243026 1184075328 /nfs/dbraw/zinc/07/53/28/1184075328.db2.gz JNYYFNHELQBIIL-HNNXBMFYSA-N 0 1 321.490 3.396 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](CC)CC(F)(F)F ZINC001479260203 1184220730 /nfs/dbraw/zinc/22/07/30/1184220730.db2.gz HVRYZMQICNLYDJ-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN Cn1c(C(=O)[O-])ccc1[C@H]1CCCC[N@H+]1Cc1cccc(C#N)c1 ZINC001608087308 1193278474 /nfs/dbraw/zinc/27/84/74/1193278474.db2.gz YUXUVKBWCITLHO-QGZVFWFLSA-N 0 1 323.396 3.322 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C(C)C)nc1 ZINC001479844625 1184345821 /nfs/dbraw/zinc/34/58/21/1184345821.db2.gz HQPIPHUIMZOOQP-UHFFFAOYSA-N 0 1 323.868 3.399 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173065 1193281047 /nfs/dbraw/zinc/28/10/47/1193281047.db2.gz AXGZIEMQLGUZHO-MSOLQXFVSA-N 0 1 321.380 3.052 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173065 1193281050 /nfs/dbraw/zinc/28/10/50/1193281050.db2.gz AXGZIEMQLGUZHO-MSOLQXFVSA-N 0 1 321.380 3.052 20 30 DGEDMN N#CC1(c2ccc(C[N@@H+]3CCC[C@H](CCC(=O)[O-])C3)cc2)CC1 ZINC001608176892 1193281091 /nfs/dbraw/zinc/28/10/91/1193281091.db2.gz FWQUTTLIMLRWBP-OAHLLOKOSA-N 0 1 312.413 3.319 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001479911721 1184379589 /nfs/dbraw/zinc/37/95/89/1184379589.db2.gz ORHNSGUBLOUHOF-SJLPKXTDSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@H](C)C2CC2)C1 ZINC001480090937 1184433149 /nfs/dbraw/zinc/43/31/49/1184433149.db2.gz YXWCJYJGTKQVDM-ZFWWWQNUSA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001480332793 1184495365 /nfs/dbraw/zinc/49/53/65/1184495365.db2.gz SXOJMZWLMQBOBM-TVRKMHQQSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001480332791 1184496124 /nfs/dbraw/zinc/49/61/24/1184496124.db2.gz SXOJMZWLMQBOBM-HFCFLWKCSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001480363192 1184506006 /nfs/dbraw/zinc/50/60/06/1184506006.db2.gz OJUMVGKNMIWMDD-OWCLPIDISA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001480382874 1184516452 /nfs/dbraw/zinc/51/64/52/1184516452.db2.gz LQGOTVUZABNTLP-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2sccc2s1 ZINC001480486139 1184536116 /nfs/dbraw/zinc/53/61/16/1184536116.db2.gz IPOVPJJDQBAXQW-VIFPVBQESA-N 0 1 314.863 3.423 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001480569087 1184550402 /nfs/dbraw/zinc/55/04/02/1184550402.db2.gz DTRIUHXURYXMLP-HUUCEWRRSA-N 0 1 308.853 3.417 20 30 DGEDMN C#CCN(CC)CCNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001493028378 1184635455 /nfs/dbraw/zinc/63/54/55/1184635455.db2.gz CTHOYKWTZYBYNH-SFHVURJKSA-N 0 1 306.494 3.300 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](CCC)c1ccccc1 ZINC001493161037 1184662506 /nfs/dbraw/zinc/66/25/06/1184662506.db2.gz RAUQTOPZRPBLER-RBUKOAKNSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1ccc(Cl)nc1 ZINC001493308814 1184683525 /nfs/dbraw/zinc/68/35/25/1184683525.db2.gz SLNYFAGVKQZVKP-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001493676635 1184725138 /nfs/dbraw/zinc/72/51/38/1184725138.db2.gz NHBICROHAKLBSY-DLBZAZTESA-N 0 1 320.864 3.153 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001493709380 1184728730 /nfs/dbraw/zinc/72/87/30/1184728730.db2.gz QCYKTIFCDCVYIX-UHFFFAOYSA-N 0 1 322.880 3.327 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2cnc(C)o2)CCCCC1 ZINC001493728004 1184732245 /nfs/dbraw/zinc/73/22/45/1184732245.db2.gz MZJBZPKQEICHOX-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2cccc(C)c2o1 ZINC001493810959 1184741174 /nfs/dbraw/zinc/74/11/74/1184741174.db2.gz JMXAZYUYFPAKEU-UHFFFAOYSA-N 0 1 306.793 3.155 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@H](C)NCc2cscn2)CC1 ZINC001493838530 1184746644 /nfs/dbraw/zinc/74/66/44/1184746644.db2.gz GBCHCDXTDRDGJT-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCCc1ccccc1)c1ccccc1 ZINC001493894725 1184760789 /nfs/dbraw/zinc/76/07/89/1184760789.db2.gz BRWNXKOKXVVDHM-HXUWFJFHSA-N 0 1 320.436 3.090 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](CCC)C(C)C)C1 ZINC001493968408 1184777829 /nfs/dbraw/zinc/77/78/29/1184777829.db2.gz RCTOHEHPNKYHSF-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cncc(CC)c2)C1 ZINC001493965096 1184778286 /nfs/dbraw/zinc/77/82/86/1184778286.db2.gz PJDCHNKQPUGTHP-GOSISDBHSA-N 0 1 315.461 3.147 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CCC)C(=O)c2nc(C)c(C)s2)C1 ZINC001493976258 1184782601 /nfs/dbraw/zinc/78/26/01/1184782601.db2.gz CVCATPRJQCTBBS-HNNXBMFYSA-N 0 1 321.490 3.263 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2nc(C)c(C)s2)C1 ZINC001493976258 1184782606 /nfs/dbraw/zinc/78/26/06/1184782606.db2.gz CVCATPRJQCTBBS-HNNXBMFYSA-N 0 1 321.490 3.263 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2nc(C)sc2C)C1 ZINC001493998148 1184788918 /nfs/dbraw/zinc/78/89/18/1184788918.db2.gz UTCQZUGTBLMNNV-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2c(C)oc(C)c2C)C1 ZINC001494146106 1184816496 /nfs/dbraw/zinc/81/64/96/1184816496.db2.gz YJYJXIJBEYFLEM-HNNXBMFYSA-N 0 1 324.852 3.351 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)ccc2C)C1 ZINC001494172802 1184824918 /nfs/dbraw/zinc/82/49/18/1184824918.db2.gz ADFWQQPIAXNWEA-MRXNPFEDSA-N 0 1 320.864 3.450 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001494196472 1184831506 /nfs/dbraw/zinc/83/15/06/1184831506.db2.gz BFCSLXQIZCDCDI-BRWVUGGUSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)Cc2occc2C)CC1 ZINC001494205768 1184832149 /nfs/dbraw/zinc/83/21/49/1184832149.db2.gz HYODZDGTDXJGKB-UHFFFAOYSA-N 0 1 324.852 3.053 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001494509325 1184878351 /nfs/dbraw/zinc/87/83/51/1184878351.db2.gz TVDPRNWTZRBOMK-RDTXWAMCSA-N 0 1 318.436 3.474 20 30 DGEDMN C#CCCCC(=O)NCC1CN([C@@H](CC)c2ccc(F)cc2)C1 ZINC001494543312 1184884970 /nfs/dbraw/zinc/88/49/70/1184884970.db2.gz WAODZZFBTDGKBJ-SFHVURJKSA-N 0 1 316.420 3.128 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001494577260 1184889234 /nfs/dbraw/zinc/88/92/34/1184889234.db2.gz RGYKFKTXUVDJHU-UHFFFAOYSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(C/C=C/c3ccccc3)C2)C1 ZINC001494593915 1184892656 /nfs/dbraw/zinc/89/26/56/1184892656.db2.gz UGPYIAHQHZHMGF-RMKNXTFCSA-N 0 1 310.441 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[N@H+]([C@@H](C)c2ccc(F)cc2)C1 ZINC001494756317 1184920773 /nfs/dbraw/zinc/92/07/73/1184920773.db2.gz XLCNTTIAEKAQCC-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001494756317 1184920775 /nfs/dbraw/zinc/92/07/75/1184920775.db2.gz XLCNTTIAEKAQCC-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC/C=C/c2ccccc2)C1 ZINC001494754625 1184921431 /nfs/dbraw/zinc/92/14/31/1184921431.db2.gz CZFGVSOUUKSDME-BQHJZSHBSA-N 0 1 324.468 3.330 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001494821066 1184934268 /nfs/dbraw/zinc/93/42/68/1184934268.db2.gz HKJXSVPQHXVHFF-HZPDHXFCSA-N 0 1 316.420 3.223 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001494821067 1184934950 /nfs/dbraw/zinc/93/49/50/1184934950.db2.gz HKJXSVPQHXVHFF-JKSUJKDBSA-N 0 1 316.420 3.223 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001494910348 1184960954 /nfs/dbraw/zinc/96/09/54/1184960954.db2.gz MVJZVAKZRHVMAY-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2c(s1)CCC2 ZINC001495103005 1184995724 /nfs/dbraw/zinc/99/57/24/1184995724.db2.gz GRMYPHWYAIRLDJ-LBPRGKRZSA-N 0 1 312.866 3.087 20 30 DGEDMN CC(C)CCC(C)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC001609110462 1193324421 /nfs/dbraw/zinc/32/44/21/1193324421.db2.gz PJKRHENKFJPCRI-UHFFFAOYSA-N 0 1 319.413 3.376 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](NCc2ncoc2C(C)C)CC1 ZINC001495446058 1185054247 /nfs/dbraw/zinc/05/42/47/1185054247.db2.gz LDOIXSXJXVRBPB-SHTZXODSSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cc(C3CC3)no2)CCCC1 ZINC001495899168 1185111230 /nfs/dbraw/zinc/11/12/30/1185111230.db2.gz MORCRVHMSVKODL-UHFFFAOYSA-N 0 1 317.433 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001496244692 1185153173 /nfs/dbraw/zinc/15/31/73/1185153173.db2.gz QQWNDWANJXODEJ-KDOFPFPSSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(Cl)[nH]1 ZINC001496287971 1185160142 /nfs/dbraw/zinc/16/01/42/1185160142.db2.gz SJEIKUUYVLEMAK-NSHDSACASA-N 0 1 318.248 3.155 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1oc(C)cc1C ZINC001496468237 1185194254 /nfs/dbraw/zinc/19/42/54/1185194254.db2.gz OBMPJEIWIQRTOG-IAQYHMDHSA-N 0 1 324.852 3.479 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1nccs1 ZINC001496483457 1185197379 /nfs/dbraw/zinc/19/73/79/1185197379.db2.gz UOWQSLWOIZVYBL-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCNC/C(Cl)=C\Cl ZINC001497281421 1185308312 /nfs/dbraw/zinc/30/83/12/1185308312.db2.gz FKNYFICQMBCQQG-LWMMSDEHSA-N 0 1 307.265 3.392 20 30 DGEDMN CCC[C@H](C)C(=O)N(CC)CCNCc1ccc(C#N)cc1F ZINC001497362499 1185321319 /nfs/dbraw/zinc/32/13/19/1185321319.db2.gz RXASKYJCPSJFNX-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C[C@H]1CC=CCC1 ZINC001497435108 1185332954 /nfs/dbraw/zinc/33/29/54/1185332954.db2.gz YWNRRHZLPPEEBD-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(c2cccc(F)c2)CC1 ZINC001497465296 1185337595 /nfs/dbraw/zinc/33/75/95/1185337595.db2.gz WCUWDICTXHHCJX-UHFFFAOYSA-N 0 1 324.827 3.048 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCC=C(Cl)Cl)CC1 ZINC001498110693 1185437142 /nfs/dbraw/zinc/43/71/42/1185437142.db2.gz WEUPBPLIBNJTGF-NSHDSACASA-N 0 1 305.249 3.146 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1ccc(C#N)cc1OC)CC1CC1 ZINC001609890748 1193362476 /nfs/dbraw/zinc/36/24/76/1193362476.db2.gz HIEZSLJIKDZTOD-GOSISDBHSA-N 0 1 316.401 3.032 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1cc(C)sn1 ZINC001498965642 1185580733 /nfs/dbraw/zinc/58/07/33/1185580733.db2.gz DQSDTVPNOVRQRH-CQSZACIVSA-N 0 1 309.479 3.226 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCc1ccccc1Cl ZINC001498991466 1185587665 /nfs/dbraw/zinc/58/76/65/1185587665.db2.gz HZUJWFMYPGWCMP-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCN2CCCCCF)CCCCC1 ZINC001499132444 1185616103 /nfs/dbraw/zinc/61/61/03/1185616103.db2.gz RBKURKBFMLERHK-KRWDZBQOSA-N 0 1 322.468 3.291 20 30 DGEDMN CC[C@H](C)CCC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001499396672 1185646348 /nfs/dbraw/zinc/64/63/48/1185646348.db2.gz WEDPPGGRFVGZMP-ZDUSSCGKSA-N 0 1 319.474 3.184 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001499703767 1185686424 /nfs/dbraw/zinc/68/64/24/1185686424.db2.gz WUCHMFDEBYIYIP-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](F)c2ccccc2)C1 ZINC001499751949 1185692306 /nfs/dbraw/zinc/69/23/06/1185692306.db2.gz OWKVFTIOVFZFII-RHSMWYFYSA-N 0 1 304.409 3.195 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001499898062 1185713714 /nfs/dbraw/zinc/71/37/14/1185713714.db2.gz YIOHKXWQNAWHSX-LLBKUYECSA-N 0 1 305.249 3.242 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2cnc(C)s2)CC1 ZINC001499994050 1185728455 /nfs/dbraw/zinc/72/84/55/1185728455.db2.gz IYHBKIRMMYIMOU-UHFFFAOYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC(F)(F)C1)C1CCCC1 ZINC001500076124 1185739700 /nfs/dbraw/zinc/73/97/00/1185739700.db2.gz BKHFFTHGNXFXGN-CYBMUJFWSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)C1CCCC1 ZINC001500099593 1185742418 /nfs/dbraw/zinc/74/24/18/1185742418.db2.gz DLBNPUSILQXBQV-YESZJQIVSA-N 0 1 324.896 3.440 20 30 DGEDMN CCC(CC)C(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001500184740 1185752674 /nfs/dbraw/zinc/75/26/74/1185752674.db2.gz SKZJKKOTZWHNNJ-IBGZPJMESA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001500376536 1185781824 /nfs/dbraw/zinc/78/18/24/1185781824.db2.gz OMACBNPFESBUCM-DLBZAZTESA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CCc2ccco2)CC1 ZINC001500411200 1185787820 /nfs/dbraw/zinc/78/78/20/1185787820.db2.gz GIJSUJQZYOVFSC-UHFFFAOYSA-N 0 1 324.852 3.183 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](C)n2cccc2)CC1 ZINC001500419648 1185788700 /nfs/dbraw/zinc/78/87/00/1185788700.db2.gz POGBJAJCQONUCX-HNNXBMFYSA-N 0 1 323.868 3.020 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)/C=C\C(C)(C)C)C1 ZINC001500607245 1185827030 /nfs/dbraw/zinc/82/70/30/1185827030.db2.gz LNPDMFSBWVCDQA-LMVHVUTASA-N 0 1 314.420 3.238 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC(CC)CC)C2)CC1 ZINC001501747046 1185928585 /nfs/dbraw/zinc/92/85/85/1185928585.db2.gz ZYJUHEIOUHMGFS-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001501853608 1185932159 /nfs/dbraw/zinc/93/21/59/1185932159.db2.gz AZGMLHSDUYEIKN-YVLHZVERSA-N 0 1 322.452 3.207 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2cccc(F)c2)CCC1 ZINC001502028175 1185949573 /nfs/dbraw/zinc/94/95/73/1185949573.db2.gz VLAVJLXCNQIZRJ-CYBMUJFWSA-N 0 1 324.827 3.310 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2conc2C)[C@H](C)C1 ZINC001502966598 1186032572 /nfs/dbraw/zinc/03/25/72/1186032572.db2.gz FAJDMRHEMAPPCH-CJNGLKHVSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2ccccc2s1 ZINC001505437521 1186080361 /nfs/dbraw/zinc/08/03/61/1186080361.db2.gz TXKFIKDBYGPXRV-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001505569612 1186105522 /nfs/dbraw/zinc/10/55/22/1186105522.db2.gz GGLWQBVCIFCYOW-NGPFNDBQSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001505614783 1186114315 /nfs/dbraw/zinc/11/43/15/1186114315.db2.gz RWPOIGZRHICREL-KGLIPLIRSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(F)c(Cl)c1 ZINC001505678132 1186123333 /nfs/dbraw/zinc/12/33/33/1186123333.db2.gz LGVXVQYXYDXXJY-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@H](c3ccccc3)C2)cccc1C(=O)[O-] ZINC001610623212 1193401468 /nfs/dbraw/zinc/40/14/68/1193401468.db2.gz KQMDRKJMQUGSFK-HNNXBMFYSA-N 0 1 306.365 3.246 20 30 DGEDMN N#Cc1c(C[N@H+]2CCCc3ccccc3C2)cccc1C(=O)[O-] ZINC001610622673 1193401483 /nfs/dbraw/zinc/40/14/83/1193401483.db2.gz BEZPRMYJHVDVOO-UHFFFAOYSA-N 0 1 306.365 3.205 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2csc(C(=O)[O-])c2)C2CC2)cc1 ZINC001610628192 1193401738 /nfs/dbraw/zinc/40/17/38/1193401738.db2.gz QZQJOKOVDJAAOV-UHFFFAOYSA-N 0 1 312.394 3.483 20 30 DGEDMN N#Cc1cccc(C[NH2+][C@@H](C(=O)[O-])c2c(F)cccc2Cl)c1 ZINC001610631142 1193401825 /nfs/dbraw/zinc/40/18/25/1193401825.db2.gz PDTVXCQBMAZXBT-OAHLLOKOSA-N 0 1 318.735 3.266 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001506025688 1186183685 /nfs/dbraw/zinc/18/36/85/1186183685.db2.gz JOOBBLJWXLQFEC-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(Cl)c2occc21 ZINC001506037858 1186186135 /nfs/dbraw/zinc/18/61/35/1186186135.db2.gz BLNXASUEHCWUAU-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1cncs1 ZINC001506573172 1186253943 /nfs/dbraw/zinc/25/39/43/1186253943.db2.gz UCHHUYSDKIHGRQ-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@H]2CCc3ccc(F)cc32)C1 ZINC001506589100 1186258664 /nfs/dbraw/zinc/25/86/64/1186258664.db2.gz FMKUZMPXQBSBGP-ACJLOTCBSA-N 0 1 316.420 3.216 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001506614527 1186261808 /nfs/dbraw/zinc/26/18/08/1186261808.db2.gz JHNUVUACESHXKG-OAHLLOKOSA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)cc(F)c1 ZINC001507024420 1186341919 /nfs/dbraw/zinc/34/19/19/1186341919.db2.gz LKSZYMDLNHJYHN-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CCc1ccccc1 ZINC001507033131 1186344660 /nfs/dbraw/zinc/34/46/60/1186344660.db2.gz DLRQHDKLDIIXOG-KRWDZBQOSA-N 0 1 320.864 3.198 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2cccc(F)c2)[C@@H]1C ZINC001507379196 1186408987 /nfs/dbraw/zinc/40/89/87/1186408987.db2.gz ZUTUMSGBCUWFAH-CRAIPNDOSA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CCCC2CCCCC2)[C@H]1C ZINC001507386729 1186413351 /nfs/dbraw/zinc/41/33/51/1186413351.db2.gz QPDMFUTVPPRJAH-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc2ccncc21)C(C)C ZINC001507854526 1186450393 /nfs/dbraw/zinc/45/03/93/1186450393.db2.gz JVZAMZQXYCSWSM-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccsc1)C(C)(C)C ZINC001507891915 1186455575 /nfs/dbraw/zinc/45/55/75/1186455575.db2.gz YETPTEYGFRJKAK-GFCCVEGCSA-N 0 1 300.855 3.235 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001508101896 1186481579 /nfs/dbraw/zinc/48/15/79/1186481579.db2.gz ASTUZRKBNIGHQN-NNGSBXSVSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)CC2CCCCC2)CC1 ZINC001508223612 1186491009 /nfs/dbraw/zinc/49/10/09/1186491009.db2.gz UQWJZFBZLHYMIO-KRWDZBQOSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](c2ccccc2)[C@@H](C)CC)CC1 ZINC001508231557 1186491981 /nfs/dbraw/zinc/49/19/81/1186491981.db2.gz OHXFPEKHUQMGMU-PXNSSMCTSA-N 0 1 324.468 3.198 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cccc(F)c3O)cc2n1 ZINC001611187725 1193426509 /nfs/dbraw/zinc/42/65/09/1193426509.db2.gz FPFROTUFOMSYJA-UHFFFAOYSA-N 0 1 311.316 3.319 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3ccc(F)cc3)no2)c1 ZINC001546332522 1186655488 /nfs/dbraw/zinc/65/54/88/1186655488.db2.gz HZTFFDDXQHDQIG-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COCc2cccc(Cl)c2)c1 ZINC001546332988 1186663033 /nfs/dbraw/zinc/66/30/33/1186663033.db2.gz RRDDFXDIYNCUIN-UHFFFAOYSA-N 0 1 316.744 3.073 20 30 DGEDMN N#CC[C@H](CC(=O)OCc1ccc2[nH]nnc2c1)c1ccccc1 ZINC001515129109 1186745229 /nfs/dbraw/zinc/74/52/29/1186745229.db2.gz BVOOCBIXKOFIHO-OAHLLOKOSA-N 0 1 320.352 3.089 20 30 DGEDMN N#CC[C@H](CC(=O)OCc1ccc2nn[nH]c2c1)c1ccccc1 ZINC001515129109 1186745231 /nfs/dbraw/zinc/74/52/31/1186745231.db2.gz BVOOCBIXKOFIHO-OAHLLOKOSA-N 0 1 320.352 3.089 20 30 DGEDMN C=CCC[C@@H]1CCCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC001516126025 1186778269 /nfs/dbraw/zinc/77/82/69/1186778269.db2.gz IQECCEMOKKREIM-SECBINFHSA-N 0 1 311.311 3.307 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001516578072 1186787375 /nfs/dbraw/zinc/78/73/75/1186787375.db2.gz JDHDNSWABOKKBW-JTQLQIEISA-N 0 1 320.780 3.045 20 30 DGEDMN C#CCN1CCC(OC(=O)c2ccc(C(C)(F)F)cc2)CC1 ZINC001517136446 1186803414 /nfs/dbraw/zinc/80/34/14/1186803414.db2.gz APLKBCVEDDSZBY-UHFFFAOYSA-N 0 1 307.340 3.053 20 30 DGEDMN C=C(CC)C(=O)N1CCC[C@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC001519862075 1186900130 /nfs/dbraw/zinc/90/01/30/1186900130.db2.gz LYKSPQGZBGSXTP-HNNXBMFYSA-N 0 1 310.401 3.144 20 30 DGEDMN CN1CCN(c2cc(F)ccc2C#N)[C@H](Cc2ccccc2)C1 ZINC001612570346 1193455944 /nfs/dbraw/zinc/45/59/44/1193455944.db2.gz QRDBIBGLZNNCTR-GOSISDBHSA-N 0 1 309.388 3.060 20 30 DGEDMN C=C(CC(C)C)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC001520747807 1186965435 /nfs/dbraw/zinc/96/54/35/1186965435.db2.gz RFNJFLPTCKTEFN-QGZVFWFLSA-N 0 1 304.434 3.016 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2cc(C(C)C)nc(C(C)C)n2)C1 ZINC001612894032 1193463510 /nfs/dbraw/zinc/46/35/10/1193463510.db2.gz IJQKPWZYVIHAOO-OAHLLOKOSA-N 0 1 300.450 3.233 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SCc3cc(C#N)ccn3)n2)o1 ZINC001613452424 1193472315 /nfs/dbraw/zinc/47/23/15/1193472315.db2.gz PDWPAMFQEAEXSU-SNAWJCMRSA-N 0 1 323.381 3.436 20 30 DGEDMN N#C[C@H](C(=O)C[C@H]1CC=CCC1)c1nc(N)c2ccccc2n1 ZINC001524018732 1187209632 /nfs/dbraw/zinc/20/96/32/1187209632.db2.gz NFWPOLKZHXUDQM-GXTWGEPZSA-N 0 1 306.369 3.135 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001525462854 1187316117 /nfs/dbraw/zinc/31/61/17/1187316117.db2.gz VAJHXXDFFPCONE-ZJPYXAASSA-N 0 1 324.424 3.146 20 30 DGEDMN Cc1nsc(NC(=O)c2scnc2C(F)(F)F)c1C#N ZINC001530183791 1187610881 /nfs/dbraw/zinc/61/08/81/1187610881.db2.gz HVZXUBKAQJVRNI-UHFFFAOYSA-N 0 1 318.305 3.051 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3csc(Cl)c3)[nH]c2c1 ZINC001530625671 1187638520 /nfs/dbraw/zinc/63/85/20/1187638520.db2.gz GVZLOOXTNIBZPJ-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)/C=C\SCc3ccco3)[nH]c2c1 ZINC001534067641 1187872896 /nfs/dbraw/zinc/87/28/96/1187872896.db2.gz BBAXKUKGFMLEMT-ALCCZGGFSA-N 0 1 324.365 3.413 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4ccccc4n3)[nH]c2c1 ZINC001534067898 1187873109 /nfs/dbraw/zinc/87/31/09/1187873109.db2.gz MHQWUEDSALYVLR-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN CCCCCCCCOCC(=O)N1C[C@@H](C)N(CC)C[C@H]1C ZINC001537409140 1188072431 /nfs/dbraw/zinc/07/24/31/1188072431.db2.gz XWHRSNSRLRPEJW-IAGOWNOFSA-N 0 1 312.498 3.305 20 30 DGEDMN C[C@H](CC#N)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC001537636375 1188087891 /nfs/dbraw/zinc/08/78/91/1188087891.db2.gz APSXZICQPJKTKT-LLVKDONJSA-N 0 1 305.341 3.113 20 30 DGEDMN N#Cc1cccc(NC(=O)c2c(O)cnc3c(F)cccc32)c1 ZINC001540287689 1188228852 /nfs/dbraw/zinc/22/88/52/1188228852.db2.gz FRWKADSVASUTSO-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)C[C@H]1CCc2ccccc2C1 ZINC001556466177 1189002254 /nfs/dbraw/zinc/00/22/54/1189002254.db2.gz LXEORYVLSHFDCK-KRWDZBQOSA-N 0 1 314.473 3.148 20 30 DGEDMN C[C@@H](CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1ccco1 ZINC001559046509 1189165281 /nfs/dbraw/zinc/16/52/81/1189165281.db2.gz JNSANWMNJNUYQK-NSHDSACASA-N 0 1 321.340 3.069 20 30 DGEDMN N#Cc1ccc(F)cc1N1CCN(CCc2ccccc2)CC1 ZINC001559059837 1189167026 /nfs/dbraw/zinc/16/70/26/1189167026.db2.gz IIEKSQRTBWFICE-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCc2ccccc2CC)c1 ZINC001559488863 1189210093 /nfs/dbraw/zinc/21/00/93/1189210093.db2.gz WNKAVPQJYOFATI-UHFFFAOYSA-N 0 1 320.436 3.343 20 30 DGEDMN C#CCN(Cc1ccc(-n2nc(C)cc2C)cc1)C1CSC1 ZINC001559986141 1189265884 /nfs/dbraw/zinc/26/58/84/1189265884.db2.gz KVCTVFIPLOGMMQ-UHFFFAOYSA-N 0 1 311.454 3.040 20 30 DGEDMN C#CC[C@H]1CCN([C@@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC001560136546 1189283351 /nfs/dbraw/zinc/28/33/51/1189283351.db2.gz WUQDZUCPCXAAPR-WMLDXEAASA-N 0 1 316.832 3.181 20 30 DGEDMN C#CCN(CC(=O)N[C@H](c1ccc(C)cc1)C1CC1)C(C)(C)C ZINC001560156439 1189284997 /nfs/dbraw/zinc/28/49/97/1189284997.db2.gz NNBUFTVXGZJOHE-LJQANCHMSA-N 0 1 312.457 3.296 20 30 DGEDMN CCCCCCCCCN1C[C@H]2CS(=O)(=O)C[C@@]2(C)C1 ZINC001560202165 1189289697 /nfs/dbraw/zinc/28/96/97/1189289697.db2.gz JTRUMASDCWSXRQ-JKSUJKDBSA-N 0 1 301.496 3.104 20 30 DGEDMN C#Cc1ccc(CNCc2cc(F)c(N(C)C)cc2F)cc1 ZINC001560478142 1189332237 /nfs/dbraw/zinc/33/22/37/1189332237.db2.gz KYUYKUFAZBXBAM-UHFFFAOYSA-N 0 1 300.352 3.302 20 30 DGEDMN C#Cc1cccc(CNCc2ccnn2-c2ccccc2OC)c1 ZINC001560503440 1189334097 /nfs/dbraw/zinc/33/40/97/1189334097.db2.gz NDOYURQDWPHAEM-UHFFFAOYSA-N 0 1 317.392 3.152 20 30 DGEDMN C#CC[N@@H+](Cc1ccccc1)CC(C)(C)NC(=O)OC(C)(C)C ZINC001560822573 1189387900 /nfs/dbraw/zinc/38/79/00/1189387900.db2.gz VYHFIOIALJCHTN-UHFFFAOYSA-N 0 1 316.445 3.425 20 30 DGEDMN C#CCN(Cc1ccccc1)CC(C)(C)NC(=O)OC(C)(C)C ZINC001560822573 1189387907 /nfs/dbraw/zinc/38/79/07/1189387907.db2.gz VYHFIOIALJCHTN-UHFFFAOYSA-N 0 1 316.445 3.425 20 30 DGEDMN C[C@@H]1CN(Cc2cccc(F)c2C#N)CCN1Cc1ccccc1 ZINC001560885213 1189400097 /nfs/dbraw/zinc/40/00/97/1189400097.db2.gz WQIPLLFLYAECJU-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2-c2ccccc2)cc1F ZINC001560887534 1189401192 /nfs/dbraw/zinc/40/11/92/1189401192.db2.gz UZMUFPOFOUBUHO-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN C[C@H]1CC[C@@H](C(=O)OC(C)(C)C)CN1CC#Cc1ccccc1 ZINC001560893681 1189401969 /nfs/dbraw/zinc/40/19/69/1189401969.db2.gz UPLRYJNPAFYDJI-FUHWJXTLSA-N 0 1 313.441 3.480 20 30 DGEDMN C#Cc1ccc(CN[C@@H]2CCN(c3cccc(Cl)c3)C2=O)cc1 ZINC001560991023 1189416091 /nfs/dbraw/zinc/41/60/91/1189416091.db2.gz ACVRHJOGFQLQNQ-GOSISDBHSA-N 0 1 324.811 3.216 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1(CC=C)CCCCC1 ZINC001565834828 1189685192 /nfs/dbraw/zinc/68/51/92/1189685192.db2.gz BSWKMZKHFMJBGG-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN CCC(CC)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001566079784 1189728826 /nfs/dbraw/zinc/72/88/26/1189728826.db2.gz DMCWUJBUSXMVSM-KDOFPFPSSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001566102276 1189733012 /nfs/dbraw/zinc/73/30/12/1189733012.db2.gz PZCATUWIPXPNPH-HHXXYDBFSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001566101843 1189733024 /nfs/dbraw/zinc/73/30/24/1189733024.db2.gz CLDKCFFZPMYBDP-JTDSTZFVSA-N 0 1 315.461 3.071 20 30 DGEDMN CCCCCCCCNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC001566436716 1189770538 /nfs/dbraw/zinc/77/05/38/1189770538.db2.gz OFOQIYVVTRXVOJ-LBPRGKRZSA-N 0 1 324.387 3.166 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1oc(C)nc1C ZINC001566524397 1189789694 /nfs/dbraw/zinc/78/96/94/1189789694.db2.gz CHTXALCKCWBLCO-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1csc(C)n1 ZINC001566526758 1189791669 /nfs/dbraw/zinc/79/16/69/1189791669.db2.gz XPUMQWFSNXESHZ-BBRMVZONSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1csc(C)n1 ZINC001566526759 1189791872 /nfs/dbraw/zinc/79/18/72/1189791872.db2.gz XPUMQWFSNXESHZ-CJNGLKHVSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001566627474 1189832130 /nfs/dbraw/zinc/83/21/30/1189832130.db2.gz FNVOPJHLTKVQJW-INIZCTEOSA-N 0 1 304.459 3.022 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@H]2CCc3c2cccc3F)C1 ZINC001566672301 1189852387 /nfs/dbraw/zinc/85/23/87/1189852387.db2.gz PDTFRSOKTBGZIC-KSSFIOAISA-N 0 1 316.420 3.360 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001566674065 1189853936 /nfs/dbraw/zinc/85/39/36/1189853936.db2.gz CGCPRBXCUZLQQT-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)SC ZINC001566747984 1189889345 /nfs/dbraw/zinc/88/93/45/1189889345.db2.gz AQXCNDSSMSPKTP-HRCADAONSA-N 0 1 312.523 3.384 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCO[C@H](C)CC(C)C ZINC001566751112 1189890632 /nfs/dbraw/zinc/89/06/32/1189890632.db2.gz JRECXPXLHXYRGI-KURKYZTESA-N 0 1 322.493 3.079 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001566792623 1189903832 /nfs/dbraw/zinc/90/38/32/1189903832.db2.gz RVJQOZYGVQWAMS-NZGPQZMQSA-N 0 1 305.249 3.145 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)N[C@H](C)c1ccc(F)cc1F ZINC001567014972 1189995243 /nfs/dbraw/zinc/99/52/43/1189995243.db2.gz HOHSGYOWFHTXQE-CHWSQXEVSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001567034916 1190003121 /nfs/dbraw/zinc/00/31/21/1190003121.db2.gz GDUWGAAVIFSDIA-XJKSGUPXSA-N 0 1 306.837 3.027 20 30 DGEDMN CCCCC1(C(=O)NC[C@H](C)NCc2ccccc2C#N)CC1 ZINC001567050500 1190009528 /nfs/dbraw/zinc/00/95/28/1190009528.db2.gz AZBMQRYNCVYETL-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CC)c1ccc(OC)cc1 ZINC001567080047 1190024804 /nfs/dbraw/zinc/02/48/04/1190024804.db2.gz HLAFVXSDUZQHDQ-XJKSGUPXSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001567101606 1190029337 /nfs/dbraw/zinc/02/93/37/1190029337.db2.gz TZOUYAZHMZAHFJ-ZFWWWQNUSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H](N(C)C[C@H](F)CC)C2)CC1 ZINC001567198838 1190064553 /nfs/dbraw/zinc/06/45/53/1190064553.db2.gz POXVUUVOVMYROM-SJORKVTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001567305706 1190122828 /nfs/dbraw/zinc/12/28/28/1190122828.db2.gz LIQCBVWWEHIZPF-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCN2Cc2ccc(F)cc2)C1 ZINC001567379337 1190160074 /nfs/dbraw/zinc/16/00/74/1190160074.db2.gz SMXMOAQLBZGLOS-QGZVFWFLSA-N 0 1 316.420 3.263 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H](C)CCC=C(C)C ZINC001567380404 1190161233 /nfs/dbraw/zinc/16/12/33/1190161233.db2.gz OYBSKDHDQQGAHY-ZWKOTPCHSA-N 0 1 304.478 3.363 20 30 DGEDMN C#CCN(CCNC(=O)c1ccccc1CC(C)(C)C)C1CC1 ZINC001567445089 1190196120 /nfs/dbraw/zinc/19/61/20/1190196120.db2.gz SSEOFGXRJNNVTA-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN(CCNC(=O)CC[C@H]1CCc2ccccc21)C1CC1 ZINC001567455599 1190203514 /nfs/dbraw/zinc/20/35/14/1190203514.db2.gz DDWKJZSVMFQRRD-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCC(=O)N(C)CCNCc1nc(C)c(C(C)(C)C)s1 ZINC001567473255 1190206704 /nfs/dbraw/zinc/20/67/04/1190206704.db2.gz UAJGYZVGFBCDCT-UHFFFAOYSA-N 0 1 323.506 3.263 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(C(C)(C)C)c1 ZINC001567579294 1190220688 /nfs/dbraw/zinc/22/06/88/1190220688.db2.gz JFFLBIBLFNQBGU-UHFFFAOYSA-N 0 1 308.853 3.398 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C)n(C(C)C)c1C ZINC001567602987 1190225130 /nfs/dbraw/zinc/22/51/30/1190225130.db2.gz VMRBSSAMLJETGA-UHFFFAOYSA-N 0 1 311.857 3.100 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)C(C)C ZINC001567645292 1190236061 /nfs/dbraw/zinc/23/60/61/1190236061.db2.gz QAUIYYPVEWKAOQ-PMPSAXMXSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001567682135 1190250121 /nfs/dbraw/zinc/25/01/21/1190250121.db2.gz JKLRGCGOXAPQBT-ZWKOTPCHSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccsc2)C1 ZINC001567684524 1190252751 /nfs/dbraw/zinc/25/27/51/1190252751.db2.gz IJHZFKGLLGLIRI-INIZCTEOSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001567687903 1190253084 /nfs/dbraw/zinc/25/30/84/1190253084.db2.gz BIQJUXUJFCFABF-LBPRGKRZSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2CC3(CCC3)C2)C1 ZINC001567705368 1190263700 /nfs/dbraw/zinc/26/37/00/1190263700.db2.gz MKXMASRJMVVUOG-CQSZACIVSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(C)cc2)C1 ZINC001567743309 1190283037 /nfs/dbraw/zinc/28/30/37/1190283037.db2.gz XEGAWIQWVXPBEG-OAHLLOKOSA-N 0 1 306.837 3.141 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN([C@H](C)c3ccc(F)cc3)C2)C1 ZINC001567840845 1190316848 /nfs/dbraw/zinc/31/68/48/1190316848.db2.gz HGSJGJRXWGNMGE-CQSZACIVSA-N 0 1 316.420 3.291 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CC)s1 ZINC001567859127 1190323594 /nfs/dbraw/zinc/32/35/94/1190323594.db2.gz MMUZTCVVCWFLCK-KBPBESRZSA-N 0 1 304.459 3.175 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001567870138 1190328555 /nfs/dbraw/zinc/32/85/55/1190328555.db2.gz HUFNQCKWAYNDJH-HNNXBMFYSA-N 0 1 308.372 3.281 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001567911417 1190347559 /nfs/dbraw/zinc/34/75/59/1190347559.db2.gz OBWVHJJESWRUMA-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(F)ccc1Cl ZINC001567978340 1190385435 /nfs/dbraw/zinc/38/54/35/1190385435.db2.gz PCBYBQCHESXMJJ-NSHDSACASA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CCNCc2cc(C)on2)C1 ZINC001568057770 1190411368 /nfs/dbraw/zinc/41/13/68/1190411368.db2.gz IZFYLWMPNZKUOJ-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H](C)C2CC2)cc1 ZINC001568116679 1190425994 /nfs/dbraw/zinc/42/59/94/1190425994.db2.gz PKQPHYRUZPSHCF-CYBMUJFWSA-N 0 1 306.837 3.191 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1cc(OC)ccc1Cl ZINC001568258727 1190465656 /nfs/dbraw/zinc/46/56/56/1190465656.db2.gz AUMJHVLRVISAHX-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)cc(OC)c1C ZINC001568285074 1190472314 /nfs/dbraw/zinc/47/23/14/1190472314.db2.gz ZSDKGFFLYJDTBW-CYBMUJFWSA-N 0 1 324.852 3.115 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001568291082 1190474941 /nfs/dbraw/zinc/47/49/41/1190474941.db2.gz ZZLHULKCXKRPBV-LLVKDONJSA-N 0 1 323.799 3.110 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1oc(CCC)nc1C ZINC001568291233 1190474996 /nfs/dbraw/zinc/47/49/96/1190474996.db2.gz FQJQNTDEORPZHM-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001568293813 1190476096 /nfs/dbraw/zinc/47/60/96/1190476096.db2.gz PPZNSXSFWIUAIQ-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2c(c1)CCCC2 ZINC001568315257 1190487223 /nfs/dbraw/zinc/48/72/23/1190487223.db2.gz BRNUXVIJQDEPMY-CQSZACIVSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1csc(CC)n1 ZINC001568665332 1190575837 /nfs/dbraw/zinc/57/58/37/1190575837.db2.gz ZYWZONZRJQFTDY-CYBMUJFWSA-N 0 1 323.506 3.292 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccnc2ccccc21 ZINC001568757845 1190585888 /nfs/dbraw/zinc/58/58/88/1190585888.db2.gz LHWTYFVWOPNXBX-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc2cccnc21 ZINC001568757945 1190585945 /nfs/dbraw/zinc/58/59/45/1190585945.db2.gz NPNNTBGQJJZHEY-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN CCCCC(=O)NC/C=C\CNCC#Cc1ccc(Cl)cc1 ZINC001568817015 1190592191 /nfs/dbraw/zinc/59/21/91/1190592191.db2.gz DHEKYCHQZGOGDH-PLNGDYQASA-N 0 1 318.848 3.144 20 30 DGEDMN C=C1CCC(C(=O)NC/C=C\CNCC=C(Cl)Cl)CC1 ZINC001568914068 1190599421 /nfs/dbraw/zinc/59/94/21/1190599421.db2.gz QXAOSUMQLTYSIB-IHWYPQMZSA-N 0 1 317.260 3.314 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1sccc1C(F)F ZINC001568958456 1190602650 /nfs/dbraw/zinc/60/26/50/1190602650.db2.gz QMYPGTOWYAEEOP-NSCUHMNNSA-N 0 1 320.792 3.314 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2cccc(C)c2o1 ZINC001569038858 1190612031 /nfs/dbraw/zinc/61/20/31/1190612031.db2.gz RPEVSHGDMBDFJI-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001569054343 1190614099 /nfs/dbraw/zinc/61/40/99/1190614099.db2.gz KJTKVGNTRRHWMS-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001569075724 1190616955 /nfs/dbraw/zinc/61/69/55/1190616955.db2.gz BUHLGIVGEDXCRM-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1CC(C)C ZINC001569099991 1190621572 /nfs/dbraw/zinc/62/15/72/1190621572.db2.gz NYDMLFYEJZLRGU-AWEZNQCLSA-N 0 1 308.853 3.346 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)CCC(F)(F)F)CCC1 ZINC001569136159 1190636625 /nfs/dbraw/zinc/63/66/25/1190636625.db2.gz MOKBVIAJFLBLSN-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCC[C@H]1CNCc1ccon1 ZINC001569164930 1190648077 /nfs/dbraw/zinc/64/80/77/1190648077.db2.gz QQIWFRXGSQOHOM-HOCLYGCPSA-N 0 1 319.449 3.042 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1cccc2cccnc21 ZINC001569372235 1190680583 /nfs/dbraw/zinc/68/05/83/1190680583.db2.gz ZQJHFRXAJCFSOK-SFHVURJKSA-N 0 1 323.440 3.282 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1cncc(Cl)c1 ZINC001569377188 1190684523 /nfs/dbraw/zinc/68/45/23/1190684523.db2.gz UEMBEGZTUMWFLJ-MRXNPFEDSA-N 0 1 321.852 3.286 20 30 DGEDMN C#CCCCC(=O)NCC1(N[C@@H](C)c2ccccc2Cl)CC1 ZINC001569397765 1190691087 /nfs/dbraw/zinc/69/10/87/1190691087.db2.gz GEKQECFXNGTQEU-AWEZNQCLSA-N 0 1 318.848 3.443 20 30 DGEDMN N#CC[C@@H](CC(=O)Nc1n[nH]c2cc(O)ccc21)c1ccccc1 ZINC001569458757 1190701902 /nfs/dbraw/zinc/70/19/02/1190701902.db2.gz BKEXHUSQGHBKQH-ZDUSSCGKSA-N 0 1 320.352 3.295 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001569495445 1190707732 /nfs/dbraw/zinc/70/77/32/1190707732.db2.gz CWUKFVBXMRRVLI-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CCCCC2(F)F)C1 ZINC001569496206 1190708394 /nfs/dbraw/zinc/70/83/94/1190708394.db2.gz FZLBFCQVZLYNNV-UKRRQHHQSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001569500798 1190709452 /nfs/dbraw/zinc/70/94/52/1190709452.db2.gz XHNMFRQXESLSDH-ZDUSSCGKSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001569507103 1190710685 /nfs/dbraw/zinc/71/06/85/1190710685.db2.gz HDVFEIIHJGQSQH-LPHOPBHVSA-N 0 1 312.457 3.454 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc2ccccc2o1 ZINC001569563237 1190717098 /nfs/dbraw/zinc/71/70/98/1190717098.db2.gz MQUJMPNMXJKAGX-AWEZNQCLSA-N 0 1 318.804 3.380 20 30 DGEDMN C#CCN(C(=O)/C(C)=C\CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569584035 1190720398 /nfs/dbraw/zinc/72/03/98/1190720398.db2.gz DZTACTUDBUCXRO-YMDFIQGPSA-N 0 1 308.441 3.017 20 30 DGEDMN C#CCN(C(=O)C[C@H](C=C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569591747 1190720712 /nfs/dbraw/zinc/72/07/12/1190720712.db2.gz XONFPXDKRIDXLD-SJORKVTESA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(CC)CC)C1CCCC1 ZINC001569601093 1190721601 /nfs/dbraw/zinc/72/16/01/1190721601.db2.gz PMLCQTXLULSASW-HNNXBMFYSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CCCC2CCCCC2)C1 ZINC001569653698 1190735356 /nfs/dbraw/zinc/73/53/56/1190735356.db2.gz SJFDWRSIPXSFAM-IBGZPJMESA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCCC(=O)N1CC[C@](C)(CNCc2ncc(C(C)C)o2)C1 ZINC001569662300 1190736941 /nfs/dbraw/zinc/73/69/41/1190736941.db2.gz QZHNBDATCQVRKR-GOSISDBHSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CC=CCC2)CC1 ZINC001569697063 1190742424 /nfs/dbraw/zinc/74/24/24/1190742424.db2.gz QRACHFLANCZYCE-HNNXBMFYSA-N 0 1 310.869 3.314 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(Cl)cc2C)C1 ZINC001569720594 1190748364 /nfs/dbraw/zinc/74/83/64/1190748364.db2.gz XLTRAHDSHRLBDU-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](C=C)c2ccccc2)C1 ZINC001569726387 1190751459 /nfs/dbraw/zinc/75/14/59/1190751459.db2.gz PGXLCPGBDUPIHP-GHTZIAJQSA-N 0 1 324.468 3.198 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]3[C@@H]2CCN3CC#CC)CCCC1 ZINC001569917073 1190774471 /nfs/dbraw/zinc/77/44/71/1190774471.db2.gz KFCKHKVZRZIUPZ-ROUUACIJSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CC)cc2)CCC1 ZINC001570125085 1190801391 /nfs/dbraw/zinc/80/13/91/1190801391.db2.gz ILLYZUAOAFFGMS-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCN(C)Cc2cnc(C)s2)C1 ZINC001570207617 1190820901 /nfs/dbraw/zinc/82/09/01/1190820901.db2.gz WPSPVNWJZNJFIW-UHFFFAOYSA-N 0 1 321.490 3.088 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)C)O2 ZINC001570236136 1190827700 /nfs/dbraw/zinc/82/77/00/1190827700.db2.gz NXURIJUTFPRRPV-INIZCTEOSA-N 0 1 324.509 3.353 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ccncc2Cl)[C@@H](C)C1 ZINC001570342123 1190841297 /nfs/dbraw/zinc/84/12/97/1190841297.db2.gz NFTCSIRGEXRPDQ-BBRMVZONSA-N 0 1 321.852 3.028 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CCN(C/C=C/Cl)CC1(C)C ZINC001570393403 1190851622 /nfs/dbraw/zinc/85/16/22/1190851622.db2.gz IUWMTZLAKYBRMW-XYYIANASSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cnc(C)s2)[C@H](C)C1 ZINC001570441607 1190859144 /nfs/dbraw/zinc/85/91/44/1190859144.db2.gz WCBOSRMSVMYUIW-TZMCWYRMSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCCC1CC1 ZINC001570478216 1190867333 /nfs/dbraw/zinc/86/73/33/1190867333.db2.gz LKZQLHNULPBZOA-HXUWFJFHSA-N 0 1 324.468 3.306 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](C)CCCC ZINC001570519191 1190873946 /nfs/dbraw/zinc/87/39/46/1190873946.db2.gz FBGFPNSSXRLUTM-ATZDWAIDSA-N 0 1 312.457 3.208 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(CCCC)CC1 ZINC001570520871 1190874012 /nfs/dbraw/zinc/87/40/12/1190874012.db2.gz SXXOSPMWLOVFCC-RTBURBONSA-N 0 1 324.468 3.352 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@@H](C)CC(C)C ZINC001570522140 1190874886 /nfs/dbraw/zinc/87/48/86/1190874886.db2.gz QFYIGIIXDVIHGH-QKYXUNIQSA-N 0 1 312.457 3.064 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C)c1Cl ZINC001570542592 1190882353 /nfs/dbraw/zinc/88/23/53/1190882353.db2.gz UJPDHPVATXSPCU-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001570600840 1190897700 /nfs/dbraw/zinc/89/77/00/1190897700.db2.gz DIWYNUXDQAJLPY-DXIMFJFMSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001570631674 1190906292 /nfs/dbraw/zinc/90/62/92/1190906292.db2.gz YBACVZKXOCPFPM-ZIAGYGMSSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2c(c1)CCC=C2 ZINC001570645319 1190909309 /nfs/dbraw/zinc/90/93/09/1190909309.db2.gz VQWDSSNVFJQMOW-KBPBESRZSA-N 0 1 318.848 3.495 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)c(F)c(C)c1 ZINC001570652902 1190910993 /nfs/dbraw/zinc/91/09/93/1190910993.db2.gz KOVWMTJTBKPNNP-STQMWFEESA-N 0 1 312.816 3.291 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@H](CC)c1ccccc1 ZINC001570745552 1190940872 /nfs/dbraw/zinc/94/08/72/1190940872.db2.gz JBHABAHTWGLUTN-SJORKVTESA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@](C)(CC)CCCCC)C1 ZINC001570864308 1190968538 /nfs/dbraw/zinc/96/85/38/1190968538.db2.gz WNDSDGPXZBDRDP-LPHOPBHVSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570904826 1190973845 /nfs/dbraw/zinc/97/38/45/1190973845.db2.gz KWIVQBHDRYLWME-IAGOWNOFSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC(C2CCC2)C2CCC2)C(C)(C)C1 ZINC001570905774 1190974264 /nfs/dbraw/zinc/97/42/64/1190974264.db2.gz ZUXYWRHPKSOJPU-SFHVURJKSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)C(CC)CC)[C@@H]2C1 ZINC001570941253 1190977015 /nfs/dbraw/zinc/97/70/15/1190977015.db2.gz YWCCTOMGFYYUTE-MIGQKNRLSA-N 0 1 312.885 3.105 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](CCCC)C(C)C)C2)C1 ZINC001571053622 1190981478 /nfs/dbraw/zinc/98/14/78/1190981478.db2.gz QJELLGVXTXBINB-SFHVURJKSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H](C)Cc1ccco1 ZINC001571370202 1191004284 /nfs/dbraw/zinc/00/42/84/1191004284.db2.gz QCILXBGILISQDI-LRDDRELGSA-N 0 1 324.852 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(F)c(C)cc1F ZINC001571392387 1191011867 /nfs/dbraw/zinc/01/18/67/1191011867.db2.gz VQMRHAZJNFQUNO-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(Cl)cc1F ZINC001571396176 1191013367 /nfs/dbraw/zinc/01/33/67/1191013367.db2.gz XAKVZVVFUXRWQK-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2cccc(F)c2o1 ZINC001571418575 1191020735 /nfs/dbraw/zinc/02/07/35/1191020735.db2.gz ZNHIDKZRAKCRAA-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@H]1N(Cc1ccccc1C#N)CC2 ZINC001571496477 1191031864 /nfs/dbraw/zinc/03/18/64/1191031864.db2.gz FIBXBYNOKZXJIA-UYAOXDASSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC(C)(C)CC(F)F)[C@H]1C ZINC001571520083 1191040278 /nfs/dbraw/zinc/04/02/78/1191040278.db2.gz ANLCIEDTBWTPJU-ZIAGYGMSSA-N 0 1 314.420 3.050 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC(C)(C)CC(F)F)[C@@H]1C ZINC001571520080 1191040580 /nfs/dbraw/zinc/04/05/80/1191040580.db2.gz ANLCIEDTBWTPJU-KBPBESRZSA-N 0 1 314.420 3.050 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cncc(C)c2)[C@@H]1C ZINC001571522422 1191042188 /nfs/dbraw/zinc/04/21/88/1191042188.db2.gz FUQSYUPDMDIQFH-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)CCC(C)(C)C)CC1 ZINC001571698751 1191085520 /nfs/dbraw/zinc/08/55/20/1191085520.db2.gz PWCXNXLXAQNNLM-MRXNPFEDSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C/C=C\c2ccc(C)cc2)CC1 ZINC001571701639 1191085609 /nfs/dbraw/zinc/08/56/09/1191085609.db2.gz QQIFTVDQMYBGLS-WAYWQWQTSA-N 0 1 322.452 3.170 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)CCC(C)(C)C)CC1 ZINC001571698750 1191086037 /nfs/dbraw/zinc/08/60/37/1191086037.db2.gz PWCXNXLXAQNNLM-INIZCTEOSA-N 0 1 304.478 3.220 20 30 DGEDMN N#CCCN(CCc1ccc2c(c1)OC(F)(F)O2)CC1CC1 ZINC001615743937 1191442835 /nfs/dbraw/zinc/44/28/35/1191442835.db2.gz KXGHAPLVWFWVNX-UHFFFAOYSA-N 0 1 308.328 3.176 20 30 DGEDMN C=CCCCCCN(C)C(=O)N[C@H]1CC[C@H](c2c[nH]nn2)CC1 ZINC001575093065 1191465351 /nfs/dbraw/zinc/46/53/51/1191465351.db2.gz CWQDABNUSKMXPO-SHTZXODSSA-N 0 1 319.453 3.219 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(Cc1c[nH]nn1)Cc1ccccc1 ZINC001575900811 1191515438 /nfs/dbraw/zinc/51/54/38/1191515438.db2.gz YDZILLLIQCRKOE-OAHLLOKOSA-N 0 1 317.396 3.440 20 30 DGEDMN CCCCCCCC(=O)N[C@@H](c1c[nH]nn1)c1ccccc1 ZINC001576393959 1191584377 /nfs/dbraw/zinc/58/43/77/1191584377.db2.gz GJNFYXIEANEFIC-QGZVFWFLSA-N 0 1 300.406 3.371 20 30 DGEDMN N#Cc1ccnc(CN[C@H](c2ccccc2)C2(CO)CCCC2)c1 ZINC001618373972 1193735765 /nfs/dbraw/zinc/73/57/65/1193735765.db2.gz LDDMSIKYBLYYFT-LJQANCHMSA-N 0 1 321.424 3.337 20 30 DGEDMN C=CCCCCC[N@@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001619068517 1193757057 /nfs/dbraw/zinc/75/70/57/1193757057.db2.gz MKZIODJWTCLOCA-STQMWFEESA-N 0 1 306.372 3.264 20 30 DGEDMN COC[C@@H](C)N(Cc1cc(C#N)ccn1)[C@H]1CCc2ccccc21 ZINC001620472252 1193771449 /nfs/dbraw/zinc/77/14/49/1193771449.db2.gz MSKDPCHNBDSLMW-QRWLVFNGSA-N 0 1 321.424 3.478 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(CC[C@@H]1CC1(Cl)Cl)C2 ZINC001620642950 1193785542 /nfs/dbraw/zinc/78/55/42/1193785542.db2.gz PYDOWTPATQEISO-MRVPVSSYSA-N 0 1 316.257 3.144 20 30 DGEDMN COc1ccc2cc(CNCC3(C#N)CCOCC3)ccc2c1 ZINC001621102287 1193802304 /nfs/dbraw/zinc/80/23/04/1193802304.db2.gz GEENIAKYKDBNBS-UHFFFAOYSA-N 0 1 310.397 3.258 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(C(=O)OCc3ccccc3)[nH]2)C1 ZINC001621412294 1193839257 /nfs/dbraw/zinc/83/92/57/1193839257.db2.gz JATPQULQUMVCQZ-INIZCTEOSA-N 0 1 322.408 3.217 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cc(C)cc(C=O)c1O ZINC001622947243 1193915807 /nfs/dbraw/zinc/91/58/07/1193915807.db2.gz QJRSJNNKJVJFRM-UHFFFAOYSA-N 0 1 323.352 3.069 20 30 DGEDMN N#CCC[C@H](NC(=O)c1cc(F)cc(F)c1O)c1ccccc1 ZINC001623517700 1193936857 /nfs/dbraw/zinc/93/68/57/1193936857.db2.gz HFZDSMIKRHDSJN-HNNXBMFYSA-N 0 1 316.307 3.445 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1ccc(Cl)c(O)c1 ZINC001626300894 1194071063 /nfs/dbraw/zinc/07/10/63/1194071063.db2.gz CQSDXGJNICYVHN-UHFFFAOYSA-N 0 1 317.747 3.460 20 30 DGEDMN C=C(CC)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC001628342380 1194173502 /nfs/dbraw/zinc/17/35/02/1194173502.db2.gz UYJMRHLZBOVWPL-BBWFWOEESA-N 0 1 300.446 3.463 20 30 DGEDMN CC[C@H](C#N)C(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001629099828 1194214472 /nfs/dbraw/zinc/21/44/72/1194214472.db2.gz OOKCAFFQMWIURN-FZKQIMNGSA-N 0 1 318.380 3.318 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(C)c3cccnc23)C1 ZINC001671149139 1194215067 /nfs/dbraw/zinc/21/50/67/1194215067.db2.gz OAIHKPWSNBEEIJ-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(-c3csnn3)cc2)c1 ZINC001631962118 1194363975 /nfs/dbraw/zinc/36/39/75/1194363975.db2.gz FHIUDJRDTWQQGP-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)CN(C)CC(C)(C)C)cc1C#N ZINC001632093861 1194370957 /nfs/dbraw/zinc/37/09/57/1194370957.db2.gz HWCLEBAZHLBYKS-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN N#Cc1cccc(CC(=O)Nc2cccc3c2CN(C2CC2)C3)c1 ZINC001633289667 1194430020 /nfs/dbraw/zinc/43/00/20/1194430020.db2.gz VDCOYGFQRKZOKZ-UHFFFAOYSA-N 0 1 317.392 3.217 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C(=O)C=Cc1ccc(F)cc1 ZINC001634009634 1194469829 /nfs/dbraw/zinc/46/98/29/1194469829.db2.gz QXKWMRXVENGIGS-DAXSKMNVSA-N 0 1 323.327 3.231 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2nnc3ccccc3c2O)cc1 ZINC001635175933 1194535921 /nfs/dbraw/zinc/53/59/21/1194535921.db2.gz QAJDJGJGPSPIFQ-UHFFFAOYSA-N 0 1 318.336 3.044 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)cc1 ZINC001635175940 1194536227 /nfs/dbraw/zinc/53/62/27/1194536227.db2.gz QDJGBRSELJEHRA-UHFFFAOYSA-N 0 1 311.297 3.009 20 30 DGEDMN C=CCC1(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)CCCCC1 ZINC001636004531 1194584936 /nfs/dbraw/zinc/58/49/36/1194584936.db2.gz FVCIMKVJMGCPHP-UHFFFAOYSA-N 0 1 319.405 3.440 20 30 DGEDMN CC#CCCCC(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001636203149 1194599620 /nfs/dbraw/zinc/59/96/20/1194599620.db2.gz QUEVBADJSNXICR-UHFFFAOYSA-N 0 1 319.368 3.152 20 30 DGEDMN CNc1cc(Cl)ccc1C(=O)Nc1cc(C#N)ccc1O ZINC001637619874 1194678558 /nfs/dbraw/zinc/67/85/58/1194678558.db2.gz CVHKPDJOWXZSGN-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3[nH]c4ccccc4c3C#N)[nH]c2c1 ZINC001637704572 1194683048 /nfs/dbraw/zinc/68/30/48/1194683048.db2.gz SFMVMQQLFBCHMJ-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cc(C)cc(C#N)c3)[nH]n2)o1 ZINC001637919904 1194693700 /nfs/dbraw/zinc/69/37/00/1194693700.db2.gz UQLFYNVHBFRMFY-UHFFFAOYSA-N 0 1 306.325 3.411 20 30 DGEDMN C[C@@H](CC1CCCC1)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001639135423 1194752710 /nfs/dbraw/zinc/75/27/10/1194752710.db2.gz IIKUQHIACHSBSV-LBPRGKRZSA-N 0 1 323.400 3.498 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H](C)c2cccnc2)C1 ZINC001671188278 1194763742 /nfs/dbraw/zinc/76/37/42/1194763742.db2.gz LBXFCVVTWQIECX-MRXNPFEDSA-N 0 1 315.461 3.074 20 30 DGEDMN Cc1ccc2nc(CNc3cccc(C#N)c3[N+](=O)[O-])[nH]c2c1 ZINC001639554866 1194771501 /nfs/dbraw/zinc/77/15/01/1194771501.db2.gz JNEYAHRXHGRKOJ-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN N#Cc1cc(F)cc(C2(C(=O)Nc3ccc4cncn4c3)CC2)c1 ZINC001639576168 1194772311 /nfs/dbraw/zinc/77/23/11/1194772311.db2.gz YWABMFRMXDWYMT-UHFFFAOYSA-N 0 1 320.327 3.015 20 30 DGEDMN N#Cc1csc(C(=O)Nc2ccc(Nc3ccncc3)cc2)c1 ZINC001639677842 1194777457 /nfs/dbraw/zinc/77/74/57/1194777457.db2.gz FNVNIMHNXUUZNY-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C[C@@H]1CN(c2cc(C(F)(F)F)c(F)cc2C#N)C[C@H](C)N1C ZINC001639979563 1194789802 /nfs/dbraw/zinc/78/98/02/1194789802.db2.gz KJEQKEPGQTUQHJ-AOOOYVTPSA-N 0 1 315.314 3.245 20 30 DGEDMN N#Cc1csc(C(=O)Nc2cccc(O)c2Br)c1 ZINC001641126165 1194840661 /nfs/dbraw/zinc/84/06/61/1194840661.db2.gz MZHYQCJYCIQNOR-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN Cc1nc(C)c(C(=O)Nc2nc(-c3cccc(C#N)c3)cs2)[nH]1 ZINC001641409210 1194852705 /nfs/dbraw/zinc/85/27/05/1194852705.db2.gz RUPLIMQPSBHDCC-UHFFFAOYSA-N 0 1 323.381 3.274 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3ccc(F)cc3O)cc21 ZINC001641890278 1194878076 /nfs/dbraw/zinc/87/80/76/1194878076.db2.gz JSUWJTWBZNQTDN-UHFFFAOYSA-N 0 1 311.316 3.319 20 30 DGEDMN CCCCC1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001641930028 1194879357 /nfs/dbraw/zinc/87/93/57/1194879357.db2.gz MCPOYUUBEBYPDF-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN CCC[C@](C)(CC)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641929448 1194879384 /nfs/dbraw/zinc/87/93/84/1194879384.db2.gz BIRBFLLLSYIQAU-KRWDZBQOSA-N 0 1 311.389 3.498 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C3=CCCCCC3)n2)c1 ZINC001641930348 1194879402 /nfs/dbraw/zinc/87/94/02/1194879402.db2.gz UDAIPNULLUSLSH-UHFFFAOYSA-N 0 1 307.357 3.172 20 30 DGEDMN CN(C(=O)CC#Cc1ccccc1)C1CN(CC2CCCCC2)C1 ZINC001671201895 1194886810 /nfs/dbraw/zinc/88/68/10/1194886810.db2.gz JBMPTYXJTOGBOQ-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN Cc1nc(N2CCN(C)C3(CCCCC3)C2)c(Cl)cc1C#N ZINC001642707260 1194930291 /nfs/dbraw/zinc/93/02/91/1194930291.db2.gz MGGWBTBVBAKJIE-UHFFFAOYSA-N 0 1 318.852 3.370 20 30 DGEDMN N#Cc1ccc(Br)cc1N[C@H]1CCc2[nH]cnc2C1 ZINC001642754232 1194935770 /nfs/dbraw/zinc/93/57/70/1194935770.db2.gz WEARZENREUEKFQ-NSHDSACASA-N 0 1 317.190 3.013 20 30 DGEDMN Cc1nc(C#N)cc(NCc2cc(Cl)cc(Cl)c2O)n1 ZINC001642753921 1194935815 /nfs/dbraw/zinc/93/58/15/1194935815.db2.gz LBIYKGYSYCBZKF-UHFFFAOYSA-N 0 1 309.156 3.281 20 30 DGEDMN Cc1[nH]ncc1CN(C)c1cc(Br)c(C#N)cc1F ZINC001642840872 1194946109 /nfs/dbraw/zinc/94/61/09/1194946109.db2.gz HKWSOSLVGMCKMX-UHFFFAOYSA-N 0 1 323.169 3.128 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CC[C@@](F)(c3ccccc3)C2)c1 ZINC001642884386 1194950890 /nfs/dbraw/zinc/95/08/90/1194950890.db2.gz WWVOTJSQHYULMJ-OALUTQOASA-N 0 1 310.372 3.162 20 30 DGEDMN COc1cccc2c1CN(CCCOc1cccc(C#N)c1)CC2 ZINC001643113626 1194996430 /nfs/dbraw/zinc/99/64/30/1194996430.db2.gz JKSJKMZUVZHWAR-UHFFFAOYSA-N 0 1 322.408 3.394 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC001643559371 1195034749 /nfs/dbraw/zinc/03/47/49/1195034749.db2.gz FJWNIQAZOWBXEI-QAPCUYQASA-N 0 1 314.404 3.056 20 30 DGEDMN C[C@H](NCC#Cc1ccc(F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC001644019714 1195073055 /nfs/dbraw/zinc/07/30/55/1195073055.db2.gz URIPDSPJRRJSOT-LBPRGKRZSA-N 0 1 310.328 3.375 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC001645015922 1195150919 /nfs/dbraw/zinc/15/09/19/1195150919.db2.gz PMCLZXLMQCEDKX-CVEARBPZSA-N 0 1 320.820 3.110 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)NCCc1c(C)cc(C)cc1C ZINC001645462651 1195186364 /nfs/dbraw/zinc/18/63/64/1195186364.db2.gz BYJJSUKBCARJIV-SFHVURJKSA-N 0 1 314.473 3.311 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)CN(C)CC(C)(C)C)cc21 ZINC001648046463 1195273185 /nfs/dbraw/zinc/27/31/85/1195273185.db2.gz ZHDYMBXVBRNKNY-UHFFFAOYSA-N 0 1 314.433 3.139 20 30 DGEDMN FC1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC001648169459 1195281734 /nfs/dbraw/zinc/28/17/34/1195281734.db2.gz WDQGMBJSFUAQMP-UHFFFAOYSA-N 0 1 301.283 3.371 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](C1CC1)N(CCCC#N)CC2 ZINC001648291211 1195290044 /nfs/dbraw/zinc/29/00/44/1195290044.db2.gz WJGPVWNJECPKPD-GOSISDBHSA-N 0 1 300.402 3.317 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1coc(C2CC2)n1 ZINC001649457597 1195344510 /nfs/dbraw/zinc/34/45/10/1195344510.db2.gz XMFFWKBWISSZSM-ZDUSSCGKSA-N 0 1 319.449 3.139 20 30 DGEDMN C#CC[C@H](CO)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001651123166 1195416736 /nfs/dbraw/zinc/41/67/36/1195416736.db2.gz YWOLMVSAJBYONF-OAHLLOKOSA-N 0 1 307.821 3.135 20 30 DGEDMN Cc1nsc(N[C@H]2CCN(Cc3ccc(C)cc3)C2)c1C#N ZINC001651351017 1195447372 /nfs/dbraw/zinc/44/73/72/1195447372.db2.gz RNVCDVNXWLSMEX-HNNXBMFYSA-N 0 1 312.442 3.318 20 30 DGEDMN COc1cc(CNCc2nc3ccccc3nc2C)ccc1C#N ZINC001652396231 1195591807 /nfs/dbraw/zinc/59/18/07/1195591807.db2.gz DSLNGHPXJXDVDI-UHFFFAOYSA-N 0 1 318.380 3.108 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@H](C(N)=O)c1ccc(F)cc1 ZINC001652493163 1195607427 /nfs/dbraw/zinc/60/74/27/1195607427.db2.gz GWYMMFLANIXAOZ-KRWDZBQOSA-N 0 1 304.409 3.420 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(Cc2ccc(C#N)o2)CC1 ZINC001652586415 1195621906 /nfs/dbraw/zinc/62/19/06/1195621906.db2.gz BZBWFWKCRLUKPT-IBGZPJMESA-N 0 1 309.413 3.420 20 30 DGEDMN Cc1cc(CN2CC3(CN(Cc4ccccc4)C3)C2)ccc1C#N ZINC001652952823 1195674746 /nfs/dbraw/zinc/67/47/46/1195674746.db2.gz LBRLNWVIWBQGCC-UHFFFAOYSA-N 0 1 317.436 3.185 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H]2CCCCC2(C)C)n1 ZINC001653487167 1195727662 /nfs/dbraw/zinc/72/76/62/1195727662.db2.gz SRQDXRXAMAOBQC-QGZVFWFLSA-N 0 1 317.477 3.181 20 30 DGEDMN C#CCn1ccc(CN(CC)CC2([C@@H]3CCCCO3)CCC2)n1 ZINC001653486253 1195727759 /nfs/dbraw/zinc/72/77/59/1195727759.db2.gz GMWXQJVSMOWAOR-SFHVURJKSA-N 0 1 315.461 3.078 20 30 DGEDMN N#Cc1ccnc(CN2CCC(c3nc4ccccc4[nH]3)CC2)c1 ZINC001653605213 1195737715 /nfs/dbraw/zinc/73/77/15/1195737715.db2.gz JQWQYMJWUVTBSB-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)C[C@@H](CC#N)c1ccccc1 ZINC001653682027 1195743549 /nfs/dbraw/zinc/74/35/49/1195743549.db2.gz GCPPWEAVKLKNGP-CQSZACIVSA-N 0 1 319.368 3.292 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@@H]1C ZINC001654217787 1195785353 /nfs/dbraw/zinc/78/53/53/1195785353.db2.gz FBQKTNPFXKTGQF-VXGBXAGGSA-N 0 1 305.809 3.271 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3Cc3cc(C#N)ccn3)[nH]c2c1 ZINC001654606363 1195822565 /nfs/dbraw/zinc/82/25/65/1195822565.db2.gz XHANDPWEUSBVCP-SFHVURJKSA-N 0 1 317.396 3.475 20 30 DGEDMN N#Cc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)s1 ZINC001654623087 1195824110 /nfs/dbraw/zinc/82/41/10/1195824110.db2.gz LOFWLOXWGYSABO-KRWDZBQOSA-N 0 1 308.410 3.347 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001654675898 1195830011 /nfs/dbraw/zinc/83/00/11/1195830011.db2.gz ROVVAWHBXGUPDA-GOSISDBHSA-N 0 1 317.396 3.206 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccccc1F ZINC001654888531 1195851161 /nfs/dbraw/zinc/85/11/61/1195851161.db2.gz YMIPZTAOKBOLMB-QGZVFWFLSA-N 0 1 311.360 3.377 20 30 DGEDMN CCOCCN(Cc1cc(C#N)ccn1)[C@@H]1CCc2ccccc21 ZINC001655314269 1195902981 /nfs/dbraw/zinc/90/29/81/1195902981.db2.gz ADDMOEDDKFOFCG-HXUWFJFHSA-N 0 1 321.424 3.479 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)c1ncnc2sc3c(c21)CCC3 ZINC001655615211 1195940437 /nfs/dbraw/zinc/94/04/37/1195940437.db2.gz ZTOXVAKHHKLEOU-UHFFFAOYSA-N 0 1 316.474 3.124 20 30 DGEDMN Cc1ccc(C#N)c(NCc2ccc(Nc3ccncc3)cc2)n1 ZINC001655720407 1195955824 /nfs/dbraw/zinc/95/58/24/1195955824.db2.gz DRJSHVWLJGHQIJ-UHFFFAOYSA-N 0 1 315.380 3.434 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001655815336 1195965628 /nfs/dbraw/zinc/96/56/28/1195965628.db2.gz BOEHKXGFNPRESG-HIFRSBDPSA-N 0 1 324.346 3.461 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCc2ccccc21 ZINC001656096523 1196000404 /nfs/dbraw/zinc/00/04/04/1196000404.db2.gz BULHURSTIZFVJI-ROUUACIJSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1cccc(F)c1 ZINC001656110171 1196004880 /nfs/dbraw/zinc/00/48/80/1196004880.db2.gz ZQACCIZRMFKLLS-RHSMWYFYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1cccc(F)c1F ZINC001656112858 1196005398 /nfs/dbraw/zinc/00/53/98/1196005398.db2.gz LNRSEPBIKZWRIV-HNNXBMFYSA-N 0 1 322.399 3.006 20 30 DGEDMN C#CCOc1ccc(C[NH2+]Cc2c([O-])cccc2Cl)cc1 ZINC001656271740 1196025864 /nfs/dbraw/zinc/02/58/64/1196025864.db2.gz CABFLUMZUGBCLR-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN C=CCCC(=O)N1CC2(C[C@@H]1C)CCN(CC(=C)Cl)CC2 ZINC001656394050 1196035671 /nfs/dbraw/zinc/03/56/71/1196035671.db2.gz QEBHQXBWZNJGJJ-HNNXBMFYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)Cc1occc1C ZINC001656572126 1196051362 /nfs/dbraw/zinc/05/13/62/1196051362.db2.gz ZMMJZFVEOPYWSF-DOMZBBRYSA-N 0 1 324.852 3.100 20 30 DGEDMN C=CCCCCN1CCO[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001656605126 1196054060 /nfs/dbraw/zinc/05/40/60/1196054060.db2.gz YYXLYRAYWDTSPE-SFHVURJKSA-N 0 1 324.465 3.055 20 30 DGEDMN N#Cc1cc(CN[C@@H](Cn2ccnc2)c2ccccc2)cs1 ZINC001656845139 1196075253 /nfs/dbraw/zinc/07/52/53/1196075253.db2.gz YKBOSENSXNSJQD-KRWDZBQOSA-N 0 1 308.410 3.347 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(CCc2ccc(O)cc2)CC1 ZINC001656981317 1196089067 /nfs/dbraw/zinc/08/90/67/1196089067.db2.gz ZRDPABWWDZLDQP-GOSISDBHSA-N 0 1 317.429 3.155 20 30 DGEDMN Cc1cc(CNc2ccn(-c3ccc(Cl)cc3C#N)n2)n[nH]1 ZINC001657012338 1196093100 /nfs/dbraw/zinc/09/31/00/1196093100.db2.gz UGGJFESDSHXLIO-UHFFFAOYSA-N 0 1 312.764 3.041 20 30 DGEDMN C=CCN(CCc1ccccc1)C1CN(C(=O)OC(C)(C)C)C1 ZINC001657138207 1196110084 /nfs/dbraw/zinc/11/00/84/1196110084.db2.gz NXZJAKGLMYZIRZ-UHFFFAOYSA-N 0 1 316.445 3.336 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CNCc1ccc(O)c(Cl)c1 ZINC001657929358 1196194603 /nfs/dbraw/zinc/19/46/03/1196194603.db2.gz FBIVJMMCEIESNP-UHFFFAOYSA-N 0 1 315.804 3.273 20 30 DGEDMN C[C@@H](C#N)CN(C[C@H]1CC[C@@H](NC(=O)OC(C)(C)C)C1)C1CC1 ZINC001658075072 1196209490 /nfs/dbraw/zinc/20/94/90/1196209490.db2.gz OBBLGWMDCFYQJX-SOUVJXGZSA-N 0 1 321.465 3.304 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H](O)c1ccc(Cl)cc1 ZINC001658196881 1196224658 /nfs/dbraw/zinc/22/46/58/1196224658.db2.gz GPVCVVPGQXDMNW-MRXNPFEDSA-N 0 1 304.752 3.174 20 30 DGEDMN CCC#C[C@@H](C)N1CCN(c2cccc(Br)c2)CC1 ZINC001658612002 1196280469 /nfs/dbraw/zinc/28/04/69/1196280469.db2.gz FQAPTEHZRZHBQY-CQSZACIVSA-N 0 1 321.262 3.373 20 30 DGEDMN N#Cc1ccsc1N1CC[C@@H](N2CCCCCCCC2)C1=O ZINC001658670864 1196286323 /nfs/dbraw/zinc/28/63/23/1196286323.db2.gz OQWNIGSEUMBOQM-OAHLLOKOSA-N 0 1 317.458 3.381 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2csc(C#N)c2)CC1 ZINC001658663196 1196286456 /nfs/dbraw/zinc/28/64/56/1196286456.db2.gz VBOMAMYWHUOUOW-UHFFFAOYSA-N 0 1 311.454 3.246 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001659142244 1196351833 /nfs/dbraw/zinc/35/18/33/1196351833.db2.gz FWLOJODRYKALHZ-UONOGXRCSA-N 0 1 304.821 3.402 20 30 DGEDMN C#C[C@H](N[C@H](C)[C@@H]1CCCO1)c1ccc(Br)cc1 ZINC001659303999 1196369531 /nfs/dbraw/zinc/36/95/31/1196369531.db2.gz DLYQRCWIMFOSNZ-UGFHNGPFSA-N 0 1 308.219 3.281 20 30 DGEDMN CN(C)c1nc2c(s1)CN(CCC1(C#N)CCCCC1)CC2 ZINC001660006588 1196437170 /nfs/dbraw/zinc/43/71/70/1196437170.db2.gz SXDLFLUJLQEUQD-UHFFFAOYSA-N 0 1 318.490 3.431 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)[C@@H](C)c1ccc(F)cc1F ZINC001660129779 1196449144 /nfs/dbraw/zinc/44/91/44/1196449144.db2.gz YHQIGGQCRHUDKZ-AWEZNQCLSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1cnc(C)o1 ZINC001660441865 1196484703 /nfs/dbraw/zinc/48/47/03/1196484703.db2.gz WKSPLVBLYYUSID-PBHICJAKSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)/C=C(\C)C2CC2)CC1 ZINC001661055172 1196559209 /nfs/dbraw/zinc/55/92/09/1196559209.db2.gz XOWDBVYCKRSJQA-SAAWKEMMSA-N 0 1 308.853 3.066 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2csc(Cl)c2)CC1 ZINC001661094406 1196564818 /nfs/dbraw/zinc/56/48/18/1196564818.db2.gz MPATYUFRKLNYTG-UHFFFAOYSA-N 0 1 324.877 3.351 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2csc(C)c2C)CC1 ZINC001661089998 1196564917 /nfs/dbraw/zinc/56/49/17/1196564917.db2.gz KISLIGYRCPMETN-UHFFFAOYSA-N 0 1 318.486 3.315 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc(Cl)c2)CC1 ZINC001661097400 1196566013 /nfs/dbraw/zinc/56/60/13/1196566013.db2.gz NMZZKUVMEOCOIV-UHFFFAOYSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001661162751 1196574621 /nfs/dbraw/zinc/57/46/21/1196574621.db2.gz MYIIHYFYTJNIIC-OSEPDQNXSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C/C=C/c1ccc(C)cc1 ZINC001661280208 1196590948 /nfs/dbraw/zinc/59/09/48/1196590948.db2.gz QJULCFALOXKSNC-VGIQHARFSA-N 0 1 322.452 3.097 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001661649060 1196628106 /nfs/dbraw/zinc/62/81/06/1196628106.db2.gz CAGJWCMPANIXQG-QWQRMKEZSA-N 0 1 319.449 3.137 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@@H]1CCCN(CCF)C1)c1ccccc1 ZINC001661752334 1196641352 /nfs/dbraw/zinc/64/13/52/1196641352.db2.gz JDJTZQNEWFMTQE-IRXDYDNUSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1c(F)cccc1F ZINC001661871496 1196655013 /nfs/dbraw/zinc/65/50/13/1196655013.db2.gz TYDLGSBYWHAINP-KBPBESRZSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1c(F)cccc1F ZINC001661871498 1196655429 /nfs/dbraw/zinc/65/54/29/1196655429.db2.gz TYDLGSBYWHAINP-UONOGXRCSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1c(F)cccc1F ZINC001661871497 1196655692 /nfs/dbraw/zinc/65/56/92/1196655692.db2.gz TYDLGSBYWHAINP-KGLIPLIRSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCCN2CCC(F)(F)F)C1 ZINC001661881198 1196657578 /nfs/dbraw/zinc/65/75/78/1196657578.db2.gz VQDIQFHWKONQNX-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(C(F)(F)F)CCCC1 ZINC001661896520 1196660598 /nfs/dbraw/zinc/66/05/98/1196660598.db2.gz OUCICPQUYNICGN-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(NCc2nc(C)c(C)o2)CC1 ZINC001662109052 1196682128 /nfs/dbraw/zinc/68/21/28/1196682128.db2.gz OYLWJKIWDMYEBJ-UHFFFAOYSA-N 0 1 319.449 3.165 20 30 DGEDMN CC(C(=O)NC[C@@H](C)NCc1ccccc1C#N)=C1CCCC1 ZINC001662195898 1196693475 /nfs/dbraw/zinc/69/34/75/1196693475.db2.gz QFUMCDHIPPQQFD-CQSZACIVSA-N 0 1 311.429 3.043 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccsc2)s1)[C@@H]1CCCO1 ZINC001662265990 1196700955 /nfs/dbraw/zinc/70/09/55/1196700955.db2.gz GDXKYHPHFVDAER-KBPBESRZSA-N 0 1 304.440 3.142 20 30 DGEDMN N#Cc1ccc(CNCc2cnnn2-c2ccccc2)cc1Cl ZINC001662303961 1196705116 /nfs/dbraw/zinc/70/51/16/1196705116.db2.gz IFNOCWQSKVRRQG-UHFFFAOYSA-N 0 1 323.787 3.082 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3[nH]c(=O)[nH]c3c2)cc1Cl ZINC001662304318 1196705180 /nfs/dbraw/zinc/70/51/80/1196705180.db2.gz NKMXTBRMKVBYNZ-UHFFFAOYSA-N 0 1 312.760 3.083 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001662330139 1196708821 /nfs/dbraw/zinc/70/88/21/1196708821.db2.gz UDDKSBKKYIABMZ-OLZOCXBDSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001662419565 1196719855 /nfs/dbraw/zinc/71/98/55/1196719855.db2.gz HHPWCCVENVFPQV-VXGBXAGGSA-N 0 1 315.244 3.290 20 30 DGEDMN C#CCOc1ccc(CNCc2cnc(Cl)c(C)c2)cc1 ZINC001662488003 1196725203 /nfs/dbraw/zinc/72/52/03/1196725203.db2.gz KTSADUAEWLZIIS-UHFFFAOYSA-N 0 1 300.789 3.345 20 30 DGEDMN CCC[C@@H](CC)C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001662557956 1196730391 /nfs/dbraw/zinc/73/03/91/1196730391.db2.gz VPYFRGUFUAIYGW-MOPGFXCFSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCOc1ccc(Br)cc1NCc1cnc[nH]1 ZINC001662628226 1196735351 /nfs/dbraw/zinc/73/53/51/1196735351.db2.gz CGGASBHYPCFJQD-UHFFFAOYSA-N 0 1 308.179 3.349 20 30 DGEDMN C#Cc1cccc(CNCc2ccnn2CCc2ccccc2)c1 ZINC001662652617 1196737782 /nfs/dbraw/zinc/73/77/82/1196737782.db2.gz VADWLFHLLBWYDQ-UHFFFAOYSA-N 0 1 315.420 3.397 20 30 DGEDMN C=CCCC(=O)NCCC[C@H]1CCCN1Cc1nc(C)cs1 ZINC001663036855 1196786587 /nfs/dbraw/zinc/78/65/87/1196786587.db2.gz UTIDNESHGJHHAC-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)Cc1ccc(F)c(Cl)c1 ZINC001663166711 1196803291 /nfs/dbraw/zinc/80/32/91/1196803291.db2.gz TYVHRAZBLKQNFD-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2ccc(C)c(F)c2)CCC1 ZINC001663271809 1196824057 /nfs/dbraw/zinc/82/40/57/1196824057.db2.gz HPDITEOREFYFRA-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC001663487210 1196884380 /nfs/dbraw/zinc/88/43/80/1196884380.db2.gz MSNUKJZDMMYYLA-QGZVFWFLSA-N 0 1 318.848 3.224 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC001663487893 1196884645 /nfs/dbraw/zinc/88/46/45/1196884645.db2.gz RQMLMDNLGFKHEP-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC001663554322 1196903291 /nfs/dbraw/zinc/90/32/91/1196903291.db2.gz ZXTMSPVVFOQCSH-YOEHRIQHSA-N 0 1 320.864 3.285 20 30 DGEDMN CC1(CCC(=O)NC[C@@H]2CCN(CC#Cc3ccccc3)C2)CC1 ZINC001663630119 1196918820 /nfs/dbraw/zinc/91/88/20/1196918820.db2.gz XSQCUBMBASWJJJ-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@]12C[C@H]1CCC2 ZINC001663672020 1196925111 /nfs/dbraw/zinc/92/51/11/1196925111.db2.gz JIMCMJROJGEGHA-TZIWHRDSSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001663749972 1196939114 /nfs/dbraw/zinc/93/91/14/1196939114.db2.gz XAJXWBNNJRSOTH-APSNUPSMSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001663749973 1196939388 /nfs/dbraw/zinc/93/93/88/1196939388.db2.gz XAJXWBNNJRSOTH-FRKPEAEDSA-N 0 1 323.440 3.161 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc2ccsc21)C1CC1 ZINC001663769584 1196943765 /nfs/dbraw/zinc/94/37/65/1196943765.db2.gz WPBJMXSYOYBJLT-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC(C)C(CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N)C(C)C ZINC001664205742 1196980167 /nfs/dbraw/zinc/98/01/67/1196980167.db2.gz YMZBCWXRRGRUOW-HOCLYGCPSA-N 0 1 323.481 3.263 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(OCC(C)C)c1 ZINC001664356510 1196992191 /nfs/dbraw/zinc/99/21/91/1196992191.db2.gz CFIMGXXSVXRNGI-UHFFFAOYSA-N 0 1 324.852 3.136 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)/C=C/c1ccco1)C(C)C ZINC001664484473 1197004389 /nfs/dbraw/zinc/00/43/89/1197004389.db2.gz RGOYHXATCFAIAR-BQYQJAHWSA-N 0 1 310.825 3.262 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H](NC/C=C\Cl)c1ccccc1 ZINC001664578176 1197016744 /nfs/dbraw/zinc/01/67/44/1197016744.db2.gz KXBKDMGBDWVNST-JQPKSGMCSA-N 0 1 318.848 3.236 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(C)cs1)c1ccccc1 ZINC001664578714 1197016936 /nfs/dbraw/zinc/01/69/36/1197016936.db2.gz SOKUZDZBTQZFQJ-MRXNPFEDSA-N 0 1 312.438 3.141 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2c(C)noc2C)C1 ZINC001664657642 1197027995 /nfs/dbraw/zinc/02/79/95/1197027995.db2.gz SLESHRIXNQKKBX-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CCN(Cc2ncc(C)s2)C1 ZINC001664735781 1197046573 /nfs/dbraw/zinc/04/65/73/1197046573.db2.gz SVMYACFRVUXUKK-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](F)c2ccccc2)C1 ZINC001664745026 1197048296 /nfs/dbraw/zinc/04/82/96/1197048296.db2.gz DEEQBUJOIUYMQH-GOEBONIOSA-N 0 1 324.827 3.278 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(C)c(F)c2)C1 ZINC001664819124 1197062727 /nfs/dbraw/zinc/06/27/27/1197062727.db2.gz ZTGSLJIICVTHEB-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN CCCCCCCNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC001665168389 1197103806 /nfs/dbraw/zinc/10/38/06/1197103806.db2.gz GUHRKAFJIJBYNA-UHFFFAOYSA-N 0 1 316.373 3.085 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001665196604 1197107706 /nfs/dbraw/zinc/10/77/06/1197107706.db2.gz XCEMDLKALPFLBQ-CVEARBPZSA-N 0 1 300.446 3.254 20 30 DGEDMN C=CCC[C@@H](C(=O)NC1CN(CCC2CC2)C1)c1ccccc1 ZINC001665244862 1197114773 /nfs/dbraw/zinc/11/47/73/1197114773.db2.gz ZAJMUYKJGHCFKM-LJQANCHMSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1C(C)C ZINC001665432618 1197136650 /nfs/dbraw/zinc/13/66/50/1197136650.db2.gz GOJUDIFTSUNNBG-GJZGRUSLSA-N 0 1 302.418 3.268 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@H]1CC[N@@H+](C/C=C\c2ccccc2)C1 ZINC001665631675 1197161430 /nfs/dbraw/zinc/16/14/30/1197161430.db2.gz MAKJQGFUCNDLBY-POGDKVGISA-N 0 1 324.468 3.282 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001665631675 1197161433 /nfs/dbraw/zinc/16/14/33/1197161433.db2.gz MAKJQGFUCNDLBY-POGDKVGISA-N 0 1 324.468 3.282 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CC[N@H+](Cc2cccc(CC)c2)C1 ZINC001665627544 1197161547 /nfs/dbraw/zinc/16/15/47/1197161547.db2.gz SYWXZSHTLAGKPA-LJQANCHMSA-N 0 1 312.457 3.085 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CC[N@H+](Cc2cccc(CC)c2)C1 ZINC001665627543 1197161567 /nfs/dbraw/zinc/16/15/67/1197161567.db2.gz SYWXZSHTLAGKPA-IBGZPJMESA-N 0 1 312.457 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1sccc1Cl ZINC001665792579 1197197983 /nfs/dbraw/zinc/19/79/83/1197197983.db2.gz HLRGDMBZERGBMR-VIFPVBQESA-N 0 1 307.246 3.252 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(C)cccc1Cl ZINC001665840220 1197205276 /nfs/dbraw/zinc/20/52/76/1197205276.db2.gz XFAKUVHIOSEJBE-GFCCVEGCSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@H](C)CC(C)C ZINC001666472449 1197261924 /nfs/dbraw/zinc/26/19/24/1197261924.db2.gz IZUXTXNPABODGW-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC001666694464 1197278967 /nfs/dbraw/zinc/27/89/67/1197278967.db2.gz HMUSTWIRSFXIII-INIZCTEOSA-N 0 1 321.440 3.330 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)[C@H](C)C(C)(C)C ZINC001666932356 1197299395 /nfs/dbraw/zinc/29/93/95/1197299395.db2.gz DNKMFOPKGCRWHB-CABCVRRESA-N 0 1 315.461 3.177 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001666972326 1197303914 /nfs/dbraw/zinc/30/39/14/1197303914.db2.gz MZZKIMFIMKDDKC-JKSUJKDBSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2ccncc2c1 ZINC001667028199 1197309658 /nfs/dbraw/zinc/30/96/58/1197309658.db2.gz FYJLFMJIKLTKJQ-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C1(F)CCCC1 ZINC001667380705 1197342437 /nfs/dbraw/zinc/34/24/37/1197342437.db2.gz KLIOIRYLSSNKNU-OCCSQVGLSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2ccccn2)CC1 ZINC001667406270 1197345072 /nfs/dbraw/zinc/34/50/72/1197345072.db2.gz ZTLRNFCTICZKAD-UHFFFAOYSA-N 0 1 321.852 3.008 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1oc(C)nc1C)C(C)C ZINC001667463829 1197351217 /nfs/dbraw/zinc/35/12/17/1197351217.db2.gz JYKILJYYYPJWEL-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1ccc(F)cc1F ZINC001668973493 1197435412 /nfs/dbraw/zinc/43/54/12/1197435412.db2.gz RTDJFWQPPIKNSA-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCc1cccc(Cl)c1 ZINC001669364368 1197450743 /nfs/dbraw/zinc/45/07/43/1197450743.db2.gz BSGWXLROWVCVIH-GFCCVEGCSA-N 0 1 315.244 3.119 20 30 DGEDMN CC(C)CCCC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001669403820 1197453365 /nfs/dbraw/zinc/45/33/65/1197453365.db2.gz YCHSVBJPGUGCHP-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCCC(C)(C)C)[C@@H]2C1 ZINC001672557657 1197617281 /nfs/dbraw/zinc/61/72/81/1197617281.db2.gz AXUVZBLIDGYMLP-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001672854485 1197625700 /nfs/dbraw/zinc/62/57/00/1197625700.db2.gz XQOJKXFVIFKYPT-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H]3CC=CCC3)cccc2C1 ZINC001673079592 1197636716 /nfs/dbraw/zinc/63/67/16/1197636716.db2.gz GWQFIKUDRXPIHT-KRWDZBQOSA-N 0 1 322.452 3.041 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001673420717 1197660145 /nfs/dbraw/zinc/66/01/45/1197660145.db2.gz HCQFFTLSWCDAKM-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(CC)CNC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001673614675 1197677641 /nfs/dbraw/zinc/67/76/41/1197677641.db2.gz GJHMMBQPCJZTJU-UHFFFAOYSA-N 0 1 310.401 3.013 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(Cc2nocc2C)C(C)C)C1 ZINC001691751348 1197760364 /nfs/dbraw/zinc/76/03/64/1197760364.db2.gz HFWRHDJDHXYIAF-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cn(C)c2ccccc12 ZINC001674694212 1197835799 /nfs/dbraw/zinc/83/57/99/1197835799.db2.gz RCADVNDJDUNOJG-OLZOCXBDSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001674714717 1197843954 /nfs/dbraw/zinc/84/39/54/1197843954.db2.gz CLRHMHDZASLBKY-CHWSQXEVSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1cc(C)cc(C)c1 ZINC001674722543 1197848250 /nfs/dbraw/zinc/84/82/50/1197848250.db2.gz OLGHDKJOMCNMFR-GJZGRUSLSA-N 0 1 308.853 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C)c(F)c1F ZINC001674728464 1197851384 /nfs/dbraw/zinc/85/13/84/1197851384.db2.gz GZPDINNTSFMZPK-WDEREUQCSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1c(C)onc1CC ZINC001674736515 1197854797 /nfs/dbraw/zinc/85/47/97/1197854797.db2.gz WKXGLIIWVPBEKZ-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1ccccc1Cl ZINC001674766463 1197865353 /nfs/dbraw/zinc/86/53/53/1197865353.db2.gz ODSXGJZDBABILI-NEPJUHHUSA-N 0 1 315.244 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCC(NCc2cc(C)on2)CC1 ZINC001674800218 1197877178 /nfs/dbraw/zinc/87/71/78/1197877178.db2.gz DCZOPEDRMLTOSQ-UHFFFAOYSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccccc1C(C)(C)C ZINC001674947564 1197929425 /nfs/dbraw/zinc/92/94/25/1197929425.db2.gz AZYNZEANFXUJIH-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@@H](c2cccs2)N(C)C)cc1 ZINC001675007224 1197957583 /nfs/dbraw/zinc/95/75/83/1197957583.db2.gz QETRAPUTAYYOOD-HNNXBMFYSA-N 0 1 313.426 3.154 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001675349729 1198051211 /nfs/dbraw/zinc/05/12/11/1198051211.db2.gz ZENAVTKZKUZYKL-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001675474262 1198077152 /nfs/dbraw/zinc/07/71/52/1198077152.db2.gz XVRLCNKYKKPZKF-GFCCVEGCSA-N 0 1 320.399 3.368 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)Cc2cccc(F)c2)C(C)(C)C1 ZINC001675487222 1198080063 /nfs/dbraw/zinc/08/00/63/1198080063.db2.gz TXTAJOKPKMGBQW-YOEHRIQHSA-N 0 1 318.436 3.017 20 30 DGEDMN C#CCN1CCC(NC(=O)NC[C@@H](C)CCCCCCC)CC1 ZINC001675632903 1198097351 /nfs/dbraw/zinc/09/73/51/1198097351.db2.gz MVHHNARHWIYDBB-KRWDZBQOSA-N 0 1 321.509 3.380 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H](CC)C(C)C)C2)C1 ZINC001675678336 1198113299 /nfs/dbraw/zinc/11/32/99/1198113299.db2.gz OVAGCXRZEKZZSA-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C=C1CCCCC1 ZINC001676198557 1198249049 /nfs/dbraw/zinc/24/90/49/1198249049.db2.gz MBZXGGCRLRUJKG-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H](C)c1ccc(C)o1 ZINC001676255931 1198262551 /nfs/dbraw/zinc/26/25/51/1198262551.db2.gz KARKBMAVJPYQRF-HIFRSBDPSA-N 0 1 324.852 3.271 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1F ZINC001676412983 1198315359 /nfs/dbraw/zinc/31/53/59/1198315359.db2.gz DZSJFXARPWXUFX-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)CCc2ccc(C)s2)[C@H]1C ZINC001676897839 1198429116 /nfs/dbraw/zinc/42/91/16/1198429116.db2.gz HEFVQWGWVRVKDP-GDBMZVCRSA-N 0 1 306.475 3.144 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)CCCCCC)CC1 ZINC001677613014 1198559992 /nfs/dbraw/zinc/55/99/92/1198559992.db2.gz WTAINRPQQJPOSL-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCc1ccc(CNCCF)cc1F ZINC001677644813 1198568032 /nfs/dbraw/zinc/56/80/32/1198568032.db2.gz MFJOYGMEIITBTF-KRWDZBQOSA-N 0 1 310.388 3.103 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@H]2CCN2Cc2ccccc2)cc1 ZINC001681091092 1198943956 /nfs/dbraw/zinc/94/39/56/1198943956.db2.gz YUXUAYXUVXXINT-LJQANCHMSA-N 0 1 319.408 3.064 20 30 DGEDMN C=C1CCC(CNC(=O)N[C@@H](C)c2n[nH]c([C@@H](C)CC)n2)CC1 ZINC001685083905 1199440808 /nfs/dbraw/zinc/44/08/08/1199440808.db2.gz ODRXDWTXGJEALB-STQMWFEESA-N 0 1 319.453 3.425 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC001685868677 1199516023 /nfs/dbraw/zinc/51/60/23/1199516023.db2.gz CKWNHNXPCSTHFI-INIZCTEOSA-N 0 1 315.461 3.257 20 30 DGEDMN C=C(Br)CNCc1c[nH]nc1-c1ccc(F)cc1 ZINC000105453643 1199541419 /nfs/dbraw/zinc/54/14/19/1199541419.db2.gz GYWIOOIYPQHAPK-UHFFFAOYSA-N 0 1 310.170 3.214 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H]1CCN1CCc1cccs1 ZINC001688888856 1199944736 /nfs/dbraw/zinc/94/47/36/1199944736.db2.gz PUIVLEVTVGHNMM-HNNXBMFYSA-N 0 1 320.502 3.426 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C[C@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688896435 1199948889 /nfs/dbraw/zinc/94/88/89/1199948889.db2.gz DDEPAXGMIOCVAK-PKOBYXMFSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C[C@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688897479 1199949945 /nfs/dbraw/zinc/94/99/45/1199949945.db2.gz WYXFGUMZVPONMX-RTBURBONSA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](C)C1CCC1 ZINC001689091919 1200024868 /nfs/dbraw/zinc/02/48/68/1200024868.db2.gz WMUDNTDPXWLKPL-XPKDYRNWSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(F)c1C ZINC001689348658 1200103734 /nfs/dbraw/zinc/10/37/34/1200103734.db2.gz DZXBCZXVQDZIGC-INIZCTEOSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(C)c1F ZINC001689348866 1200103872 /nfs/dbraw/zinc/10/38/72/1200103872.db2.gz NBSOPEUOBNVLFM-INIZCTEOSA-N 0 1 316.420 3.084 20 30 DGEDMN C[C@@H]1CCC[C@@]1(C)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001754166082 1200121210 /nfs/dbraw/zinc/12/12/10/1200121210.db2.gz DLRYXTFMKFMBSR-BGNPZWQASA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001754179618 1200124482 /nfs/dbraw/zinc/12/44/82/1200124482.db2.gz LNADJTHQJUCXEY-PXDWTWLNSA-N 0 1 312.885 3.463 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)C(C)(C)CC(C)C ZINC001754289859 1200175411 /nfs/dbraw/zinc/17/54/11/1200175411.db2.gz BORFWHHXYZRQIQ-LBPRGKRZSA-N 0 1 319.287 3.062 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CC(C)(C)C1CCCCC1 ZINC001754330611 1200223268 /nfs/dbraw/zinc/22/32/68/1200223268.db2.gz JLTIICDJYXHQBQ-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)[C@@H](C)c1ccccc1Cl ZINC001689801550 1200285699 /nfs/dbraw/zinc/28/56/99/1200285699.db2.gz RJJMSXMUEYOPKH-HNNXBMFYSA-N 0 1 320.864 3.499 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)[C@H](C)c1ccccc1Cl ZINC001689801551 1200287303 /nfs/dbraw/zinc/28/73/03/1200287303.db2.gz RJJMSXMUEYOPKH-OAHLLOKOSA-N 0 1 320.864 3.499 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1[C@H](C)c1cccc(OC)c1 ZINC001754485410 1200297957 /nfs/dbraw/zinc/29/79/57/1200297957.db2.gz UOUYSKBIAVPADI-WBVHZDCISA-N 0 1 316.445 3.303 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001754492256 1200301401 /nfs/dbraw/zinc/30/14/01/1200301401.db2.gz YXYCGKKZPWDHDY-HXUWFJFHSA-N 0 1 324.468 3.448 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1[C@@H](C)c1cccc(OC)c1 ZINC001754492065 1200303635 /nfs/dbraw/zinc/30/36/35/1200303635.db2.gz UZLYNEWZAHEGCP-DOTOQJQBSA-N 0 1 316.445 3.303 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCCC(C)C ZINC001754543908 1200351530 /nfs/dbraw/zinc/35/15/30/1200351530.db2.gz QEJNNVUYJDFDKL-MSOLQXFVSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001754584125 1200380457 /nfs/dbraw/zinc/38/04/57/1200380457.db2.gz YQIFKQKMKXADRY-HIFRSBDPSA-N 0 1 304.434 3.189 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2sc(C)cc2C)C1 ZINC001754586482 1200381724 /nfs/dbraw/zinc/38/17/24/1200381724.db2.gz RKOGXQNTDANSSK-UHFFFAOYSA-N 0 1 306.475 3.016 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001690103385 1200399905 /nfs/dbraw/zinc/39/99/05/1200399905.db2.gz NBSZCBDIDIOBOJ-IEBWSBKVSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCC=CCCC1)C1CC1 ZINC001690156200 1200425169 /nfs/dbraw/zinc/42/51/69/1200425169.db2.gz KYZSPLPGDMONLT-CVEARBPZSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001690160286 1200427473 /nfs/dbraw/zinc/42/74/73/1200427473.db2.gz CRTDHBDXGYQGMF-QKPAOTATSA-N 0 1 310.869 3.050 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001754668386 1200429253 /nfs/dbraw/zinc/42/92/53/1200429253.db2.gz KLDYIUSQHBQIQA-HNAYVOBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccc(F)cc2)C1 ZINC001690182387 1200439908 /nfs/dbraw/zinc/43/99/08/1200439908.db2.gz SWKQGCKLQKKQGX-AWEZNQCLSA-N 0 1 324.827 3.362 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2CC(C)(C)C2)C1 ZINC001690186761 1200442134 /nfs/dbraw/zinc/44/21/34/1200442134.db2.gz ITLNIEHSLYPVSZ-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001754686160 1200445432 /nfs/dbraw/zinc/44/54/32/1200445432.db2.gz ZTPWLAVMRYYZIW-YJJPMGAVSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H]2CCCC23CC3)C1 ZINC001690191705 1200446161 /nfs/dbraw/zinc/44/61/61/1200446161.db2.gz YKLBOSHSLUMHDM-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2Cl)C1 ZINC001690329693 1200499903 /nfs/dbraw/zinc/49/99/03/1200499903.db2.gz UHWODGQYTDQAJP-TXEJJXNPSA-N 0 1 310.800 3.182 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1sccc1C ZINC001754943728 1200546738 /nfs/dbraw/zinc/54/67/38/1200546738.db2.gz MFGGIRGCKYUXGW-UHFFFAOYSA-N 0 1 312.438 3.052 20 30 DGEDMN C[C@]1(C(=O)NCC2(NCc3ccccc3C#N)CCC2)C=CCC1 ZINC001754985162 1200570890 /nfs/dbraw/zinc/57/08/90/1200570890.db2.gz KAQFJUFKFGBHMQ-IBGZPJMESA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1C ZINC001690459570 1200572310 /nfs/dbraw/zinc/57/23/10/1200572310.db2.gz QQUDOWWUQWYFIV-DLBZAZTESA-N 0 1 300.446 3.255 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cccn1C(C)C ZINC001690487397 1200585509 /nfs/dbraw/zinc/58/55/09/1200585509.db2.gz RTHNXEQCXHNPIE-HNNXBMFYSA-N 0 1 323.868 3.406 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cc(C)ns2)CC1 ZINC001755028505 1200589979 /nfs/dbraw/zinc/58/99/79/1200589979.db2.gz NISZBDCIIMDVQV-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C[C@@H](C(=O)N(C)CCCN(C)C/C=C/Cl)c1ccccc1 ZINC001755047904 1200617750 /nfs/dbraw/zinc/61/77/50/1200617750.db2.gz UHNZPDWWAARDFV-FWVUHSSSSA-N 0 1 320.864 3.489 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H]3CC[C@@H](C)C3)cc2C1 ZINC001755102427 1200637230 /nfs/dbraw/zinc/63/72/30/1200637230.db2.gz SXZHCDBGMRLXDE-SJORKVTESA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H](C)[C@H](NCC(=C)Cl)C2)CCCCC1 ZINC001755125214 1200647506 /nfs/dbraw/zinc/64/75/06/1200647506.db2.gz QLJXLRDSDYCBJO-GOEBONIOSA-N 0 1 322.880 3.149 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1cccc(C(C)C)n1 ZINC001690618891 1200652079 /nfs/dbraw/zinc/65/20/79/1200652079.db2.gz JSLFEVUZAABGPE-HNAYVOBHSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2cccc(F)c2Cl)C1 ZINC001690618489 1200652361 /nfs/dbraw/zinc/65/23/61/1200652361.db2.gz AWRPOJXRLVJXBK-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001755142057 1200659747 /nfs/dbraw/zinc/65/97/47/1200659747.db2.gz MXWPLCOJBMJGSK-MSOLQXFVSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](CC)CCCC)C(C)(C)C1 ZINC001755141581 1200660506 /nfs/dbraw/zinc/66/05/06/1200660506.db2.gz NZLXUCRJIBSDCA-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1C(F)(F)F ZINC001690641010 1200665543 /nfs/dbraw/zinc/66/55/43/1200665543.db2.gz ADFBHXMDYQPYOL-JTQLQIEISA-N 0 1 320.742 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001690649987 1200670867 /nfs/dbraw/zinc/67/08/67/1200670867.db2.gz CGMJRZLAFLIEDD-GDBMZVCRSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001690652920 1200673970 /nfs/dbraw/zinc/67/39/70/1200673970.db2.gz XOJRPFOZMPWOGE-DZGCQCFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)SC ZINC001755171914 1200678983 /nfs/dbraw/zinc/67/89/83/1200678983.db2.gz GUUKKYZCPJTVPB-PBHICJAKSA-N 0 1 318.486 3.030 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)Cc1ccc(F)c(Cl)c1 ZINC001755198677 1200696950 /nfs/dbraw/zinc/69/69/50/1200696950.db2.gz FMLOCOIYORYTPH-CQSZACIVSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1nc(C(C)(C)C)cs1 ZINC001755228546 1200716673 /nfs/dbraw/zinc/71/66/73/1200716673.db2.gz QBPIYEROXAAWJE-QWHCGFSZSA-N 0 1 323.506 3.390 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(F)cc(Cl)c1 ZINC001755258743 1200743134 /nfs/dbraw/zinc/74/31/34/1200743134.db2.gz VIWJJUHVYRAOEU-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2cnccc2c1 ZINC001755264612 1200747295 /nfs/dbraw/zinc/74/72/95/1200747295.db2.gz YEWIHLCUOUQCBB-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C)c2ccccc12 ZINC001755318042 1200805439 /nfs/dbraw/zinc/80/54/39/1200805439.db2.gz HSUDTTRLRIYVRR-MRXNPFEDSA-N 0 1 308.425 3.222 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(CC)CC)c1ccccc1 ZINC001691799996 1200812455 /nfs/dbraw/zinc/81/24/55/1200812455.db2.gz FGASRHFLLCJUHI-KRWDZBQOSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1CCC1(F)F ZINC001691819281 1200822842 /nfs/dbraw/zinc/82/28/42/1200822842.db2.gz DFMDSCWYAYLBGC-KGYLQXTDSA-N 0 1 320.811 3.001 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC2(c3ccccc3)CC2)C1 ZINC001691853313 1200837926 /nfs/dbraw/zinc/83/79/26/1200837926.db2.gz UGTJBMLNHFTFTN-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc(Cl)c[nH]1 ZINC001755356663 1200839009 /nfs/dbraw/zinc/83/90/09/1200839009.db2.gz UKQWBWFGGPBHPF-GFCCVEGCSA-N 0 1 318.248 3.299 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001755388015 1200871256 /nfs/dbraw/zinc/87/12/56/1200871256.db2.gz VTGNHFKVJYOADK-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CN(Cc2ccsc2)CC1(C)C ZINC001755410512 1200885968 /nfs/dbraw/zinc/88/59/68/1200885968.db2.gz TUVQWWJECUPTSK-AWEZNQCLSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1CC ZINC001691980349 1200895301 /nfs/dbraw/zinc/89/53/01/1200895301.db2.gz LBTLAOVZFKOSCR-ZWKOTPCHSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CC23CCCC3)C1 ZINC001691989375 1200900544 /nfs/dbraw/zinc/90/05/44/1200900544.db2.gz FTNMMKFBGNPONF-CABCVRRESA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CC23CCCC3)C1 ZINC001691989376 1200900996 /nfs/dbraw/zinc/90/09/96/1200900996.db2.gz FTNMMKFBGNPONF-GJZGRUSLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@@H](NCc2nccs2)C1 ZINC001692120666 1200939028 /nfs/dbraw/zinc/93/90/28/1200939028.db2.gz IPYNCLBFYFVZEP-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1(F)CCCCC1 ZINC001755568214 1200958214 /nfs/dbraw/zinc/95/82/14/1200958214.db2.gz GOWNERDDZCIVPO-AWEZNQCLSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1sccc1F ZINC001755570864 1200959339 /nfs/dbraw/zinc/95/93/39/1200959339.db2.gz KYCBAZKDBVRJET-LBPRGKRZSA-N 0 1 316.829 3.080 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)Cc1ccccc1C ZINC001755572581 1200961144 /nfs/dbraw/zinc/96/11/44/1200961144.db2.gz XURURIZBVZZBDK-KRWDZBQOSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C)(CC)CC ZINC001755574318 1200962696 /nfs/dbraw/zinc/96/26/96/1200962696.db2.gz YNPMSALUIWWRQD-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC[C@H]2CCc3ccccc32)[C@H]1CC ZINC001692223544 1200974813 /nfs/dbraw/zinc/97/48/13/1200974813.db2.gz MPPPUOYDBNNUAT-YZGWKJHDSA-N 0 1 324.468 3.099 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001755590401 1200974986 /nfs/dbraw/zinc/97/49/86/1200974986.db2.gz NABNWBZDMRGAHI-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCC1(C(=O)NC2CN(CC3CCC(C)CC3)C2)CCC1 ZINC001692229919 1200979414 /nfs/dbraw/zinc/97/94/14/1200979414.db2.gz PYGJWCXBCNJKMZ-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)Cc1ncccc1C ZINC001692246877 1200984876 /nfs/dbraw/zinc/98/48/76/1200984876.db2.gz XKSQUMSCIPOIMX-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](CC)CC(F)(F)F ZINC001755610997 1200988019 /nfs/dbraw/zinc/98/80/19/1200988019.db2.gz SWNXUSHNGIQWIJ-GHMZBOCLSA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(F)cccc1Cl ZINC001755613765 1200991486 /nfs/dbraw/zinc/99/14/86/1200991486.db2.gz FIRPRAMGPPQLOW-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@H](NCc2ncc(C)s2)C1 ZINC001692315520 1201014284 /nfs/dbraw/zinc/01/42/84/1201014284.db2.gz AJKZXWRUEVAEDI-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001692324734 1201018875 /nfs/dbraw/zinc/01/88/75/1201018875.db2.gz ICCCDEJSXGGSKZ-INIZCTEOSA-N 0 1 314.473 3.399 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001692376179 1201042956 /nfs/dbraw/zinc/04/29/56/1201042956.db2.gz GTRXYCJYYOWPJU-ROUUACIJSA-N 0 1 312.457 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(CCOCC)CCCC2)[C@H]1C ZINC001755722027 1201044693 /nfs/dbraw/zinc/04/46/93/1201044693.db2.gz MXWXXWWSECAPHZ-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001692386589 1201049836 /nfs/dbraw/zinc/04/98/36/1201049836.db2.gz WXGPUNODYXSEDB-RYRKJORJSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001692394321 1201052942 /nfs/dbraw/zinc/05/29/42/1201052942.db2.gz AKFXIODJMWLABJ-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)[C@@H]1C ZINC001755732148 1201053570 /nfs/dbraw/zinc/05/35/70/1201053570.db2.gz SDNIHZVPZJZTBA-NVXWUHKLSA-N 0 1 318.486 3.329 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](C)c1ccc(Cl)cc1)C(C)C ZINC001755812589 1201077124 /nfs/dbraw/zinc/07/71/24/1201077124.db2.gz SCVVCFFZPPEWNB-OAHLLOKOSA-N 0 1 320.864 3.246 20 30 DGEDMN C#CCCCC(=O)N[C@@H](CNC/C(Cl)=C\Cl)C(C)(C)C ZINC001755823715 1201088633 /nfs/dbraw/zinc/08/86/33/1201088633.db2.gz LJUWJWPJQVXFTG-SRXBQZRASA-N 0 1 319.276 3.229 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CCN(Cc2cnc(C)s2)CC1 ZINC001755848530 1201105471 /nfs/dbraw/zinc/10/54/71/1201105471.db2.gz YTPLDDLALVOZMO-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1=CCN(Cc2ccc(F)cc2)CC1 ZINC001755891242 1201121837 /nfs/dbraw/zinc/12/18/37/1201121837.db2.gz XANIFADUYRSBQC-UHFFFAOYSA-N 0 1 316.420 3.286 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)CCCCC)CC1 ZINC001755899560 1201124817 /nfs/dbraw/zinc/12/48/17/1201124817.db2.gz KAYLTLBLWKGZQZ-UHFFFAOYSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001698553158 1201224696 /nfs/dbraw/zinc/22/46/96/1201224696.db2.gz SUMOBHLYCJYLLK-LSDHHAIUSA-N 0 1 304.409 3.434 20 30 DGEDMN CN(C(=O)CC(C)(C)C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698555175 1201226529 /nfs/dbraw/zinc/22/65/29/1201226529.db2.gz ACZLYNDQXFDNKB-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(OC)cc2)C1 ZINC001698568266 1201236943 /nfs/dbraw/zinc/23/69/43/1201236943.db2.gz YTGNARVCMXBIFD-KRWDZBQOSA-N 0 1 316.445 3.084 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698576106 1201245628 /nfs/dbraw/zinc/24/56/28/1201245628.db2.gz JXCNGNBZNRMOBJ-YJBOKZPZSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001698587143 1201261230 /nfs/dbraw/zinc/26/12/30/1201261230.db2.gz NFYRCEMVCTZMBL-ROUUACIJSA-N 0 1 318.436 3.066 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NCc1ccncc1Cl ZINC001698601107 1201272632 /nfs/dbraw/zinc/27/26/32/1201272632.db2.gz PSYBWFOLTUJSQT-CQSZACIVSA-N 0 1 323.868 3.322 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(CNCc2cnc(C)o2)CCCC1 ZINC001698636287 1201302033 /nfs/dbraw/zinc/30/20/33/1201302033.db2.gz IRSXADZCIQWEQV-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)cc(C)c1 ZINC001698733754 1201373443 /nfs/dbraw/zinc/37/34/43/1201373443.db2.gz KUYCRAYFSQJSEB-MRXNPFEDSA-N 0 1 306.837 3.250 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C2(CCC)CCC2)C1 ZINC001698789106 1201417837 /nfs/dbraw/zinc/41/78/37/1201417837.db2.gz SZLUGYYABDQIAS-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)NCC1(NC/C(Cl)=C\Cl)CCCC1 ZINC001698794576 1201423646 /nfs/dbraw/zinc/42/36/46/1201423646.db2.gz DYMZYILBPWMCRY-FMIVXFBMSA-N 0 1 305.249 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001698828040 1201477005 /nfs/dbraw/zinc/47/70/05/1201477005.db2.gz KITBKEHSBJVHGS-CNSOWSRNSA-N 0 1 324.896 3.248 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001698837106 1201490994 /nfs/dbraw/zinc/49/09/94/1201490994.db2.gz PERATMBNKDQAOW-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CCCC(=O)N[C@@H](CNCc1ccc(C#N)cc1F)CC(C)C ZINC001698865588 1201522473 /nfs/dbraw/zinc/52/24/73/1201522473.db2.gz NARHRPCAMYTPDE-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(C)cc1 ZINC001698877891 1201540166 /nfs/dbraw/zinc/54/01/66/1201540166.db2.gz GQTJRTDQJNJNNI-MRXNPFEDSA-N 0 1 308.853 3.482 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)C)C1CCCCC1 ZINC001698883804 1201547390 /nfs/dbraw/zinc/54/73/90/1201547390.db2.gz FYFMDWNEYPSZIX-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@H](C)NCc2cc(C)on2)C1 ZINC001698927629 1201581815 /nfs/dbraw/zinc/58/18/15/1201581815.db2.gz FZVWHRHROYNQHA-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1csc(C)n1 ZINC001698937092 1201587565 /nfs/dbraw/zinc/58/75/65/1201587565.db2.gz ANBTUWKQZCXGPB-CZUORRHYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C(C)=C1CCC1 ZINC001698941187 1201591173 /nfs/dbraw/zinc/59/11/73/1201591173.db2.gz SPUYZYNCUOEKDX-LRDDRELGSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCNC/C(Cl)=C\Cl ZINC001699132352 1201723037 /nfs/dbraw/zinc/72/30/37/1201723037.db2.gz ZMTXYIFEVMZXAQ-XEGJVCIASA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](CC)C(C)C ZINC001750928467 1201723859 /nfs/dbraw/zinc/72/38/59/1201723859.db2.gz HWZFMWLIXYAZHJ-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1ccccc1C ZINC001750928496 1201725132 /nfs/dbraw/zinc/72/51/32/1201725132.db2.gz IRGUJUVFNSYSPL-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC/C=C/c1ccccc1 ZINC001750931045 1201725814 /nfs/dbraw/zinc/72/58/14/1201725814.db2.gz PXOOZYXAHSTNSB-LOULHMMLSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ncsc1C(C)C ZINC001699214729 1201759204 /nfs/dbraw/zinc/75/92/04/1201759204.db2.gz QKOPPPCSYWKRFJ-UHFFFAOYSA-N 0 1 315.870 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(C(F)F)c1F ZINC001699363760 1201812353 /nfs/dbraw/zinc/81/23/53/1201812353.db2.gz UYPDQRYKCURXST-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(F)c(C(F)F)c1 ZINC001699363756 1201813371 /nfs/dbraw/zinc/81/33/71/1201813371.db2.gz UWFZWKKGSUPNBP-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN CC1(C)C[C@H]1C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001752259395 1201813753 /nfs/dbraw/zinc/81/37/53/1201813753.db2.gz YGAXXSMNVJBFHI-IBGZPJMESA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(C)CCCc2ccccc21 ZINC001699384099 1201828540 /nfs/dbraw/zinc/82/85/40/1201828540.db2.gz XBEPINSFFBQIAI-RDTXWAMCSA-N 0 1 320.864 3.127 20 30 DGEDMN Cc1ccc(C)c(C(=O)N[C@H](C)CNCc2ccccc2C#N)c1 ZINC001699387041 1201832283 /nfs/dbraw/zinc/83/22/83/1201832283.db2.gz SXNCEUHKLMTKIG-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@]1(C)CC=C(C)CC1)C1CC1 ZINC001752498906 1201857375 /nfs/dbraw/zinc/85/73/75/1201857375.db2.gz GSHFUDAZQVBLKN-RDJZCZTQSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NCc2cc(O)ccc2Cl)C1 ZINC001752634166 1201881770 /nfs/dbraw/zinc/88/17/70/1201881770.db2.gz IFTKPFWFXJYLGY-HDJSIYSDSA-N 0 1 322.836 3.139 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001699464132 1201902923 /nfs/dbraw/zinc/90/29/23/1201902923.db2.gz PTWZNQQOIYQZJF-SJKOYZFVSA-N 0 1 323.506 3.299 20 30 DGEDMN CCCCC(=O)NC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001752813464 1201935801 /nfs/dbraw/zinc/93/58/01/1201935801.db2.gz DZPWRGHJUKKJMA-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001699532550 1201937625 /nfs/dbraw/zinc/93/76/25/1201937625.db2.gz MJEADAXKAILGIN-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1ncccc1C ZINC001752821750 1201947268 /nfs/dbraw/zinc/94/72/68/1201947268.db2.gz RUSNMPKCDUDPRU-KRWDZBQOSA-N 0 1 315.461 3.217 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CC/C=C\c1ccccc1 ZINC001752822758 1201948782 /nfs/dbraw/zinc/94/87/82/1201948782.db2.gz NMWLISIDKOZRDX-HEFNWKINSA-N 0 1 324.468 3.474 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(CC)CCCCC1 ZINC001752825095 1201954167 /nfs/dbraw/zinc/95/41/67/1201954167.db2.gz LGWOCIWOEBLZJP-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001699589942 1201961419 /nfs/dbraw/zinc/96/14/19/1201961419.db2.gz YGUCSPPWJGCFNN-LLBKUYECSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1cccc(F)c1Cl ZINC001752897231 1202001494 /nfs/dbraw/zinc/00/14/94/1202001494.db2.gz VPGUVQXWFUFNHE-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001699663778 1202022726 /nfs/dbraw/zinc/02/27/26/1202022726.db2.gz PNDNXKBJKPEQFS-INIZCTEOSA-N 0 1 321.490 3.101 20 30 DGEDMN CC(C)CCCC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001699666949 1202024356 /nfs/dbraw/zinc/02/43/56/1202024356.db2.gz YHBYBWDLNHOAEA-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)CC(C)C ZINC001699683094 1202031776 /nfs/dbraw/zinc/03/17/76/1202031776.db2.gz WXMAEVAJMUPAHJ-SJORKVTESA-N 0 1 304.478 3.147 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001699692494 1202036999 /nfs/dbraw/zinc/03/69/99/1202036999.db2.gz FZUJPZQVQOTTRI-CXKPLTABSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccsc2)C1 ZINC001699712703 1202046767 /nfs/dbraw/zinc/04/67/67/1202046767.db2.gz FAZQLPXIYOZNMY-AWEZNQCLSA-N 0 1 306.475 3.178 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001752996855 1202047064 /nfs/dbraw/zinc/04/70/64/1202047064.db2.gz PLPGLWYILBQCGQ-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(OC)cc2C)C1 ZINC001699719122 1202051235 /nfs/dbraw/zinc/05/12/35/1202051235.db2.gz ZAQJDRNCDJXYEQ-OAHLLOKOSA-N 0 1 316.445 3.115 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC[C@@H](N(C)CCF)C1)c1ccccc1 ZINC001753001177 1202051701 /nfs/dbraw/zinc/05/17/01/1202051701.db2.gz YBIPSWQWQIGCTO-QZTJIDSGSA-N 0 1 318.436 3.239 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CCC)C1CCN(C/C=C/Cl)CC1 ZINC001699785550 1202077654 /nfs/dbraw/zinc/07/76/54/1202077654.db2.gz SUJHLIOAEAGOMJ-AYAUWGRQSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C(/C)C1CC1)C1CCCC1 ZINC001699793572 1202081204 /nfs/dbraw/zinc/08/12/04/1202081204.db2.gz TYYPAGNYMWWSTL-CKDIWJPDSA-N 0 1 310.869 3.360 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@]1(C)CCN(C/C=C\c2ccccc2)C1 ZINC001699815235 1202093180 /nfs/dbraw/zinc/09/31/80/1202093180.db2.gz IBWRSWQWAZJABX-ZRKSWWEUSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(C)CCN(Cc2sc(C)nc2C)C1 ZINC001699815286 1202093813 /nfs/dbraw/zinc/09/38/13/1202093813.db2.gz WVJRWKICAWWJOO-KRWDZBQOSA-N 0 1 321.490 3.197 20 30 DGEDMN CCN(CCNC(=O)CCC(C)C)Cc1ccc(C#N)c(F)c1 ZINC001753078076 1202109947 /nfs/dbraw/zinc/10/99/47/1202109947.db2.gz RLVUHGRKGVNVCH-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C(CC)CC)C1 ZINC001699887351 1202136943 /nfs/dbraw/zinc/13/69/43/1202136943.db2.gz LFBSIOTXYAGTGC-DZGCQCFKSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(F)=C2CCCC2)C1 ZINC001699889734 1202137524 /nfs/dbraw/zinc/13/75/24/1202137524.db2.gz KIARZDOAAJWWOG-CYBMUJFWSA-N 0 1 316.367 3.043 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC=C)CCC1)c1ccccc1CC ZINC001699929938 1202138875 /nfs/dbraw/zinc/13/88/75/1202138875.db2.gz CLUGVYSZTXKEPA-LJQANCHMSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccoc1CC)c1ccccc1CC ZINC001699934786 1202141348 /nfs/dbraw/zinc/14/13/48/1202141348.db2.gz PZCGEJQIWXUWAB-GOSISDBHSA-N 0 1 324.424 3.098 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC(C1CCC1)C1CCC1 ZINC001753111519 1202141386 /nfs/dbraw/zinc/14/13/86/1202141386.db2.gz JRQXJGHWHPNIJM-GOSISDBHSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H]1C=CCCC1)c1ccccc1CC ZINC001699936015 1202141792 /nfs/dbraw/zinc/14/17/92/1202141792.db2.gz VLMKWTCPJCMJFA-YLJYHZDGSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001753111933 1202143091 /nfs/dbraw/zinc/14/30/91/1202143091.db2.gz RVZMMKZVGLZKFA-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001753131797 1202152661 /nfs/dbraw/zinc/15/26/61/1202152661.db2.gz CUOUSDACEKQROB-ICSRJNTNSA-N 0 1 324.468 3.079 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@@H](C)CC(C)C)C[C@@H]2C1 ZINC001699991225 1202160387 /nfs/dbraw/zinc/16/03/87/1202160387.db2.gz YUMMYMGXHKHQLM-CWRNSKLLSA-N 0 1 312.885 3.201 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](CC)C1CCC(C)CC1)C1CC1 ZINC001753156183 1202172839 /nfs/dbraw/zinc/17/28/39/1202172839.db2.gz NVNMYKZHRXPETC-TVPLGVNVSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@@H](CCC)C(C)C ZINC001753236785 1202187861 /nfs/dbraw/zinc/18/78/61/1202187861.db2.gz HSQWXJVENHTXAI-ZDUSSCGKSA-N 0 1 319.287 3.015 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2cncs2)CCCCC1 ZINC001753241102 1202188237 /nfs/dbraw/zinc/18/82/37/1202188237.db2.gz KRGLBNNDMMOGEU-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCC(F)(F)C2)CCC1 ZINC001700136052 1202189119 /nfs/dbraw/zinc/18/91/19/1202189119.db2.gz KHNLCWLNAWFXLM-GFCCVEGCSA-N 0 1 320.811 3.193 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC)Cc1ccccc1C ZINC001753252425 1202189822 /nfs/dbraw/zinc/18/98/22/1202189822.db2.gz XWYSXIJRSIYXCM-MRXNPFEDSA-N 0 1 322.880 3.364 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001700176003 1202195243 /nfs/dbraw/zinc/19/52/43/1202195243.db2.gz DYUBSGNKIVAESP-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CCC2CCCC2)C1 ZINC001753313658 1202204357 /nfs/dbraw/zinc/20/43/57/1202204357.db2.gz UIPVTFBXMNGEOY-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C12CCC(CC1)C2(C)C)c1ccccc1 ZINC001753313213 1202204895 /nfs/dbraw/zinc/20/48/95/1202204895.db2.gz VMDASBDVAADTJK-WBTXTPOCSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001753320323 1202209376 /nfs/dbraw/zinc/20/93/76/1202209376.db2.gz YVDWBZFNZFFJHB-GBESFXJTSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN(C[C@H](C)OC)C2 ZINC001700252533 1202218079 /nfs/dbraw/zinc/21/80/79/1202218079.db2.gz NWJMSTIZGUPIAT-HNNXBMFYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)COC(C)(C)C)cc2C1 ZINC001700253525 1202218926 /nfs/dbraw/zinc/21/89/26/1202218926.db2.gz FVQUCTJWCQIZJX-UHFFFAOYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(CCC)CCC2)C1 ZINC001753353159 1202224900 /nfs/dbraw/zinc/22/49/00/1202224900.db2.gz XOKFJAFEEVNOAJ-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)Cc2ccccc2C)C1 ZINC001753359818 1202228414 /nfs/dbraw/zinc/22/84/14/1202228414.db2.gz MBQDKWCGYXQLMJ-INIZCTEOSA-N 0 1 320.864 3.070 20 30 DGEDMN C#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C=C(C)C)C1 ZINC001753361665 1202229498 /nfs/dbraw/zinc/22/94/98/1202229498.db2.gz LKZHPWYTEJONKX-IBGZPJMESA-N 0 1 310.441 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001753378299 1202234675 /nfs/dbraw/zinc/23/46/75/1202234675.db2.gz ZJQDLDDLHWXPDK-FVQBIDKESA-N 0 1 318.486 3.315 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001753404778 1202242632 /nfs/dbraw/zinc/24/26/32/1202242632.db2.gz RTQSZURXPAWJHE-DHZHZOJOSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001753410645 1202244770 /nfs/dbraw/zinc/24/47/70/1202244770.db2.gz LJNBGAOFVKNWKL-HNNXBMFYSA-N 0 1 318.436 3.019 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccccc1C(C)(C)C ZINC001700353623 1202246637 /nfs/dbraw/zinc/24/66/37/1202246637.db2.gz HEKXGKWLEFSYDD-INIZCTEOSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C)c(C)cc1Cl ZINC001700356128 1202247523 /nfs/dbraw/zinc/24/75/23/1202247523.db2.gz NIVULKNCCZBZJL-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001700357475 1202247533 /nfs/dbraw/zinc/24/75/33/1202247533.db2.gz VZODTGOJEDANDR-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c(C)cc(C)cc1C ZINC001700366816 1202253165 /nfs/dbraw/zinc/25/31/65/1202253165.db2.gz YMVRYXXYOGGFDO-GOSISDBHSA-N 0 1 312.457 3.172 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001753436347 1202253848 /nfs/dbraw/zinc/25/38/48/1202253848.db2.gz JITFKVPPPUCSEM-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCC(=O)N(CC)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001753451752 1202260226 /nfs/dbraw/zinc/26/02/26/1202260226.db2.gz KYBJKPOTZPSWHD-GOSISDBHSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CC1(c2ccccc2)CC1 ZINC001700400410 1202262520 /nfs/dbraw/zinc/26/25/20/1202262520.db2.gz MJGALXBSJBUMSQ-HUUCEWRRSA-N 0 1 320.864 3.344 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001753460476 1202263546 /nfs/dbraw/zinc/26/35/46/1202263546.db2.gz ZBAATRYVUNFBSC-DLBZAZTESA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2cc(C)cn2c1 ZINC001753475258 1202268864 /nfs/dbraw/zinc/26/88/64/1202268864.db2.gz NIEWRZPYTWAHHX-HNNXBMFYSA-N 0 1 319.836 3.098 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@H](C)NCc2c(C)noc2C)CC1 ZINC001700422867 1202268889 /nfs/dbraw/zinc/26/88/89/1202268889.db2.gz UPPDQOOTKGTSRL-QWHCGFSZSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2c(C)cccn2c1 ZINC001700423432 1202268975 /nfs/dbraw/zinc/26/89/75/1202268975.db2.gz AKOKNYPTPYQUBP-KBPBESRZSA-N 0 1 319.836 3.097 20 30 DGEDMN CC[C@H](CNC(=O)[C@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001753478778 1202270135 /nfs/dbraw/zinc/27/01/35/1202270135.db2.gz MIVVAZWUSQNRPQ-WMLDXEAASA-N 0 1 315.461 3.225 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(Cl)sc1Cl ZINC001700462455 1202281946 /nfs/dbraw/zinc/28/19/46/1202281946.db2.gz VWCXTWFJJWNSLN-VIFPVBQESA-N 0 1 319.257 3.128 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC(C)(C)C1CCCCC1 ZINC001700483376 1202292975 /nfs/dbraw/zinc/29/29/75/1202292975.db2.gz NBBWLOHBMQPLFJ-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2cc(F)ccc2s1 ZINC001700488761 1202295830 /nfs/dbraw/zinc/29/58/30/1202295830.db2.gz FZLYKZNVXXUKPS-LBPRGKRZSA-N 0 1 318.417 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001700519672 1202302206 /nfs/dbraw/zinc/30/22/06/1202302206.db2.gz XXNCFDPOMXUELS-CHWSQXEVSA-N 0 1 322.827 3.439 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C(C)(C)CC(C)(C)C ZINC001700531470 1202306565 /nfs/dbraw/zinc/30/65/65/1202306565.db2.gz MZNMSGGSLXGBBJ-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001700530573 1202306883 /nfs/dbraw/zinc/30/68/83/1202306883.db2.gz FFILAYNGIFJXKZ-MJGOQNOKSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001700537249 1202308730 /nfs/dbraw/zinc/30/87/30/1202308730.db2.gz KWJXIEDBSOINEG-HNNXBMFYSA-N 0 1 318.486 3.187 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001700541580 1202309826 /nfs/dbraw/zinc/30/98/26/1202309826.db2.gz RECHNBBFGIAFRV-CQSZACIVSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001700547813 1202311128 /nfs/dbraw/zinc/31/11/28/1202311128.db2.gz XUGQWBIVHZBYGH-UONOGXRCSA-N 0 1 320.864 3.141 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)C(C)(C)C(C)C ZINC001753693816 1202312349 /nfs/dbraw/zinc/31/23/49/1202312349.db2.gz SEHXIJKSNNMTIV-GFCCVEGCSA-N 0 1 319.287 3.014 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001700555224 1202312722 /nfs/dbraw/zinc/31/27/22/1202312722.db2.gz PXEMCSFKPSTIJN-ZIAGYGMSSA-N 0 1 312.413 3.159 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@@](C)(CC)CCC)C2)C1 ZINC001700562860 1202313737 /nfs/dbraw/zinc/31/37/37/1202313737.db2.gz POKPIHZWEKPSJE-RBUKOAKNSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](CC)CC(F)(F)F ZINC001753720711 1202320912 /nfs/dbraw/zinc/32/09/12/1202320912.db2.gz FOPXMNNXPXSEKQ-GHMZBOCLSA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C#CC(C)C)C1CCCCC1 ZINC001753745839 1202327863 /nfs/dbraw/zinc/32/78/63/1202327863.db2.gz JTZWBYURXWZAGQ-MRXNPFEDSA-N 0 1 310.869 3.053 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C=C2CCC2)CC1 ZINC001753818640 1202340025 /nfs/dbraw/zinc/34/00/25/1202340025.db2.gz XSZYIUYRTHVQMH-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(Cl)o1 ZINC001700722653 1202342928 /nfs/dbraw/zinc/34/29/28/1202342928.db2.gz UGCJKZWCBFMYNW-NSHDSACASA-N 0 1 317.216 3.126 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001700748419 1202347841 /nfs/dbraw/zinc/34/78/41/1202347841.db2.gz XPEYFHSYTZYYIA-ZXZGFYSFSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2nc(C)sc2C)C1 ZINC001700765485 1202350991 /nfs/dbraw/zinc/35/09/91/1202350991.db2.gz BHACDYOCNPWXBQ-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2c(c1)CCCC2 ZINC001700778053 1202353492 /nfs/dbraw/zinc/35/34/92/1202353492.db2.gz DMDTZIHFSDSENM-CQSZACIVSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1Cl)C(C)(C)C ZINC001700983161 1202376561 /nfs/dbraw/zinc/37/65/61/1202376561.db2.gz OHSWICPODNBGLD-NSHDSACASA-N 0 1 319.232 3.420 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001700999655 1202379232 /nfs/dbraw/zinc/37/92/32/1202379232.db2.gz ZNWVBNFPSYVYSW-GUYCJALGSA-N 0 1 323.506 3.366 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001707071101 1202571548 /nfs/dbraw/zinc/57/15/48/1202571548.db2.gz XHDGPOHCZLYDDC-YXWLAPQGSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001707088036 1202572684 /nfs/dbraw/zinc/57/26/84/1202572684.db2.gz WFJYWIWDAGQRON-RBSFLKMASA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2sc(C)nc2C)[C@@H]1C ZINC001707254876 1202578591 /nfs/dbraw/zinc/57/85/91/1202578591.db2.gz DSNPAHNYPQQJKZ-OCCSQVGLSA-N 0 1 321.490 3.051 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001707380879 1202581870 /nfs/dbraw/zinc/58/18/70/1202581870.db2.gz MCINPJXOTNSSCB-UHFFFAOYSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2ccc(Cl)nc2)C1 ZINC001707403770 1202582955 /nfs/dbraw/zinc/58/29/55/1202582955.db2.gz JHYBQICLAZZBAB-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2cc(F)ccc2F)C1 ZINC001707403838 1202583071 /nfs/dbraw/zinc/58/30/71/1202583071.db2.gz KTAUSGKSQIHWTM-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN N#CC(C(=O)NC1CC1)c1nc(-c2ccc(Cl)cc2)cs1 ZINC000155680600 1202599003 /nfs/dbraw/zinc/59/90/03/1202599003.db2.gz CQFOAHMLSMKZAR-LBPRGKRZSA-N 0 1 317.801 3.349 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2n(c1)CCCC2 ZINC001713031313 1202611462 /nfs/dbraw/zinc/61/14/62/1202611462.db2.gz ZTBNNKDHUNVSCC-UHFFFAOYSA-N 0 1 323.868 3.019 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H](C)c2ccccc2)CC1 ZINC001713056561 1202613359 /nfs/dbraw/zinc/61/33/59/1202613359.db2.gz GMQCBZRAKDVCQJ-QGZVFWFLSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001713101651 1202617364 /nfs/dbraw/zinc/61/73/64/1202617364.db2.gz ZIOTWVWBOBYTJQ-QRQLOZEOSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C=C)c2ccccc2)CC1 ZINC001713102007 1202617460 /nfs/dbraw/zinc/61/74/60/1202617460.db2.gz IXHVEDHEWGIGNW-HXUWFJFHSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCC(C)=C(C)C1)C1CC1 ZINC001713112756 1202618119 /nfs/dbraw/zinc/61/81/19/1202618119.db2.gz YYVZUNNMDJPPRP-HZPDHXFCSA-N 0 1 310.869 3.360 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H](C)CO1 ZINC001713142467 1202621723 /nfs/dbraw/zinc/62/17/23/1202621723.db2.gz VLIJJOVCSYDFTB-BDXSIMOUSA-N 0 1 322.493 3.057 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C(C)(C)C1CCCC1 ZINC001713253789 1202631771 /nfs/dbraw/zinc/63/17/71/1202631771.db2.gz VCDZUEPBKOGDCV-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)CC(CC)CC ZINC001713271444 1202633843 /nfs/dbraw/zinc/63/38/43/1202633843.db2.gz JAYFPFYOPNEOCB-ROUUACIJSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCCCC ZINC001713271226 1202633864 /nfs/dbraw/zinc/63/38/64/1202633864.db2.gz ZHCLHOYFILZRTQ-MSOLQXFVSA-N 0 1 304.478 3.436 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@@H]1CCCN(C/C=C\Cl)C1 ZINC001713274943 1202634279 /nfs/dbraw/zinc/63/42/79/1202634279.db2.gz BYYOHDWSWSSXMH-NBSFEKIASA-N 0 1 310.869 3.005 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@H]1CCCN(C/C=C\Cl)C1 ZINC001713274944 1202634327 /nfs/dbraw/zinc/63/43/27/1202634327.db2.gz BYYOHDWSWSSXMH-RIJNJYOMSA-N 0 1 310.869 3.005 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001713306688 1202637708 /nfs/dbraw/zinc/63/77/08/1202637708.db2.gz BPQYWLZHSWWUGD-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cc(F)ccc2F)CCC1 ZINC001713337259 1202640517 /nfs/dbraw/zinc/64/05/17/1202640517.db2.gz LPNQGWZOYNRHRX-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN CCC(=O)N1CCC[C@@H](N(C)CC#Cc2cccc(Cl)c2)C1 ZINC001713386589 1202643858 /nfs/dbraw/zinc/64/38/58/1202643858.db2.gz IUSDRSGCTUDCNU-QGZVFWFLSA-N 0 1 318.848 3.024 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001713471520 1202655150 /nfs/dbraw/zinc/65/51/50/1202655150.db2.gz PIOKEFIBAQXCFW-IBGZPJMESA-N 0 1 315.461 3.323 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001713493351 1202659518 /nfs/dbraw/zinc/65/95/18/1202659518.db2.gz HKJCDBMUDPEVGN-LJQANCHMSA-N 0 1 314.473 3.278 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2c(s1)CCCCC2 ZINC001713497169 1202660391 /nfs/dbraw/zinc/66/03/91/1202660391.db2.gz XIFWFPCLCKTGHM-UHFFFAOYSA-N 0 1 318.486 3.092 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1[C@H](C)c1ccccc1F ZINC001713504825 1202661857 /nfs/dbraw/zinc/66/18/57/1202661857.db2.gz QGDIVYKJLDCBLK-HZPDHXFCSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1csc(C)c1 ZINC001713511320 1202662710 /nfs/dbraw/zinc/66/27/10/1202662710.db2.gz RRTHWDDMRIKRFY-OAHLLOKOSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN1Cc1cccc(F)c1 ZINC001713514327 1202663346 /nfs/dbraw/zinc/66/33/46/1202663346.db2.gz YVUYWZFXTWXONN-KRWDZBQOSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(CC(C)C)CCCC1 ZINC001713516946 1202664087 /nfs/dbraw/zinc/66/40/87/1202664087.db2.gz ZPQQWUMAVWVZOK-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001713535917 1202666306 /nfs/dbraw/zinc/66/63/06/1202666306.db2.gz MGVSCCZSSLAPHV-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN CC[C@@H](CC(=O)N(C)CCNCc1ccccc1C#N)C(C)C ZINC001713655359 1202675178 /nfs/dbraw/zinc/67/51/78/1202675178.db2.gz AIFDIYBZCJNKMV-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1sccc1C(F)F ZINC001713660216 1202675542 /nfs/dbraw/zinc/67/55/42/1202675542.db2.gz WXOMTZATXXDHFZ-UHFFFAOYSA-N 0 1 308.781 3.100 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1sc(C)cc1C)c1ccccc1 ZINC001713702221 1202678786 /nfs/dbraw/zinc/67/87/86/1202678786.db2.gz HQZKYHABSQAARP-MRXNPFEDSA-N 0 1 312.438 3.059 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1coc2ccccc12)c1ccccc1 ZINC001713703544 1202678899 /nfs/dbraw/zinc/67/88/99/1202678899.db2.gz FYYFNHFGWWHSMJ-SFHVURJKSA-N 0 1 318.376 3.127 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C/C=C/c1ccccc1 ZINC001713706757 1202679073 /nfs/dbraw/zinc/67/90/73/1202679073.db2.gz MDOGDAJMEXJQEP-FKSNAJQLSA-N 0 1 310.441 3.341 20 30 DGEDMN CCCN(C(=O)[C@H](C)C#N)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001713715120 1202680240 /nfs/dbraw/zinc/68/02/40/1202680240.db2.gz PKBPJPLWCNKFBU-CZUORRHYSA-N 0 1 319.474 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2sccc2C)C1 ZINC001713723232 1202682367 /nfs/dbraw/zinc/68/23/67/1202682367.db2.gz CTZWZBNOTGXQEJ-CYBMUJFWSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CCCC23CC3)C1 ZINC001713729870 1202683466 /nfs/dbraw/zinc/68/34/66/1202683466.db2.gz DJINSZNFSPXUHJ-HUUCEWRRSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(CCCC)CC2)C1 ZINC001713756061 1202686822 /nfs/dbraw/zinc/68/68/22/1202686822.db2.gz NDAHKVBHQAMBDD-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(CCCC)CC2)C1 ZINC001713756060 1202687003 /nfs/dbraw/zinc/68/70/03/1202687003.db2.gz NDAHKVBHQAMBDD-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)c2ccccc2)C1 ZINC001713759947 1202687448 /nfs/dbraw/zinc/68/74/48/1202687448.db2.gz URHWZOGDYSVDRU-JKSUJKDBSA-N 0 1 320.864 3.323 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC/C=C/c2ccccc2)CC1 ZINC001713783489 1202689978 /nfs/dbraw/zinc/68/99/78/1202689978.db2.gz LLHQOEDBBRYNFY-YRNVUSSQSA-N 0 1 310.441 3.036 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001713830138 1202693707 /nfs/dbraw/zinc/69/37/07/1202693707.db2.gz XVAOJJDHQWMGBX-PKOBYXMFSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H]2C[C@H]2Cc2ccccc2)C1 ZINC001713833281 1202694121 /nfs/dbraw/zinc/69/41/21/1202694121.db2.gz RVOUHNKTICMHNK-MSOLQXFVSA-N 0 1 312.457 3.022 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(C)(C)C(=C)C)CC1 ZINC001713848251 1202695470 /nfs/dbraw/zinc/69/54/70/1202695470.db2.gz USJWNMBFNJQCGS-AWEZNQCLSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2C(C)(C)C2(C)C)CC1 ZINC001713854865 1202696012 /nfs/dbraw/zinc/69/60/12/1202696012.db2.gz ZIAYRLWDCUEZDE-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(CC)cc2)CC1 ZINC001713857939 1202696409 /nfs/dbraw/zinc/69/64/09/1202696409.db2.gz DOGNHFXLOHKTIA-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN CCC(=CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1)CC ZINC001713946104 1202704460 /nfs/dbraw/zinc/70/44/60/1202704460.db2.gz YWZXQWSIPARLNW-IYARVYRRSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001713958899 1202706413 /nfs/dbraw/zinc/70/64/13/1202706413.db2.gz UGCUFHTWVFKGRQ-SNUQEOBHSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(C)CCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC001713971644 1202710560 /nfs/dbraw/zinc/71/05/60/1202710560.db2.gz XFMHEVMKZTXNQT-GOOCMWNKSA-N 0 1 319.474 3.111 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001714043647 1202746930 /nfs/dbraw/zinc/74/69/30/1202746930.db2.gz BNZMAZTYQLBVIC-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001714042003 1202747187 /nfs/dbraw/zinc/74/71/87/1202747187.db2.gz QRQBURIXVJFXDU-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN O=C(C#CC1CC1)NC[C@@H]1CCCCN1C/C=C\c1ccccc1 ZINC001714103493 1202777044 /nfs/dbraw/zinc/77/70/44/1202777044.db2.gz JBIGBVFKFPOYLR-NSDNCLLMSA-N 0 1 322.452 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NCc1ccncc1Cl ZINC001714103716 1202777600 /nfs/dbraw/zinc/77/76/00/1202777600.db2.gz HJVRDSZJFYRCQR-WMLDXEAASA-N 0 1 323.868 3.322 20 30 DGEDMN CC[C@@H](CNC(=O)C(C)(CC)CC)NCc1ccccc1C#N ZINC001714123214 1202791066 /nfs/dbraw/zinc/79/10/66/1202791066.db2.gz WQAGNNLJXQOPTP-KRWDZBQOSA-N 0 1 315.461 3.369 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(C)(C)C(C)C)C1 ZINC001753348761 1202797940 /nfs/dbraw/zinc/79/79/40/1202797940.db2.gz AZJPIQMHIWPWNR-AWEZNQCLSA-N 0 1 300.874 3.201 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001714263530 1202853323 /nfs/dbraw/zinc/85/33/23/1202853323.db2.gz DTZCRUIZFRALNO-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCC=C(Cl)Cl)CCC1 ZINC001714272036 1202857508 /nfs/dbraw/zinc/85/75/08/1202857508.db2.gz BCWACFOSELUYFD-LLVKDONJSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1Cl ZINC001714562259 1203005915 /nfs/dbraw/zinc/00/59/15/1203005915.db2.gz KLIKPBDDRCWJKG-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001714597225 1203036981 /nfs/dbraw/zinc/03/69/81/1203036981.db2.gz UGZUYAGDSRMQMA-RTBURBONSA-N 0 1 312.457 3.032 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C1(C)CC=CC1 ZINC001714656096 1203079938 /nfs/dbraw/zinc/07/99/38/1203079938.db2.gz HMSRFWZEFTYGEY-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)CCC(F)(F)F)C1 ZINC001714661394 1203085590 /nfs/dbraw/zinc/08/55/90/1203085590.db2.gz WSJXSNRNJAXFKB-UHFFFAOYSA-N 0 1 306.372 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1c(C)noc1CC ZINC001714717434 1203108055 /nfs/dbraw/zinc/10/80/55/1203108055.db2.gz JBDMWZDTXQVTNC-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001714741884 1203114499 /nfs/dbraw/zinc/11/44/99/1203114499.db2.gz DCFVOBOQDGBFAW-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)s1)c1ccccc1 ZINC001714836977 1203145919 /nfs/dbraw/zinc/14/59/19/1203145919.db2.gz ZYEQJCZLNRZPOD-INIZCTEOSA-N 0 1 312.438 3.141 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)cc1F)c1ccccc1 ZINC001714838332 1203146431 /nfs/dbraw/zinc/14/64/31/1203146431.db2.gz FIFKOBTZZUTLOO-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cccc(Cl)c1)c1ccccc1 ZINC001714849659 1203150767 /nfs/dbraw/zinc/15/07/67/1203150767.db2.gz QZTPOGNSDSMXJT-KRWDZBQOSA-N 0 1 312.800 3.034 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)s2)C1 ZINC001714853723 1203152863 /nfs/dbraw/zinc/15/28/63/1203152863.db2.gz XYFXCLXTAYJMMW-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)Cc2ccccc2)C1 ZINC001714861540 1203161063 /nfs/dbraw/zinc/16/10/63/1203161063.db2.gz JLXOAOFKASVKDV-MJGOQNOKSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(OC)cc2C)C1 ZINC001714863042 1203162635 /nfs/dbraw/zinc/16/26/35/1203162635.db2.gz CEOPREAVOJSIJY-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001714917236 1203198876 /nfs/dbraw/zinc/19/88/76/1203198876.db2.gz KECYZPGYOWFXIR-GANVNIMBSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)CC(F)(F)F)C1 ZINC001714927559 1203203904 /nfs/dbraw/zinc/20/39/04/1203203904.db2.gz WWKXAKCRLXIKSC-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H](C)c1cccnc1 ZINC001714931955 1203205865 /nfs/dbraw/zinc/20/58/65/1203205865.db2.gz DYQYEOQLFFJBMV-HOCLYGCPSA-N 0 1 323.868 3.058 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001714936567 1203206696 /nfs/dbraw/zinc/20/66/96/1203206696.db2.gz VUTUWBPUKVWIPN-QAPCUYQASA-N 0 1 322.493 3.269 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC001714937316 1203206792 /nfs/dbraw/zinc/20/67/92/1203206792.db2.gz YGKAJJFWVPNIEQ-OAHLLOKOSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001715029322 1203243701 /nfs/dbraw/zinc/24/37/01/1203243701.db2.gz DJBDPJCZUUUANW-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)/C=C/c2ccco2)CC1 ZINC001753361376 1203260047 /nfs/dbraw/zinc/26/00/47/1203260047.db2.gz DXRJREXLBZAGAY-AATRIKPKSA-N 0 1 322.836 3.216 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1ccc(C)s1 ZINC001715087913 1203268330 /nfs/dbraw/zinc/26/83/30/1203268330.db2.gz KSEMFFBVXWSXGX-ZDUSSCGKSA-N 0 1 314.882 3.226 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CC/C=C/c1ccccc1)CC2 ZINC001715315846 1203313715 /nfs/dbraw/zinc/31/37/15/1203313715.db2.gz QDCMEYYJPOVNQE-UXBLZVDNSA-N 0 1 322.452 3.038 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CC/C=C\c1ccccc1)CC2 ZINC001715315843 1203314095 /nfs/dbraw/zinc/31/40/95/1203314095.db2.gz QDCMEYYJPOVNQE-POHAHGRESA-N 0 1 322.452 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1c(C)noc1CC ZINC001715338476 1203318975 /nfs/dbraw/zinc/31/89/75/1203318975.db2.gz PVHGLIQNVBOYDE-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCCc1ccsc1 ZINC001715342438 1203319773 /nfs/dbraw/zinc/31/97/73/1203319773.db2.gz WYAVNXPFLQJOLO-ZDUSSCGKSA-N 0 1 314.882 3.260 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc2cccnc21 ZINC001715344758 1203320311 /nfs/dbraw/zinc/32/03/11/1203320311.db2.gz QIHYBYIJYINRSM-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001715361459 1203324764 /nfs/dbraw/zinc/32/47/64/1203324764.db2.gz HDPJALDLXDCILX-KKUMJFAQSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](F)CC1CCCCC1 ZINC001715374389 1203328076 /nfs/dbraw/zinc/32/80/76/1203328076.db2.gz WUFAEXUKPJOQEB-HIFRSBDPSA-N 0 1 318.864 3.484 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001715378262 1203329255 /nfs/dbraw/zinc/32/92/55/1203329255.db2.gz UNXQMDRVQRNDCQ-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CCC(F)F ZINC001715393511 1203333386 /nfs/dbraw/zinc/33/33/86/1203333386.db2.gz UELPPZITDHZSRI-CMPLNLGQSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C1CC1)C1CCCCC1 ZINC001715399440 1203334790 /nfs/dbraw/zinc/33/47/90/1203334790.db2.gz KQLOVQOXWQYKCW-BBRMVZONSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CC2CCC2)CC1 ZINC001715463197 1203345054 /nfs/dbraw/zinc/34/50/54/1203345054.db2.gz JOGSJTDOEZWBBF-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1coc(C)c1 ZINC001715469155 1203346175 /nfs/dbraw/zinc/34/61/75/1203346175.db2.gz HFZOGZLYFKBAMR-IAQYHMDHSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@H]1CNCc1cc(C)no1 ZINC001715479944 1203348622 /nfs/dbraw/zinc/34/86/22/1203348622.db2.gz SYIJMSITUNMULY-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001715497631 1203352834 /nfs/dbraw/zinc/35/28/34/1203352834.db2.gz XBAGZHSVNZQCKH-SPQRHKFCSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCNCc2csc(C)n2)CC1 ZINC001715679735 1203380207 /nfs/dbraw/zinc/38/02/07/1203380207.db2.gz WOXYVZFDWOJFDT-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN CCCCC(=O)N(CC)CCNCC#Cc1cccc(Cl)c1 ZINC001715720180 1203384152 /nfs/dbraw/zinc/38/41/52/1203384152.db2.gz JJZYVBUVWZTQPB-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN CCCCCC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001715771343 1203390630 /nfs/dbraw/zinc/39/06/30/1203390630.db2.gz QAKYOTPOAMWECO-UHFFFAOYSA-N 0 1 301.434 3.029 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715771288 1203390759 /nfs/dbraw/zinc/39/07/59/1203390759.db2.gz WBUXNTDNAOUVQB-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(Cl)c1C ZINC001715814786 1203395024 /nfs/dbraw/zinc/39/50/24/1203395024.db2.gz CDHUGNVYQQHYQT-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN C[C@H](C(=O)NC/C=C\CNCc1ccc(C#N)s1)C(C)(C)C ZINC001715841411 1203396922 /nfs/dbraw/zinc/39/69/22/1203396922.db2.gz ZGBJHYPBQKHNEY-CFHLNLSMSA-N 0 1 319.474 3.064 20 30 DGEDMN C[C@@H](C(=O)NC/C=C\CNCc1ccc(C#N)s1)C(C)(C)C ZINC001715841415 1203397144 /nfs/dbraw/zinc/39/71/44/1203397144.db2.gz ZGBJHYPBQKHNEY-SZZPACECSA-N 0 1 319.474 3.064 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715933313 1203406490 /nfs/dbraw/zinc/40/64/90/1203406490.db2.gz OYBONZIJLPTTBE-INIZCTEOSA-N 0 1 313.445 3.051 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](CCC)CC1CCCC1 ZINC001715959112 1203411351 /nfs/dbraw/zinc/41/13/51/1203411351.db2.gz STHJGDSUXNNMHY-GOSISDBHSA-N 0 1 306.494 3.397 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)nc2ccccc21 ZINC001716003874 1203419958 /nfs/dbraw/zinc/41/99/58/1203419958.db2.gz PHNTUJVBPDOKBH-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1ncoc1C(C)C ZINC001716163834 1203444714 /nfs/dbraw/zinc/44/47/14/1203444714.db2.gz GVQFOIHJJPUAJY-AWEZNQCLSA-N 0 1 321.465 3.385 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)CC1CCCCC1 ZINC001716428592 1203471771 /nfs/dbraw/zinc/47/17/71/1203471771.db2.gz OYDWAYWEDZYTIJ-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001716436109 1203473574 /nfs/dbraw/zinc/47/35/74/1203473574.db2.gz LSXLREYDULEQMJ-ZSMUJPCHSA-N 0 1 318.848 3.142 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C)c2ccccc12 ZINC001716498276 1203492105 /nfs/dbraw/zinc/49/21/05/1203492105.db2.gz SCHRQCGOGSUCJC-INIZCTEOSA-N 0 1 308.425 3.222 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2cccc(Cl)c2o1 ZINC001716507807 1203496182 /nfs/dbraw/zinc/49/61/82/1203496182.db2.gz SSDJHPZNUQEMHC-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1[C@H](C)c1ccc2c(c1)CCC2 ZINC001716645938 1203512500 /nfs/dbraw/zinc/51/25/00/1203512500.db2.gz SWUOFQBTQMZMNC-BEFAXECRSA-N 0 1 312.457 3.393 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001716663179 1203518204 /nfs/dbraw/zinc/51/82/04/1203518204.db2.gz SVBMCFXQIPOOPQ-PBHICJAKSA-N 0 1 304.409 3.086 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1cc(Cl)no1 ZINC001716668186 1203520131 /nfs/dbraw/zinc/52/01/31/1203520131.db2.gz OXYXVQKSGORTKB-LBPRGKRZSA-N 0 1 313.829 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001716668310 1203520601 /nfs/dbraw/zinc/52/06/01/1203520601.db2.gz RXYXDJVYRUFTSM-NWDGAFQWSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCc2ccc(C)cc2)CC1 ZINC001716692076 1203526348 /nfs/dbraw/zinc/52/63/48/1203526348.db2.gz GIZFJYWQSYBYOV-UHFFFAOYSA-N 0 1 320.864 3.309 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001716693515 1203526520 /nfs/dbraw/zinc/52/65/20/1203526520.db2.gz VJFACQVFPNRKRY-INIZCTEOSA-N 0 1 321.852 3.076 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CCC)CCCCC1 ZINC001716720899 1203531786 /nfs/dbraw/zinc/53/17/86/1203531786.db2.gz ILKBDUULMOROFO-HDICACEKSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)C1 ZINC001716749373 1203536877 /nfs/dbraw/zinc/53/68/77/1203536877.db2.gz XYIYHDJTNVOAQH-VVHHDAOASA-N 0 1 324.468 3.070 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C1CN(CCCC)C1)c1ccccc1 ZINC001716753904 1203538396 /nfs/dbraw/zinc/53/83/96/1203538396.db2.gz UHWPDRUNZDNLML-GOSISDBHSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ncc(CC)o2)C[C@H]1C ZINC001716761649 1203539647 /nfs/dbraw/zinc/53/96/47/1203539647.db2.gz NKYKZGRNKFECGY-HUUCEWRRSA-N 0 1 319.449 3.062 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ncc(CC)o2)C[C@H]1C ZINC001716765185 1203539930 /nfs/dbraw/zinc/53/99/30/1203539930.db2.gz UIAOKRCEKSDHJD-HUUCEWRRSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1ccc(C)o1 ZINC001716785763 1203542267 /nfs/dbraw/zinc/54/22/67/1203542267.db2.gz QCOFQEJKCUAIMR-KGLIPLIRSA-N 0 1 310.825 3.025 20 30 DGEDMN C#CCN(C(=O)CC[C@H](C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001716810254 1203545412 /nfs/dbraw/zinc/54/54/12/1203545412.db2.gz KJZZFDPWSQEYSU-SJORKVTESA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)CCCCCC)C1 ZINC001716899113 1203565553 /nfs/dbraw/zinc/56/55/53/1203565553.db2.gz AWZUKRUETYNJMV-HKUYNNGSSA-N 0 1 306.494 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(C3CCCCC3)CC2)C1 ZINC001716901945 1203566891 /nfs/dbraw/zinc/56/68/91/1203566891.db2.gz UACDWVXSUFFQBS-IBGZPJMESA-N 0 1 316.489 3.198 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]([C@H](C)NCc2ncoc2C(C)C)C1 ZINC001716957643 1203570229 /nfs/dbraw/zinc/57/02/29/1203570229.db2.gz BVPVKGUSUKEZLB-GJZGRUSLSA-N 0 1 319.449 3.091 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C(C)=C/CC)cccc2C1 ZINC001717143565 1203590305 /nfs/dbraw/zinc/59/03/05/1203590305.db2.gz ONGUMPLJEKOQKC-LZYBPNLTSA-N 0 1 310.441 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cccc2C)CCC1 ZINC001717157091 1203593048 /nfs/dbraw/zinc/59/30/48/1203593048.db2.gz FXJXUORRAQXKMV-UHFFFAOYSA-N 0 1 306.837 3.298 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001717199125 1203598563 /nfs/dbraw/zinc/59/85/63/1203598563.db2.gz GPKXWUYOCXUNTL-AEFFLSMTSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC001717407867 1203637902 /nfs/dbraw/zinc/63/79/02/1203637902.db2.gz CPTVAWKHQPFIAO-CHWSQXEVSA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1coc(C(F)F)c1 ZINC001717424605 1203641778 /nfs/dbraw/zinc/64/17/78/1203641778.db2.gz ICROTDVMEQKIJL-IUCAKERBSA-N 0 1 306.740 3.066 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001717435695 1203644063 /nfs/dbraw/zinc/64/40/63/1203644063.db2.gz UGPSABBTSFGSSB-GHMZBOCLSA-N 0 1 301.217 3.189 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C)c2c1CCC2 ZINC001717440832 1203645167 /nfs/dbraw/zinc/64/51/67/1203645167.db2.gz FOKNZEUIJMECST-KGLIPLIRSA-N 0 1 320.864 3.333 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001717474580 1203655962 /nfs/dbraw/zinc/65/59/62/1203655962.db2.gz PFRLPMHNMLSGCW-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001717474380 1203656138 /nfs/dbraw/zinc/65/61/38/1203656138.db2.gz LRRLOUOLDTUHFU-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001717482556 1203658888 /nfs/dbraw/zinc/65/88/88/1203658888.db2.gz CNZMGXZWOVMZDZ-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc2c([nH]1)CCC2 ZINC001717533332 1203674464 /nfs/dbraw/zinc/67/44/64/1203674464.db2.gz ZPFLADNURVRHCK-CQSZACIVSA-N 0 1 323.868 3.134 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001717554635 1203680386 /nfs/dbraw/zinc/68/03/86/1203680386.db2.gz GEBZTVSHWUDXOB-AWEZNQCLSA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cscc1C ZINC001717728904 1203705969 /nfs/dbraw/zinc/70/59/69/1203705969.db2.gz RIVPEQGMKMOXTI-CQSZACIVSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(F)c(C)c1 ZINC001717735826 1203706649 /nfs/dbraw/zinc/70/66/49/1203706649.db2.gz DHXAWDKNUZNNHD-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@H]1C ZINC001717793466 1203713297 /nfs/dbraw/zinc/71/32/97/1203713297.db2.gz HWVVEOVTKDOTNC-RGXVFGMQSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]12CCC[C@H]1N(Cc1ccns1)CC2 ZINC001717837238 1203719289 /nfs/dbraw/zinc/71/92/89/1203719289.db2.gz MHZCOZBIDNTOJD-NVXWUHKLSA-N 0 1 319.474 3.113 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2c(C)noc2C)[C@H]1C ZINC001717853725 1203721920 /nfs/dbraw/zinc/72/19/20/1203721920.db2.gz KWRDZLXEGKATEJ-YOEHRIQHSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccco1)C(C)(C)C ZINC001717955870 1203735517 /nfs/dbraw/zinc/73/55/17/1203735517.db2.gz YPSIEDYNNLGPKU-AWEZNQCLSA-N 0 1 312.841 3.085 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001717965050 1203737021 /nfs/dbraw/zinc/73/70/21/1203737021.db2.gz IPMKDUYMHXIZOK-KBPBESRZSA-N 0 1 323.506 3.366 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001718025648 1203744298 /nfs/dbraw/zinc/74/42/98/1203744298.db2.gz VSEWBIORYXXRAG-UHFFFAOYSA-N 0 1 323.440 3.019 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1sc(C)cc1C)C1CC1 ZINC001723301130 1203962573 /nfs/dbraw/zinc/96/25/73/1203962573.db2.gz PHJBOXBRHGDQAJ-ZDUSSCGKSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001723329414 1203963928 /nfs/dbraw/zinc/96/39/28/1203963928.db2.gz RWTSKAQNCKJPAU-UGQYRENISA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1cc(C)ccc1C ZINC001723424643 1203970032 /nfs/dbraw/zinc/97/00/32/1203970032.db2.gz ZFHHARIQCRTWFN-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1cccc(CC)c1 ZINC001723434304 1203971376 /nfs/dbraw/zinc/97/13/76/1203971376.db2.gz WZUCCESDVXACJW-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001723446557 1203972590 /nfs/dbraw/zinc/97/25/90/1203972590.db2.gz LZRUABWYARFJPI-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccccc1CC ZINC001723446350 1203972991 /nfs/dbraw/zinc/97/29/91/1203972991.db2.gz KLWSMKYBEIEGIJ-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001723450742 1203973641 /nfs/dbraw/zinc/97/36/41/1203973641.db2.gz KZKYPNXYRBKTNW-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001723461820 1203975365 /nfs/dbraw/zinc/97/53/65/1203975365.db2.gz QHSSHAAMNHPBNB-SFHVURJKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ccccc2F)CC1 ZINC001723553496 1203981687 /nfs/dbraw/zinc/98/16/87/1203981687.db2.gz GDAAGNLKTLOIOO-KRWDZBQOSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C1CCC(C(=O)NCC2(NCc3ccccc3F)CC2)CC1 ZINC001723554221 1203982362 /nfs/dbraw/zinc/98/23/62/1203982362.db2.gz NXODIYQPONGKHQ-UHFFFAOYSA-N 0 1 316.420 3.311 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C(CC)CC ZINC001723634279 1203986058 /nfs/dbraw/zinc/98/60/58/1203986058.db2.gz WVWQBEFDFJSUCV-NXHRZFHOSA-N 0 1 304.478 3.147 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccncc2C)C1 ZINC001723762351 1203991901 /nfs/dbraw/zinc/99/19/01/1203991901.db2.gz DAVJVFKZVSONHH-UHFFFAOYSA-N 0 1 317.477 3.046 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N(C)C1CN(CCC2CCCC2)C1)OCC ZINC001723766793 1203992411 /nfs/dbraw/zinc/99/24/11/1203992411.db2.gz YGNRMZRODFTBDP-GOSISDBHSA-N 0 1 322.493 3.081 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2CCC[C@H](OC)C2)C1 ZINC001723790335 1203992650 /nfs/dbraw/zinc/99/26/50/1203992650.db2.gz FUKRGOTZUBKKCG-WMZOPIPTSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccn2C(C)C)C1 ZINC001723815333 1203994560 /nfs/dbraw/zinc/99/45/60/1203994560.db2.gz OCSPYYJFMYKYIO-OAHLLOKOSA-N 0 1 303.450 3.180 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(Cl)c2)C1 ZINC001723814428 1203994641 /nfs/dbraw/zinc/99/46/41/1203994641.db2.gz CZSSPTXVODTSBL-ZDUSSCGKSA-N 0 1 306.837 3.451 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001723931175 1203999127 /nfs/dbraw/zinc/99/91/27/1203999127.db2.gz LIZCXTTZZYVKBG-LZOLKVDOSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1ccccc1F ZINC001723950927 1203999339 /nfs/dbraw/zinc/99/93/39/1203999339.db2.gz IPKYZGYZBZJCAF-UONOGXRCSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1ccccc1F ZINC001723950926 1203999544 /nfs/dbraw/zinc/99/95/44/1203999544.db2.gz IPKYZGYZBZJCAF-KGLIPLIRSA-N 0 1 324.827 3.262 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CC(C)C)C1CCN(CC#CC)CC1 ZINC001724061090 1204002790 /nfs/dbraw/zinc/00/27/90/1204002790.db2.gz JUSZDTMINGJMNL-SFHVURJKSA-N 0 1 316.489 3.008 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(Cl)cc2)C1 ZINC001724150257 1204008680 /nfs/dbraw/zinc/00/86/80/1204008680.db2.gz BBNYUCQDGCNNRZ-SFHVURJKSA-N 0 1 318.848 3.224 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001724175261 1204011770 /nfs/dbraw/zinc/01/17/70/1204011770.db2.gz WWYVNUNNFVOWJT-KDOFPFPSSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C(C)(C)C(F)F)CC1 ZINC001724298165 1204018801 /nfs/dbraw/zinc/01/88/01/1204018801.db2.gz OBMHMVVCBPLSLB-UHFFFAOYSA-N 0 1 322.827 3.249 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCC2(C)CC2)C1 ZINC001724378788 1204025486 /nfs/dbraw/zinc/02/54/86/1204025486.db2.gz DZIRSLGIDPSSRU-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C2(C)CCCC2)C1 ZINC001724381571 1204025821 /nfs/dbraw/zinc/02/58/21/1204025821.db2.gz UVSIQGSZLGIZPR-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724500764 1204026159 /nfs/dbraw/zinc/02/61/59/1204026159.db2.gz HPFXHTWFQJLGIX-XIRDDKMYSA-N 0 1 322.880 3.476 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cccc(F)c1)c1ccccc1CC ZINC001724508731 1204026481 /nfs/dbraw/zinc/02/64/81/1204026481.db2.gz PILWIWPJBMWSIQ-LJQANCHMSA-N 0 1 324.399 3.082 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](F)C(C)C)c1ccccc1CC ZINC001724511499 1204026910 /nfs/dbraw/zinc/02/69/10/1204026910.db2.gz JXEVARJNENWBRF-QZTJIDSGSA-N 0 1 318.436 3.013 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)[nH]c1C ZINC001724738610 1204041466 /nfs/dbraw/zinc/04/14/66/1204041466.db2.gz PHPVVDFCHLEIDW-UHFFFAOYSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](CC)CCC)C2)CC1 ZINC001724760644 1204044744 /nfs/dbraw/zinc/04/47/44/1204044744.db2.gz YUNXLJIVBJDMRZ-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1COCCN1CC1CCCCCC1 ZINC001724763451 1204044920 /nfs/dbraw/zinc/04/49/20/1204044920.db2.gz HEWLFSBZCIRALI-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H](C)c3ccco3)cccc2C1 ZINC001724777926 1204045498 /nfs/dbraw/zinc/04/54/98/1204045498.db2.gz YNIIWRISSOINII-HNNXBMFYSA-N 0 1 324.424 3.244 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H]3CC[C@H](C)C3)cccc2C1 ZINC001724777009 1204045520 /nfs/dbraw/zinc/04/55/20/1204045520.db2.gz MPNZDHOLJIDZBS-IRXDYDNUSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(F)c(C)c1 ZINC001724779560 1204045603 /nfs/dbraw/zinc/04/56/03/1204045603.db2.gz OHZKHYGPTNYBHH-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(F)cc2C)CCC1 ZINC001724799345 1204048696 /nfs/dbraw/zinc/04/86/96/1204048696.db2.gz STODSZXEVPJPSQ-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccccc2)CCC1 ZINC001724798885 1204048925 /nfs/dbraw/zinc/04/89/25/1204048925.db2.gz PAZKXMXAVARGQJ-AWEZNQCLSA-N 0 1 306.837 3.171 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C/C=C\Cl)CC1(C)C ZINC001724970221 1204073330 /nfs/dbraw/zinc/07/33/30/1204073330.db2.gz JLVYLKXHRFXJBQ-QIENPNARSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ocnc2C)[C@H](C)C1 ZINC001724990765 1204075565 /nfs/dbraw/zinc/07/55/65/1204075565.db2.gz FKJNMJHBYZSRMH-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)C1(C)CCC1 ZINC001725043173 1204084891 /nfs/dbraw/zinc/08/48/91/1204084891.db2.gz SSIGKKJJDXEBFK-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1csc(Cl)c1 ZINC001725117485 1204097869 /nfs/dbraw/zinc/09/78/69/1204097869.db2.gz WWWHOCCETHJSRO-BDAKNGLRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001725262688 1204129755 /nfs/dbraw/zinc/12/97/55/1204129755.db2.gz GNDWFMDQFNRPKN-HNNXBMFYSA-N 0 1 314.473 3.149 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@H](C)CCC=C(C)C)C(C)(C)C1 ZINC001725286528 1204133222 /nfs/dbraw/zinc/13/32/22/1204133222.db2.gz FYVLLCJTTAJTEC-IAGOWNOFSA-N 0 1 304.478 3.219 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)[C@H](CC)CCC)C2)C1 ZINC001725287921 1204133630 /nfs/dbraw/zinc/13/36/30/1204133630.db2.gz WHKFBYLFDAGGBT-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)Cc2ccc(C)cc2)C(C)(C)C1 ZINC001725301594 1204135492 /nfs/dbraw/zinc/13/54/92/1204135492.db2.gz YBVHSGBFXOLNHL-AEFFLSMTSA-N 0 1 314.473 3.186 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC001725346703 1204139282 /nfs/dbraw/zinc/13/92/82/1204139282.db2.gz WZXYSMXWJDNCDH-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001725364706 1204141042 /nfs/dbraw/zinc/14/10/42/1204141042.db2.gz NSQLOTNBIQFVMI-WYUOYJLWSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001725464155 1204153315 /nfs/dbraw/zinc/15/33/15/1204153315.db2.gz RSPZZTYSOVVECI-LLBKUYECSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)[C@@H]1C ZINC001725566870 1204169116 /nfs/dbraw/zinc/16/91/16/1204169116.db2.gz LJNRKFCVWVJVSG-SUMWQHHRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@]12CCC[C@H]1N(Cc1cncs1)CC2 ZINC001725611988 1204179265 /nfs/dbraw/zinc/17/92/65/1204179265.db2.gz ZXKVSLOARFNNKS-WBVHZDCISA-N 0 1 319.474 3.113 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](NC(=O)C#CC(C)C)[C@H]2C)cs1 ZINC001725614414 1204180000 /nfs/dbraw/zinc/18/00/00/1204180000.db2.gz AZLUBQMMNVMENK-NVXWUHKLSA-N 0 1 318.486 3.185 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cccnc2C)[C@@H]1C ZINC001725627222 1204185284 /nfs/dbraw/zinc/18/52/84/1204185284.db2.gz ORABEOSXLXMLFH-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C#CCCCC(=O)N(CCN(C)Cc1cccc(F)c1)C(C)C ZINC001725729520 1204203501 /nfs/dbraw/zinc/20/35/01/1204203501.db2.gz XMUJCQGUKIZDBN-UHFFFAOYSA-N 0 1 318.436 3.298 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1ccnc(Cl)c1 ZINC001731243383 1204351045 /nfs/dbraw/zinc/35/10/45/1204351045.db2.gz KISBAIRSOKNJCK-UHFFFAOYSA-N 0 1 309.841 3.029 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001731350879 1204381743 /nfs/dbraw/zinc/38/17/43/1204381743.db2.gz MUDBYPQRDFACAD-QGZVFWFLSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001731385730 1204392112 /nfs/dbraw/zinc/39/21/12/1204392112.db2.gz HJXMZNQYMGBMQY-UHFFFAOYSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1ncc(C)s1)C1CC1 ZINC001731405077 1204399506 /nfs/dbraw/zinc/39/95/06/1204399506.db2.gz JBTNOEDNEBODAI-WMLDXEAASA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001731421017 1204407088 /nfs/dbraw/zinc/40/70/88/1204407088.db2.gz CHSMUJLNSYWEIY-ARFHVFGLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1conc1C(C)C ZINC001731427888 1204409730 /nfs/dbraw/zinc/40/97/30/1204409730.db2.gz CZCYVFHMDZDMMS-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN(CCF)C2)CCCCC1 ZINC001731506711 1204438141 /nfs/dbraw/zinc/43/81/41/1204438141.db2.gz IDOAPHONEUAWFI-MRXNPFEDSA-N 0 1 310.457 3.311 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001731541925 1204452141 /nfs/dbraw/zinc/45/21/41/1204452141.db2.gz HSXMIUKACLEVMA-DZGCQCFKSA-N 0 1 322.399 3.225 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001731542687 1204452730 /nfs/dbraw/zinc/45/27/30/1204452730.db2.gz HZIWROHIIVXSAZ-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731589496 1204474823 /nfs/dbraw/zinc/47/48/23/1204474823.db2.gz QMSJNFGNCJQAMO-ATGUSINASA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1C(C)(C)C ZINC001731598260 1204477312 /nfs/dbraw/zinc/47/73/12/1204477312.db2.gz HTVIQZGACFVEMG-ZDUSSCGKSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1ccc(Cl)cc1 ZINC001731608915 1204481130 /nfs/dbraw/zinc/48/11/30/1204481130.db2.gz QAIBVNRXULNDRK-GFCCVEGCSA-N 0 1 315.244 3.119 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001731618720 1204485115 /nfs/dbraw/zinc/48/51/15/1204485115.db2.gz YIEPZNTZTWZXIO-XOKHGSTOSA-N 0 1 320.864 3.199 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cnc(C)o2)CCCCC1 ZINC001731640464 1204493919 /nfs/dbraw/zinc/49/39/19/1204493919.db2.gz NTHBOZGQOCSVFA-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2cnccc2C)C1 ZINC001731710157 1204513754 /nfs/dbraw/zinc/51/37/54/1204513754.db2.gz DQNXVAKMYWDJDA-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H](C)c1ccccc1C ZINC001731837125 1204595267 /nfs/dbraw/zinc/59/52/67/1204595267.db2.gz ZFHOSDZZOPITPD-QZTJIDSGSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC001731884004 1204622581 /nfs/dbraw/zinc/62/25/81/1204622581.db2.gz DKAIAZSPXJSDGJ-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C)c(C)c1Cl)C1CC1 ZINC001731884512 1204624702 /nfs/dbraw/zinc/62/47/02/1204624702.db2.gz LSQUXLAMUKJZMT-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC(C)CCC(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001731889339 1204626456 /nfs/dbraw/zinc/62/64/56/1204626456.db2.gz LORHGDHUHGEZPE-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2ccc(F)cc2)CCC1 ZINC001731940411 1204632285 /nfs/dbraw/zinc/63/22/85/1204632285.db2.gz MRCHPEXNGVDHCA-UHFFFAOYSA-N 0 1 324.827 3.048 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@@H](C)CCC)c1ccccc1 ZINC001732018521 1204650782 /nfs/dbraw/zinc/65/07/82/1204650782.db2.gz KGACBDLJRCRMCZ-WMZOPIPTSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2CCC(=C)CC2)C1 ZINC001732054697 1204670559 /nfs/dbraw/zinc/67/05/59/1204670559.db2.gz UHLWEXYTEBGCDU-HNNXBMFYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cccc(C)c2C)C1 ZINC001732060491 1204674970 /nfs/dbraw/zinc/67/49/70/1204674970.db2.gz RMJURWUHCVPOLF-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccccc2C)C1 ZINC001732065521 1204676052 /nfs/dbraw/zinc/67/60/52/1204676052.db2.gz ABHDQRSOFVZQTB-OAHLLOKOSA-N 0 1 306.837 3.141 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001732090246 1204690365 /nfs/dbraw/zinc/69/03/65/1204690365.db2.gz BDCAFFADSAWLNR-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3ccncc3c2)C1 ZINC001732114460 1204698709 /nfs/dbraw/zinc/69/87/09/1204698709.db2.gz NBRDJPYUHAVLQN-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001732117443 1204701487 /nfs/dbraw/zinc/70/14/87/1204701487.db2.gz VXXBEFINIWSENI-QWHCGFSZSA-N 0 1 322.399 3.223 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001732125527 1204704594 /nfs/dbraw/zinc/70/45/94/1204704594.db2.gz CKELORVJSLDSFN-UHFFFAOYSA-N 0 1 318.436 3.118 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001732138595 1204710355 /nfs/dbraw/zinc/71/03/55/1204710355.db2.gz GQBLQPRQVTWEBE-UHFFFAOYSA-N 0 1 314.473 3.369 20 30 DGEDMN CCc1ccccc1CN1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001732143278 1204711796 /nfs/dbraw/zinc/71/17/96/1204711796.db2.gz UGWJPPAJAQJBQM-OALUTQOASA-N 0 1 324.468 3.084 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001732169903 1204725355 /nfs/dbraw/zinc/72/53/55/1204725355.db2.gz WZPUTNGAKHZRNL-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)[C@H]1CCN(CC=C)C1)c1ccccc1 ZINC001732177358 1204731233 /nfs/dbraw/zinc/73/12/33/1204731233.db2.gz OLVPCXOYRPPING-RBUKOAKNSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)[C@H]1CCN(CC=C)C1)c1ccccc1 ZINC001732177357 1204731813 /nfs/dbraw/zinc/73/18/13/1204731813.db2.gz OLVPCXOYRPPING-OALUTQOASA-N 0 1 312.457 3.455 20 30 DGEDMN CCN(C(=O)C#CC(C)C)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001732185273 1204737396 /nfs/dbraw/zinc/73/73/96/1204737396.db2.gz YRIOVARZOQTZPO-ZBWUASRJSA-N 0 1 324.468 3.282 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)NCc1cc(F)ccc1Cl ZINC001732197143 1204744943 /nfs/dbraw/zinc/74/49/43/1204744943.db2.gz IFKIYCHYIPULCD-OAHLLOKOSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1cc(O)ccc1Cl ZINC001732199960 1204746214 /nfs/dbraw/zinc/74/62/14/1204746214.db2.gz JNKUNIXHLRKJEE-AWEZNQCLSA-N 0 1 324.852 3.386 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2ncccc21 ZINC001732211207 1204751365 /nfs/dbraw/zinc/75/13/65/1204751365.db2.gz QEYIRMFJMUHCMH-CYBMUJFWSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2scnc2c1 ZINC001732217948 1204754735 /nfs/dbraw/zinc/75/47/35/1204754735.db2.gz LYGMKJHHGOUPPY-LBPRGKRZSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2ccccc2[nH]1 ZINC001732221222 1204757409 /nfs/dbraw/zinc/75/74/09/1204757409.db2.gz QNUNMZKPASWUPO-CYBMUJFWSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C(C)(C)c1ccccc1 ZINC001732222615 1204758486 /nfs/dbraw/zinc/75/84/86/1204758486.db2.gz XJVZUFNUFLXDRR-OAHLLOKOSA-N 0 1 308.853 3.201 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001732224409 1204759320 /nfs/dbraw/zinc/75/93/20/1204759320.db2.gz UQCYMGKFVWSGRM-QGZVFWFLSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2nccs2)CCCC1 ZINC001732395554 1204818306 /nfs/dbraw/zinc/81/83/06/1204818306.db2.gz RZIQOOZTHXSJNR-MRXNPFEDSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2oc(C)nc2C)CCCC1 ZINC001732395321 1204818713 /nfs/dbraw/zinc/81/87/13/1204818713.db2.gz MWRPPOOGMJYBQT-UHFFFAOYSA-N 0 1 319.449 3.166 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](C)CCCCC)CC2 ZINC001732403970 1204822865 /nfs/dbraw/zinc/82/28/65/1204822865.db2.gz NUSDSGCGUDPUDG-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN CC(C)=C(C)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001732434321 1204838806 /nfs/dbraw/zinc/83/88/06/1204838806.db2.gz GFKXUAHUUXNBSS-MRXNPFEDSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cccc(C)c1 ZINC001732459143 1204853783 /nfs/dbraw/zinc/85/37/83/1204853783.db2.gz GEXXXXLUSWYBIF-INIZCTEOSA-N 0 1 308.853 3.482 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)CC1CCCC1 ZINC001732462055 1204855403 /nfs/dbraw/zinc/85/54/03/1204855403.db2.gz QGNQRGWRJZKSCQ-HNNXBMFYSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001732575750 1204903567 /nfs/dbraw/zinc/90/35/67/1204903567.db2.gz JXMNRJCFUWCZKH-CYBMUJFWSA-N 0 1 310.388 3.382 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1ccc(Cl)cc1 ZINC001732808918 1204946177 /nfs/dbraw/zinc/94/61/77/1204946177.db2.gz UUEZUJIDDOJODR-UHFFFAOYSA-N 0 1 315.244 3.073 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1ccc(F)cc1Cl ZINC001732831538 1204949990 /nfs/dbraw/zinc/94/99/90/1204949990.db2.gz QZSSGZJZPBINHH-SNAWJCMRSA-N 0 1 310.800 3.207 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(F)c2occc21 ZINC001732937309 1204960341 /nfs/dbraw/zinc/96/03/41/1204960341.db2.gz QQDWGHOKSLETRR-IHWYPQMZSA-N 0 1 322.767 3.200 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001732948743 1204962764 /nfs/dbraw/zinc/96/27/64/1204962764.db2.gz XQTWGFYOFCJONC-ABAIWWIYSA-N 0 1 308.372 3.013 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccc(C2CCC2)cc1 ZINC001732964324 1204967398 /nfs/dbraw/zinc/96/73/98/1204967398.db2.gz FAKODSDUIXHNKM-CQSZACIVSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001732997972 1204977212 /nfs/dbraw/zinc/97/72/12/1204977212.db2.gz UAHLKNVRZURSKB-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(F)c(C(F)F)c1 ZINC001732996301 1204977306 /nfs/dbraw/zinc/97/73/06/1204977306.db2.gz UWFZWKKGSUPNBP-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1cc(C)ccc1OC ZINC001733049963 1205001847 /nfs/dbraw/zinc/00/18/47/1205001847.db2.gz PZARFUHZNWBMBB-HNNXBMFYSA-N 0 1 318.461 3.152 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(-c2ccccc2)o1 ZINC001733054815 1205005494 /nfs/dbraw/zinc/00/54/94/1205005494.db2.gz QHHVGPFWUVGHPO-HNNXBMFYSA-N 0 1 310.397 3.020 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1cc(C)on1 ZINC001733076391 1205018926 /nfs/dbraw/zinc/01/89/26/1205018926.db2.gz GXHZTNXWGOVXKF-NVXWUHKLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1cc(C)ccc1C)C1CC1 ZINC001733182223 1205035726 /nfs/dbraw/zinc/03/57/26/1205035726.db2.gz YJBMERVUENHVDO-QGZVFWFLSA-N 0 1 320.864 3.083 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001733219173 1205050237 /nfs/dbraw/zinc/05/02/37/1205050237.db2.gz KONQJOYYNYCWDW-QGZVFWFLSA-N 0 1 300.446 3.206 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3ccccc3o2)CC1 ZINC001733261959 1205073355 /nfs/dbraw/zinc/07/33/55/1205073355.db2.gz NGKJYBPKWYMKEV-UHFFFAOYSA-N 0 1 304.777 3.037 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001733307462 1205089515 /nfs/dbraw/zinc/08/95/15/1205089515.db2.gz AYLGXJBFBYRTIA-KBPBESRZSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001733311947 1205091210 /nfs/dbraw/zinc/09/12/10/1205091210.db2.gz CRFSHUCXMCHVBS-MRXNPFEDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3ccncc3c2)C1 ZINC001733314692 1205091969 /nfs/dbraw/zinc/09/19/69/1205091969.db2.gz CMWBRWRDURJSJZ-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C1CN(CCC(C)C)C1)c1ccccc1 ZINC001733318153 1205094211 /nfs/dbraw/zinc/09/42/11/1205094211.db2.gz KQCZJTJXZVKEFY-GOSISDBHSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2cc(C)no2)CC1 ZINC001733360090 1205105888 /nfs/dbraw/zinc/10/58/88/1205105888.db2.gz MKAUKBCYOCQPMA-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@H](C)c2cccc(F)c2)C1 ZINC001733395823 1205116275 /nfs/dbraw/zinc/11/62/75/1205116275.db2.gz DOSLHMRDAYRJQF-KDOFPFPSSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2cccc(Cl)c2)C1 ZINC001733400998 1205118201 /nfs/dbraw/zinc/11/82/01/1205118201.db2.gz YYGHAPTZAVTUKL-QGZVFWFLSA-N 0 1 306.837 3.387 20 30 DGEDMN C=CCCCC(=O)NCC1(C)CCN(Cc2cncs2)CC1 ZINC001733451005 1205137350 /nfs/dbraw/zinc/13/73/50/1205137350.db2.gz DBNISDHDURUQJO-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CCCN(Cc2cc(C)ns2)C1 ZINC001733456726 1205141597 /nfs/dbraw/zinc/14/15/97/1205141597.db2.gz TXLPCCLSMIJTBM-KRWDZBQOSA-N 0 1 321.490 3.136 20 30 DGEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2cc(Cl)cs2)C1 ZINC001733463096 1205144126 /nfs/dbraw/zinc/14/41/26/1205144126.db2.gz AAAZYPQJWYPHET-INIZCTEOSA-N 0 1 324.877 3.257 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001733464182 1205145209 /nfs/dbraw/zinc/14/52/09/1205145209.db2.gz DQCFCTTYVGOLEN-AJPWXKBRSA-N 0 1 316.489 3.054 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](CCC)CC(C)C)C1 ZINC001733468894 1205147322 /nfs/dbraw/zinc/14/73/22/1205147322.db2.gz SYCMLIQWUSOMPO-MJGOQNOKSA-N 0 1 306.494 3.300 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C[C@H](C)CC)C1 ZINC001733474064 1205147968 /nfs/dbraw/zinc/14/79/68/1205147968.db2.gz BWVKKCHQCNTSPW-KGLIPLIRSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)CCC(C)(F)F ZINC001733710471 1205190394 /nfs/dbraw/zinc/19/03/94/1205190394.db2.gz LYGAVACEZYFJKX-GFCCVEGCSA-N 0 1 308.800 3.145 20 30 DGEDMN O=C(C[C@H]1C=CCC1)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001733711930 1205190936 /nfs/dbraw/zinc/19/09/36/1205190936.db2.gz OJEGUTJTOWNKBO-IBGZPJMESA-N 0 1 322.452 3.023 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(c2ccccc2)CCC1 ZINC001733932205 1205262198 /nfs/dbraw/zinc/26/21/98/1205262198.db2.gz MNEDYCPYYWKQSB-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CC/C=C\c1ccccc1 ZINC001733935375 1205263944 /nfs/dbraw/zinc/26/39/44/1205263944.db2.gz GLXGMNWBMLAZOG-VPLQDYMCSA-N 0 1 324.468 3.426 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(CC)CCCCC1 ZINC001733942401 1205268003 /nfs/dbraw/zinc/26/80/03/1205268003.db2.gz AYWUJNJYMKGQRW-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)nc1 ZINC001733974050 1205276273 /nfs/dbraw/zinc/27/62/73/1205276273.db2.gz GXUPAQSYPMFHSL-QWHCGFSZSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1oc(C(C)C)nc1C ZINC001733976194 1205277278 /nfs/dbraw/zinc/27/72/78/1205277278.db2.gz QGEBRBAUXAKRCZ-UONOGXRCSA-N 0 1 321.465 3.446 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001733998561 1205284144 /nfs/dbraw/zinc/28/41/44/1205284144.db2.gz YTQYYCYMAWCWNR-CABCVRRESA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2ncsc2c1 ZINC001734000711 1205284676 /nfs/dbraw/zinc/28/46/76/1205284676.db2.gz GDDMCTWQKQWNQH-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2ncsc2c1 ZINC001734000715 1205284833 /nfs/dbraw/zinc/28/48/33/1205284833.db2.gz GDDMCTWQKQWNQH-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(F)cc1Cl ZINC001734004849 1205285857 /nfs/dbraw/zinc/28/58/57/1205285857.db2.gz RLIKXCBNJYAILZ-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1[nH]c(C)cc1C ZINC001734094822 1205320125 /nfs/dbraw/zinc/32/01/25/1205320125.db2.gz VKGYDDMTWMCNAZ-CQSZACIVSA-N 0 1 311.857 3.262 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCCCCN2CCF)CCCCC1 ZINC001734106280 1205325516 /nfs/dbraw/zinc/32/55/16/1205325516.db2.gz MCGNHFGFLDWWOF-QGZVFWFLSA-N 0 1 322.468 3.291 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001734122310 1205329975 /nfs/dbraw/zinc/32/99/75/1205329975.db2.gz POKVDXACGXGKKA-CABCVRRESA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)[C@H](CC)CCC)C2)C1 ZINC001734140374 1205332508 /nfs/dbraw/zinc/33/25/08/1205332508.db2.gz RNZOXAWFJDPKQA-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001734150779 1205335875 /nfs/dbraw/zinc/33/58/75/1205335875.db2.gz VBEBUPVCWJWLHN-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)c1F ZINC001734320265 1205364242 /nfs/dbraw/zinc/36/42/42/1205364242.db2.gz KQENLNRVNQOBLP-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1c(C)onc1CC ZINC001734346402 1205368527 /nfs/dbraw/zinc/36/85/27/1205368527.db2.gz VHXKHXLKPRLLES-FZKQIMNGSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)[C@@H](CC)CCC ZINC001734349519 1205369195 /nfs/dbraw/zinc/36/91/95/1205369195.db2.gz QINJNBMDEKLABU-OLZOCXBDSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)C[C@H]1C ZINC001734417879 1205374462 /nfs/dbraw/zinc/37/44/62/1205374462.db2.gz RWLPSKMATMYUDH-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cnccc2C)[C@@H]1C ZINC001734463905 1205382907 /nfs/dbraw/zinc/38/29/07/1205382907.db2.gz XGLFQLPVUWXOQJ-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001734566969 1205396158 /nfs/dbraw/zinc/39/61/58/1205396158.db2.gz BBMJFVYTYTYFHK-GOEBONIOSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](CC)CC2CCCCC2)CC1 ZINC001734633855 1205407652 /nfs/dbraw/zinc/40/76/52/1205407652.db2.gz KQVKMQRNLRWDMW-LJQANCHMSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@@H](CC)CC(C)C)c(F)c1 ZINC001734640572 1205408797 /nfs/dbraw/zinc/40/87/97/1205408797.db2.gz UZSFNQFOUYRKFP-INIZCTEOSA-N 0 1 318.436 3.237 20 30 DGEDMN Cc1c(Cl)c(Cl)nc(N2C[C@H](C)N(C)C[C@H]2C)c1C#N ZINC001164643060 719171055 /nfs/dbraw/zinc/17/10/55/719171055.db2.gz ZEUZREPHMMJCNU-DTWKUNHWSA-N 0 1 313.232 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(CC)c(CC)c1 ZINC001669763093 1197477065 /nfs/dbraw/zinc/47/70/65/1197477065.db2.gz PIQJOSXDQYZKPC-ZDUSSCGKSA-N 0 1 308.853 3.272 20 30 DGEDMN C[C@@H]1CCC[C@H](C(=O)[O-])[N@H+]1Cc1cc(Cl)ccc1OCC#N ZINC001594213523 959308466 /nfs/dbraw/zinc/30/84/66/959308466.db2.gz FZRMNSPLYBRSDN-BXUZGUMPSA-N 0 1 322.792 3.070 20 30 DGEDMN Cc1ccc(C[N@@H+]2C[C@@H](c3ccccc3)[C@H](C(=O)[O-])C2)cc1C#N ZINC001574367073 961044842 /nfs/dbraw/zinc/04/48/42/961044842.db2.gz XHXCZPWMNADFGN-RBUKOAKNSA-N 0 1 320.392 3.167 20 30 DGEDMN C[C@@H](C[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N)c1ccccc1 ZINC001592584561 978503203 /nfs/dbraw/zinc/50/32/03/978503203.db2.gz JNUXAKDRBXAGEM-AWEZNQCLSA-N 0 1 308.381 3.492 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1ccccc1)Cc1ccc(CC#N)cc1)C(=O)[O-] ZINC001592604443 978542551 /nfs/dbraw/zinc/54/25/51/978542551.db2.gz BTZGLROQUHQNMK-INIZCTEOSA-N 0 1 322.408 3.476 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1cccc(F)c1C#N)CC1CC1 ZINC001594788471 981836476 /nfs/dbraw/zinc/83/64/76/981836476.db2.gz YAIRLEOOWANRLN-QGZVFWFLSA-N 0 1 304.365 3.163 20 30 DGEDMN C#C[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cc(Cl)cc(Cl)c2)C1 ZINC001588382992 983376353 /nfs/dbraw/zinc/37/63/53/983376353.db2.gz MEDYVIMWPBSCMR-YGRLFVJLSA-N 0 1 312.196 3.464 20 30 DGEDMN C#CC[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C(F)(F)F)c2)C1 ZINC001588434086 983469001 /nfs/dbraw/zinc/46/90/01/983469001.db2.gz GIGIFOUNUAWQDM-SMDDNHRTSA-N 0 1 311.303 3.176 20 30 DGEDMN CC[N@@H+](CCC(C#N)(c1ccccc1)c1ccccc1)CC(=O)[O-] ZINC001596321421 983755117 /nfs/dbraw/zinc/75/51/17/983755117.db2.gz UTKYQJYRGCBERJ-UHFFFAOYSA-N 0 1 322.408 3.293 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001588664438 983799913 /nfs/dbraw/zinc/79/99/13/983799913.db2.gz VTBYTRASLHYBIV-GXTWGEPZSA-N 0 1 317.282 3.215 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1Cc2ccc(C)c(Br)c2C1 ZINC001588731021 984004199 /nfs/dbraw/zinc/00/41/99/984004199.db2.gz BPOKRJNSZRSRKD-GFCCVEGCSA-N 0 1 310.191 3.102 20 30 DGEDMN C=CC[C@@H](S[C@@H]1CCC[N@@H+](Cc2ccccc2)C1)C(=O)[O-] ZINC001588757612 984103626 /nfs/dbraw/zinc/10/36/26/984103626.db2.gz BLUYEPPKKNMBEF-HZPDHXFCSA-N 0 1 305.443 3.414 20 30 DGEDMN C=CCC[N@H+](C(C)C)[C@H](C)C(=O)Nc1c(C)csc1C(=O)[O-] ZINC001588805837 984256016 /nfs/dbraw/zinc/25/60/16/984256016.db2.gz PXRLVCXUQRCIQD-GFCCVEGCSA-N 0 1 324.446 3.368 20 30 DGEDMN C=CC[N@H+](Cc1cccc(C#N)c1)[C@H](C(=O)[O-])c1ccccc1 ZINC001588832846 984326613 /nfs/dbraw/zinc/32/66/13/984326613.db2.gz SRKRBWPQPDYFIG-SFHVURJKSA-N 0 1 306.365 3.372 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)[C@H](C(=O)[O-])c1ccccc1 ZINC001588832846 984326618 /nfs/dbraw/zinc/32/66/18/984326618.db2.gz SRKRBWPQPDYFIG-SFHVURJKSA-N 0 1 306.365 3.372 20 30 DGEDMN C[C@H](C(=O)[O-])[N@@H+](C)CCC(C#N)(c1ccccc1)c1ccccc1 ZINC001599886189 984639550 /nfs/dbraw/zinc/63/95/50/984639550.db2.gz MBVRLBRADUZQMJ-MRXNPFEDSA-N 0 1 322.408 3.291 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2ccccc2)cc1 ZINC001589334492 986202521 /nfs/dbraw/zinc/20/25/21/986202521.db2.gz FLDNAVNEOMHGII-KSSFIOAISA-N 0 1 324.380 3.235 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)[O-] ZINC001589560709 987316154 /nfs/dbraw/zinc/31/61/54/987316154.db2.gz NNZMIDLDJONJQG-YSVLISHTSA-N 0 1 312.413 3.173 20 30 DGEDMN C[N@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@H]1CCC[C@H]1C#N ZINC001598498649 992397901 /nfs/dbraw/zinc/39/79/01/992397901.db2.gz FWNXFCKSRYVZAP-JSGCOSHPSA-N 0 1 322.792 3.041 20 30 DGEDMN C[N@@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@H]1CCC[C@H]1C#N ZINC001598498649 992397910 /nfs/dbraw/zinc/39/79/10/992397910.db2.gz FWNXFCKSRYVZAP-JSGCOSHPSA-N 0 1 322.792 3.041 20 30 DGEDMN C[C@]([NH2+]CC#Cc1cccc(Cl)c1)(C(=O)[O-])c1ccccc1 ZINC001593230070 994356910 /nfs/dbraw/zinc/35/69/10/994356910.db2.gz FCOSDWBYBPGETD-GOSISDBHSA-N 0 1 313.784 3.281 20 30 DGEDMN C[C@H](c1ccc(F)c(Cl)c1)[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001593693887 996147006 /nfs/dbraw/zinc/14/70/06/996147006.db2.gz RLRMKPMJTGJRLV-SNVBAGLBSA-N 0 1 310.756 3.230 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001593699284 996169481 /nfs/dbraw/zinc/16/94/81/996169481.db2.gz TURMAGDZSYWBNE-XEHSLEBBSA-N 0 1 310.353 3.442 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)C(F)(F)F)CC1 ZINC001113203772 1082137880 /nfs/dbraw/zinc/13/78/80/1082137880.db2.gz LKEJAQOCOMFGOE-CYBMUJFWSA-N 0 1 308.388 3.299 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(Br)c(C#N)c1 ZINC001226729021 1096761390 /nfs/dbraw/zinc/76/13/90/1096761390.db2.gz HPMLYTBVMRUIJG-LBPRGKRZSA-N 0 1 322.158 3.309 20 30 DGEDMN COc1cc(Br)nc(N=C2CCC(C#N)CC2)c1 ZINC001212859526 1103083162 /nfs/dbraw/zinc/08/31/62/1103083162.db2.gz LENYLAGJLRJQQK-SECBINFHSA-N 0 1 308.179 3.472 20 30 DGEDMN CC(C)OCCN(CCOC(C)C)Cc1cc(F)cc(C#N)c1 ZINC001139874842 1081572634 /nfs/dbraw/zinc/57/26/34/1081572634.db2.gz ODZNKPUWUUGSES-UHFFFAOYSA-N 0 1 322.424 3.349 20 30 DGEDMN CCOc1ccccc1OCCNc1c[nH]c2c(C#N)cnc-2c1 ZINC001169794972 1081656701 /nfs/dbraw/zinc/65/67/01/1081656701.db2.gz ZIVBMBFAVYZQFQ-UHFFFAOYSA-N 0 1 322.368 3.324 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN(Cc2c(C)cccc2Cl)C1 ZINC001266318064 1081661466 /nfs/dbraw/zinc/66/14/66/1081661466.db2.gz YBWZQWXGFABGTF-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001266320768 1081663594 /nfs/dbraw/zinc/66/35/94/1081663594.db2.gz FVTJCOVNOHIRMN-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCN(CCN1Cc2ccccc2C[C@H]1C)C(=O)OCC ZINC001209021216 1081675288 /nfs/dbraw/zinc/67/52/88/1081675288.db2.gz SQFSVJDOBSCGHF-OAHLLOKOSA-N 0 1 302.418 3.078 20 30 DGEDMN CC(C)(C)c1nc2nc[nH]c2c(NC2=CC(=O)CC(C)(C)C2)n1 ZINC001169946866 1081683165 /nfs/dbraw/zinc/68/31/65/1081683165.db2.gz OVVSPKOYVSHJBH-UHFFFAOYSA-N 0 1 313.405 3.335 20 30 DGEDMN C=CCCCN1CCC(F)(F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001209163402 1081694738 /nfs/dbraw/zinc/69/47/38/1081694738.db2.gz DOBGAVHXIZNQDI-GFCCVEGCSA-N 0 1 304.381 3.187 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C(F)=C2CCCC2)CC1 ZINC001266386394 1081729083 /nfs/dbraw/zinc/72/90/83/1081729083.db2.gz CTUVVXQGEYPYNP-UHFFFAOYSA-N 0 1 306.425 3.120 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cccc2c1CCCCC2 ZINC001266397107 1081744809 /nfs/dbraw/zinc/74/48/09/1081744809.db2.gz SBBBPEYNPHUINY-UHFFFAOYSA-N 0 1 312.457 3.031 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cc(F)ccc1C ZINC001109046631 1081754820 /nfs/dbraw/zinc/75/48/20/1081754820.db2.gz ULNVBWKMWZUPNQ-SQNIBIBYSA-N 0 1 316.420 3.322 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H](NCc1ccccc1C#N)C1CC1 ZINC001266423163 1081782040 /nfs/dbraw/zinc/78/20/40/1081782040.db2.gz BZXOINCPDVHTHW-UCSSQIFYSA-N 0 1 323.440 3.065 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C/c1cccc(F)c1)C2 ZINC001109417466 1081829612 /nfs/dbraw/zinc/82/96/12/1081829612.db2.gz CSASITRHDMSGTN-HLAKTZFNSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1cccc(Cl)c1 ZINC001266451082 1081839148 /nfs/dbraw/zinc/83/91/48/1081839148.db2.gz ZTMRXOUMRWVAJT-MRXNPFEDSA-N 0 1 306.837 3.387 20 30 DGEDMN C#Cc1ccc(Nc2ccccc2CN2CCN(C)CC2)cc1 ZINC001210114325 1081901012 /nfs/dbraw/zinc/90/10/12/1081901012.db2.gz CFGHWHRFGGYCME-UHFFFAOYSA-N 0 1 305.425 3.159 20 30 DGEDMN O=C(CCCC1CC1)NC[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001266524900 1081945789 /nfs/dbraw/zinc/94/57/89/1081945789.db2.gz AAGYLKVXZIBCJA-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN O=C(CCCC1CC1)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001266524900 1081945793 /nfs/dbraw/zinc/94/57/93/1081945793.db2.gz AAGYLKVXZIBCJA-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN CCC(=O)NCC1(NCc2cc(F)ccc2C#N)CCCCC1 ZINC001115369265 1081955877 /nfs/dbraw/zinc/95/58/77/1081955877.db2.gz GPMJRUBLQZMOHU-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN C=CCCC(=O)N1CCN(CC[C@H]2CCc3ccccc32)CC1 ZINC001112688311 1081990441 /nfs/dbraw/zinc/99/04/41/1081990441.db2.gz NBBCMEFJUIIJMG-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCOCCCC(=O)N1CCN(CCCCCCC)CC1 ZINC001112757397 1081997731 /nfs/dbraw/zinc/99/77/31/1081997731.db2.gz MGDXZHIGYLLXQL-UHFFFAOYSA-N 0 1 310.482 3.084 20 30 DGEDMN C#CCCCCC(=O)N1CCN(CCCC2CCCC2)CC1 ZINC001112774396 1082001637 /nfs/dbraw/zinc/00/16/37/1082001637.db2.gz VMKFIPLNZXJBPF-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C#CCCCC(=O)N1CCN(CCC2CCCCCC2)CC1 ZINC001112809454 1082008192 /nfs/dbraw/zinc/00/81/92/1082008192.db2.gz HFNXEZAZFSCQMV-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC[C@@H]2CCc3ccccc32)CC1 ZINC001112809557 1082008234 /nfs/dbraw/zinc/00/82/34/1082008234.db2.gz KWOCEAKOFGGXMC-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001112837217 1082019428 /nfs/dbraw/zinc/01/94/28/1082019428.db2.gz XXCNSLSEGOSKRA-IBGZPJMESA-N 0 1 324.468 3.258 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2sc(C)cc2C)CC1 ZINC001112891007 1082039789 /nfs/dbraw/zinc/03/97/89/1082039789.db2.gz CXGSCVOOYGRLPZ-AWEZNQCLSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(C[C@H]2CCCC(F)(F)C2)CC1 ZINC001112901863 1082045228 /nfs/dbraw/zinc/04/52/28/1082045228.db2.gz ACFFMMSELBCTIW-HNNXBMFYSA-N 0 1 314.420 3.312 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CC[C@@H](CC)O2)CC1 ZINC001112904356 1082046730 /nfs/dbraw/zinc/04/67/30/1082046730.db2.gz PZRFWQVJJAAJCB-SJORKVTESA-N 0 1 310.482 3.059 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccccc1C(C)(C)C)C1CC1 ZINC001266596531 1082047140 /nfs/dbraw/zinc/04/71/40/1082047140.db2.gz IGNJMKWUGKFTLE-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CC/C=C\CCC)CC1)OCC ZINC001112906820 1082047350 /nfs/dbraw/zinc/04/73/50/1082047350.db2.gz PPAZAFPITDGRBA-GIFJBRJJSA-N 0 1 322.493 3.248 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCCO[C@@H]2CC)CC1 ZINC001112940173 1082057622 /nfs/dbraw/zinc/05/76/22/1082057622.db2.gz XSAZTDHTSIKLLT-QZTJIDSGSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(F)ccc2F)CC1 ZINC001112967271 1082067770 /nfs/dbraw/zinc/06/77/70/1082067770.db2.gz HFBJZJNRANEDPU-ZDUSSCGKSA-N 0 1 308.372 3.077 20 30 DGEDMN CCCCCCCN1CCN(C(=O)COCC2CCCC2)CC1 ZINC001112976907 1082075768 /nfs/dbraw/zinc/07/57/68/1082075768.db2.gz BDMJHOFUUUSYBJ-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)COCC2CCCC2)CC1 ZINC001112976939 1082076225 /nfs/dbraw/zinc/07/62/25/1082076225.db2.gz DEILPZOEKDZVNB-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccsc2COC)CC1 ZINC001113013466 1082086691 /nfs/dbraw/zinc/08/66/91/1082086691.db2.gz DAFVCDNAAAHKCL-AWEZNQCLSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(c3ccccc3)CCC2)CC1 ZINC001113081042 1082109059 /nfs/dbraw/zinc/10/90/59/1082109059.db2.gz JPKYXLWAVRPQGE-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccnc2C(F)F)CC1 ZINC001113086026 1082112920 /nfs/dbraw/zinc/11/29/20/1082112920.db2.gz SKTVYTQNUUJHJI-ZDUSSCGKSA-N 0 1 323.387 3.132 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ncc(C)cc2C)CC1 ZINC001113134220 1082122570 /nfs/dbraw/zinc/12/25/70/1082122570.db2.gz YHKDVLJAVOQEHU-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001266662820 1082122704 /nfs/dbraw/zinc/12/27/04/1082122704.db2.gz RHMMZXHRLSQXLR-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN Cc1cc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)cc(C)c1C#N ZINC001161319097 1082123778 /nfs/dbraw/zinc/12/37/78/1082123778.db2.gz AASCFTAWNYQPRU-UHFFFAOYSA-N 0 1 308.263 3.169 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C)nc2C(C)C)CC1 ZINC001113167062 1082130024 /nfs/dbraw/zinc/13/00/24/1082130024.db2.gz YBOTXSQEDVVIHE-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113217327 1082141333 /nfs/dbraw/zinc/14/13/33/1082141333.db2.gz CLWQRFPINPCLPY-QZTJIDSGSA-N 0 1 314.473 3.222 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113230273 1082143901 /nfs/dbraw/zinc/14/39/01/1082143901.db2.gz ZXBATZCSMGJNPO-HOTGVXAUSA-N 0 1 304.478 3.169 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001113232545 1082145004 /nfs/dbraw/zinc/14/50/04/1082145004.db2.gz UAJRSYXFSPSIGC-FQEVSTJZSA-N 0 1 324.468 3.402 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](CCC)OCC)CC1 ZINC001113235135 1082146001 /nfs/dbraw/zinc/14/60/01/1082146001.db2.gz UCWUOHUVPROMMV-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccoc2C2CC2)CC1 ZINC001113260117 1082152038 /nfs/dbraw/zinc/15/20/38/1082152038.db2.gz WSRLDOZFQGQSBI-CQSZACIVSA-N 0 1 302.418 3.270 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(C(F)F)CCCC2)CC1 ZINC001113255002 1082155499 /nfs/dbraw/zinc/15/54/99/1082155499.db2.gz XQCVGWQJZRDOCY-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN N#Cc1ccc(CN2CC3(CN(Cc4ccccc4)C3)C2)cc1F ZINC000706917278 1082159027 /nfs/dbraw/zinc/15/90/27/1082159027.db2.gz WMFNMBAOLFMVLM-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1cccc(C(=O)OC)c1 ZINC001167461499 1082175093 /nfs/dbraw/zinc/17/50/93/1082175093.db2.gz KLGQMAQNWIPUHV-UHFFFAOYSA-N 0 1 318.417 3.090 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)CCc2ccccc2F)CC1 ZINC001113343392 1082181704 /nfs/dbraw/zinc/18/17/04/1082181704.db2.gz IZFNCYHSKJXEJO-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C(C)C)cn2)CC1 ZINC001113345750 1082182706 /nfs/dbraw/zinc/18/27/06/1082182706.db2.gz DTXWEDYEEWNFMX-INIZCTEOSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc[nH]c2C2CCC2)CC1 ZINC001113344241 1082182820 /nfs/dbraw/zinc/18/28/20/1082182820.db2.gz PQJUROKGZHFOHH-OAHLLOKOSA-N 0 1 315.461 3.395 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(F)c2Cl)CC1 ZINC001113381013 1082191136 /nfs/dbraw/zinc/19/11/36/1082191136.db2.gz YMAPZHQOGXMBSG-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2sc(C)cc2OC)CC1 ZINC001113401737 1082196622 /nfs/dbraw/zinc/19/66/22/1082196622.db2.gz PGERVUCYOTUEAD-ZDUSSCGKSA-N 0 1 322.474 3.178 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2C)CC1 ZINC001113420866 1082207640 /nfs/dbraw/zinc/20/76/40/1082207640.db2.gz FIOPRICFCUSZAP-RBUKOAKNSA-N 0 1 312.457 3.209 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2(CC)CCOCC2)CC1 ZINC001113459606 1082220089 /nfs/dbraw/zinc/22/00/89/1082220089.db2.gz FRWCHPNRZBGHKX-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCO[C@@H](CC)C2)CC1 ZINC001113502922 1082235426 /nfs/dbraw/zinc/23/54/26/1082235426.db2.gz XCYDBXMLDJDZQB-ROUUACIJSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCO[C@@H](CC)C2)CC1 ZINC001113502725 1082235556 /nfs/dbraw/zinc/23/55/56/1082235556.db2.gz NXDBCTZOBDFWHU-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ncsc2C(C)C)CC1 ZINC001113525442 1082238545 /nfs/dbraw/zinc/23/85/45/1082238545.db2.gz RTAAZXCCTQBERD-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC001113577293 1082252772 /nfs/dbraw/zinc/25/27/72/1082252772.db2.gz RLWTYEVWCQWDAC-UHFFFAOYSA-N 0 1 318.461 3.423 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccn2C(C)C)CC1 ZINC001113635497 1082269065 /nfs/dbraw/zinc/26/90/65/1082269065.db2.gz CDAHYMMGYYZTGQ-INIZCTEOSA-N 0 1 303.450 3.182 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CCC(=C)C)CC1)c1ccccc1 ZINC001113666993 1082273369 /nfs/dbraw/zinc/27/33/69/1082273369.db2.gz KCYPWONHDOCQMS-GOSISDBHSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(CCCC)CC1)c1ccccc1 ZINC001113662854 1082274123 /nfs/dbraw/zinc/27/41/23/1082274123.db2.gz LZIFEFYGPHFJSV-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](CCC=C)c1ccccc1 ZINC001266859237 1082276604 /nfs/dbraw/zinc/27/66/04/1082276604.db2.gz KCLMPPYDDVDUSE-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001086603883 1082278160 /nfs/dbraw/zinc/27/81/60/1082278160.db2.gz APDSCWZPIILCKS-NLWGTHIKSA-N 0 1 310.441 3.113 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2cc(C)sc2C)CC1 ZINC001113699619 1082285877 /nfs/dbraw/zinc/28/58/77/1082285877.db2.gz LEZXXIRHMFIJFK-UHFFFAOYSA-N 0 1 320.502 3.408 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)Cc2cccc(F)c2)CC1 ZINC001113709370 1082289075 /nfs/dbraw/zinc/28/90/75/1082289075.db2.gz ODQOHYUQVVONLP-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(C)CC=CC2)C1 ZINC001086607947 1082301265 /nfs/dbraw/zinc/30/12/65/1082301265.db2.gz CWYJHPAQAOPOSG-ZWKOTPCHSA-N 0 1 310.441 3.113 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2[C@H]3CN(C/C=C/Cl)C[C@H]32)CCCCC1 ZINC001266904473 1082324245 /nfs/dbraw/zinc/32/42/45/1082324245.db2.gz JMRMKGNGYUDCMH-LXMKEIBQSA-N 0 1 322.880 3.312 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266922701 1082343302 /nfs/dbraw/zinc/34/33/02/1082343302.db2.gz SCGJJRUYVPMQDV-OAHLLOKOSA-N 0 1 320.864 3.222 20 30 DGEDMN N#CC1(c2ccccc2)CCC(NCc2ncc(F)cn2)CC1 ZINC001170360039 1082351799 /nfs/dbraw/zinc/35/17/99/1082351799.db2.gz OAWIUIWUMSCBCT-UHFFFAOYSA-N 0 1 310.376 3.109 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001266963233 1082381037 /nfs/dbraw/zinc/38/10/37/1082381037.db2.gz BTTMREREMOPNOY-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2cccc(F)c2F)CC1 ZINC001266969062 1082388648 /nfs/dbraw/zinc/38/86/48/1082388648.db2.gz ZGVFVRFSIXJMCN-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC[C@H](C)c1ccccc1 ZINC001266974205 1082392950 /nfs/dbraw/zinc/39/29/50/1082392950.db2.gz CEZQPWYVZRSESE-ZFWWWQNUSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)c3ccccc3Cl)C[C@H]21 ZINC001114001675 1082395603 /nfs/dbraw/zinc/39/56/03/1082395603.db2.gz GRASNAJMJRIJLW-TXPWEPMLSA-N 0 1 318.848 3.414 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001267061176 1082464747 /nfs/dbraw/zinc/46/47/47/1082464747.db2.gz JEYGDILYMZJRMT-HUUCEWRRSA-N 0 1 319.449 3.084 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)C=C2CCC2)C1 ZINC001267102205 1082515695 /nfs/dbraw/zinc/51/56/95/1082515695.db2.gz DERPMXMMBHPTKZ-HXUWFJFHSA-N 0 1 322.452 3.071 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@@H](C)NC/C(Cl)=C/Cl ZINC001267143033 1082560510 /nfs/dbraw/zinc/56/05/10/1082560510.db2.gz JNZSPZCBUCRWHJ-NQFXMWKNSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cccc(C)c2s1 ZINC001130465161 1082581976 /nfs/dbraw/zinc/58/19/76/1082581976.db2.gz URJHLTITCMRQIZ-UHFFFAOYSA-N 0 1 308.834 3.282 20 30 DGEDMN CCCc1ccccc1C(=O)NCCNCC#Cc1ccccc1 ZINC001131120647 1082643853 /nfs/dbraw/zinc/64/38/53/1082643853.db2.gz UBFIARKTWIDYTP-UHFFFAOYSA-N 0 1 320.436 3.010 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)CCc1ccc(F)c(F)c1 ZINC001267215853 1082649221 /nfs/dbraw/zinc/64/92/21/1082649221.db2.gz PTQCTSIMJWUUEW-SFHVURJKSA-N 0 1 324.415 3.158 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[N@H+](C)CCc1ccccc1F ZINC001267219349 1082652257 /nfs/dbraw/zinc/65/22/57/1082652257.db2.gz QHAVXDNAEKPHCJ-UHFFFAOYSA-N 0 1 306.425 3.019 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC001125652685 1082680128 /nfs/dbraw/zinc/68/01/28/1082680128.db2.gz GYHRZKLGZLYNCU-HNNXBMFYSA-N 0 1 300.446 3.152 20 30 DGEDMN CCC(CC)C(=O)NCCN(CC)Cc1ccc(C#N)c(F)c1 ZINC001267236863 1082680690 /nfs/dbraw/zinc/68/06/90/1082680690.db2.gz ROMBDROQKYPTEE-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(F)cc(Cl)c3)[nH]c2c1 ZINC001131673880 1082704903 /nfs/dbraw/zinc/70/49/03/1082704903.db2.gz DCVURYWZSQJPIG-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)CC(C)=C(C)C)C[C@H](C)O2 ZINC001131674184 1082705125 /nfs/dbraw/zinc/70/51/25/1082705125.db2.gz VKHVCYVMORCTEW-PKOBYXMFSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@]2(C1)CN(C(=O)CC(C)=C(C)C)C[C@@H](C)O2 ZINC001131674183 1082705282 /nfs/dbraw/zinc/70/52/82/1082705282.db2.gz VKHVCYVMORCTEW-MJGOQNOKSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001267271817 1082731846 /nfs/dbraw/zinc/73/18/46/1082731846.db2.gz CUFZSZVBORWSNJ-FUHWJXTLSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(CCc2ccccc2)CC1 ZINC001267282563 1082746212 /nfs/dbraw/zinc/74/62/12/1082746212.db2.gz FGCFVZFQRPIIQV-IBGZPJMESA-N 0 1 324.468 3.003 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccc(OC)cc2)C1 ZINC001131899511 1082757842 /nfs/dbraw/zinc/75/78/42/1082757842.db2.gz MYSQNVUBCKOQJF-WBVHZDCISA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccc(OC)c2)C1 ZINC001131895528 1082763146 /nfs/dbraw/zinc/76/31/46/1082763146.db2.gz KDJRPTFQLKOTAC-NVXWUHKLSA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001131975798 1082780196 /nfs/dbraw/zinc/78/01/96/1082780196.db2.gz DNDMQGALQDDPSF-NVXWUHKLSA-N 0 1 318.486 3.411 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)N(C/C=C/c2ccccc2)C1 ZINC001132015882 1082796353 /nfs/dbraw/zinc/79/63/53/1082796353.db2.gz ARYKADFJVUYADK-MRRRUUNJSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(CCc2ccns2)C1 ZINC001132072572 1082808167 /nfs/dbraw/zinc/80/81/67/1082808167.db2.gz MBUGERBPJWUCIT-LSDHHAIUSA-N 0 1 321.490 3.011 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cnccc2C)C1 ZINC001132064168 1082812271 /nfs/dbraw/zinc/81/22/71/1082812271.db2.gz FPKZDCAWUSIBST-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2cncc(C)c2)C1 ZINC001132065585 1082813126 /nfs/dbraw/zinc/81/31/26/1082813126.db2.gz WJWBPVGYKJWKIV-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2scnc2C)C1 ZINC001132065543 1082814247 /nfs/dbraw/zinc/81/42/47/1082814247.db2.gz VRXDCXBZLUEGHD-DZGCQCFKSA-N 0 1 321.490 3.277 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CC2(CC2)C1)c1ccc(C(C)C)cc1 ZINC001267345509 1082822000 /nfs/dbraw/zinc/82/20/00/1082822000.db2.gz GOVHOBIMNSSFME-LJQANCHMSA-N 0 1 324.468 3.380 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccnc2C)C1 ZINC001132256350 1082859115 /nfs/dbraw/zinc/85/91/15/1082859115.db2.gz MNENPHMXSQUYSR-QAPCUYQASA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(CCc2ccns2)C1 ZINC001132256067 1082859662 /nfs/dbraw/zinc/85/96/62/1082859662.db2.gz IUAUXEJCSGIJFK-LSDHHAIUSA-N 0 1 321.490 3.011 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132302419 1082871444 /nfs/dbraw/zinc/87/14/44/1082871444.db2.gz SHGIOGATNWHKKM-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC/C=C/c2ccccc2)CC[C@H]1C ZINC001132294490 1082874458 /nfs/dbraw/zinc/87/44/58/1082874458.db2.gz DHEABBPJGHYYOA-MRDYICJFSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC/C=C/c2ccccc2)CC[C@H]1C ZINC001132296530 1082874492 /nfs/dbraw/zinc/87/44/92/1082874492.db2.gz RXCVLNJXUXHVJT-FVDXIBTGSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132304305 1082875850 /nfs/dbraw/zinc/87/58/50/1082875850.db2.gz MIFDINUZKOVIRQ-UHFFFAOYSA-N 0 1 308.853 3.457 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001267423659 1082877347 /nfs/dbraw/zinc/87/73/47/1082877347.db2.gz RVSMMDHYNNEMBD-QRQLOZEOSA-N 0 1 312.457 3.464 20 30 DGEDMN CC(C)CCCC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001267430742 1082881307 /nfs/dbraw/zinc/88/13/07/1082881307.db2.gz HMRPIKRZCMDMLB-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1ccc(F)cc1Cl ZINC001132398633 1082899274 /nfs/dbraw/zinc/89/92/74/1082899274.db2.gz HZYJCOYFIVSZKD-UHFFFAOYSA-N 0 1 324.827 3.269 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)CC(F)(F)F)CC[C@H]1C ZINC001132401860 1082899955 /nfs/dbraw/zinc/89/99/55/1082899955.db2.gz GEVSEUJBASGHCK-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)CC(F)(F)F)CC[C@@H]1C ZINC001132401862 1082900089 /nfs/dbraw/zinc/90/00/89/1082900089.db2.gz GEVSEUJBASGHCK-RYUDHWBXSA-N 0 1 306.372 3.120 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCC2CCCCC2)CC[C@@H]1C ZINC001132463142 1082917847 /nfs/dbraw/zinc/91/78/47/1082917847.db2.gz CHWHUOCTRVHAIJ-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccsc2)C1 ZINC001267482819 1082939251 /nfs/dbraw/zinc/93/92/51/1082939251.db2.gz WZUFDFSQJXYGNJ-GDBMZVCRSA-N 0 1 306.475 3.351 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C(CC(C)C)CC(C)C)CC[C@@H]1C ZINC001132537463 1082941089 /nfs/dbraw/zinc/94/10/89/1082941089.db2.gz PAYFHIGODTVHHZ-WMZOPIPTSA-N 0 1 306.494 3.297 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C/C=C\c2ccc(C)cc2)CC[C@@H]1C ZINC001132549575 1082942883 /nfs/dbraw/zinc/94/28/83/1082942883.db2.gz VPOKFMVGOFTLJA-FYVKXAJJSA-N 0 1 310.441 3.001 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C/c2ccc(C)cc2)CC[C@H]1C ZINC001132548571 1082943165 /nfs/dbraw/zinc/94/31/65/1082943165.db2.gz GCROOULGCFOZFQ-YKMDAGRBSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132572883 1082949268 /nfs/dbraw/zinc/94/92/68/1082949268.db2.gz HKCIOWPJVRSRDO-CHWSQXEVSA-N 0 1 324.852 3.013 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001267496008 1082953735 /nfs/dbraw/zinc/95/37/35/1082953735.db2.gz KBCRDWIKCKHEPR-OPQOLIRYSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccoc1)c1ccc(C(C)C)cc1 ZINC001267512369 1082972610 /nfs/dbraw/zinc/97/26/10/1082972610.db2.gz INTUDZOGFASKHY-LJQANCHMSA-N 0 1 324.424 3.487 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1c(C)cccc1Cl ZINC001132660812 1082974197 /nfs/dbraw/zinc/97/41/97/1082974197.db2.gz UIBZDUCVEVWWPQ-AWEZNQCLSA-N 0 1 308.853 3.457 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C)c(C)s1)c1ccccc1 ZINC001267524922 1082986595 /nfs/dbraw/zinc/98/65/95/1082986595.db2.gz HRCWNJRCQUTMPG-INIZCTEOSA-N 0 1 312.438 3.059 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C=C2CCCCC2)C1 ZINC001267539246 1083003480 /nfs/dbraw/zinc/00/34/80/1083003480.db2.gz QVZBDXZMBOWCJN-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(F)cc(F)c2)C1 ZINC001267549589 1083020344 /nfs/dbraw/zinc/02/03/44/1083020344.db2.gz YQKGXRMYGIQXQH-INIZCTEOSA-N 0 1 308.372 3.077 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@H](C)n2cccc2)C1 ZINC001267567449 1083048588 /nfs/dbraw/zinc/04/85/88/1083048588.db2.gz PIXUMFLXEGAKQE-JKSUJKDBSA-N 0 1 323.868 3.020 20 30 DGEDMN C=CCCCC(=O)NCCNCc1nc(C)c(C(C)(C)C)s1 ZINC001133142678 1083059139 /nfs/dbraw/zinc/05/91/39/1083059139.db2.gz HBKWQDOCIKBSME-UHFFFAOYSA-N 0 1 323.506 3.311 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cccn2C(C)C)C1 ZINC001267571487 1083064892 /nfs/dbraw/zinc/06/48/92/1083064892.db2.gz NAMNDWZXXLNIRK-HNNXBMFYSA-N 0 1 323.868 3.263 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)Cc1ccc(Cl)nc1 ZINC001480998560 1083069687 /nfs/dbraw/zinc/06/96/87/1083069687.db2.gz RSOQUAHKMFPGHK-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001481005109 1083072212 /nfs/dbraw/zinc/07/22/12/1083072212.db2.gz PBVBIVDIBROMBW-LJQANCHMSA-N 0 1 314.473 3.025 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1C ZINC001481031583 1083106066 /nfs/dbraw/zinc/10/60/66/1083106066.db2.gz YGJRXGZCEUACFL-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1C ZINC001481031582 1083106116 /nfs/dbraw/zinc/10/61/16/1083106116.db2.gz YGJRXGZCEUACFL-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2ccc(Cl)cc2F)CC1 ZINC001133369004 1083107183 /nfs/dbraw/zinc/10/71/83/1083107183.db2.gz MIEILTRWMVFBQU-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=CCCC1(C(=O)NCCN[C@H](C)c2ccc(F)cc2F)CC1 ZINC001133378750 1083110120 /nfs/dbraw/zinc/11/01/20/1083110120.db2.gz MBSWBQXHWHWIDA-CYBMUJFWSA-N 0 1 322.399 3.478 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001133382902 1083111667 /nfs/dbraw/zinc/11/16/67/1083111667.db2.gz CTEVBXJIHVEOQD-QGZVFWFLSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ccc(Cl)cc2F)CCC1 ZINC001133392142 1083114377 /nfs/dbraw/zinc/11/43/77/1083114377.db2.gz JFPADYKLWDNGNM-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CN(C)CC=C(Cl)Cl)C1 ZINC001267589777 1083114542 /nfs/dbraw/zinc/11/45/42/1083114542.db2.gz QJRVXUVNUWOLJZ-CYBMUJFWSA-N 0 1 319.276 3.442 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cc(F)ccc2Cl)CCC1 ZINC001133392441 1083114601 /nfs/dbraw/zinc/11/46/01/1083114601.db2.gz XDUHEWZMLWBUKF-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001481051606 1083135728 /nfs/dbraw/zinc/13/57/28/1083135728.db2.gz FFPAYSQDAGCMQM-FUHWJXTLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2ccc(C)cc2Cl)C1 ZINC001133564211 1083151839 /nfs/dbraw/zinc/15/18/39/1083151839.db2.gz JVRISIQIXLKSFF-UHFFFAOYSA-N 0 1 306.837 3.211 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481108744 1083182155 /nfs/dbraw/zinc/18/21/55/1083182155.db2.gz CZVIIADPQHSUQN-TZIWHRDSSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001267609751 1083195173 /nfs/dbraw/zinc/19/51/73/1083195173.db2.gz BIEALVKKCMPSOO-AEFFLSMTSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001133888297 1083207376 /nfs/dbraw/zinc/20/73/76/1083207376.db2.gz HXZFVCMZZODSPN-CHWSQXEVSA-N 0 1 309.479 3.045 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(CCC)n1 ZINC001133887982 1083209733 /nfs/dbraw/zinc/20/97/33/1083209733.db2.gz DRRWMILFSWGRHM-KGLIPLIRSA-N 0 1 323.506 3.435 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1cccc(F)c1)C1CC1 ZINC001481181226 1083227977 /nfs/dbraw/zinc/22/79/77/1083227977.db2.gz OLRGVGZGLIRHBD-UHFFFAOYSA-N 0 1 304.409 3.119 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](C)c1cc2ccccc2o1)C1CC1 ZINC001481193429 1083235983 /nfs/dbraw/zinc/23/59/83/1083235983.db2.gz UVJGQASBFIQRBR-OAHLLOKOSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CCC2CCCCCC2)C1 ZINC001267656060 1083248994 /nfs/dbraw/zinc/24/89/94/1083248994.db2.gz DCGPZNMGJJTYML-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001267656531 1083249227 /nfs/dbraw/zinc/24/92/27/1083249227.db2.gz OSPNVRGFJKFZPF-OAHLLOKOSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2cc(C)cc(C)c2)C1 ZINC001267664264 1083252867 /nfs/dbraw/zinc/25/28/67/1083252867.db2.gz AULMBOBPUDOTFO-UHFFFAOYSA-N 0 1 300.446 3.003 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H](CN(C)CC(=C)Cl)C1 ZINC001267671796 1083257138 /nfs/dbraw/zinc/25/71/38/1083257138.db2.gz WQBHNAPZJMGLJP-MRXNPFEDSA-N 0 1 310.869 3.103 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2CC3(CCC3)C2)C1 ZINC001267672105 1083257196 /nfs/dbraw/zinc/25/71/96/1083257196.db2.gz ZFENCBPUVMLCFB-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001267683025 1083261669 /nfs/dbraw/zinc/26/16/69/1083261669.db2.gz IHCWXGJBRHOMLV-HOTGVXAUSA-N 0 1 319.449 3.181 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@H](C)NCc1ncc(CC)o1 ZINC001134453743 1083294845 /nfs/dbraw/zinc/29/48/45/1083294845.db2.gz KWZZJIMNWQJMQZ-KBPBESRZSA-N 0 1 321.465 3.212 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@H](C)NCc1cscn1 ZINC001134457094 1083295919 /nfs/dbraw/zinc/29/59/19/1083295919.db2.gz JQOBSCVSXPOWDG-QWHCGFSZSA-N 0 1 309.479 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001134457940 1083296018 /nfs/dbraw/zinc/29/60/18/1083296018.db2.gz WFGBZEUOUZZCAR-CHWSQXEVSA-N 0 1 323.506 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001267747474 1083314029 /nfs/dbraw/zinc/31/40/29/1083314029.db2.gz VVLYQKWSIOHZDL-MRXNPFEDSA-N 0 1 304.409 3.037 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@@H](c3cccnc3)C2)c1Cl ZINC001141112107 1083337920 /nfs/dbraw/zinc/33/79/20/1083337920.db2.gz PLFIGUCNNFSNOA-LLVKDONJSA-N 0 1 318.833 3.240 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001267808806 1083357793 /nfs/dbraw/zinc/35/77/93/1083357793.db2.gz YJPBQJLHEJMVLM-MRXNPFEDSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c[nH]c2ccc(F)cc12 ZINC001267830345 1083404300 /nfs/dbraw/zinc/40/43/00/1083404300.db2.gz PSOYVHDBXWAOBK-GFCCVEGCSA-N 0 1 323.799 3.158 20 30 DGEDMN CCC[C@H](C)CC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001181500437 1083479793 /nfs/dbraw/zinc/47/97/93/1083479793.db2.gz BNMFVLAZSMZUPV-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)Cc1ccc(-c2ccccc2)c(F)c1 ZINC001182686439 1083779714 /nfs/dbraw/zinc/77/97/14/1083779714.db2.gz OECNTVHMJOLBLI-UHFFFAOYSA-N 0 1 320.327 3.269 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)[C@H](C)c3ccc(C#N)cc3)ccc12 ZINC001182797757 1083796809 /nfs/dbraw/zinc/79/68/09/1083796809.db2.gz JPYBNECGLXAZNT-LLVKDONJSA-N 0 1 304.353 3.485 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CC2CCCCCC2)C1 ZINC001268027370 1083808579 /nfs/dbraw/zinc/80/85/79/1083808579.db2.gz VFHUJTJOSYMGNB-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCF)CCCC1 ZINC001481391037 1083853685 /nfs/dbraw/zinc/85/36/85/1083853685.db2.gz QTLJIUSEJNPYTA-IYBDPMFKSA-N 0 1 308.441 3.158 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001481407568 1083881075 /nfs/dbraw/zinc/88/10/75/1083881075.db2.gz UEOUECFEYZOUOC-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN(CC=C(Cl)Cl)C1 ZINC001481430607 1083908116 /nfs/dbraw/zinc/90/81/16/1083908116.db2.gz AWTXFGABZAOBMJ-CYBMUJFWSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001481440705 1083918816 /nfs/dbraw/zinc/91/88/16/1083918816.db2.gz TWVUPBWYYOCYPV-RBSFLKMASA-N 0 1 312.885 3.249 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C=C2CCC2)C1 ZINC001087424940 1083968519 /nfs/dbraw/zinc/96/85/19/1083968519.db2.gz YCRCUMFDLZZSPI-RTBURBONSA-N 0 1 310.441 3.257 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)CCCC1CC1 ZINC001268082382 1084123517 /nfs/dbraw/zinc/12/35/17/1084123517.db2.gz WCVYEOPPOZUJSR-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001268093455 1084152372 /nfs/dbraw/zinc/15/23/72/1084152372.db2.gz QDXJSJHCAJFSRV-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CNCc2cscn2)[C@H](C)C1 ZINC001184515213 1084208715 /nfs/dbraw/zinc/20/87/15/1084208715.db2.gz ZGGBZUXHAYYKDI-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001087434458 1084214842 /nfs/dbraw/zinc/21/48/42/1084214842.db2.gz NGPVVGHHODBHQJ-NXWXRZEISA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC001087434459 1084215428 /nfs/dbraw/zinc/21/54/28/1084215428.db2.gz NGPVVGHHODBHQJ-WZGPROSRSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001481585755 1084226653 /nfs/dbraw/zinc/22/66/53/1084226653.db2.gz BQVMDEYGAZXZEI-INIZCTEOSA-N 0 1 300.446 3.255 20 30 DGEDMN C/C(=C/C(=O)N(C)CCC[N@@H+](C)CC#Cc1ccccc1)C1CC1 ZINC001273488780 1084227470 /nfs/dbraw/zinc/22/74/70/1084227470.db2.gz QVYWGVWKGQIFAG-ZCXUNETKSA-N 0 1 324.468 3.175 20 30 DGEDMN C/C(=C/C(=O)N(C)CCCN(C)CC#Cc1ccccc1)C1CC1 ZINC001273488780 1084227477 /nfs/dbraw/zinc/22/74/77/1084227477.db2.gz QVYWGVWKGQIFAG-ZCXUNETKSA-N 0 1 324.468 3.175 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001481588839 1084229453 /nfs/dbraw/zinc/22/94/53/1084229453.db2.gz VDFMOKAKJXIRNO-ZYSHUDEJSA-N 0 1 312.457 3.254 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(C)C[C@H]2c2ccccc2)c1 ZINC001184644280 1084234446 /nfs/dbraw/zinc/23/44/46/1084234446.db2.gz KZBUURGBVROHTK-IBGZPJMESA-N 0 1 309.388 3.499 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCCc2cccs2)C1 ZINC001481600625 1084241867 /nfs/dbraw/zinc/24/18/67/1084241867.db2.gz PZZZOMBRBTTWAR-AWEZNQCLSA-N 0 1 306.475 3.226 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@H]2CCc3ccc(F)cc32)C1 ZINC001481638774 1084327132 /nfs/dbraw/zinc/32/71/32/1084327132.db2.gz KRKDYQDPXCVDRP-KRWDZBQOSA-N 0 1 316.420 3.073 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001481638775 1084327738 /nfs/dbraw/zinc/32/77/38/1084327738.db2.gz KRKDYQDPXCVDRP-QGZVFWFLSA-N 0 1 316.420 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001185064687 1084328224 /nfs/dbraw/zinc/32/82/24/1084328224.db2.gz VETAWKPIXPITLP-GDBMZVCRSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185070436 1084342896 /nfs/dbraw/zinc/34/28/96/1084342896.db2.gz FQKQHAKWEAIOSC-VXGBXAGGSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185070435 1084343026 /nfs/dbraw/zinc/34/30/26/1084343026.db2.gz FQKQHAKWEAIOSC-NWDGAFQWSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001268224636 1084357067 /nfs/dbraw/zinc/35/70/67/1084357067.db2.gz NNFIIIDTMNDTKG-MOPGFXCFSA-N 0 1 314.473 3.412 20 30 DGEDMN Cc1c(Cl)c(C#N)ccc1NS(=O)(=O)c1ccsc1 ZINC001185390533 1084428858 /nfs/dbraw/zinc/42/88/58/1084428858.db2.gz JBOWKYVCXOZOJF-UHFFFAOYSA-N 0 1 312.803 3.382 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@H]1CNCc1nc(C)c(C)o1 ZINC001268274690 1084439773 /nfs/dbraw/zinc/43/97/73/1084439773.db2.gz COWNYJGOKFVMDT-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H]2CC23CC3)C1 ZINC001087438636 1084456740 /nfs/dbraw/zinc/45/67/40/1084456740.db2.gz IDGKKACVLANFOS-GUDVDZBRSA-N 0 1 324.468 3.337 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1Cl ZINC001481671830 1084464468 /nfs/dbraw/zinc/46/44/68/1084464468.db2.gz SCIKEAVYHBAJAT-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@](C)(CC)CCCCC ZINC001481684664 1084474306 /nfs/dbraw/zinc/47/43/06/1084474306.db2.gz VPEFIYBAORFLIX-JENIJYKNSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@H](CC)c2ccc(F)cc2)C1 ZINC001481687661 1084478077 /nfs/dbraw/zinc/47/80/77/1084478077.db2.gz QJHULYIFAPLQHH-ZWKOTPCHSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001481689127 1084479818 /nfs/dbraw/zinc/47/98/18/1084479818.db2.gz XBOUHRRDBDZBIG-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001481692711 1084481397 /nfs/dbraw/zinc/48/13/97/1084481397.db2.gz LCRZIHNRKYPMGT-LPHOPBHVSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001481705438 1084495386 /nfs/dbraw/zinc/49/53/86/1084495386.db2.gz HJCCYHNNZJVVFH-MAUKXSAKSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC001268343287 1084510277 /nfs/dbraw/zinc/51/02/77/1084510277.db2.gz OQSMKHKMWOMYBI-RHSMWYFYSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1ncc(C(C)(C)C)o1 ZINC001268370032 1084532264 /nfs/dbraw/zinc/53/22/64/1084532264.db2.gz GPLCNOZIINWTPD-CQSZACIVSA-N 0 1 321.465 3.313 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cc(C)co1 ZINC001496480293 1084541945 /nfs/dbraw/zinc/54/19/45/1084541945.db2.gz RJDJEYNGAJRHGE-OCCSQVGLSA-N 0 1 310.825 3.171 20 30 DGEDMN Cc1cc(F)c(C#N)c(N[C@@H](c2nnc[nH]2)c2ccccc2)c1 ZINC001186053334 1084584179 /nfs/dbraw/zinc/58/41/79/1084584179.db2.gz AYHZLTYORIKPTQ-MRXNPFEDSA-N 0 1 307.332 3.325 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2c[nH]cc2C)C1 ZINC001087443584 1084616118 /nfs/dbraw/zinc/61/61/18/1084616118.db2.gz UTPUGNVICHYKFP-IEBWSBKVSA-N 0 1 323.440 3.097 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001481772997 1084625134 /nfs/dbraw/zinc/62/51/34/1084625134.db2.gz NCYNHXWYBFWAJN-ZIAGYGMSSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2ccncc2s1 ZINC001481821493 1084651623 /nfs/dbraw/zinc/65/16/23/1084651623.db2.gz ZEGKCUHCGLXLGT-GFCCVEGCSA-N 0 1 323.849 3.147 20 30 DGEDMN CCN(CCNCC#Cc1ccc(Cl)cc1)C(=O)C(C)(C)C ZINC001497487037 1084655035 /nfs/dbraw/zinc/65/50/35/1084655035.db2.gz XVWZGSFFQQQRHB-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC1(C)CCCN1CCNc1ncc(C#N)cc1Br ZINC001186680934 1084693160 /nfs/dbraw/zinc/69/31/60/1084693160.db2.gz KBOAKQXSFIQDFD-UHFFFAOYSA-N 0 1 323.238 3.002 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)CC2CC2)C1 ZINC001481858033 1084670303 /nfs/dbraw/zinc/67/03/03/1084670303.db2.gz ZQZLQTZAFTZSJJ-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN O=C(CC1=CCCCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001268520418 1084782669 /nfs/dbraw/zinc/78/26/69/1084782669.db2.gz CEDMAOMWCAUEQH-BQYQJAHWSA-N 0 1 322.452 3.191 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CC3(CN(Cc4ccccc4)C3)C2)c1 ZINC001187306755 1084787764 /nfs/dbraw/zinc/78/77/64/1084787764.db2.gz WSMLUSLCHWSHBF-UHFFFAOYSA-N 0 1 321.399 3.328 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c(C)cc(C)cc1C ZINC001268618222 1084896809 /nfs/dbraw/zinc/89/68/09/1084896809.db2.gz DTYCPIYOVKDSFR-AATRIKPKSA-N 0 1 306.837 3.240 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001268693545 1084949140 /nfs/dbraw/zinc/94/91/40/1084949140.db2.gz GRHQSANSPPGFJN-LSDHHAIUSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2cccc(F)c2F)CCC1 ZINC001268703009 1084958497 /nfs/dbraw/zinc/95/84/97/1084958497.db2.gz IYRCKTXCQLHJPG-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCCc1ccccc1Cl ZINC001268731974 1084997616 /nfs/dbraw/zinc/99/76/16/1084997616.db2.gz DTYFESBWGMDKAH-OAHLLOKOSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)C1 ZINC001268887693 1085073886 /nfs/dbraw/zinc/07/38/86/1085073886.db2.gz DLTICOKEKWFHHT-JKSUJKDBSA-N 0 1 306.494 3.463 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C(C)C ZINC001268940052 1085083607 /nfs/dbraw/zinc/08/36/07/1085083607.db2.gz ZOXDFSKJQFZBEK-OALUTQOASA-N 0 1 324.468 3.399 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H](C)c1ccccc1 ZINC001268934789 1085084746 /nfs/dbraw/zinc/08/47/46/1085084746.db2.gz KAXXFFSZHXZHIL-NEWSRXKRSA-N 0 1 310.441 3.226 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1sccc1C(F)F ZINC001269336294 1085312805 /nfs/dbraw/zinc/31/28/05/1085312805.db2.gz AKMRYJXMXJLWLQ-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001269397666 1085362941 /nfs/dbraw/zinc/36/29/41/1085362941.db2.gz MTYOWBKCIOPFQB-CZUORRHYSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)c(C)s1)C(C)C ZINC001284559204 1085482354 /nfs/dbraw/zinc/48/23/54/1085482354.db2.gz UOSYMBSYZIEVID-UHFFFAOYSA-N 0 1 306.475 3.171 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CCCCCC ZINC001269601805 1085488752 /nfs/dbraw/zinc/48/87/52/1085488752.db2.gz OYPBJXHFUVZVGL-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001269820862 1085574745 /nfs/dbraw/zinc/57/47/45/1085574745.db2.gz SIMIUIFFUANVNE-QGZVFWFLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C1CN(CC2CC(C)C2)C1)c1ccccc1 ZINC001269894029 1085601268 /nfs/dbraw/zinc/60/12/68/1085601268.db2.gz ZMEMMHMXIPDCCM-LADRWXRNSA-N 0 1 312.457 3.145 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(CF)cc2)C1 ZINC001269900509 1085603997 /nfs/dbraw/zinc/60/39/97/1085603997.db2.gz YPCFYRIQGOHZQY-AWEZNQCLSA-N 0 1 304.409 3.267 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2ccco2)cc1 ZINC001270047957 1085669373 /nfs/dbraw/zinc/66/93/73/1085669373.db2.gz LALPJCGILHDEIA-UHFFFAOYSA-N 0 1 304.777 3.052 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001482261038 1085671174 /nfs/dbraw/zinc/67/11/74/1085671174.db2.gz QJKJDIRMDXHBMG-ZDUSSCGKSA-N 0 1 307.438 3.009 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(F)ccc1C ZINC001270106209 1085694057 /nfs/dbraw/zinc/69/40/57/1085694057.db2.gz YLPGNOVZLNFRAL-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001482266313 1085696426 /nfs/dbraw/zinc/69/64/26/1085696426.db2.gz VXKJLOQNDKMEPH-QWHCGFSZSA-N 0 1 310.388 3.429 20 30 DGEDMN C#CCN(C(=O)[C@@H]1C[C@H]1CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001270338838 1085776023 /nfs/dbraw/zinc/77/60/23/1085776023.db2.gz SVHYFGHTOLSEIO-JFIYKMOQSA-N 0 1 322.468 3.097 20 30 DGEDMN CCCCCCCCC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC001625929764 1085898130 /nfs/dbraw/zinc/89/81/30/1085898130.db2.gz DSQINOMKGAJIDN-HZPDHXFCSA-N 0 1 312.523 3.433 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)CC2(C)CCCC2)C1 ZINC001270648890 1085916414 /nfs/dbraw/zinc/91/64/14/1085916414.db2.gz DYQCOITVDOTBGN-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001482327182 1086007699 /nfs/dbraw/zinc/00/76/99/1086007699.db2.gz QTPNRZUPXPOVBF-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H](CNCc1ccccc1C#N)CC(C)C ZINC001482377345 1086098753 /nfs/dbraw/zinc/09/87/53/1086098753.db2.gz FDRGGGYLWNXWSA-CRAIPNDOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C(C)(C)C(=C)C ZINC001482391800 1086121020 /nfs/dbraw/zinc/12/10/20/1086121020.db2.gz USWZUUDDAJDHSP-AWEZNQCLSA-N 0 1 300.874 3.462 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001270922990 1086191862 /nfs/dbraw/zinc/19/18/62/1086191862.db2.gz YIBZIEWFDBKINV-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN CCCC(=O)NC[C@@]1(C)CCCN(Cc2ccc(C#N)s2)C1 ZINC001270932205 1086198061 /nfs/dbraw/zinc/19/80/61/1086198061.db2.gz JTBKCSLCBMIGGV-QGZVFWFLSA-N 0 1 319.474 3.138 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C(C)(C)C2CCCC2)C1 ZINC001270966592 1086222979 /nfs/dbraw/zinc/22/29/79/1086222979.db2.gz ZGEJETNLTRZAMG-IBGZPJMESA-N 0 1 304.478 3.054 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001271099152 1086289892 /nfs/dbraw/zinc/28/98/92/1086289892.db2.gz AZNHYTQOXFTYOW-LSDHHAIUSA-N 0 1 316.436 3.318 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@H]1CC ZINC001087682796 1086357052 /nfs/dbraw/zinc/35/70/52/1086357052.db2.gz SCCXPIUOKUQXJD-DLBZAZTESA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)csc2Cl)[C@H]1CC ZINC001087811069 1086417730 /nfs/dbraw/zinc/41/77/30/1086417730.db2.gz ZRIUEVYUCQQOQT-QWHCGFSZSA-N 0 1 324.877 3.316 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1CC ZINC001087822543 1086429440 /nfs/dbraw/zinc/42/94/40/1086429440.db2.gz VXNBCHGGQFUVOA-JKSUJKDBSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2sc(CCC)nc2C)[C@H]1CC ZINC001087827115 1086430212 /nfs/dbraw/zinc/43/02/12/1086430212.db2.gz ZCYRXRPDTRFWHM-UONOGXRCSA-N 0 1 321.490 3.173 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)[C@H]1CC ZINC001087842130 1086440198 /nfs/dbraw/zinc/44/01/98/1086440198.db2.gz FJZKELKILWQMFT-ZYSHUDEJSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)[C@H]1CC ZINC001087843756 1086442855 /nfs/dbraw/zinc/44/28/55/1086442855.db2.gz OTEKJOFEKXHAPO-YESZJQIVSA-N 0 1 304.478 3.195 20 30 DGEDMN C[C@H]1CC[C@@H](NCc2ccc(C#N)o2)CN1C(=O)OC(C)(C)C ZINC000690948628 1086460250 /nfs/dbraw/zinc/46/02/50/1086460250.db2.gz WMWSJFOMJMCBFJ-QWHCGFSZSA-N 0 1 319.405 3.029 20 30 DGEDMN C[C@H]1CC[C@H](NCc2ccc(C#N)o2)CN1C(=O)OC(C)(C)C ZINC000690948629 1086460916 /nfs/dbraw/zinc/46/09/16/1086460916.db2.gz WMWSJFOMJMCBFJ-STQMWFEESA-N 0 1 319.405 3.029 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(C2CC2)c1 ZINC001271228968 1086549772 /nfs/dbraw/zinc/54/97/72/1086549772.db2.gz VFBGVOMDGCODIT-ZDUSSCGKSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001088093609 1086597815 /nfs/dbraw/zinc/59/78/15/1086597815.db2.gz DLGKJGNHYVDVNV-PXFQQHSPSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1conc1C ZINC001482487856 1086619744 /nfs/dbraw/zinc/61/97/44/1086619744.db2.gz AQKQESPRQVXLDI-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)CC)c1ccccc1CC ZINC001271438194 1086625522 /nfs/dbraw/zinc/62/55/22/1086625522.db2.gz MAROUHHQZZHKFY-MAUKXSAKSA-N 0 1 300.446 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1CC ZINC001271448212 1086642538 /nfs/dbraw/zinc/64/25/38/1086642538.db2.gz WCKVPADEJYJYNG-WCIQWLHISA-N 0 1 324.468 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@@H](C)NCc2coc(C)n2)C1 ZINC001271635480 1086693021 /nfs/dbraw/zinc/69/30/21/1086693021.db2.gz KNKGYQWJPODEPM-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)Cc1ccccc1 ZINC001271714956 1086710171 /nfs/dbraw/zinc/71/01/71/1086710171.db2.gz LYRUQIHMDOJSSG-WMLDXEAASA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H](F)C(C)C)CC1 ZINC001482506310 1086732353 /nfs/dbraw/zinc/73/23/53/1086732353.db2.gz VLJQKSCSGKCQPO-HNNXBMFYSA-N 0 1 318.864 3.294 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1cccc(C(F)F)c1 ZINC001271977437 1086893429 /nfs/dbraw/zinc/89/34/29/1086893429.db2.gz OXBKKNGASXSRAL-UHFFFAOYSA-N 0 1 310.388 3.481 20 30 DGEDMN C=CC1(CC(=O)NCCCNCc2coc(C)n2)CCCCC1 ZINC001168183122 1087028886 /nfs/dbraw/zinc/02/88/86/1087028886.db2.gz YSEJOQMSEVWYCE-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2cccc(C)c2s1 ZINC001482609315 1087051828 /nfs/dbraw/zinc/05/18/28/1087051828.db2.gz SBAZRRQETWFYHW-UHFFFAOYSA-N 0 1 314.454 3.237 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(C)=C(C)C)CC[C@H]21 ZINC001482660924 1087104686 /nfs/dbraw/zinc/10/46/86/1087104686.db2.gz BACCGWLOODFCDE-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCNCc1csc(C)n1 ZINC001482836578 1087168279 /nfs/dbraw/zinc/16/82/79/1087168279.db2.gz NLUIUKBHXZFFOJ-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCCC(=O)NC/C=C/CN[C@H](C)c1cc(F)ccc1F ZINC001482989104 1087221889 /nfs/dbraw/zinc/22/18/89/1087221889.db2.gz SMBMKEKXWIGVOK-URWSZGRFSA-N 0 1 308.372 3.254 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(CCC)s1 ZINC001483013739 1087234483 /nfs/dbraw/zinc/23/44/83/1087234483.db2.gz BHZNSSHQJGSTHO-PLNGDYQASA-N 0 1 312.866 3.329 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@H]1C ZINC001088634902 1087240638 /nfs/dbraw/zinc/24/06/38/1087240638.db2.gz QEXYWIPAVPFHEL-OWYHZJEWSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1[C@@H]2CCCCCC[C@H]12 ZINC001483064882 1087324250 /nfs/dbraw/zinc/32/42/50/1087324250.db2.gz NIBZVCKOSKGYIA-NCDBMGEDSA-N 0 1 310.869 3.217 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001483064983 1087324398 /nfs/dbraw/zinc/32/43/98/1087324398.db2.gz NIBZVCKOSKGYIA-WLBISTCBSA-N 0 1 310.869 3.217 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)c1ccccc1C ZINC001483094757 1087340069 /nfs/dbraw/zinc/34/00/69/1087340069.db2.gz FCSIAYBDQSZJAW-LQYUOIDQSA-N 0 1 306.837 3.113 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c[nH]c2ccc(C)cc12 ZINC001483098116 1087341045 /nfs/dbraw/zinc/34/10/45/1087341045.db2.gz HSMFZTKLYYHNLH-ONEGZZNKSA-N 0 1 317.820 3.104 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(Cc3ccsc3)[C@@H]2C)cc1 ZINC001088787172 1087354619 /nfs/dbraw/zinc/35/46/19/1087354619.db2.gz UJXZMJORBKKNCF-KDOFPFPSSA-N 0 1 324.449 3.122 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001483170867 1087410549 /nfs/dbraw/zinc/41/05/49/1087410549.db2.gz WIBKIFBTPNDQCW-CQSZACIVSA-N 0 1 322.880 3.373 20 30 DGEDMN C=CCC[C@@H](C)N1CC(OC2CCN(C(=O)CC(C)C)CC2)C1 ZINC001105683389 1087421855 /nfs/dbraw/zinc/42/18/55/1087421855.db2.gz ZMVPXWFTUZHAPW-MRXNPFEDSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)/C(C)=C\CC)CC2)C1 ZINC001105694960 1087435270 /nfs/dbraw/zinc/43/52/70/1087435270.db2.gz BLVPFYFDXUYPLP-SOFYXZRVSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCC(=O)N1CCC(OC2CN(CC(CC)CC)C2)CC1 ZINC001105697234 1087442188 /nfs/dbraw/zinc/44/21/88/1087442188.db2.gz QCIKTBHLVYGRMM-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN Cc1cc(C)cc(C(=O)N[C@@H](C)CNCc2ccccc2C#N)c1 ZINC001483287284 1087458733 /nfs/dbraw/zinc/45/87/33/1087458733.db2.gz OYECYWXKAHNJOF-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@@H](C)CN(C)CC#CC)c1ccccc1 ZINC001483427188 1087499182 /nfs/dbraw/zinc/49/91/82/1087499182.db2.gz YUKILOCDLMKWJE-PKOBYXMFSA-N 0 1 312.457 3.196 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc2ccccc2[nH]1)C1CC1 ZINC001483604836 1087533504 /nfs/dbraw/zinc/53/35/04/1087533504.db2.gz ZDHBOWBGMPVFBH-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC(C(C)(C)C)C1)C1CC1 ZINC001483609847 1087534842 /nfs/dbraw/zinc/53/48/42/1087534842.db2.gz QZPPFMOVXRZONV-YMAMQOFZSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001105721258 1087542812 /nfs/dbraw/zinc/54/28/12/1087542812.db2.gz WHGIJHZNFWNXPK-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN Cc1cc(Nc2sc3c(c2C#N)CCN(C)C3)cnc1F ZINC001212175947 1087566066 /nfs/dbraw/zinc/56/60/66/1087566066.db2.gz MEUGGHMQSLXZMV-UHFFFAOYSA-N 0 1 302.378 3.194 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1scnc1C ZINC001483632820 1087586747 /nfs/dbraw/zinc/58/67/47/1087586747.db2.gz TUDFCPSNKDMHQX-CQSZACIVSA-N 0 1 309.479 3.226 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)[C@H]1C ZINC001088870761 1087590907 /nfs/dbraw/zinc/59/09/07/1087590907.db2.gz FAQSSUZCPSHJRO-AFYVEPGGSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2O[C@H](C)CC)[C@H]1C ZINC001088889841 1087599169 /nfs/dbraw/zinc/59/91/69/1087599169.db2.gz ODUJYEVKHCAZBD-INMHGKMJSA-N 0 1 316.445 3.243 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CC[N@@H+]1Cc1ccccc1C(C)C ZINC001483646978 1087602570 /nfs/dbraw/zinc/60/25/70/1087602570.db2.gz GSSCHTDWDIXCMB-SFHVURJKSA-N 0 1 312.457 3.304 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1C(C)C ZINC001483646978 1087602573 /nfs/dbraw/zinc/60/25/73/1087602573.db2.gz GSSCHTDWDIXCMB-SFHVURJKSA-N 0 1 312.457 3.304 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)[C@H]1C ZINC001089001732 1087619000 /nfs/dbraw/zinc/61/90/00/1087619000.db2.gz YUUMXTFJZFOKGD-YGRLFVJLSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)cc(Cl)c2)[C@H]1C ZINC001089001732 1087619003 /nfs/dbraw/zinc/61/90/03/1087619003.db2.gz YUUMXTFJZFOKGD-YGRLFVJLSA-N 0 1 313.228 3.372 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@]1(C)CC=CCC1 ZINC001099085899 1087625108 /nfs/dbraw/zinc/62/51/08/1087625108.db2.gz WUSFCJYUWWYYNA-XLIONFOSSA-N 0 1 316.489 3.363 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2CC(C)C)[C@H]1C ZINC001089068531 1087630353 /nfs/dbraw/zinc/63/03/53/1087630353.db2.gz IGGZIRMSGFZGHH-APWZRJJASA-N 0 1 312.457 3.101 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CC(C)C)CC1 ZINC001099101933 1087634057 /nfs/dbraw/zinc/63/40/57/1087634057.db2.gz GOJHFNGYVDMLEX-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)C1CCC1 ZINC001099126590 1087661656 /nfs/dbraw/zinc/66/16/56/1087661656.db2.gz VTOMWWLZEDNYSZ-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CCNC/C(Cl)=C/Cl)C1 ZINC001272375511 1087686625 /nfs/dbraw/zinc/68/66/25/1087686625.db2.gz FSJANUWWQBYVMI-VWLVURMCSA-N 0 1 305.249 3.100 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccc([N+](=O)[O-])cc1 ZINC000176378862 1087715403 /nfs/dbraw/zinc/71/54/03/1087715403.db2.gz QXTHMITZXCWTQE-FPYGCLRLSA-N 0 1 314.253 3.105 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\c1ccc(C)cc1)C2 ZINC001111526372 1087723864 /nfs/dbraw/zinc/72/38/64/1087723864.db2.gz QEFCOKFNWBPWTM-QFTWQFLFSA-N 0 1 322.452 3.143 20 30 DGEDMN CCC(=O)N[C@@H]1CCN(Cc2ccccc2C#N)CC(C)(C)C1 ZINC001089285884 1087734364 /nfs/dbraw/zinc/73/43/64/1087734364.db2.gz SCJBENMVSKNHHT-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1ccoc1C ZINC001151800806 1087782727 /nfs/dbraw/zinc/78/27/27/1087782727.db2.gz BBOGYRBAJVSNOQ-MRXNPFEDSA-N 0 1 324.424 3.080 20 30 DGEDMN N#Cc1ccc(-c2ccc(NCC3(N4CCCC4)COC3)cc2)o1 ZINC001168451330 1087804008 /nfs/dbraw/zinc/80/40/08/1087804008.db2.gz JANOCKUKMIXCOH-UHFFFAOYSA-N 0 1 323.396 3.095 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1ccn2cncc2c1 ZINC001151908758 1087822773 /nfs/dbraw/zinc/82/27/73/1087822773.db2.gz DKMQFFCDCKKFEM-CQSZACIVSA-N 0 1 321.380 3.390 20 30 DGEDMN Cc1cccc2nc(C(=O)NC(C)(C)c3ccc(C#N)cc3)[nH]c21 ZINC001151992875 1087862171 /nfs/dbraw/zinc/86/21/71/1087862171.db2.gz SNQOCLVTBPXCTH-UHFFFAOYSA-N 0 1 318.380 3.408 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)C[C@H](C)CC(C)(C)C ZINC001158519060 1087883523 /nfs/dbraw/zinc/88/35/23/1087883523.db2.gz RVEPIMUFAJQEFC-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C)CC(C)(C)C ZINC001158519060 1087883556 /nfs/dbraw/zinc/88/35/56/1087883556.db2.gz RVEPIMUFAJQEFC-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)/C=C(/C)C1CC1 ZINC001152128647 1087904099 /nfs/dbraw/zinc/90/40/99/1087904099.db2.gz LCYXBCOEYSQSOJ-RZPKCTKSSA-N 0 1 324.468 3.221 20 30 DGEDMN Cc1cccc(C2(Nc3c[nH]c4c(C#N)cnc-4c3)COC2)c1 ZINC001168473079 1087977967 /nfs/dbraw/zinc/97/79/67/1087977967.db2.gz BVGRDAMHKUSIIH-UHFFFAOYSA-N 0 1 304.353 3.081 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)o2)CC(C)(C)C1 ZINC001089321609 1087988843 /nfs/dbraw/zinc/98/88/43/1087988843.db2.gz RSUJCYRGCCQACB-CYBMUJFWSA-N 0 1 322.836 3.177 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)c2c1CCC2 ZINC001158928487 1088105698 /nfs/dbraw/zinc/10/56/98/1088105698.db2.gz XNRRPRVMTGQGQD-QGZVFWFLSA-N 0 1 324.468 3.043 20 30 DGEDMN C=C(C)CCC(=O)NCCCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001159032454 1088152545 /nfs/dbraw/zinc/15/25/45/1088152545.db2.gz GILRTLMGGXPQAM-AWEZNQCLSA-N 0 1 321.465 3.485 20 30 DGEDMN N#C[C@H]1CC[C@H](NC(=O)c2cnc3[nH]ccc(Cl)c2-3)CC1 ZINC001153106965 1088169263 /nfs/dbraw/zinc/16/92/63/1088169263.db2.gz UUJGRTJPEIMHEB-MGCOHNPYSA-N 0 1 302.765 3.028 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@H](C)CCc1ccccc1 ZINC001153215170 1088190169 /nfs/dbraw/zinc/19/01/69/1088190169.db2.gz RBHUVKFVOUWDIE-CQSZACIVSA-N 0 1 308.853 3.104 20 30 DGEDMN C[C@@H](Cc1cccc(F)c1)N1CCN(c2ccc(C#N)cc2)CC1 ZINC001168491529 1088235985 /nfs/dbraw/zinc/23/59/85/1088235985.db2.gz LVJKFDWTVQBMKW-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2cc(F)ccc2C)C1=O ZINC001272615776 1088320565 /nfs/dbraw/zinc/32/05/65/1088320565.db2.gz BOKRVZHVRLOXNU-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C2CC=CC2)CC(C)(C)C1 ZINC001089343685 1088322954 /nfs/dbraw/zinc/32/29/54/1088322954.db2.gz HCZAXYDYWZKFJI-HNNXBMFYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC[C@@H](C(=O)NCCNCC(=C)Cl)c1ccccc1 ZINC001153818091 1088370766 /nfs/dbraw/zinc/37/07/66/1088370766.db2.gz WMNDTTMBCUQFJC-MRXNPFEDSA-N 0 1 306.837 3.195 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1cccc2c(Br)n[nH]c21 ZINC001154089090 1088468934 /nfs/dbraw/zinc/46/89/34/1088468934.db2.gz GEBPRGAVLHNUHE-UHFFFAOYSA-N 0 1 322.206 3.364 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C=C2CCC2)CC(C)(C)C1 ZINC001089357535 1088472854 /nfs/dbraw/zinc/47/28/54/1088472854.db2.gz MEKXIYHESNKSPA-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN Cc1nc(NC2(C#N)CCN(Cc3ccccc3)CC2)ccc1F ZINC001160099843 1088639845 /nfs/dbraw/zinc/63/98/45/1088639845.db2.gz IZMDFAKRUNSVAD-UHFFFAOYSA-N 0 1 324.403 3.499 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC001483770971 1088729226 /nfs/dbraw/zinc/72/92/26/1088729226.db2.gz LJWZQLLIXFOCOW-ZIAGYGMSSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001154750783 1088740459 /nfs/dbraw/zinc/74/04/59/1088740459.db2.gz ANKPVYPWEMWKSQ-WBVHZDCISA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001154750900 1088741518 /nfs/dbraw/zinc/74/15/18/1088741518.db2.gz FMQSDMOBHUACIK-CABCVRRESA-N 0 1 321.440 3.150 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1oc(C)nc1C ZINC001089621106 1088834435 /nfs/dbraw/zinc/83/44/35/1088834435.db2.gz NNHZOBZCHMXBSM-OAHLLOKOSA-N 0 1 319.449 3.088 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc3ccccc3s2)CC1 ZINC001160613862 1088894994 /nfs/dbraw/zinc/89/49/94/1088894994.db2.gz VNCVHYRIMBXFKL-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC001160672966 1088913567 /nfs/dbraw/zinc/91/35/67/1088913567.db2.gz ZWBLWXKDQLZTHS-UHFFFAOYSA-N 0 1 312.707 3.275 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001155067370 1088926065 /nfs/dbraw/zinc/92/60/65/1088926065.db2.gz XTBRFQVCCOUNBP-DYVFJYSZSA-N 0 1 323.506 3.380 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](CC)CCCC)CC1 ZINC001160714331 1088930236 /nfs/dbraw/zinc/93/02/36/1088930236.db2.gz KNFZGMTVTRGJHW-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001089670032 1089082228 /nfs/dbraw/zinc/08/22/28/1089082228.db2.gz VYPGXFCTRIXGTI-GVDBMIGSSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)c(C)n1 ZINC001089671592 1089097127 /nfs/dbraw/zinc/09/71/27/1089097127.db2.gz UDCMEYKSIDIWLC-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1occ2c1CCC2 ZINC001089676134 1089110099 /nfs/dbraw/zinc/11/00/99/1089110099.db2.gz AUFUCFUTNMXGSZ-OAHLLOKOSA-N 0 1 316.445 3.175 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001089675764 1089115021 /nfs/dbraw/zinc/11/50/21/1089115021.db2.gz PFNMVKBGRKSMJR-ZJPYXAASSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC1(C)C ZINC001089685645 1089161649 /nfs/dbraw/zinc/16/16/49/1089161649.db2.gz FXIFGZXJQWVHEK-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN Cc1cc(Cl)nc(N[C@H]2CNCc3ccsc32)c1C#N ZINC001155560429 1089163699 /nfs/dbraw/zinc/16/36/99/1089163699.db2.gz ZTRVYNKURSWQAG-NSHDSACASA-N 0 1 304.806 3.233 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCC(C)(C)CN2CC#CC)CC1 ZINC001089687403 1089168046 /nfs/dbraw/zinc/16/80/46/1089168046.db2.gz JKJHPAYOEZMBQC-GOSISDBHSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCCC(=O)NCCCNCc1csc(C2CCCC2)n1 ZINC001155602696 1089181262 /nfs/dbraw/zinc/18/12/62/1089181262.db2.gz XQZFXWBAJYHVLH-UHFFFAOYSA-N 0 1 321.490 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC001089691113 1089182958 /nfs/dbraw/zinc/18/29/58/1089182958.db2.gz KRYIIBZXFXPXON-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C(CC)CC)CC1 ZINC001484131365 1089216529 /nfs/dbraw/zinc/21/65/29/1089216529.db2.gz QJOVNZIDHPZYCO-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001089702736 1089227630 /nfs/dbraw/zinc/22/76/30/1089227630.db2.gz CMXGIAKEIFNJKE-OAHLLOKOSA-N 0 1 303.450 3.038 20 30 DGEDMN C=CCCCC(=O)NCC1(C)CCN(Cc2cc(C)no2)CC1 ZINC001484142470 1089229458 /nfs/dbraw/zinc/22/94/58/1089229458.db2.gz RMVZGPMQSOGIIF-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)c1cccc3cncn31)CCC2 ZINC001155787109 1089246945 /nfs/dbraw/zinc/24/69/45/1089246945.db2.gz PETMKIKFEBBYLH-UHFFFAOYSA-N 0 1 308.366 3.008 20 30 DGEDMN N#Cc1ccc(-c2noc(-c3cnccc3N)n2)c2ccccc12 ZINC001212999142 1089254018 /nfs/dbraw/zinc/25/40/18/1089254018.db2.gz PONHOGGBSQHHLQ-UHFFFAOYSA-N 0 1 313.320 3.236 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(F)cc1F ZINC001089729778 1089307902 /nfs/dbraw/zinc/30/79/02/1089307902.db2.gz SIAHSFRSMJFXQD-AWEZNQCLSA-N 0 1 322.399 3.371 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CCC(C)(C)CC1 ZINC001089737278 1089320307 /nfs/dbraw/zinc/32/03/07/1089320307.db2.gz VGQVNTZLTULCBM-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](F)CCCCCC)CC1 ZINC001161699160 1089355451 /nfs/dbraw/zinc/35/54/51/1089355451.db2.gz NPRTWSPVMVQDNB-KRWDZBQOSA-N 0 1 308.441 3.067 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccc1F ZINC001484453330 1089424513 /nfs/dbraw/zinc/42/45/13/1089424513.db2.gz MQZZMUIZLWFPEP-MSOLQXFVSA-N 0 1 316.420 3.357 20 30 DGEDMN O=C([C@@H]1CCCN1C1CC1)N(O)Cc1cccc2ccccc21 ZINC001161842962 1089438461 /nfs/dbraw/zinc/43/84/61/1089438461.db2.gz VNEZZWADOAPDSS-SFHVURJKSA-N 0 1 310.397 3.184 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)c3ccc(CF)cc3)n2)c1 ZINC001161917977 1089469377 /nfs/dbraw/zinc/46/93/77/1089469377.db2.gz DKAQMBQKDWDESU-UHFFFAOYSA-N 0 1 321.315 3.065 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CC)CCCCC)CC1 ZINC001161918964 1089470007 /nfs/dbraw/zinc/47/00/07/1089470007.db2.gz IGMUBBFFFWFJNZ-SFHVURJKSA-N 0 1 304.478 3.365 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)/C=C/c1ccco1 ZINC001484552650 1089486261 /nfs/dbraw/zinc/48/62/61/1089486261.db2.gz GIMIWZSNKACQDM-VAWYXSNFSA-N 0 1 322.408 3.064 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC1=CCCCC1 ZINC001484554703 1089491432 /nfs/dbraw/zinc/49/14/32/1089491432.db2.gz AKQQCSVIUZCXJU-UHFFFAOYSA-N 0 1 310.441 3.258 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccoc1CCC ZINC001484558127 1089492543 /nfs/dbraw/zinc/49/25/43/1089492543.db2.gz ZXRMVABKBHUOLS-UHFFFAOYSA-N 0 1 324.424 3.227 20 30 DGEDMN CN1CCC(C#N)(Nc2cc(Cl)nc3ccccc32)CC1 ZINC001162226169 1089617894 /nfs/dbraw/zinc/61/78/94/1089617894.db2.gz XQDAOTCBVFYAOP-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN CN1CCC(C#N)(Nc2nccc3ccc(Cl)cc32)CC1 ZINC001162226943 1089620352 /nfs/dbraw/zinc/62/03/52/1089620352.db2.gz DTWNZVRYUTVJGT-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN CN1CCC(C#N)(Nc2cc(Cl)nc3c(F)cccc23)CC1 ZINC001162230822 1089622517 /nfs/dbraw/zinc/62/25/17/1089622517.db2.gz FSEZDCWBNSMRAR-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN CN1CCC(C#N)(Nc2ccc3c(Cl)ccc(F)c3n2)CC1 ZINC001162231397 1089623468 /nfs/dbraw/zinc/62/34/68/1089623468.db2.gz QYAGEJIMRAAHNB-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2conc2C)CC1 ZINC001484757827 1089668485 /nfs/dbraw/zinc/66/84/85/1089668485.db2.gz VJVKDHFZPCYBQB-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)C[C@@H](C)CCC)O2 ZINC001484785352 1089676793 /nfs/dbraw/zinc/67/67/93/1089676793.db2.gz SJKAMVXMTLLSPL-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN N#CC1(CNc2nc3cccc(Br)c3[nH]2)CCC1 ZINC001162411895 1089723182 /nfs/dbraw/zinc/72/31/82/1089723182.db2.gz GFGXHPQOVOSNPQ-UHFFFAOYSA-N 0 1 305.179 3.431 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)/C(C)=C\CC ZINC001484821986 1089701928 /nfs/dbraw/zinc/70/19/28/1089701928.db2.gz KPTFXHIZAWWCRT-ZMHGOHSBSA-N 0 1 312.457 3.462 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)NC[C@@H](C)N(CC)CCF ZINC001157062713 1089807834 /nfs/dbraw/zinc/80/78/34/1089807834.db2.gz GGWDYDQHJPTBKG-SJLPKXTDSA-N 0 1 320.452 3.218 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)CC(C)C ZINC001162673562 1089875491 /nfs/dbraw/zinc/87/54/91/1089875491.db2.gz PQIXDXUFBAPKSN-UHFFFAOYSA-N 0 1 322.880 3.446 20 30 DGEDMN CC(C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N)=C1CCC1 ZINC001485144509 1089982387 /nfs/dbraw/zinc/98/23/87/1089982387.db2.gz PBXZNRXNTMPANS-LSDHHAIUSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2scnc2c1 ZINC001485208109 1090030344 /nfs/dbraw/zinc/03/03/44/1090030344.db2.gz OKEVXMHIIYXPLB-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CCC1(C)CC1 ZINC001485206062 1090030544 /nfs/dbraw/zinc/03/05/44/1090030544.db2.gz GYSFLCDHYINTBD-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(c2cccc(C)c2)CC1 ZINC001485228764 1090036485 /nfs/dbraw/zinc/03/64/85/1090036485.db2.gz FEHJAOBOZYJICL-LSDHHAIUSA-N 0 1 320.864 3.262 20 30 DGEDMN Cc1cc(CN(C)[C@H](C)CNC(=O)C#CC(C)(C)C)cs1 ZINC001485304507 1090103048 /nfs/dbraw/zinc/10/30/48/1090103048.db2.gz REYLQECVJVYITG-CYBMUJFWSA-N 0 1 306.475 3.043 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](CC)CC(F)F ZINC001158067322 1090180258 /nfs/dbraw/zinc/18/02/58/1090180258.db2.gz ZJZVLNWCQNZEHL-LSDHHAIUSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](CC)CC(F)F ZINC001158067278 1090180569 /nfs/dbraw/zinc/18/05/69/1090180569.db2.gz ZJZVLNWCQNZEHL-HUUCEWRRSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCCN1Cc1cnc(C)s1 ZINC001485476344 1090183421 /nfs/dbraw/zinc/18/34/21/1090183421.db2.gz RXBBDDFFIORTBA-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN Cc1nc2c(nc(Cl)nc2N=C2CCCC(=O)C2)n1C(C)C ZINC001163452116 1090189115 /nfs/dbraw/zinc/18/91/15/1090189115.db2.gz UUSFJXZWDJDOCX-UHFFFAOYSA-N 0 1 319.796 3.418 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(CCCCC(F)(F)F)C1 ZINC001485500106 1090192872 /nfs/dbraw/zinc/19/28/72/1090192872.db2.gz WJNGAMBKSFGHLE-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001485525025 1090202108 /nfs/dbraw/zinc/20/21/08/1090202108.db2.gz DDTDUFUZZGIITK-SJORKVTESA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CCCC2CCCCC2)C1 ZINC001485535614 1090206699 /nfs/dbraw/zinc/20/66/99/1090206699.db2.gz PJBWGAPQSIYLIP-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CC)CC2CCCCC2)C(C)(C)C1 ZINC001485578164 1090213914 /nfs/dbraw/zinc/21/39/14/1090213914.db2.gz RRCJUMNDKZCSKN-QZTJIDSGSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(C)Oc1ccnc(N2CCN(c3ccccc3C#N)CC2)c1 ZINC001163616032 1090220682 /nfs/dbraw/zinc/22/06/82/1090220682.db2.gz GBCSQCPCZDOTQB-UHFFFAOYSA-N 0 1 322.412 3.067 20 30 DGEDMN CN1CCN(c2cc(Cl)cc(C#N)n2)[C@@H](c2ccccc2)C1 ZINC001163669169 1090227554 /nfs/dbraw/zinc/22/75/54/1090227554.db2.gz JDHFXDPLMDEIKP-MRXNPFEDSA-N 0 1 312.804 3.100 20 30 DGEDMN CC(=O)CC(C)=Nc1nc(-c2ccccn2)nc(Cl)c1C ZINC001163738009 1090245763 /nfs/dbraw/zinc/24/57/63/1090245763.db2.gz ORQRIKCMZYFGPN-HJWRWDBZSA-N 0 1 302.765 3.405 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](CC)CCC)CC1 ZINC001485765439 1090272097 /nfs/dbraw/zinc/27/20/97/1090272097.db2.gz KHGLOXYEDKAZAI-CQSZACIVSA-N 0 1 300.874 3.488 20 30 DGEDMN C#CCCCCCC(=O)NCCCNCc1c(F)cccc1F ZINC001163964372 1090301719 /nfs/dbraw/zinc/30/17/19/1090301719.db2.gz ZISBSCPMWDDKGA-UHFFFAOYSA-N 0 1 322.399 3.144 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001124587675 1090309813 /nfs/dbraw/zinc/30/98/13/1090309813.db2.gz KNQSPOJJZGCGRD-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cccc(C)c1F ZINC001485811521 1090310871 /nfs/dbraw/zinc/31/08/71/1090310871.db2.gz RQLSQTWQPWRHRE-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN CC(C)(C)CCCC(=O)NCCNCc1ccc(C#N)cc1F ZINC001124782268 1090326708 /nfs/dbraw/zinc/32/67/08/1090326708.db2.gz HUNWOCVHPZMLCV-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164222982 1090377828 /nfs/dbraw/zinc/37/78/28/1090377828.db2.gz PIJBDIVMOHJNQW-CHWSQXEVSA-N 0 1 321.490 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CC3CCC3)CCC[C@@H]12 ZINC001107353370 1090423754 /nfs/dbraw/zinc/42/37/54/1090423754.db2.gz YEUXRJMCWGNMKZ-NVXWUHKLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2nocc2C)CCCCC1 ZINC001115701590 1090498772 /nfs/dbraw/zinc/49/87/72/1090498772.db2.gz WEKRRECNNHTZTH-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CN(CCC2=CCCCC2)CCO1 ZINC001107802564 1090507320 /nfs/dbraw/zinc/50/73/20/1090507320.db2.gz UMXJAWKJFDFNFY-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CCCC(C)(C)C)C1 ZINC001107810817 1090507672 /nfs/dbraw/zinc/50/76/72/1090507672.db2.gz HKZVMDZOFGGOQG-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CC2=CCCCC2)C1 ZINC001107814550 1090508700 /nfs/dbraw/zinc/50/87/00/1090508700.db2.gz DQEDOIQTJSLKEU-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)CCC)C1 ZINC001107823158 1090509256 /nfs/dbraw/zinc/50/92/56/1090509256.db2.gz KJISARYQDHVACF-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C2CC=CC2)C1 ZINC001107836524 1090513131 /nfs/dbraw/zinc/51/31/31/1090513131.db2.gz YCEDCLHBMFWKPW-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CC2(C)CCCC2)C1 ZINC001107918537 1090537067 /nfs/dbraw/zinc/53/70/67/1090537067.db2.gz RDVOONUJHFHVJX-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)/C=C(/C)CC)C1 ZINC001107924445 1090537702 /nfs/dbraw/zinc/53/77/02/1090537702.db2.gz KYGZFCCOTYQNRY-FCUDFIOSSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)/C=C(\C)CC)C1 ZINC001107924444 1090537992 /nfs/dbraw/zinc/53/79/92/1090537992.db2.gz KYGZFCCOTYQNRY-DQSGYLJSSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCC1(C(=O)NCCCNC/C(Cl)=C\Cl)CC1 ZINC001165913467 1090560971 /nfs/dbraw/zinc/56/09/71/1090560971.db2.gz BLZPAPOWFYPQMR-ZRDIBKRKSA-N 0 1 305.249 3.148 20 30 DGEDMN C=CCCC1(C(=O)NCCCNCc2csc(CC)n2)CC1 ZINC001165921036 1090561837 /nfs/dbraw/zinc/56/18/37/1090561837.db2.gz DLQNFWRXEXMRLO-UHFFFAOYSA-N 0 1 321.490 3.048 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2ncoc2C(C)C)CCC1 ZINC001166004431 1090569041 /nfs/dbraw/zinc/56/90/41/1090569041.db2.gz NUQPDSCDUGFYDA-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN COc1ccc([C@@H]2C[C@H](N3CC[C@](C)(C#N)C3)CCO2)cc1 ZINC001168670933 1090691678 /nfs/dbraw/zinc/69/16/78/1090691678.db2.gz LPINCXPTJBTGIA-BPQIPLTHSA-N 0 1 300.402 3.151 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001485847461 1090706762 /nfs/dbraw/zinc/70/67/62/1090706762.db2.gz KQKRFNDKNDMWLJ-CABCVRRESA-N 0 1 320.864 3.174 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001485847462 1090707048 /nfs/dbraw/zinc/70/70/48/1090707048.db2.gz KQKRFNDKNDMWLJ-GJZGRUSLSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2oc(C)cc2C)C1 ZINC001494121171 1090740417 /nfs/dbraw/zinc/74/04/17/1090740417.db2.gz CQPGNAOSSMUADS-CQSZACIVSA-N 0 1 310.825 3.043 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CCC2CCCCCC2)[C@H]1C ZINC001486177964 1090776883 /nfs/dbraw/zinc/77/68/83/1090776883.db2.gz UZWXMUHIOBPDJF-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2c(C)cc(C)cc2C)CC1 ZINC001203746288 1090794683 /nfs/dbraw/zinc/79/46/83/1090794683.db2.gz OXRAHLNOQZQENG-UHFFFAOYSA-N 0 1 314.429 3.281 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(Cc2cccc(C#N)c2)CC1 ZINC001203762423 1090799729 /nfs/dbraw/zinc/79/97/29/1090799729.db2.gz HBMDPTQONUQLFY-QGZVFWFLSA-N 0 1 305.425 3.437 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C)cc(Cl)c1)C(C)C ZINC001486372035 1090811848 /nfs/dbraw/zinc/81/18/48/1090811848.db2.gz NYRUZZQRZQSJNM-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C(F)F)CCC1)C(C)(C)C ZINC001486413019 1090826025 /nfs/dbraw/zinc/82/60/25/1090826025.db2.gz YKDKWDWGACSFJW-LLVKDONJSA-N 0 1 322.827 3.295 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1=CCN(Cc2ccsc2)CC1 ZINC001486512570 1090862453 /nfs/dbraw/zinc/86/24/53/1090862453.db2.gz FDXBEKUTTSEHSA-UHFFFAOYSA-N 0 1 304.459 3.209 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@@H](CCC)C(C)C)c(F)c1 ZINC001486525414 1090869309 /nfs/dbraw/zinc/86/93/09/1090869309.db2.gz JVMTVCINHHPRQE-KRWDZBQOSA-N 0 1 318.436 3.237 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(C(F)(F)F)cc3)CCC2S1 ZINC001204158566 1090910488 /nfs/dbraw/zinc/91/04/88/1090910488.db2.gz YYZLVWYJTCNQIC-CYBMUJFWSA-N 0 1 313.344 3.480 20 30 DGEDMN N#C[C@H]1CN(Cc2ccccc2OCc2ccccc2)CCC1=O ZINC001204331203 1090950040 /nfs/dbraw/zinc/95/00/40/1090950040.db2.gz AMUZWEPDNZFUPA-SFHVURJKSA-N 0 1 320.392 3.180 20 30 DGEDMN C=C(C)CCC(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001128408812 1090956673 /nfs/dbraw/zinc/95/66/73/1090956673.db2.gz HBMFIGRAADKJAI-HNNXBMFYSA-N 0 1 308.372 3.014 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001276468946 1090983611 /nfs/dbraw/zinc/98/36/11/1090983611.db2.gz PIHBDEJNUNINGB-DLBZAZTESA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001276469397 1090985369 /nfs/dbraw/zinc/98/53/69/1090985369.db2.gz WDYAMHDOUORTFM-RDTXWAMCSA-N 0 1 318.436 3.188 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CC2(C)CCCCC2)C1 ZINC001108064328 1091000828 /nfs/dbraw/zinc/00/08/28/1091000828.db2.gz FYZNDDQPGRODPJ-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CCC1)[C@H]1CC=CCC1 ZINC001273040320 1091013018 /nfs/dbraw/zinc/01/30/18/1091013018.db2.gz JEIPXMFLPQINFZ-IBGZPJMESA-N 0 1 322.452 3.023 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2CCc2ccccc2F)C1=O ZINC001273041096 1091013091 /nfs/dbraw/zinc/01/30/91/1091013091.db2.gz NMFIXXZVJRUXME-LJQANCHMSA-N 0 1 316.420 3.011 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(F)c2ccccc2c1 ZINC001128890598 1091104301 /nfs/dbraw/zinc/10/43/01/1091104301.db2.gz JPCDYRWTCXFAKV-UHFFFAOYSA-N 0 1 306.768 3.051 20 30 DGEDMN N#Cc1cccc(O[C@@H]2CCCN(Cc3cc(O)cc(O)c3)C2)c1 ZINC001138331364 1091143500 /nfs/dbraw/zinc/14/35/00/1091143500.db2.gz XCDUURLXUGSRCH-LJQANCHMSA-N 0 1 324.380 3.013 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCCN(Cc3cc(O)cc(O)c3)C2)cc1 ZINC001138333516 1091143783 /nfs/dbraw/zinc/14/37/83/1091143783.db2.gz GRVNTBQZLAOFNB-IBGZPJMESA-N 0 1 324.380 3.013 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccc(C)nc2)cc1OC ZINC001138347473 1091148434 /nfs/dbraw/zinc/14/84/34/1091148434.db2.gz XYTLMQNTYXCWLG-UHFFFAOYSA-N 0 1 310.397 3.043 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@H]2c2ccncc2)cc1OC ZINC001138345942 1091148535 /nfs/dbraw/zinc/14/85/35/1091148535.db2.gz SGPRQLBTTILMFV-SFHVURJKSA-N 0 1 322.408 3.439 20 30 DGEDMN CNc1ccccc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC001205526599 1091243576 /nfs/dbraw/zinc/24/35/76/1091243576.db2.gz BENIERXSNVCUKK-UHFFFAOYSA-N 0 1 306.413 3.181 20 30 DGEDMN CC1(C)CN(Cc2ccccc2)C[C@H](COCCCCC#N)O1 ZINC001205673462 1091308577 /nfs/dbraw/zinc/30/85/77/1091308577.db2.gz VLAJQDZLSYZINQ-GOSISDBHSA-N 0 1 316.445 3.376 20 30 DGEDMN CCN(CC)c1ncc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)s1 ZINC001138799665 1091334853 /nfs/dbraw/zinc/33/48/53/1091334853.db2.gz IXXCJSFVPXEEAU-ZSOGYDGISA-N 0 1 304.463 3.256 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csnc1C ZINC001494651205 1091375630 /nfs/dbraw/zinc/37/56/30/1091375630.db2.gz VNXFQVAFHPYJOA-GJZGRUSLSA-N 0 1 321.490 3.321 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC(C2CC2)C2CC2)CCC1 ZINC001273200595 1091389960 /nfs/dbraw/zinc/38/99/60/1091389960.db2.gz DXZCQPNDMJSKAQ-UHFFFAOYSA-N 0 1 310.869 3.194 20 30 DGEDMN Cc1cc(CN2CCC(Cc3ccc(C#N)cc3)CC2)n(C)n1 ZINC001205910926 1091405002 /nfs/dbraw/zinc/40/50/02/1091405002.db2.gz MLLVCYVQZDBWFP-UHFFFAOYSA-N 0 1 308.429 3.055 20 30 DGEDMN CNC(C)(C)c1nc(-c2cc(Cl)c(C#N)cc2Cl)no1 ZINC001206010478 1091448485 /nfs/dbraw/zinc/44/84/85/1091448485.db2.gz LKZTUEMKKRIOKJ-UHFFFAOYSA-N 0 1 311.172 3.370 20 30 DGEDMN CCCCCC(=O)N[C@@H]1CN(Cc2ccc(C#N)cc2)C[C@H]1C ZINC001206018526 1091453708 /nfs/dbraw/zinc/45/37/08/1091453708.db2.gz PZNDBAZJTHJWER-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3sc(C)cc3C)[C@@H]2C1 ZINC001084375254 1091485131 /nfs/dbraw/zinc/48/51/31/1091485131.db2.gz AFKBBCNYISQVER-HUUCEWRRSA-N 0 1 304.459 3.087 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2ccc3nonc3c2)CC1 ZINC001139219270 1091492493 /nfs/dbraw/zinc/49/24/93/1091492493.db2.gz WTPPQJGPUWHKFB-UHFFFAOYSA-N 0 1 318.380 3.280 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3CC4(CSC4)C3)cc2)c1 ZINC001139405918 1091549051 /nfs/dbraw/zinc/54/90/51/1091549051.db2.gz FDZANYFIRGKIAJ-UHFFFAOYSA-N 0 1 307.422 3.169 20 30 DGEDMN C[C@H]1C[C@H](O)CCN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139427473 1091555717 /nfs/dbraw/zinc/55/57/17/1091555717.db2.gz HKVCYTZNVILGPT-WMLDXEAASA-N 0 1 323.396 3.091 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CCC[C@@H](O)CC3)cn2)cc1 ZINC001139424936 1091556244 /nfs/dbraw/zinc/55/62/44/1091556244.db2.gz WUKFRLSOXWWMMA-QGZVFWFLSA-N 0 1 323.396 3.092 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3ccc(C)s3)[C@@H]2C1 ZINC001084772892 1091557049 /nfs/dbraw/zinc/55/70/49/1091557049.db2.gz UCOZYPRXRJVDDP-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H](C)c3ccc(C)s3)[C@@H]2C1 ZINC001084772892 1091557057 /nfs/dbraw/zinc/55/70/57/1091557057.db2.gz UCOZYPRXRJVDDP-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc4ccccc43)[C@@H]2C1 ZINC001084809360 1091577190 /nfs/dbraw/zinc/57/71/90/1091577190.db2.gz KEECNPMBKVCSIM-VQIMIIECSA-N 0 1 306.409 3.172 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(C4CC4)cc3)[C@@H]2C1 ZINC001084845260 1091588644 /nfs/dbraw/zinc/58/86/44/1091588644.db2.gz FMYVTHICEJQKCB-RTBURBONSA-N 0 1 310.441 3.286 20 30 DGEDMN CN1CCN(Cc2cc(C#N)ccc2F)C[C@@H]1c1ccccc1 ZINC001139648907 1091634517 /nfs/dbraw/zinc/63/45/17/1091634517.db2.gz GXEWVLOSRJNTPF-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H]1CSc2ccccc2O1 ZINC001206448543 1091635345 /nfs/dbraw/zinc/63/53/45/1091635345.db2.gz IBPAMQLTMDEHCG-CYBMUJFWSA-N 0 1 314.385 3.340 20 30 DGEDMN N#Cc1ccc(F)c(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1 ZINC001139651167 1091636222 /nfs/dbraw/zinc/63/62/22/1091636222.db2.gz HYVOLZBDEJMERU-OALUTQOASA-N 0 1 321.399 3.156 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]3CCN(C/C=C\Cl)[C@H]3C2)CC1 ZINC001085023645 1091642159 /nfs/dbraw/zinc/64/21/59/1091642159.db2.gz NSXVGDNSCUGCHW-HXMLOBCXSA-N 0 1 322.880 3.408 20 30 DGEDMN Cc1ccc(CN2CCC(Oc3cccnc3)CC2)cc1C#N ZINC001139724194 1091654062 /nfs/dbraw/zinc/65/40/62/1091654062.db2.gz NBYONIPHDQIMLW-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC([C@@H](C)CO)CC1 ZINC001206577116 1091674830 /nfs/dbraw/zinc/67/48/30/1091674830.db2.gz IDHUSWAQKXNRBO-AWEZNQCLSA-N 0 1 321.848 3.192 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CCCCCCCC ZINC001115273611 1091728911 /nfs/dbraw/zinc/72/89/11/1091728911.db2.gz PCEGJRHKLWFUSC-WNRNVDISSA-N 0 1 304.478 3.053 20 30 DGEDMN CCc1noc([C@@H]2CCCN(Cc3ccc(C#N)cc3F)C2)n1 ZINC001140140389 1091780744 /nfs/dbraw/zinc/78/07/44/1091780744.db2.gz VGDMGTGXLQBGJC-CQSZACIVSA-N 0 1 314.364 3.022 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](C)c2ccc(F)cc2)C[C@H]1C ZINC001206911298 1091794067 /nfs/dbraw/zinc/79/40/67/1091794067.db2.gz JOLSJRQBKYCENJ-IIDMSEBBSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2ccc(SC)s2)C1=O ZINC001273341101 1091818392 /nfs/dbraw/zinc/81/83/92/1091818392.db2.gz ZRHGOSHLOJZGLK-INIZCTEOSA-N 0 1 322.499 3.223 20 30 DGEDMN C#C[C@H]1CCCN(Cc2csc(=NC(=O)OC(C)(C)C)[nH]2)C1 ZINC001140312175 1091822267 /nfs/dbraw/zinc/82/22/67/1091822267.db2.gz WFJMBIKWNRFPLW-LBPRGKRZSA-N 0 1 321.446 3.335 20 30 DGEDMN C=CCn1cccc1C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001085641381 1091867090 /nfs/dbraw/zinc/86/70/90/1091867090.db2.gz KWRCCRSELJAJAI-GOSISDBHSA-N 0 1 323.440 3.021 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001207158189 1091867687 /nfs/dbraw/zinc/86/76/87/1091867687.db2.gz KOEFNHGNMXZOLG-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001085668210 1091877810 /nfs/dbraw/zinc/87/78/10/1091877810.db2.gz XVKBKQYVZMJAHV-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(CCCC)cc1 ZINC001085709258 1091890798 /nfs/dbraw/zinc/89/07/98/1091890798.db2.gz CRVJDZVMMOEZKH-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3ncc4ccccc43)CC2)cn1 ZINC001140546185 1091903799 /nfs/dbraw/zinc/90/37/99/1091903799.db2.gz XOUDBDVTFKRZBZ-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001085738498 1091905743 /nfs/dbraw/zinc/90/57/43/1091905743.db2.gz NROJSAQYODWBHM-MHORFTMASA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(CCC)cc1 ZINC001085792669 1091935244 /nfs/dbraw/zinc/93/52/44/1091935244.db2.gz QYCIHIUIXFPUNT-SFHVURJKSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001085840045 1091966074 /nfs/dbraw/zinc/96/60/74/1091966074.db2.gz YSBHMIOZWJJBKR-WNRNVDISSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001085840045 1091966083 /nfs/dbraw/zinc/96/60/83/1091966083.db2.gz YSBHMIOZWJJBKR-WNRNVDISSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)/C(C)=C/C)C2)C1 ZINC001276533523 1091971222 /nfs/dbraw/zinc/97/12/22/1091971222.db2.gz YDHBIXQMUUOJEB-QPUGPGLDSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1cc([C@H](C)CC)no1 ZINC001085860104 1091983228 /nfs/dbraw/zinc/98/32/28/1091983228.db2.gz QZTPLJLRNAFCEZ-HUUCEWRRSA-N 0 1 319.449 3.301 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1cc([C@@H](C)CC)no1 ZINC001085860103 1091983809 /nfs/dbraw/zinc/98/38/09/1091983809.db2.gz QZTPLJLRNAFCEZ-GJZGRUSLSA-N 0 1 319.449 3.301 20 30 DGEDMN CC(C)c1nc(CCN(C)Cc2cc(F)c(C#N)c(F)c2)no1 ZINC001140753004 1091984921 /nfs/dbraw/zinc/98/49/21/1091984921.db2.gz UCHQVOHPFXISKS-UHFFFAOYSA-N 0 1 320.343 3.017 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1csc(C(C)(C)C)n1 ZINC001085873827 1091991220 /nfs/dbraw/zinc/99/12/20/1091991220.db2.gz LGKXXKPNTVNPCP-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(Cl)cc(OC)c1 ZINC001085888185 1091998916 /nfs/dbraw/zinc/99/89/16/1091998916.db2.gz RXNCSUBBAKWVLW-OAHLLOKOSA-N 0 1 322.836 3.071 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C)c(C)c1Cl ZINC001085926499 1092018097 /nfs/dbraw/zinc/01/80/97/1092018097.db2.gz YMPFGCMRVOFQPC-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001085941813 1092030776 /nfs/dbraw/zinc/03/07/76/1092030776.db2.gz QGLHOOSWODJCGN-ZCYBBYNQSA-N 0 1 316.489 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1CCC(C2CC2)CC1 ZINC001085951611 1092040572 /nfs/dbraw/zinc/04/05/72/1092040572.db2.gz JKPDXNSWIYRBDW-DAWZGUTISA-N 0 1 304.478 3.312 20 30 DGEDMN N#CCSc1ccccc1C(=O)Nc1n[nH]c2cc(O)ccc21 ZINC001298306446 1092049727 /nfs/dbraw/zinc/04/97/27/1092049727.db2.gz ILHKJIRYWWXWPO-UHFFFAOYSA-N 0 1 324.365 3.136 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc(C2CCCC2)no1 ZINC001085979956 1092067383 /nfs/dbraw/zinc/06/73/83/1092067383.db2.gz GDLNGQIWXRUZAM-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cnc(C(C)(C)C)s1 ZINC001085988446 1092072229 /nfs/dbraw/zinc/07/22/29/1092072229.db2.gz IVJJFLGSIDYOQY-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccccc1CC(C)C ZINC001085994682 1092085686 /nfs/dbraw/zinc/08/56/86/1092085686.db2.gz ROHLSQGAMCNRFF-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN COCC[C@@H]1CCCCN1Cc1sc(N)c(C#N)c1Cl ZINC001141111987 1092139949 /nfs/dbraw/zinc/13/99/49/1092139949.db2.gz KZTWIZGIGSXWCU-JTQLQIEISA-N 0 1 313.854 3.246 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCCc1ccc(C)cc1 ZINC001491570637 1092187755 /nfs/dbraw/zinc/18/77/55/1092187755.db2.gz PAGHBOOXWVGRRZ-OAHLLOKOSA-N 0 1 308.853 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCCc1ccc(C)cc1 ZINC001491570636 1092188658 /nfs/dbraw/zinc/18/86/58/1092188658.db2.gz PAGHBOOXWVGRRZ-HNNXBMFYSA-N 0 1 308.853 3.165 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001491632535 1092193138 /nfs/dbraw/zinc/19/31/38/1092193138.db2.gz LTMDJTBVERFOSK-CVEARBPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001491632786 1092193618 /nfs/dbraw/zinc/19/36/18/1092193618.db2.gz NBWVFADEYYKWDC-KGLIPLIRSA-N 0 1 324.852 3.324 20 30 DGEDMN CN1CCN(Cc2ccc(Oc3ccc(C#N)cc3)cc2)CC1 ZINC001141287989 1092199032 /nfs/dbraw/zinc/19/90/32/1092199032.db2.gz YRBGBXKWBPMZLK-UHFFFAOYSA-N 0 1 307.397 3.098 20 30 DGEDMN CC[C@H](C(=O)Nc1cccc(C#Cc2ccccc2)c1)N(C)C ZINC001141303794 1092202105 /nfs/dbraw/zinc/20/21/05/1092202105.db2.gz BNTSICZRBITHTI-LJQANCHMSA-N 0 1 306.409 3.365 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cccc(OC)c2Cl)C1 ZINC001491716486 1092211354 /nfs/dbraw/zinc/21/13/54/1092211354.db2.gz FLDHHSTUHFGZHZ-AWEZNQCLSA-N 0 1 322.836 3.005 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@H](C)CCCCC)C1 ZINC001108262086 1092211924 /nfs/dbraw/zinc/21/19/24/1092211924.db2.gz CSYPCDFLQUPFBS-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCS[C@@H](C(=O)OCC)C2)CC1 ZINC001208176219 1092241780 /nfs/dbraw/zinc/24/17/80/1092241780.db2.gz AJBXLESRFYSCEP-HZPDHXFCSA-N 0 1 309.475 3.270 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2cccc(F)c2F)C1 ZINC001208256149 1092294906 /nfs/dbraw/zinc/29/49/06/1092294906.db2.gz ZOIGAKYFEXBINO-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2ccncc2Cl)C1 ZINC001208255382 1092295007 /nfs/dbraw/zinc/29/50/07/1092295007.db2.gz HHWINPZIGIYLRJ-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C[C@H](C)CC(C)C)C1 ZINC001108337154 1092340748 /nfs/dbraw/zinc/34/07/48/1092340748.db2.gz UMLVOISJJCHATJ-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCCCCCCC(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001108359643 1092354275 /nfs/dbraw/zinc/35/42/75/1092354275.db2.gz HNMLHRCGXLBVGF-GOSISDBHSA-N 0 1 312.498 3.354 20 30 DGEDMN CSc1nc(CN2CCC3(CCOCC3)CC2)ccc1C#N ZINC001141941379 1092365897 /nfs/dbraw/zinc/36/58/97/1092365897.db2.gz HMVXZQFSONSTKB-UHFFFAOYSA-N 0 1 317.458 3.068 20 30 DGEDMN CSc1nc(CN2CC[C@H](c3cccnc3)C2)ccc1C#N ZINC001141939920 1092366067 /nfs/dbraw/zinc/36/60/67/1092366067.db2.gz WNDJDQAEDUXUAH-HNNXBMFYSA-N 0 1 310.426 3.060 20 30 DGEDMN O[C@@H]1CCCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C1 ZINC001141972379 1092372631 /nfs/dbraw/zinc/37/26/31/1092372631.db2.gz IYMLAYRTYKYSET-QGZVFWFLSA-N 0 1 311.450 3.495 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2C[C@@H]3CCO[C@@H]3C2)s1 ZINC001141977338 1092373624 /nfs/dbraw/zinc/37/36/24/1092373624.db2.gz BMSYERTTWOMLCI-QFBILLFUSA-N 0 1 309.434 3.369 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208508689 1092379148 /nfs/dbraw/zinc/37/91/48/1092379148.db2.gz FCHRBBMRRXYDBI-BJZITVGISA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H]2CN(Cc3ccsc3)C[C@H]2C)CC1 ZINC001208515672 1092384067 /nfs/dbraw/zinc/38/40/67/1092384067.db2.gz YSWMHYWBOGDHNT-GDBMZVCRSA-N 0 1 318.486 3.431 20 30 DGEDMN CC(C)(C)[C@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@H]1O ZINC001168886213 1092426483 /nfs/dbraw/zinc/42/64/83/1092426483.db2.gz QKOPMGDFIXKUNQ-JKSUJKDBSA-N 0 1 306.837 3.441 20 30 DGEDMN CC(C)(C)[C@@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@H]1O ZINC001168886212 1092426750 /nfs/dbraw/zinc/42/67/50/1092426750.db2.gz QKOPMGDFIXKUNQ-HZPDHXFCSA-N 0 1 306.837 3.441 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](CCC)CC(C)C)C1 ZINC001108431006 1092460273 /nfs/dbraw/zinc/46/02/73/1092460273.db2.gz SQDKPLNJMPIIAJ-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](CCC)CC(C)C)C1 ZINC001108431007 1092460793 /nfs/dbraw/zinc/46/07/93/1092460793.db2.gz SQDKPLNJMPIIAJ-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN CC#CCCCC(=O)NCC[N@@H+](C)Cc1ccc(Cl)cc1C ZINC001492378342 1092489630 /nfs/dbraw/zinc/48/96/30/1092489630.db2.gz PDYRRKRCUBDDKT-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC[N@@H+](C)Cc1ccc(C)cc1C ZINC001492381539 1092491066 /nfs/dbraw/zinc/49/10/66/1092491066.db2.gz MSZIIFLUCHMPPN-QGZVFWFLSA-N 0 1 302.462 3.454 20 30 DGEDMN C=CCC1(C(=O)NC[C@]2(C)CN(CCCC)CCO2)CCCC1 ZINC001108444579 1092516016 /nfs/dbraw/zinc/51/60/16/1092516016.db2.gz LBXOEKOWPLKGNU-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1nocc1C ZINC001316673814 1092528824 /nfs/dbraw/zinc/52/88/24/1092528824.db2.gz CBFZLCKAZONJMT-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN CC[C@@H](NC[C@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001169103622 1092533143 /nfs/dbraw/zinc/53/31/43/1092533143.db2.gz XBFLLQFJWSIMOF-CABCVRRESA-N 0 1 301.415 3.098 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N(C)CCCc1cccc(C#N)c1 ZINC001208850800 1092542850 /nfs/dbraw/zinc/54/28/50/1092542850.db2.gz JAJJUJMEWQDQPB-YOEHRIQHSA-N 0 1 302.418 3.010 20 30 DGEDMN CCOC(=O)[C@H]1C[C@H](C)CCN1CCCc1cccc(C#N)c1 ZINC001208850922 1092543944 /nfs/dbraw/zinc/54/39/44/1092543944.db2.gz OJWZIPITCGYKOD-CRAIPNDOSA-N 0 1 314.429 3.154 20 30 DGEDMN N#Cc1cccc(CCCN2CCc3cnc(C4CC4)nc3C2)c1 ZINC001208854403 1092544100 /nfs/dbraw/zinc/54/41/00/1092544100.db2.gz NVJAFYMIEGWBAG-UHFFFAOYSA-N 0 1 318.424 3.217 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001208961202 1092611713 /nfs/dbraw/zinc/61/17/13/1092611713.db2.gz ILUGQEHQYGKNIM-OBIHZWKSSA-N 0 1 305.249 3.098 20 30 DGEDMN Cc1ccc(C(=NO)Nc2cc(N3CCCCC3)ccn2)nc1 ZINC001169406362 1092667625 /nfs/dbraw/zinc/66/76/25/1092667625.db2.gz RVANJXZCMOWWRE-UHFFFAOYSA-N 0 1 311.389 3.023 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001209146703 1092672283 /nfs/dbraw/zinc/67/22/83/1092672283.db2.gz PTZNSOSBIGXJLJ-UELRPHRMSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCCCN1Cc2ncn(CC3CC3)c2[C@@H](COCC)C1 ZINC001209158172 1092676477 /nfs/dbraw/zinc/67/64/77/1092676477.db2.gz LRHPYEZJTSZFOY-MRXNPFEDSA-N 0 1 303.450 3.195 20 30 DGEDMN C#CCCCN1CCN(C(=O)OC(C)(C)C)C2=CCCC[C@@H]21 ZINC001209268199 1092720024 /nfs/dbraw/zinc/72/00/24/1092720024.db2.gz BLLSNXSOTZMFME-HNNXBMFYSA-N 0 1 304.434 3.389 20 30 DGEDMN O=C(CCC1CCCCC1)NCCNCC#Cc1ccccc1 ZINC001149786193 1092731207 /nfs/dbraw/zinc/73/12/07/1092731207.db2.gz OLTWKVVVAWVTLS-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2ccncc2Cl)C1 ZINC001209335531 1092737820 /nfs/dbraw/zinc/73/78/20/1092737820.db2.gz NLJVXULBNXCQJD-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001209366634 1092744691 /nfs/dbraw/zinc/74/46/91/1092744691.db2.gz SJWPPMJXBQXWCO-CNELAYHGSA-N 0 1 305.249 3.242 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001209453675 1092780607 /nfs/dbraw/zinc/78/06/07/1092780607.db2.gz CCFCEYQLLNBCEV-AMWPYFQMSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H](NC/C(Cl)=C\Cl)C2)C1 ZINC001209543201 1092816597 /nfs/dbraw/zinc/81/65/97/1092816597.db2.gz JXGGZGKWAODAIR-YQCJOKCJSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@](C)(CC)CCCCC)C1 ZINC001150793171 1092826834 /nfs/dbraw/zinc/82/68/34/1092826834.db2.gz BABMSPWBZFOFIX-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)CCCCCC)C1 ZINC001209663672 1092868495 /nfs/dbraw/zinc/86/84/95/1092868495.db2.gz BYIHGZYPSNEKOM-RCCFBDPRSA-N 0 1 324.509 3.232 20 30 DGEDMN COc1ccc2oc(CN3CCC4SC(=O)C=C4C3)cc2c1 ZINC001209767703 1092910822 /nfs/dbraw/zinc/91/08/22/1092910822.db2.gz DJQXUGAFYUNIES-MRXNPFEDSA-N 0 1 315.394 3.216 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](CCC)C(C)C)C1 ZINC001209892613 1092968027 /nfs/dbraw/zinc/96/80/27/1092968027.db2.gz WMRMOHDFBLVSLK-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001210284891 1093108137 /nfs/dbraw/zinc/10/81/37/1093108137.db2.gz URWZWNPPCLCGGG-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(C)n1cc(Nc2sc3c(c2C#N)CCN(C)C3)cn1 ZINC001210318252 1093124708 /nfs/dbraw/zinc/12/47/08/1093124708.db2.gz KMMOAYASDQVCKN-UHFFFAOYSA-N 0 1 301.419 3.129 20 30 DGEDMN C[C@H](O)c1cccc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001210503066 1093191283 /nfs/dbraw/zinc/19/12/83/1093191283.db2.gz IUJHHDASROXGFW-NSHDSACASA-N 0 1 313.426 3.405 20 30 DGEDMN Cc1cc(Nc2ccccc2CN2CCN(C)CC2)ccc1C#N ZINC001210807943 1093288112 /nfs/dbraw/zinc/28/81/12/1093288112.db2.gz PYBHVGGUMMUOPW-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN COc1cnccc1Nc1ccc(N2CCCCC2)c(C#N)c1 ZINC001211263395 1093435648 /nfs/dbraw/zinc/43/56/48/1093435648.db2.gz OMNQWGCMQWWIHY-UHFFFAOYSA-N 0 1 308.385 3.118 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001211383916 1093477269 /nfs/dbraw/zinc/47/72/69/1093477269.db2.gz GKZUVDUZUXXFGJ-SJLPKXTDSA-N 0 1 306.494 3.155 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@H](F)CC)C[C@H]2C)CCCCC1 ZINC001211410732 1093485135 /nfs/dbraw/zinc/48/51/35/1093485135.db2.gz GBYDLVDVWIQNNV-BRWVUGGUSA-N 0 1 322.468 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)c1ccccc1)C1CC1 ZINC001276702704 1093606383 /nfs/dbraw/zinc/60/63/83/1093606383.db2.gz JJGXQBGPCBTIMK-SUMWQHHRSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C[C@@H](C(=O)N[C@H](CNCC(=C)Cl)C1CC1)c1ccccc1 ZINC001276712315 1093792243 /nfs/dbraw/zinc/79/22/43/1093792243.db2.gz SYUVJJJLOVYTRN-IAGOWNOFSA-N 0 1 318.848 3.193 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215376118 1093971803 /nfs/dbraw/zinc/97/18/03/1093971803.db2.gz FAQQKBLTQGTWJQ-GNAFJWSTSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(CCC)CCC)C[C@H]1C ZINC001215412039 1093978204 /nfs/dbraw/zinc/97/82/04/1093978204.db2.gz BEGIXFHDXZDGJZ-IUODEOHRSA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1cc(Nc2ccc(Cl)c(CO)c2F)c(O)cc1F ZINC001215610164 1094013840 /nfs/dbraw/zinc/01/38/40/1094013840.db2.gz GFJNQACMWZVYRS-UHFFFAOYSA-N 0 1 310.687 3.431 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1ccc(C)c2[nH]ncc21 ZINC001215755088 1094049034 /nfs/dbraw/zinc/04/90/34/1094049034.db2.gz IHPDGJILOVPSMP-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN N#Cc1cc(N)c(Nc2cc(Cl)cc3[nH]ncc32)cc1C#N ZINC001215861133 1094075728 /nfs/dbraw/zinc/07/57/28/1094075728.db2.gz WFDIDFBVYPTMFO-UHFFFAOYSA-N 0 1 308.732 3.285 20 30 DGEDMN CCc1cc(Nc2sc3c(c2C#N)CCN(C)C3)ccc1N ZINC001216036322 1094109978 /nfs/dbraw/zinc/10/99/78/1094109978.db2.gz JDUOSIBASUBKIF-UHFFFAOYSA-N 0 1 312.442 3.496 20 30 DGEDMN Cc1ccc(Nc2ccccc2CN2CCN(C)CC2)cc1C#N ZINC001216076024 1094117967 /nfs/dbraw/zinc/11/79/67/1094117967.db2.gz MQDNEZOUACIUDH-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN Cc1c(C#N)cccc1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001216176544 1094138847 /nfs/dbraw/zinc/13/88/47/1094138847.db2.gz VSFSLRLVTXNFER-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CN(C)Cc1cccc(Nc2c(C#N)cnn2C(C)(C)C)c1F ZINC001216226907 1094151618 /nfs/dbraw/zinc/15/16/18/1094151618.db2.gz GRIJHDJEVBMWON-UHFFFAOYSA-N 0 1 315.396 3.454 20 30 DGEDMN COc1cc(F)cc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001216969593 1094285119 /nfs/dbraw/zinc/28/51/19/1094285119.db2.gz HTOCOIDVGRNRNS-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3cnc4[nH]cccc3-4)n2)c1 ZINC001217146490 1094320373 /nfs/dbraw/zinc/32/03/73/1094320373.db2.gz VEGUZYBZHPVFJV-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1cc(C#N)sc1[N+](=O)[O-] ZINC001120040060 1094359957 /nfs/dbraw/zinc/35/99/57/1094359957.db2.gz QZVPKLNLWUZXGA-UHFFFAOYSA-N 0 1 319.390 3.185 20 30 DGEDMN C[C@@H](CCC#N)CN[C@H](c1nccn1C)c1ccc(F)c(F)c1 ZINC001120072583 1094372262 /nfs/dbraw/zinc/37/22/62/1094372262.db2.gz XOTJMRCKZSYCLN-LRDDRELGSA-N 0 1 318.371 3.317 20 30 DGEDMN C[C@@H](C(=O)NCCNCC#Cc1ccccc1)[C@H](C)C(C)(C)C ZINC001135177794 1094428838 /nfs/dbraw/zinc/42/88/38/1094428838.db2.gz LRGSCMFAMMYFLW-SJORKVTESA-N 0 1 314.473 3.062 20 30 DGEDMN C[C@@H](C(=O)NCCNCC#Cc1ccccc1)[C@@H](C)C(C)(C)C ZINC001135177792 1094429562 /nfs/dbraw/zinc/42/95/62/1094429562.db2.gz LRGSCMFAMMYFLW-IAGOWNOFSA-N 0 1 314.473 3.062 20 30 DGEDMN N#CCC[C@@H](C#N)CNC[C@@H](Cc1ccccc1)c1ccccn1 ZINC001120480419 1094439421 /nfs/dbraw/zinc/43/94/21/1094439421.db2.gz TUYHDLNMZVGXQQ-RBUKOAKNSA-N 0 1 318.424 3.441 20 30 DGEDMN CC(C)n1c2ccccc2nc1CCNC[C@@H](C#N)CCC#N ZINC001120584127 1094462026 /nfs/dbraw/zinc/46/20/26/1094462026.db2.gz XYBBUQIIIDGUGA-OAHLLOKOSA-N 0 1 309.417 3.193 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@H](C)NCc2cc(C)on2)CCC1 ZINC001135391575 1094512592 /nfs/dbraw/zinc/51/25/92/1094512592.db2.gz TXERAYWIWYYBOJ-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@@H](C)NCc2nocc2C)CC1 ZINC001135414606 1094526816 /nfs/dbraw/zinc/52/68/16/1094526816.db2.gz BNMJDECYLIKVEK-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C[C@@H](C)NCc2nc(C)oc2C)C1 ZINC001135426858 1094534309 /nfs/dbraw/zinc/53/43/09/1094534309.db2.gz IUKQQEHSPYJTMM-CHWSQXEVSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCCCCc1cccs1 ZINC001135485600 1094549940 /nfs/dbraw/zinc/54/99/40/1094549940.db2.gz LSNSKDZSPZKYQH-UHFFFAOYSA-N 0 1 314.882 3.309 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1ncc(CC)o1 ZINC001135560848 1094577114 /nfs/dbraw/zinc/57/71/14/1094577114.db2.gz MEVUFDQAYLJKDW-ZIAGYGMSSA-N 0 1 321.465 3.212 20 30 DGEDMN CC(C)(c1ccc(O)cc1)c1ccc(O[C@H]2CNC[C@@H]2C#N)cc1 ZINC001218199144 1094655294 /nfs/dbraw/zinc/65/52/94/1094655294.db2.gz APLNTSWQLRAAIL-LIRRHRJNSA-N 0 1 322.408 3.208 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(-c2cc(F)c(F)c(F)c2)cc1 ZINC001218200912 1094657089 /nfs/dbraw/zinc/65/70/89/1094657089.db2.gz JKRXNHKBCRRWEU-BLLLJJGKSA-N 0 1 318.298 3.261 20 30 DGEDMN CC[C@@H](CO)N(Cc1csc(C#N)c1)Cc1ccccc1 ZINC001336759581 1094727498 /nfs/dbraw/zinc/72/74/98/1094727498.db2.gz BHEXBSAUPJVUQM-INIZCTEOSA-N 0 1 300.427 3.393 20 30 DGEDMN Cc1c(F)cccc1NC(=O)CCNCC1(C#N)CCCC1 ZINC001336820412 1094748940 /nfs/dbraw/zinc/74/89/40/1094748940.db2.gz SUMBBKSBULQGSK-UHFFFAOYSA-N 0 1 303.381 3.136 20 30 DGEDMN CCCCCCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1cnon1 ZINC001136003470 1094794029 /nfs/dbraw/zinc/79/40/29/1094794029.db2.gz CMPWSANKMRIXLP-CABCVRRESA-N 0 1 324.469 3.193 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001103977836 1094825548 /nfs/dbraw/zinc/82/55/48/1094825548.db2.gz ISUUKJHBDOINTH-VNQPRFMTSA-N 0 1 319.449 3.084 20 30 DGEDMN C[C@H]1CCC(=CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001649146709 1094842497 /nfs/dbraw/zinc/84/24/97/1094842497.db2.gz QRHCGQTZYJTOOE-AWPPVZKDSA-N 0 1 307.357 3.028 20 30 DGEDMN C[C@H]1CCC(=CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001649146711 1094843379 /nfs/dbraw/zinc/84/33/79/1094843379.db2.gz QRHCGQTZYJTOOE-UTEVDWOZSA-N 0 1 307.357 3.028 20 30 DGEDMN CC(C)(C)c1cccc(NC(=O)[C@@H](N)Cc2ccccc2C#N)c1 ZINC001218900121 1094898862 /nfs/dbraw/zinc/89/88/62/1094898862.db2.gz BLVXBBQHNSEIHL-SFHVURJKSA-N 0 1 321.424 3.364 20 30 DGEDMN C#CC[C@@H](CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001111515157 1094917651 /nfs/dbraw/zinc/91/76/51/1094917651.db2.gz MSJSFSICVCPVBL-NMLBUPMWSA-N 0 1 322.452 3.091 20 30 DGEDMN CCc1cc(NC(=O)[C@@H](N)c2ccc(O)cc2)cc(CC)c1C#N ZINC001219112382 1094939791 /nfs/dbraw/zinc/93/97/91/1094939791.db2.gz FOUDCGMTDSOPBA-SFHVURJKSA-N 0 1 323.396 3.027 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCO[C@H]1C1CC1)c1ccc2ccccc2n1 ZINC001122392515 1094965995 /nfs/dbraw/zinc/96/59/95/1094965995.db2.gz BTPZFOWJMBFFOC-DOXZYTNZSA-N 0 1 306.365 3.226 20 30 DGEDMN N#CC(C(=O)C[C@H]1CC[C@H](C2CC2)O1)c1nc2ccccc2[nH]1 ZINC001122394298 1094966565 /nfs/dbraw/zinc/96/65/65/1094966565.db2.gz BTFWKKCJZDIYFW-PBFPGSCMSA-N 0 1 309.369 3.087 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@H]1c1cc(F)ccc1F)c1cccc(F)n1 ZINC001122631085 1095022485 /nfs/dbraw/zinc/02/24/85/1095022485.db2.gz AHRPREKFFSUGAV-RTXFEEFZSA-N 0 1 316.282 3.479 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CC(=O)Nc1ccc2nc[nH]c2c1 ZINC001136430441 1095033089 /nfs/dbraw/zinc/03/30/89/1095033089.db2.gz QMKZWIHTZPKXAZ-UHFFFAOYSA-N 0 1 304.353 3.233 20 30 DGEDMN N#CC(C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707858 1095040816 /nfs/dbraw/zinc/04/08/16/1095040816.db2.gz ODYWHCHZKXVRHH-NKSUMMKUSA-N 0 1 314.348 3.102 20 30 DGEDMN N#C[C@@H](C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707858 1095040834 /nfs/dbraw/zinc/04/08/34/1095040834.db2.gz ODYWHCHZKXVRHH-NKSUMMKUSA-N 0 1 314.348 3.102 20 30 DGEDMN N#CC(C(=O)Cc1c[nH]c2ccc(F)cc12)c1cccc(F)n1 ZINC001122714306 1095044058 /nfs/dbraw/zinc/04/40/58/1095044058.db2.gz JCYFCJXJZGHYID-ZDUSSCGKSA-N 0 1 311.291 3.260 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cccc(C(F)F)c2)cc1 ZINC001170984675 1095078739 /nfs/dbraw/zinc/07/87/39/1095078739.db2.gz DYRMOCDKZPRTRX-UHFFFAOYSA-N 0 1 304.296 3.448 20 30 DGEDMN N#CC(=C(O)c1ccc(N2CCCCCC2)cc1)c1ccncn1 ZINC001122924744 1095099127 /nfs/dbraw/zinc/09/91/27/1095099127.db2.gz UQYQMFOJQYYIPA-KRWDZBQOSA-N 0 1 320.396 3.347 20 30 DGEDMN C#C[C@H](C)NC(=O)[C@@H](N)Cc1c2ccccc2cc2ccccc21 ZINC001220458406 1095100728 /nfs/dbraw/zinc/10/07/28/1095100728.db2.gz QCPNAPPFZOOOPS-XOBRGWDASA-N 0 1 316.404 3.001 20 30 DGEDMN N#C[C@@H](C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967751 1095111254 /nfs/dbraw/zinc/11/12/54/1095111254.db2.gz DJKFHKRVSMWDMO-JWXWKVPASA-N 0 1 323.299 3.447 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967751 1095111264 /nfs/dbraw/zinc/11/12/64/1095111264.db2.gz DJKFHKRVSMWDMO-JWXWKVPASA-N 0 1 323.299 3.447 20 30 DGEDMN C=C[C@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)c1ccccc1 ZINC001136797609 1095172925 /nfs/dbraw/zinc/17/29/25/1095172925.db2.gz OEWKZLREAYWNDK-INIZCTEOSA-N 0 1 304.353 3.380 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@](C)(CC)CCCCC ZINC001115318648 1095176749 /nfs/dbraw/zinc/17/67/49/1095176749.db2.gz WRMKGEZYESPLRO-VUHPKUFZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1csnn1 ZINC001171042882 1095180173 /nfs/dbraw/zinc/18/01/73/1095180173.db2.gz HIEIYWWRKNEIRS-KBPBESRZSA-N 0 1 324.494 3.220 20 30 DGEDMN Cc1nc2ccc(NC(=N)c3ccc4ccccc4n3)cc2[nH]1 ZINC001171048951 1095189869 /nfs/dbraw/zinc/18/98/69/1095189869.db2.gz WPNNGDUPIHVLOE-UHFFFAOYSA-N 0 1 301.353 3.457 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1cc2c(o1)CCCC2 ZINC001123234342 1095197473 /nfs/dbraw/zinc/19/74/73/1095197473.db2.gz UFRFWUDZXNRQPO-JTQLQIEISA-N 0 1 303.749 3.035 20 30 DGEDMN CC(C)c1[nH]ccc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001649154476 1095215305 /nfs/dbraw/zinc/21/53/05/1095215305.db2.gz ODNVARQWFPEUQX-UHFFFAOYSA-N 0 1 320.356 3.047 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2nccs2)CCCCC1 ZINC001115442829 1095223963 /nfs/dbraw/zinc/22/39/63/1095223963.db2.gz SVMYVDMAMXGOEM-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C#CC1(NC(=O)[C@H](N)c2ccc3ccccc3c2)CCCCC1 ZINC001221275786 1095227305 /nfs/dbraw/zinc/22/73/05/1095227305.db2.gz HXQRIXVOUBVRDA-GOSISDBHSA-N 0 1 306.409 3.292 20 30 DGEDMN CC(C)C[C@@](C)(C#N)NC(=O)[C@@H](N)Cc1cccc2ccccc21 ZINC001221292333 1095235901 /nfs/dbraw/zinc/23/59/01/1095235901.db2.gz JWWLIWSOKUIHQP-ICSRJNTNSA-N 0 1 323.440 3.154 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001123336794 1095245613 /nfs/dbraw/zinc/24/56/13/1095245613.db2.gz KYAMHTTVSATKRE-OLZOCXBDSA-N 0 1 317.751 3.119 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccccc2OC)CCC1 ZINC001123337590 1095246453 /nfs/dbraw/zinc/24/64/53/1095246453.db2.gz IQHODPPAADBGMB-AWEZNQCLSA-N 0 1 323.396 3.210 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]2CN(C(=O)c3cscn3)[C@@H]2C1 ZINC001221427905 1095261770 /nfs/dbraw/zinc/26/17/70/1095261770.db2.gz PSUVORRSXLUYTK-GDBMZVCRSA-N 0 1 321.490 3.260 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cscn2)CCCCC1 ZINC001115557391 1095276963 /nfs/dbraw/zinc/27/69/63/1095276963.db2.gz KUZUJDYTCGYDTM-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN CC(C)[C@@H](C(=O)C(C#N)c1ncc(F)cc1F)c1cccnc1 ZINC001123475966 1095312735 /nfs/dbraw/zinc/31/27/35/1095312735.db2.gz IEAIYBJAOINTND-UKRRQHHQSA-N 0 1 315.323 3.371 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001338559247 1095335990 /nfs/dbraw/zinc/33/59/90/1095335990.db2.gz ITHWPJSDVZDTHK-UHFFFAOYSA-N 0 1 303.753 3.003 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221839469 1095371477 /nfs/dbraw/zinc/37/14/77/1095371477.db2.gz TVAXVMMYYZIVKQ-HZPDHXFCSA-N 0 1 318.486 3.383 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H](F)CCCCCC)[C@@H]2C1 ZINC001221949721 1095395469 /nfs/dbraw/zinc/39/54/69/1095395469.db2.gz FYVLYWAPKJMMPU-BRWVUGGUSA-N 0 1 310.457 3.404 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](C)CCC(C)(C)C)[C@@H]2C1 ZINC001221950221 1095396579 /nfs/dbraw/zinc/39/65/79/1095396579.db2.gz XYVMKUJOUOOAGJ-BRWVUGGUSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C=C)c3ccccc3)[C@@H]2C1 ZINC001222038124 1095414883 /nfs/dbraw/zinc/41/48/83/1095414883.db2.gz NCKNWFKUJPYFCD-QXAKKESOSA-N 0 1 310.441 3.065 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C(CC(C)C)CC(C)C)[C@@H]2C1 ZINC001222057958 1095416063 /nfs/dbraw/zinc/41/60/63/1095416063.db2.gz JHPYBARBJRZZGL-SJLPKXTDSA-N 0 1 306.494 3.414 20 30 DGEDMN C#CCN(CC1CC1)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC001116369774 1095528987 /nfs/dbraw/zinc/52/89/87/1095528987.db2.gz URUZLSZIHWIIKH-UHFFFAOYSA-N 0 1 313.788 3.216 20 30 DGEDMN C=C(C(=O)N(Cc1cnc[nH]1)Cc1ccccc1)C(F)(F)F ZINC001144209667 1095558050 /nfs/dbraw/zinc/55/80/50/1095558050.db2.gz NQUAEQVULDZSNC-UHFFFAOYSA-N 0 1 309.291 3.057 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccc([N+](=O)[O-])cc1 ZINC001116459694 1095563539 /nfs/dbraw/zinc/56/35/39/1095563539.db2.gz LPMVABBMWMRUBW-CYBMUJFWSA-N 0 1 311.341 3.348 20 30 DGEDMN N#CC1CCN(Cc2cc(Br)c(F)cc2O)CC1 ZINC001144298969 1095592859 /nfs/dbraw/zinc/59/28/59/1095592859.db2.gz NHDJSXNYBLOFQH-UHFFFAOYSA-N 0 1 313.170 3.029 20 30 DGEDMN CCCCC(=O)NCC1CCN(Cc2ccccc2C#N)CC1 ZINC001222405095 1095598933 /nfs/dbraw/zinc/59/89/33/1095598933.db2.gz WOMMTHAJMHYSEW-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN Cc1cc(CN2CCc3ccc(C#N)cc3C2)ccc1N(C)C ZINC001139669628 1095599501 /nfs/dbraw/zinc/59/95/01/1095599501.db2.gz DVYXQYVTUMZSCX-UHFFFAOYSA-N 0 1 305.425 3.491 20 30 DGEDMN COc1ccc(C2(N[C@@H](C)Cc3cccc(C#N)c3)COC2)cc1 ZINC001171183300 1095661079 /nfs/dbraw/zinc/66/10/79/1095661079.db2.gz DDGJHQQUXABIKJ-HNNXBMFYSA-N 0 1 322.408 3.013 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1[nH]nc2ccc(Br)cc21 ZINC001139657482 1095669204 /nfs/dbraw/zinc/66/92/04/1095669204.db2.gz DRZISFMTTNQDDA-NSHDSACASA-N 0 1 319.206 3.203 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccc(OCC#N)cc3)c2[nH]1 ZINC001116934042 1095705093 /nfs/dbraw/zinc/70/50/93/1095705093.db2.gz GFOQFFNWGVIKRB-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)NCC(=O)c1cc(F)ccc1F ZINC001171198730 1095712508 /nfs/dbraw/zinc/71/25/08/1095712508.db2.gz OWMADIMQOMHUJJ-LBPRGKRZSA-N 0 1 314.335 3.240 20 30 DGEDMN COc1ccc(CN(Cc2ccccc2)CC(C)(C)O)c(C#N)c1 ZINC001137706044 1095717698 /nfs/dbraw/zinc/71/76/98/1095717698.db2.gz MCDCMLODFGYEKE-UHFFFAOYSA-N 0 1 324.424 3.340 20 30 DGEDMN C#Cc1ccc(CN2CC3(CCN3Cc3ccccc3)C2)cc1 ZINC001144576958 1095724117 /nfs/dbraw/zinc/72/41/17/1095724117.db2.gz XWQNCYXCYFMMOQ-UHFFFAOYSA-N 0 1 302.421 3.128 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2ncccc2C#N)C1 ZINC001222745016 1095739235 /nfs/dbraw/zinc/73/92/35/1095739235.db2.gz DPGAAPGSMNALSG-NVXWUHKLSA-N 0 1 322.412 3.090 20 30 DGEDMN C=CCN(CCc1ccco1)C(=O)c1cc(F)cc(F)c1O ZINC001137856556 1095768838 /nfs/dbraw/zinc/76/88/38/1095768838.db2.gz FQXIEXYAHKCNQD-UHFFFAOYSA-N 0 1 307.296 3.134 20 30 DGEDMN Cc1ccc2c(n1)N(C)CCN([C@H](C)Cc1cccc(C#N)c1)C2 ZINC001171212837 1095778392 /nfs/dbraw/zinc/77/83/92/1095778392.db2.gz QJCPNVDYPBHZHH-MRXNPFEDSA-N 0 1 320.440 3.145 20 30 DGEDMN C#Cc1ccc(CNc2ccc(N3CCN(C)CC3)c(C)c2)cc1 ZINC001117577297 1095784335 /nfs/dbraw/zinc/78/43/35/1095784335.db2.gz RXDLAURYPPQPHD-UHFFFAOYSA-N 0 1 319.452 3.340 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc3cc(O)ccc32)c1 ZINC001137934279 1095794108 /nfs/dbraw/zinc/79/41/08/1095794108.db2.gz QBNKHFOALFKWJY-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN C=CCCC[N@H+]1CC[C@H]1CNC(=O)c1cccc2scnc21 ZINC001276851464 1095794158 /nfs/dbraw/zinc/79/41/58/1095794158.db2.gz CBGNTSWYTPBSCS-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc3c(ccnc3Cl)o2)c1 ZINC001137934256 1095795525 /nfs/dbraw/zinc/79/55/25/1095795525.db2.gz OYGGYGAMUDYMGS-UHFFFAOYSA-N 0 1 313.700 3.311 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(Cl)c(C(F)(F)F)c2)CCC1=O ZINC001138051957 1095853912 /nfs/dbraw/zinc/85/39/12/1095853912.db2.gz SEOBNLYYKFMLCQ-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC001276861276 1095856707 /nfs/dbraw/zinc/85/67/07/1095856707.db2.gz AGLDFHIMAPPXCF-KRWDZBQOSA-N 0 1 322.468 3.427 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1nc(C(C)(C)C)cs1 ZINC001276866729 1095881887 /nfs/dbraw/zinc/88/18/87/1095881887.db2.gz GOMOCEZHSOBPAA-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C[C@H](O)c1ccccc1 ZINC001117866757 1095900150 /nfs/dbraw/zinc/90/01/50/1095900150.db2.gz WRWLVNNTGSAOKS-UFYCRDLUSA-N 0 1 306.409 3.492 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001223337843 1095940036 /nfs/dbraw/zinc/94/00/36/1095940036.db2.gz ATULOPHDFTUJKD-ZISOMXKPSA-N 0 1 310.869 3.264 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CCC)CC(C)C)C2)C1 ZINC001276886716 1095956934 /nfs/dbraw/zinc/95/69/34/1095956934.db2.gz NUDQDGPMEOPAPJ-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H]3CCN(C[C@H](F)CC)[C@H]3C2)CC1 ZINC001223451388 1095973811 /nfs/dbraw/zinc/97/38/11/1095973811.db2.gz CMXBXTOSGULWGO-ZACQAIPSSA-N 0 1 322.468 3.404 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cc(C)ccc2s1 ZINC001146326621 1096022893 /nfs/dbraw/zinc/02/28/93/1096022893.db2.gz MMJQAZIGSDSSLC-UHFFFAOYSA-N 0 1 308.834 3.282 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001276909737 1096029144 /nfs/dbraw/zinc/02/91/44/1096029144.db2.gz SCGJRIYMWIDHDX-AEFFLSMTSA-N 0 1 300.446 3.288 20 30 DGEDMN Cc1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)cc(C)n1 ZINC001171277250 1096031383 /nfs/dbraw/zinc/03/13/83/1096031383.db2.gz OVVVAICVZKSDLL-UHFFFAOYSA-N 0 1 320.440 3.091 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCCc1ccccc1 ZINC001276916611 1096054280 /nfs/dbraw/zinc/05/42/80/1096054280.db2.gz SKUBVPFYZQMZBF-SFHVURJKSA-N 0 1 300.446 3.166 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160968013 1096066188 /nfs/dbraw/zinc/06/61/88/1096066188.db2.gz PZWNJNANWSNCIL-ZHMISCPASA-N 0 1 310.869 3.480 20 30 DGEDMN C=C[C@@H](C#CC(C)(C)C)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223891924 1096087951 /nfs/dbraw/zinc/08/79/51/1096087951.db2.gz WBPAIWPGCJYYIK-HDRCJFCASA-N 0 1 317.385 3.460 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@@]1(C)CCCc2ccccc21 ZINC001276941257 1096121978 /nfs/dbraw/zinc/12/19/78/1096121978.db2.gz ODSLBGCDEXREMJ-XLIONFOSSA-N 0 1 312.457 3.047 20 30 DGEDMN N#Cc1cccc(N2CC3(CN(CCCC(F)(F)F)C3)C2)c1 ZINC001276953175 1096155284 /nfs/dbraw/zinc/15/52/84/1096155284.db2.gz GPMOFGXFXCLQAP-UHFFFAOYSA-N 0 1 309.335 3.023 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CC2=NNc1ccc(C#N)cn1 ZINC001119362976 1096178641 /nfs/dbraw/zinc/17/86/41/1096178641.db2.gz KWQQAIWHMRNLPV-UHFFFAOYSA-N 0 1 322.368 3.339 20 30 DGEDMN C=C(CO[C@@H]1CCN(Cc2ccc(Cl)cc2)C1)C(=O)OCC ZINC001224355480 1096195883 /nfs/dbraw/zinc/19/58/83/1096195883.db2.gz GPSBWWABODXUGK-MRXNPFEDSA-N 0 1 323.820 3.050 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C[C@H](F)CC)C3)CCC1 ZINC001148250636 1096335054 /nfs/dbraw/zinc/33/50/54/1096335054.db2.gz YTFNALAFKNSOLB-OAHLLOKOSA-N 0 1 308.441 3.015 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@H](CC)c3ccc(C)cc3)C2)C1 ZINC001148277976 1096347009 /nfs/dbraw/zinc/34/70/09/1096347009.db2.gz PZWORYDWSPJYNR-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)c(F)c2)C1 ZINC001225355192 1096406014 /nfs/dbraw/zinc/40/60/14/1096406014.db2.gz UZBLEXRXRNOWOT-XJKSGUPXSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2)C[C@@H]1Oc1ncccc1C#N ZINC001225406486 1096420409 /nfs/dbraw/zinc/42/04/09/1096420409.db2.gz ZOCDCSKRZBCKBC-QAPCUYQASA-N 0 1 307.397 3.243 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@]1(C)CCC[C@@H]1CC ZINC001273448968 1096483702 /nfs/dbraw/zinc/48/37/02/1096483702.db2.gz HLWCKYKVZXDBOC-HOCLYGCPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001086913199 1096506493 /nfs/dbraw/zinc/50/64/93/1096506493.db2.gz JZYABVUDRHVAAH-CABCVRRESA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001086915264 1096512466 /nfs/dbraw/zinc/51/24/66/1096512466.db2.gz FCKFIWRNQLDWGC-LSDHHAIUSA-N 0 1 324.896 3.488 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4c(F)cc(F)cc4C3)CCC2S1 ZINC001171371997 1096552963 /nfs/dbraw/zinc/55/29/63/1096552963.db2.gz SBDSYQMWKZBYKU-BBRMVZONSA-N 0 1 321.392 3.096 20 30 DGEDMN Cc1cc(C)c(C#N)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)n1 ZINC001226088468 1096609257 /nfs/dbraw/zinc/60/92/57/1096609257.db2.gz PNYWZJASYDKXSD-HZPDHXFCSA-N 0 1 322.412 3.179 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@H]1CCc3ccccc31)C2 ZINC001110138145 1096639150 /nfs/dbraw/zinc/63/91/50/1096639150.db2.gz GWQGEDSMQQKXNE-JYBIWHBTSA-N 0 1 324.468 3.404 20 30 DGEDMN C[C@H](CS)Oc1[nH]c(=O)nc2cc(-c3ccccc3)[nH]c21 ZINC001226378393 1096675484 /nfs/dbraw/zinc/67/54/84/1096675484.db2.gz PRHKSAKXGWWNHQ-SECBINFHSA-N 0 1 301.371 3.028 20 30 DGEDMN N#Cc1cncc(O[C@H]2CCCN(Cc3ccccc3F)C2)c1 ZINC001226686567 1096752595 /nfs/dbraw/zinc/75/25/95/1096752595.db2.gz QPKCTRVSNWHGQS-INIZCTEOSA-N 0 1 311.360 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3ccsc3)CCC[C@@H]12 ZINC001094354392 1096801524 /nfs/dbraw/zinc/80/15/24/1096801524.db2.gz TZQJAIQMNLGMMV-GDBMZVCRSA-N 0 1 324.877 3.475 20 30 DGEDMN C#CCCCC(=O)NC1CCN(Cc2ccc(C)c(F)c2)CC1 ZINC001227108172 1096869987 /nfs/dbraw/zinc/86/99/87/1096869987.db2.gz NBRCCUWOOKMJBN-UHFFFAOYSA-N 0 1 316.420 3.018 20 30 DGEDMN C#CCCCC(=O)NC1CCN([C@H](C)c2ccccc2F)CC1 ZINC001227107528 1096870247 /nfs/dbraw/zinc/87/02/47/1096870247.db2.gz FFYQJOREPQRTPE-OAHLLOKOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](Oc2nc(=O)[nH]c(C)c2Cl)C(C)(C)O1 ZINC001227111761 1096871564 /nfs/dbraw/zinc/87/15/64/1096871564.db2.gz QNGBODRYIIPIHS-BMIGLBTASA-N 0 1 312.797 3.425 20 30 DGEDMN COc1cccc2c1[C@H](Oc1nc3ccc(C#N)cc3[nH]1)CCO2 ZINC001227215529 1096896552 /nfs/dbraw/zinc/89/65/52/1096896552.db2.gz FAIBJEFRYRFUKW-MRXNPFEDSA-N 0 1 321.336 3.346 20 30 DGEDMN CC(C)[C@H](Oc1nc2ccc(C#N)cc2[nH]1)C(=O)OC(C)(C)C ZINC001227215951 1096896906 /nfs/dbraw/zinc/89/69/06/1096896906.db2.gz MFAGYVIFIFRIBK-AWEZNQCLSA-N 0 1 315.373 3.180 20 30 DGEDMN COc1ccccc1OC[C@H](C)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227219506 1096898360 /nfs/dbraw/zinc/89/83/60/1096898360.db2.gz LUBAPZIMKIDLEQ-LBPRGKRZSA-N 0 1 323.352 3.289 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc3ccccc3c2)CC1 ZINC001277233553 1096973239 /nfs/dbraw/zinc/97/32/39/1096973239.db2.gz MGLCVCNQQZMANM-UHFFFAOYSA-N 0 1 314.816 3.444 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Oc1[nH]c(=O)nc2cc[nH]c21 ZINC001227808049 1096993356 /nfs/dbraw/zinc/99/33/56/1096993356.db2.gz DACDZFFNDVOHAA-NSHDSACASA-N 0 1 311.341 3.368 20 30 DGEDMN CCCCCCCCCC(=O)NCCCNCc1nonc1C ZINC001171864778 1096993997 /nfs/dbraw/zinc/99/39/97/1096993997.db2.gz FSKSIJOVCATYDB-UHFFFAOYSA-N 0 1 324.469 3.115 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(OC(F)(F)F)ccc1OC ZINC001227865504 1097002825 /nfs/dbraw/zinc/00/28/25/1097002825.db2.gz KTSFFALWYWZHIT-SSDOTTSWSA-N 0 1 318.247 3.192 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCNCc1ncc(C)s1 ZINC001277264992 1097041081 /nfs/dbraw/zinc/04/10/81/1097041081.db2.gz VWEPFKAOCZOCBU-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1[C@H]1CC[N@H+](CCF)C1 ZINC001277283837 1097086378 /nfs/dbraw/zinc/08/63/78/1097086378.db2.gz HHTAOAVJVVAIHR-ROUUACIJSA-N 0 1 322.468 3.243 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1[C@H]1CCN(CCF)C1 ZINC001277283837 1097086383 /nfs/dbraw/zinc/08/63/83/1097086383.db2.gz HHTAOAVJVVAIHR-ROUUACIJSA-N 0 1 322.468 3.243 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCN(c2ccccc2F)CC1 ZINC001172308211 1097096194 /nfs/dbraw/zinc/09/61/94/1097096194.db2.gz VDVIPXLEHDKSDE-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CC2(C1)CC(F)(F)CS2 ZINC001172310980 1097096934 /nfs/dbraw/zinc/09/69/34/1097096934.db2.gz MTNCVVBVOPHPOV-GFCCVEGCSA-N 0 1 308.397 3.316 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCc2cnc(C3CC3)nc2C1 ZINC001172313285 1097098293 /nfs/dbraw/zinc/09/82/93/1097098293.db2.gz TZKFKPQVQOODJE-CQSZACIVSA-N 0 1 318.424 3.215 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CCN1[C@@H](CC)CC(C)C ZINC001172402482 1097145294 /nfs/dbraw/zinc/14/52/94/1097145294.db2.gz NOYGXKWXBKMKAI-RDJZCZTQSA-N 0 1 320.481 3.225 20 30 DGEDMN CC(C)COC[C@@H]1CN([C@@H](C)CCCC#N)Cc2ncn(C)c21 ZINC001172496916 1097171019 /nfs/dbraw/zinc/17/10/19/1097171019.db2.gz UHBDSLFNDYCKBO-HOTGVXAUSA-N 0 1 318.465 3.074 20 30 DGEDMN C[C@H](CCCC#N)N1CCC[C@@H]2[C@@H]1CN2C(=O)OC(C)(C)C ZINC001172497058 1097171152 /nfs/dbraw/zinc/17/11/52/1097171152.db2.gz XDIQXJLCMIQAET-KFWWJZLASA-N 0 1 307.438 3.152 20 30 DGEDMN C[C@H](CCCC#N)N1CCc2c(Br)ccc(N)c2C1 ZINC001172499033 1097172429 /nfs/dbraw/zinc/17/24/29/1097172429.db2.gz UMYXNMVCXCYGPA-LLVKDONJSA-N 0 1 322.250 3.472 20 30 DGEDMN C=CCOc1ccc(-c2noc(-c3[nH]cnc3C)n2)c(F)c1 ZINC001212668613 1097178344 /nfs/dbraw/zinc/17/83/44/1097178344.db2.gz HHHBLPSMDLSDRZ-UHFFFAOYSA-N 0 1 300.293 3.139 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC001228747432 1097181440 /nfs/dbraw/zinc/18/14/40/1097181440.db2.gz ATPMEDJZPYWOKQ-CABCVRRESA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228748604 1097182458 /nfs/dbraw/zinc/18/24/58/1097182458.db2.gz MOTNUZXRHJTGBG-KBPBESRZSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NCc2cscn2)C12CCC2 ZINC001203340493 1097197116 /nfs/dbraw/zinc/19/71/16/1097197116.db2.gz LUQJONTZEMOKTL-CABCVRRESA-N 0 1 319.474 3.016 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228828224 1097198204 /nfs/dbraw/zinc/19/82/04/1097198204.db2.gz BNBWNGGZVWTKHB-HZPDHXFCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCCC(=O)NC1CCN(Cc2ccc(C)nc2C)CC1 ZINC001228927598 1097219537 /nfs/dbraw/zinc/21/95/37/1097219537.db2.gz GJMUHUVCLNBOPX-UHFFFAOYSA-N 0 1 315.461 3.135 20 30 DGEDMN C=CCOC(=O)N1CCN(C2CCC3(CCCC3)CC2)CC1 ZINC001172730991 1097220450 /nfs/dbraw/zinc/22/04/50/1097220450.db2.gz SWMTXJHLEQKYOM-UHFFFAOYSA-N 0 1 306.450 3.430 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001229017515 1097238446 /nfs/dbraw/zinc/23/84/46/1097238446.db2.gz ULYFAWWKGCDLID-KRWDZBQOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCOCc1nn([C@H]2CCc3ccc(C)cc3C2)c2c1CNC2 ZINC001172833152 1097251600 /nfs/dbraw/zinc/25/16/00/1097251600.db2.gz OXITYVYXLGSWLI-KRWDZBQOSA-N 0 1 323.440 3.227 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@@H](NCc2nccs2)C12CCC2 ZINC001203341673 1097254565 /nfs/dbraw/zinc/25/45/65/1097254565.db2.gz SPMXJNUGIIUJTP-ZIAGYGMSSA-N 0 1 319.474 3.016 20 30 DGEDMN Cc1cccc(C#N)c1O[C@H]1COCCN(Cc2ccccc2)C1 ZINC001229182503 1097272991 /nfs/dbraw/zinc/27/29/91/1097272991.db2.gz HAZOHBYJGCHLFO-LJQANCHMSA-N 0 1 322.408 3.147 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Cl)cc1C(=O)OCC ZINC001229555383 1097343790 /nfs/dbraw/zinc/34/37/90/1097343790.db2.gz BOBOFJPEMDHMJP-MRVPVSSYSA-N 0 1 310.733 3.115 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(COCCOC(C)C)cc1 ZINC001229651921 1097359342 /nfs/dbraw/zinc/35/93/42/1097359342.db2.gz ZLOSMRBWYHSSHW-ZDUSSCGKSA-N 0 1 320.385 3.226 20 30 DGEDMN C#C[C@H](CC)Oc1nc2ccc(NCc3ccc(F)cc3)nc2[nH]1 ZINC001229677139 1097362948 /nfs/dbraw/zinc/36/29/48/1097362948.db2.gz QXJFNSKQFOSCBW-CQSZACIVSA-N 0 1 324.359 3.500 20 30 DGEDMN C=CCOC1CCC(N2CCc3onc(COCC)c3C2)CC1 ZINC001173320738 1097416040 /nfs/dbraw/zinc/41/60/40/1097416040.db2.gz SALFTXWOALKSFM-UHFFFAOYSA-N 0 1 320.433 3.083 20 30 DGEDMN C=CCOC1CCC(N2CC(C)(NC(=O)OC(C)(C)C)C2)CC1 ZINC001173327898 1097417976 /nfs/dbraw/zinc/41/79/76/1097417976.db2.gz VHKAAEOGQONTFM-UHFFFAOYSA-N 0 1 324.465 3.099 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(CC(C)C)CC(C)C)C2 ZINC001110169499 1097429664 /nfs/dbraw/zinc/42/96/64/1097429664.db2.gz ROAAQSBEIVJJGY-QYZOEREBSA-N 0 1 318.505 3.440 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001277441889 1097435320 /nfs/dbraw/zinc/43/53/20/1097435320.db2.gz SSSUCPBKJNUTOV-SCTDSRPQSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2C[C@H]1CN(C1CCC(C)(C#N)CC1)C2 ZINC001173594185 1097466829 /nfs/dbraw/zinc/46/68/29/1097466829.db2.gz URQUEIDVQOBDGB-PPTUABLRSA-N 0 1 319.449 3.152 20 30 DGEDMN C=CCC[C@@H](CC)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230452597 1097493389 /nfs/dbraw/zinc/49/33/89/1097493389.db2.gz HLIAUYPGZPICML-LLVKDONJSA-N 0 1 317.393 3.071 20 30 DGEDMN CCOC(=O)CCCc1ccc(C#N)cc1Nc1ccncc1 ZINC001173884351 1097546031 /nfs/dbraw/zinc/54/60/31/1097546031.db2.gz ONMFFJJLDFQANW-UHFFFAOYSA-N 0 1 309.369 3.005 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2nccc3[nH]ccc32)C1 ZINC001230688925 1097557730 /nfs/dbraw/zinc/55/77/30/1097557730.db2.gz QPGKHMCDLJROHW-INIZCTEOSA-N 0 1 318.380 3.088 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)[C@@](C)(F)CCCC)C2)C1 ZINC001277465323 1097575134 /nfs/dbraw/zinc/57/51/34/1097575134.db2.gz OIIDAFDICPJSIO-RBUKOAKNSA-N 0 1 322.468 3.243 20 30 DGEDMN C[C@@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2cc(F)ccc21 ZINC001230884543 1097614136 /nfs/dbraw/zinc/61/41/36/1097614136.db2.gz QLTXEPNJXVJRQA-JTQLQIEISA-N 0 1 304.397 3.123 20 30 DGEDMN COc1cc(F)ccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001174128894 1097616856 /nfs/dbraw/zinc/61/68/56/1097616856.db2.gz YRPFCPJQWHSILI-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1cncs1 ZINC001230917820 1097622373 /nfs/dbraw/zinc/62/23/73/1097622373.db2.gz YXLNAVBUZIDUOB-AWEZNQCLSA-N 0 1 309.479 3.260 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CCCC(C)(C)C ZINC001231094443 1097666922 /nfs/dbraw/zinc/66/69/22/1097666922.db2.gz GRNZYTBQYCSXNV-IAGOWNOFSA-N 0 1 324.509 3.327 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1cc2ccccc2o1 ZINC001231113168 1097672026 /nfs/dbraw/zinc/67/20/26/1097672026.db2.gz GHKAYZWARLDUHL-MRXNPFEDSA-N 0 1 312.413 3.432 20 30 DGEDMN N#Cc1ccc(Nc2cccc3[nH]ncc32)c(Br)n1 ZINC001174343485 1097683532 /nfs/dbraw/zinc/68/35/32/1097683532.db2.gz VCNGUUNBSHPJDD-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](C(C)(C)Oc2nc(C)cc(O)c2[N+](=O)[O-])O1 ZINC001231261198 1097705135 /nfs/dbraw/zinc/70/51/35/1097705135.db2.gz ZHEABGUHXHCLIC-LRDDRELGSA-N 0 1 322.361 3.285 20 30 DGEDMN C[C@H](C#N)C(=O)N(C)C[C@@H]1CCN1Cc1ccc2ccccc2c1 ZINC001231294167 1097715329 /nfs/dbraw/zinc/71/53/29/1097715329.db2.gz VRMUZHOHJBOLNA-BEFAXECRSA-N 0 1 321.424 3.032 20 30 DGEDMN Cc1sccc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001174460256 1097733346 /nfs/dbraw/zinc/73/33/46/1097733346.db2.gz XBUFFAHLPKCBMZ-UHFFFAOYSA-N 0 1 312.442 3.424 20 30 DGEDMN COCCCOc1ccc(CN2CCC(C)(C#N)CC2)cc1 ZINC001231509752 1097767960 /nfs/dbraw/zinc/76/79/60/1097767960.db2.gz KUYGXDWPRVYSGJ-UHFFFAOYSA-N 0 1 302.418 3.228 20 30 DGEDMN N#CCCN1CCN(Cc2cccc(-c3ccccc3)c2)CC1 ZINC001231510582 1097768070 /nfs/dbraw/zinc/76/80/70/1097768070.db2.gz VJPCAXQBPRFYLC-UHFFFAOYSA-N 0 1 305.425 3.385 20 30 DGEDMN CC(C)C[C@@](C)(C#N)NC(=O)c1cc2c(Cl)[nH]ccc-2n1 ZINC001174616145 1097795133 /nfs/dbraw/zinc/79/51/33/1097795133.db2.gz BNRCKENRJQXRPY-HNNXBMFYSA-N 0 1 304.781 3.274 20 30 DGEDMN C#Cc1cccc(CN2CCc3cc(C(=O)OC)ccc3C2)c1 ZINC001231656154 1097805169 /nfs/dbraw/zinc/80/51/69/1097805169.db2.gz FWOYHXSVADGNSB-UHFFFAOYSA-N 0 1 305.377 3.013 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCNCc1ncc(CC)o1 ZINC001174693978 1097827390 /nfs/dbraw/zinc/82/73/90/1097827390.db2.gz GGAFXCVMEGBZNV-AWEZNQCLSA-N 0 1 321.465 3.214 20 30 DGEDMN COc1ccc(C[N@@H+](CCC#N)C2CCCC2)cc1C(=O)[O-] ZINC001231753535 1097831924 /nfs/dbraw/zinc/83/19/24/1097831924.db2.gz WCTIHPZHAIRBSW-UHFFFAOYSA-N 0 1 302.374 3.052 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001174848679 1097866219 /nfs/dbraw/zinc/86/62/19/1097866219.db2.gz FZKVLGAITPIZQY-GOEBONIOSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001174849592 1097867779 /nfs/dbraw/zinc/86/77/79/1097867779.db2.gz OQKHVZZWKDWBHF-CABCVRRESA-N 0 1 321.465 3.350 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)C[C@@H]2CCCCO2)C1 ZINC001277580475 1097876636 /nfs/dbraw/zinc/87/66/36/1097876636.db2.gz KMBFONHXLUGJHS-KRWDZBQOSA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1ncccn1 ZINC001174978359 1097900663 /nfs/dbraw/zinc/90/06/63/1097900663.db2.gz LATHTVOARCJACX-JKSUJKDBSA-N 0 1 318.465 3.159 20 30 DGEDMN N#Cc1nc(Cl)c(Nc2c(F)ccc(F)c2F)nc1C#N ZINC001175028494 1097924164 /nfs/dbraw/zinc/92/41/64/1097924164.db2.gz OSCCNKBBNJZEDO-UHFFFAOYSA-N 0 1 309.638 3.034 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1C(C)(C)C1(C)C ZINC001277629412 1097965059 /nfs/dbraw/zinc/96/50/59/1097965059.db2.gz JDEHNDXOBIQHGU-ZDUSSCGKSA-N 0 1 312.885 3.248 20 30 DGEDMN Cc1ccc(NC(=O)CCN(C)[C@@H]2CCC[C@@H]2C#N)cc1F ZINC001175250282 1097986200 /nfs/dbraw/zinc/98/62/00/1097986200.db2.gz QIHVMUMBURHEQM-CZUORRHYSA-N 0 1 303.381 3.087 20 30 DGEDMN Cc1ccc(NC(=O)CCN(C)[C@H]2CCC[C@H]2C#N)cc1F ZINC001175250280 1097986587 /nfs/dbraw/zinc/98/65/87/1097986587.db2.gz QIHVMUMBURHEQM-BBRMVZONSA-N 0 1 303.381 3.087 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@H](C)C(F)(F)F)C1 ZINC001277652539 1098013066 /nfs/dbraw/zinc/01/30/66/1098013066.db2.gz WGGBNKRZNIBXGR-NWDGAFQWSA-N 0 1 306.372 3.072 20 30 DGEDMN N#Cc1ccnc(CN2CCC(n3cnc4ccccc43)CC2)c1 ZINC001232481769 1098054037 /nfs/dbraw/zinc/05/40/37/1098054037.db2.gz IAEIDMDNGPBDHN-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(C(=O)OCC)cc2Cl)C1 ZINC001232594100 1098087649 /nfs/dbraw/zinc/08/76/49/1098087649.db2.gz MVMFHTFDTOBENA-ZDUSSCGKSA-N 0 1 305.805 3.362 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(Cl)cn2CC)C1 ZINC001277699306 1098111992 /nfs/dbraw/zinc/11/19/92/1098111992.db2.gz BFMFLKUBBUKTBF-ZDUSSCGKSA-N 0 1 323.868 3.272 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H](OCc3ccccc3)C2)c1 ZINC001232677673 1098112277 /nfs/dbraw/zinc/11/22/77/1098112277.db2.gz HQVXFXSQBYYWBH-SFHVURJKSA-N 0 1 308.381 3.055 20 30 DGEDMN COc1ccccc1C[C@H](C)[N@@H+](C)Cc1cc(C#N)ccc1[O-] ZINC001232678107 1098112313 /nfs/dbraw/zinc/11/23/13/1098112313.db2.gz PPJRKELOXHETNJ-AWEZNQCLSA-N 0 1 310.397 3.335 20 30 DGEDMN CC(C)[N@@H+](CC(=O)c1ccccc1)Cc1cc(C#N)ccc1[O-] ZINC001232677225 1098112573 /nfs/dbraw/zinc/11/25/73/1098112573.db2.gz DBMPBSNZMGJQPE-UHFFFAOYSA-N 0 1 308.381 3.357 20 30 DGEDMN N#Cc1ccc(O)c(CN2CC(=O)c3cc(Cl)ccc3C2)c1 ZINC001232681223 1098113508 /nfs/dbraw/zinc/11/35/08/1098113508.db2.gz NHSKFRBCIYLZKM-UHFFFAOYSA-N 0 1 312.756 3.116 20 30 DGEDMN CC(C)c1nc(CN(C)Cc2cc(C#N)ccc2O)cs1 ZINC001232678591 1098113790 /nfs/dbraw/zinc/11/37/90/1098113790.db2.gz JBVZDIJHOXRVQJ-UHFFFAOYSA-N 0 1 301.415 3.476 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(F)c(Cl)cc2Cl)CCC1=O ZINC001232701637 1098117564 /nfs/dbraw/zinc/11/75/64/1098117564.db2.gz ZVZBQQOPTQYHTF-VIFPVBQESA-N 0 1 301.148 3.047 20 30 DGEDMN N#CC1CCN(Cc2c[nH]c3cc(Br)ncc23)CC1 ZINC001232860056 1098155645 /nfs/dbraw/zinc/15/56/45/1098155645.db2.gz WMJTUYXSJOSKIR-UHFFFAOYSA-N 0 1 319.206 3.061 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2ccc(C)cc2C#N)CC1 ZINC001232964288 1098185143 /nfs/dbraw/zinc/18/51/43/1098185143.db2.gz UPRRIOCQLUVKIR-UHFFFAOYSA-N 0 1 300.402 3.032 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCCC[C@@](O)(C(F)(F)F)C1 ZINC001233080010 1098221527 /nfs/dbraw/zinc/22/15/27/1098221527.db2.gz AQYFRKYTXFWBRE-HNNXBMFYSA-N 0 1 312.335 3.146 20 30 DGEDMN CCCCCCCCCN1C[C@]2(C)CS(=O)(=O)C[C@]2(C)C1 ZINC001175947164 1098223530 /nfs/dbraw/zinc/22/35/30/1098223530.db2.gz QZEHHNMPSZBNNM-CALCHBBNSA-N 0 1 315.523 3.494 20 30 DGEDMN CCOCC1CCN(Cc2ccc(N(C)C)c(C#N)c2F)CC1 ZINC001233101929 1098226869 /nfs/dbraw/zinc/22/68/69/1098226869.db2.gz KBXVUBVLYFAAKI-UHFFFAOYSA-N 0 1 319.424 3.012 20 30 DGEDMN Cc1cnsc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001233321131 1098287383 /nfs/dbraw/zinc/28/73/83/1098287383.db2.gz BKGOJIGFYIPIJL-OAHLLOKOSA-N 0 1 313.426 3.367 20 30 DGEDMN CC(C)[N@@H+](CC(=O)c1ccccc1)Cc1ccc(C#N)cc1[O-] ZINC001233386848 1098310061 /nfs/dbraw/zinc/31/00/61/1098310061.db2.gz KTNRZLMPCOAEAF-UHFFFAOYSA-N 0 1 308.381 3.357 20 30 DGEDMN N#CCc1ccc(Nc2ccc(CCN3CCOCC3)cc2)cc1 ZINC001176383595 1098325865 /nfs/dbraw/zinc/32/58/65/1098325865.db2.gz QECBWMAWKGJHOC-UHFFFAOYSA-N 0 1 321.424 3.371 20 30 DGEDMN N#Cc1ccc(-c2ccccc2NC(=O)Cc2cnc[nH]2)cc1 ZINC001176833714 1098441235 /nfs/dbraw/zinc/44/12/35/1098441235.db2.gz SORPHTAQAKPFIW-UHFFFAOYSA-N 0 1 302.337 3.130 20 30 DGEDMN C#C[C@H]1CCCCN1Cc1cc(=O)oc2cc3c(cc12)CCC3 ZINC001176832496 1098441299 /nfs/dbraw/zinc/44/12/99/1098441299.db2.gz DGIGKCNBPHGPFP-KRWDZBQOSA-N 0 1 307.393 3.269 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001277812167 1098442103 /nfs/dbraw/zinc/44/21/03/1098442103.db2.gz VXSYUJJOQMHXCG-UONOGXRCSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)[C@@H](C)CCCC ZINC001277812188 1098442173 /nfs/dbraw/zinc/44/21/73/1098442173.db2.gz WGBCFHMSWXFDTO-WCQYABFASA-N 0 1 319.287 3.158 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001277812166 1098442235 /nfs/dbraw/zinc/44/22/35/1098442235.db2.gz VXSYUJJOQMHXCG-KGLIPLIRSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H]2OCCN(CC(CC)CC)[C@@H]2C1 ZINC001177023712 1098464303 /nfs/dbraw/zinc/46/43/03/1098464303.db2.gz BOGKCOOACZUNEO-MSOLQXFVSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1CCCCC(F)(F)F ZINC001233966556 1098468432 /nfs/dbraw/zinc/46/84/32/1098468432.db2.gz NMYUZHBGRLLYRO-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN COC(=O)c1cccc2nc(O[C@H](C)c3ccccc3C#N)[nH]c21 ZINC001234045400 1098484996 /nfs/dbraw/zinc/48/49/96/1098484996.db2.gz UHCLORNTKYUJFO-LLVKDONJSA-N 0 1 321.336 3.361 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001234127156 1098500564 /nfs/dbraw/zinc/50/05/64/1098500564.db2.gz UURDJXQXQIGYDT-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H](OCC)C1=CCCC1 ZINC001234324039 1098537210 /nfs/dbraw/zinc/53/72/10/1098537210.db2.gz XOLHFADWFMFELT-ZWKOTPCHSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1nc(Cl)ccc1Br ZINC001234582119 1098609670 /nfs/dbraw/zinc/60/96/70/1098609670.db2.gz CPMYQJGJPXTDHJ-RXMQYKEDSA-N 0 1 318.554 3.096 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1ccns1 ZINC001234604407 1098616744 /nfs/dbraw/zinc/61/67/44/1098616744.db2.gz PNCBULLXATWNQN-AWEZNQCLSA-N 0 1 307.463 3.036 20 30 DGEDMN C=CCCCCNC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001234636907 1098625826 /nfs/dbraw/zinc/62/58/26/1098625826.db2.gz QBIBLUKRZCYHRX-UHFFFAOYSA-N 0 1 324.428 3.403 20 30 DGEDMN C=CC[C@@H](NCc1ccc(C(=O)OC)cc1F)c1ccncc1 ZINC001177884668 1098635333 /nfs/dbraw/zinc/63/53/33/1098635333.db2.gz GXQLNUUVMCDDTD-QGZVFWFLSA-N 0 1 314.360 3.414 20 30 DGEDMN CN(CCc1ccc(F)cc1)C(=O)C(C#N)Cc1cccs1 ZINC001177910079 1098645365 /nfs/dbraw/zinc/64/53/65/1098645365.db2.gz UYGIPGRHURQELX-AWEZNQCLSA-N 0 1 316.401 3.271 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC1CC2(C1)CC(F)(F)C2 ZINC001177915175 1098646444 /nfs/dbraw/zinc/64/64/44/1098646444.db2.gz KNKQBTNHZZGPQK-JTQLQIEISA-N 0 1 310.369 3.124 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CCCC(F)(F)C1 ZINC001177917012 1098648844 /nfs/dbraw/zinc/64/88/44/1098648844.db2.gz BUPCETJWGBLKJI-NEPJUHHUSA-N 0 1 312.385 3.372 20 30 DGEDMN C=C(Br)CN[C@H]1CCN(C(=O)CCCCC)[C@H]1C ZINC001178113400 1098709443 /nfs/dbraw/zinc/70/94/43/1098709443.db2.gz CZPLTZKSOQNBCQ-STQMWFEESA-N 0 1 317.271 3.054 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C=C1CCCCC1 ZINC001235000295 1098743617 /nfs/dbraw/zinc/74/36/17/1098743617.db2.gz KWAXLIUJMVLSBH-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=CCC[C@@H](C)[NH2+]CCCCCCNC(=O)c1ncccc1[O-] ZINC001178283796 1098775631 /nfs/dbraw/zinc/77/56/31/1098775631.db2.gz YJLVANODOLFHHG-OAHLLOKOSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCCCCNCc1ncc(C)o1 ZINC001178580199 1098865179 /nfs/dbraw/zinc/86/51/79/1098865179.db2.gz QMEUIEBXPPEDKF-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(-c3ccsc3)cs2)CCC1=O ZINC001235187740 1098865829 /nfs/dbraw/zinc/86/58/29/1098865829.db2.gz ZTZBONDTNJVJTL-CYBMUJFWSA-N 0 1 302.424 3.391 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2Cc2cccc(C)c2)CCC1 ZINC001235210056 1098882047 /nfs/dbraw/zinc/88/20/47/1098882047.db2.gz PKFZHAVTALIEMC-LJQANCHMSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1ccc(Cl)cc1F ZINC001235437200 1099034234 /nfs/dbraw/zinc/03/42/34/1099034234.db2.gz VQDLDADLHLPXOZ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN N#CCc1ccccc1C[N@@H+]1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001235522783 1099073285 /nfs/dbraw/zinc/07/32/85/1099073285.db2.gz JHWOSPUQZHOHPZ-SFTDATJTSA-N 0 1 317.436 3.211 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001277962790 1099132565 /nfs/dbraw/zinc/13/25/65/1099132565.db2.gz NZLZIUJNAFDDIR-HYVNUMGLSA-N 0 1 320.864 3.288 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179692164 1099164714 /nfs/dbraw/zinc/16/47/14/1099164714.db2.gz FVKVSVUCBLVTAH-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H]1CC[C@H](C2CC2)O1 ZINC001179690780 1099165627 /nfs/dbraw/zinc/16/56/27/1099165627.db2.gz MQDHLFIRIRNOBI-RHSMWYFYSA-N 0 1 319.832 3.399 20 30 DGEDMN COCc1ccccc1/C=C(\C)CNCC1(C#N)CCOCC1 ZINC001179780759 1099196057 /nfs/dbraw/zinc/19/60/57/1099196057.db2.gz TXQBSWPVKPIDRB-LFIBNONCSA-N 0 1 314.429 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)C(C)(C)C)[C@H]1C ZINC001180012549 1099281730 /nfs/dbraw/zinc/28/17/30/1099281730.db2.gz OHQDMEXCIUZLKY-XBFCOCLRSA-N 0 1 300.874 3.390 20 30 DGEDMN COC(=O)c1ccc(-c2c(F)cc(F)c(O)c2F)cc1CC#N ZINC001236002539 1099324999 /nfs/dbraw/zinc/32/49/99/1099324999.db2.gz PCPZRRWNQMDPSZ-UHFFFAOYSA-N 0 1 321.254 3.329 20 30 DGEDMN N#Cc1nc(-c2cc(C(F)(F)F)ccc2CO)cc2[nH]cnc21 ZINC001236043700 1099345896 /nfs/dbraw/zinc/34/58/96/1099345896.db2.gz JYEBEMHGDPLKRA-UHFFFAOYSA-N 0 1 318.258 3.008 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1n[nH]cc1Cl ZINC001187849356 1099616955 /nfs/dbraw/zinc/61/69/55/1099616955.db2.gz RCSGLEBKENVTFU-SNVBAGLBSA-N 0 1 305.765 3.119 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CN(Cc3cccc(F)c3)C[C@H]21 ZINC001188081093 1099680899 /nfs/dbraw/zinc/68/08/99/1099680899.db2.gz XAAJIYJYOVWSQD-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN Cc1nc(C)c(CN2CCC(Oc3ccccc3C#N)CC2)o1 ZINC001236594235 1099692542 /nfs/dbraw/zinc/69/25/42/1099692542.db2.gz IJROEZNFPGCUCX-UHFFFAOYSA-N 0 1 311.385 3.207 20 30 DGEDMN COC(=O)C1(C#N)CCN(Cc2cccc3sccc32)CC1 ZINC001236680772 1099738243 /nfs/dbraw/zinc/73/82/43/1099738243.db2.gz CODXBILJOJHDLO-UHFFFAOYSA-N 0 1 314.410 3.180 20 30 DGEDMN Cc1coc(NC(=O)c2ccc(O)c(C(F)(F)F)c2)c1C#N ZINC001188571703 1099785765 /nfs/dbraw/zinc/78/57/65/1099785765.db2.gz ALMCYZQSZVISOT-UHFFFAOYSA-N 0 1 310.231 3.436 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@H]([N@@H+](C)Cc2ccc(C#N)s2)C1 ZINC001188820509 1099868888 /nfs/dbraw/zinc/86/88/88/1099868888.db2.gz FXNQMDFNAXJVOC-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001188820509 1099868903 /nfs/dbraw/zinc/86/89/03/1099868903.db2.gz FXNQMDFNAXJVOC-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)C[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001189212901 1099995128 /nfs/dbraw/zinc/99/51/28/1099995128.db2.gz QAUKWNRLMBHLNF-FGTMMUONSA-N 0 1 304.478 3.478 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)Cc2ccc(Cl)c(F)c2)C1 ZINC001189279974 1099998426 /nfs/dbraw/zinc/99/84/26/1099998426.db2.gz OQGGSRJTGVNTDC-AWEZNQCLSA-N 0 1 324.827 3.478 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)c2cc(-c3ccccn3)n[nH]2)cc1 ZINC001189473670 1100055740 /nfs/dbraw/zinc/05/57/40/1100055740.db2.gz WBCZPSKKTJBGGC-LBPRGKRZSA-N 0 1 317.352 3.351 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c3ccc(C)cc3[nH]c2C(=O)OCC)C1 ZINC001237322821 1100076868 /nfs/dbraw/zinc/07/68/68/1100076868.db2.gz IVOXJECXFRUVEU-HNNXBMFYSA-N 0 1 324.424 3.498 20 30 DGEDMN C=CCn1cc(CN2CCC3(Cc4ccccc4N3)CC2)cn1 ZINC001237343681 1100087207 /nfs/dbraw/zinc/08/72/07/1100087207.db2.gz YWBYEUJDHFGQJO-UHFFFAOYSA-N 0 1 308.429 3.072 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)c1cn[nH]c1-c1ccccc1 ZINC001189697098 1100114849 /nfs/dbraw/zinc/11/48/49/1100114849.db2.gz PGMOSMKIDBVHMD-UHFFFAOYSA-N 0 1 324.290 3.479 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](CC)c3ccccc3)[C@@H]2C1 ZINC001189780385 1100131223 /nfs/dbraw/zinc/13/12/23/1100131223.db2.gz VCGHRZSRXZRDPB-YSIASYRMSA-N 0 1 324.468 3.126 20 30 DGEDMN Cn1cc(CN2CCc3c(O)cccc3C2)c2cc(C#N)ccc21 ZINC001237467058 1100133973 /nfs/dbraw/zinc/13/39/73/1100133973.db2.gz RITFDGKVRPDWCR-UHFFFAOYSA-N 0 1 317.392 3.314 20 30 DGEDMN N#Cc1cc(CN2CCC(Sc3ccncc3)CC2)ccn1 ZINC001237465872 1100134071 /nfs/dbraw/zinc/13/40/71/1100134071.db2.gz LXBVNUUMQCQCBO-UHFFFAOYSA-N 0 1 310.426 3.105 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)c2ccccc2F)C1 ZINC001189926053 1100152013 /nfs/dbraw/zinc/15/20/13/1100152013.db2.gz KBNGRPINLLKWIW-HZPDHXFCSA-N 0 1 316.420 3.223 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCCCCCCC)[C@@H]2C1 ZINC001189923777 1100152400 /nfs/dbraw/zinc/15/24/00/1100152400.db2.gz YZXUQEADHJXHJZ-ZWKOTPCHSA-N 0 1 304.478 3.293 20 30 DGEDMN N#CCC1CCN(Cc2ccc3ncnc(Cl)c3c2)CC1 ZINC001237578098 1100177396 /nfs/dbraw/zinc/17/73/96/1100177396.db2.gz ACEGCOKKHLMZCM-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CC[C@@H](COCC3CC3)C2)c1 ZINC001237753759 1100238581 /nfs/dbraw/zinc/23/85/81/1100238581.db2.gz OJDIGAZPVUVGRJ-CQSZACIVSA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](N(C)C/C=C/Cl)C1 ZINC001190353698 1100253315 /nfs/dbraw/zinc/25/33/15/1100253315.db2.gz BJVFWJNGMNEHQX-HOSRBBHYSA-N 0 1 305.249 3.050 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001190395984 1100257439 /nfs/dbraw/zinc/25/74/39/1100257439.db2.gz CIEYKXNXYBLRNK-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001190397128 1100259652 /nfs/dbraw/zinc/25/96/52/1100259652.db2.gz IOYNSJRADNBWLW-LJQANCHMSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2ccc(C)nc2C)C1 ZINC001190429147 1100272440 /nfs/dbraw/zinc/27/24/40/1100272440.db2.gz VHZLFQSMVGMEKF-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)C2(C)CCCCCC2)C1 ZINC001190705681 1100318400 /nfs/dbraw/zinc/31/84/00/1100318400.db2.gz RIFPYGBPDBXVIB-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN Cn1ccc(C2CCN(Cc3ccc(Cl)c(C#N)c3)CC2)n1 ZINC001238197994 1100388136 /nfs/dbraw/zinc/38/81/36/1100388136.db2.gz DGHMDETWAAZTJL-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(CCCC)cc2)C1 ZINC001191226479 1100434764 /nfs/dbraw/zinc/43/47/64/1100434764.db2.gz JMEZAMFUPMFHSP-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN CCOc1ccc(C)cc1S(=O)(=O)Nc1ccc(C#N)cc1 ZINC001191780664 1100519532 /nfs/dbraw/zinc/51/95/32/1100519532.db2.gz RZLKXBYQUGOURJ-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC001191868615 1100536562 /nfs/dbraw/zinc/53/65/62/1100536562.db2.gz WUMYKOGCLIWUIK-SJORKVTESA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001191868069 1100536810 /nfs/dbraw/zinc/53/68/10/1100536810.db2.gz NNZJFHCUBUXCAB-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001191868071 1100536908 /nfs/dbraw/zinc/53/69/08/1100536908.db2.gz NNZJFHCUBUXCAB-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001191867879 1100537232 /nfs/dbraw/zinc/53/72/32/1100537232.db2.gz KLLBQZMSMKCEBN-MAUKXSAKSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCOCc1cccc(-c2ccnc(N3CCN(C)CC3)c2)c1 ZINC001238826132 1100540168 /nfs/dbraw/zinc/54/01/68/1100540168.db2.gz QCYVHDFGKHHVIE-UHFFFAOYSA-N 0 1 323.440 3.203 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001191890224 1100541198 /nfs/dbraw/zinc/54/11/98/1100541198.db2.gz PKWXHCKDZQNSAE-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2ccc(Cl)nc2)C1 ZINC001192061570 1100569296 /nfs/dbraw/zinc/56/92/96/1100569296.db2.gz UXPHESXYFHTZGU-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H](N(C)CCC(F)(F)F)C2)CC1 ZINC001192207683 1100586081 /nfs/dbraw/zinc/58/60/81/1100586081.db2.gz YYRJAYWLEIPSGR-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN CCCC1(C(=O)N2CC[C@H](N(C)CC#Cc3ccccc3)C2)CC1 ZINC001192257693 1100590813 /nfs/dbraw/zinc/59/08/13/1100590813.db2.gz PQILEYXDTBLXNQ-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN COC(=O)c1nc2ccc(C=Cc3ccc(C#N)cc3)cc2[nH]1 ZINC001239291010 1100623030 /nfs/dbraw/zinc/62/30/30/1100623030.db2.gz BUZAFYNZNCFLOH-GORDUTHDSA-N 0 1 303.321 3.392 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C)c3ccccc23)C1 ZINC001192551225 1100632632 /nfs/dbraw/zinc/63/26/32/1100632632.db2.gz OZSXUTMCROQNSG-QGZVFWFLSA-N 0 1 320.436 3.318 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2cncc(C)c2)C1 ZINC001192586345 1100638960 /nfs/dbraw/zinc/63/89/60/1100638960.db2.gz MVMSEWXWKKRXDB-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001192586345 1100638964 /nfs/dbraw/zinc/63/89/64/1100638964.db2.gz MVMSEWXWKKRXDB-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001192653386 1100644690 /nfs/dbraw/zinc/64/46/90/1100644690.db2.gz BDHCRYHFFYQYET-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001192640649 1100647115 /nfs/dbraw/zinc/64/71/15/1100647115.db2.gz DPSIBTHSRONLKM-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc(-c2ccccc2)c(NS(=O)(=O)[C@H](C)C#N)c1 ZINC001193146651 1100712652 /nfs/dbraw/zinc/71/26/52/1100712652.db2.gz PQPJYKVRDQWZEZ-GFCCVEGCSA-N 0 1 316.382 3.016 20 30 DGEDMN COc1cc(OC)c(-c2nccc3[nH]cc(C#N)c32)c(OC)c1 ZINC001239645881 1100712823 /nfs/dbraw/zinc/71/28/23/1100712823.db2.gz QGFGZQOZDANKFS-UHFFFAOYSA-N 0 1 309.325 3.127 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001193367584 1100735189 /nfs/dbraw/zinc/73/51/89/1100735189.db2.gz VUSLMOBMOGUXLY-DOTOQJQBSA-N 0 1 324.424 3.092 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@H](c2nnc[nH]2)c2ccccc2)c1 ZINC001193437298 1100739192 /nfs/dbraw/zinc/73/91/92/1100739192.db2.gz CJNIKQMZAQYUMU-INIZCTEOSA-N 0 1 323.787 3.209 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc(C3CCC3)c2)C1 ZINC001193463758 1100747229 /nfs/dbraw/zinc/74/72/29/1100747229.db2.gz YDKTVERAOFMRDM-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(F)c3cnccc23)ccc1O ZINC001193511609 1100758135 /nfs/dbraw/zinc/75/81/35/1100758135.db2.gz DGQWRLXNYMDXIL-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN Cc1ccc2c(CCNC(=O)c3ccc(O)c(C#N)c3)c[nH]c2c1 ZINC001193511367 1100758627 /nfs/dbraw/zinc/75/86/27/1100758627.db2.gz AUBBXOSWWHJYDR-UHFFFAOYSA-N 0 1 319.364 3.026 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccccc2C2CCC2)C1 ZINC001193744672 1100796445 /nfs/dbraw/zinc/79/64/45/1100796445.db2.gz CXAYGYITGFTSEE-KRWDZBQOSA-N 0 1 310.441 3.124 20 30 DGEDMN N#CCc1cc(NC(=O)c2ccc(F)c(O)c2F)ccc1F ZINC001193789233 1100805510 /nfs/dbraw/zinc/80/55/10/1100805510.db2.gz NFRHAQRHCNEZOE-UHFFFAOYSA-N 0 1 306.243 3.128 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@@H]1Cc2cc(OC)ccc2O1 ZINC001194165197 1100879564 /nfs/dbraw/zinc/87/95/64/1100879564.db2.gz QDPCRZKQPBQWDK-IBGZPJMESA-N 0 1 307.393 3.134 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001273674623 1100910575 /nfs/dbraw/zinc/91/05/75/1100910575.db2.gz ZZCMUBIVSRNSBL-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN Cc1cccc(-c2cccc(CCN3CCOCC3)c2)c1C#N ZINC001240271093 1100918854 /nfs/dbraw/zinc/91/88/54/1100918854.db2.gz VQKZQWPQHNLYSL-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN N#CCc1ccccc1-c1cccc(CCN2CCOCC2)c1 ZINC001240270693 1100919080 /nfs/dbraw/zinc/91/90/80/1100919080.db2.gz LKINAQSVKFZBRZ-UHFFFAOYSA-N 0 1 306.409 3.294 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](N(C)C[C@@H](F)CC)C2)CCCC1 ZINC001194556437 1100965912 /nfs/dbraw/zinc/96/59/12/1100965912.db2.gz WBTYAZQJASHBAN-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@H](C)c2cccc(F)c2)CC1 ZINC001195182697 1101084603 /nfs/dbraw/zinc/08/46/03/1101084603.db2.gz UAWTYSHRKWXNBF-OAHLLOKOSA-N 0 1 304.409 3.387 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc3ncccc3c2)CC1 ZINC001195191916 1101086461 /nfs/dbraw/zinc/08/64/61/1101086461.db2.gz RDFOPTCTLDMYJB-UHFFFAOYSA-N 0 1 323.440 3.235 20 30 DGEDMN Cc1cccc(Cl)c1S(=O)(=O)Nc1cccc(C#N)c1 ZINC001195267895 1101109091 /nfs/dbraw/zinc/10/90/91/1101109091.db2.gz MDXMROGEEGQKOG-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C=CCCCCC[N@@H+]1CCCN(C(=O)c2ccc(F)nc2)CC1 ZINC001195321989 1101112866 /nfs/dbraw/zinc/11/28/66/1101112866.db2.gz SAPKUZLHVPVZPY-UHFFFAOYSA-N 0 1 319.424 3.115 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc4[nH]c(C#N)cc4c3)cc2)CC1 ZINC001240855853 1101159928 /nfs/dbraw/zinc/15/99/28/1101159928.db2.gz VZJHGQCTGOQORI-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN C#CCCCC(=O)N1CCCN(Cc2cccc(CC)c2)CC1 ZINC001195645071 1101169592 /nfs/dbraw/zinc/16/95/92/1101169592.db2.gz IKTDJJSSEUKQSM-UHFFFAOYSA-N 0 1 312.457 3.087 20 30 DGEDMN COc1ccc(-c2nccc3[nH]cc(C#N)c32)c(OC)c1OC ZINC001240930790 1101184081 /nfs/dbraw/zinc/18/40/81/1101184081.db2.gz MLTFTWYZIWXJTL-UHFFFAOYSA-N 0 1 309.325 3.127 20 30 DGEDMN CC(C)OC(=O)c1cccc(-c2cc3[nH]cnc3c(C#N)n2)c1 ZINC001240945194 1101190443 /nfs/dbraw/zinc/19/04/43/1101190443.db2.gz ZCXZFISSGWKLCL-UHFFFAOYSA-N 0 1 306.325 3.062 20 30 DGEDMN CCOc1ccc([C@@H](C)NC(=O)c2ccc(C#N)cc2O)cc1 ZINC001195768111 1101193062 /nfs/dbraw/zinc/19/30/62/1101193062.db2.gz FLTZTJBONZJYDJ-GFCCVEGCSA-N 0 1 310.353 3.154 20 30 DGEDMN N#Cc1ccc(C(=O)N2CCc3c(oc4ccccc43)C2)c(O)c1 ZINC001195750182 1101197608 /nfs/dbraw/zinc/19/76/08/1101197608.db2.gz DOZBJTIGSVRHHW-UHFFFAOYSA-N 0 1 318.332 3.209 20 30 DGEDMN C[C@@H](C#N)N(C)C(=O)c1cc(-c2ccc(C(F)(F)F)cc2)[nH]n1 ZINC001195942736 1101218768 /nfs/dbraw/zinc/21/87/68/1101218768.db2.gz GFDADUDOCOIFIX-VIFPVBQESA-N 0 1 322.290 3.080 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCCC[C@@H](C)CC)CC1 ZINC001195981694 1101228574 /nfs/dbraw/zinc/22/85/74/1101228574.db2.gz CKQQLFLWBOFGEN-SFHVURJKSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCCC[C@H](C)CC)CC1 ZINC001195981693 1101228625 /nfs/dbraw/zinc/22/86/25/1101228625.db2.gz CKQQLFLWBOFGEN-GOSISDBHSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCN(Cc2ccsc2)CC1 ZINC001195997474 1101232969 /nfs/dbraw/zinc/23/29/69/1101232969.db2.gz VQZBDTAFOZENQG-UHFFFAOYSA-N 0 1 306.475 3.385 20 30 DGEDMN N#CC1(c2ccccc2-c2c(F)cc([O-])cc2F)CC[NH2+]CC1 ZINC001241121320 1101264516 /nfs/dbraw/zinc/26/45/16/1101264516.db2.gz GZTROWCKURSTAO-UHFFFAOYSA-N 0 1 314.335 3.482 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(C)cc(C#N)cc2C)c(F)c1 ZINC001196383159 1101296588 /nfs/dbraw/zinc/29/65/88/1101296588.db2.gz ONNKQSGFLPJKIM-UHFFFAOYSA-N 0 1 318.373 3.423 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1cc(O)cc(F)c1 ZINC001241262721 1101331388 /nfs/dbraw/zinc/33/13/88/1101331388.db2.gz RMOZDMNEDGIVTB-UHFFFAOYSA-N 0 1 313.332 3.450 20 30 DGEDMN N#Cc1cccnc1C(=O)Nc1c(Cl)ccc(O)c1Cl ZINC001196558459 1101341618 /nfs/dbraw/zinc/34/16/18/1101341618.db2.gz GWWJSFAKHAFICY-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](CCC)c2ccccn2)CC1 ZINC001196934739 1101431296 /nfs/dbraw/zinc/43/12/96/1101431296.db2.gz KDQHTDNMLWZZRU-KRWDZBQOSA-N 0 1 315.461 3.076 20 30 DGEDMN C=CCCCC(=O)N1CCCN(Cc2csc(C)c2)CC1 ZINC001197000778 1101454623 /nfs/dbraw/zinc/45/46/23/1101454623.db2.gz ZSQRMKPSSWTEDF-UHFFFAOYSA-N 0 1 306.475 3.447 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC001197278644 1101527941 /nfs/dbraw/zinc/52/79/41/1101527941.db2.gz XTRNOLNPKCDAMP-KRWDZBQOSA-N 0 1 304.409 3.198 20 30 DGEDMN C=CCN1CCCN(C(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001197354340 1101538028 /nfs/dbraw/zinc/53/80/28/1101538028.db2.gz SASROLKDYVNOCE-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@H](C)CCCCC)CC1 ZINC001197353920 1101538930 /nfs/dbraw/zinc/53/89/30/1101538930.db2.gz LSEZOZKXJYYGBU-GOSISDBHSA-N 0 1 324.509 3.330 20 30 DGEDMN CN1CCC=C(c2nccc(-c3cc(C#N)c(F)cc3F)n2)C1 ZINC001241565200 1101550975 /nfs/dbraw/zinc/55/09/75/1101550975.db2.gz HADHFMDNXRWNCN-UHFFFAOYSA-N 0 1 312.323 3.012 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3cc(F)cc(C#N)c3)[nH]c-2n1 ZINC001241657035 1101614046 /nfs/dbraw/zinc/61/40/46/1101614046.db2.gz WVGZZIGLZJVANE-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)CCCc2ccccc2)CC1 ZINC001198296661 1101806717 /nfs/dbraw/zinc/80/67/17/1101806717.db2.gz SHBZCMSGPULKSE-SFHVURJKSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCC[C@@H]1CCCN1Cc1ccc(S(=O)(=O)CC)cc1 ZINC001198414473 1101847670 /nfs/dbraw/zinc/84/76/70/1101847670.db2.gz SIKOMMLHRIGDDM-MRXNPFEDSA-N 0 1 307.459 3.411 20 30 DGEDMN C=CCN[C@@H](CNC(=O)c1ccccc1C)c1ccccc1OC ZINC001198499356 1101878456 /nfs/dbraw/zinc/87/84/56/1101878456.db2.gz LAFOWGCKUMLVFI-SFHVURJKSA-N 0 1 324.424 3.250 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3cnn(Cc4cccs4)c3)c12 ZINC001242091486 1101905991 /nfs/dbraw/zinc/90/59/91/1101905991.db2.gz OWILQINVSAPHJZ-UHFFFAOYSA-N 0 1 305.366 3.408 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(CC)CC)c1ccccc1OC ZINC001198553965 1101916275 /nfs/dbraw/zinc/91/62/75/1101916275.db2.gz MTMPCVKFDSCRFF-MRXNPFEDSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@H](C)CCC)c1ccccc1OC ZINC001198594823 1101920276 /nfs/dbraw/zinc/92/02/76/1101920276.db2.gz CNUJYYLRDDJLNA-WBVHZDCISA-N 0 1 318.461 3.454 20 30 DGEDMN COc1nc(-c2ccc(O)c(C(F)(F)F)c2)c(F)cc1C#N ZINC001242152557 1101943410 /nfs/dbraw/zinc/94/34/10/1101943410.db2.gz GHSBWDKMFASIEE-UHFFFAOYSA-N 0 1 312.222 3.492 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](C)CCC)c1ccccc1OC ZINC001198617819 1101945282 /nfs/dbraw/zinc/94/52/82/1101945282.db2.gz SVEQQDKJTKUYKB-ZBFHGGJFSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C1CC(C)(C)C1)c1ccccc1OC ZINC001198705912 1101968589 /nfs/dbraw/zinc/96/85/89/1101968589.db2.gz SSYCLTWZQKVYBH-INIZCTEOSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1OC ZINC001198777321 1101986445 /nfs/dbraw/zinc/98/64/45/1101986445.db2.gz YEVLEPQILBINLH-GDBMZVCRSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C/C=C(/C)C=C)c1ccccc1OC ZINC001198834157 1101990229 /nfs/dbraw/zinc/99/02/29/1101990229.db2.gz JGFPIPQVRQSPMB-HKBKRHGFSA-N 0 1 314.429 3.151 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H](F)C(C)C)c1ccccc1OC ZINC001198844012 1101999032 /nfs/dbraw/zinc/99/90/32/1101999032.db2.gz YZDPIMIPFSZSBE-RDJZCZTQSA-N 0 1 322.424 3.012 20 30 DGEDMN N#Cc1cc(CNCc2ccc(Cn3cccn3)cc2)cs1 ZINC001198901320 1102014171 /nfs/dbraw/zinc/01/41/71/1102014171.db2.gz REBKGFTVBJYPFN-UHFFFAOYSA-N 0 1 308.410 3.154 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(Cl)cc(C#N)c3)cn2)CC1 ZINC001242567921 1102215514 /nfs/dbraw/zinc/21/55/14/1102215514.db2.gz SVNBPUGWPRQOMH-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)(F)F ZINC001273826277 1102345048 /nfs/dbraw/zinc/34/50/48/1102345048.db2.gz XLYBSLGHQRBSBJ-MRXNPFEDSA-N 0 1 322.399 3.151 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(Cl)nc2C)cc1C#N ZINC001201292703 1102539401 /nfs/dbraw/zinc/53/94/01/1102539401.db2.gz HVTVGZGXRTVPRS-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CCOc1ccccc1NS(=O)(=O)c1ccc(C)c(C#N)c1 ZINC001201315848 1102551864 /nfs/dbraw/zinc/55/18/64/1102551864.db2.gz NJNFTALYNZXCRA-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)N1CC[C@H](N(C)C)C1 ZINC001201831719 1102739228 /nfs/dbraw/zinc/73/92/28/1102739228.db2.gz VUZSIWBOQDRLQZ-INIZCTEOSA-N 0 1 318.527 3.093 20 30 DGEDMN CCCCCCCCCN1CCO[C@]2(CCCN(C(C)=O)C2)C1 ZINC001201846342 1102745296 /nfs/dbraw/zinc/74/52/96/1102745296.db2.gz JFRPZVFMLVZHTO-LJQANCHMSA-N 0 1 324.509 3.450 20 30 DGEDMN N#Cc1c[nH]c2ncnc(-c3ccc(C(F)(F)F)cc3O)c12 ZINC001243604417 1102846867 /nfs/dbraw/zinc/84/68/67/1102846867.db2.gz ITXRYCNNBQRGPT-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(C#N)c4[nH]ccc43)c2)CC1 ZINC001243671476 1102908039 /nfs/dbraw/zinc/90/80/39/1102908039.db2.gz QUNCLPGERFTSSQ-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN COc1ccc(-c2nc[nH]c3nc(=S)sc2-3)c(C#N)c1 ZINC001243729903 1102946860 /nfs/dbraw/zinc/94/68/60/1102946860.db2.gz QSDJZFFINXWDBR-UHFFFAOYSA-N 0 1 300.368 3.248 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2csc(C)c2)C1=O ZINC001273942044 1102966165 /nfs/dbraw/zinc/96/61/65/1102966165.db2.gz DCHWGCZDPMKSSH-KRWDZBQOSA-N 0 1 304.459 3.200 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC/C=C\c1ccccc1)C2 ZINC001111017903 1103017458 /nfs/dbraw/zinc/01/74/58/1103017458.db2.gz FZHXJMWWDMWSBQ-VCQPOBHHSA-N 0 1 310.441 3.388 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)C1CCCCC1)C2 ZINC001111132273 1103051989 /nfs/dbraw/zinc/05/19/89/1103051989.db2.gz QTBNGVZSDNPUIQ-AITUJVMLSA-N 0 1 316.489 3.338 20 30 DGEDMN N#C[C@@H]1CC=C(Nc2ncnc3c2cnn3-c2ccccc2)CC1 ZINC001212855660 1103067614 /nfs/dbraw/zinc/06/76/14/1103067614.db2.gz BUGKKUMCAKKRKU-CYBMUJFWSA-N 0 1 316.368 3.435 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H]1C[C@@H]1C ZINC001325678382 1103098861 /nfs/dbraw/zinc/09/88/61/1103098861.db2.gz XLNSKESGKZNROY-XJKSGUPXSA-N 0 1 306.837 3.013 20 30 DGEDMN C=CCCCN1CC[C@@H]1CN(C)C(=O)c1scnc1C1CC1 ZINC001488675248 1103102471 /nfs/dbraw/zinc/10/24/71/1103102471.db2.gz XRCAZXBGSRJNBY-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC1(C=C)CCCCC1 ZINC001488675170 1103102746 /nfs/dbraw/zinc/10/27/46/1103102746.db2.gz WWSBDUQIHYQNLX-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](c1ccccc1)C1CC1)C2 ZINC001095760296 1103137005 /nfs/dbraw/zinc/13/70/05/1103137005.db2.gz UXULSIFVQMEWTN-XWSJACJDSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](c1ccccc1)C1CC1)C2 ZINC001095760296 1103137013 /nfs/dbraw/zinc/13/70/13/1103137013.db2.gz UXULSIFVQMEWTN-XWSJACJDSA-N 0 1 310.441 3.088 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001488848513 1103177828 /nfs/dbraw/zinc/17/78/28/1103177828.db2.gz LPXUWMVVPIRJPQ-WYUOYJLWSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C[C@H]1C)CCN(CCF)CC2 ZINC001488973147 1103225632 /nfs/dbraw/zinc/22/56/32/1103225632.db2.gz AMTGVXAAAVIMJI-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1c[nH]c3ccccc13)C2 ZINC001111352017 1103240302 /nfs/dbraw/zinc/24/03/02/1103240302.db2.gz XHRGVPKRYTUYGC-LZQZEXGQSA-N 0 1 323.440 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)c2ccc[nH]2)cc1 ZINC001326495647 1103341325 /nfs/dbraw/zinc/34/13/25/1103341325.db2.gz WVVDVTKMFJHEAE-ZDUSSCGKSA-N 0 1 317.820 3.348 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001489326785 1103361436 /nfs/dbraw/zinc/36/14/36/1103361436.db2.gz XTXRXBSMIUTRMJ-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001489337209 1103364973 /nfs/dbraw/zinc/36/49/73/1103364973.db2.gz IBKOGVOKZJQACD-ZDUSSCGKSA-N 0 1 321.490 3.128 20 30 DGEDMN COc1nc(-c2ccc(OC(F)(F)F)cc2O)ccc1C#N ZINC001244463101 1103455864 /nfs/dbraw/zinc/45/58/64/1103455864.db2.gz AICDJTCSQVVBRH-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccc(C)o2)CCC1 ZINC001326898335 1103474635 /nfs/dbraw/zinc/47/46/35/1103474635.db2.gz HARTXXVGJVZIRA-CYBMUJFWSA-N 0 1 310.825 3.073 20 30 DGEDMN Cc1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1C#N ZINC001244493614 1103479374 /nfs/dbraw/zinc/47/93/74/1103479374.db2.gz CMDFSKQYVPUEGD-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001327145677 1103549574 /nfs/dbraw/zinc/54/95/74/1103549574.db2.gz FFMJJYVHMLGZHH-PBHICJAKSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2conc2C)CC1 ZINC001490342621 1103552336 /nfs/dbraw/zinc/55/23/36/1103552336.db2.gz HAYDBVFPGAHRDN-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001490264034 1103552631 /nfs/dbraw/zinc/55/26/31/1103552631.db2.gz IUVWRSUXXAIANJ-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C[C@H](CC(=O)N[C@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001327172112 1103566546 /nfs/dbraw/zinc/56/65/46/1103566546.db2.gz JTOCQBQMMMMVTR-AEFFLSMTSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001490440637 1103603783 /nfs/dbraw/zinc/60/37/83/1103603783.db2.gz ORTZGCBTROHWLO-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1cc(F)ccc1Cl)C1CC1 ZINC001490642990 1103675600 /nfs/dbraw/zinc/67/56/00/1103675600.db2.gz WEEHKOPKBQHRJN-MRXNPFEDSA-N 0 1 324.827 3.430 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001490617892 1103682267 /nfs/dbraw/zinc/68/22/67/1103682267.db2.gz UQQRWSJDIMGKPJ-QZTJIDSGSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC001490622014 1103683900 /nfs/dbraw/zinc/68/39/00/1103683900.db2.gz KNXYCZGOQHBQFK-HKUYNNGSSA-N 0 1 304.478 3.005 20 30 DGEDMN COc1cc(CNCc2ccccc2N2CCCC2)ccc1C#N ZINC001327575392 1103686079 /nfs/dbraw/zinc/68/60/79/1103686079.db2.gz PUFPSPFMNPPHLI-UHFFFAOYSA-N 0 1 321.424 3.457 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)CCc1ccccc1C)C1CC1 ZINC001490711784 1103701949 /nfs/dbraw/zinc/70/19/49/1103701949.db2.gz XIKGRUOEROYCKU-QGZVFWFLSA-N 0 1 320.864 3.165 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1ccccc1F)C1CC1 ZINC001490721222 1103703451 /nfs/dbraw/zinc/70/34/51/1103703451.db2.gz ZQXLWWISFIMZED-MLGOLLRUSA-N 0 1 324.827 3.166 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@]3(C)CCC[C@@H]3C)cc2C1 ZINC001327695492 1103710859 /nfs/dbraw/zinc/71/08/59/1103710859.db2.gz HTCHETVVTAMWHO-KKSFZXQISA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CC[C@H](CC)CC1)C1CC1 ZINC001490732634 1103712532 /nfs/dbraw/zinc/71/25/32/1103712532.db2.gz NBPAUYAPIFBWGB-FVQBIDKESA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccc(Cl)cc1)C2 ZINC001095961871 1103716382 /nfs/dbraw/zinc/71/63/82/1103716382.db2.gz SCZZOTVMWDEXLE-VZEFYGNVSA-N 0 1 318.848 3.351 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@@H]2C=CCC2)C1 ZINC001490749749 1103720185 /nfs/dbraw/zinc/72/01/85/1103720185.db2.gz YUKZMPJXKPAUOC-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN COC(=O)c1ccc(C#N)cc1-c1cccc(CN(C)C)c1F ZINC001244866412 1103733177 /nfs/dbraw/zinc/73/31/77/1103733177.db2.gz UWZGORIVTGJIPH-UHFFFAOYSA-N 0 1 312.344 3.213 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)cc(Cl)c1)C2 ZINC001096058677 1103743664 /nfs/dbraw/zinc/74/36/64/1103743664.db2.gz YQNLKBMCLDYWHP-PMPSAXMXSA-N 0 1 322.811 3.390 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C/C=C/Cc1ccccc1 ZINC001490793921 1103745846 /nfs/dbraw/zinc/74/58/46/1103745846.db2.gz QYLIDHOMNQWCGQ-IGTBDSCVSA-N 0 1 324.468 3.427 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(COC)CC1 ZINC001490796858 1103747943 /nfs/dbraw/zinc/74/79/43/1103747943.db2.gz KJCCHQASIDRISU-CALCHBBNSA-N 0 1 322.493 3.059 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCc1ccsc1 ZINC001490793977 1103748127 /nfs/dbraw/zinc/74/81/27/1103748127.db2.gz SNQULUDUFKYZAP-CALCHBBNSA-N 0 1 318.486 3.322 20 30 DGEDMN N#Cc1cnc2cc[nH]c(-c3cnc(Br)s3)c1-2 ZINC001244904792 1103752417 /nfs/dbraw/zinc/75/24/17/1103752417.db2.gz WCTPHPLFGURMPW-UHFFFAOYSA-N 0 1 305.160 3.321 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2ccc(F)cc2F)C1 ZINC001327904159 1103762135 /nfs/dbraw/zinc/76/21/35/1103762135.db2.gz QEGQJODABRUNTR-DYVFJYSZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]ccc1-c1ccccc1)C2 ZINC001096328836 1103800736 /nfs/dbraw/zinc/80/07/36/1103800736.db2.gz KVSYSTFZVWVSHQ-NJAFHUGGSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCCc3ccccc31)C2 ZINC001096394931 1103819670 /nfs/dbraw/zinc/81/96/70/1103819670.db2.gz JSTXBHWLCYFBKK-HNJRGHQBSA-N 0 1 324.468 3.404 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc3ccc(F)cc13)C2 ZINC001096431640 1103833052 /nfs/dbraw/zinc/83/30/52/1103833052.db2.gz JEHHNLCWQPSJCY-KCXAZCMYSA-N 0 1 314.360 3.093 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)nc3ccccc31)C2 ZINC001096483567 1103843953 /nfs/dbraw/zinc/84/39/53/1103843953.db2.gz USIXMMGRGMLVOD-CCKFTAQKSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccc3[nH]ccc3c1)C2 ZINC001110820159 1103847005 /nfs/dbraw/zinc/84/70/05/1103847005.db2.gz KBJXNVXLQNBJDX-QRQLOZEOSA-N 0 1 323.440 3.008 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CC(C)C)cc1)C2 ZINC001096515741 1103856475 /nfs/dbraw/zinc/85/64/75/1103856475.db2.gz ISDHMSIWAOPKJH-QYZOEREBSA-N 0 1 312.457 3.406 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCc3ccccc3C1)C2 ZINC001096699548 1103905722 /nfs/dbraw/zinc/90/57/22/1103905722.db2.gz ZKEIYCCLGHIUJL-XODKDNOYSA-N 0 1 324.468 3.089 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1ccccc1-n1cccn1)CC2 ZINC001137093421 1103975309 /nfs/dbraw/zinc/97/53/09/1103975309.db2.gz CLGIEGJZYJTJRY-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN N#Cc1cc(-c2cccn3cncc23)ccc1OC1CCOCC1 ZINC001245308009 1103975509 /nfs/dbraw/zinc/97/55/09/1103975509.db2.gz VAVJRODXSFXAMD-UHFFFAOYSA-N 0 1 319.364 3.431 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(C)cc1 ZINC001328662492 1104016454 /nfs/dbraw/zinc/01/64/54/1104016454.db2.gz VPRXNMHGIZHXSH-INIZCTEOSA-N 0 1 306.837 3.332 20 30 DGEDMN CC(C)c1ccc(C#N)c(C2=CC[C@H](N3CCOCC3)CC2)n1 ZINC001245418045 1104038325 /nfs/dbraw/zinc/03/83/25/1104038325.db2.gz FLZQQEPYTIEJMP-KRWDZBQOSA-N 0 1 311.429 3.345 20 30 DGEDMN Cc1nc(C2=CC[C@@H](N3CCOCC3)CC2)c(C#N)c(C)c1C ZINC001245421752 1104041228 /nfs/dbraw/zinc/04/12/28/1104041228.db2.gz FCLWJAVRNCVOAG-QGZVFWFLSA-N 0 1 311.429 3.147 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)CCCc1ccsc1 ZINC001328733910 1104045289 /nfs/dbraw/zinc/04/52/89/1104045289.db2.gz SCHFRVRHSMCAHZ-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CCCc1ccsc1 ZINC001328733910 1104045299 /nfs/dbraw/zinc/04/52/99/1104045299.db2.gz SCHFRVRHSMCAHZ-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)CC1CCCCC1 ZINC001328764478 1104058348 /nfs/dbraw/zinc/05/83/48/1104058348.db2.gz ARTNTBXQGRJORM-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(OC)c1Cl ZINC001328996182 1104118917 /nfs/dbraw/zinc/11/89/17/1104118917.db2.gz NUBCKMNZNOKBDB-OLZOCXBDSA-N 0 1 324.852 3.298 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1nsc2ccccc12 ZINC001328996777 1104119095 /nfs/dbraw/zinc/11/90/95/1104119095.db2.gz QMFLNXANAOKTNM-STQMWFEESA-N 0 1 317.458 3.245 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1C[C@H](NCc2ccccc2C#N)C12CCC2 ZINC001202723772 1104140751 /nfs/dbraw/zinc/14/07/51/1104140751.db2.gz FIAJEEKETHRDRO-PLBVXEHZSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(Cl)cc1F ZINC001329077983 1104145647 /nfs/dbraw/zinc/14/56/47/1104145647.db2.gz YHMWPEONWDNWIN-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1sc(C)cc1C ZINC001329186776 1104183100 /nfs/dbraw/zinc/18/31/00/1104183100.db2.gz SZFVLJJEISQQFC-QWHCGFSZSA-N 0 1 314.882 3.143 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001329540015 1104307754 /nfs/dbraw/zinc/30/77/54/1104307754.db2.gz KHUUCBPOWDJXHM-DLBZAZTESA-N 0 1 314.473 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CCC(C)C ZINC001319840079 1104346837 /nfs/dbraw/zinc/34/68/37/1104346837.db2.gz YVVWQMRVRFXUHC-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN CCCCCCCC[C@H](O)CN1CC[C@@](N)(C(F)(F)F)C1 ZINC001246024897 1104355817 /nfs/dbraw/zinc/35/58/17/1104355817.db2.gz BEFINHOTVUEFDI-KBPBESRZSA-N 0 1 310.404 3.063 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1cccc(F)c1F ZINC001274392707 1104369575 /nfs/dbraw/zinc/36/95/75/1104369575.db2.gz HJLWCTPIQVOBBO-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=CCOc1c(Cl)cc(CN[C@@H](C=C)COC)cc1OC ZINC001329721964 1104371011 /nfs/dbraw/zinc/37/10/11/1104371011.db2.gz IDPSSLUUEQEAOA-ZDUSSCGKSA-N 0 1 311.809 3.204 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@@H]1CCC1(C)C ZINC001329887516 1104409233 /nfs/dbraw/zinc/40/92/33/1104409233.db2.gz NHPGRJSXINXANT-KGLIPLIRSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccccc2F)[C@@H](C)C1 ZINC001274596995 1104415567 /nfs/dbraw/zinc/41/55/67/1104415567.db2.gz MFVMKYUQFARTTO-GOEBONIOSA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)CC(C)(C)c1ccccc1 ZINC001274608638 1104418879 /nfs/dbraw/zinc/41/88/79/1104418879.db2.gz GVSRFMCWWYSMLS-BQYQJAHWSA-N 0 1 320.864 3.369 20 30 DGEDMN N#Cc1ccc(C2CCC(NC3(C(F)(F)F)CNC3)CC2)cc1 ZINC001246254486 1104461116 /nfs/dbraw/zinc/46/11/16/1104461116.db2.gz QXYXZVQOSMTRME-UHFFFAOYSA-N 0 1 323.362 3.078 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@H]1N(Cc1cnc(C)s1)CC2 ZINC001278439097 1104461863 /nfs/dbraw/zinc/46/18/63/1104461863.db2.gz GCOPGMBUHXBZAU-WBVHZDCISA-N 0 1 319.474 3.031 20 30 DGEDMN C/C=C(\C)C(=O)N[C@]12CCC[C@H]1N(Cc1ccccc1C#N)CC2 ZINC001278444751 1104517191 /nfs/dbraw/zinc/51/71/91/1104517191.db2.gz FXGQGEPQNPFEHA-MUNHBCDVSA-N 0 1 323.440 3.138 20 30 DGEDMN CC(C)(C)c1ccc(N=NC2COc3cc(F)cc(F)c32)nn1 ZINC001330310491 1104535894 /nfs/dbraw/zinc/53/58/94/1104535894.db2.gz JDYYEKUATWVMFI-UHFFFAOYSA-N 0 1 318.327 3.261 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN[C@H](C)c2ccc(F)cc2F)C1 ZINC001274913545 1104541936 /nfs/dbraw/zinc/54/19/36/1104541936.db2.gz PVYGREMEAYRVFO-CHWSQXEVSA-N 0 1 322.399 3.476 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@](C)(CC)CCC)C2)C1 ZINC001330511647 1104563646 /nfs/dbraw/zinc/56/36/46/1104563646.db2.gz CSQGNPXVYBOAHM-GOSISDBHSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C[C@@H](COC)NCc1cnc(CCc2ccccc2)s1 ZINC001330535763 1104571580 /nfs/dbraw/zinc/57/15/80/1104571580.db2.gz IEEPDXSABCFBRD-HNNXBMFYSA-N 0 1 302.443 3.219 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001275054525 1104597169 /nfs/dbraw/zinc/59/71/69/1104597169.db2.gz XSHGHABAUBFIMC-NEXGVSGLSA-N 0 1 322.880 3.002 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1nc(C(C)(C)C)cs1 ZINC001275115639 1104632337 /nfs/dbraw/zinc/63/23/37/1104632337.db2.gz PYJRMTQKWFEYED-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN CCCCCCCN1CC2(CCN2C(=O)CC2(OC)CCC2)C1 ZINC001275126842 1104637929 /nfs/dbraw/zinc/63/79/29/1104637929.db2.gz OZPXIEBPJWXNLB-UHFFFAOYSA-N 0 1 322.493 3.203 20 30 DGEDMN C=CCCCC(=O)N[C@@]12CCC[C@H]1N(Cc1ccns1)CC2 ZINC001278460314 1104649369 /nfs/dbraw/zinc/64/93/69/1104649369.db2.gz QOVBVVAFMDINDV-NVXWUHKLSA-N 0 1 319.474 3.113 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc2c1CCCC2 ZINC001275163508 1104659941 /nfs/dbraw/zinc/65/99/41/1104659941.db2.gz LFFRBODQNNYTOH-ZDUSSCGKSA-N 0 1 306.837 3.026 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccccc1CC(C)C ZINC001275175204 1104667592 /nfs/dbraw/zinc/66/75/92/1104667592.db2.gz HFLGTDWVMFKWAS-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)[C@H](C)NC(=O)CC(C)(C)C ZINC001275358450 1104735258 /nfs/dbraw/zinc/73/52/58/1104735258.db2.gz WXDOBVUSCMINDD-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cnc(Cl)s1 ZINC001275481984 1104773217 /nfs/dbraw/zinc/77/32/17/1104773217.db2.gz OIBIZHORCVTQKA-QWRGUYRKSA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001275481888 1104773414 /nfs/dbraw/zinc/77/34/14/1104773414.db2.gz NOKSYSKOHDCIGU-UONOGXRCSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2[nH]ccc21 ZINC001275489984 1104776430 /nfs/dbraw/zinc/77/64/30/1104776430.db2.gz AXNPNODNUJJZAT-VXGBXAGGSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)CC(CC)CC ZINC001275529679 1104795376 /nfs/dbraw/zinc/79/53/76/1104795376.db2.gz RCEMPMDFTVTXJY-NEPJUHHUSA-N 0 1 319.287 3.204 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(C)c(C)cc1Cl ZINC001275614482 1104832950 /nfs/dbraw/zinc/83/29/50/1104832950.db2.gz KRQHTZPFNOIBJF-AWEZNQCLSA-N 0 1 306.837 3.030 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001275818598 1104921843 /nfs/dbraw/zinc/92/18/43/1104921843.db2.gz KHWDHNQVENKODT-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001275858820 1104933737 /nfs/dbraw/zinc/93/37/37/1104933737.db2.gz QEVLTKGNPSHCFQ-QXAKKESOSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1coc2cc(C)c(C)cc12 ZINC001275887670 1104944581 /nfs/dbraw/zinc/94/45/81/1104944581.db2.gz QPMKQJULYRDTOC-HNNXBMFYSA-N 0 1 312.413 3.123 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001275920809 1104957368 /nfs/dbraw/zinc/95/73/68/1104957368.db2.gz NVSRWKREHYGTOJ-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(Cl)c1C)C2 ZINC001095449657 1105011769 /nfs/dbraw/zinc/01/17/69/1105011769.db2.gz AZPZMQMENMSIDB-KCXAZCMYSA-N 0 1 304.821 3.170 20 30 DGEDMN CN[C@H](Cc1ccccc1)c1nc(-c2cccc(C#N)c2)no1 ZINC001248184754 1105062478 /nfs/dbraw/zinc/06/24/78/1105062478.db2.gz KTIJYSGORRIHRJ-MRXNPFEDSA-N 0 1 304.353 3.111 20 30 DGEDMN N#Cc1cc(Cl)c(-c2noc([C@@H]3C=CCN3)n2)cc1Cl ZINC001248228137 1105075007 /nfs/dbraw/zinc/07/50/07/1105075007.db2.gz HGJVJVUBQUSTID-NSHDSACASA-N 0 1 307.140 3.116 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@](C)(CNCc2csc(C)n2)C1 ZINC001278503450 1105090527 /nfs/dbraw/zinc/09/05/27/1105090527.db2.gz DLEQGKWQHLCDTA-QGZVFWFLSA-N 0 1 321.490 3.136 20 30 DGEDMN COc1ccc(Nc2cc(C#N)c(F)cc2O)c([N+](=O)[O-])c1 ZINC001248483151 1105117928 /nfs/dbraw/zinc/11/79/28/1105117928.db2.gz GNGIJZZMNKCACY-UHFFFAOYSA-N 0 1 303.249 3.063 20 30 DGEDMN Cc1ccc2c(C[N@H+]3CCC4SC(=O)C=C4C3)cccc2n1 ZINC001248556128 1105127864 /nfs/dbraw/zinc/12/78/64/1105127864.db2.gz LNUFWNBQHNZELH-QGZVFWFLSA-N 0 1 310.422 3.317 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2ccc(O)cc2)CC1 ZINC001248748760 1105154680 /nfs/dbraw/zinc/15/46/80/1105154680.db2.gz WAFHEZYZTULPIU-UHFFFAOYSA-N 0 1 321.424 3.148 20 30 DGEDMN N#Cc1ccccc1OC1CCN(Cc2ccc3n2CCC3)CC1 ZINC001248824507 1105171259 /nfs/dbraw/zinc/17/12/59/1105171259.db2.gz PUQRAJYSICDZLO-UHFFFAOYSA-N 0 1 321.424 3.349 20 30 DGEDMN C[C@@H]1CN(Cc2cnn3ccc(C#N)cc23)Cc2ccccc21 ZINC001249082377 1105222813 /nfs/dbraw/zinc/22/28/13/1105222813.db2.gz QETQBRYDZWAYTR-CQSZACIVSA-N 0 1 302.381 3.325 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cccc(OC(F)F)c3)no2)C1 ZINC001249231282 1105252394 /nfs/dbraw/zinc/25/23/94/1105252394.db2.gz BDTMKLXBSDUAAR-HNNXBMFYSA-N 0 1 307.300 3.103 20 30 DGEDMN CC[C@](C)(N)c1nc(-c2cc(Cl)c(C#N)cc2Cl)no1 ZINC001249281841 1105261780 /nfs/dbraw/zinc/26/17/80/1105261780.db2.gz CRBZQDQHAIFEFT-ZDUSSCGKSA-N 0 1 311.172 3.499 20 30 DGEDMN N#Cc1c(F)ccc(F)c1N=C1CCC(N2CCOCC2)CC1 ZINC001249560605 1105315169 /nfs/dbraw/zinc/31/51/69/1105315169.db2.gz CERLOXUWZREXBR-CYBMUJFWSA-N 0 1 319.355 3.017 20 30 DGEDMN CCCCCCCNC(=S)N1CC[N@@H+](C)CC12CCCC2 ZINC001249638503 1105339000 /nfs/dbraw/zinc/33/90/00/1105339000.db2.gz AFUAXTFQBVUEDW-UHFFFAOYSA-N 0 1 311.539 3.392 20 30 DGEDMN CCCCCCCNC(=S)N1CCN(C)CC12CCCC2 ZINC001249638503 1105339004 /nfs/dbraw/zinc/33/90/04/1105339004.db2.gz AFUAXTFQBVUEDW-UHFFFAOYSA-N 0 1 311.539 3.392 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(F)(F)F)[C@@H]1C ZINC001278526852 1105347208 /nfs/dbraw/zinc/34/72/08/1105347208.db2.gz NMYRZRZXAOUUBO-RYUDHWBXSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc(C(F)F)cc1C)C2 ZINC001097260222 1105375529 /nfs/dbraw/zinc/37/55/29/1105375529.db2.gz NQJNOEBPSSQWDJ-UPJWGTAASA-N 0 1 324.371 3.437 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccc(C)c1Cl)C2 ZINC001097527173 1105408432 /nfs/dbraw/zinc/40/84/32/1105408432.db2.gz ZJXQBSNAQPCMPO-OAGGEKHMSA-N 0 1 318.848 3.098 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1C[C@H]1Cc1ccccc1)C2 ZINC001097573576 1105410110 /nfs/dbraw/zinc/41/01/10/1105410110.db2.gz GRUWIVYOWQBCRK-IVDHNXQLSA-N 0 1 324.468 3.163 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1C(C)C)C2 ZINC001097702097 1105431852 /nfs/dbraw/zinc/43/18/52/1105431852.db2.gz PVXCWAVBSSMERU-BMFZPTHFSA-N 0 1 302.418 3.314 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c(F)c1F)C2 ZINC001097903128 1105460036 /nfs/dbraw/zinc/46/00/36/1105460036.db2.gz JSUOGFBFEOOVFJ-YUELXQCFSA-N 0 1 320.383 3.184 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2ccc(Br)c(Cl)n2)C1 ZINC001254641969 1105460269 /nfs/dbraw/zinc/46/02/69/1105460269.db2.gz BYZHHINFUDBNMV-BDAKNGLRSA-N 0 1 314.614 3.279 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)ccc1F)C2 ZINC001097926368 1105464439 /nfs/dbraw/zinc/46/44/39/1105464439.db2.gz YAOPTLYXTXTZTJ-XYPHTWIQSA-N 0 1 302.393 3.045 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN(Cc3cc4ccccc4[nH]3)C2)C1=O ZINC001137315827 1105481927 /nfs/dbraw/zinc/48/19/27/1105481927.db2.gz OGBUSWSSXZEVAO-HXUWFJFHSA-N 0 1 323.440 3.168 20 30 DGEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CC[C@H](C)C1(C)C)C2 ZINC001097977460 1105489007 /nfs/dbraw/zinc/48/90/07/1105489007.db2.gz ULDVCBRGYZJXPM-NEHHDPPZSA-N 0 1 316.489 3.194 20 30 DGEDMN COc1cc(CN(C)Cc2cccc(C#N)c2)cc(F)c1OC ZINC001137510821 1105533239 /nfs/dbraw/zinc/53/32/39/1105533239.db2.gz MSCYOQGCZWKUFA-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCCc3ccccc31)C2 ZINC001098041965 1105534256 /nfs/dbraw/zinc/53/42/56/1105534256.db2.gz OIJQVWILKWWJMT-RDPOXOKHSA-N 0 1 324.468 3.188 20 30 DGEDMN CC(=O)Nc1ccc2nc(CCc3cccc(C#N)c3)[nH]c2c1 ZINC001250581671 1105540880 /nfs/dbraw/zinc/54/08/80/1105540880.db2.gz KOEUNKAJHBWQMS-UHFFFAOYSA-N 0 1 304.353 3.178 20 30 DGEDMN N#Cc1cc(-c2cccc(CCN3CCOCC3)c2)ccc1F ZINC001250635758 1105552059 /nfs/dbraw/zinc/55/20/59/1105552059.db2.gz FWAXZQMHFUBYBD-UHFFFAOYSA-N 0 1 310.372 3.239 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sccc1C(C)C)C2 ZINC001098458649 1105578243 /nfs/dbraw/zinc/57/82/43/1105578243.db2.gz VUDHEPLJVOBMCN-BMFZPTHFSA-N 0 1 316.470 3.230 20 30 DGEDMN N#Cc1c(Cl)cccc1-c1nc2cc3c(cc2[nH]1)OCC(=O)N3 ZINC001250802198 1105586211 /nfs/dbraw/zinc/58/62/11/1105586211.db2.gz JVUHWTWVBLMQFX-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN N#Cc1c(N)sc(-c2nc3cc4c(cc3[nH]2)OCC4)c1Cl ZINC001250930674 1105606111 /nfs/dbraw/zinc/60/61/11/1105606111.db2.gz KMTAQFMZBGIRIL-UHFFFAOYSA-N 0 1 316.773 3.334 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(CCC)s2)C1 ZINC001098860083 1105609449 /nfs/dbraw/zinc/60/94/49/1105609449.db2.gz WXPFWAAQVLJAPO-KSSFIOAISA-N 0 1 318.486 3.471 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)C(C)C ZINC001098959896 1105619536 /nfs/dbraw/zinc/61/95/36/1105619536.db2.gz JWMWYNMYVSWNEK-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)/C=C/C(C)(C)C ZINC001098976029 1105622272 /nfs/dbraw/zinc/62/22/72/1105622272.db2.gz OXNRJSFZZFVFTE-SIFUEBAJSA-N 0 1 304.478 3.219 20 30 DGEDMN N#Cc1ccnc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)c1 ZINC001251122473 1105630606 /nfs/dbraw/zinc/63/06/06/1105630606.db2.gz XBWOZXMTJNDTNQ-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099215199 1105658704 /nfs/dbraw/zinc/65/87/04/1105658704.db2.gz PZQWYOVLSUQXBI-LPHOPBHVSA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](CCC)C(C)C ZINC001099257178 1105662020 /nfs/dbraw/zinc/66/20/20/1105662020.db2.gz BOXPBMXXWJGKMV-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)CC1CCCC1 ZINC001099257426 1105662172 /nfs/dbraw/zinc/66/21/72/1105662172.db2.gz FMLXONRJLXPGAG-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C=C)c1ccccc1 ZINC001099347680 1105670813 /nfs/dbraw/zinc/67/08/13/1105670813.db2.gz AGWFMEBGHFWEBJ-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C=C)c1ccccc1 ZINC001099347681 1105670820 /nfs/dbraw/zinc/67/08/20/1105670820.db2.gz AGWFMEBGHFWEBJ-RTBURBONSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CC=C)CCCC1 ZINC001099378818 1105674436 /nfs/dbraw/zinc/67/44/36/1105674436.db2.gz FUSXMFKZZDFYHN-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN N#Cc1ccc2nc(-c3nc4c([nH]3)c(F)c(F)cc4F)cn2c1 ZINC001251632182 1105675603 /nfs/dbraw/zinc/67/56/03/1105675603.db2.gz GVCRMFSHLBGELX-UHFFFAOYSA-N 0 1 313.242 3.167 20 30 DGEDMN CCOc1ccc(-c2nnc(-c3ccc(O)c(C#N)c3)o2)cc1 ZINC001252136526 1105749060 /nfs/dbraw/zinc/74/90/60/1105749060.db2.gz CXZRVDRPFMKESA-UHFFFAOYSA-N 0 1 307.309 3.380 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCCN(C/C=C\Cl)C1 ZINC001491108351 1105755168 /nfs/dbraw/zinc/75/51/68/1105755168.db2.gz SAXDJCPSIJSFDH-MLBQELRYSA-N 0 1 310.869 3.336 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1cncc(C)c1 ZINC001491219373 1105778831 /nfs/dbraw/zinc/77/88/31/1105778831.db2.gz QOSYCMZQADTCJK-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1cnccc1C ZINC001491223197 1105780072 /nfs/dbraw/zinc/78/00/72/1105780072.db2.gz DKDCBWGZLQCGQM-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN C=CCOC[C@@H](O)CNc1ccc(N(CCC)CCC)cc1 ZINC001252475396 1105781995 /nfs/dbraw/zinc/78/19/95/1105781995.db2.gz DDKJLQFLMSQKMB-SFHVURJKSA-N 0 1 306.450 3.288 20 30 DGEDMN C=CCOC[C@H](O)CNc1ccc(N2CCCCCC2)cc1 ZINC001252475847 1105782353 /nfs/dbraw/zinc/78/23/53/1105782353.db2.gz IBBRNJXZFFPHDV-GOSISDBHSA-N 0 1 304.434 3.042 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@@H](CC)C(C)(C)C ZINC001491229191 1105782600 /nfs/dbraw/zinc/78/26/00/1105782600.db2.gz CYWKCJYYDJWRRH-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@H](C)n1cccc1 ZINC001491269832 1105792311 /nfs/dbraw/zinc/79/23/11/1105792311.db2.gz OESHLSZORAWJSG-JKSUJKDBSA-N 0 1 323.868 3.162 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@@H](C)n1cccc1 ZINC001491269831 1105792546 /nfs/dbraw/zinc/79/25/46/1105792546.db2.gz OESHLSZORAWJSG-HZPDHXFCSA-N 0 1 323.868 3.162 20 30 DGEDMN CCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC001491280673 1105795333 /nfs/dbraw/zinc/79/53/33/1105795333.db2.gz OJOZGJWJZLHAPU-KBPBESRZSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CC(C)(C)C)C1 ZINC001491285628 1105795369 /nfs/dbraw/zinc/79/53/69/1105795369.db2.gz WIEZZAHEGFJXRF-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC[C@@H](O)CNCc1csc(-c2cccc(OC)c2)n1 ZINC001252625069 1105807303 /nfs/dbraw/zinc/80/73/03/1105807303.db2.gz QPJBCSDZNMLQTB-OAHLLOKOSA-N 0 1 318.442 3.235 20 30 DGEDMN C=CCC[C@H](O)CNCc1csc(-c2cccc(OC)c2)n1 ZINC001252625068 1105807832 /nfs/dbraw/zinc/80/78/32/1105807832.db2.gz QPJBCSDZNMLQTB-HNNXBMFYSA-N 0 1 318.442 3.235 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)NCc1nc(C)c(C(C)(C)C)s1 ZINC001491411101 1105821987 /nfs/dbraw/zinc/82/19/87/1105821987.db2.gz PLOWMMYMXOVYFT-GFCCVEGCSA-N 0 1 323.506 3.310 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1sccc1Cl ZINC001491464894 1105830000 /nfs/dbraw/zinc/83/00/00/1105830000.db2.gz DMUIYPWNFXJLED-LLVKDONJSA-N 0 1 300.855 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2ccccc2s1 ZINC001491516698 1105838094 /nfs/dbraw/zinc/83/80/94/1105838094.db2.gz MCRZIXAPCASKJE-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)c1ccc(OC)cc1 ZINC001253226920 1105904399 /nfs/dbraw/zinc/90/43/99/1105904399.db2.gz CDHAJXBUAMGLKO-QGZVFWFLSA-N 0 1 319.445 3.355 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CCC)CC(C)C)C2 ZINC001111480498 1105904556 /nfs/dbraw/zinc/90/45/56/1105904556.db2.gz VNTCFYAUEZQFSA-MLHJIOFPSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCc1cc2ccccn2n1 ZINC001253253756 1105912964 /nfs/dbraw/zinc/91/29/64/1105912964.db2.gz KLBVSQKJSDKFQZ-SFHVURJKSA-N 0 1 301.434 3.311 20 30 DGEDMN CCCCCCCCCCCC[C@@H](O)CNC1(CO)COC1 ZINC001253565712 1105960263 /nfs/dbraw/zinc/96/02/63/1105960263.db2.gz QZLMOMSWWYLZKF-QGZVFWFLSA-N 0 1 315.498 3.009 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN([C@H](C)c2ncc(C)o2)C[C@H]1C ZINC001102011755 1106009468 /nfs/dbraw/zinc/00/94/68/1106009468.db2.gz PBVDSSHMWWMGRO-FVQBIDKESA-N 0 1 319.449 3.084 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CN(CC(=C)Cl)C[C@H]2C)CCC1 ZINC001102330170 1106049875 /nfs/dbraw/zinc/04/98/75/1106049875.db2.gz GWFYEIZYWGHWIF-UKRRQHHQSA-N 0 1 310.869 3.169 20 30 DGEDMN Cn1c(CN[C@@H]2CC[C@@H](C#N)C2)nc2cc(C(F)(F)F)ccc21 ZINC001254642596 1106119708 /nfs/dbraw/zinc/11/97/08/1106119708.db2.gz ISNYALRXICXGER-ZYHUDNBSSA-N 0 1 322.334 3.374 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2cn(Cc3ccc(Cl)cc3)cn2)C1 ZINC001254647518 1106121412 /nfs/dbraw/zinc/12/14/12/1106121412.db2.gz HBCWTROUUUDFGX-GDBMZVCRSA-N 0 1 314.820 3.367 20 30 DGEDMN N#C[C@H]1CC[C@H](N2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)C1 ZINC001254671041 1106129275 /nfs/dbraw/zinc/12/92/75/1106129275.db2.gz NXZUKCYPQKIUOY-XQLPTFJDSA-N 0 1 304.397 3.209 20 30 DGEDMN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@H](C#N)C1 ZINC001254673879 1106130946 /nfs/dbraw/zinc/13/09/46/1106130946.db2.gz QMCVBORTMPGKLY-HRCADAONSA-N 0 1 321.465 3.400 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1C[C@H](N1CC[C@@](C)(C#N)C1)C2 ZINC001254987949 1106163616 /nfs/dbraw/zinc/16/36/16/1106163616.db2.gz YCOUPSJXGFQXTQ-KRXQYRFLSA-N 0 1 319.449 3.010 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@@H](CNC(=O)OC(C)(C)C)[C@@H]1C ZINC001255164473 1106196108 /nfs/dbraw/zinc/19/61/08/1106196108.db2.gz KQUVPSDWBYQHQY-SOUVJXGZSA-N 0 1 309.454 3.304 20 30 DGEDMN C=CCOC(=O)N1CCCC2(CCN([C@H](CC)CC#N)CC2)C1 ZINC001255165121 1106196522 /nfs/dbraw/zinc/19/65/22/1106196522.db2.gz TYWAIAUGPRVVKN-MRXNPFEDSA-N 0 1 319.449 3.179 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CCOC[C@@H]2COCC2CC2)CC1 ZINC001255170810 1106198287 /nfs/dbraw/zinc/19/82/87/1106198287.db2.gz YOAHVXBDDKKKDP-QZTJIDSGSA-N 0 1 320.477 3.224 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@]2(C1)c1ccccc1N(CC1CC1)C2=O ZINC001255172404 1106198329 /nfs/dbraw/zinc/19/83/29/1106198329.db2.gz OSBOHARXDXYVAZ-UZLBHIALSA-N 0 1 323.440 3.079 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@@]2(CC(=O)N(c3cccc(C)c3)C2)C1 ZINC001255170451 1106198668 /nfs/dbraw/zinc/19/86/68/1106198668.db2.gz RXAPGAZDLWSXPB-QFBILLFUSA-N 0 1 311.429 3.116 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@]2(CCN(c3ccsc3)C2=O)C1 ZINC001255170163 1106198768 /nfs/dbraw/zinc/19/87/68/1106198768.db2.gz OPGPFHZNRWLPAR-PBHICJAKSA-N 0 1 317.458 3.259 20 30 DGEDMN CC[C@@H](CC#N)N(C(C)C)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255177314 1106200190 /nfs/dbraw/zinc/20/01/90/1106200190.db2.gz PVCIEFXUFNXIID-LSDHHAIUSA-N 0 1 309.454 3.398 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CC[C@@H]2NC(=O)OC(C)(C)C)CC1 ZINC001255177967 1106200609 /nfs/dbraw/zinc/20/06/09/1106200609.db2.gz ZGOSYPPVUOIEFY-GJZGRUSLSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@H](CC#N)N(C)[C@@H]1CCCC[C@@H]1NC(=O)OC(C)(C)C ZINC001255178247 1106200757 /nfs/dbraw/zinc/20/07/57/1106200757.db2.gz DCIVBKHGPYBCMD-QLFBSQMISA-N 0 1 309.454 3.446 20 30 DGEDMN CC[C@@H](CC#N)N(C)[C@@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC001255178359 1106200959 /nfs/dbraw/zinc/20/09/59/1106200959.db2.gz FOGSDVWXPYMWJB-YOEHRIQHSA-N 0 1 309.454 3.400 20 30 DGEDMN CCCN1CCCC[C@H]1C(=O)OCc1ccc(C#N)cc1C ZINC001255255702 1106211212 /nfs/dbraw/zinc/21/12/12/1106211212.db2.gz NCRVBMPWANKFBC-KRWDZBQOSA-N 0 1 300.402 3.174 20 30 DGEDMN Cc1nc(C#N)ccc1NS(=O)(=O)c1ccc(C(C)C)cc1 ZINC001255281498 1106215000 /nfs/dbraw/zinc/21/50/00/1106215000.db2.gz XJGLCNNCEBZYMX-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C[C@H](CC(F)(F)F)N1CC[C@@H](F)[C@](O)(C(F)(F)F)CC1 ZINC001255645574 1106283642 /nfs/dbraw/zinc/28/36/42/1106283642.db2.gz GZRVLEJRMKAYQC-HLTSFMKQSA-N 0 1 311.241 3.055 20 30 DGEDMN Cc1c(C(=O)O[C@@H](C)c2cccc(C#N)c2)ccc2cncn21 ZINC001256053376 1106361541 /nfs/dbraw/zinc/36/15/41/1106361541.db2.gz IBDCBTXIYSMXMK-ZDUSSCGKSA-N 0 1 305.337 3.432 20 30 DGEDMN C#CCN(C)CCN(C(=O)C1CCC(C2CC2)CC1)C(C)C ZINC001278926197 1106399891 /nfs/dbraw/zinc/39/98/91/1106399891.db2.gz CQKZBCFFFHTSFG-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1[nH]ccc1-c1ccccc1)C(C)C ZINC001278926513 1106400995 /nfs/dbraw/zinc/40/09/95/1106400995.db2.gz OZZREZWSHQWCFF-UHFFFAOYSA-N 0 1 323.440 3.097 20 30 DGEDMN N#CC1(NC2CCN(Cc3ccncc3)CC2)CCCCCC1 ZINC001256580661 1106449270 /nfs/dbraw/zinc/44/92/70/1106449270.db2.gz USGYNFZSFRSVHP-UHFFFAOYSA-N 0 1 312.461 3.252 20 30 DGEDMN C[C@]1(c2ccc(F)cc2)CN(C2CCC(C#N)CC2)CCO1 ZINC001256972755 1106519197 /nfs/dbraw/zinc/51/91/97/1106519197.db2.gz FLVWCXJADKOMFA-IUPXNIOVSA-N 0 1 302.393 3.455 20 30 DGEDMN CCOC(=O)c1ccc(C[C@H](C)N2CC[C@](C)(C#N)C2)cc1 ZINC001257167954 1106548512 /nfs/dbraw/zinc/54/85/12/1106548512.db2.gz RYRUBQZHBUJNAS-KBXCAEBGSA-N 0 1 300.402 3.030 20 30 DGEDMN CC(C)[C@H]1C[C@@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257199741 1106550391 /nfs/dbraw/zinc/55/03/91/1106550391.db2.gz YCNQWORTCJRAGB-OWCLPIDISA-N 0 1 321.465 3.256 20 30 DGEDMN CCCCCCCCCC[C@@H](C)N1CC(N2CCNC(=O)C2)C1 ZINC001257550958 1106604050 /nfs/dbraw/zinc/60/40/50/1106604050.db2.gz YWNIRUBKBQUBMH-QGZVFWFLSA-N 0 1 323.525 3.022 20 30 DGEDMN Cc1ncc(C#N)cc1NS(=O)(=O)c1cccc(Cl)c1C ZINC001257562525 1106605773 /nfs/dbraw/zinc/60/57/73/1106605773.db2.gz ZBWQJNACCIOULS-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN N#Cc1ccc(-c2nnc(CCCc3ccccc3)o2)c(O)c1 ZINC001257826203 1106632550 /nfs/dbraw/zinc/63/25/50/1106632550.db2.gz VZLVZDRJMYULKM-UHFFFAOYSA-N 0 1 305.337 3.489 20 30 DGEDMN N=C(N[C@H]1COc2ccc(Cl)cc2C1)c1ccc(Cl)cn1 ZINC001257951066 1106648994 /nfs/dbraw/zinc/64/89/94/1106648994.db2.gz SOWALGMNLWFXDR-GFCCVEGCSA-N 0 1 322.195 3.097 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccccc2C#N)c2cccnc12 ZINC001258266365 1106705998 /nfs/dbraw/zinc/70/59/98/1106705998.db2.gz DFFLOLAHDDTDEZ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN COc1cc(Cl)cc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC001258278720 1106707874 /nfs/dbraw/zinc/70/78/74/1106707874.db2.gz DLESNFDVGIOPIY-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN COC(=O)CC[C@@H](C)N1CCC(C#N)(c2ccccc2F)CC1 ZINC001258381666 1106725538 /nfs/dbraw/zinc/72/55/38/1106725538.db2.gz FQQCPXLJWGXGCM-CQSZACIVSA-N 0 1 318.392 3.025 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1cc2ccccc2o1 ZINC001319981515 1106760851 /nfs/dbraw/zinc/76/08/51/1106760851.db2.gz RDMQEJRDHYHHHH-UHFFFAOYSA-N 0 1 300.402 3.289 20 30 DGEDMN Cc1c(C(=O)Nc2ccccc2O[C@@H](C)C#N)ccc2cncn21 ZINC001320027061 1106793811 /nfs/dbraw/zinc/79/38/11/1106793811.db2.gz QKEDFYRBILQYOE-LBPRGKRZSA-N 0 1 320.352 3.186 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001316946687 1106802706 /nfs/dbraw/zinc/80/27/06/1106802706.db2.gz ZJGWSFGBEFLRKY-KOTDBNOLSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001320038403 1106807730 /nfs/dbraw/zinc/80/77/30/1106807730.db2.gz RQLLSAZINOXOGN-WFASDCNBSA-N 0 1 316.228 3.304 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001320038402 1106810240 /nfs/dbraw/zinc/81/02/40/1106810240.db2.gz RQLLSAZINOXOGN-SWLSCSKDSA-N 0 1 316.228 3.304 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC[C@](C)(C#N)C2)CC1(C)C ZINC001258565651 1106825396 /nfs/dbraw/zinc/82/53/96/1106825396.db2.gz YSWFPDBCWIHBFE-KBXCAEBGSA-N 0 1 321.465 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001316982207 1106843608 /nfs/dbraw/zinc/84/36/08/1106843608.db2.gz WZAXCPTYTLTHTJ-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc(Cl)ccc1Cl ZINC001320078226 1106846840 /nfs/dbraw/zinc/84/68/40/1106846840.db2.gz DUUKJRWILAYZGA-UHFFFAOYSA-N 0 1 313.228 3.021 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001316985629 1106848998 /nfs/dbraw/zinc/84/89/98/1106848998.db2.gz LGJQEGUQYLSEFV-HUUCEWRRSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CC(C)=C(C)C)CC1 ZINC001317002746 1106884201 /nfs/dbraw/zinc/88/42/01/1106884201.db2.gz QKSMRKLEHYLRRP-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@H](C)C[C@H](C)C2)CC1 ZINC001317006557 1106890941 /nfs/dbraw/zinc/89/09/41/1106890941.db2.gz VTLHGPYEEYUJDG-PIIMJCKOSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cocc2C)C1 ZINC001317038794 1106935586 /nfs/dbraw/zinc/93/55/86/1106935586.db2.gz MDQLUFLVJIULHL-AWEZNQCLSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CC=C)CCCC1 ZINC001317048913 1106948485 /nfs/dbraw/zinc/94/84/85/1106948485.db2.gz FGJXBRRLSYDECX-CALCHBBNSA-N 0 1 302.462 3.374 20 30 DGEDMN CCCCCC(=O)N[C@H]1C[C@H](NCc2cc(F)ccc2C#N)C1 ZINC001317070639 1106966981 /nfs/dbraw/zinc/96/69/81/1106966981.db2.gz PKDQMTOQTGEVBQ-QAQDUYKDSA-N 0 1 317.408 3.014 20 30 DGEDMN C=C[C@@H](COC)N[C@H]1CCc2c1cc(Br)cc2F ZINC001320428386 1107003771 /nfs/dbraw/zinc/00/37/71/1107003771.db2.gz YTZAKKZFPNOESY-HZMBPMFUSA-N 0 1 314.198 3.366 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2csc(C(C)(C)C)n2)C1 ZINC001317107643 1107003807 /nfs/dbraw/zinc/00/38/07/1107003807.db2.gz LKGLYSQVORWDAL-BETUJISGSA-N 0 1 321.490 3.144 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001317119546 1107013841 /nfs/dbraw/zinc/01/38/41/1107013841.db2.gz UQEPWCLPSRJWLI-JKIFEVAISA-N 0 1 321.490 3.099 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1ccc(F)cc1Cl ZINC001258916796 1107056290 /nfs/dbraw/zinc/05/62/90/1107056290.db2.gz WYPCQYNVSZRPAU-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccc(F)cc2Cl)cc1C#N ZINC001258916527 1107057241 /nfs/dbraw/zinc/05/72/41/1107057241.db2.gz SNQZPMPEKRVCHW-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CC[C@H](C)C(=O)NC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001317165379 1107068154 /nfs/dbraw/zinc/06/81/54/1107068154.db2.gz IOANCTODTAYSGG-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1Cc1csc(C)c1 ZINC001317170994 1107079481 /nfs/dbraw/zinc/07/94/81/1107079481.db2.gz LIWPBRXMDPEGFD-KRWDZBQOSA-N 0 1 318.486 3.331 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001317217074 1107139033 /nfs/dbraw/zinc/13/90/33/1107139033.db2.gz AKUGDDYQKPVHCA-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001317235461 1107160313 /nfs/dbraw/zinc/16/03/13/1107160313.db2.gz QQGURZDKVZPNJK-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)[C@H](C)[C@@H](C)CC ZINC001320875969 1107171433 /nfs/dbraw/zinc/17/14/33/1107171433.db2.gz IIAHQPWWRCEWEQ-WCQYABFASA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c(C)occ1C(F)(F)F ZINC001317255992 1107176945 /nfs/dbraw/zinc/17/69/45/1107176945.db2.gz ZUVBHSGXRHBUBZ-MRVPVSSYSA-N 0 1 324.730 3.067 20 30 DGEDMN CCC[C@@H](C(=O)NC[C@H](C)NCC#Cc1ccccc1)C(C)C ZINC001317269775 1107192505 /nfs/dbraw/zinc/19/25/05/1107192505.db2.gz DDLCBVRSKFBSJR-PKOBYXMFSA-N 0 1 314.473 3.205 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cnn(-c3ccc(Cl)cc3)c2)C1 ZINC001320967563 1107207097 /nfs/dbraw/zinc/20/70/97/1107207097.db2.gz IYNXTDPQSMNEEC-QGZVFWFLSA-N 0 1 317.820 3.303 20 30 DGEDMN C[C@@]1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CC=CCC1 ZINC001321070915 1107238703 /nfs/dbraw/zinc/23/87/03/1107238703.db2.gz RFKZVBSIHPACQQ-HEDKFQSOSA-N 0 1 322.452 3.047 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1ccc(Cl)nc1 ZINC001321077109 1107243272 /nfs/dbraw/zinc/24/32/72/1107243272.db2.gz FUGJWFHZPNGGAM-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN CCCCCCCNS(=O)(=O)c1c(F)cc(F)cc1F ZINC001260356303 1107272044 /nfs/dbraw/zinc/27/20/44/1107272044.db2.gz SKUPPZRDDDOHPO-UHFFFAOYSA-N 0 1 309.353 3.353 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(C(C)C)oc1C ZINC001321315007 1107319855 /nfs/dbraw/zinc/31/98/55/1107319855.db2.gz LMSBBUHBRDVCQE-WAYWQWQTSA-N 0 1 310.825 3.340 20 30 DGEDMN C=C[C@@H](COC)N[C@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC001321495043 1107366121 /nfs/dbraw/zinc/36/61/21/1107366121.db2.gz LEUJDVPSAZYXHN-FZMZJTMJSA-N 0 1 300.324 3.268 20 30 DGEDMN COc1nc(C)cc(C)c1CNC1CC(C#N)(c2ccccc2)C1 ZINC001321622854 1107395420 /nfs/dbraw/zinc/39/54/20/1107395420.db2.gz NWSRMKWHZQEQBP-UHFFFAOYSA-N 0 1 321.424 3.421 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001321662366 1107406551 /nfs/dbraw/zinc/40/65/51/1107406551.db2.gz HGEWVMGSVVBUGL-GHJWDPDVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](CC)c1ccccc1 ZINC001321710091 1107417049 /nfs/dbraw/zinc/41/70/49/1107417049.db2.gz KJCAQMZVTAZWHF-CABCVRRESA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1c(C)cc(C)cc1C ZINC001321969796 1107476102 /nfs/dbraw/zinc/47/61/02/1107476102.db2.gz ZNRMCBFKOFWIFH-HNNXBMFYSA-N 0 1 308.853 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(-c2ccco2)s1 ZINC001322034537 1107490324 /nfs/dbraw/zinc/49/03/24/1107490324.db2.gz NGTDAQXCGVRHSS-NSHDSACASA-N 0 1 324.833 3.469 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(Cl)cc1Cl ZINC001322054219 1107494353 /nfs/dbraw/zinc/49/43/53/1107494353.db2.gz IXPNIRGMAWOAHM-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(Cl)c(Cl)c1 ZINC001322054457 1107494734 /nfs/dbraw/zinc/49/47/34/1107494734.db2.gz NPKMOHVEFAYZHW-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(F)c(F)cc1Cl ZINC001322065079 1107499225 /nfs/dbraw/zinc/49/92/25/1107499225.db2.gz SVPRXYDNGSLYRY-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001322086727 1107505385 /nfs/dbraw/zinc/50/53/85/1107505385.db2.gz BSNFHSCFKAVZLI-HIFRSBDPSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2scnc2C)CCC1 ZINC001322239300 1107586606 /nfs/dbraw/zinc/58/66/06/1107586606.db2.gz ZDSUYQAIEGSYDV-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001322256736 1107595496 /nfs/dbraw/zinc/59/54/96/1107595496.db2.gz BYEBDXVBIHXGDK-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C2=CCCC2)cc1 ZINC001322316376 1107629907 /nfs/dbraw/zinc/62/99/07/1107629907.db2.gz ZIYGEQWSFYWTEO-MRXNPFEDSA-N 0 1 310.441 3.327 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ocnc2C2CC2)C1 ZINC001317766582 1107650464 /nfs/dbraw/zinc/65/04/64/1107650464.db2.gz FNKFXKUHFGZZKU-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC([C@H]3CCOC3)CC2)c1 ZINC001262255444 1107659561 /nfs/dbraw/zinc/65/95/61/1107659561.db2.gz NKWGCERIKNBJLR-INIZCTEOSA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1ccccc1)C1CC1 ZINC001323094504 1107830681 /nfs/dbraw/zinc/83/06/81/1107830681.db2.gz NGOMNEUXAXFZKU-XJKSGUPXSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(C)nc3ccccc32)C1 ZINC001318086631 1107833294 /nfs/dbraw/zinc/83/32/94/1107833294.db2.gz UGHCCBGGSANEGB-HNNXBMFYSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1[C@H]1CCCc2ccc(F)cc21 ZINC001323199182 1107880875 /nfs/dbraw/zinc/88/08/75/1107880875.db2.gz OBMAYXDFSZDWFQ-WMZOPIPTSA-N 0 1 316.420 3.360 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(CC)cc1 ZINC001318195219 1107891386 /nfs/dbraw/zinc/89/13/86/1107891386.db2.gz BDUKTWXNZYNRSF-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2cc(C)cn2c1 ZINC001323245961 1107901796 /nfs/dbraw/zinc/90/17/96/1107901796.db2.gz JOLJWONQBKOJON-GOSISDBHSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(CC)s1 ZINC001318224697 1107904391 /nfs/dbraw/zinc/90/43/91/1107904391.db2.gz OKSNOONGNBZKFX-GJZGRUSLSA-N 0 1 318.486 3.484 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cc(C)ccc1C ZINC001323276454 1107913812 /nfs/dbraw/zinc/91/38/12/1107913812.db2.gz FZEDQAGPQFDHKG-KRWDZBQOSA-N 0 1 300.446 3.206 20 30 DGEDMN C=CCN(Cc1ccccc1)c1nnc(-c2[nH]cnc2C)n1CC ZINC001263054886 1107925652 /nfs/dbraw/zinc/92/56/52/1107925652.db2.gz KPUOYDZWYGUMHB-UHFFFAOYSA-N 0 1 322.416 3.189 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001318292612 1107933191 /nfs/dbraw/zinc/93/31/91/1107933191.db2.gz QBFVANFFYWFCAB-ROUUACIJSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCc1cc(C)sc1C ZINC001323372478 1107957241 /nfs/dbraw/zinc/95/72/41/1107957241.db2.gz XZXNUYZSUZICLB-QGZVFWFLSA-N 0 1 320.502 3.454 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001318429964 1108000780 /nfs/dbraw/zinc/00/07/80/1108000780.db2.gz VLHCSVLUUHUKSN-RDJZCZTQSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@H]1CCC ZINC001263340445 1108021964 /nfs/dbraw/zinc/02/19/64/1108021964.db2.gz KVCYOANKLJBPKZ-AWEZNQCLSA-N 0 1 314.437 3.322 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001318482382 1108044043 /nfs/dbraw/zinc/04/40/43/1108044043.db2.gz IGFKIZYQSDVZCC-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1c(C)onc1CC ZINC001318555048 1108091403 /nfs/dbraw/zinc/09/14/03/1108091403.db2.gz LSOXSOMYERNEMW-CQSZACIVSA-N 0 1 321.465 3.132 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@H]1CCCC1(F)F ZINC001318562121 1108096551 /nfs/dbraw/zinc/09/65/51/1108096551.db2.gz MGONFQSXEWZHAT-VXGBXAGGSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1ccccc1F ZINC001318582600 1108109153 /nfs/dbraw/zinc/10/91/53/1108109153.db2.gz KSOQKOMSYYAOSG-QWHCGFSZSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001323929885 1108122494 /nfs/dbraw/zinc/12/24/94/1108122494.db2.gz BIQZFYJSUUXUAF-DOTOQJQBSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2ccc(F)cc2)C1 ZINC001323981990 1108136562 /nfs/dbraw/zinc/13/65/62/1108136562.db2.gz UKOARZVQMQTSPF-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001324017168 1108148501 /nfs/dbraw/zinc/14/85/01/1108148501.db2.gz HFQGWYRKHRKSDD-MRXNPFEDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1 ZINC001263809133 1108215565 /nfs/dbraw/zinc/21/55/65/1108215565.db2.gz HYNMKWUWMGKBHL-GOSISDBHSA-N 0 1 300.446 3.384 20 30 DGEDMN CCCC1CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC1 ZINC001318908151 1108233026 /nfs/dbraw/zinc/23/30/26/1108233026.db2.gz VCIUOIDBCFISLU-UHFFFAOYSA-N 0 1 305.466 3.183 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2ccccn2)CC1 ZINC001324329092 1108252803 /nfs/dbraw/zinc/25/28/03/1108252803.db2.gz MTCWVPCJVNKWRX-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H]2CCCCC2(C)C)CC1 ZINC001324351290 1108260447 /nfs/dbraw/zinc/26/04/47/1108260447.db2.gz BTSKPORPLZPHDC-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN(Cc2ccc(Cl)cc2)CC1 ZINC001324352754 1108261540 /nfs/dbraw/zinc/26/15/40/1108261540.db2.gz JIRWBNXDUNEJQK-UHFFFAOYSA-N 0 1 318.848 3.176 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccccc2)CC1 ZINC001319008824 1108275857 /nfs/dbraw/zinc/27/58/57/1108275857.db2.gz LONIOJIQRAUSNH-UHFFFAOYSA-N 0 1 306.837 3.271 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C1CCCC1 ZINC001324466689 1108300680 /nfs/dbraw/zinc/30/06/80/1108300680.db2.gz QJJAAFQSBAGWMA-VVLHAWIVSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C#CC(C)(C)C ZINC001263988392 1108326383 /nfs/dbraw/zinc/32/63/83/1108326383.db2.gz OTPNOIXGGLCXCG-HIFRSBDPSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CC(C)C)C1 ZINC001264035718 1108344689 /nfs/dbraw/zinc/34/46/89/1108344689.db2.gz UOBMGDOWWWGFOS-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)[C@H](C)CC)C1 ZINC001264041026 1108348195 /nfs/dbraw/zinc/34/81/95/1108348195.db2.gz XLSQRRMADAFDFO-ZTFGCOKTSA-N 0 1 318.436 3.332 20 30 DGEDMN N#Cc1cc(Cl)nc([N-][NH+]=Cc2ccc(N)cc2Cl)c1 ZINC001324797278 1108468420 /nfs/dbraw/zinc/46/84/20/1108468420.db2.gz HRVVXFZSVMJXLB-UHFFFAOYSA-N 0 1 306.156 3.288 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1scnc1C(C)C ZINC001319546945 1108519033 /nfs/dbraw/zinc/51/90/33/1108519033.db2.gz NZHONQVEDNVYNS-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN CC(C)CON=Cc1cc2ccccc2nc1N1CCOCC1 ZINC001319495914 1108493268 /nfs/dbraw/zinc/49/32/68/1108493268.db2.gz BXMQMJSUGGHWKR-UHFFFAOYSA-N 0 1 313.401 3.078 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCCc2ccccc21 ZINC001264378814 1108494194 /nfs/dbraw/zinc/49/41/94/1108494194.db2.gz RNCGXPRPDFNNKC-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](CCC)c1ccccc1 ZINC001264378960 1108494344 /nfs/dbraw/zinc/49/43/44/1108494344.db2.gz VYRZRXJPAFKMEF-OALUTQOASA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1cccc(C2CC2)c1 ZINC001319501267 1108496239 /nfs/dbraw/zinc/49/62/39/1108496239.db2.gz GLOCFIPUFMHUFF-CQSZACIVSA-N 0 1 320.864 3.296 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](CCC=C)c1ccccc1 ZINC001264383170 1108496790 /nfs/dbraw/zinc/49/67/90/1108496790.db2.gz UXXUCHLOJOAPNC-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001264392207 1108503702 /nfs/dbraw/zinc/50/37/02/1108503702.db2.gz GZROELDZOLNRGM-FYPZJVOZSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001264392208 1108503857 /nfs/dbraw/zinc/50/38/57/1108503857.db2.gz GZROELDZOLNRGM-IKJUQUSUSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCCCNC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(C)c1 ZINC001324932390 1108521383 /nfs/dbraw/zinc/52/13/83/1108521383.db2.gz GALWDXIYDQHKHF-GOSISDBHSA-N 0 1 300.446 3.432 20 30 DGEDMN C=CCCCNC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001324932390 1108521396 /nfs/dbraw/zinc/52/13/96/1108521396.db2.gz GALWDXIYDQHKHF-GOSISDBHSA-N 0 1 300.446 3.432 20 30 DGEDMN CC1(C(=O)NC[C@@]2(C)CCCN(CC#Cc3ccccc3)C2)CC1 ZINC001324937321 1108522916 /nfs/dbraw/zinc/52/29/16/1108522916.db2.gz UPISDKANSABZHS-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2CCC(C3CC3)CC2)C1 ZINC001324977126 1108546892 /nfs/dbraw/zinc/54/68/92/1108546892.db2.gz PJLJMTIYMFAJTF-QLOJAFMTSA-N 0 1 316.489 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cccc(F)c1 ZINC001319602559 1108549971 /nfs/dbraw/zinc/54/99/71/1108549971.db2.gz KEGACNVBGBDMJJ-OAHLLOKOSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001319617359 1108557009 /nfs/dbraw/zinc/55/70/09/1108557009.db2.gz AVXOKUUDSSGOTP-YYIAUSFCSA-N 0 1 324.896 3.462 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1c[nH]cc1C1CC1 ZINC001319630860 1108564002 /nfs/dbraw/zinc/56/40/02/1108564002.db2.gz KMCJHVIUIDDFHE-CQSZACIVSA-N 0 1 323.868 3.379 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)CCCC)C1 ZINC001325051292 1108570048 /nfs/dbraw/zinc/57/00/48/1108570048.db2.gz OKCFVRKXTQTZIM-KGLIPLIRSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)C2CCC2)C1 ZINC001325052562 1108570763 /nfs/dbraw/zinc/57/07/63/1108570763.db2.gz ZEKPRWOTXFXSEX-CQSZACIVSA-N 0 1 314.420 3.072 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H]1CC ZINC001264609958 1108592809 /nfs/dbraw/zinc/59/28/09/1108592809.db2.gz LKPZAWNXTQRHKF-RBUKOAKNSA-N 0 1 324.468 3.002 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)C ZINC001264744062 1108643478 /nfs/dbraw/zinc/64/34/78/1108643478.db2.gz HHLSOVNBSOYEKE-FGTMMUONSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@@H](C)NCc2nocc2C)C1 ZINC001319816710 1108649615 /nfs/dbraw/zinc/64/96/15/1108649615.db2.gz KHOLQNPVZDBJAI-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN N#Cc1ccc(C2=CCN(C(=O)c3cnc(C4CC4)[nH]3)CC2)cc1 ZINC001319907702 1108689963 /nfs/dbraw/zinc/68/99/63/1108689963.db2.gz JTKUVGSACAUGAD-UHFFFAOYSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1C[C@H]1C1CCCC1 ZINC001265139427 1108746541 /nfs/dbraw/zinc/74/65/41/1108746541.db2.gz NNTVITQLXDRHGO-HOTGVXAUSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCCCC(=O)N(C)C1CCN(Cc2cccc(F)c2)CC1 ZINC001265190631 1108765588 /nfs/dbraw/zinc/76/55/88/1108765588.db2.gz WNOSQVDLROQGOS-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001265286256 1108805834 /nfs/dbraw/zinc/80/58/34/1108805834.db2.gz QVMUVHUUKLWKOY-KRWDZBQOSA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001265297788 1108811054 /nfs/dbraw/zinc/81/10/54/1108811054.db2.gz XVZYJUPTFCYFHW-YFWYWMRJSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001265302017 1108813294 /nfs/dbraw/zinc/81/32/94/1108813294.db2.gz WENDOJKRGCDMIQ-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001265310811 1108822297 /nfs/dbraw/zinc/82/22/97/1108822297.db2.gz VRZYQKWBHBQBBW-MSOLQXFVSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C)c1F ZINC001265407061 1108865319 /nfs/dbraw/zinc/86/53/19/1108865319.db2.gz OBSITGZGCRMSIK-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C(C)=C\CC)C1 ZINC001265520719 1108897785 /nfs/dbraw/zinc/89/77/85/1108897785.db2.gz JCJGVWRVBHCWBB-CMKFXNONSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C(C)=C/CC)C1 ZINC001265520724 1108898136 /nfs/dbraw/zinc/89/81/36/1108898136.db2.gz JCJGVWRVBHCWBB-SKRDGDKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001265551973 1108906375 /nfs/dbraw/zinc/90/63/75/1108906375.db2.gz RXHZDEMUMHTEIT-BETUJISGSA-N 0 1 322.399 3.304 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCCN(C/C=C/Cl)C1 ZINC001265588778 1108921945 /nfs/dbraw/zinc/92/19/45/1108921945.db2.gz IPXAFUCXLBLENM-KXKDPZRNSA-N 0 1 310.869 3.151 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H]2CCCN(CCF)C2)CCCCC1 ZINC001265593594 1108926667 /nfs/dbraw/zinc/92/66/67/1108926667.db2.gz ZNGNILQAFHYZPO-INIZCTEOSA-N 0 1 310.457 3.311 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CNCc2ncoc2C(C)C)C1 ZINC001265603018 1108930647 /nfs/dbraw/zinc/93/06/47/1108930647.db2.gz OIRVXIJRTWKFCV-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](CC)OC1CCCCC1 ZINC001265645430 1108946584 /nfs/dbraw/zinc/94/65/84/1108946584.db2.gz PIHMNZYJHPSVFZ-AEFFLSMTSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1ccsc1 ZINC001265649750 1108948758 /nfs/dbraw/zinc/94/87/58/1108948758.db2.gz WTYXVXJRKRLBBK-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001265655437 1108953573 /nfs/dbraw/zinc/95/35/73/1108953573.db2.gz HYZBUBVRGYYQGS-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)N2CCCC[C@@H]2CNCC(=C)Cl)CCC1 ZINC001265682475 1108963979 /nfs/dbraw/zinc/96/39/79/1108963979.db2.gz SFDGCYULOLVNQF-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C[C@@H](CNC(=O)CC1(C)CCCC1)NCC#Cc1ccccc1 ZINC001265770390 1108999657 /nfs/dbraw/zinc/99/96/57/1108999657.db2.gz BWJBBOLIXHWRHJ-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(F)cc2ccoc21 ZINC001265791876 1109005712 /nfs/dbraw/zinc/00/57/12/1109005712.db2.gz CFIOUQZNYHQKOT-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1oc(C(C)C)nc1C ZINC001265820531 1109015446 /nfs/dbraw/zinc/01/54/46/1109015446.db2.gz FKFWGRWKZHVSAU-SCLBCKFNSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001265852547 1109026533 /nfs/dbraw/zinc/02/65/33/1109026533.db2.gz FQMCBMWJFINTHS-GDBMZVCRSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1c(C)noc1CC ZINC001265902956 1109038138 /nfs/dbraw/zinc/03/81/38/1109038138.db2.gz ZEZMCAMUQDOJII-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@]1(C)CCC[C@H]1C ZINC001266080659 1109083247 /nfs/dbraw/zinc/08/32/47/1109083247.db2.gz PHVMQOUVQQZYIB-CZUORRHYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1sccc1F ZINC001266040755 1109070896 /nfs/dbraw/zinc/07/08/96/1109070896.db2.gz OOEORQLCLWJZRS-UHFFFAOYSA-N 0 1 304.818 3.082 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(F)cc1F ZINC001266106210 1109092461 /nfs/dbraw/zinc/09/24/61/1109092461.db2.gz JUBQZFXIVWCXMT-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001266110191 1109094430 /nfs/dbraw/zinc/09/44/30/1109094430.db2.gz COWXXEXSRUXGKJ-DZGCQCFKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001266236390 1109135702 /nfs/dbraw/zinc/13/57/02/1109135702.db2.gz GYFUQCDAZSVJNN-WBVHZDCISA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(CCC)CCC3)C[C@@H]2C1 ZINC001279388934 1109150367 /nfs/dbraw/zinc/15/03/67/1109150367.db2.gz WGMXQOLZICVFHS-GASCZTMLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCC3CCC3)cccc2C1 ZINC001280424418 1109201497 /nfs/dbraw/zinc/20/14/97/1109201497.db2.gz NUZIXYWTRQSLIZ-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CCC1 ZINC001280495116 1109213220 /nfs/dbraw/zinc/21/32/20/1109213220.db2.gz LFHVYVFNCFELPK-SOUVJXGZSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCCN(CC(=C)Cl)CC1 ZINC001280734879 1109245075 /nfs/dbraw/zinc/24/50/75/1109245075.db2.gz ZGHGHNDWMMGJSK-MRXNPFEDSA-N 0 1 310.869 3.478 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)CC2(C)CC2)CCN1CC#Cc1ccccc1 ZINC001281668897 1109391937 /nfs/dbraw/zinc/39/19/37/1109391937.db2.gz FWCNVFOJSIQJOO-IEBWSBKVSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@H](C)C1 ZINC001281668160 1109392508 /nfs/dbraw/zinc/39/25/08/1109392508.db2.gz BAHUOHATSCKRNH-CJNGLKHVSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)CCC ZINC001281776229 1109407286 /nfs/dbraw/zinc/40/72/86/1109407286.db2.gz KDWRLAIXHNBOOZ-LPHOPBHVSA-N 0 1 312.457 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)Cc1ccco1 ZINC001281778016 1109408052 /nfs/dbraw/zinc/40/80/52/1109408052.db2.gz XEZXXYHLFNLDEV-LJQANCHMSA-N 0 1 324.424 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001282169754 1109462226 /nfs/dbraw/zinc/46/22/26/1109462226.db2.gz XLNULBYQFYNMNO-RYUDHWBXSA-N 0 1 310.388 3.160 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001282182433 1109464081 /nfs/dbraw/zinc/46/40/81/1109464081.db2.gz BUVDBPVCVSSFAO-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C2CC2)cc1 ZINC001282243547 1109475343 /nfs/dbraw/zinc/47/53/43/1109475343.db2.gz XIDABNQUTCJMGG-QWHCGFSZSA-N 0 1 306.837 3.413 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)cc1OC ZINC001282365125 1109494012 /nfs/dbraw/zinc/49/40/12/1109494012.db2.gz VLNCLGSNESEPGV-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC)CC1CCCCC1 ZINC001282466340 1109517250 /nfs/dbraw/zinc/51/72/50/1109517250.db2.gz CGWBMSNKUWOGDW-SJLPKXTDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CCC)c1ccccc1 ZINC001282485096 1109523406 /nfs/dbraw/zinc/52/34/06/1109523406.db2.gz JMCXWVZRGHTLJQ-SJLPKXTDSA-N 0 1 300.446 3.030 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(CC)CCCCC1 ZINC001282678607 1109551035 /nfs/dbraw/zinc/55/10/35/1109551035.db2.gz WXTSZDAUUMFSKO-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001282736371 1109558901 /nfs/dbraw/zinc/55/89/01/1109558901.db2.gz BHGUHEMWEYOCHU-ZIUDEIKKSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001282741134 1109560389 /nfs/dbraw/zinc/56/03/89/1109560389.db2.gz QVVMEJOVQYPQNI-APWZRJJASA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)[C@H](C)CC)C2)C1 ZINC001282775138 1109563621 /nfs/dbraw/zinc/56/36/21/1109563621.db2.gz OANKQTBEFBHEQQ-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC[C@H](C)c2ccccc2)C(C)(C)C1 ZINC001282819439 1109569885 /nfs/dbraw/zinc/56/98/85/1109569885.db2.gz AQPRHTLQBRODGO-WMZOPIPTSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CCC)c2ccccc2)C(C)(C)C1 ZINC001282834579 1109572851 /nfs/dbraw/zinc/57/28/51/1109572851.db2.gz TVEMDBFAYANVHM-ZWKOTPCHSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CC)CCCCC)C2)C1 ZINC001282977845 1109585855 /nfs/dbraw/zinc/58/58/55/1109585855.db2.gz NYDMLYDVIQUEIP-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCNCc2nc(C)oc2C)C1 ZINC001283233277 1109605605 /nfs/dbraw/zinc/60/56/05/1109605605.db2.gz RQHKWKBXXQLXPE-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1N(C/C=C/Cl)CC2 ZINC001284058421 1109725927 /nfs/dbraw/zinc/72/59/27/1109725927.db2.gz QNIDBHXHYFGUBZ-PPSBXMDHSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001284121307 1109740143 /nfs/dbraw/zinc/74/01/43/1109740143.db2.gz KXHPKWVPYKJFLA-CRAIPNDOSA-N 0 1 316.420 3.098 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2ncccc2C)[C@@H]1C ZINC001284129316 1109743309 /nfs/dbraw/zinc/74/33/09/1109743309.db2.gz BSNQXHFCVWYYOD-SJORKVTESA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)Cc1ccccc1)C(C)C ZINC001284559783 1109812520 /nfs/dbraw/zinc/81/25/20/1109812520.db2.gz YRHZPJNNFONDCB-GOSISDBHSA-N 0 1 314.473 3.057 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001284590056 1109820219 /nfs/dbraw/zinc/82/02/19/1109820219.db2.gz MUQYCQVQAMKSJY-ZDUSSCGKSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001284616633 1109825852 /nfs/dbraw/zinc/82/58/52/1109825852.db2.gz CQDKUJCNSWKPHB-ZBFHGGJFSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001284626363 1109828298 /nfs/dbraw/zinc/82/82/98/1109828298.db2.gz ZMNYYLFWDDNOAQ-QAPCUYQASA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001284920924 1109880750 /nfs/dbraw/zinc/88/07/50/1109880750.db2.gz NGHKTPKKFFTJOU-UHFFFAOYSA-N 0 1 304.356 3.043 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3coc4ccccc34)[nH]c2c1 ZINC001287394236 1110111403 /nfs/dbraw/zinc/11/14/03/1110111403.db2.gz CFMQCECPBAYUAI-UHFFFAOYSA-N 0 1 316.320 3.362 20 30 DGEDMN Cc1ccc(C)c(OCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001287395557 1110111441 /nfs/dbraw/zinc/11/14/41/1110111441.db2.gz ZGLQNDZLOMMPTH-UHFFFAOYSA-N 0 1 320.352 3.069 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)NCc1ccc(C)cc1Cl ZINC001317234481 1111095770 /nfs/dbraw/zinc/09/57/70/1111095770.db2.gz HQAFRUQEPZVDDB-AWEZNQCLSA-N 0 1 306.837 3.046 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2cc(-c3ccccc3)ccn2)c1 ZINC001302374426 1111113087 /nfs/dbraw/zinc/11/30/87/1111113087.db2.gz YMJTUPANMIFUPF-UHFFFAOYSA-N 0 1 315.332 3.344 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/c2ccc(C(C)(C)C)cc2)C1 ZINC001303559214 1111147587 /nfs/dbraw/zinc/14/75/87/1111147587.db2.gz WYRLIYXPLIZHDX-YFPIXMDGSA-N 0 1 324.468 3.211 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001306906837 1111249484 /nfs/dbraw/zinc/24/94/84/1111249484.db2.gz HFKXDVFWRHJJSP-TZMCWYRMSA-N 0 1 323.400 3.498 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CCN(c2ccnc(C#N)c2)CC1 ZINC001307202861 1111278501 /nfs/dbraw/zinc/27/85/01/1111278501.db2.gz KIZLSCVJTXGSBU-LJQANCHMSA-N 0 1 324.403 3.366 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC001307914053 1111355338 /nfs/dbraw/zinc/35/53/38/1111355338.db2.gz BKJODBOXBNBKGG-ZIAGYGMSSA-N 0 1 317.389 3.210 20 30 DGEDMN CC1(C)C[C@]1(NCC(=O)Nc1cccc(C#N)c1)c1ccccc1 ZINC001307960962 1111360192 /nfs/dbraw/zinc/36/01/92/1111360192.db2.gz BNLKSOXWYDEIKR-FQEVSTJZSA-N 0 1 319.408 3.412 20 30 DGEDMN CO[C@@H](CN1CCN(c2ccc(C#N)cc2)CC1)c1ccccc1 ZINC001308011922 1111366175 /nfs/dbraw/zinc/36/61/75/1111366175.db2.gz UIYKBEITZYGBDC-FQEVSTJZSA-N 0 1 321.424 3.068 20 30 DGEDMN COc1cc2c(cc1O)CCN(Cc1c(C)cc(C#N)cc1C)C2 ZINC001308082092 1111375160 /nfs/dbraw/zinc/37/51/60/1111375160.db2.gz RBENWOYUOVEJQK-UHFFFAOYSA-N 0 1 322.408 3.448 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Oc3cnccn3)cc2)s1 ZINC001308440093 1111428737 /nfs/dbraw/zinc/42/87/37/1111428737.db2.gz DPGVUWOHBTYRCP-UHFFFAOYSA-N 0 1 322.393 3.492 20 30 DGEDMN C[C@@](O)(CNCc1cccc(F)c1C#N)c1cccc(Cl)c1 ZINC001308665222 1111457624 /nfs/dbraw/zinc/45/76/24/1111457624.db2.gz YXRSKDVGOGBKSA-QGZVFWFLSA-N 0 1 318.779 3.348 20 30 DGEDMN CN(CC#Cc1ccccc1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC001308772510 1111473006 /nfs/dbraw/zinc/47/30/06/1111473006.db2.gz URZFCCQFIXVZND-UHFFFAOYSA-N 0 1 314.429 3.026 20 30 DGEDMN C#C[C@@H](N[C@H](C)Cc1ccno1)c1ccc(Br)cc1 ZINC001308783691 1111474894 /nfs/dbraw/zinc/47/48/94/1111474894.db2.gz CQBOWUJKOHQHSH-IAQYHMDHSA-N 0 1 319.202 3.332 20 30 DGEDMN O=C1C=COC2(CCN(Cc3ccc4scnc4c3)CC2)C1 ZINC001308959931 1111500733 /nfs/dbraw/zinc/50/07/33/1111500733.db2.gz FRPVOMVHTJFMRH-UHFFFAOYSA-N 0 1 314.410 3.134 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001317519190 1111631285 /nfs/dbraw/zinc/63/12/85/1111631285.db2.gz BMOBEGYWXWAICT-IBGZPJMESA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)[C@H](C)CC)C1 ZINC001316728242 1111757672 /nfs/dbraw/zinc/75/76/72/1111757672.db2.gz VKNHZRNXPNJXSV-ZTFGCOKTSA-N 0 1 318.436 3.332 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CCCC2CCCCC2)[C@H]1C ZINC001316797422 1111769441 /nfs/dbraw/zinc/76/94/41/1111769441.db2.gz HKVJGIOUTXAYGR-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1nc(C)cs1 ZINC001316873210 1111787155 /nfs/dbraw/zinc/78/71/55/1111787155.db2.gz OCXCAILUBCFLGP-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN CC[C@H](C(=O)N(C[C@@H](C)C#N)C1CC1)N(C)Cc1ccccc1 ZINC000355351948 529592621 /nfs/dbraw/zinc/59/26/21/529592621.db2.gz UYQSWNDYFKBPCA-MAUKXSAKSA-N 0 1 313.445 3.048 20 30 DGEDMN CCc1cccnc1C(=O)C(C#N)c1nc2ccccc2n1C ZINC000346946134 529620829 /nfs/dbraw/zinc/62/08/29/529620829.db2.gz PPJCPJGYNQBISC-CYBMUJFWSA-N 0 1 304.353 3.021 20 30 DGEDMN CCNC(=O)c1cccc(CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC000181600685 199324911 /nfs/dbraw/zinc/32/49/11/199324911.db2.gz WXSAKXCJBYXXIG-AWEZNQCLSA-N 0 1 307.397 3.159 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC[C@@H]2CC(C)C)CC1 ZINC000129788589 432008811 /nfs/dbraw/zinc/00/88/11/432008811.db2.gz LVHNXYWSLSYPCG-GOSISDBHSA-N 0 1 304.478 3.149 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ccc(Cl)c(C#N)n1 ZINC000444867529 529977143 /nfs/dbraw/zinc/97/71/43/529977143.db2.gz CEOIHWDETAGPLS-UHFFFAOYSA-N 0 1 303.797 3.264 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1c(C#N)nnc2ccccc21 ZINC000444876836 529977185 /nfs/dbraw/zinc/97/71/85/529977185.db2.gz SHNQGZBSKHRHFG-UHFFFAOYSA-N 0 1 320.400 3.158 20 30 DGEDMN C[C@H](c1ccc2ccccc2c1)N(CC(=O)NCC#N)C1CC1 ZINC000066496661 432016912 /nfs/dbraw/zinc/01/69/12/432016912.db2.gz CPUKXSLMQXOKRA-CQSZACIVSA-N 0 1 307.397 3.005 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc2c(c1)CCC(=O)N2 ZINC000177859039 186194522 /nfs/dbraw/zinc/19/45/22/186194522.db2.gz PDADBXHILNEGHA-LBPRGKRZSA-N 0 1 323.371 3.433 20 30 DGEDMN COc1cc2c(c(CNCc3cccc(C#N)c3)c1)O[C@@H](C)C2 ZINC000237477011 186295130 /nfs/dbraw/zinc/29/51/30/186295130.db2.gz ZOTWYSDAVUAFEG-ZDUSSCGKSA-N 0 1 308.381 3.180 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc2c(c1)OCCCO2 ZINC000067011379 432026101 /nfs/dbraw/zinc/02/61/01/432026101.db2.gz FGWCPWCXFHKPKL-UHFFFAOYSA-N 0 1 323.392 3.150 20 30 DGEDMN CN(CC(=O)Nc1sc2c(c1C#N)CCCCC2)C1CCC1 ZINC000173617879 198233198 /nfs/dbraw/zinc/23/31/98/198233198.db2.gz OOCLFAXSPUFQPA-UHFFFAOYSA-N 0 1 317.458 3.311 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@H]2c2ccc(Cl)cc2)o1 ZINC000182110884 199388725 /nfs/dbraw/zinc/38/87/25/199388725.db2.gz ZKBNPGUHVHFONB-HOTGVXAUSA-N 0 1 302.761 3.424 20 30 DGEDMN Fc1ccc([C@@H]2OCC[C@@H]2NCC#Cc2ccccc2)cc1F ZINC000192115322 282991431 /nfs/dbraw/zinc/99/14/31/282991431.db2.gz PNOXHWNPIXXBHW-OALUTQOASA-N 0 1 313.347 3.436 20 30 DGEDMN N#Cc1ccccc1CN(C[C@H]1CCCO1)[C@@H]1CCSC1 ZINC000179283172 432052432 /nfs/dbraw/zinc/05/24/32/432052432.db2.gz ODRUWYIWVCBYJE-IAGOWNOFSA-N 0 1 302.443 3.045 20 30 DGEDMN N#Cc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)c(F)c1 ZINC000186438881 283142528 /nfs/dbraw/zinc/14/25/28/283142528.db2.gz BUMBUPXVISOMNQ-LJQANCHMSA-N 0 1 308.356 3.329 20 30 DGEDMN N#Cc1ccc(CN2CCC(OC[C@@H]3CCCCO3)CC2)s1 ZINC000180170772 199126030 /nfs/dbraw/zinc/12/60/30/199126030.db2.gz HAROHWLUVJTEDK-HNNXBMFYSA-N 0 1 320.458 3.170 20 30 DGEDMN COc1ccc(CN[C@H]2CCOc3ccc(F)cc32)cc1C#N ZINC000237363481 202244935 /nfs/dbraw/zinc/24/49/35/202244935.db2.gz UFFUOFWKVOXZFH-INIZCTEOSA-N 0 1 312.344 3.319 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3ccccc3Cl)C2)nc1 ZINC000428780457 238031347 /nfs/dbraw/zinc/03/13/47/238031347.db2.gz MQRLZQNQHQUITP-HNNXBMFYSA-N 0 1 313.788 3.260 20 30 DGEDMN N#CCN(C(=O)c1cc(Cl)c2ccccc2c1O)C1CC1 ZINC000436546476 238475662 /nfs/dbraw/zinc/47/56/62/238475662.db2.gz OWMBEOJIJGAUHJ-UHFFFAOYSA-N 0 1 300.745 3.327 20 30 DGEDMN C[C@@H]1CN(CCCCC(C)(C)C#N)CCN1CC(F)(F)F ZINC000444663776 239315647 /nfs/dbraw/zinc/31/56/47/239315647.db2.gz LWPNPFVEIGPWLG-CYBMUJFWSA-N 0 1 305.388 3.275 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)CCC1 ZINC000189893803 432101864 /nfs/dbraw/zinc/10/18/64/432101864.db2.gz MYVUPQSRLDGYJJ-AWEZNQCLSA-N 0 1 314.433 3.135 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)Nc2sccc2C#N)ccc1F ZINC000271816877 209240670 /nfs/dbraw/zinc/24/06/70/209240670.db2.gz SOXYRPSSDCEXIN-LLVKDONJSA-N 0 1 317.389 3.357 20 30 DGEDMN N#CCc1cccc(NC2CCN(Cc3cccnc3)CC2)c1 ZINC000449693960 240373769 /nfs/dbraw/zinc/37/37/69/240373769.db2.gz GHQQQWQNPCBHRS-UHFFFAOYSA-N 0 1 306.413 3.224 20 30 DGEDMN COc1c(C)cnc(CN(C)Cc2ccc(CC#N)cc2)c1C ZINC000451374321 241101103 /nfs/dbraw/zinc/10/11/03/241101103.db2.gz KKJVNMOBHDAYCQ-UHFFFAOYSA-N 0 1 309.413 3.405 20 30 DGEDMN Cc1oc(NC(=O)CN2[C@H](C)C[C@H]3CCCC[C@H]32)c(C#N)c1C ZINC000246215269 432109093 /nfs/dbraw/zinc/10/90/93/432109093.db2.gz AWDYQNZGOSEMQS-DJSGYFEHSA-N 0 1 315.417 3.360 20 30 DGEDMN C=CC(C)(C)CNS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000451816113 241242834 /nfs/dbraw/zinc/24/28/34/241242834.db2.gz YDMMUVHMTBBYHC-UHFFFAOYSA-N 0 1 309.765 3.109 20 30 DGEDMN CC[C@H](C(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1)N(C)C ZINC000456105349 242350627 /nfs/dbraw/zinc/35/06/27/242350627.db2.gz GONYTRMWFJYZGI-CQSZACIVSA-N 0 1 303.406 3.014 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(OC(F)(F)F)c2)cc1O ZINC000190038372 432116031 /nfs/dbraw/zinc/11/60/31/432116031.db2.gz LAJMBQYPEJNIPX-UHFFFAOYSA-N 0 1 322.242 3.415 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000007056677 181435417 /nfs/dbraw/zinc/43/54/17/181435417.db2.gz KOJQPQUCBDGGHB-UHFFFAOYSA-N 0 1 304.396 3.081 20 30 DGEDMN C=CCC1(NC(=O)NCc2n[nH]c(C(C)C)n2)CCCCC1 ZINC000458922535 243201238 /nfs/dbraw/zinc/20/12/38/243201238.db2.gz LNHGCCSIHBBPQW-UHFFFAOYSA-N 0 1 305.426 3.006 20 30 DGEDMN CO[C@@H]1C[N@@H+](Cc2ccc(-c3ccccc3C#N)cc2)C[C@@H]1OC ZINC000488040815 245138480 /nfs/dbraw/zinc/13/84/80/245138480.db2.gz FDSYNPSKKUJRPA-BGYRXZFFSA-N 0 1 322.408 3.071 20 30 DGEDMN Cc1cc(CN[C@@H](C)c2ccc3c(c2)NC(=O)CO3)ccc1C#N ZINC000488098768 245146664 /nfs/dbraw/zinc/14/66/64/245146664.db2.gz JKACYAIGWVRUIL-ZDUSSCGKSA-N 0 1 321.380 3.048 20 30 DGEDMN C#CC[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000271745069 209177157 /nfs/dbraw/zinc/17/71/57/209177157.db2.gz WHZXIEHUVRYUGK-LJQANCHMSA-N 0 1 306.409 3.243 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1cccc(OC(F)F)c1 ZINC000271736931 209168862 /nfs/dbraw/zinc/16/88/62/209168862.db2.gz HSQBXEGALGLJSW-ZDUSSCGKSA-N 0 1 324.371 3.139 20 30 DGEDMN N#Cc1ccsc1C(=O)Nc1ccc(Br)cc1O ZINC000128162265 284365410 /nfs/dbraw/zinc/36/54/10/284365410.db2.gz XBFHAIHWCYJFEU-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C[C@@H](NCc1cc(F)cc2c1OCOC2)c1ccc(C#N)cc1 ZINC000266478113 205375602 /nfs/dbraw/zinc/37/56/02/205375602.db2.gz PIJQCCAXBUYRGP-GFCCVEGCSA-N 0 1 312.344 3.415 20 30 DGEDMN COCCOc1ccccc1CN[C@@H](C)c1ccc(C#N)cc1 ZINC000266501348 205390894 /nfs/dbraw/zinc/39/08/94/205390894.db2.gz VRPYBZHCAJLLFJ-HNNXBMFYSA-N 0 1 310.397 3.434 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000496017685 246341469 /nfs/dbraw/zinc/34/14/69/246341469.db2.gz YMPXMZKFGFMUOZ-UHFFFAOYSA-N 0 1 321.446 3.107 20 30 DGEDMN Cc1cccc(NS(=O)(=O)c2cccc(C)c2C#N)c1C ZINC000137185985 284515731 /nfs/dbraw/zinc/51/57/31/284515731.db2.gz ZYFIILDONXYMAU-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN C[C@@H](NCc1ccnc(OC(F)F)c1)c1ccc(C#N)cc1 ZINC000284423281 218020539 /nfs/dbraw/zinc/02/05/39/218020539.db2.gz OJCQMDDDFURNLR-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN N#Cc1ccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)cc1Cl ZINC000268914906 207082529 /nfs/dbraw/zinc/08/25/29/207082529.db2.gz UVLBBWQXJPXUSU-ZDUSSCGKSA-N 0 1 315.764 3.134 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000268933808 207099131 /nfs/dbraw/zinc/09/91/31/207099131.db2.gz BDKNDNKLABZJBY-KRWDZBQOSA-N 0 1 324.428 3.227 20 30 DGEDMN CCCN(CC#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000056484927 184013396 /nfs/dbraw/zinc/01/33/96/184013396.db2.gz LZEFBCMGOQAPNY-UHFFFAOYSA-N 0 1 302.765 3.106 20 30 DGEDMN COc1ccccc1[C@H](CNc1ccc(F)cc1C#N)N(C)C ZINC000301207629 533014382 /nfs/dbraw/zinc/01/43/82/533014382.db2.gz RIFPMLAKGHZIDX-KRWDZBQOSA-N 0 1 313.376 3.421 20 30 DGEDMN COc1cc(OC)cc(C2(F)CCN(CCCC#N)CC2)c1 ZINC000338633945 533067355 /nfs/dbraw/zinc/06/73/55/533067355.db2.gz SOLXFJBSHGLVCQ-UHFFFAOYSA-N 0 1 306.381 3.268 20 30 DGEDMN Cc1cc2c[nH]nc2c(C(=O)N(C)Cc2ccc(C#N)cc2)c1 ZINC000338675827 253332262 /nfs/dbraw/zinc/33/22/62/253332262.db2.gz GABFROOUKXNLPL-UHFFFAOYSA-N 0 1 304.353 3.015 20 30 DGEDMN CC[C@@H](CO)N(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000342635303 254023597 /nfs/dbraw/zinc/02/35/97/254023597.db2.gz JTZJOCLMQOHSEA-SFHVURJKSA-N 0 1 312.388 3.470 20 30 DGEDMN CSc1ccc(C)c(NS(=O)(=O)c2ccccc2C#N)c1 ZINC000342784077 254049198 /nfs/dbraw/zinc/04/91/98/254049198.db2.gz QPTNVNBLAGXRCU-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN CCN(CCNc1snc(C)c1C#N)CCC(F)(F)F ZINC000343208752 254115291 /nfs/dbraw/zinc/11/52/91/254115291.db2.gz TTZSLHPUKMJLJA-UHFFFAOYSA-N 0 1 306.357 3.009 20 30 DGEDMN N#Cc1cc(CN2CCN(CCc3ccccc3)CC2)ccc1F ZINC000344357067 254261072 /nfs/dbraw/zinc/26/10/72/254261072.db2.gz SZHNCWUDBBVAIQ-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C#N)cc2F)C12CCC2 ZINC000120787693 195236956 /nfs/dbraw/zinc/23/69/56/195236956.db2.gz QFRNGDPSDONSSK-DLBZAZTESA-N 0 1 302.393 3.477 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@@H](C)c2n[nH]c(C(C)C)n2)cc1 ZINC000271580920 209031322 /nfs/dbraw/zinc/03/13/22/209031322.db2.gz WWICFPNOAJQPAT-KBPBESRZSA-N 0 1 312.417 3.352 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccccc1OCC)c1ccccc1 ZINC000271646240 209089375 /nfs/dbraw/zinc/08/93/75/209089375.db2.gz AHSAMJMBFRJUSQ-KRWDZBQOSA-N 0 1 322.408 3.378 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289908091 221308836 /nfs/dbraw/zinc/30/88/36/221308836.db2.gz NVKMZKYXNUBFRF-WBMJQRKESA-N 0 1 300.793 3.416 20 30 DGEDMN COc1cccc(-c2noc([C@H](C)NCCCCC#N)n2)c1 ZINC000185116619 533379185 /nfs/dbraw/zinc/37/91/85/533379185.db2.gz ANKJXRACSZQMKE-LBPRGKRZSA-N 0 1 300.362 3.090 20 30 DGEDMN COC(=O)CCN(CCCCC#N)Cc1cccc(F)c1F ZINC000174488795 533412501 /nfs/dbraw/zinc/41/25/01/533412501.db2.gz XIJVTUYVQJIOEN-UHFFFAOYSA-N 0 1 310.344 3.024 20 30 DGEDMN C[C@@H](NCc1ccncc1OCC(F)F)c1cccc(C#N)c1 ZINC000442123663 533464587 /nfs/dbraw/zinc/46/45/87/533464587.db2.gz HAYRAUIMMHDWDN-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN C[C@@H](NCc1cnn(CC(F)(F)F)c1)c1ccc(C#N)cc1 ZINC000319956090 533467305 /nfs/dbraw/zinc/46/73/05/533467305.db2.gz AMNBWCFWCFQYGL-LLVKDONJSA-N 0 1 308.307 3.168 20 30 DGEDMN C[C@H](NCc1cccnc1N1CCCC1)c1cccc(C#N)c1 ZINC000595067250 400097583 /nfs/dbraw/zinc/09/75/83/400097583.db2.gz LHMAXIFFRQXSMK-HNNXBMFYSA-N 0 1 306.413 3.404 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](NCc1cccnc1N1CCCC1)CC2 ZINC000595069138 400097652 /nfs/dbraw/zinc/09/76/52/400097652.db2.gz MTZYXUQWXADKOI-LJQANCHMSA-N 0 1 318.424 3.331 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000303939104 400119023 /nfs/dbraw/zinc/11/90/23/400119023.db2.gz YMEMVAXYSHFNQM-HNNXBMFYSA-N 0 1 320.864 3.403 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@H]1CCCN(c2ccccc2Cl)C1 ZINC000581408221 400079513 /nfs/dbraw/zinc/07/95/13/400079513.db2.gz LNLOSQYXHKCGEL-GJZGRUSLSA-N 0 1 316.836 3.342 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@H](C)c2ccccc2Cl)CC1 ZINC000581615031 400081459 /nfs/dbraw/zinc/08/14/59/400081459.db2.gz BMFBABOTHQQFCA-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN N#C[C@@H](Cc1ccccc1)Sc1nc(-c2ccccn2)n[nH]1 ZINC000609750760 400188860 /nfs/dbraw/zinc/18/88/60/400188860.db2.gz CSERSLLZEYRPJE-CYBMUJFWSA-N 0 1 307.382 3.094 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@@H]2c2ccccc2)c1 ZINC000010311031 400134333 /nfs/dbraw/zinc/13/43/33/400134333.db2.gz OHOKOHNVOVETTF-GOSISDBHSA-N 0 1 305.381 3.334 20 30 DGEDMN COc1ccc(C2(NCc3ccccc3C#N)CCOCC2)cc1 ZINC000609358213 400162156 /nfs/dbraw/zinc/16/21/56/400162156.db2.gz SFAQHFPHUDFQSF-UHFFFAOYSA-N 0 1 322.408 3.362 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000595661009 400221708 /nfs/dbraw/zinc/22/17/08/400221708.db2.gz VRLAQUJUHDNQIS-CQSZACIVSA-N 0 1 308.385 3.396 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(C#N)cc2F)C1 ZINC000093279375 400236280 /nfs/dbraw/zinc/23/62/80/400236280.db2.gz RJVPGSJGTFHWFC-HNNXBMFYSA-N 0 1 318.392 3.109 20 30 DGEDMN C#CCN1CCC(C(=O)N(C2CC2)C2CCC(CC)CC2)CC1 ZINC000068230332 400244469 /nfs/dbraw/zinc/24/44/69/400244469.db2.gz FDABUWXYDNZIQF-UHFFFAOYSA-N 0 1 316.489 3.291 20 30 DGEDMN Cc1ccc(CNC(=O)[C@@H](C)N[C@H](C)c2cccc(C#N)c2)cc1 ZINC000610346254 400245780 /nfs/dbraw/zinc/24/57/80/400245780.db2.gz QURTWVXHHBMICV-HZPDHXFCSA-N 0 1 321.424 3.222 20 30 DGEDMN C=CCN(Cc1cc([N+](=O)[O-])cc2c1OCOC2)C(C)(C)C ZINC000595762843 400252851 /nfs/dbraw/zinc/25/28/51/400252851.db2.gz HSBFKNKDILMDCY-UHFFFAOYSA-N 0 1 306.362 3.248 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H](C3CC3)C2)cc1Cl ZINC000588978406 400252933 /nfs/dbraw/zinc/25/29/33/400252933.db2.gz ZJJFJPMDVCCCJF-AWEZNQCLSA-N 0 1 317.820 3.272 20 30 DGEDMN C=CCN(CC(=O)Nc1sc(C)c(C)c1C#N)C(C)(C)C ZINC000595766488 400254305 /nfs/dbraw/zinc/25/43/05/400254305.db2.gz WPGMBPYUXVWVAB-UHFFFAOYSA-N 0 1 305.447 3.462 20 30 DGEDMN Cc1ccc(C)c(CN2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC000022781693 400204621 /nfs/dbraw/zinc/20/46/21/400204621.db2.gz SEUYVAVAIKMQRJ-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN C=CCN(Cc1nc2cc(C(=O)OC)ccc2[nH]1)C(C)(C)C ZINC000595766642 400254372 /nfs/dbraw/zinc/25/43/72/400254372.db2.gz XXMWMRMGDMPKHX-UHFFFAOYSA-N 0 1 301.390 3.136 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2cccc(C)c2)n1 ZINC000067895142 400213871 /nfs/dbraw/zinc/21/38/71/400213871.db2.gz PEWVMFCRLNEYKD-GFCCVEGCSA-N 0 1 314.366 3.118 20 30 DGEDMN Cc1cccc(NC(=O)CNC(C)(C)c2cccc(C#N)c2)c1 ZINC000595637501 400214105 /nfs/dbraw/zinc/21/41/05/400214105.db2.gz ZKRUWLSEOYJQOH-UHFFFAOYSA-N 0 1 307.397 3.330 20 30 DGEDMN COc1ccc(C#N)cc1CNC(C)(C)c1cccc(C#N)c1 ZINC000595638396 400215089 /nfs/dbraw/zinc/21/50/89/400215089.db2.gz CTUXAUGAKRIVMS-UHFFFAOYSA-N 0 1 305.381 3.463 20 30 DGEDMN CCCN(CCC)C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595641396 400216241 /nfs/dbraw/zinc/21/62/41/400216241.db2.gz KRTIPUPRTOWPSV-UHFFFAOYSA-N 0 1 301.434 3.032 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000610480892 400262648 /nfs/dbraw/zinc/26/26/48/400262648.db2.gz XKNQSWXMCCHNFL-RVKKMQEKSA-N 0 1 313.445 3.388 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1csc(CC(=O)OC(C)C)n1 ZINC000595845358 400281280 /nfs/dbraw/zinc/28/12/80/400281280.db2.gz MGRPAOUSSSSCMM-GASCZTMLSA-N 0 1 320.458 3.320 20 30 DGEDMN N#CC1(CCN[C@H](CO)c2ccc(F)cc2F)CCCCC1 ZINC000595865587 400283353 /nfs/dbraw/zinc/28/33/53/400283353.db2.gz BKFKKYNIHPPEEU-MRXNPFEDSA-N 0 1 308.372 3.452 20 30 DGEDMN Cn1cc(CN[C@@H]2CCc3cc(C#N)ccc32)c(C(F)F)n1 ZINC000589735945 400324186 /nfs/dbraw/zinc/32/41/86/400324186.db2.gz LAXKRXHITIKDOL-CQSZACIVSA-N 0 1 302.328 3.006 20 30 DGEDMN COc1cc2ccnc(N3CCC[C@@H](CC#N)C3)c2cc1OC ZINC000596325267 400380658 /nfs/dbraw/zinc/38/06/58/400380658.db2.gz IXRKHZUZFIJOOH-ZDUSSCGKSA-N 0 1 311.385 3.382 20 30 DGEDMN Cc1[nH]n(-c2cc(F)ccc2Br)c(=O)c1CCC#N ZINC000601943291 400382909 /nfs/dbraw/zinc/38/29/09/400382909.db2.gz BTYZRYAJAWNXGW-SNVBAGLBSA-N 0 1 324.153 3.231 20 30 DGEDMN N#CCc1ccc(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)cc1 ZINC000590400062 400383186 /nfs/dbraw/zinc/38/31/86/400383186.db2.gz RWMFWZVWWVHTSH-UHFFFAOYSA-N 0 1 318.336 3.101 20 30 DGEDMN CCc1[nH]n(-c2cc(C#N)ccc2Br)c(=O)c1C ZINC000601947021 400383674 /nfs/dbraw/zinc/38/36/74/400383674.db2.gz HRVRGRDQPWUGGJ-MRVPVSSYSA-N 0 1 306.163 3.069 20 30 DGEDMN Cc1nccc([C@@H]2CCC[N@@H+](Cc3cccc(C#N)c3F)C2)n1 ZINC000611371225 400385476 /nfs/dbraw/zinc/38/54/76/400385476.db2.gz KUFHPYQWQSBCLJ-OAHLLOKOSA-N 0 1 310.376 3.175 20 30 DGEDMN Cc1nccc([C@@H]2CCCN(Cc3cccc(C#N)c3F)C2)n1 ZINC000611371225 400385483 /nfs/dbraw/zinc/38/54/83/400385483.db2.gz KUFHPYQWQSBCLJ-OAHLLOKOSA-N 0 1 310.376 3.175 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C#N)c2)cc1F ZINC000611387105 400388504 /nfs/dbraw/zinc/38/85/04/400388504.db2.gz ZIBFJXWYGKYWDW-LBPRGKRZSA-N 0 1 312.344 3.335 20 30 DGEDMN C[C@@H](NCC(=O)Nc1c(F)cccc1F)c1cccc(C#N)c1 ZINC000611388364 400389573 /nfs/dbraw/zinc/38/95/73/400389573.db2.gz GNXPDYRZXRTPHJ-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN COC(=O)c1ccc(CN[C@H](C)c2cccc(C#N)c2)s1 ZINC000611388765 400389672 /nfs/dbraw/zinc/38/96/72/400389672.db2.gz MRISVWPTYALBMY-LLVKDONJSA-N 0 1 300.383 3.257 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H]1c1ccccc1OC ZINC000069610942 400335677 /nfs/dbraw/zinc/33/56/77/400335677.db2.gz WXAYIKSPQVZTNO-QGZVFWFLSA-N 0 1 316.445 3.257 20 30 DGEDMN COc1ccccc1[C@H](CO)N[C@@H]1CCCc2cc(C#N)ccc21 ZINC000611394793 400392127 /nfs/dbraw/zinc/39/21/27/400392127.db2.gz FGPNQTFZBHVWTH-MOPGFXCFSA-N 0 1 322.408 3.267 20 30 DGEDMN CCc1ccc(CN(C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000069875936 400347354 /nfs/dbraw/zinc/34/73/54/400347354.db2.gz NHXCRFJZYRGPDB-BEFAXECRSA-N 0 1 315.461 3.124 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2N[C@@H](CO)c1cccc(F)c1 ZINC000611394953 400392688 /nfs/dbraw/zinc/39/26/88/400392688.db2.gz XQNUESFMBJNOJY-OALUTQOASA-N 0 1 310.372 3.398 20 30 DGEDMN CC(C)(C)c1[nH]n(CCc2ccc(C#N)cc2)c(=O)c1CCC#N ZINC000596261474 400364548 /nfs/dbraw/zinc/36/45/48/400364548.db2.gz VFGHIYMDCUTREG-UHFFFAOYSA-N 0 1 322.412 3.457 20 30 DGEDMN N#Cc1ccc([C@@H](C(N)=O)N2CCCC3(CCCCC3)C2)cc1 ZINC000115544418 400399301 /nfs/dbraw/zinc/39/93/01/400399301.db2.gz ORXSBBTUPYJNLV-KRWDZBQOSA-N 0 1 311.429 3.131 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000602095298 400412199 /nfs/dbraw/zinc/41/21/99/400412199.db2.gz GVJLCIWSFRXTBN-JTQLQIEISA-N 0 1 300.387 3.222 20 30 DGEDMN COc1cccc2c1OCC[C@@H]2NCc1ccc(C#N)c(F)c1 ZINC000590780137 400434060 /nfs/dbraw/zinc/43/40/60/400434060.db2.gz NIKCDYDYTXEWKH-INIZCTEOSA-N 0 1 312.344 3.319 20 30 DGEDMN N#Cc1cc([N+](=O)[O-])ccc1NC[C@H]1CCN1Cc1ccccc1 ZINC000596553330 400443247 /nfs/dbraw/zinc/44/32/47/400443247.db2.gz PVPCCESTSHUDFB-QGZVFWFLSA-N 0 1 322.368 3.153 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000602436503 400460693 /nfs/dbraw/zinc/46/06/93/400460693.db2.gz OYLJYSVFKNJOQW-AWEZNQCLSA-N 0 1 319.836 3.038 20 30 DGEDMN Cc1cc(CN2Cc3cnn(C)c3CC(C)(C)C2)ccc1C#N ZINC000596597578 400454800 /nfs/dbraw/zinc/45/48/00/400454800.db2.gz CJSLFGPTKRUQBP-UHFFFAOYSA-N 0 1 308.429 3.185 20 30 DGEDMN CO[C@@H](CNCc1cccc(C#N)n1)c1ccccc1Cl ZINC000602571685 400500149 /nfs/dbraw/zinc/50/01/49/400500149.db2.gz BTNBHFMPDGCEBT-INIZCTEOSA-N 0 1 301.777 3.084 20 30 DGEDMN CC(C)c1csc(CN[C@H](C)CC2(C#N)CCOCC2)n1 ZINC000602573242 400500615 /nfs/dbraw/zinc/50/06/15/400500615.db2.gz AGENAEQIIFSBNH-CYBMUJFWSA-N 0 1 307.463 3.455 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)Nc1ccccc1C#N ZINC000127469286 400502279 /nfs/dbraw/zinc/50/22/79/400502279.db2.gz GZDMKGAKKFGIFX-KBPBESRZSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)Nc1cccc(C#N)c1 ZINC000127482605 400503265 /nfs/dbraw/zinc/50/32/65/400503265.db2.gz PQLDXCZKKHPBQT-KBPBESRZSA-N 0 1 318.380 3.108 20 30 DGEDMN N#Cc1cccc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)n1 ZINC000602583850 400505027 /nfs/dbraw/zinc/50/50/27/400505027.db2.gz ZRJRRJTYKNUHDP-DNVCBOLYSA-N 0 1 307.397 3.211 20 30 DGEDMN N#Cc1ccc(NC(=O)NC[C@@H](c2ccco2)N2CCCC2)cc1 ZINC000045027078 400508977 /nfs/dbraw/zinc/50/89/77/400508977.db2.gz RCOSJYUQMSYWDS-INIZCTEOSA-N 0 1 324.384 3.110 20 30 DGEDMN CCCN(Cc1cccc(C#N)n1)C[C@@H]1COc2ccccc2O1 ZINC000602435739 400461081 /nfs/dbraw/zinc/46/10/81/400461081.db2.gz SYSMJPRURJPXMT-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)n1)c1cccc(OC(F)F)c1 ZINC000602514145 400484356 /nfs/dbraw/zinc/48/43/56/400484356.db2.gz HVAYKLPHWLCEDF-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN Cc1ccnc(NC[C@H](C)N2CCc3sccc3C2)c1C#N ZINC000612070299 400485641 /nfs/dbraw/zinc/48/56/41/400485641.db2.gz UDSPOZAZKPULBS-ZDUSSCGKSA-N 0 1 312.442 3.182 20 30 DGEDMN CO[C@H](CNCc1cccc(C#N)n1)c1cccc(Cl)c1 ZINC000602562619 400496925 /nfs/dbraw/zinc/49/69/25/400496925.db2.gz VDWKLVUNSKQHCJ-MRXNPFEDSA-N 0 1 301.777 3.084 20 30 DGEDMN COc1cc(C#N)ccc1NS(=O)(=O)c1ccc(Cl)cc1 ZINC000127033279 400498606 /nfs/dbraw/zinc/49/86/06/400498606.db2.gz KOHUWOCWBAUAKU-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN COc1cc2c(c(CN3CCC[C@@H](CC#N)C3)c1)O[C@@H](C)C2 ZINC000597172534 400576842 /nfs/dbraw/zinc/57/68/42/400576842.db2.gz CEIILZJWAVIETM-KBPBESRZSA-N 0 1 300.402 3.144 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@@H](CC#N)C2)cc1OC ZINC000597175284 400577634 /nfs/dbraw/zinc/57/76/34/400577634.db2.gz XRSNWTBCNXFMEN-HNNXBMFYSA-N 0 1 300.402 3.386 20 30 DGEDMN Cc1cc(C)c(C#N)c(NCc2nc(-c3ccc(F)cc3)n[nH]2)n1 ZINC000075049835 400555047 /nfs/dbraw/zinc/55/50/47/400555047.db2.gz AVYXFYNTFHLBEK-UHFFFAOYSA-N 0 1 322.347 3.106 20 30 DGEDMN Cc1cc(C)c(C#N)c(NCc2n[nH]c(-c3ccc(F)cc3)n2)n1 ZINC000075049835 400555049 /nfs/dbraw/zinc/55/50/49/400555049.db2.gz AVYXFYNTFHLBEK-UHFFFAOYSA-N 0 1 322.347 3.106 20 30 DGEDMN Cn1cc(CCCN2CCC[C@@H]2[C@@H](C#N)c2ccccc2)cn1 ZINC000076153768 400639193 /nfs/dbraw/zinc/63/91/93/400639193.db2.gz ZVEOCTUVJFFSSD-RBUKOAKNSA-N 0 1 308.429 3.124 20 30 DGEDMN Cc1cc(CN[C@@H](c2ccncc2)C2(CO)CCC2)ccc1C#N ZINC000597255644 400601260 /nfs/dbraw/zinc/60/12/60/400601260.db2.gz XSGWAAXYOCYRBH-IBGZPJMESA-N 0 1 321.424 3.255 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](N[C@H](CCO)c1ccccc1F)CC2 ZINC000592402757 400647369 /nfs/dbraw/zinc/64/73/69/400647369.db2.gz IRXPQQZEHCHXOM-RBUKOAKNSA-N 0 1 310.372 3.398 20 30 DGEDMN COCc1cc(C#N)ccc1N[C@H]1CN(C)Cc2ccccc21 ZINC000603327486 400670255 /nfs/dbraw/zinc/67/02/55/400670255.db2.gz CSVXMTQPKYSYBE-IBGZPJMESA-N 0 1 307.397 3.303 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3cc(C#N)ccc32)cc1[N+](=O)[O-] ZINC000618741488 400679190 /nfs/dbraw/zinc/67/91/90/400679190.db2.gz GPYFTNQCRXHFNA-MRXNPFEDSA-N 0 1 323.352 3.252 20 30 DGEDMN C=CCCCCCN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000618749962 400681909 /nfs/dbraw/zinc/68/19/09/400681909.db2.gz BKMMLJZHFDTQQD-UHFFFAOYSA-N 0 1 314.433 3.292 20 30 DGEDMN CC[C@H](N[C@H]1CCC[C@@H](C#N)C1)c1nnc2n1CCCCC2 ZINC000613254558 400683437 /nfs/dbraw/zinc/68/34/37/400683437.db2.gz OUYNVZVXZARCNC-ILXRZTDVSA-N 0 1 301.438 3.128 20 30 DGEDMN Cc1nc([C@@H]2CCCN(c3nc(C(C)(C)C)ccc3C#N)C2)n[nH]1 ZINC000613429629 400718424 /nfs/dbraw/zinc/71/84/24/400718424.db2.gz PHVFVDDVOKBXDN-CQSZACIVSA-N 0 1 324.432 3.061 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(c3nc(C(C)(C)C)ccc3C#N)C2)[nH]1 ZINC000613429629 400718428 /nfs/dbraw/zinc/71/84/28/400718428.db2.gz PHVFVDDVOKBXDN-CQSZACIVSA-N 0 1 324.432 3.061 20 30 DGEDMN COc1cc(Cl)ccc1S(=O)(=O)Nc1cccc(C#N)c1 ZINC000592992837 400727491 /nfs/dbraw/zinc/72/74/91/400727491.db2.gz HHJYMOCAQONMDD-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1ccc(C#N)cc1 ZINC000160133878 400732276 /nfs/dbraw/zinc/73/22/76/400732276.db2.gz MPMYSQUVOVGCAY-RDTXWAMCSA-N 0 1 311.429 3.142 20 30 DGEDMN Cc1[nH]n(-c2cccc(Br)c2)c(=O)c1CCC#N ZINC000603923995 400733404 /nfs/dbraw/zinc/73/34/04/400733404.db2.gz UEBIOGXBXCKNDM-GFCCVEGCSA-N 0 1 306.163 3.092 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N([C@@H](C)C#N)C3CC3)n[nH]2)cc1C ZINC000598138058 400750865 /nfs/dbraw/zinc/75/08/65/400750865.db2.gz QFESPSXELFBREH-ZDUSSCGKSA-N 0 1 308.385 3.210 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1cccc(OC)c1 ZINC000077183489 400692187 /nfs/dbraw/zinc/69/21/87/400692187.db2.gz RHRNIIXFODNKIS-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN CCN(C)[C@H](C(=O)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000592820081 400706000 /nfs/dbraw/zinc/70/60/00/400706000.db2.gz QCFUBNMVAVLAOU-SFHVURJKSA-N 0 1 313.445 3.222 20 30 DGEDMN C=CCCSCCNC(=O)c1n[nH]c2ccc(Cl)cc21 ZINC000598768400 400875661 /nfs/dbraw/zinc/87/56/61/400875661.db2.gz MXPAMCZDBPHTJZ-UHFFFAOYSA-N 0 1 309.822 3.255 20 30 DGEDMN C=CCN(CC1CCC(CCC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000179819117 400880549 /nfs/dbraw/zinc/88/05/49/400880549.db2.gz LBAMLTGPZAOACN-OFLPRAFFSA-N 0 1 313.507 3.268 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000598621694 400845608 /nfs/dbraw/zinc/84/56/08/400845608.db2.gz XISGWHJTBAINJY-XJKSGUPXSA-N 0 1 312.417 3.001 20 30 DGEDMN C[C@H]1CCN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@@H]1CO ZINC000598647117 400848795 /nfs/dbraw/zinc/84/87/95/400848795.db2.gz NQKLZJCVLDEUAU-SMDDNHRTSA-N 0 1 312.335 3.027 20 30 DGEDMN N#CCc1cccc(S(=O)(=O)Nc2cccc3cc[nH]c32)c1 ZINC000619463918 400852702 /nfs/dbraw/zinc/85/27/02/400852702.db2.gz YKHQZQVIVMCPNB-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)Cc3ccc(C)nc3)n2)cc1 ZINC000080328169 400941830 /nfs/dbraw/zinc/94/18/30/400941830.db2.gz SZWWRTCREYRNDY-UHFFFAOYSA-N 0 1 307.357 3.314 20 30 DGEDMN COc1ncc(Br)cc1CN[C@@H]1CCC[C@@H](C#N)C1 ZINC000599069714 400948917 /nfs/dbraw/zinc/94/89/17/400948917.db2.gz GWAMQUXFYAQFAM-ZWNOBZJWSA-N 0 1 324.222 3.025 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2[nH]nc3ccccc32)CC1 ZINC000619726182 400894399 /nfs/dbraw/zinc/89/43/99/400894399.db2.gz QVNQECYSQHRJGF-UHFFFAOYSA-N 0 1 317.396 3.015 20 30 DGEDMN N#CC(C(=O)c1ccc2[nH]c(=O)oc2c1)c1nc2ccccc2o1 ZINC000619753800 400898622 /nfs/dbraw/zinc/89/86/22/400898622.db2.gz MOZURDIXOIWVHW-JTQLQIEISA-N 0 1 319.276 3.165 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2cccc3nc[nH]c32)cc1Cl ZINC000619780627 400905822 /nfs/dbraw/zinc/90/58/22/400905822.db2.gz XBUJYKZKMSYVBW-UHFFFAOYSA-N 0 1 310.744 3.018 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000598943030 400909609 /nfs/dbraw/zinc/90/96/09/400909609.db2.gz RHTRPEFOCZMSFL-BBRMVZONSA-N 0 1 316.449 3.254 20 30 DGEDMN C[C@H](C#N)CN(C)C(=O)c1cc(Cl)c2ccccc2c1O ZINC000080002834 400914514 /nfs/dbraw/zinc/91/45/14/400914514.db2.gz UVAIZHXYHCOPGL-SNVBAGLBSA-N 0 1 302.761 3.430 20 30 DGEDMN CCC(C#N)(CC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000598981816 400920083 /nfs/dbraw/zinc/92/00/83/400920083.db2.gz RHNMTVGAJYUYGX-AWEZNQCLSA-N 0 1 319.474 3.331 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(Oc2ccccc2)c(C#N)c1)N(C)C ZINC000614661694 400927865 /nfs/dbraw/zinc/92/78/65/400927865.db2.gz BBPUYXOBNFNJKV-ZDUSSCGKSA-N 0 1 309.369 3.239 20 30 DGEDMN CN(C)[C@H](CNC(=O)C1(C#N)CCCCC1)c1cccc(F)c1 ZINC000057419578 401001950 /nfs/dbraw/zinc/00/19/50/401001950.db2.gz DLDQTCKGZITLJB-MRXNPFEDSA-N 0 1 317.408 3.019 20 30 DGEDMN Cc1ccc(CN(C)Cc2ccc3c(c2)OCCO3)cc1C#N ZINC000599260764 401002874 /nfs/dbraw/zinc/00/28/74/401002874.db2.gz FAELMFZTQYZOHD-UHFFFAOYSA-N 0 1 308.381 3.270 20 30 DGEDMN N#CCCCCN1CCN(Cc2c(F)cccc2Cl)CC1 ZINC000057642530 401017135 /nfs/dbraw/zinc/01/71/35/401017135.db2.gz MYRRQHZJONUONA-UHFFFAOYSA-N 0 1 309.816 3.291 20 30 DGEDMN COC(=O)CCCCN1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000599303877 401017170 /nfs/dbraw/zinc/01/71/70/401017170.db2.gz GVHSSQJWHYQIMY-SJORKVTESA-N 0 1 300.402 3.101 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)N1CCC[N@H+](C(C)(C)C)CC1 ZINC000058451030 401052730 /nfs/dbraw/zinc/05/27/30/401052730.db2.gz AFZIFKYEZAFNJU-UHFFFAOYSA-N 0 1 314.433 3.205 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000594417367 401059916 /nfs/dbraw/zinc/05/99/16/401059916.db2.gz CKXYECVACQATGK-VIFPVBQESA-N 0 1 322.290 3.117 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000599526047 401067730 /nfs/dbraw/zinc/06/77/30/401067730.db2.gz CKJTUUDYZPKXCD-GFCCVEGCSA-N 0 1 314.345 3.043 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000599526464 401068643 /nfs/dbraw/zinc/06/86/43/401068643.db2.gz MERHDLQDUMVOFY-LBPRGKRZSA-N 0 1 303.793 3.048 20 30 DGEDMN Cc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1C#N ZINC000599355224 401031959 /nfs/dbraw/zinc/03/19/59/401031959.db2.gz WJROXODSBNIXIY-UHFFFAOYSA-N 0 1 306.365 3.374 20 30 DGEDMN C=CCC[C@H](NCc1cnc(C(=O)OC)cn1)c1ccccc1 ZINC000599355812 401034020 /nfs/dbraw/zinc/03/40/20/401034020.db2.gz HBENERZYZQSMSX-INIZCTEOSA-N 0 1 311.385 3.060 20 30 DGEDMN COC(=O)[C@H]1CN(Cc2ccc(C#N)cc2C)Cc2ccccc21 ZINC000599664234 401106298 /nfs/dbraw/zinc/10/62/98/401106298.db2.gz BAQXMSQBVWEZJG-IBGZPJMESA-N 0 1 320.392 3.139 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCCC2(CC2)CC1 ZINC000599673488 401108621 /nfs/dbraw/zinc/10/86/21/401108621.db2.gz QPDUOUXCEWKIDG-UHFFFAOYSA-N 0 1 317.820 3.416 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CNC1(c2c(F)cccc2F)CCC1 ZINC000599702031 401121242 /nfs/dbraw/zinc/12/12/42/401121242.db2.gz WBDWOVHOPYBBRJ-GFCCVEGCSA-N 0 1 308.372 3.234 20 30 DGEDMN CCc1cccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000266513094 401129766 /nfs/dbraw/zinc/12/97/66/401129766.db2.gz XZVHCBBZXJWDSF-CQSZACIVSA-N 0 1 307.397 3.410 20 30 DGEDMN CCn1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)c(C2CC2)n1 ZINC000633894521 401140876 /nfs/dbraw/zinc/14/08/76/401140876.db2.gz KZIDHOXPYMJYID-GFCCVEGCSA-N 0 1 319.368 3.147 20 30 DGEDMN C=C(C)CN(C)[C@H]1CCc2cc(Br)ccc2NC1=O ZINC000625314928 401095425 /nfs/dbraw/zinc/09/54/25/401095425.db2.gz QTVWZTWZYZPCRD-AWEZNQCLSA-N 0 1 323.234 3.210 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(CC3CC3)c2)n1 ZINC000633925738 401148493 /nfs/dbraw/zinc/14/84/93/401148493.db2.gz SRYDLLHSIWYUST-CYBMUJFWSA-N 0 1 314.414 3.363 20 30 DGEDMN CC(C)C1CCC(N2CCN(C(=O)C3(C#N)CCC3)CC2)CC1 ZINC000084620944 401150666 /nfs/dbraw/zinc/15/06/66/401150666.db2.gz NDCATLVGOSRUIG-UHFFFAOYSA-N 0 1 317.477 3.039 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000625646362 401170136 /nfs/dbraw/zinc/17/01/36/401170136.db2.gz JDLMNOQPBXPTDQ-MJBXVCDLSA-N 0 1 302.409 3.023 20 30 DGEDMN COC[C@@H](NCc1cc(C#N)cs1)c1cccc(OC)c1 ZINC000594969137 401213120 /nfs/dbraw/zinc/21/31/20/401213120.db2.gz MSNUPWYHELMDNA-MRXNPFEDSA-N 0 1 302.399 3.106 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc([S@](C)=O)cc1 ZINC000090117861 401259178 /nfs/dbraw/zinc/25/91/78/401259178.db2.gz GNUUHTKLALRZRP-VERVWZFWSA-N 0 1 316.401 3.286 20 30 DGEDMN C=CCSc1ccccc1C(=O)N(C)Cc1cn[nH]c1C ZINC000636069011 401529397 /nfs/dbraw/zinc/52/93/97/401529397.db2.gz XOBBNCVFCJEGIN-UHFFFAOYSA-N 0 1 301.415 3.268 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(C#N)c(F)c1 ZINC000191971275 165277391 /nfs/dbraw/zinc/27/73/91/165277391.db2.gz MYISEJIEMQLEFL-UHFFFAOYSA-N 0 1 323.371 3.218 20 30 DGEDMN CCc1nnc(SCc2ccc(C#N)cc2Br)[nH]1 ZINC000182268885 432174337 /nfs/dbraw/zinc/17/43/37/432174337.db2.gz VLLQOLBLQIUFKG-UHFFFAOYSA-N 0 1 323.219 3.294 20 30 DGEDMN CCc1n[nH]c(SCc2ccc(C#N)cc2Br)n1 ZINC000182268885 432174341 /nfs/dbraw/zinc/17/43/41/432174341.db2.gz VLLQOLBLQIUFKG-UHFFFAOYSA-N 0 1 323.219 3.294 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000150874839 432198466 /nfs/dbraw/zinc/19/84/66/432198466.db2.gz PKZNQEWIYAERBQ-UHFFFAOYSA-N 0 1 303.406 3.385 20 30 DGEDMN Cc1nc(NC[C@@H](c2ccco2)N(C)C)c(Cl)cc1C#N ZINC000290990569 222054110 /nfs/dbraw/zinc/05/41/10/222054110.db2.gz RSAHUDFMVUZEFB-ZDUSSCGKSA-N 0 1 304.781 3.223 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc(C#N)c2C)c(F)c1 ZINC000179029049 228349368 /nfs/dbraw/zinc/34/93/68/228349368.db2.gz BEYIBKUAXSRNBF-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)NC2(C#N)CCCCC2)c(C)s1 ZINC000182983391 228370334 /nfs/dbraw/zinc/37/03/34/228370334.db2.gz GHQUPXKGMFHVJX-CYBMUJFWSA-N 0 1 319.474 3.358 20 30 DGEDMN C[C@H](c1nccs1)N1CCN(CC(C)(C)CCC#N)CC1 ZINC000185617366 228394530 /nfs/dbraw/zinc/39/45/30/228394530.db2.gz PRFPEWUGYXCJPT-CQSZACIVSA-N 0 1 306.479 3.152 20 30 DGEDMN Cc1cc([C@@H]2CCCN2C(=O)NC2Cc3ccccc3C2)on1 ZINC000329604757 228950872 /nfs/dbraw/zinc/95/08/72/228950872.db2.gz LXMJPLIHDLJEHW-INIZCTEOSA-N 0 1 311.385 3.201 20 30 DGEDMN COCC1(C#N)CCN(c2cc(C)nc3ccc(F)cc32)CC1 ZINC000343144305 229122218 /nfs/dbraw/zinc/12/22/18/229122218.db2.gz YNWAIDAAROUZGI-UHFFFAOYSA-N 0 1 313.376 3.439 20 30 DGEDMN COc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C#N)c2)cc1 ZINC000299445233 229122199 /nfs/dbraw/zinc/12/21/99/229122199.db2.gz XUNILFBGCFKWDK-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN N#CC1(CN2CCCN(Cc3ccc(Cl)cc3)CC2)CC1 ZINC000343236139 229122876 /nfs/dbraw/zinc/12/28/76/229122876.db2.gz GMUPCDCMKYJSPO-UHFFFAOYSA-N 0 1 303.837 3.151 20 30 DGEDMN Cc1ccc([C@H]2CCCN2[C@@H](C)C(=O)NC2(C#N)CCC2)s1 ZINC000346271613 229166371 /nfs/dbraw/zinc/16/63/71/229166371.db2.gz GOXQQFWZZVFBFZ-UONOGXRCSA-N 0 1 317.458 3.144 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2[C@@H](C)C(=O)NC2(C#N)CCC2)s1 ZINC000346271609 229167023 /nfs/dbraw/zinc/16/70/23/229167023.db2.gz GOXQQFWZZVFBFZ-KBPBESRZSA-N 0 1 317.458 3.144 20 30 DGEDMN N#CC(C(=O)c1ccc2oc(=O)[nH]c2c1)c1nc2ccccc2o1 ZINC000347737305 229191533 /nfs/dbraw/zinc/19/15/33/229191533.db2.gz BBQAESZDRZBWCV-SNVBAGLBSA-N 0 1 319.276 3.165 20 30 DGEDMN Cc1ccccc1CN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000348485862 229203149 /nfs/dbraw/zinc/20/31/49/229203149.db2.gz SKODRKPBXWTYNR-FQEVSTJZSA-N 0 1 305.425 3.378 20 30 DGEDMN Cn1ccc(C(=O)C(C#N)c2nc(-c3ccncc3)cs2)c1 ZINC000357777140 229352939 /nfs/dbraw/zinc/35/29/39/229352939.db2.gz CWXPQNHQWVIRNR-CYBMUJFWSA-N 0 1 308.366 3.034 20 30 DGEDMN Cn1ccc(C(=O)[C@@H](C#N)c2nc(-c3ccncc3)cs2)c1 ZINC000357777140 229352942 /nfs/dbraw/zinc/35/29/42/229352942.db2.gz CWXPQNHQWVIRNR-CYBMUJFWSA-N 0 1 308.366 3.034 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc4cc[nH]c4n3)[nH]c21 ZINC000360141555 229390832 /nfs/dbraw/zinc/39/08/32/229390832.db2.gz LNVSLXDJONSQDU-GFCCVEGCSA-N 0 1 315.336 3.238 20 30 DGEDMN C[C@@H](NCCOCC(F)(F)C(F)F)c1ccc(C#N)cc1F ZINC000346513276 264045130 /nfs/dbraw/zinc/04/51/30/264045130.db2.gz ARPQXVJPSMVJCK-SECBINFHSA-N 0 1 322.277 3.265 20 30 DGEDMN C[C@H](NCCOCC(F)(F)C(F)F)c1ccc(C#N)cc1F ZINC000346513287 264045233 /nfs/dbraw/zinc/04/52/33/264045233.db2.gz ARPQXVJPSMVJCK-VIFPVBQESA-N 0 1 322.277 3.265 20 30 DGEDMN CC(C)CN(CC(=O)N1CCCCC1)Cc1ccc(C#N)cc1 ZINC000346782974 264095910 /nfs/dbraw/zinc/09/59/10/264095910.db2.gz UTWLMRQQNPCYFV-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN Cc1noc(C)c1CCN1CC=C(c2ccc(C#N)cc2)CC1 ZINC000347948042 264311971 /nfs/dbraw/zinc/31/19/71/264311971.db2.gz KUULFTHQNMZBKC-UHFFFAOYSA-N 0 1 307.397 3.495 20 30 DGEDMN COC(=O)C[C@@H](NCc1ccc(F)cc1C#N)C1CCCCC1 ZINC000347951068 264312322 /nfs/dbraw/zinc/31/23/22/264312322.db2.gz CIVWWACLUSIDHW-QGZVFWFLSA-N 0 1 318.392 3.299 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](COC(F)F)C2)c(Cl)c1 ZINC000353031484 265272356 /nfs/dbraw/zinc/27/23/56/265272356.db2.gz VDOMGTQMTSDZIP-LLVKDONJSA-N 0 1 300.736 3.273 20 30 DGEDMN CN(C)c1ncc(CN2CC=C(c3ccc(C#N)cc3)CC2)s1 ZINC000355353690 266049183 /nfs/dbraw/zinc/04/91/83/266049183.db2.gz JWRDANNMLFGDFF-UHFFFAOYSA-N 0 1 324.453 3.370 20 30 DGEDMN CCN(CCC1CC1)CC(=O)Nc1cc(Cl)ccc1C#N ZINC000355066436 266124173 /nfs/dbraw/zinc/12/41/73/266124173.db2.gz UEKRQKRIEWBASZ-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C#N)cc1F ZINC000353563937 267055998 /nfs/dbraw/zinc/05/59/98/267055998.db2.gz CUSOZFITIUWBER-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000357770283 267136969 /nfs/dbraw/zinc/13/69/69/267136969.db2.gz FELSUOVCKFQTLG-HONMWMINSA-N 0 1 317.820 3.126 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@H](C)C2(CCC2)C1 ZINC000357038084 267137693 /nfs/dbraw/zinc/13/76/93/267137693.db2.gz MQLYBJCIGKBSAO-LSDHHAIUSA-N 0 1 311.429 3.397 20 30 DGEDMN Cc1cc(CNCc2ccc(C#N)cc2F)cc(N2CCCC2)n1 ZINC000353230749 267326795 /nfs/dbraw/zinc/32/67/95/267326795.db2.gz SPDAQYLUJOGBBU-UHFFFAOYSA-N 0 1 324.403 3.291 20 30 DGEDMN N#Cc1c(CN2CCC([C@@H]3CCOC3)CC2)cn2ccccc12 ZINC000368235237 267948006 /nfs/dbraw/zinc/94/80/06/267948006.db2.gz OCRWLUCTJXWFFN-MRXNPFEDSA-N 0 1 309.413 3.059 20 30 DGEDMN N#Cc1ccc(C2=CCN(C(=O)c3cc(C4CC4)[nH]n3)CC2)cc1 ZINC000363108735 268053625 /nfs/dbraw/zinc/05/36/25/268053625.db2.gz VFYIOSAUQQFAPS-UHFFFAOYSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1csc(CN2CC[C@H](n3ncc4ccccc43)C2)c1 ZINC000358797044 268320041 /nfs/dbraw/zinc/32/00/41/268320041.db2.gz KLCYVKWBZKHAGK-HNNXBMFYSA-N 0 1 308.410 3.416 20 30 DGEDMN CO[C@@H](CN1CCC(C#N)(c2ccccn2)CC1)c1ccccc1 ZINC000367112924 274058400 /nfs/dbraw/zinc/05/84/00/274058400.db2.gz GVUDBGWGBWIWDA-SFHVURJKSA-N 0 1 321.424 3.326 20 30 DGEDMN C=C(C)CCNCc1cccc(Cl)c1S(=O)(=O)CC ZINC000449474380 275415725 /nfs/dbraw/zinc/41/57/25/275415725.db2.gz JMHMQGAMLNUAMM-UHFFFAOYSA-N 0 1 301.839 3.189 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCCC2(CCCCC2)C1 ZINC000111324089 276036569 /nfs/dbraw/zinc/03/65/69/276036569.db2.gz PYKDJCMZVZWSAB-KRWDZBQOSA-N 0 1 305.466 3.087 20 30 DGEDMN CCN(CC(=O)N1CCCC[C@H]1C)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340391 276080400 /nfs/dbraw/zinc/08/04/00/276080400.db2.gz QLFYJJWPHYLIMZ-CVEARBPZSA-N 0 1 313.445 3.342 20 30 DGEDMN CC1=C(C)CN([C@H](C)C(=O)N(CCC#N)c2ccccc2)CC1 ZINC000361610218 276176954 /nfs/dbraw/zinc/17/69/54/276176954.db2.gz LNUWRKCBKYFRIL-QGZVFWFLSA-N 0 1 311.429 3.364 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329543093 277228775 /nfs/dbraw/zinc/22/87/75/277228775.db2.gz FENIFLBPBQSJDX-MJBXVCDLSA-N 0 1 318.465 3.376 20 30 DGEDMN C[C@@H]1Cc2ccccc2CN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330114513 278038879 /nfs/dbraw/zinc/03/88/79/278038879.db2.gz DWXMLYKOHVNYEB-WBMJQRKESA-N 0 1 310.401 3.148 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc(F)cc1F)c1ccc(C#N)cc1 ZINC000338360983 278338785 /nfs/dbraw/zinc/33/87/85/278338785.db2.gz KSBCZXLCEPXGQQ-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3C[C@@H]3C3CCCCC3)C2)c1 ZINC000329622999 279034422 /nfs/dbraw/zinc/03/44/22/279034422.db2.gz SBHJVZCWSRICEA-BRWVUGGUSA-N 0 1 316.449 3.321 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000111258548 431205271 /nfs/dbraw/zinc/20/52/71/431205271.db2.gz ZNWSGCSRGXHXEV-CYBMUJFWSA-N 0 1 317.389 3.128 20 30 DGEDMN N#Cc1ccc(CNCc2cccnc2OCC(F)(F)F)cc1 ZINC000069678327 431214100 /nfs/dbraw/zinc/21/41/00/431214100.db2.gz LXBSYMTVBKCPKW-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN C=CCNC(=O)CN1CCC[C@H]1c1ccc(C(C)(C)C)cc1 ZINC000071953441 431321860 /nfs/dbraw/zinc/32/18/60/431321860.db2.gz OGXDRMQCYYRETB-KRWDZBQOSA-N 0 1 300.446 3.423 20 30 DGEDMN N#Cc1ccccc1CN(CCO)[C@@H]1CCCc2ccccc21 ZINC000074312330 431413518 /nfs/dbraw/zinc/41/35/18/431413518.db2.gz GCWXKYUJTOGJSV-HXUWFJFHSA-N 0 1 306.409 3.430 20 30 DGEDMN CC(C)C1CCN([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000075833581 431501835 /nfs/dbraw/zinc/50/18/35/431501835.db2.gz RCQPSHMNMNRXEK-HNNXBMFYSA-N 0 1 305.466 3.086 20 30 DGEDMN C[C@](O)(CNCc1ccc(F)cc1C(F)(F)F)C(F)(F)F ZINC000270563638 280143038 /nfs/dbraw/zinc/14/30/38/280143038.db2.gz QUBLZSKTXYERBQ-JTQLQIEISA-N 0 1 319.220 3.247 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C(=O)C4(C#N)CCC4)C3)[nH]c2c1 ZINC000077003780 431568167 /nfs/dbraw/zinc/56/81/67/431568167.db2.gz GYMDCRWJKRATSU-AWEZNQCLSA-N 0 1 322.412 3.271 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)C4(C#N)CCC4)C3)[nH]c2c1 ZINC000077003776 431568398 /nfs/dbraw/zinc/56/83/98/431568398.db2.gz GYMDCRWJKRATSU-CQSZACIVSA-N 0 1 322.412 3.271 20 30 DGEDMN CCCCCN1CCN(c2oc(-c3ccco3)nc2C#N)CC1 ZINC000117872471 431580856 /nfs/dbraw/zinc/58/08/56/431580856.db2.gz GEFPDGGXXQQWTC-UHFFFAOYSA-N 0 1 314.389 3.118 20 30 DGEDMN C=CCCCN1CCC[C@H]1C(=O)Nc1cc(C)ccc1OC ZINC000117891243 431582293 /nfs/dbraw/zinc/58/22/93/431582293.db2.gz FXPBLENTRMZBGO-INIZCTEOSA-N 0 1 302.418 3.373 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1ccc(C)c(C)c1)C1CC1 ZINC000077361067 431586975 /nfs/dbraw/zinc/58/69/75/431586975.db2.gz ILGGWJNFOBFGCA-UHFFFAOYSA-N 0 1 313.445 3.030 20 30 DGEDMN CCCNC(=O)C1(NCc2ccc(C#N)cc2F)CCCCC1 ZINC000078655482 431694412 /nfs/dbraw/zinc/69/44/12/431694412.db2.gz MNCXPHMLHSUVNW-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN Cc1ccc(CN(C)[C@@H]2CCN(c3ccc(C#N)cc3)C2=O)cc1 ZINC000028746008 431711960 /nfs/dbraw/zinc/71/19/60/431711960.db2.gz DDNLJSMVOIDZCJ-LJQANCHMSA-N 0 1 319.408 3.104 20 30 DGEDMN C=CCN(CCCS(=O)(=O)C(C)C)CC1CCCCC1 ZINC000120795271 431733387 /nfs/dbraw/zinc/73/33/87/431733387.db2.gz RQPDQGOVLPEXKC-UHFFFAOYSA-N 0 1 301.496 3.268 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000121481020 431793084 /nfs/dbraw/zinc/79/30/84/431793084.db2.gz ZWYFBPGDGBZKBF-KRWDZBQOSA-N 0 1 304.409 3.313 20 30 DGEDMN O=C(/C=C\c1ccc2c(c1)OCCO2)c1cc(F)ccc1O ZINC000033376614 431812521 /nfs/dbraw/zinc/81/25/21/431812521.db2.gz OHWZIIALEMARKC-RJRFIUFISA-N 0 1 300.285 3.199 20 30 DGEDMN N#CC1CCN(CCOc2cccc(Br)c2)CC1 ZINC000032211402 431775311 /nfs/dbraw/zinc/77/53/11/431775311.db2.gz CBPYFNGPRLNOAZ-UHFFFAOYSA-N 0 1 309.207 3.063 20 30 DGEDMN N#CCC[N@H+](CCC(=O)Nc1cccc(Cl)c1)CC1CC1 ZINC000081065616 431784110 /nfs/dbraw/zinc/78/41/10/431784110.db2.gz NXEXMEAVMPMRAK-UHFFFAOYSA-N 0 1 305.809 3.294 20 30 DGEDMN N#CCCN(CCC(=O)Nc1cccc(Cl)c1)CC1CC1 ZINC000081065616 431784119 /nfs/dbraw/zinc/78/41/19/431784119.db2.gz NXEXMEAVMPMRAK-UHFFFAOYSA-N 0 1 305.809 3.294 20 30 DGEDMN C[C@@H](NCC(=O)NC1(C#N)CCCCC1)c1cccc(Cl)c1 ZINC000123179842 431857422 /nfs/dbraw/zinc/85/74/22/431857422.db2.gz ISSUSATWUSVTJX-CYBMUJFWSA-N 0 1 319.836 3.333 20 30 DGEDMN N#CC(C(=O)c1ccc2c(c1)OCO2)c1nc2ccccc2o1 ZINC000041545894 431860197 /nfs/dbraw/zinc/86/01/97/431860197.db2.gz GEPUYEHKXIBMQW-NSHDSACASA-N 0 1 306.277 3.047 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc2c(c1)OCO2)c1nc2ccccc2o1 ZINC000041545894 431860199 /nfs/dbraw/zinc/86/01/99/431860199.db2.gz GEPUYEHKXIBMQW-NSHDSACASA-N 0 1 306.277 3.047 20 30 DGEDMN COCc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000041544738 431860458 /nfs/dbraw/zinc/86/04/58/431860458.db2.gz AODIITCJSYOAHF-CQSZACIVSA-N 0 1 306.321 3.464 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCC[C@@H]2[C@H](O)C(F)(F)F)c1 ZINC000123687339 431871621 /nfs/dbraw/zinc/87/16/21/431871621.db2.gz RFBYSCDLIUEYHY-CABCVRRESA-N 0 1 315.335 3.139 20 30 DGEDMN C=CCOc1cccc(CN2CCC[C@@H]2[C@H](O)C(F)(F)F)c1 ZINC000123687339 431871624 /nfs/dbraw/zinc/87/16/24/431871624.db2.gz RFBYSCDLIUEYHY-CABCVRRESA-N 0 1 315.335 3.139 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCC[C@H]2[C@H](O)C(F)(F)F)c1 ZINC000123687580 431871769 /nfs/dbraw/zinc/87/17/69/431871769.db2.gz RFBYSCDLIUEYHY-GJZGRUSLSA-N 0 1 315.335 3.139 20 30 DGEDMN C=CCOc1cccc(CN2CCC[C@H]2[C@H](O)C(F)(F)F)c1 ZINC000123687580 431871770 /nfs/dbraw/zinc/87/17/70/431871770.db2.gz RFBYSCDLIUEYHY-GJZGRUSLSA-N 0 1 315.335 3.139 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)S[C@H](C)C2)cc(OC)c1O ZINC000092540625 431909608 /nfs/dbraw/zinc/90/96/08/431909608.db2.gz WOUVOUYHOSKQTC-CHWSQXEVSA-N 0 1 307.459 3.455 20 30 DGEDMN C=CCc1cc(CN2CCS[C@@H](C)[C@@H]2C)cc(OC)c1O ZINC000093500325 431916400 /nfs/dbraw/zinc/91/64/00/431916400.db2.gz ZNNPWUCKNLNPFA-STQMWFEESA-N 0 1 307.459 3.455 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN(C)[C@@H]2CCCc3c2cnn3C)cc1 ZINC000093863921 431918087 /nfs/dbraw/zinc/91/80/87/431918087.db2.gz IRQBEJYXHZKAKY-KBXCAEBGSA-N 0 1 324.428 3.220 20 30 DGEDMN N#Cc1c(F)cccc1NC1CCN(Cc2ccncc2)CC1 ZINC000052447799 431955720 /nfs/dbraw/zinc/95/57/20/431955720.db2.gz JNSDEEYQMYLHQZ-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N(C)Cc1ccccc1 ZINC000052857999 431958372 /nfs/dbraw/zinc/95/83/72/431958372.db2.gz SXIBYUJPAZITHP-QGZVFWFLSA-N 0 1 321.424 3.454 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CC[C@@H](C)[C@H]2C)c1C ZINC000248370354 432281754 /nfs/dbraw/zinc/28/17/54/432281754.db2.gz XJQHYVYZIIMQMX-NVXWUHKLSA-N 0 1 313.445 3.280 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(c2ccncc2)CC1 ZINC000191662844 432289491 /nfs/dbraw/zinc/28/94/91/432289491.db2.gz FJJKZCCQWNGJTP-INIZCTEOSA-N 0 1 315.461 3.074 20 30 DGEDMN Cc1csc([C@H](NC[C@H](O)c2ccc(C#N)cc2)C2CC2)n1 ZINC000183511641 432298527 /nfs/dbraw/zinc/29/85/27/432298527.db2.gz JJXJWQJZRTWEBZ-JKSUJKDBSA-N 0 1 313.426 3.098 20 30 DGEDMN C=CCC1(C(=O)Nc2nc(-c3ccccc3OC)n[nH]2)CCC1 ZINC000192058784 432329392 /nfs/dbraw/zinc/32/93/92/432329392.db2.gz FYNBHVFFQTZESC-UHFFFAOYSA-N 0 1 312.373 3.165 20 30 DGEDMN CCCN(Cc1cnc(N(C)C)s1)Cc1ccc(C#N)cc1 ZINC000271114057 432330519 /nfs/dbraw/zinc/33/05/19/432330519.db2.gz VMVVZPCPRVYNAJ-UHFFFAOYSA-N 0 1 314.458 3.493 20 30 DGEDMN Fc1ccc([C@@H]2OCC[C@H]2NCC#Cc2ccccc2)cc1F ZINC000192115316 432334266 /nfs/dbraw/zinc/33/42/66/432334266.db2.gz PNOXHWNPIXXBHW-MOPGFXCFSA-N 0 1 313.347 3.436 20 30 DGEDMN C#CCCCN(C)CC(=O)Nc1ccc(Br)cc1C ZINC000270976122 432311242 /nfs/dbraw/zinc/31/12/42/432311242.db2.gz BOHMLJCBICREHA-UHFFFAOYSA-N 0 1 323.234 3.041 20 30 DGEDMN CC[C@H](NC[C@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000184368351 432384306 /nfs/dbraw/zinc/38/43/06/432384306.db2.gz VAGPSLHNNDRNDJ-GJZGRUSLSA-N 0 1 301.415 3.098 20 30 DGEDMN COc1ccc([C@H](OC)[C@@H](C)NCc2ccc(C#N)o2)cc1 ZINC000271451297 432399835 /nfs/dbraw/zinc/39/98/35/432399835.db2.gz DYKWDCXLTYOBLT-SJKOYZFVSA-N 0 1 300.358 3.026 20 30 DGEDMN C=CCc1ccccc1OC[C@H](O)CN(C)[C@H](C)c1ccco1 ZINC000250210936 432366247 /nfs/dbraw/zinc/36/62/47/432366247.db2.gz OGWPZLKZKAVPSD-NVXWUHKLSA-N 0 1 315.413 3.441 20 30 DGEDMN C[C@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1ccc(C#N)cc1 ZINC000175383231 432430864 /nfs/dbraw/zinc/43/08/64/432430864.db2.gz VNFPVANOEDMPEL-JLCFBVMHSA-N 0 1 312.438 3.489 20 30 DGEDMN N#Cc1cccc(CSc2nc(-c3ccccc3O)n[nH]2)c1 ZINC000192948712 432432362 /nfs/dbraw/zinc/43/23/62/432432362.db2.gz PJSZWVWYSFPJPX-UHFFFAOYSA-N 0 1 308.366 3.341 20 30 DGEDMN COCC[C@H](NCc1ccc(OC)c(C#N)c1)c1ccc(C)o1 ZINC000272392910 432494691 /nfs/dbraw/zinc/49/46/91/432494691.db2.gz ZNFMQOHJKQECQM-INIZCTEOSA-N 0 1 314.385 3.336 20 30 DGEDMN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000185599535 432514848 /nfs/dbraw/zinc/51/48/48/432514848.db2.gz IRHVDEKABFEGML-ABAIWWIYSA-N 0 1 305.809 3.271 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccc(OC)cc2)[nH]n1)C1CCCC1 ZINC000154709290 432516029 /nfs/dbraw/zinc/51/60/29/432516029.db2.gz YCMWEDMAUGMYFA-UHFFFAOYSA-N 0 1 323.396 3.103 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)Cc2ccc(C(C)C)cc2)CC1 ZINC000194036632 432518678 /nfs/dbraw/zinc/51/86/78/432518678.db2.gz FUVJJJHVPHMOCJ-UHFFFAOYSA-N 0 1 312.457 3.114 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1cccc(Cl)c1)C(C)C ZINC000194092736 432524880 /nfs/dbraw/zinc/52/48/80/432524880.db2.gz LVJJJTJGSALOEY-UHFFFAOYSA-N 0 1 307.825 3.317 20 30 DGEDMN N#CCOc1cccc(NC2CCN(Cc3ccccn3)CC2)c1 ZINC000272965442 432539271 /nfs/dbraw/zinc/53/92/71/432539271.db2.gz QWHFYMBPYFBKFR-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN COc1cc(C#N)ccc1CSc1nc(-c2cccnc2)n[nH]1 ZINC000155095594 432539217 /nfs/dbraw/zinc/53/92/17/432539217.db2.gz FGZPIRBDOKNCFT-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@](C)(CN(CCC#N)CC2CC2)C1 ZINC000195167926 432611482 /nfs/dbraw/zinc/61/14/82/432611482.db2.gz SPDBGVHWJGLICW-SFHVURJKSA-N 0 1 321.465 3.259 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@H](c2nccs2)C1 ZINC000195341617 432618013 /nfs/dbraw/zinc/61/80/13/432618013.db2.gz WGZDUTQAYRZISC-CABCVRRESA-N 0 1 321.490 3.136 20 30 DGEDMN Cc1cc(C2CCN(c3ncc(Cl)c(C)c3C#N)CC2)[nH]n1 ZINC000273346466 432586925 /nfs/dbraw/zinc/58/69/25/432586925.db2.gz ULCOUHKAIIHYES-UHFFFAOYSA-N 0 1 315.808 3.331 20 30 DGEDMN Cc1sc(NC(=O)CN2CCC[C@H]2C2CCC2)c(C#N)c1C ZINC000177114021 432588115 /nfs/dbraw/zinc/58/81/15/432588115.db2.gz ZLQGJXJEFCVGMV-HNNXBMFYSA-N 0 1 317.458 3.440 20 30 DGEDMN C#CCN1CCC(C(=O)Oc2ccc3ccc(OC)cc3c2)CC1 ZINC000195000742 432592646 /nfs/dbraw/zinc/59/26/46/432592646.db2.gz OXMBLWHCNLPGID-UHFFFAOYSA-N 0 1 323.392 3.099 20 30 DGEDMN C#CCN(CCc1nc(-c2cccc(Cl)c2)no1)C1CC1 ZINC000274163191 432635610 /nfs/dbraw/zinc/63/56/10/432635610.db2.gz MKHSJKMXJLRDFV-UHFFFAOYSA-N 0 1 301.777 3.030 20 30 DGEDMN N#CCc1ccc(NC(=O)c2c[nH]nc2-c2ccsc2)cc1 ZINC000187258936 432653684 /nfs/dbraw/zinc/65/36/84/432653684.db2.gz JZFPMJCCLYFXHD-UHFFFAOYSA-N 0 1 308.366 3.457 20 30 DGEDMN CC(C)(NCc1ccc(C#N)cc1)C(=O)NCC1CCCCC1 ZINC000178172952 432702764 /nfs/dbraw/zinc/70/27/64/432702764.db2.gz YBFHPHFHKFYFQN-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN Cc1cc(C)c([N+](=O)[O-])cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188218385 432711169 /nfs/dbraw/zinc/71/11/69/432711169.db2.gz MOIVWARFNOBKNG-UHFFFAOYSA-N 0 1 311.297 3.041 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3ccc(C#N)c(O)c3)sc2c1 ZINC000188230767 432712567 /nfs/dbraw/zinc/71/25/67/432712567.db2.gz WKXAOQLQSLZASK-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN CC(C)(C)CN(CCC#N)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000265584957 432712715 /nfs/dbraw/zinc/71/27/15/432712715.db2.gz IYISULLVEAUART-UHFFFAOYSA-N 0 1 310.401 3.479 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1ccc(C#N)cc1 ZINC000188250206 432714432 /nfs/dbraw/zinc/71/44/32/432714432.db2.gz AQKNEFZYTOAQSL-UHFFFAOYSA-N 0 1 304.396 3.081 20 30 DGEDMN CC(C)OCc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000188366464 432719542 /nfs/dbraw/zinc/71/95/42/432719542.db2.gz XBKIISOZNJKONS-UHFFFAOYSA-N 0 1 310.353 3.441 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(-c3ccccc3)nc2)n1 ZINC000159651660 432690540 /nfs/dbraw/zinc/69/05/40/432690540.db2.gz UDKVIBKXNOTZQW-AWEZNQCLSA-N 0 1 320.377 3.399 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccccc1-n1cccn1 ZINC000236688857 432756992 /nfs/dbraw/zinc/75/69/92/432756992.db2.gz PXMAGMUTEMDCBD-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(Br)cc1F ZINC000276075501 432771213 /nfs/dbraw/zinc/77/12/13/432771213.db2.gz AKWNSKYJLNCEFR-UHFFFAOYSA-N 0 1 315.186 3.035 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc(Cl)c2O)ccc1C#N ZINC000235899575 432746299 /nfs/dbraw/zinc/74/62/99/432746299.db2.gz OMTSCHLZXVMIPR-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1Cl ZINC000276530132 432816169 /nfs/dbraw/zinc/81/61/69/432816169.db2.gz ZROAJNTZZQABTC-JTQLQIEISA-N 0 1 311.769 3.083 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@@H](c1ccc(OC)cc1)C(C)C ZINC000276353420 432800123 /nfs/dbraw/zinc/80/01/23/432800123.db2.gz DPGHSSWXAPSING-GOSISDBHSA-N 0 1 304.434 3.016 20 30 DGEDMN Cc1c(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])cnn1C(C)C ZINC000276438562 432807565 /nfs/dbraw/zinc/80/75/65/432807565.db2.gz ZXLGXTBPDJRAOH-QPJJXVBHSA-N 0 1 315.329 3.282 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@H]2C[C@H](O)c2ccco2)c1 ZINC000268304334 432862359 /nfs/dbraw/zinc/86/23/59/432862359.db2.gz VMWIZSTXTIIPLH-RDJZCZTQSA-N 0 1 314.360 3.378 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2ncccc2F)CC1 ZINC000277607247 432864168 /nfs/dbraw/zinc/86/41/68/432864168.db2.gz FNLXYEGDCCTWDJ-UHFFFAOYSA-N 0 1 304.413 3.063 20 30 DGEDMN C#CCOc1ccc(CNCc2ccc3c(n2)CCCC3)cc1 ZINC000277805381 432876378 /nfs/dbraw/zinc/87/63/78/432876378.db2.gz YCSJPMFCCAIHPM-UHFFFAOYSA-N 0 1 306.409 3.262 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000329955271 432884231 /nfs/dbraw/zinc/88/42/31/432884231.db2.gz KQBWUAUXIHUMJI-ZIAGYGMSSA-N 0 1 304.438 3.459 20 30 DGEDMN Cc1nc(CC2CC2)oc1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330020435 432889134 /nfs/dbraw/zinc/88/91/34/432889134.db2.gz WOGJVFUVWWCHER-GFCCVEGCSA-N 0 1 300.362 3.041 20 30 DGEDMN Cc1ccc2c(c1)CCN(C(=O)N[C@H]1CCCc3cn[nH]c31)C2 ZINC000330042403 432889785 /nfs/dbraw/zinc/88/97/85/432889785.db2.gz GRTLYZTWUCIMHT-INIZCTEOSA-N 0 1 310.401 3.068 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1C[C@H](C)C[C@H](C)C1)CC2 ZINC000330058850 432891327 /nfs/dbraw/zinc/89/13/27/432891327.db2.gz ZRIPGOLJTKTYHK-IJEWVQPXSA-N 0 1 318.465 3.213 20 30 DGEDMN Cc1cccc([C@H](C)CNC(=O)N[C@H]2CCCc3cn[nH]c32)c1 ZINC000330102697 432893356 /nfs/dbraw/zinc/89/33/56/432893356.db2.gz FVUDOYOZYNYZBW-CJNGLKHVSA-N 0 1 312.417 3.403 20 30 DGEDMN Cc1ccsc1CN(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330112394 432893731 /nfs/dbraw/zinc/89/37/31/432893731.db2.gz BVVRFMFAZQHQEU-LBPRGKRZSA-N 0 1 304.419 3.203 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CCC[C@H]1C1CCCC1 ZINC000330135479 432894382 /nfs/dbraw/zinc/89/43/82/432894382.db2.gz MWGBXBGBQLPNPT-CABCVRRESA-N 0 1 302.422 3.356 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)Nc2scnc2C2CC2)n[nH]1 ZINC000330158718 432894921 /nfs/dbraw/zinc/89/49/21/432894921.db2.gz QESJYKLAAJVLOY-QMMMGPOBSA-N 0 1 305.407 3.009 20 30 DGEDMN C[C@@H](NC(=O)c1sccc1CN(C)C)c1ccc(C#N)cc1 ZINC000268034273 432839992 /nfs/dbraw/zinc/83/99/92/432839992.db2.gz NDUBHMYKKHZZKR-GFCCVEGCSA-N 0 1 313.426 3.172 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN(C)[C@H]2CCCc3c2cnn3C)cc1 ZINC000245725154 432859486 /nfs/dbraw/zinc/85/94/86/432859486.db2.gz IRQBEJYXHZKAKY-KDOFPFPSSA-N 0 1 324.428 3.220 20 30 DGEDMN C=CCC[C@H](NC(=O)N[C@@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000289339602 432946429 /nfs/dbraw/zinc/94/64/29/432946429.db2.gz ZFXVNEQWCXLYPM-WFASDCNBSA-N 0 1 313.405 3.181 20 30 DGEDMN CC(C)C[C@]1(C)CCCN1CC(=O)Nc1sccc1C#N ZINC000296323577 432896534 /nfs/dbraw/zinc/89/65/34/432896534.db2.gz OYGXJPKGZRYOJE-INIZCTEOSA-N 0 1 305.447 3.459 20 30 DGEDMN CCNC(=O)Nc1cccc(NC(=O)[C@@H]2CCCN2C2CC2)c1 ZINC000330213799 432897542 /nfs/dbraw/zinc/89/75/42/432897542.db2.gz FQRZZJZPFLNGLE-HNNXBMFYSA-N 0 1 316.405 3.247 20 30 DGEDMN O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)[C@H]1CCCN1C1CC1 ZINC000330214612 432898865 /nfs/dbraw/zinc/89/88/65/432898865.db2.gz GHZPKYMZMVNMDC-XJKSGUPXSA-N 0 1 313.401 3.345 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2nc3ccccc3n2C)cc1 ZINC000296369767 432900527 /nfs/dbraw/zinc/90/05/27/432900527.db2.gz JETNKNMBPBBSSZ-OAHLLOKOSA-N 0 1 319.408 3.436 20 30 DGEDMN C[C@@H](C(=O)N[C@@]1(C#N)CCC[C@@H](C)C1)N(C)Cc1ccccc1 ZINC000330481248 432912891 /nfs/dbraw/zinc/91/28/91/432912891.db2.gz PAYJCWNMOKPLHZ-GJYPPUQNSA-N 0 1 313.445 3.096 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](Oc3ncccc3F)C2)cc1 ZINC000278908065 432915085 /nfs/dbraw/zinc/91/50/85/432915085.db2.gz SMZPKVBORZROCV-INIZCTEOSA-N 0 1 311.360 3.136 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](c3ccc(C)cc3)C[C@@H]2C)CC1 ZINC000278913114 432916889 /nfs/dbraw/zinc/91/68/89/432916889.db2.gz KWJADSAMKCJPOB-FXAWDEMLSA-N 0 1 324.468 3.045 20 30 DGEDMN C#C[C@H](NCc1cnc(NC(=O)OC(C)(C)C)s1)C(C)C ZINC000289518952 432967501 /nfs/dbraw/zinc/96/75/01/432967501.db2.gz PGBBLIQCHJCCBI-LBPRGKRZSA-N 0 1 309.435 3.238 20 30 DGEDMN C=C(Br)CN[C@H](c1cccs1)C(C)(C)CO ZINC000289438516 432960825 /nfs/dbraw/zinc/96/08/25/432960825.db2.gz WHTGVQZOZIELRK-LLVKDONJSA-N 0 1 304.253 3.306 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)N[C@H](C)CC ZINC000297961016 433037523 /nfs/dbraw/zinc/03/75/23/433037523.db2.gz UMIFBDUPYRIHDL-CABCVRRESA-N 0 1 301.434 3.073 20 30 DGEDMN N#C[C@@H](NC(=O)c1cccc2n[nH]cc21)c1ccccc1Cl ZINC000354392622 433056921 /nfs/dbraw/zinc/05/69/21/433056921.db2.gz GXCRIBYJXANGIM-OAHLLOKOSA-N 0 1 310.744 3.211 20 30 DGEDMN Cc1nc2cc(NCc3ccc(C#N)cc3)ccc2n1[C@H](C)CO ZINC000419758864 433069084 /nfs/dbraw/zinc/06/90/84/433069084.db2.gz FOZMYNCOLVNRAH-CYBMUJFWSA-N 0 1 320.396 3.382 20 30 DGEDMN C[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@@H](C2CC2)O1 ZINC000280562399 433085713 /nfs/dbraw/zinc/08/57/13/433085713.db2.gz KZKZJMUZJJPKBU-KXBFYZLASA-N 0 1 313.445 3.036 20 30 DGEDMN Cn1c(CN[C@H]2CCC[C@@H](C#N)C2)nc2cccc(Cl)c21 ZINC000446804263 433105125 /nfs/dbraw/zinc/10/51/25/433105125.db2.gz QMFVESJWSCMKCS-NEPJUHHUSA-N 0 1 302.809 3.399 20 30 DGEDMN Cn1cc(CN2CC(CC(F)F)C2)c(-c2ccc(C#N)cc2)n1 ZINC000425366704 433207573 /nfs/dbraw/zinc/20/75/73/433207573.db2.gz QKXLKRQNGQETRW-UHFFFAOYSA-N 0 1 316.355 3.046 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C(=O)NCc1cn[nH]c1C ZINC000298665585 433208847 /nfs/dbraw/zinc/20/88/47/433208847.db2.gz FHMHAEPYJSWBFG-UHFFFAOYSA-N 0 1 324.837 3.331 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](C3N=NC(=O)O3)C2)CCCCC1 ZINC000425312679 433156622 /nfs/dbraw/zinc/15/66/22/433156622.db2.gz ZOAFNAHMGUJBBV-ZDUSSCGKSA-N 0 1 319.405 3.008 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)CCCCC1 ZINC000425312679 433156631 /nfs/dbraw/zinc/15/66/31/433156631.db2.gz ZOAFNAHMGUJBBV-ZDUSSCGKSA-N 0 1 319.405 3.008 20 30 DGEDMN CCCCN1C(=O)N=NC1SCc1ccc(C#N)c(C)c1 ZINC000447303952 433182713 /nfs/dbraw/zinc/18/27/13/433182713.db2.gz HAIYWRHMCDGYFJ-UHFFFAOYSA-N 0 1 302.403 3.256 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000447405642 433196521 /nfs/dbraw/zinc/19/65/21/433196521.db2.gz QGALYZJTMRDVAT-UHFFFAOYSA-N 0 1 312.417 3.219 20 30 DGEDMN CCCN(CCCC1(C#N)CCOCC1)CC(=O)OC(C)(C)C ZINC000337207330 433215194 /nfs/dbraw/zinc/21/51/94/433215194.db2.gz OKARNTBWHSXOPC-UHFFFAOYSA-N 0 1 324.465 3.141 20 30 DGEDMN C=CCN1CC[C@@H](Sc2nc3ccc(Cl)cc3[nH]2)C1=O ZINC000280911566 433220812 /nfs/dbraw/zinc/22/08/12/433220812.db2.gz FSOXGPNUDDWZKZ-GFCCVEGCSA-N 0 1 307.806 3.095 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000280909043 433222785 /nfs/dbraw/zinc/22/27/85/433222785.db2.gz DEVTZRDJYMRCCP-HUUCEWRRSA-N 0 1 305.422 3.070 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](c2ccccc2F)C1 ZINC000337530339 433240907 /nfs/dbraw/zinc/24/09/07/433240907.db2.gz RXSVKOUWESIDCO-HOTGVXAUSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000374682028 433256788 /nfs/dbraw/zinc/25/67/88/433256788.db2.gz YCKRKDQUHFPEAI-OAHLLOKOSA-N 0 1 319.408 3.178 20 30 DGEDMN C=CCN1CC[C@@H](Sc2nc(C)c(-c3ccccc3)[nH]2)C1=O ZINC000281038762 433259308 /nfs/dbraw/zinc/25/93/08/433259308.db2.gz RHGGJJVABPTRKU-CQSZACIVSA-N 0 1 313.426 3.264 20 30 DGEDMN CC1(C)CN(c2ncc(C#N)cc2F)CCN1Cc1ccccc1 ZINC000413125125 433259502 /nfs/dbraw/zinc/25/95/02/433259502.db2.gz QHIRPXDEFLCQCF-UHFFFAOYSA-N 0 1 324.403 3.193 20 30 DGEDMN COc1ccc2c(c1)C[C@H](C)N(Cc1cc(C#N)n(C)c1)[C@@H]2C ZINC000361582588 433259559 /nfs/dbraw/zinc/25/95/59/433259559.db2.gz JWQCIKMWGAKTEY-UONOGXRCSA-N 0 1 309.413 3.413 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)N[C@@](C)(C#N)C2CC2)c(C)c1 ZINC000298851459 433261183 /nfs/dbraw/zinc/26/11/83/433261183.db2.gz MKHOWTFMODFZTC-KXBFYZLASA-N 0 1 313.445 3.105 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](C)c2cccc(C(F)(F)F)c2)C1=O ZINC000281271831 433279277 /nfs/dbraw/zinc/27/92/77/433279277.db2.gz RKGWOBLOEUKGRJ-BXUZGUMPSA-N 0 1 312.335 3.143 20 30 DGEDMN Cc1nc(CN(Cc2cccc(C(F)(F)F)c2)[C@H](C)C#N)n[nH]1 ZINC000425539271 433287349 /nfs/dbraw/zinc/28/73/49/433287349.db2.gz TXUYNFPRNGRQDR-SNVBAGLBSA-N 0 1 323.322 3.046 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2/C=C/c2ccccc2)CC1 ZINC000374837770 433287536 /nfs/dbraw/zinc/28/75/36/433287536.db2.gz RKAOOSHXGBKTIQ-CFGKVWFZSA-N 0 1 322.452 3.036 20 30 DGEDMN CSCCCN(C)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000281341018 433289370 /nfs/dbraw/zinc/28/93/70/433289370.db2.gz JZENYZBDSWKXDY-OAHLLOKOSA-N 0 1 319.474 3.007 20 30 DGEDMN Cc1ccoc1CNCc1cn(CCC#N)nc1-c1ccncc1 ZINC000291650334 433300368 /nfs/dbraw/zinc/30/03/68/433300368.db2.gz CRJHFBSIVQBUAM-UHFFFAOYSA-N 0 1 321.384 3.050 20 30 DGEDMN CCN(CC)[C@@H](C/N=C/c1cccc(O)c1O)c1ccco1 ZINC000299289734 433358722 /nfs/dbraw/zinc/35/87/22/433358722.db2.gz IXYUQUODBQOINT-BGARDKSCSA-N 0 1 302.374 3.193 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccc(Cl)cc2)C(C)C)CC1 ZINC000299638707 433376246 /nfs/dbraw/zinc/37/62/46/433376246.db2.gz IIFIDOGCOXPBJZ-UHFFFAOYSA-N 0 1 318.848 3.427 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C)c1ccccc1SC ZINC000281927357 433430886 /nfs/dbraw/zinc/43/08/86/433430886.db2.gz DBILTHNAILIOLE-UONOGXRCSA-N 0 1 319.474 3.067 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000341959707 433378719 /nfs/dbraw/zinc/37/87/19/433378719.db2.gz DNWPMNBXRRREFE-UHFFFAOYSA-N 0 1 319.408 3.393 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)c(OC(F)F)c1 ZINC000342026809 433421350 /nfs/dbraw/zinc/42/13/50/433421350.db2.gz VPXWGSIMLSGVKW-GJZGRUSLSA-N 0 1 322.355 3.303 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Br)[C@@H]1CCCC[C@H]1O ZINC000426198796 433437988 /nfs/dbraw/zinc/43/79/88/433437988.db2.gz MTLZJDNVBJYOCJ-HUUCEWRRSA-N 0 1 323.234 3.056 20 30 DGEDMN C[C@H]1CN(CCCNc2ccc(Cl)cc2C#N)C[C@H](C)O1 ZINC000301176601 433441172 /nfs/dbraw/zinc/44/11/72/433441172.db2.gz GIPVDQLCCKCKFR-STQMWFEESA-N 0 1 307.825 3.123 20 30 DGEDMN CC[C@H](C(=O)NCc1cccc(C#N)c1)N(C)Cc1ccccc1 ZINC000339243349 433464983 /nfs/dbraw/zinc/46/49/83/433464983.db2.gz COZWSJAQDCKNJD-LJQANCHMSA-N 0 1 321.424 3.085 20 30 DGEDMN C[C@@H](NCCOc1ccc(C#N)cc1)c1nc2c(s1)CCC2 ZINC000355115722 433473498 /nfs/dbraw/zinc/47/34/98/433473498.db2.gz WRIZQHCXNJMRTB-GFCCVEGCSA-N 0 1 313.426 3.233 20 30 DGEDMN CCN(CC)c1ccc(CNCc2ccc(C#N)c(F)c2)cn1 ZINC000421320613 433476118 /nfs/dbraw/zinc/47/61/18/433476118.db2.gz APKNTOWTQFNJIS-UHFFFAOYSA-N 0 1 312.392 3.228 20 30 DGEDMN N#Cc1c(CN2CCOC[C@@H](C3CCC3)C2)cn2ccccc12 ZINC000292274438 433532964 /nfs/dbraw/zinc/53/29/64/433532964.db2.gz ZXLDOKRXMLRUDY-KRWDZBQOSA-N 0 1 309.413 3.059 20 30 DGEDMN CC[C@@H](C(=O)NCc1ccc(C#N)cc1)N(C)Cc1ccccc1 ZINC000339283256 433494423 /nfs/dbraw/zinc/49/44/23/433494423.db2.gz DTSTTXKJKYQFEC-IBGZPJMESA-N 0 1 321.424 3.085 20 30 DGEDMN C=CCN(CCOC)Cc1cc(F)cc(Br)c1 ZINC000362049774 433507247 /nfs/dbraw/zinc/50/72/47/433507247.db2.gz ZJAHQLMQPHPYBO-UHFFFAOYSA-N 0 1 302.187 3.223 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H]1OCCc2sccc21 ZINC000292520133 433578902 /nfs/dbraw/zinc/57/89/02/433578902.db2.gz WFGWRYRYFBHXFN-SKDRFNHKSA-N 0 1 302.237 3.249 20 30 DGEDMN C[C@@H]1CN(C(=O)CC(C)(C)C#N)[C@H](C)CN1Cc1ccccc1 ZINC000355465882 433548125 /nfs/dbraw/zinc/54/81/25/433548125.db2.gz XQDAZBKPUNTVKS-HZPDHXFCSA-N 0 1 313.445 3.048 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NCc2ccc(C#N)c(F)c2)n[nH]1 ZINC000421404145 433548130 /nfs/dbraw/zinc/54/81/30/433548130.db2.gz XRVOIRYTQUVHAI-MNOVXSKESA-N 0 1 301.369 3.180 20 30 DGEDMN Cn1ncc2c1CCCN(Cc1cccc(OCCCC#N)c1)C2 ZINC000414474432 433640525 /nfs/dbraw/zinc/64/05/25/433640525.db2.gz SGMLZKSSAVBGRK-UHFFFAOYSA-N 0 1 324.428 3.051 20 30 DGEDMN N#Cc1csc(CN[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000342308355 433603720 /nfs/dbraw/zinc/60/37/20/433603720.db2.gz XGJHDLOEVAEJCU-OAHLLOKOSA-N 0 1 306.818 3.486 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)cc1 ZINC000342337073 433623152 /nfs/dbraw/zinc/62/31/52/433623152.db2.gz ZTCGGYCVOXPSJF-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=C[C@H](C)NC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000362379061 433669282 /nfs/dbraw/zinc/66/92/82/433669282.db2.gz IGGCEXAWZOBGHN-ZDUSSCGKSA-N 0 1 321.852 3.178 20 30 DGEDMN C=CCSc1ccccc1C(=O)N1CCN(C)CC[C@H]1C ZINC000362397454 433691037 /nfs/dbraw/zinc/69/10/37/433691037.db2.gz QVYLLCUXUURAOG-CQSZACIVSA-N 0 1 304.459 3.131 20 30 DGEDMN N#Cc1ccc2ncc(CN[C@@H]3CCCc4c(O)cccc43)n2c1 ZINC000342709055 433746489 /nfs/dbraw/zinc/74/64/89/433746489.db2.gz IRNIIRGYSDIDHI-QGZVFWFLSA-N 0 1 318.380 3.079 20 30 DGEDMN CC(C)C[C@H](N)c1noc(C(C)(C)c2cc(F)cc(C#N)c2)n1 ZINC000414617276 433747400 /nfs/dbraw/zinc/74/74/00/433747400.db2.gz LAYHSBWHAXVYKT-AWEZNQCLSA-N 0 1 316.380 3.452 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC000356977367 433796001 /nfs/dbraw/zinc/79/60/01/433796001.db2.gz CDUWGVFQWUVORF-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN C[C@@H]1CCC[C@H](CN2C[C@@H](C#N)[C@@]3(C2)c2ccccc2NC3=O)C1 ZINC000356976202 433799125 /nfs/dbraw/zinc/79/91/25/433799125.db2.gz GBQGSGHUVNPAIC-ASKKUZCQSA-N 0 1 323.440 3.158 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@]4(C#N)C[C@H]4C)C3)[nH]c2c1 ZINC000449836309 433825635 /nfs/dbraw/zinc/82/56/35/433825635.db2.gz SLTRKDZYDGVZGI-LPMFXHHGSA-N 0 1 322.412 3.127 20 30 DGEDMN CCCc1nc(C)c(NS(=O)(=O)c2cccc(C#N)c2)s1 ZINC000362721846 433834465 /nfs/dbraw/zinc/83/44/65/433834465.db2.gz IJXVRQNQJVYNLN-UHFFFAOYSA-N 0 1 321.427 3.077 20 30 DGEDMN CC(C)c1nc2c([nH]1)CC[C@H](C(=O)NCC(C)(C)CCC#N)C2 ZINC000377166946 433850525 /nfs/dbraw/zinc/85/05/25/433850525.db2.gz CFCXPLQWESYUGO-ZDUSSCGKSA-N 0 1 316.449 3.084 20 30 DGEDMN N#Cc1cccc(C#N)c1NCCc1nc2ccc(F)cc2[nH]1 ZINC000302898839 433904283 /nfs/dbraw/zinc/90/42/83/433904283.db2.gz SBTAZGDPUOPAIT-UHFFFAOYSA-N 0 1 305.316 3.100 20 30 DGEDMN C=CC[N@H+](Cc1cc(=O)oc2cc([O-])c(Cl)cc12)C1CC1 ZINC000284976414 433910391 /nfs/dbraw/zinc/91/03/91/433910391.db2.gz KIXUZZMLLZSRNN-UHFFFAOYSA-N 0 1 305.761 3.302 20 30 DGEDMN C=CCC1(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CCCC1 ZINC000449996659 433915636 /nfs/dbraw/zinc/91/56/36/433915636.db2.gz BCTWOSCAVCURCP-UHFFFAOYSA-N 0 1 315.392 3.497 20 30 DGEDMN N#Cc1ccc(OC2CCN(Cc3ccccn3)CC2)c(F)c1 ZINC000285375377 433928232 /nfs/dbraw/zinc/92/82/32/433928232.db2.gz CFEUEFPTGAEIRG-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cc(F)ccc2O)cc1C#N ZINC000436594448 434030249 /nfs/dbraw/zinc/03/02/49/434030249.db2.gz IZCDHQAXNBCFIX-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC=C(c2ccco2)C1 ZINC000352571667 434045053 /nfs/dbraw/zinc/04/50/53/434045053.db2.gz XOKRRWUJKPFZCE-UHFFFAOYSA-N 0 1 324.380 3.328 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)C2(c3cccc(C#N)c3)CC2)c1 ZINC000436679625 434064694 /nfs/dbraw/zinc/06/46/94/434064694.db2.gz LEKHQAHGOAHFLU-UHFFFAOYSA-N 0 1 320.348 3.137 20 30 DGEDMN CCc1nn(C)cc1CNCc1ccc(C#N)cc1C(F)(F)F ZINC000352792498 434052141 /nfs/dbraw/zinc/05/21/41/434052141.db2.gz BBWXJAIHTKXCSP-UHFFFAOYSA-N 0 1 322.334 3.163 20 30 DGEDMN COc1cccc2c1OCC[C@@H]2NCc1ccc(C#N)s1 ZINC000377991089 434052966 /nfs/dbraw/zinc/05/29/66/434052966.db2.gz DDRRUODDDKAXEX-AWEZNQCLSA-N 0 1 300.383 3.242 20 30 DGEDMN CC(C)NC(=O)CN[C@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000363708714 434124914 /nfs/dbraw/zinc/12/49/14/434124914.db2.gz IVWXTZWWYGSQJR-OAHLLOKOSA-N 0 1 321.424 3.400 20 30 DGEDMN C[C@@H](NCc1cccnc1-n1cccn1)c1ccc(C#N)c(F)c1 ZINC000346127533 434132564 /nfs/dbraw/zinc/13/25/64/434132564.db2.gz IDAFDCMPNFAPPP-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN C#CCOc1ccc(CNCc2nn(CC)c3ccccc23)cc1 ZINC000294967204 434154357 /nfs/dbraw/zinc/15/43/57/434154357.db2.gz ISLLRRYGHMFNGK-UHFFFAOYSA-N 0 1 319.408 3.358 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CC[C@@H](C)N(Cc2ccccc2)CC1 ZINC000286091342 434161448 /nfs/dbraw/zinc/16/14/48/434161448.db2.gz MTAMXGQEZBOINY-VQIMIIECSA-N 0 1 313.445 3.049 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2ccc(C)cc2)CC1 ZINC000364013582 434207807 /nfs/dbraw/zinc/20/78/07/434207807.db2.gz FPNCMBQXDOHENX-LJQANCHMSA-N 0 1 310.441 3.004 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(N(C)c2ccccc2)nc1 ZINC000437132395 434218301 /nfs/dbraw/zinc/21/83/01/434218301.db2.gz POGNGRLWFDFCKF-UHFFFAOYSA-N 0 1 311.429 3.484 20 30 DGEDMN C=CCSCCNCc1c(C)nn(-c2ccccn2)c1Cl ZINC000286348412 434242773 /nfs/dbraw/zinc/24/27/73/434242773.db2.gz FKMFMJSUCQANIY-UHFFFAOYSA-N 0 1 322.865 3.238 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000416961883 434261164 /nfs/dbraw/zinc/26/11/64/434261164.db2.gz SRTNSRFOEFKNIA-NEPJUHHUSA-N 0 1 323.443 3.125 20 30 DGEDMN C=CCC1(NC(=O)NCCCc2cn[nH]c2C)CCCCC1 ZINC000457615342 434267311 /nfs/dbraw/zinc/26/73/11/434267311.db2.gz OABFOHYDTPSFMA-UHFFFAOYSA-N 0 1 304.438 3.229 20 30 DGEDMN CCCCCCCCOCC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000364457260 434273838 /nfs/dbraw/zinc/27/38/38/434273838.db2.gz KWOFDMAOMOJKKR-HNNXBMFYSA-N 0 1 307.438 3.280 20 30 DGEDMN O=C(Nc1scnc1C1CC1)[C@H]1CCCN1C1CCOCC1 ZINC000328956357 434333435 /nfs/dbraw/zinc/33/34/35/434333435.db2.gz ZHWAMGVZWRZTDW-CYBMUJFWSA-N 0 1 321.446 3.252 20 30 DGEDMN O=C(Nc1scnc1C1CC1)N[C@H]1CCCc2cn[nH]c21 ZINC000328947086 434333723 /nfs/dbraw/zinc/33/37/23/434333723.db2.gz VSQAMQHFEXHVFN-JTQLQIEISA-N 0 1 303.391 3.147 20 30 DGEDMN C#CCOc1ccc(CN[C@H]2CCOc3cc(OC)ccc32)cc1 ZINC000365638393 434304709 /nfs/dbraw/zinc/30/47/09/434304709.db2.gz RGQWVPDBNYYDLG-IBGZPJMESA-N 0 1 323.392 3.321 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)c1csc2c1CCCC2 ZINC000328934455 434333838 /nfs/dbraw/zinc/33/38/38/434333838.db2.gz QAXQPSVUQDGSTP-SNVBAGLBSA-N 0 1 301.415 3.212 20 30 DGEDMN C=C(C)CN1CCC(Nc2ccc([N+](=O)[O-])c(C(C)=O)c2)CC1 ZINC000287200603 434307905 /nfs/dbraw/zinc/30/79/05/434307905.db2.gz IBHVBSGKHCCEDC-UHFFFAOYSA-N 0 1 317.389 3.250 20 30 DGEDMN COc1cc(CN[C@@H](C)c2cccc([N+](=O)[O-])c2)ccc1C#N ZINC000353652813 434308857 /nfs/dbraw/zinc/30/88/57/434308857.db2.gz XLFMULCDHRFLQB-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN CC1=CCN(C([O-])=[NH+][C@H]2CCc3nc(C(C)(C)C)cn3C2)CC1 ZINC000328872723 434319817 /nfs/dbraw/zinc/31/98/17/434319817.db2.gz QQLSFECPLGFCIF-AWEZNQCLSA-N 0 1 316.449 3.061 20 30 DGEDMN O=C(N[C@@H]1CCOC2(CCCC2)C1)c1cc2[nH]cnc2cc1F ZINC000329217098 434373754 /nfs/dbraw/zinc/37/37/54/434373754.db2.gz PPGXAFJCVPRLNA-LLVKDONJSA-N 0 1 317.364 3.498 20 30 DGEDMN O=C(Nc1ncn(C2CCCCC2)n1)c1cnc2ccc[nH]c1-2 ZINC000329218559 434375802 /nfs/dbraw/zinc/37/58/02/434375802.db2.gz RVAODAFTHDTCHW-UHFFFAOYSA-N 0 1 310.361 3.296 20 30 DGEDMN COc1ccc(-c2n[nH]c(S[C@H]3CC[C@@H](C#N)C3)n2)cc1 ZINC000450692825 434343819 /nfs/dbraw/zinc/34/38/19/434343819.db2.gz AQDBPRNYSDQUGO-MFKMUULPSA-N 0 1 300.387 3.265 20 30 DGEDMN [O-]C(=[NH+][C@@H]1C[C@@H]1C1CCCCC1)N1CC[C@H](n2cccn2)C1 ZINC000329621564 434442762 /nfs/dbraw/zinc/44/27/62/434442762.db2.gz NAJCQTAMYBHJCE-ARFHVFGLSA-N 0 1 302.422 3.013 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](NC(=O)N[C@H]1CCc3nc[nH]c3C1)CCC2 ZINC000329619753 434443909 /nfs/dbraw/zinc/44/39/09/434443909.db2.gz QYMGLNYDJFZOBY-HOCLYGCPSA-N 0 1 324.428 3.157 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H](c1nc(C)cs1)C(F)(F)F ZINC000295507500 434392962 /nfs/dbraw/zinc/39/29/62/434392962.db2.gz VWYJPZPILIRMNL-SSDOTTSWSA-N 0 1 314.279 3.383 20 30 DGEDMN Cc1ccsc1CC[NH+]=C([O-])N1CCC(c2cc[nH]n2)CC1 ZINC000329327423 434394336 /nfs/dbraw/zinc/39/43/36/434394336.db2.gz UPEZZSMBXXZHHJ-UHFFFAOYSA-N 0 1 318.446 3.116 20 30 DGEDMN O=C(NCC1(O)CCCCCC1)c1cc2[nH]cnc2cc1F ZINC000329360222 434398809 /nfs/dbraw/zinc/39/88/09/434398809.db2.gz GTZUJAHCEVZTJJ-UHFFFAOYSA-N 0 1 305.353 3.092 20 30 DGEDMN CCO[C@@H]1C[C@H](N(C)Cc2cccc(C#N)c2F)C12CCC2 ZINC000340877484 434405414 /nfs/dbraw/zinc/40/54/14/434405414.db2.gz BRFXNTMREJZYER-JKSUJKDBSA-N 0 1 302.393 3.477 20 30 DGEDMN Cc1cc(C)n([C@@H](C)CC(=O)Nc2nc(C3CCC3)n[nH]2)n1 ZINC000329441771 434412396 /nfs/dbraw/zinc/41/23/96/434412396.db2.gz BMJGPVXADCKZPA-NSHDSACASA-N 0 1 302.382 3.125 20 30 DGEDMN Cc1cc(C)n([C@@H](C)CC(=O)Nc2n[nH]c(C3CCC3)n2)n1 ZINC000329441771 434412405 /nfs/dbraw/zinc/41/24/05/434412405.db2.gz BMJGPVXADCKZPA-NSHDSACASA-N 0 1 302.382 3.125 20 30 DGEDMN N#CC1(CN2CCC[C@H](c3nc(C4CCCCC4)n[nH]3)C2)CC1 ZINC000329482714 434419566 /nfs/dbraw/zinc/41/95/66/434419566.db2.gz RQNYZPVJGLAWCQ-HNNXBMFYSA-N 0 1 313.449 3.336 20 30 DGEDMN N#CC1(CN2CCC[C@H](c3n[nH]c(C4CCCCC4)n3)C2)CC1 ZINC000329482714 434419579 /nfs/dbraw/zinc/41/95/79/434419579.db2.gz RQNYZPVJGLAWCQ-HNNXBMFYSA-N 0 1 313.449 3.336 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)C[C@@H]2CCO[C@H](C(C)C)C2)n[nH]1 ZINC000329484668 434419760 /nfs/dbraw/zinc/41/97/60/434419760.db2.gz WUUICUYXWHKMCZ-BJJXKVORSA-N 0 1 307.438 3.447 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cncc2ccccc21 ZINC000396463759 434423820 /nfs/dbraw/zinc/42/38/20/434423820.db2.gz KLRZDNBIIAMEDC-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000329569292 434432404 /nfs/dbraw/zinc/43/24/04/434432404.db2.gz UGBWIUMGEPUKNU-KFWWJZLASA-N 0 1 318.465 3.259 20 30 DGEDMN CCN(CC)[C@H](C(=O)NCC(C)(C)CC#N)c1ccccc1 ZINC000457995798 434434686 /nfs/dbraw/zinc/43/46/86/434434686.db2.gz REJIIEREVCMOFL-INIZCTEOSA-N 0 1 301.434 3.126 20 30 DGEDMN Cc1cccc([C@@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])c1C ZINC000417558378 434437360 /nfs/dbraw/zinc/43/73/60/434437360.db2.gz FEDMYTDNBXMSNA-OAHLLOKOSA-N 0 1 300.383 3.152 20 30 DGEDMN CC[C@@H]1CCCC[C@@H]1[NH+]=C([O-])N1CC[C@@H](n2cc(C)cn2)C1 ZINC000329600898 434439393 /nfs/dbraw/zinc/43/93/93/434439393.db2.gz KHKXLVBAMPKPGE-OAGGEKHMSA-N 0 1 304.438 3.321 20 30 DGEDMN C[C@]1(NC(=O)N[C@@H]2CCc3nc[nH]c3C2)CCCc2ccccc21 ZINC000329595941 434441842 /nfs/dbraw/zinc/44/18/42/434441842.db2.gz IJTWPNQNBDAJKM-KUHUBIRLSA-N 0 1 324.428 3.022 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+]C[C@@H]3CCCCS3)C2)c1 ZINC000329759263 434476266 /nfs/dbraw/zinc/47/62/66/434476266.db2.gz OQJJWUFGHMRBNT-CABCVRRESA-N 0 1 322.478 3.028 20 30 DGEDMN CC(C)CC1(CNC([O-])=[NH+][C@H]2CCc3c[nH]nc3C2)CCCC1 ZINC000329818003 434488683 /nfs/dbraw/zinc/48/86/83/434488683.db2.gz FOIHDYODXJUJFH-HNNXBMFYSA-N 0 1 318.465 3.377 20 30 DGEDMN CCc1csc(NC(=O)N2CC[C@@H](C)[C@@H](n3ccnc3)C2)n1 ZINC000329865240 434495871 /nfs/dbraw/zinc/49/58/71/434495871.db2.gz ACOQSJVDKMYIJA-YPMHNXCESA-N 0 1 319.434 3.031 20 30 DGEDMN CC(=O)c1cccc(CN(C)CCOCc2ccc(C#N)cc2)c1 ZINC000451042031 434544023 /nfs/dbraw/zinc/54/40/23/434544023.db2.gz PQPDSQDIRSYYOS-UHFFFAOYSA-N 0 1 322.408 3.409 20 30 DGEDMN C[C@@H](NCc1cn(C)nc1-c1ccc(C#N)cc1)c1ccccn1 ZINC000367791513 434556149 /nfs/dbraw/zinc/55/61/49/434556149.db2.gz DBZKKYFQUMLLTK-CQSZACIVSA-N 0 1 317.396 3.205 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC[C@H]2c2ccc(F)cc2C)C1=O ZINC000451988917 434582734 /nfs/dbraw/zinc/58/27/34/434582734.db2.gz KRUMUKGDDHPPCO-DLBZAZTESA-N 0 1 302.393 3.058 20 30 DGEDMN O=C(N[C@@H]1CCn2ccnc2C1)N1CCC[C@@H]1c1cccs1 ZINC000330196979 295385264 /nfs/dbraw/zinc/38/52/64/295385264.db2.gz RDVHBEAUXWXTGA-CHWSQXEVSA-N 0 1 316.430 3.011 20 30 DGEDMN C[C@H](CC1CCOCC1)CN1CC(Oc2ccc(C#N)cc2)C1 ZINC000459607394 434630220 /nfs/dbraw/zinc/63/02/20/434630220.db2.gz GLTSXJPNHBKZRT-OAHLLOKOSA-N 0 1 314.429 3.074 20 30 DGEDMN CCOC(=O)CC(C)(C)[N@@H+](C)Cc1ccc(C#N)cc1Cl ZINC000439445609 434602713 /nfs/dbraw/zinc/60/27/13/434602713.db2.gz CIHKOIATJFPCQA-UHFFFAOYSA-N 0 1 308.809 3.375 20 30 DGEDMN CCOC(=O)CC(C)(C)N(C)Cc1ccc(C#N)cc1Cl ZINC000439445609 434602719 /nfs/dbraw/zinc/60/27/19/434602719.db2.gz CIHKOIATJFPCQA-UHFFFAOYSA-N 0 1 308.809 3.375 20 30 DGEDMN C=CCCCC(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC000370067890 434607768 /nfs/dbraw/zinc/60/77/68/434607768.db2.gz RFDAQLPKJXBJNS-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2ccc(C#N)c(F)c2)C1 ZINC000459556361 434607981 /nfs/dbraw/zinc/60/79/81/434607981.db2.gz GARIFNKMTUGCJJ-SFHVURJKSA-N 0 1 318.392 3.251 20 30 DGEDMN N#Cc1cccc(C2(c3nc(C4(N)CCCCC4)no3)CC2)c1 ZINC000424349202 434708368 /nfs/dbraw/zinc/70/83/68/434708368.db2.gz VDZBWSXZOPPWGT-UHFFFAOYSA-N 0 1 308.385 3.139 20 30 DGEDMN CC1(C)CN(c2cnccc2C#N)CCN1Cc1ccccc1 ZINC000354323233 434722201 /nfs/dbraw/zinc/72/22/01/434722201.db2.gz AWVPJWHKBUDYOQ-UHFFFAOYSA-N 0 1 306.413 3.054 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H](C)C(=O)N1CCCCC1 ZINC000411191395 434741579 /nfs/dbraw/zinc/74/15/79/434741579.db2.gz BTAQBDBLZFETJC-KRWDZBQOSA-N 0 1 300.446 3.118 20 30 DGEDMN C[C@H]1[C@@H](C)N(CC(=O)Nc2cc(Cl)ccc2C#N)C[C@H]1C ZINC000419339027 434775758 /nfs/dbraw/zinc/77/57/58/434775758.db2.gz CQZUXYLTHKLTOZ-IJLUTSLNSA-N 0 1 305.809 3.126 20 30 DGEDMN C=CC[C@H]1N(C(=O)CN(C)[C@H]2CCSC2)CCCC1(C)C ZINC000443854537 434783647 /nfs/dbraw/zinc/78/36/47/434783647.db2.gz CWGUECDMALADGX-LSDHHAIUSA-N 0 1 310.507 3.017 20 30 DGEDMN COCCCCN(C)Cc1cc(C#N)ccc1Br ZINC000419394659 434785557 /nfs/dbraw/zinc/78/55/57/434785557.db2.gz XDPUZRLBEQQCCT-UHFFFAOYSA-N 0 1 311.223 3.179 20 30 DGEDMN N#CC1(CCN(CCO)Cc2cccc(F)c2)CCCCC1 ZINC000411630337 434810780 /nfs/dbraw/zinc/81/07/80/434810780.db2.gz HTBBPTOZAYEZDU-UHFFFAOYSA-N 0 1 304.409 3.484 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CC)C[C@@H]1C)c1ccccc1 ZINC000553316026 434886450 /nfs/dbraw/zinc/88/64/50/434886450.db2.gz FOOFSZIVUZLWMI-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN CN1CCN(c2ccc(C#N)cc2F)C[C@@H]1Cc1ccccc1 ZINC000553341679 434887175 /nfs/dbraw/zinc/88/71/75/434887175.db2.gz BSPLOQYYYJECCN-KRWDZBQOSA-N 0 1 309.388 3.060 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC=C(c3c(F)cccc3F)CC2)CC1 ZINC000528453081 435024982 /nfs/dbraw/zinc/02/49/82/435024982.db2.gz QXNAUFINLDMILT-AWEZNQCLSA-N 0 1 318.367 3.109 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(F)c(Cl)c2)nc(C)n1 ZINC000528913121 435030408 /nfs/dbraw/zinc/03/04/08/435030408.db2.gz BJYGTKKMXVSZKN-GFCCVEGCSA-N 0 1 317.751 3.305 20 30 DGEDMN CCC[C@]1(C)CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000464835558 435007027 /nfs/dbraw/zinc/00/70/27/435007027.db2.gz IULIDKXVEVRRRV-QGZVFWFLSA-N 0 1 305.466 3.231 20 30 DGEDMN COC(=O)c1cccc(C[N@H+]2CCCCC(C)(C)C2)c1C#N ZINC000525838672 435008635 /nfs/dbraw/zinc/00/86/35/435008635.db2.gz XJINPKLOHYAWOG-UHFFFAOYSA-N 0 1 300.402 3.357 20 30 DGEDMN COC(=O)c1cccc(CN2CCCCC(C)(C)C2)c1C#N ZINC000525838672 435008638 /nfs/dbraw/zinc/00/86/38/435008638.db2.gz XJINPKLOHYAWOG-UHFFFAOYSA-N 0 1 300.402 3.357 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)C=Cc2ccc(C#N)cc2)CC1 ZINC000492880146 435066337 /nfs/dbraw/zinc/06/63/37/435066337.db2.gz JFEURRONRUKIQF-VOTSOKGWSA-N 0 1 320.396 3.009 20 30 DGEDMN Cc1cc([C@H]2CCCN(C(=O)C=Cc3ccc(C#N)cc3)C2)n[nH]1 ZINC000492897939 435068953 /nfs/dbraw/zinc/06/89/53/435068953.db2.gz KJQMGGVJVNYFFI-JJEJIETFSA-N 0 1 320.396 3.009 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2ccncc2OCc2ccccc2)C1 ZINC000556912382 435039105 /nfs/dbraw/zinc/03/91/05/435039105.db2.gz RPFSEXMXBPMPTK-AEFFLSMTSA-N 0 1 307.397 3.442 20 30 DGEDMN C[C@@H](NC(=O)CN1CCC(CC#N)CC1)c1ccc(Cl)cc1 ZINC000575946343 435052504 /nfs/dbraw/zinc/05/25/04/435052504.db2.gz ABTCRVLVKVCEDF-CYBMUJFWSA-N 0 1 319.836 3.143 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000531752413 435116415 /nfs/dbraw/zinc/11/64/15/435116415.db2.gz OFULTLVYDYHOGD-CQSZACIVSA-N 0 1 316.430 3.246 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2sccc2C#N)[C@H]2CCCC[C@@H]12 ZINC000532471721 435168332 /nfs/dbraw/zinc/16/83/32/435168332.db2.gz ULNHETVOCPILSH-SNPRPXQTSA-N 0 1 317.458 3.459 20 30 DGEDMN C[C@H]([N@@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C ZINC000580275632 435217151 /nfs/dbraw/zinc/21/71/51/435217151.db2.gz MRSSXNXKTJMCDB-WMLDXEAASA-N 0 1 313.445 3.420 20 30 DGEDMN COC[C@H](Cc1ccccc1)N(C)CC#Cc1ccc(F)cc1 ZINC000475741161 435209981 /nfs/dbraw/zinc/20/99/81/435209981.db2.gz FXEBFUFLRXHYQB-FQEVSTJZSA-N 0 1 311.400 3.367 20 30 DGEDMN C=CCCNCc1csc(N(C(C)=O)c2ccccc2)n1 ZINC000639306341 435237736 /nfs/dbraw/zinc/23/77/36/435237736.db2.gz BOEWVCQKQMLTHL-UHFFFAOYSA-N 0 1 301.415 3.493 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCN(C)c1ccccc1 ZINC000533462100 435238659 /nfs/dbraw/zinc/23/86/59/435238659.db2.gz JSHLSJPLUDSZGC-UHFFFAOYSA-N 0 1 309.413 3.135 20 30 DGEDMN CC(C)c1nc2c([nH]1)CC[C@H](C(=O)Nc1ccccc1CC#N)C2 ZINC000566805014 435290226 /nfs/dbraw/zinc/29/02/26/435290226.db2.gz CIOYZUNCBXHVQB-AWEZNQCLSA-N 0 1 322.412 3.343 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)Cc1cccc(C(=O)OC)n1 ZINC000567451006 435316684 /nfs/dbraw/zinc/31/66/84/435316684.db2.gz DCKFXZCRRLIRKT-UHFFFAOYSA-N 0 1 323.396 3.152 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC[C@@H](SC)C2)c1C#N ZINC000535964016 435364454 /nfs/dbraw/zinc/36/44/54/435364454.db2.gz OHZCEAOEUDXUJC-CQSZACIVSA-N 0 1 318.442 3.062 20 30 DGEDMN CCN(Cc1cnc2c(C#N)cnn2c1)[C@@H](C)c1ccc(F)cc1 ZINC000567472042 435318645 /nfs/dbraw/zinc/31/86/45/435318645.db2.gz LPQRQBORWRQBOV-ZDUSSCGKSA-N 0 1 323.375 3.323 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2c(C#N)nnc3ccccc32)C1 ZINC000535265660 435326641 /nfs/dbraw/zinc/32/66/41/435326641.db2.gz LSOVGSXEVFISBI-SFHVURJKSA-N 0 1 315.380 3.100 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)Cc1cc[nH]c(=O)c1 ZINC000639643629 435376307 /nfs/dbraw/zinc/37/63/07/435376307.db2.gz LACCNXUFKLMVJN-UHFFFAOYSA-N 0 1 300.406 3.143 20 30 DGEDMN Cc1nc(NC(=O)C(C#N)c2nc(C(C)C)cs2)oc1C ZINC000536622404 435394949 /nfs/dbraw/zinc/39/49/49/435394949.db2.gz RFLVVVXUXMAYMQ-JTQLQIEISA-N 0 1 304.375 3.117 20 30 DGEDMN COC(=O)[C@H]1CCCC[C@@]1(C)NCc1ccc(Cl)cc1C#N ZINC000480863250 435398506 /nfs/dbraw/zinc/39/85/06/435398506.db2.gz CHNDRGTWNIGEHO-NVXWUHKLSA-N 0 1 320.820 3.423 20 30 DGEDMN C=C(C)[C@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000568409690 435433563 /nfs/dbraw/zinc/43/35/63/435433563.db2.gz ONCQXAKCLOWUJI-ZBEGNZNMSA-N 0 1 319.355 3.300 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000483420102 435516859 /nfs/dbraw/zinc/51/68/59/435516859.db2.gz JKIUEFDMOLQAJI-UHFFFAOYSA-N 0 1 305.418 3.276 20 30 DGEDMN CC(C)(C)C(=O)N1CCN(CCCC(C)(C)C#N)C(C)(C)C1 ZINC000539422904 435552866 /nfs/dbraw/zinc/55/28/66/435552866.db2.gz GJYQELNZJULOAJ-UHFFFAOYSA-N 0 1 307.482 3.285 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(C#Cc2ccccc2)c1 ZINC000516845631 435553422 /nfs/dbraw/zinc/55/34/22/435553422.db2.gz LZGBYNDJSZDQBC-UHFFFAOYSA-N 0 1 306.409 3.367 20 30 DGEDMN CCCN(C)CC(=O)Nc1cccc(C#Cc2ccccc2)c1 ZINC000517370072 435590000 /nfs/dbraw/zinc/59/00/00/435590000.db2.gz AEHBNMPRIMMXOZ-UHFFFAOYSA-N 0 1 306.409 3.367 20 30 DGEDMN C=CCCC[N@@H+]1Cc2cccc(Br)c2C[C@@H]1C(=O)[O-] ZINC000570168548 435597301 /nfs/dbraw/zinc/59/73/01/435597301.db2.gz KUBTUOBPMWPVIX-CQSZACIVSA-N 0 1 324.218 3.227 20 30 DGEDMN CC(C)CN(CC(=O)N[C@H](C)C(C)C)Cc1ccc(C#N)cc1 ZINC000520173718 435725333 /nfs/dbraw/zinc/72/53/33/435725333.db2.gz XZBCKERIQZQYAH-MRXNPFEDSA-N 0 1 315.461 3.177 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](c3ccccc3)C2(C)C)CC1 ZINC000491414635 435747294 /nfs/dbraw/zinc/74/72/94/435747294.db2.gz DRDOGWNCKXJYFN-LJQANCHMSA-N 0 1 324.468 3.126 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1ccc(OC)c(OCc2cccnc2)c1 ZINC000491566911 435774053 /nfs/dbraw/zinc/77/40/53/435774053.db2.gz ITHYZKRBRGTFPC-OAHLLOKOSA-N 0 1 310.397 3.123 20 30 DGEDMN C=CC[C@@H](CO)NCc1oc2ccccc2c1Br ZINC000655759591 435780436 /nfs/dbraw/zinc/78/04/36/435780436.db2.gz CZIYPTLQUSQHJM-JTQLQIEISA-N 0 1 310.191 3.222 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cn(-c2ccccc2)nc1-c1ccncc1 ZINC000491630044 435782211 /nfs/dbraw/zinc/78/22/11/435782211.db2.gz WUSKILDWFJDKAP-INIZCTEOSA-N 0 1 316.408 3.388 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCc1nc2cccc(C)c2[nH]1 ZINC000660975839 435935181 /nfs/dbraw/zinc/93/51/81/435935181.db2.gz NGNDOWDZANTDSQ-OKILXGFUSA-N 0 1 310.401 3.264 20 30 DGEDMN N#CC(C(=O)c1cn(C2CCC2)nn1)c1ccc2ccccc2n1 ZINC000647181012 435963053 /nfs/dbraw/zinc/96/30/53/435963053.db2.gz FIUJYADHDLZAFT-CQSZACIVSA-N 0 1 317.352 3.041 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccnn2C(C)C)C1 ZINC000661378336 436050237 /nfs/dbraw/zinc/05/02/37/436050237.db2.gz CWGDTHYPSGFBDF-HUUCEWRRSA-N 0 1 304.438 3.079 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000661381303 436050482 /nfs/dbraw/zinc/05/04/82/436050482.db2.gz ZVSMBLZCIHHBQV-HNNXBMFYSA-N 0 1 316.449 3.225 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@@H](C)c1ccc(OC)cc1 ZINC000657251487 436105566 /nfs/dbraw/zinc/10/55/66/436105566.db2.gz DBVZXIVFIQVUSN-LLVKDONJSA-N 0 1 305.403 3.074 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000661414020 436058946 /nfs/dbraw/zinc/05/89/46/436058946.db2.gz JDCOIMIXAOBQJD-YUELXQCFSA-N 0 1 302.422 3.221 20 30 DGEDMN C=CCCn1cc(CNCCCSc2ccc(F)cc2)nn1 ZINC000657290552 436115927 /nfs/dbraw/zinc/11/59/27/436115927.db2.gz KJNNKPDDBOVMFC-UHFFFAOYSA-N 0 1 320.437 3.265 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](C)Oc2ccccc2Cl)nn1 ZINC000657376665 436165175 /nfs/dbraw/zinc/16/51/75/436165175.db2.gz HPIIJSDAEAKDEA-CYBMUJFWSA-N 0 1 320.824 3.065 20 30 DGEDMN C=CCN(CCCS(=O)(=O)c1ccc(C)cc1)C(C)(C)C ZINC000661760255 436178058 /nfs/dbraw/zinc/17/80/58/436178058.db2.gz OPPYZOSFPKAZGR-UHFFFAOYSA-N 0 1 309.475 3.445 20 30 DGEDMN C=CCCn1cc(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)nn1 ZINC000653678375 436242497 /nfs/dbraw/zinc/24/24/97/436242497.db2.gz FYCBTPCWEBNUEJ-XBXGTLAGSA-N 0 1 316.371 3.407 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@@H](Cc3ccccc3)C2)nn1 ZINC000653553626 436202440 /nfs/dbraw/zinc/20/24/40/436202440.db2.gz YQJSURRIOLCNAJ-SFHVURJKSA-N 0 1 310.445 3.309 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)nn1 ZINC000653563300 436207757 /nfs/dbraw/zinc/20/77/57/436207757.db2.gz FQBJPFIJUZRSGK-SJLPKXTDSA-N 0 1 310.445 3.307 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)NCCc1ccc(Cl)cc1 ZINC000662077928 436266920 /nfs/dbraw/zinc/26/69/20/436266920.db2.gz GENWRYPZCHNGJZ-CALCHBBNSA-N 0 1 318.848 3.182 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)c1cc(C)n(CCOC)c1C ZINC000662081388 436267646 /nfs/dbraw/zinc/26/76/46/436267646.db2.gz WEKFMJBCFJPJGE-CALCHBBNSA-N 0 1 316.445 3.117 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H](OC)[C@@H]1CCOC1 ZINC000659818125 436533837 /nfs/dbraw/zinc/53/38/37/436533837.db2.gz SPAVQMLJSRZZPB-OCCSQVGLSA-N 0 1 315.866 3.441 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2C([O-])=[NH+][C@H]2CCn3ccnc3C2)c1 ZINC000330202148 302023845 /nfs/dbraw/zinc/02/38/45/302023845.db2.gz YXSIFLXQCQLDNJ-IRXDYDNUSA-N 0 1 324.428 3.257 20 30 DGEDMN Cn1ncc2c1CCCN(Cc1ccccc1C(C)(C)C#N)C2 ZINC000411844489 303054489 /nfs/dbraw/zinc/05/44/89/303054489.db2.gz MOBLYQSMGNHXKD-UHFFFAOYSA-N 0 1 308.429 3.170 20 30 DGEDMN COc1cc(C)c([C@H](C)NCc2ccc(C#N)cn2)cc1OC ZINC000554359858 314675777 /nfs/dbraw/zinc/67/57/77/314675777.db2.gz WIVJFGMGKMWNMD-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(C#N)cn2)C1(C)C ZINC000565158585 315235592 /nfs/dbraw/zinc/23/55/92/315235592.db2.gz TWBBRTPJBZSYAM-SJORKVTESA-N 0 1 301.434 3.369 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCN(C)C[C@H]1c1ccccc1 ZINC000183083416 323324358 /nfs/dbraw/zinc/32/43/58/323324358.db2.gz HEYFWZJNGJEHGG-IBGZPJMESA-N 0 1 321.424 3.056 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1C[C@H]1c1cccc(Br)c1 ZINC000584269359 332177778 /nfs/dbraw/zinc/17/77/78/332177778.db2.gz PRIBMQRWWWWXLR-BYCMXARLSA-N 0 1 318.218 3.338 20 30 DGEDMN C=C(C)CCc1noc([C@@H]2CCCN(Cc3cccnc3)C2)n1 ZINC000560456594 332340759 /nfs/dbraw/zinc/34/07/59/332340759.db2.gz NIHLPMKKBHMTQB-MRXNPFEDSA-N 0 1 312.417 3.353 20 30 DGEDMN CN(CC1(C#N)CCC1)[C@@H]1CCC[N@@H+](CC2(C#N)CCC2)CC1 ZINC000574486069 332380151 /nfs/dbraw/zinc/38/01/51/332380151.db2.gz QWCVZZXUXJPROI-QGZVFWFLSA-N 0 1 314.477 3.160 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)CO[C@@H](C)c1ccc(Cl)cc1 ZINC000566428271 332404280 /nfs/dbraw/zinc/40/42/80/332404280.db2.gz CXOOQOJAGBUUNH-MAZHCROVSA-N 0 1 310.825 3.060 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCN(c2ccccn2)CC1 ZINC000135096164 332614993 /nfs/dbraw/zinc/61/49/93/332614993.db2.gz BYOMVRRQLBRZKH-UHFFFAOYSA-N 0 1 320.440 3.205 20 30 DGEDMN CN(CC#Cc1ccc(C(F)(F)F)cc1)CCOCC1CC1 ZINC000088599659 333127488 /nfs/dbraw/zinc/12/74/88/333127488.db2.gz RKHDKKPCXIRGPM-UHFFFAOYSA-N 0 1 311.347 3.415 20 30 DGEDMN C=C[C@H](CC(=O)N1CC[N@H+](C2CC2)C[C@@H](C)C1)c1ccccc1 ZINC000295241497 335134419 /nfs/dbraw/zinc/13/44/19/335134419.db2.gz CXOYDFSJHSVPFV-IAGOWNOFSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000531318686 333343771 /nfs/dbraw/zinc/34/37/71/333343771.db2.gz TZPPFQNSEPKDBL-INIZCTEOSA-N 0 1 321.852 3.326 20 30 DGEDMN N#CC1(CNC[C@@H](O)Cn2c3ccccc3c3ccccc32)CC1 ZINC000570955825 333396493 /nfs/dbraw/zinc/39/64/93/333396493.db2.gz YUMYGXPEWDNGHC-OAHLLOKOSA-N 0 1 319.408 3.049 20 30 DGEDMN Cc1ccc(CN2CCSCC2)cc1NC(=O)CCCC#N ZINC000291757079 334181648 /nfs/dbraw/zinc/18/16/48/334181648.db2.gz UENWQXJWJSWLEV-UHFFFAOYSA-N 0 1 317.458 3.176 20 30 DGEDMN C=CCNC(=O)CN1CCc2ccccc2[C@@H]1Cc1ccccc1 ZINC000534552597 334281915 /nfs/dbraw/zinc/28/19/15/334281915.db2.gz ATMYGZVBSHCQMB-FQEVSTJZSA-N 0 1 320.436 3.131 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)nn1C(C)(C)C ZINC000048813721 334298834 /nfs/dbraw/zinc/29/88/34/334298834.db2.gz BUMKZHNZTGZAJI-GFCCVEGCSA-N 0 1 321.384 3.313 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2nc3ccccc3[nH]2)nn1C(C)(C)C ZINC000048813721 334298835 /nfs/dbraw/zinc/29/88/35/334298835.db2.gz BUMKZHNZTGZAJI-GFCCVEGCSA-N 0 1 321.384 3.313 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CC(C)C)CC1)c1ccccc1 ZINC000534649757 334317036 /nfs/dbraw/zinc/31/70/36/334317036.db2.gz XFVMMENVCHULGC-QGZVFWFLSA-N 0 1 300.446 3.147 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cc(Cl)cn3C)[nH]c21 ZINC000345371355 335321324 /nfs/dbraw/zinc/32/13/24/335321324.db2.gz JUUUFBCSFDPWDE-LLVKDONJSA-N 0 1 312.760 3.353 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc([N+](=O)[O-])cc3)[nH]c21 ZINC000345383103 335321872 /nfs/dbraw/zinc/32/18/72/335321872.db2.gz UGKGGFCLTCELIF-ZDUSSCGKSA-N 0 1 320.308 3.270 20 30 DGEDMN COc1cccc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000345389988 335322708 /nfs/dbraw/zinc/32/27/08/335322708.db2.gz CJAOZCGOMXDTTR-CQSZACIVSA-N 0 1 305.337 3.370 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@H](C(F)(F)F)C1(C)C ZINC000527053055 336280909 /nfs/dbraw/zinc/28/09/09/336280909.db2.gz KNVZTEIVCCNGIE-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN Cc1cccc([C@H]2CCCN2CC(=O)NC2(C#N)CCC2)c1C ZINC000525893573 336382424 /nfs/dbraw/zinc/38/24/24/336382424.db2.gz DCGBZPQVAUMFSQ-QGZVFWFLSA-N 0 1 311.429 3.003 20 30 DGEDMN CC(C)CCC[C@H](C)NC(=O)CN1CCC(CCC#N)CC1 ZINC000582239027 336390227 /nfs/dbraw/zinc/39/02/27/336390227.db2.gz INGHOIMGRPMWSC-INIZCTEOSA-N 0 1 307.482 3.333 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC(CCC(C)C)CC2)CC1 ZINC000162689924 337661939 /nfs/dbraw/zinc/66/19/39/337661939.db2.gz DURGGZSODQMERP-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1N=c1nc(-c2ccccc2C)[nH]s1 ZINC000528030423 337784758 /nfs/dbraw/zinc/78/47/58/337784758.db2.gz WPTBWJBZSWLASU-KGLIPLIRSA-N 0 1 301.415 3.081 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Nc3ccccc3F)[nH]c21 ZINC000505424287 340168071 /nfs/dbraw/zinc/16/80/71/340168071.db2.gz ZLIOASSTUVQSGG-NSHDSACASA-N 0 1 308.316 3.256 20 30 DGEDMN C=CCN(CC(=O)Nc1nc(C)cs1)CC1CCCCC1 ZINC000303492993 340328158 /nfs/dbraw/zinc/32/81/58/340328158.db2.gz WKPSRXNMKWGUKX-UHFFFAOYSA-N 0 1 307.463 3.458 20 30 DGEDMN CCC[C@H](NC(=O)CN1CCC(CC#N)CC1)c1ccccc1 ZINC000542881385 341025165 /nfs/dbraw/zinc/02/51/65/341025165.db2.gz OVZDMQYDTRCOJX-SFHVURJKSA-N 0 1 313.445 3.270 20 30 DGEDMN C[C@@H](C#N)CNC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000543698847 341053922 /nfs/dbraw/zinc/05/39/22/341053922.db2.gz CAYKCNQSXSSQGC-JTQLQIEISA-N 0 1 310.382 3.181 20 30 DGEDMN N#CCC1CCN(Cc2c(C3CC3)nc3sccn23)CC1 ZINC000543782823 341058573 /nfs/dbraw/zinc/05/85/73/341058573.db2.gz ZMAOXJHMRYXOFY-UHFFFAOYSA-N 0 1 300.431 3.399 20 30 DGEDMN Cc1ccc(CN(CC#Cc2cccc(Cl)c2)CCO)cc1 ZINC000543988442 341065345 /nfs/dbraw/zinc/06/53/45/341065345.db2.gz QJIASCJVKKKVMX-UHFFFAOYSA-N 0 1 313.828 3.494 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N1CC[C@@H](C)C(C)(C)C1 ZINC000545419189 341124526 /nfs/dbraw/zinc/12/45/26/341124526.db2.gz GQOZSXYKYAZMLG-CABCVRRESA-N 0 1 305.466 3.086 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)N[C@H](C)c1ccccc1OC ZINC000249352985 341396576 /nfs/dbraw/zinc/39/65/76/341396576.db2.gz XKOAVNYIIHYADI-HUUCEWRRSA-N 0 1 304.434 3.159 20 30 DGEDMN CC(C)(C)c1ccc(OC[C@H](O)CNCC2(C#N)CCC2)cc1 ZINC000564859064 341511500 /nfs/dbraw/zinc/51/15/00/341511500.db2.gz WBSUHDIWPMZAET-MRXNPFEDSA-N 0 1 316.445 3.007 20 30 DGEDMN C[C@@H](Cc1ccc(F)cc1Br)NCC1(C#N)CC1 ZINC000574844351 341770597 /nfs/dbraw/zinc/77/05/97/341770597.db2.gz GNMWNTDGIRSJTJ-JTQLQIEISA-N 0 1 311.198 3.413 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001725505197 1176592536 /nfs/dbraw/zinc/59/25/36/1176592536.db2.gz NJUJBLHMWHNEMX-NEPJUHHUSA-N 0 1 307.265 3.344 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)o1 ZINC000430178293 534052989 /nfs/dbraw/zinc/05/29/89/534052989.db2.gz KVZQEUMXASRJQR-KBRIMQKVSA-N 0 1 323.396 3.323 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(C)[C@H](C(C)C)C1)c1ccccc1 ZINC000489333522 534326436 /nfs/dbraw/zinc/32/64/36/534326436.db2.gz ZOWXJQYMNDMVFQ-ROUUACIJSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2cnn(CC)c2)cc(OC)c1O ZINC000449672890 534417168 /nfs/dbraw/zinc/41/71/68/534417168.db2.gz YAEMGZCACDWLKI-CYBMUJFWSA-N 0 1 315.417 3.197 20 30 DGEDMN C=CCn1cccc1C(=O)Nc1[nH]nc(-c2ccncc2)c1CC ZINC000489071885 534472193 /nfs/dbraw/zinc/47/21/93/534472193.db2.gz JWPUNBWYPFETEU-UHFFFAOYSA-N 0 1 321.384 3.274 20 30 DGEDMN C=CCn1cccc1C(=O)Nc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000488402339 534475163 /nfs/dbraw/zinc/47/51/63/534475163.db2.gz YIIWOASUZVDQSK-UHFFFAOYSA-N 0 1 307.357 3.020 20 30 DGEDMN N#C[C@H](C(=O)c1csc(Nc2ccccn2)n1)c1ccccn1 ZINC000347944177 534741695 /nfs/dbraw/zinc/74/16/95/534741695.db2.gz CQWBFGKYXARPAQ-NSHDSACASA-N 0 1 321.365 3.167 20 30 DGEDMN N#CC(C(=O)c1csc(Nc2ccccn2)n1)c1ccccn1 ZINC000347944177 534741698 /nfs/dbraw/zinc/74/16/98/534741698.db2.gz CQWBFGKYXARPAQ-NSHDSACASA-N 0 1 321.365 3.167 20 30 DGEDMN N#C[C@H]1CCC[C@@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000185774299 534749326 /nfs/dbraw/zinc/74/93/26/534749326.db2.gz YLGYCHJPSLLLBA-WCQYABFASA-N 0 1 313.323 3.195 20 30 DGEDMN CC#CCCCC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000185219712 526296054 /nfs/dbraw/zinc/29/60/54/526296054.db2.gz GQQZFQVPTJJYDR-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCCCC(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000184037516 526304857 /nfs/dbraw/zinc/30/48/57/526304857.db2.gz YMAHDZFUGAIDDJ-UHFFFAOYSA-N 0 1 302.765 3.257 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cnc(C2CC2)[nH]1 ZINC000343668050 526340201 /nfs/dbraw/zinc/34/02/01/526340201.db2.gz SGJGIBAYQZYYQT-UHFFFAOYSA-N 0 1 311.385 3.124 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1ccc2c(c1)nnn2C ZINC000352375979 526340881 /nfs/dbraw/zinc/34/08/81/526340881.db2.gz IKHGWXLZSJARDJ-UHFFFAOYSA-N 0 1 322.412 3.165 20 30 DGEDMN CNC(=O)c1cc(NC(=O)CN2[C@H](C)CC[C@H]2C)ccc1Cl ZINC000330904544 526407205 /nfs/dbraw/zinc/40/72/05/526407205.db2.gz MCOOEDZLAFMVFA-GHMZBOCLSA-N 0 1 323.824 3.086 20 30 DGEDMN C=CCN1CC[C@@H](N(Cc2ccccc2)Cc2ccccc2)C1=O ZINC000337142195 526475039 /nfs/dbraw/zinc/47/50/39/526475039.db2.gz WRKKZUPRBONQJP-HXUWFJFHSA-N 0 1 320.436 3.476 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(SC(C)C)cc2)C1=O ZINC000337226140 526500447 /nfs/dbraw/zinc/50/04/47/526500447.db2.gz PDIDKHDUFSMVGE-KRWDZBQOSA-N 0 1 318.486 3.406 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@@H](CC)c1ccccc1OCC ZINC000181256851 526503314 /nfs/dbraw/zinc/50/33/14/526503314.db2.gz UIRNEHXBYBTHAU-INIZCTEOSA-N 0 1 304.434 3.161 20 30 DGEDMN C=C(C)CN(C)[C@@H](C)C(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000181260594 526507279 /nfs/dbraw/zinc/50/72/79/526507279.db2.gz RAMIJMLJMQTDOK-KRWDZBQOSA-N 0 1 313.445 3.447 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](c2ccc(F)cc2F)C(C)C)C1=O ZINC000337177250 526513959 /nfs/dbraw/zinc/51/39/59/526513959.db2.gz JKDILGQTWNXFNM-JKSUJKDBSA-N 0 1 308.372 3.038 20 30 DGEDMN CC1(C)SC[C@H]1NCc1cc(C#N)ccc1Br ZINC001560450179 1189329053 /nfs/dbraw/zinc/32/90/53/1189329053.db2.gz UQPVKUVRTMTMLU-GFCCVEGCSA-N 0 1 311.248 3.304 20 30 DGEDMN C=C(CC)CN1CCN(Cc2csc([C@H](C)OCC)n2)CC1 ZINC000358510240 526852636 /nfs/dbraw/zinc/85/26/36/526852636.db2.gz PBRPMZMRUUCRRN-HNNXBMFYSA-N 0 1 323.506 3.324 20 30 DGEDMN C=C(CN[C@H](C(=O)NC1CC1)c1ccccc1)c1ccccc1 ZINC000352263957 526883403 /nfs/dbraw/zinc/88/34/03/526883403.db2.gz QSOQWVQIXNBMPQ-IBGZPJMESA-N 0 1 306.409 3.309 20 30 DGEDMN C=CCOc1cccc(CN2CCCN(CC(F)F)CC2)c1 ZINC000349185827 526897329 /nfs/dbraw/zinc/89/73/29/526897329.db2.gz ITIYQBVPFOJGAO-UHFFFAOYSA-N 0 1 310.388 3.024 20 30 DGEDMN C=CCOc1cccc(CN(C)[C@H]2CCCc3c2cnn3C)c1 ZINC000180208934 526898189 /nfs/dbraw/zinc/89/81/89/526898189.db2.gz UUHSSBNETKQMDT-SFHVURJKSA-N 0 1 311.429 3.494 20 30 DGEDMN C=CCOc1cccnc1C(=O)Nc1ccc2nc(C)[nH]c2c1 ZINC000342072510 526969371 /nfs/dbraw/zinc/96/93/71/526969371.db2.gz HXDHTSVVXYKQTE-UHFFFAOYSA-N 0 1 308.341 3.083 20 30 DGEDMN C=CC1CCN(C(=O)[C@H](c2ccccc2)N(CC)CC)CC1 ZINC000347836975 526973305 /nfs/dbraw/zinc/97/33/05/526973305.db2.gz VGXNQFLVSFHUDD-SFHVURJKSA-N 0 1 300.446 3.494 20 30 DGEDMN C=CCSCCN[C@H](C)c1nnn(-c2ccc(C)cc2)c1C ZINC000358037946 527006623 /nfs/dbraw/zinc/00/66/23/527006623.db2.gz OKDHSQQTHVJALJ-CQSZACIVSA-N 0 1 316.474 3.454 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000121256233 527029926 /nfs/dbraw/zinc/02/99/26/527029926.db2.gz AVVJTNWBXQPLMO-MRXNPFEDSA-N 0 1 302.418 3.301 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000342794677 527290475 /nfs/dbraw/zinc/29/04/75/527290475.db2.gz YHTFBMMJCAZPJH-ZFWWWQNUSA-N 0 1 309.479 3.395 20 30 DGEDMN C=CCCOCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000346623160 527367637 /nfs/dbraw/zinc/36/76/37/527367637.db2.gz CFMOLNKXTJVHOP-GOSISDBHSA-N 0 1 300.377 3.492 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NC(=O)c1c(O)cc(Cl)cc1Cl ZINC000331315574 527481246 /nfs/dbraw/zinc/48/12/46/527481246.db2.gz IZHDDPBOFZWRAE-KOLCDFICSA-N 0 1 302.157 3.347 20 30 DGEDMN CC(=Cc1cnc(C2CCC2)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435334737 527508339 /nfs/dbraw/zinc/50/83/39/527508339.db2.gz BSVADFXKYXTBKU-TWGQIWQCSA-N 0 1 312.398 3.235 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000337599109 527510258 /nfs/dbraw/zinc/51/02/58/527510258.db2.gz LKXOVPSECCZJIO-GFCCVEGCSA-N 0 1 313.405 3.368 20 30 DGEDMN C=CCN(C)C(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000161430177 527563156 /nfs/dbraw/zinc/56/31/56/527563156.db2.gz CVEGBAIZQORDFU-UHFFFAOYSA-N 0 1 321.852 3.132 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC[C@@H]1c1ccsc1 ZINC000339140614 527625943 /nfs/dbraw/zinc/62/59/43/527625943.db2.gz GNUQZNJZLHXIGI-GDBMZVCRSA-N 0 1 304.459 3.474 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1cccc(C#N)c1 ZINC000444229621 527715794 /nfs/dbraw/zinc/71/57/94/527715794.db2.gz WLWYAWXJRBETFC-UHFFFAOYSA-N 0 1 312.417 3.149 20 30 DGEDMN CCOC(=O)[C@@H]1CN(CCC(C)(C)C#N)Cc2ccccc21 ZINC000345732665 527884104 /nfs/dbraw/zinc/88/41/04/527884104.db2.gz BGKQDVSSDNDHDN-MRXNPFEDSA-N 0 1 300.402 3.089 20 30 DGEDMN CC(C)Oc1ccc(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000354462516 528167133 /nfs/dbraw/zinc/16/71/33/528167133.db2.gz HWPMJKRICRDJTI-CYBMUJFWSA-N 0 1 315.760 3.407 20 30 DGEDMN CC(C)Oc1ccc(Cl)cc1C(=O)[C@H](C#N)c1ccncn1 ZINC000354462516 528167137 /nfs/dbraw/zinc/16/71/37/528167137.db2.gz HWPMJKRICRDJTI-CYBMUJFWSA-N 0 1 315.760 3.407 20 30 DGEDMN CCC[C@H](NCC(=O)NCC#N)c1ccc(Cl)c(Cl)c1 ZINC000341644933 528214615 /nfs/dbraw/zinc/21/46/15/528214615.db2.gz ZPAUFGVJNJVEPP-ZDUSSCGKSA-N 0 1 314.216 3.064 20 30 DGEDMN CCN(CC)[C@@H](C/N=C/c1cc(OC)ccc1O)c1ccco1 ZINC000067005692 528234197 /nfs/dbraw/zinc/23/41/97/528234197.db2.gz PFMDGIMYISHQSF-QUYZQLMHSA-N 0 1 316.401 3.496 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn3ccccc23)n1 ZINC000353857725 528242249 /nfs/dbraw/zinc/24/22/49/528242249.db2.gz MZROHCYWHMTUGW-LLVKDONJSA-N 0 1 310.382 3.404 20 30 DGEDMN CC(C)c1nc(CN2CCC[C@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000428259354 528246338 /nfs/dbraw/zinc/24/63/38/528246338.db2.gz ZURVAZCBARDALN-CVEARBPZSA-N 0 1 309.417 3.200 20 30 DGEDMN CC[C@@H](C#N)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000344788674 529270051 /nfs/dbraw/zinc/27/00/51/529270051.db2.gz QWVQLWSHYVHSHI-JTQLQIEISA-N 0 1 310.382 3.323 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000455981418 535186743 /nfs/dbraw/zinc/18/67/43/535186743.db2.gz JNUAJQICVOEWRV-MRXNPFEDSA-N 0 1 322.412 3.044 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)COc1cccc(C)c1 ZINC000053420108 545695876 /nfs/dbraw/zinc/69/58/76/545695876.db2.gz VWRBYIFJDWFBHE-INIZCTEOSA-N 0 1 317.454 3.484 20 30 DGEDMN C=CCOCCNCc1ccc(Cl)cc1Br ZINC000303550938 546439918 /nfs/dbraw/zinc/43/99/18/546439918.db2.gz NMZOXDUYASLWPF-UHFFFAOYSA-N 0 1 304.615 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(OCCC)cc2C)C1=O ZINC000668100471 547383186 /nfs/dbraw/zinc/38/31/86/547383186.db2.gz NNSOSGRISHVBOK-SFHVURJKSA-N 0 1 316.445 3.003 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC000669727821 547679882 /nfs/dbraw/zinc/67/98/82/547679882.db2.gz UIIALGACPWVCJC-KRWDZBQOSA-N 0 1 313.445 3.411 20 30 DGEDMN C=C(C)[C@H](CO)N[C@@H](C)c1ccc(Br)cc1F ZINC000671741195 547822022 /nfs/dbraw/zinc/82/20/22/547822022.db2.gz OHLLSNZUULGMOB-ZANVPECISA-N 0 1 302.187 3.176 20 30 DGEDMN C=C(C)[C@@H](CO)N[C@H](C)c1ccc(Br)cc1F ZINC000671741192 547822278 /nfs/dbraw/zinc/82/22/78/547822278.db2.gz OHLLSNZUULGMOB-NOZJJQNGSA-N 0 1 302.187 3.176 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000677047714 548442685 /nfs/dbraw/zinc/44/26/85/548442685.db2.gz HCNAKWZPCONBEX-MRXNPFEDSA-N 0 1 307.821 3.172 20 30 DGEDMN COCC#CCN1CC[C@H](Oc2ccc(Cl)c(Cl)c2)C1 ZINC000677820860 548561265 /nfs/dbraw/zinc/56/12/65/548561265.db2.gz ZQCHGZDOTROZEA-ZDUSSCGKSA-N 0 1 314.212 3.096 20 30 DGEDMN C=CCOc1ccc(CN(CC(N)=O)C2CCCC2)cc1Cl ZINC000678136457 548622670 /nfs/dbraw/zinc/62/26/70/548622670.db2.gz OJGSHYAIXXEELG-UHFFFAOYSA-N 0 1 322.836 3.135 20 30 DGEDMN COCC#CCN[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC000678737681 548754880 /nfs/dbraw/zinc/75/48/80/548754880.db2.gz SNRDUACYZUSKCQ-INIZCTEOSA-N 0 1 322.408 3.239 20 30 DGEDMN N#CC(C(=O)c1ccn(C(F)F)n1)c1ccc2ccccc2n1 ZINC000678922639 548792281 /nfs/dbraw/zinc/79/22/81/548792281.db2.gz WRQXTSPNAZSQHX-NSHDSACASA-N 0 1 312.279 3.316 20 30 DGEDMN N#CC(C(=O)c1ccccc1Oc1cccnc1)c1ccncn1 ZINC000680618123 549076378 /nfs/dbraw/zinc/07/63/78/549076378.db2.gz MUFGOAQFYQJGAT-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN CCn1nccc1C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000684674074 549676718 /nfs/dbraw/zinc/67/67/18/549676718.db2.gz FADKJBCHNSJFEB-SNVBAGLBSA-N 0 1 302.403 3.147 20 30 DGEDMN C=CCOCCNCc1c(Cl)cc(Cl)cc1N(C)C ZINC000685620119 549781879 /nfs/dbraw/zinc/78/18/79/549781879.db2.gz PVLWVFLASMGVMC-UHFFFAOYSA-N 0 1 303.233 3.352 20 30 DGEDMN CCO[C@@H](CC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422543 574529292 /nfs/dbraw/zinc/52/92/92/574529292.db2.gz UCRUUNOFZKHLJI-PWSUYJOCSA-N 0 1 306.362 3.427 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000732547413 574576000 /nfs/dbraw/zinc/57/60/00/574576000.db2.gz SKMLCZALDDPIFD-WDEREUQCSA-N 0 1 321.808 3.375 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCc3ccccc3C2)nc(C)n1 ZINC000732593879 574576442 /nfs/dbraw/zinc/57/64/42/574576442.db2.gz VEJPARZDNGMUFT-QAPCUYQASA-N 0 1 319.408 3.465 20 30 DGEDMN C#CCOc1ccc(CNCc2ccccc2OCC#C)cc1 ZINC000734159308 574653340 /nfs/dbraw/zinc/65/33/40/574653340.db2.gz MCUYEYGPEFBOQM-UHFFFAOYSA-N 0 1 305.377 3.000 20 30 DGEDMN COC(=O)c1ccc(CN=Nc2cc(F)c(F)cc2F)cc1 ZINC000734302788 574655516 /nfs/dbraw/zinc/65/55/16/574655516.db2.gz OTKOKGGRTZIOOK-UHFFFAOYSA-N 0 1 308.259 3.337 20 30 DGEDMN C#CCOc1ccccc1CN(C)C[C@@H]1OCCc2ccccc21 ZINC000734486757 574662617 /nfs/dbraw/zinc/66/26/17/574662617.db2.gz QAUZVYFHCNVSAQ-NRFANRHFSA-N 0 1 321.420 3.444 20 30 DGEDMN C#CCCOc1ccc(CN[C@@H](CO)c2cccc(F)c2)cc1 ZINC000734847544 574678780 /nfs/dbraw/zinc/67/87/80/574678780.db2.gz NURUEELXTISBBS-IBGZPJMESA-N 0 1 313.372 3.051 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])co1)Cc1ccc(OC)cc1 ZINC000736108586 574730620 /nfs/dbraw/zinc/73/06/20/574730620.db2.gz IFVHHOPOMHHWBD-UHFFFAOYSA-N 0 1 301.342 3.175 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])co1)Cc1ccc(OC)cc1 ZINC000736108586 574730622 /nfs/dbraw/zinc/73/06/22/574730622.db2.gz IFVHHOPOMHHWBD-UHFFFAOYSA-N 0 1 301.342 3.175 20 30 DGEDMN CC(C)(C)C[N@@H+](CCC#N)CCOc1cccc(C(=O)[O-])c1 ZINC000736260429 574739168 /nfs/dbraw/zinc/73/91/68/574739168.db2.gz FAVWYTGXLJXRQT-UHFFFAOYSA-N 0 1 304.390 3.025 20 30 DGEDMN CC(C)(C)C[N@H+](CCC#N)CCOc1cccc(C(=O)[O-])c1 ZINC000736260429 574739170 /nfs/dbraw/zinc/73/91/70/574739170.db2.gz FAVWYTGXLJXRQT-UHFFFAOYSA-N 0 1 304.390 3.025 20 30 DGEDMN CCCCC(CC)N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC000736438732 574751611 /nfs/dbraw/zinc/75/16/11/574751611.db2.gz KFPIEPLGLSZTIV-UHFFFAOYSA-N 0 1 319.413 3.421 20 30 DGEDMN CCc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2ccc(C#N)cc2)cc1 ZINC000737264774 574835290 /nfs/dbraw/zinc/83/52/90/574835290.db2.gz KOUQIXDEOQPYKF-SFHVURJKSA-N 0 1 308.381 3.378 20 30 DGEDMN C[N@@H+](CCCOc1ccccc1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737440254 574843822 /nfs/dbraw/zinc/84/38/22/574843822.db2.gz BDJTZGBVFBWNNH-SFHVURJKSA-N 0 1 324.380 3.085 20 30 DGEDMN Cc1cccc(OCC[N@H+](C)[C@@H](C(=O)[O-])c2ccc(C#N)cc2)c1 ZINC000738738206 574880650 /nfs/dbraw/zinc/88/06/50/574880650.db2.gz XPRIXUKGQOBBDY-GOSISDBHSA-N 0 1 324.380 3.003 20 30 DGEDMN O=[N+]([O-])c1ccc(Cl)cc1C=NNc1cncc(Cl)n1 ZINC000739411383 574902398 /nfs/dbraw/zinc/90/23/98/574902398.db2.gz KPPFMZSLXOINHK-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(C#N)cc1F)N1[C@H](C)CC[C@@H]1C ZINC000741023343 574948904 /nfs/dbraw/zinc/94/89/04/574948904.db2.gz WCYZOPZVGUNCDP-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2CCOc3ccc(F)cc32)n1 ZINC000741764013 574969349 /nfs/dbraw/zinc/96/93/49/574969349.db2.gz OSTFZTGQBRUGBX-UHFFFAOYSA-N 0 1 306.728 3.176 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2c[nH]nc2-c2ccccc2)c1 ZINC000742381877 574994851 /nfs/dbraw/zinc/99/48/51/574994851.db2.gz PTHFHNOYGWJHMY-UHFFFAOYSA-N 0 1 306.344 3.377 20 30 DGEDMN Fc1ccc(NN=Cc2ccc(Oc3cnccn3)cc2)nc1 ZINC000743441456 575051237 /nfs/dbraw/zinc/05/12/37/575051237.db2.gz JQNGMBLBCGRKJG-UHFFFAOYSA-N 0 1 309.304 3.249 20 30 DGEDMN CCCCCC[C@H](C)NN=Cc1cnc(N2CCCC2)nc1 ZINC000743449924 575051871 /nfs/dbraw/zinc/05/18/71/575051871.db2.gz CLPKCOHBFRQYPO-HNNXBMFYSA-N 0 1 303.454 3.359 20 30 DGEDMN C#CCN(CC(=O)N[C@@H](C)c1ccc(F)cc1)C1CCCCC1 ZINC000744212528 575078729 /nfs/dbraw/zinc/07/87/29/575078729.db2.gz FTAZJMIGAIIEBC-HNNXBMFYSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CN(CCC)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000729544705 575255358 /nfs/dbraw/zinc/25/53/58/575255358.db2.gz LOTNQKRNMVXXTD-ZDUSSCGKSA-N 0 1 302.846 3.460 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1ccc(C#N)cc1 ZINC000746680372 575289422 /nfs/dbraw/zinc/28/94/22/575289422.db2.gz UVGYUDYYPCOHNX-UHFFFAOYSA-N 0 1 310.313 3.311 20 30 DGEDMN C/C(C=Nn1cnnc1-n1nc(C)cc1C)=C\c1ccccc1 ZINC000744747513 575354150 /nfs/dbraw/zinc/35/41/50/575354150.db2.gz ROUMHHPABAPHSZ-XNZLLJBKSA-N 0 1 306.373 3.018 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1ccc([S@@](C)=O)cc1 ZINC000746365786 575448257 /nfs/dbraw/zinc/44/82/57/575448257.db2.gz BVHIXRSSHIHHLT-JOCHJYFZSA-N 0 1 312.398 3.136 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc([S@](C)=O)cc1 ZINC000746372506 575448965 /nfs/dbraw/zinc/44/89/65/575448965.db2.gz PVRKAMBEAGIQTC-QHCPKHFHSA-N 0 1 324.409 3.203 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1cc(F)ccc1O ZINC000746680545 575469254 /nfs/dbraw/zinc/46/92/54/575469254.db2.gz XNSSISHBJCIDTL-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1cc(C)ccc1O ZINC000746679684 575469714 /nfs/dbraw/zinc/46/97/14/575469714.db2.gz KNRGJFOFFMENIE-UHFFFAOYSA-N 0 1 315.329 3.454 20 30 DGEDMN O=C(OCC#Cc1ccccc1Cl)[C@@H]1CCCc2[nH]ncc21 ZINC000747081329 575494518 /nfs/dbraw/zinc/49/45/18/575494518.db2.gz BFUZCBKKKPFOPS-CYBMUJFWSA-N 0 1 314.772 3.078 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC23CCCC3)cc1 ZINC000747478216 575520003 /nfs/dbraw/zinc/52/00/03/575520003.db2.gz DXIIJCXXSPHMPO-HOTGVXAUSA-N 0 1 310.397 3.477 20 30 DGEDMN C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)Nc1cc(C#N)ccc1O ZINC000748411168 575585051 /nfs/dbraw/zinc/58/50/51/575585051.db2.gz YNTBEABVKLOXKJ-GFCCVEGCSA-N 0 1 322.364 3.159 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@]23CCCc2ccccc23)c1 ZINC000748411917 575585190 /nfs/dbraw/zinc/58/51/90/575585190.db2.gz CQFHCPTZUZDMGI-UZLBHIALSA-N 0 1 318.376 3.497 20 30 DGEDMN Cc1c(NN=Cc2cc(F)c(O)c(F)c2)cccc1[N+](=O)[O-] ZINC000749855933 575683599 /nfs/dbraw/zinc/68/35/99/575683599.db2.gz XXXKNZWOQHGXBN-UHFFFAOYSA-N 0 1 307.256 3.333 20 30 DGEDMN Oc1c(F)cc(C=NNCc2cccc3cccnc32)cc1F ZINC000749859784 575684203 /nfs/dbraw/zinc/68/42/03/575684203.db2.gz YSEPNIPLTVBYBP-UHFFFAOYSA-N 0 1 313.307 3.342 20 30 DGEDMN Fc1cc(C=NNc2cnc3ccccc3n2)cc(F)c1F ZINC000727969471 576156526 /nfs/dbraw/zinc/15/65/26/576156526.db2.gz GYEZPCJQZYTNFR-UHFFFAOYSA-N 0 1 302.259 3.493 20 30 DGEDMN Cc1cc(NN=Cc2cccc(OC(F)(F)F)c2)nc(C)n1 ZINC000727971509 576156924 /nfs/dbraw/zinc/15/69/24/576156924.db2.gz ZMGQFDQFDYFZJW-UHFFFAOYSA-N 0 1 310.279 3.438 20 30 DGEDMN CCOc1cc(CN=Nc2nc3ccccc3n2C)ccc1O ZINC000728776119 576201209 /nfs/dbraw/zinc/20/12/09/576201209.db2.gz IWHITVMOKKHIAC-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN COc1ccc(C(C)=NNc2nc3ccccc3n2C)c(OC)c1 ZINC000728775729 576201282 /nfs/dbraw/zinc/20/12/82/576201282.db2.gz AOLXQUGHFIOMJR-UHFFFAOYSA-N 0 1 324.384 3.427 20 30 DGEDMN COC(=O)[C@H](c1ccc(C#N)cc1)N(CCC1CC1)CC1CC1 ZINC000852717126 620642511 /nfs/dbraw/zinc/64/25/11/620642511.db2.gz DONHKXJALRYXCX-SFHVURJKSA-N 0 1 312.413 3.284 20 30 DGEDMN C#CCCN(CCOC)Cc1cc2ccccc2c(Cl)n1 ZINC000852748850 620645629 /nfs/dbraw/zinc/64/56/29/620645629.db2.gz ITUGONUXILMIJT-UHFFFAOYSA-N 0 1 302.805 3.360 20 30 DGEDMN C#CCCN(CCOC)Cc1cc(F)cc(Br)c1 ZINC000852752427 620646158 /nfs/dbraw/zinc/64/61/58/620646158.db2.gz YFFHFMKJQYBYRD-UHFFFAOYSA-N 0 1 314.198 3.060 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H](C)N2CC3CC2(C)C3)cc1 ZINC000852786626 620648824 /nfs/dbraw/zinc/64/88/24/620648824.db2.gz YQMUHVCBXMBSKF-MDCZIUGASA-N 0 1 311.429 3.115 20 30 DGEDMN C=CCN(CC(=O)N(C)Cc1ccc(Cl)s1)C(C)C ZINC000917070850 620655184 /nfs/dbraw/zinc/65/51/84/620655184.db2.gz HSDWAEHFHAJRIN-UHFFFAOYSA-N 0 1 300.855 3.256 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1cc2ccncc2s1 ZINC000853291355 620684383 /nfs/dbraw/zinc/68/43/83/620684383.db2.gz HOXBQQOSYSOGDL-UHFFFAOYSA-N 0 1 302.447 3.096 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2ccnn2CC2CC2)n1 ZINC000853311166 620685851 /nfs/dbraw/zinc/68/58/51/620685851.db2.gz YYEBZJMCWCDKMI-UHFFFAOYSA-N 0 1 309.295 3.153 20 30 DGEDMN Cc1cc[nH+]c([N-]N=Cc2c(C(F)(F)F)nc3n2CCCC3)c1 ZINC000853317215 620686048 /nfs/dbraw/zinc/68/60/48/620686048.db2.gz RHFAIXCYFYZGEB-UHFFFAOYSA-N 0 1 323.322 3.388 20 30 DGEDMN CC(C)(C)C1CCN(N=Cc2ccnn2-c2ccncc2)CC1 ZINC000853337669 620687589 /nfs/dbraw/zinc/68/75/89/620687589.db2.gz PRJORTDRFRLEIC-UHFFFAOYSA-N 0 1 311.433 3.359 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CC2N=Nc1ccncc1F ZINC000853403128 620693241 /nfs/dbraw/zinc/69/32/41/620693241.db2.gz REWAYUZAHUOGQX-UHFFFAOYSA-N 0 1 315.348 3.029 20 30 DGEDMN Cc1ccn(C[N@@H+]2CCC[C@@H]2c2c(C)cccc2C)c(=O)c1C#N ZINC000853521385 620706182 /nfs/dbraw/zinc/70/61/82/620706182.db2.gz ACDOTKRDFXDKOD-GOSISDBHSA-N 0 1 321.424 3.440 20 30 DGEDMN Cc1ccn(CN2CCC[C@@H]2c2c(C)cccc2C)c(=O)c1C#N ZINC000853521385 620706184 /nfs/dbraw/zinc/70/61/84/620706184.db2.gz ACDOTKRDFXDKOD-GOSISDBHSA-N 0 1 321.424 3.440 20 30 DGEDMN C=CC[C@H]1CCCN(CN2C(=O)C(C)(C)[C@H]2c2ccncc2)C1 ZINC000853537258 620708108 /nfs/dbraw/zinc/70/81/08/620708108.db2.gz SMPFYRXXHYGQDB-DOTOQJQBSA-N 0 1 313.445 3.237 20 30 DGEDMN CC(C)(C(=O)NOCCc1ccc(C#N)cc1)c1ccccc1 ZINC000853664371 620722113 /nfs/dbraw/zinc/72/21/13/620722113.db2.gz NGERIESAMWSAHC-UHFFFAOYSA-N 0 1 308.381 3.126 20 30 DGEDMN C=CC[C@H]1CCN1C(=O)c1[nH]nc2ccc(Br)cc21 ZINC000890558219 617611079 /nfs/dbraw/zinc/61/10/79/617611079.db2.gz PFGGQIXXUYYHIZ-JTQLQIEISA-N 0 1 320.190 3.116 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1cn2c(n1)CCCC2 ZINC000806563863 617636398 /nfs/dbraw/zinc/63/63/98/617636398.db2.gz BSXCRTRVKFGQES-PLNGDYQASA-N 0 1 304.296 3.099 20 30 DGEDMN C=C(C)c1cccc(NC(=O)C(C)(C)NC(=O)C(F)(F)F)c1 ZINC000890890560 617698562 /nfs/dbraw/zinc/69/85/62/617698562.db2.gz ZDKOIPZVLAFBHQ-UHFFFAOYSA-N 0 1 314.307 3.115 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C(F)(F)F)CCN(CC)CC1 ZINC000891211161 617750646 /nfs/dbraw/zinc/75/06/46/617750646.db2.gz BFJFQNLUQIGOEM-UHFFFAOYSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)CN(CC)Cc1ccc(NC(=O)OC(C)(C)C)nn1 ZINC000891456972 617806587 /nfs/dbraw/zinc/80/65/87/617806587.db2.gz OPNYUSRDIFOISN-UHFFFAOYSA-N 0 1 306.410 3.222 20 30 DGEDMN CC#CCN1CCC(c2nccc(-c3ccccc3OC)n2)CC1 ZINC000854096694 620768493 /nfs/dbraw/zinc/76/84/93/620768493.db2.gz XEIMADSPHDXRNA-UHFFFAOYSA-N 0 1 321.424 3.355 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@]2(C)c2ccccc2)nc(C)n1 ZINC000892474484 618075458 /nfs/dbraw/zinc/07/54/58/618075458.db2.gz DNBSURVBCJWYIQ-JTDSTZFVSA-N 0 1 305.381 3.248 20 30 DGEDMN N#CC(C(=O)C[C@@H]1C[C@H]1C1CC1)C(=O)Nc1ccccc1Cl ZINC000892489263 618080200 /nfs/dbraw/zinc/08/02/00/618080200.db2.gz GXQIYORIDOYBTA-RWMBFGLXSA-N 0 1 316.788 3.424 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)Nc1ccccc1)CC(F)F ZINC000892494589 618081413 /nfs/dbraw/zinc/08/14/13/618081413.db2.gz GPAQBCLKDXIEBW-LBPRGKRZSA-N 0 1 308.328 3.405 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)CCCc1ccc(C2CC2)cc1 ZINC000892498901 618082982 /nfs/dbraw/zinc/08/29/82/618082982.db2.gz OWOOSFYDQXZMDB-KRWDZBQOSA-N 0 1 312.413 3.120 20 30 DGEDMN C[C@@H]1CN(CCC(C)(C)C#N)C[C@H](C)N1C(=O)OC(C)(C)C ZINC000776581279 618530089 /nfs/dbraw/zinc/53/00/89/618530089.db2.gz ANMLMQZCRHCIJG-OKILXGFUSA-N 0 1 309.454 3.256 20 30 DGEDMN COc1ccc(C2CCN(Cc3csc(C#N)c3)CC2)nc1 ZINC000895138953 618550267 /nfs/dbraw/zinc/55/02/67/618550267.db2.gz WFQYSZGVPSNTLN-UHFFFAOYSA-N 0 1 313.426 3.403 20 30 DGEDMN C=C1CCC(C(=O)N2CCCN(Cc3ccccc3)CC2)CC1 ZINC000895526281 618794606 /nfs/dbraw/zinc/79/46/06/618794606.db2.gz KYSKXRIBXYWJFG-UHFFFAOYSA-N 0 1 312.457 3.467 20 30 DGEDMN C#CCN1CCC(Nc2ccc(C(F)(F)F)c(Cl)n2)CC1 ZINC000895804383 618833535 /nfs/dbraw/zinc/83/35/35/618833535.db2.gz QIVQEZOJNCFMTD-UHFFFAOYSA-N 0 1 317.742 3.263 20 30 DGEDMN C=CCC1(O)CCN(Cc2cnc(-c3ccco3)s2)CC1 ZINC000895834907 618839482 /nfs/dbraw/zinc/83/94/82/618839482.db2.gz UGIRFTHITKVBTD-UHFFFAOYSA-N 0 1 304.415 3.306 20 30 DGEDMN C=CCC1(O)CCN(C/C=C\c2ccccc2[N+](=O)[O-])CC1 ZINC000895835677 618839519 /nfs/dbraw/zinc/83/95/19/618839519.db2.gz KZLBTYWKSBUNOE-ALCCZGGFSA-N 0 1 302.374 3.011 20 30 DGEDMN C=CCC1(O)CCN(Cc2c(C3CC3)nc3sccn23)CC1 ZINC000895836009 618840282 /nfs/dbraw/zinc/84/02/82/618840282.db2.gz UQXCWIZLHLSFPG-UHFFFAOYSA-N 0 1 317.458 3.176 20 30 DGEDMN COC1(OC)CC2(CN(CC#Cc3ccccc3)[C@H]2C(C)C)C1 ZINC000895987814 618858149 /nfs/dbraw/zinc/85/81/49/618858149.db2.gz IKXXCKXDOZJJSV-SFHVURJKSA-N 0 1 313.441 3.148 20 30 DGEDMN COC1(OC)CC2(CN(Cc3csc(C#N)c3)[C@H]2C(C)C)C1 ZINC000895989751 618858592 /nfs/dbraw/zinc/85/85/92/618858592.db2.gz SEKLAKQCPXWARD-HNNXBMFYSA-N 0 1 320.458 3.229 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H](c3nc4c(s3)CCC4)C2)n1 ZINC000896517124 618923740 /nfs/dbraw/zinc/92/37/40/618923740.db2.gz JRQQKAPKUFATRL-ZDUSSCGKSA-N 0 1 324.453 3.278 20 30 DGEDMN COC(=O)c1c[nH]c(CNCc2ccc(C)c(C#N)c2)c1C1CC1 ZINC000896880469 618974343 /nfs/dbraw/zinc/97/43/43/618974343.db2.gz FLIXUWSPULGVDY-UHFFFAOYSA-N 0 1 323.396 3.149 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CCCC=C)c2ccccc2)CC1 ZINC000928660180 619029002 /nfs/dbraw/zinc/02/90/02/619029002.db2.gz LGMAOOUFJKOGNY-FQEVSTJZSA-N 0 1 324.468 3.340 20 30 DGEDMN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1ccc(C#N)cn1 ZINC000788980245 625311437 /nfs/dbraw/zinc/31/14/37/625311437.db2.gz LWOWQYWFRYVWMI-SFHVURJKSA-N 0 1 307.397 3.474 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@]1(c2ccccc2)CCCO1 ZINC000897236435 619034538 /nfs/dbraw/zinc/03/45/38/619034538.db2.gz JKYXMDYCMAQAMR-IBGZPJMESA-N 0 1 310.372 3.493 20 30 DGEDMN Cc1[nH]ncc1CNC(=O)Nc1scc(C(C)(C)C)c1C#N ZINC000888216869 619133442 /nfs/dbraw/zinc/13/34/42/619133442.db2.gz MRKJONVYBZIAOT-UHFFFAOYSA-N 0 1 317.418 3.271 20 30 DGEDMN COC1CCC(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CC1 ZINC000897596180 619164649 /nfs/dbraw/zinc/16/46/49/619164649.db2.gz MTNATYKEAHBGKJ-NKELODKYSA-N 0 1 314.429 3.137 20 30 DGEDMN COC1(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CCCCC1 ZINC000897596378 619164686 /nfs/dbraw/zinc/16/46/86/619164686.db2.gz PFABYZGTLKOIAU-GOSISDBHSA-N 0 1 314.429 3.281 20 30 DGEDMN C[C@]1(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CCCS1 ZINC000897596415 619164729 /nfs/dbraw/zinc/16/47/29/619164729.db2.gz PRPURQLCWPIBIJ-IAGOWNOFSA-N 0 1 302.443 3.217 20 30 DGEDMN O=C1C=COC2(CCN(C/C=C/c3ccc(F)cc3)CC2)C1 ZINC000897602831 619165772 /nfs/dbraw/zinc/16/57/72/619165772.db2.gz WVFQEXZRARYVPK-OWOJBTEDSA-N 0 1 301.361 3.177 20 30 DGEDMN C[C@@H](CCc1ccc(F)cc1F)NCc1nc(C#N)cs1 ZINC000897630078 619169015 /nfs/dbraw/zinc/16/90/15/619169015.db2.gz QJBWJXHSDGPXLM-JTQLQIEISA-N 0 1 307.369 3.404 20 30 DGEDMN C[C@H](CCc1n[nH]c2ccccc12)NCc1nc(C#N)cs1 ZINC000897628232 619169179 /nfs/dbraw/zinc/16/91/79/619169179.db2.gz FFAYKXCRSQLWNO-LLVKDONJSA-N 0 1 311.414 3.002 20 30 DGEDMN C[C@H](C[N@H+](C)Cc1cccc(C#N)c1)C(=O)c1ccc([O-])cc1 ZINC000929161740 619241319 /nfs/dbraw/zinc/24/13/19/619241319.db2.gz CPHQHLYZXQVZAD-CQSZACIVSA-N 0 1 308.381 3.215 20 30 DGEDMN C[C@H](C[N@@H+](C)Cc1cccc(C#N)c1)C(=O)c1ccc([O-])cc1 ZINC000929161740 619241321 /nfs/dbraw/zinc/24/13/21/619241321.db2.gz CPHQHLYZXQVZAD-CQSZACIVSA-N 0 1 308.381 3.215 20 30 DGEDMN C=CC(C)(C)NCc1cc(C(C)(C)NC(=O)OC(C)(C)C)no1 ZINC000898460413 619277287 /nfs/dbraw/zinc/27/72/87/619277287.db2.gz LAMFJZGHYSABIV-UHFFFAOYSA-N 0 1 323.437 3.489 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@](C)(C#N)C1CCCCC1 ZINC000900724813 619447122 /nfs/dbraw/zinc/44/71/22/619447122.db2.gz RXPXPSNQJALQBF-PZPSRYQVSA-N 0 1 314.433 3.054 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CC[C@@](C(=O)[O-])(C(C)C)C2)cc1 ZINC000901470039 619506341 /nfs/dbraw/zinc/50/63/41/619506341.db2.gz YNLLXARWUNMJTM-SFHVURJKSA-N 0 1 303.402 3.184 20 30 DGEDMN Cc1c(Br)cccc1CCNC[C@H](C#N)CCC#N ZINC000901574199 619521094 /nfs/dbraw/zinc/52/10/94/619521094.db2.gz GHMHIJHBRJSFMB-ZDUSSCGKSA-N 0 1 320.234 3.333 20 30 DGEDMN C=C[C@H]1CCCC[N@@H+]1Cc1cc(C)c(OCC(=O)[O-])c(C)c1 ZINC000902544191 619648275 /nfs/dbraw/zinc/64/82/75/619648275.db2.gz CHMROOGGQRNVHJ-INIZCTEOSA-N 0 1 303.402 3.307 20 30 DGEDMN C[C@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccc2c(c1)OCCO2 ZINC000902727476 619666353 /nfs/dbraw/zinc/66/63/53/619666353.db2.gz ZKVNJUXRVQANOO-STQMWFEESA-N 0 1 309.369 3.136 20 30 DGEDMN C[C@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccc2c(c1)CCC(=O)N2 ZINC000902750642 619670049 /nfs/dbraw/zinc/67/00/49/619670049.db2.gz KATPCSCXGCBUTQ-STQMWFEESA-N 0 1 320.396 3.250 20 30 DGEDMN C[C@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccc([S@@](C)=O)cc1 ZINC000902887520 619688543 /nfs/dbraw/zinc/68/85/43/619688543.db2.gz ISJCOLSPAUXKAV-UTWWPYJBSA-N 0 1 313.426 3.102 20 30 DGEDMN C[C@@H](NC[C@H](O)c1ccc(Cl)c(F)c1)c1cc(C#N)ccn1 ZINC000902890118 619689313 /nfs/dbraw/zinc/68/93/13/619689313.db2.gz XCFFJOWVQHSTFU-HWPZZCPQSA-N 0 1 319.767 3.130 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C(C)C)[nH]1)[C@@]1(C)CCCCO1 ZINC000903005701 619703856 /nfs/dbraw/zinc/70/38/56/619703856.db2.gz YERPEMLNFJRBSL-RHSMWYFYSA-N 0 1 306.454 3.312 20 30 DGEDMN C[C@@H]1[C@H](NCC2(C#N)CCC2)CCCN1C(=O)OC(C)(C)C ZINC000903035438 619705288 /nfs/dbraw/zinc/70/52/88/619705288.db2.gz PGZAAFIVSVTBML-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN CC(C)[C@@H](N[C@@H]1CCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066243 619709486 /nfs/dbraw/zinc/70/94/86/619709486.db2.gz UJPXGPCZAJRKPP-IAGOWNOFSA-N 0 1 309.417 3.051 20 30 DGEDMN CC(C)[C@@H](N[C@H]1CCCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066265 619709687 /nfs/dbraw/zinc/70/96/87/619709687.db2.gz ULBMUABOUSTNIT-ZWKOTPCHSA-N 0 1 323.444 3.441 20 30 DGEDMN Cc1ccc([C@@H](CCn2cncn2)NCC2(C#N)CCCC2)cc1 ZINC000903109010 619718255 /nfs/dbraw/zinc/71/82/55/619718255.db2.gz KERRFRIAUIVXEX-GOSISDBHSA-N 0 1 323.444 3.392 20 30 DGEDMN N#C[C@@]1(c2nc(-c3ccn4cncc4c3)no2)CC2CCC1CC2 ZINC000903688377 619795852 /nfs/dbraw/zinc/79/58/52/619795852.db2.gz WRRIQRCSHWXJMO-WFZFXDGQSA-N 0 1 319.368 3.356 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](Cc2occ(C(F)(F)F)c2C(=O)[O-])C1 ZINC000903910179 619832891 /nfs/dbraw/zinc/83/28/91/619832891.db2.gz QABHPOHPIBNJGG-SECBINFHSA-N 0 1 303.280 3.395 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(O)Cc2ccc(F)cc2)o1 ZINC000904175005 619892441 /nfs/dbraw/zinc/89/24/41/619892441.db2.gz MMYSMOJBCPOHHC-UHFFFAOYSA-N 0 1 320.364 3.292 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)OCc1ccc(C2(C#N)CC2)cc1 ZINC000904189404 619894561 /nfs/dbraw/zinc/89/45/61/619894561.db2.gz LOSPLGBORLGRBB-QGZVFWFLSA-N 0 1 312.413 3.158 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cc(C)cnc2Cl)n1 ZINC000905499575 620002167 /nfs/dbraw/zinc/00/21/67/620002167.db2.gz OPCDALUZULNKGG-UHFFFAOYSA-N 0 1 319.796 3.124 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000907264104 620147799 /nfs/dbraw/zinc/14/77/99/620147799.db2.gz WWYHGJSWXPHDAP-YRGRVCCFSA-N 0 1 324.384 3.005 20 30 DGEDMN N#CCCCC(=O)N[C@@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC000907817042 620175275 /nfs/dbraw/zinc/17/52/75/620175275.db2.gz ZLIUGEUHUPMVGL-CQSZACIVSA-N 0 1 314.433 3.125 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000908647591 620215317 /nfs/dbraw/zinc/21/53/17/620215317.db2.gz HHGCBZPYCNHEGH-INIZCTEOSA-N 0 1 323.396 3.217 20 30 DGEDMN C[C@@H](CC#N)C(=O)N(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000912445416 620387913 /nfs/dbraw/zinc/38/79/13/620387913.db2.gz KEWJJQOXSQYFNL-VIFPVBQESA-N 0 1 324.306 3.090 20 30 DGEDMN Cc1c(C=[NH+][N-]c2ccc(F)cc2)c(=O)n(-c2ccccc2)n1C ZINC000912778928 620406341 /nfs/dbraw/zinc/40/63/41/620406341.db2.gz CVQVAHFROAERLG-UHFFFAOYSA-N 0 1 324.359 3.070 20 30 DGEDMN CC(C)(C)[C@@H](C#N)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000153049028 620531674 /nfs/dbraw/zinc/53/16/74/620531674.db2.gz FHZXTOQEJPSPMQ-CQSZACIVSA-N 0 1 324.384 3.444 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000153349904 620553535 /nfs/dbraw/zinc/55/35/35/620553535.db2.gz AYWGBKLBYFAZFD-HZPDHXFCSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2nc3ccccc3o2)nc(C)n1 ZINC000915103409 620568034 /nfs/dbraw/zinc/56/80/34/620568034.db2.gz SBDQWUIKUUOOOZ-CYBMUJFWSA-N 0 1 320.352 3.044 20 30 DGEDMN CCOc1cc(C=NNc2cc(C)nc(C)n2)cc(Cl)c1O ZINC000915970546 620609898 /nfs/dbraw/zinc/60/98/98/620609898.db2.gz FYUUTWPVHBRHNV-UHFFFAOYSA-N 0 1 320.780 3.297 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc2c(c1)OCO2 ZINC000915971145 620609949 /nfs/dbraw/zinc/60/99/49/620609949.db2.gz GHRRFVYQMITBRW-UHFFFAOYSA-N 0 1 308.341 3.138 20 30 DGEDMN CCc1oc2ccccc2c1C=NNc1ncnc2c1cnn2C ZINC000915964470 620611424 /nfs/dbraw/zinc/61/14/24/620611424.db2.gz ZEPUKNDDNLEPNG-UHFFFAOYSA-N 0 1 320.356 3.118 20 30 DGEDMN COc1cc(CN=Nc2ccc3ccccc3n2)cc(O)c1O ZINC000916286457 620624383 /nfs/dbraw/zinc/62/43/83/620624383.db2.gz FZMWUGFBJSWKMQ-UHFFFAOYSA-N 0 1 309.325 3.101 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccc(F)cc2)nc(C)n1 ZINC000921130949 620838553 /nfs/dbraw/zinc/83/85/53/620838553.db2.gz DWANYNJDYMSTPO-MEDUHNTESA-N 0 1 311.360 3.288 20 30 DGEDMN Oc1c(Br)cccc1C=NO[C@@H]1CCCCO1 ZINC000755816151 620892183 /nfs/dbraw/zinc/89/21/83/620892183.db2.gz TVGLPBDRPWLDBH-LLVKDONJSA-N 0 1 300.152 3.032 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cccc(C(=O)N(C)C)c1 ZINC000921513411 620894930 /nfs/dbraw/zinc/89/49/30/620894930.db2.gz JPYYPFPDXDUJMB-UHFFFAOYSA-N 0 1 321.424 3.167 20 30 DGEDMN COc1cccc(CNCc2c(C)cc(C#N)cc2C)c1OC ZINC000921555493 620907423 /nfs/dbraw/zinc/90/74/23/620907423.db2.gz ODFAWDHDLDCIQV-UHFFFAOYSA-N 0 1 310.397 3.482 20 30 DGEDMN COc1ccc([C@@H](C)NCc2csc(C#N)c2)cc1OC ZINC000921560904 620909558 /nfs/dbraw/zinc/90/95/58/620909558.db2.gz KOTWKMSKKJWYGG-LLVKDONJSA-N 0 1 302.399 3.488 20 30 DGEDMN CCCCOCCNCc1cc(C#N)ccc1Br ZINC000921616162 620914256 /nfs/dbraw/zinc/91/42/56/620914256.db2.gz CAPANJWABHROBX-UHFFFAOYSA-N 0 1 311.223 3.227 20 30 DGEDMN C=CCCC[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000921645200 620926386 /nfs/dbraw/zinc/92/63/86/620926386.db2.gz MSGRYKHPXONDQM-HZPDHXFCSA-N 0 1 324.428 3.438 20 30 DGEDMN N#Cc1cc(CNC2(c3ccc(C#N)cc3)CCOCC2)cs1 ZINC000922295330 621019217 /nfs/dbraw/zinc/01/92/17/621019217.db2.gz XRGICHYHFBICJE-UHFFFAOYSA-N 0 1 323.421 3.287 20 30 DGEDMN C=CCc1cc(CNCc2ncc(C)cc2C)cc(OC)c1O ZINC000922739606 621091155 /nfs/dbraw/zinc/09/11/55/621091155.db2.gz AIUXGRBIOKUZFM-UHFFFAOYSA-N 0 1 312.413 3.431 20 30 DGEDMN CC[C@H](NCc1ccc(OCC#N)cc1)c1cccc(OC)n1 ZINC000925367941 621118637 /nfs/dbraw/zinc/11/86/37/621118637.db2.gz OKKWJDVWQAUDDQ-INIZCTEOSA-N 0 1 311.385 3.233 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000922943982 621134452 /nfs/dbraw/zinc/13/44/52/621134452.db2.gz HWGDAJHXCVJITJ-BBATYDOGSA-N 0 1 323.396 3.308 20 30 DGEDMN Cn1nc(C2CC2)cc1CNCc1ccc(C2(C#N)CC2)cc1 ZINC000922948309 621136198 /nfs/dbraw/zinc/13/61/98/621136198.db2.gz VBRMYEHPVFFBKV-UHFFFAOYSA-N 0 1 306.413 3.142 20 30 DGEDMN C#CC[C@H](CCOC)NCc1csc(-c2ccsc2)n1 ZINC000858334708 621177126 /nfs/dbraw/zinc/17/71/26/621177126.db2.gz XTPBUOSGSSGRHA-CYBMUJFWSA-N 0 1 306.456 3.390 20 30 DGEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](Cc2cc(Cl)cc(C#N)c2)C1 ZINC000923474507 621186698 /nfs/dbraw/zinc/18/66/98/621186698.db2.gz YCHJCCUKKZXHHR-INIZCTEOSA-N 0 1 306.793 3.288 20 30 DGEDMN N#Cc1sccc1C(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000923566692 621194351 /nfs/dbraw/zinc/19/43/51/621194351.db2.gz NSYIQRNSYQIUQZ-CQSZACIVSA-N 0 1 312.394 3.051 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000838253668 621227981 /nfs/dbraw/zinc/22/79/81/621227981.db2.gz VDXGFAGTMGCAMJ-OLZOCXBDSA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000838253668 621227983 /nfs/dbraw/zinc/22/79/83/621227983.db2.gz VDXGFAGTMGCAMJ-OLZOCXBDSA-N 0 1 322.430 3.243 20 30 DGEDMN C#CCCOC(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000859095835 621248187 /nfs/dbraw/zinc/24/81/87/621248187.db2.gz ZJWFMZHQGNRIMH-AWEZNQCLSA-N 0 1 311.385 3.211 20 30 DGEDMN C[C@]1(C(=O)[C@@H](C#N)c2nc3ccccc3s2)CCCCO1 ZINC000838782806 621280052 /nfs/dbraw/zinc/28/00/52/621280052.db2.gz NOENYEZXPRJFEM-BDJLRTHQSA-N 0 1 300.383 3.432 20 30 DGEDMN COC(=O)C1(C#N)CCN([C@H](C)c2ccc3c(c2)CCC3)CC1 ZINC000839031009 621317393 /nfs/dbraw/zinc/31/73/93/621317393.db2.gz DXAKRBIIGGFNTN-CQSZACIVSA-N 0 1 312.413 3.015 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C3CCCC3)CC2)CCCCC1 ZINC000788136720 621348303 /nfs/dbraw/zinc/34/83/03/621348303.db2.gz XKYCZOPQMSJICL-UHFFFAOYSA-N 0 1 302.462 3.047 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccc(Cl)s1 ZINC000839391704 621365566 /nfs/dbraw/zinc/36/55/66/621365566.db2.gz DINDFYHSBQNPFE-UHFFFAOYSA-N 0 1 312.729 3.183 20 30 DGEDMN CC[C@@H](C)OCC(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000752496277 621395687 /nfs/dbraw/zinc/39/56/87/621395687.db2.gz RKGNUXYFIZEMRS-KOLCDFICSA-N 0 1 306.362 3.427 20 30 DGEDMN CC[C@@H](C)OCC(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000752496278 621395878 /nfs/dbraw/zinc/39/58/78/621395878.db2.gz RKGNUXYFIZEMRS-MWLCHTKSSA-N 0 1 306.362 3.427 20 30 DGEDMN Clc1ccc(Cl)c(C=NNc2ncnc3nc[nH]c32)c1 ZINC000753698322 621460522 /nfs/dbraw/zinc/46/05/22/621460522.db2.gz VZKGKRKDUXKICX-UHFFFAOYSA-N 0 1 307.144 3.106 20 30 DGEDMN CC(C)(C)c1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)s1 ZINC000753929061 621472198 /nfs/dbraw/zinc/47/21/98/621472198.db2.gz NLJZXKOTLQPZMY-CHWSQXEVSA-N 0 1 304.463 3.240 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cccc(C(F)(F)F)c1 ZINC000753936855 621472707 /nfs/dbraw/zinc/47/27/07/621472707.db2.gz UTCKSCBOILRYFV-ZIAGYGMSSA-N 0 1 324.350 3.289 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cccc(Cl)c1 ZINC000753939433 621472719 /nfs/dbraw/zinc/47/27/19/621472719.db2.gz OQMBHRJXLFMZJS-GASCZTMLSA-N 0 1 304.825 3.314 20 30 DGEDMN Cc1ccc([C@H](C)NCC(=O)Nc2oc(C)c(C)c2C#N)s1 ZINC000754678070 621523931 /nfs/dbraw/zinc/52/39/31/621523931.db2.gz ZJRQRUOUCKISIP-NSHDSACASA-N 0 1 317.414 3.427 20 30 DGEDMN N#Cc1ccc(SCCCNC(=O)C(F)(F)F)c(Cl)c1 ZINC000754720360 621527665 /nfs/dbraw/zinc/52/76/65/621527665.db2.gz PGQJSAWWROMHMW-UHFFFAOYSA-N 0 1 322.739 3.372 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCOC[C@@H]2CC(C)C)c1O ZINC000754725869 621528583 /nfs/dbraw/zinc/52/85/83/621528583.db2.gz DAQNHDZGWJTTLY-KRWDZBQOSA-N 0 1 319.445 3.376 20 30 DGEDMN CCCCCCCC[C@@H](O)CCC(=O)N1CCc2nc[nH]c2C1 ZINC000861762787 621536090 /nfs/dbraw/zinc/53/60/90/621536090.db2.gz FUQFCZDWOCIFFS-OAHLLOKOSA-N 0 1 321.465 3.186 20 30 DGEDMN C[C@@]1(CN2CCO[C@H](c3cccc(C#N)c3)C2)CCCS1 ZINC000862254424 621568718 /nfs/dbraw/zinc/56/87/18/621568718.db2.gz YYABODXVUDJZLV-IRXDYDNUSA-N 0 1 302.443 3.217 20 30 DGEDMN O=[N+]([O-])c1ccccc1N=NCc1cnc([C@@H]2CCCO2)s1 ZINC000755466304 621574992 /nfs/dbraw/zinc/57/49/92/621574992.db2.gz ZCDCYYHYHLMZHF-ZDUSSCGKSA-N 0 1 318.358 3.349 20 30 DGEDMN Cc1nc[nH]c1C=NNC(=S)Nc1cccc(Cl)c1C ZINC000755507816 621576824 /nfs/dbraw/zinc/57/68/24/621576824.db2.gz IXQTYJNPJOZMCZ-UHFFFAOYSA-N 0 1 307.810 3.000 20 30 DGEDMN C[C@@H](CCC(C)(C)C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000755613787 621580889 /nfs/dbraw/zinc/58/08/89/621580889.db2.gz IPILALFPCMRWNW-STQMWFEESA-N 0 1 301.390 3.191 20 30 DGEDMN COc1nc(Cl)c(CN=Nc2ncccc2Cl)s1 ZINC000755653922 621583837 /nfs/dbraw/zinc/58/38/37/621583837.db2.gz DXDMVHUBJAHDMJ-UHFFFAOYSA-N 0 1 303.174 3.300 20 30 DGEDMN Cc1cc(C)n2c(CN=Nc3cc(F)c(F)cc3F)cnc2n1 ZINC000755731277 621589016 /nfs/dbraw/zinc/58/90/16/621589016.db2.gz PVHOTYVDMRFDQN-UHFFFAOYSA-N 0 1 319.290 3.209 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc(O)c(OC)cc2Br)C1 ZINC000862445165 621590855 /nfs/dbraw/zinc/59/08/55/621590855.db2.gz NIHZPWDQWFJQEA-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc3c(cc2Br)OCO3)C1 ZINC000862444029 621591073 /nfs/dbraw/zinc/59/10/73/621591073.db2.gz WNAWRYOSENWLRL-NSHDSACASA-N 0 1 322.202 3.023 20 30 DGEDMN C#CC[C@@H]1CC[N@H+](Cc2cc(C)cc(Br)c2[O-])C1 ZINC000862445288 621591148 /nfs/dbraw/zinc/59/11/48/621591148.db2.gz PSWKBUBGTCHPHK-GFCCVEGCSA-N 0 1 308.219 3.308 20 30 DGEDMN Cc1ccnc(N=NCc2cc(Cl)c3c(c2)OCCO3)c1 ZINC000755906779 621602059 /nfs/dbraw/zinc/60/20/59/621602059.db2.gz QPHXCNVWUNORJL-UHFFFAOYSA-N 0 1 303.749 3.261 20 30 DGEDMN Fc1ccc(Br)c(N=NC2C[N@H+]3C[C@@H]2CCC3)c1 ZINC000863119773 621640741 /nfs/dbraw/zinc/64/07/41/621640741.db2.gz ICKFYNPOBLGXSM-VIFPVBQESA-N 0 1 312.186 3.082 20 30 DGEDMN Fc1ccc(Br)c(N=NC2CN3C[C@@H]2CCC3)c1 ZINC000863119773 621640744 /nfs/dbraw/zinc/64/07/44/621640744.db2.gz ICKFYNPOBLGXSM-VIFPVBQESA-N 0 1 312.186 3.082 20 30 DGEDMN Cc1nn2c(C=N[N-]c3cccc(C)[nH+]3)c(C(C)C)nc2s1 ZINC000863159678 621643834 /nfs/dbraw/zinc/64/38/34/621643834.db2.gz BMCSXCQFCSJEKY-UHFFFAOYSA-N 0 1 314.418 3.372 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)/C=C/c1ccc(Cl)s1 ZINC000756544572 621646561 /nfs/dbraw/zinc/64/65/61/621646561.db2.gz PMWWHCOVNVHIBR-WAFBPQNNSA-N 0 1 309.818 3.056 20 30 DGEDMN C#CCN(CC(=O)N[C@@H](C)c1ccc(Cl)cc1)C1CCCC1 ZINC000756912145 621672431 /nfs/dbraw/zinc/67/24/31/621672431.db2.gz YZVAFWVHBBMHRH-AWEZNQCLSA-N 0 1 318.848 3.395 20 30 DGEDMN C#CCN(CC(=O)N[C@H](C)c1ccccc1Cl)C1CCCC1 ZINC000756912178 621672521 /nfs/dbraw/zinc/67/25/21/621672521.db2.gz KWGVHGUPKWVZTB-CQSZACIVSA-N 0 1 318.848 3.395 20 30 DGEDMN O=C(/C=C/c1cnc2ccc(Cl)cn12)c1ccc(O)cc1O ZINC000757467193 621713925 /nfs/dbraw/zinc/71/39/25/621713925.db2.gz BSOVMGBSUHCXTN-GORDUTHDSA-N 0 1 314.728 3.295 20 30 DGEDMN Clc1ccc(Cl)c(N=NCc2cn3cccnc3n2)c1 ZINC000757584568 621726439 /nfs/dbraw/zinc/72/64/39/621726439.db2.gz QCEUWKVLHNUCOU-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CNc1ccc2c(c1)CN(C)C2 ZINC000864025011 621728788 /nfs/dbraw/zinc/72/87/88/621728788.db2.gz BRBQNOMULSNXMM-UHFFFAOYSA-N 0 1 306.413 3.182 20 30 DGEDMN C[C@@H](CC(=O)N(C)Cc1cccc(Cl)c1)NCC(C)(C)C#N ZINC000757904723 621745705 /nfs/dbraw/zinc/74/57/05/621745705.db2.gz KUGKKIHDGZROFU-ZDUSSCGKSA-N 0 1 321.852 3.216 20 30 DGEDMN O=C1c2c(cc(F)cc2F)O/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000758030937 621754642 /nfs/dbraw/zinc/75/46/42/621754642.db2.gz TZKKWDQJFQYITP-YIXHJXPBSA-N 0 1 319.219 3.195 20 30 DGEDMN COc1ccc(CN2CC[C@H]2C/N=C/c2ccccc2O)cc1 ZINC000864345122 621758806 /nfs/dbraw/zinc/75/88/06/621758806.db2.gz ORBLZUDAZMJZTC-RONKMWSCSA-N 0 1 310.397 3.094 20 30 DGEDMN Brc1cncc(N=NCc2c[nH]c3ncccc23)c1 ZINC000758123132 621759757 /nfs/dbraw/zinc/75/97/57/621759757.db2.gz SGPZKIJTGBLZOL-UHFFFAOYSA-N 0 1 316.162 3.166 20 30 DGEDMN COC(=O)c1coc(C=NNc2nc3ccccc3cc2C)c1 ZINC000758127413 621760098 /nfs/dbraw/zinc/76/00/98/621760098.db2.gz RJZRNSOMYGYARW-UHFFFAOYSA-N 0 1 309.325 3.369 20 30 DGEDMN Cc1ccn(CN(Cc2ccccc2)CC2CCC2)c(=O)c1C#N ZINC000758190481 621764679 /nfs/dbraw/zinc/76/46/79/621764679.db2.gz GNOXZXOOFQGPCE-UHFFFAOYSA-N 0 1 321.424 3.288 20 30 DGEDMN C(=NNCCCOc1ccccc1)c1ccc(N2CCCC2)nc1 ZINC000758369322 621777697 /nfs/dbraw/zinc/77/76/97/621777697.db2.gz DJFFIBQQDONHHB-UHFFFAOYSA-N 0 1 324.428 3.074 20 30 DGEDMN C=C(C)C[C@H]([NH2+]Cc1ncc(-c2ccccc2)s1)C(=O)[O-] ZINC000864529394 621780273 /nfs/dbraw/zinc/78/02/73/621780273.db2.gz IJJWGGXJYRAMFN-ZDUSSCGKSA-N 0 1 302.399 3.319 20 30 DGEDMN CCO[C@@H]1COCC[C@H]1/N=C/c1cc(Cl)cc(Cl)c1O ZINC000758815452 621802925 /nfs/dbraw/zinc/80/29/25/621802925.db2.gz MUEHHQZEPQRMNS-ZHBLNATMSA-N 0 1 318.200 3.312 20 30 DGEDMN N#C[C@@]1(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)CCCOC1 ZINC000865076469 621855241 /nfs/dbraw/zinc/85/52/41/621855241.db2.gz KJQYLENXQHLAQO-SFHVURJKSA-N 0 1 324.384 3.089 20 30 DGEDMN Cn1nc2c(c1/C=C/C(=O)c1ccc(O)c(F)c1)CCCC2 ZINC000865218924 621874525 /nfs/dbraw/zinc/87/45/25/621874525.db2.gz UENZZZNUYBIBID-VQHVLOKHSA-N 0 1 300.333 3.040 20 30 DGEDMN C=CCOc1ccc(CN(C)CCNC(=O)OC(C)(C)C)cc1 ZINC000759784170 621889348 /nfs/dbraw/zinc/88/93/48/621889348.db2.gz OWOCLRRYEIZFKR-UHFFFAOYSA-N 0 1 320.433 3.208 20 30 DGEDMN N#C[C@@H](C(=O)Cc1c(Cl)cccc1Cl)c1ccncn1 ZINC000760360494 621935370 /nfs/dbraw/zinc/93/53/70/621935370.db2.gz ZJQQSOYXFXIJSI-SNVBAGLBSA-N 0 1 306.152 3.202 20 30 DGEDMN N#Cc1ccc(CNCc2ccccc2CC(F)(F)F)nc1 ZINC000865537342 621945914 /nfs/dbraw/zinc/94/59/14/621945914.db2.gz HXJDDSPIEJYHRN-UHFFFAOYSA-N 0 1 305.303 3.348 20 30 DGEDMN CC(C)CC1CCN([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000760927931 621974268 /nfs/dbraw/zinc/97/42/68/621974268.db2.gz XENQYSHRDHOWFW-MRXNPFEDSA-N 0 1 319.493 3.476 20 30 DGEDMN C[C@](CO)(Cc1ccc(Cl)cc1)NCc1ccccc1C#N ZINC000865772366 621992434 /nfs/dbraw/zinc/99/24/34/621992434.db2.gz ICWAUFQJJDDVKR-GOSISDBHSA-N 0 1 314.816 3.295 20 30 DGEDMN Cc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1[N+](=O)[O-] ZINC000865787543 621996218 /nfs/dbraw/zinc/99/62/18/621996218.db2.gz PTPQFWUQOYQTSY-UHFFFAOYSA-N 0 1 324.384 3.131 20 30 DGEDMN CCc1ccccc1[N-][NH+]=C1c2ccc(N(C)C)cc2NC1=O ZINC000761781133 622029312 /nfs/dbraw/zinc/02/93/12/622029312.db2.gz BOGAWYMSSSTFLI-UHFFFAOYSA-N 0 1 308.385 3.083 20 30 DGEDMN COc1ccc(-n2c(C)cc(C=NNc3cnn(C)c3)c2C)cc1 ZINC000761880587 622036642 /nfs/dbraw/zinc/03/66/42/622036642.db2.gz GYEUBFVSUDTTMP-UHFFFAOYSA-N 0 1 323.400 3.282 20 30 DGEDMN CCCCOc1ccc(C(C)=NNc2cnn(C)c2)cc1OC ZINC000761884693 622037036 /nfs/dbraw/zinc/03/70/36/622037036.db2.gz LLVGCKWOZLORSP-UHFFFAOYSA-N 0 1 316.405 3.444 20 30 DGEDMN N#Cc1cccc(OCC(=O)Nc2cc(Cl)c(O)cc2F)c1 ZINC000805254735 622091272 /nfs/dbraw/zinc/09/12/72/622091272.db2.gz CVWOEIFWWGIFAH-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN COCC#CCN(Cc1ccccc1)C[C@H](O)c1cccc(C)c1 ZINC000762925475 622111180 /nfs/dbraw/zinc/11/11/80/622111180.db2.gz GOYHTMKODSZMFQ-NRFANRHFSA-N 0 1 323.436 3.180 20 30 DGEDMN CC(C)(C)OC(=O)c1ccccc1CN[C@@H]1CC[C@@H](C#N)C1 ZINC000866483809 622125695 /nfs/dbraw/zinc/12/56/95/622125695.db2.gz NVNROICVIFNDAK-UKRRQHHQSA-N 0 1 300.402 3.424 20 30 DGEDMN CCOc1cccc(C=NNc2ccc(Br)cn2)n1 ZINC000763172654 622128238 /nfs/dbraw/zinc/12/82/38/622128238.db2.gz TXASOCULODYGKK-UHFFFAOYSA-N 0 1 321.178 3.084 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2nc(C(F)(F)F)n3c2CCCC3)C1 ZINC000866560290 622137867 /nfs/dbraw/zinc/13/78/67/622137867.db2.gz RNUXHNWVTDYIKM-QWRGUYRKSA-N 0 1 312.339 3.020 20 30 DGEDMN COc1nc2ccccc2cc1CNCc1ccc(C#N)cc1 ZINC000764136390 622181804 /nfs/dbraw/zinc/18/18/04/622181804.db2.gz GMHPQBHRMWEHBX-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN CCN(C(=O)[C@H]1NCCc2cc(C#N)ccc21)c1cccc(C)c1 ZINC000867947062 622196124 /nfs/dbraw/zinc/19/61/24/622196124.db2.gz NWSSMZLCNZCVGB-IBGZPJMESA-N 0 1 319.408 3.107 20 30 DGEDMN Cc1cccc(CNN=C2CC[N@H+](Cc3ccccn3)C[C@H]2C)c1 ZINC000764715551 622215478 /nfs/dbraw/zinc/21/54/78/622215478.db2.gz QFHUCGWDZDPBNY-QGZVFWFLSA-N 0 1 322.456 3.378 20 30 DGEDMN Cc1cccc(CNN=C2CCN(Cc3ccccn3)C[C@H]2C)c1 ZINC000764715551 622215479 /nfs/dbraw/zinc/21/54/79/622215479.db2.gz QFHUCGWDZDPBNY-QGZVFWFLSA-N 0 1 322.456 3.378 20 30 DGEDMN CC[C@@H](C(=O)C(C#N)C(=O)N1CCCC1)C1CCC(C)CC1 ZINC000764760663 622217859 /nfs/dbraw/zinc/21/78/59/622217859.db2.gz NIEQDKVITYCLSM-QDIHITRGSA-N 0 1 304.434 3.170 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2cc3ccccc3cc2OC(F)F)=NO1 ZINC000765374473 622261674 /nfs/dbraw/zinc/26/16/74/622261674.db2.gz AKWYSMVTGACOHW-SECBINFHSA-N 0 1 320.295 3.293 20 30 DGEDMN COc1cc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])ccc1F ZINC000765618443 622276862 /nfs/dbraw/zinc/27/68/62/622276862.db2.gz RPIQCGBWVPZBRX-XVNBXDOJSA-N 0 1 317.272 3.344 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(C)ccc2Cl)c1 ZINC000765639303 622279433 /nfs/dbraw/zinc/27/94/33/622279433.db2.gz CWZFLKBNPUTWCT-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN CCCCN(Cn1cc2c(c(C#N)c1=O)CCC2)[C@H](C)CC ZINC000766612272 622342392 /nfs/dbraw/zinc/34/23/92/622342392.db2.gz NCIMJOQLSNIJAJ-CQSZACIVSA-N 0 1 301.434 3.067 20 30 DGEDMN C=C(C)CNC(=S)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000766704713 622347791 /nfs/dbraw/zinc/34/77/91/622347791.db2.gz MTXZIGAPEXZATF-JKSUJKDBSA-N 0 1 317.502 3.032 20 30 DGEDMN Cc1cc(Cl)ccc1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000767368424 622393640 /nfs/dbraw/zinc/39/36/40/622393640.db2.gz XBXAVTSDHRIVPG-UHFFFAOYSA-N 0 1 320.727 3.430 20 30 DGEDMN CC(N=Nc1ccncc1Cl)c1nc(C(F)(F)F)cs1 ZINC000767385370 622395041 /nfs/dbraw/zinc/39/50/41/622395041.db2.gz MYZPEBLXMCAPBL-UHFFFAOYSA-N 0 1 320.727 3.468 20 30 DGEDMN COc1cccc2cc(C=C(C)c3nc(C)c(C#N)c(=O)[nH]3)oc21 ZINC000789829771 625569452 /nfs/dbraw/zinc/56/94/52/625569452.db2.gz UZPSPHOULTWTDL-JXMROGBWSA-N 0 1 321.336 3.265 20 30 DGEDMN Cc1cc(C(C#N)=C(O)C=CCCc2ccccc2)nc(C)n1 ZINC000870136789 622437072 /nfs/dbraw/zinc/43/70/72/622437072.db2.gz RJACZVAYWFBFRH-VDZSZVPASA-N 0 1 305.381 3.459 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](CC)c2ccc(F)cc2F)CC1 ZINC000768183232 622443460 /nfs/dbraw/zinc/44/34/60/622443460.db2.gz BKPJYHNZSWHGTJ-KRWDZBQOSA-N 0 1 321.367 3.304 20 30 DGEDMN Oc1c(F)cc(Cl)cc1C=Nn1cc(Br)cn1 ZINC000768367115 622452589 /nfs/dbraw/zinc/45/25/89/622452589.db2.gz MAQMHMKVUCHGMK-UHFFFAOYSA-N 0 1 318.533 3.026 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=Cc2cnn(-c3ccccc3)c2)c1 ZINC000768376119 622453257 /nfs/dbraw/zinc/45/32/57/622453257.db2.gz QZHOKZLSDTZWBP-UHFFFAOYSA-N 0 1 322.759 3.238 20 30 DGEDMN C#CCCN(CC(F)(F)F)C(=O)c1ccc(O)c(Cl)c1 ZINC000768618807 622467707 /nfs/dbraw/zinc/46/77/07/622467707.db2.gz XVQQMZBXAZDWHU-UHFFFAOYSA-N 0 1 305.683 3.073 20 30 DGEDMN N#Cc1ccc2ncc(CN3CC4C[C@@H]5CC3C[C@H](C4)C5)n2c1 ZINC000769035246 622493962 /nfs/dbraw/zinc/49/39/62/622493962.db2.gz GSEKCIVQJIHWNN-RYTJFDOTSA-N 0 1 306.413 3.216 20 30 DGEDMN CCc1ccccc1[C@@H]1C[C@H]1C(=O)NOc1cccc(C#N)c1 ZINC000870690490 622496853 /nfs/dbraw/zinc/49/68/53/622496853.db2.gz PYCMXNYCXRGGNB-ZWKOTPCHSA-N 0 1 306.365 3.334 20 30 DGEDMN C#CCCOc1ccc(CN2CCOC[C@H]2CC(C)C)cc1 ZINC000769399870 622524548 /nfs/dbraw/zinc/52/45/48/622524548.db2.gz WMEGEJASTZNBBX-GOSISDBHSA-N 0 1 301.430 3.336 20 30 DGEDMN C[C@H](C#N)C[N@@H+](Cc1cccc(Br)c1[O-])C1CC1 ZINC000769545279 622539984 /nfs/dbraw/zinc/53/99/84/622539984.db2.gz NUCOWRWMVZMEFU-SNVBAGLBSA-N 0 1 309.207 3.279 20 30 DGEDMN Cn1cc(C=NNc2nc3ccccc3n2C)c(C(C)(C)C)n1 ZINC000769569339 622541340 /nfs/dbraw/zinc/54/13/40/622541340.db2.gz DKYOLEXLCIGZGU-UHFFFAOYSA-N 0 1 310.405 3.050 20 30 DGEDMN CC(=[NH+][N-]c1cc(Cl)nc(C)n1)c1ccccc1N(C)C ZINC000769585107 622541882 /nfs/dbraw/zinc/54/18/82/622541882.db2.gz KANSHRNFVSBKQZ-UHFFFAOYSA-N 0 1 303.797 3.341 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@@H]3CCC(F)(F)C3)[nH]c2c1 ZINC000871072681 622543292 /nfs/dbraw/zinc/54/32/92/622543292.db2.gz NRMOUTBPFPJEFU-VIFPVBQESA-N 0 1 304.300 3.199 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCCCC3(F)F)[nH]c2c1 ZINC000871072418 622543444 /nfs/dbraw/zinc/54/34/44/622543444.db2.gz LMOXVJAZMBPSNU-JTQLQIEISA-N 0 1 304.300 3.199 20 30 DGEDMN COc1ccsc1C(=O)/C=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000769745067 622556475 /nfs/dbraw/zinc/55/64/75/622556475.db2.gz XRAQDDOHVAACGB-GORDUTHDSA-N 0 1 305.311 3.267 20 30 DGEDMN C#CCOc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000769934793 622579083 /nfs/dbraw/zinc/57/90/83/622579083.db2.gz XFWBZIXDICYFOT-UHFFFAOYSA-N 0 1 321.376 3.206 20 30 DGEDMN O=C1OCC[C@H]1CCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000871597548 622644915 /nfs/dbraw/zinc/64/49/15/622644915.db2.gz SJSDJIJBKIKDBH-YFEDRJBBSA-N 0 1 316.184 3.461 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H]2COc3cc(C)c(C)cc32)nc(C)n1 ZINC000770838770 622674439 /nfs/dbraw/zinc/67/44/39/622674439.db2.gz KWACJIMSVCWLOT-JKSUJKDBSA-N 0 1 321.380 3.063 20 30 DGEDMN CC[C@H](NCc1cccc(C#N)c1)C(=O)Nc1ccc(C)cc1 ZINC000871871779 622724639 /nfs/dbraw/zinc/72/46/39/622724639.db2.gz UQKSLWUACGLIIR-SFHVURJKSA-N 0 1 307.397 3.374 20 30 DGEDMN CN1CCN(c2ccc(/C=C\C(=O)c3cccs3)cc2)CC1 ZINC000771520602 622771843 /nfs/dbraw/zinc/77/18/43/622771843.db2.gz KYUXMVXVTYINSS-TWGQIWQCSA-N 0 1 312.438 3.396 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2ccc3ccccc3c2)CC1 ZINC000772120591 622849706 /nfs/dbraw/zinc/84/97/06/622849706.db2.gz KXPSOYIAAZZCNI-UHFFFAOYSA-N 0 1 307.393 3.228 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(Cl)cc1C#N)N1CCCC1 ZINC000772144928 622855694 /nfs/dbraw/zinc/85/56/94/622855694.db2.gz VLVFYZHEPQLVJA-INIZCTEOSA-N 0 1 320.820 3.375 20 30 DGEDMN CCn1cccc1CN=Nc1cc(Cl)nc2c1c(C)nn2C ZINC000872422196 622912786 /nfs/dbraw/zinc/91/27/86/622912786.db2.gz HVWSKCOUAIGTBM-UHFFFAOYSA-N 0 1 316.796 3.198 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C=Cc1ccccc1C#N ZINC000772670232 622925834 /nfs/dbraw/zinc/92/58/34/622925834.db2.gz XAESXVIVMYUZHR-MDZDMXLPSA-N 0 1 322.412 3.251 20 30 DGEDMN COCCN(C)c1ccc(C=NN[C@H]2CCCCC2(C)C)cn1 ZINC000773122593 622987590 /nfs/dbraw/zinc/98/75/90/622987590.db2.gz ANHUNRJZDXMORP-INIZCTEOSA-N 0 1 318.465 3.057 20 30 DGEDMN Cc1cccnc1NN=C1c2cccc(C(F)(F)F)c2NC1=O ZINC000790185225 625614487 /nfs/dbraw/zinc/61/44/87/625614487.db2.gz JUHWRNSECDPXPC-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN COc1ccc(OC(F)F)c(CN=Nc2ncccc2C)c1 ZINC000790193271 625614982 /nfs/dbraw/zinc/61/49/82/625614982.db2.gz APZUVZBXEBCUIW-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN Cc1nn(C)c(C)c1C(=N[N-]c1[nH+]cccc1C)C(F)(F)F ZINC000790193573 625615075 /nfs/dbraw/zinc/61/50/75/625615075.db2.gz IIJYKEBWTCXZCF-UHFFFAOYSA-N 0 1 311.311 3.119 20 30 DGEDMN N#Cc1c(F)cccc1CN[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000873097688 623036167 /nfs/dbraw/zinc/03/61/67/623036167.db2.gz VTGCTRNWSPYQPK-ILXRZTDVSA-N 0 1 308.447 3.167 20 30 DGEDMN C[C@H](Oc1cccc2ccccc21)C(=O)C(C#N)c1ccncn1 ZINC000774206180 623120008 /nfs/dbraw/zinc/12/00/08/623120008.db2.gz QGAKLIIWQCJNQZ-BBRMVZONSA-N 0 1 317.348 3.274 20 30 DGEDMN C(CCc1ccc2c(c1)CCO2)=Nn1cnnc1-c1ccccc1 ZINC000774364259 623137174 /nfs/dbraw/zinc/13/71/74/623137174.db2.gz NRIQEOVEJGNYRL-UHFFFAOYSA-N 0 1 318.380 3.347 20 30 DGEDMN N#C[C@@H](C(=O)C1(Cc2cccc(F)c2)CCC1)c1ccncn1 ZINC000774414858 623141780 /nfs/dbraw/zinc/14/17/80/623141780.db2.gz MUDHDSGLJJSOAH-OAHLLOKOSA-N 0 1 309.344 3.205 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000873497204 623159590 /nfs/dbraw/zinc/15/95/90/623159590.db2.gz DJFDPWXPXOVEFG-IUODEOHRSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000873499222 623160330 /nfs/dbraw/zinc/16/03/30/623160330.db2.gz ZZHIAHCVFWKVLQ-QWHCGFSZSA-N 0 1 302.374 3.169 20 30 DGEDMN N#Cc1cc(Cl)ccc1CON=C(N)CN1CCCCCC1 ZINC000774760795 623188132 /nfs/dbraw/zinc/18/81/32/623188132.db2.gz GGLJWWNUGSSBGJ-UHFFFAOYSA-N 0 1 320.824 3.086 20 30 DGEDMN C#C[C@H](C)N(C)CC1=Cc2cc(Br)ccc2OC1 ZINC000775184327 623239236 /nfs/dbraw/zinc/23/92/36/623239236.db2.gz VPGWXEYEPQDBBY-NSHDSACASA-N 0 1 306.203 3.178 20 30 DGEDMN C#C[C@@H](NCc1cc(C)c(OC(F)F)c(C)c1)[C@@H]1CCCO1 ZINC000775343038 623257242 /nfs/dbraw/zinc/25/72/42/623257242.db2.gz UTQSBLOODQSBCL-CABCVRRESA-N 0 1 309.356 3.175 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@@H]1CCCO1 ZINC000775347129 623257840 /nfs/dbraw/zinc/25/78/40/623257840.db2.gz FLNOWRBBTZPHDM-IRXDYDNUSA-N 0 1 312.438 3.389 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(CC)Cc1ccccc1)c1ccccc1 ZINC000775398726 623267388 /nfs/dbraw/zinc/26/73/88/623267388.db2.gz LIPRDQIXOKIQPS-HXUWFJFHSA-N 0 1 320.436 3.389 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000775400049 623268584 /nfs/dbraw/zinc/26/85/84/623268584.db2.gz HJAGTAQNGFVQRM-QGZVFWFLSA-N 0 1 310.372 3.427 20 30 DGEDMN Cc1c2ccccc2oc1[C@@H](NCC(=O)NCCC#N)C(C)C ZINC000775407805 623270638 /nfs/dbraw/zinc/27/06/38/623270638.db2.gz FZRMTGNSGVXLFY-KRWDZBQOSA-N 0 1 313.401 3.058 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cccc(OC(F)(F)F)c1)[C@H]1CCCO1 ZINC000775571391 623291595 /nfs/dbraw/zinc/29/15/95/623291595.db2.gz GMTYRWHOPIZFDF-TUKIKUTGSA-N 0 1 313.319 3.417 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc(Cl)c(N)c(Cl)c1)[C@@H]1CCCO1 ZINC000775575509 623292870 /nfs/dbraw/zinc/29/28/70/623292870.db2.gz YCNUNDFMWZZDSZ-IIMNLJJBSA-N 0 1 313.228 3.407 20 30 DGEDMN C=C(Br)CN[C@@H](CC)c1ccc2c(c1)OCCO2 ZINC000125057871 623295523 /nfs/dbraw/zinc/29/55/23/623295523.db2.gz AIYJHPOZBLFVFH-LBPRGKRZSA-N 0 1 312.207 3.407 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000874901114 623387486 /nfs/dbraw/zinc/38/74/86/623387486.db2.gz ZZTQQHOKPDSHRM-ZDUSSCGKSA-N 0 1 303.381 3.209 20 30 DGEDMN C[C@H]1CCN(Cc2ccccc2)CC1=NOCC(F)(F)F ZINC000776187874 623392760 /nfs/dbraw/zinc/39/27/60/623392760.db2.gz OLVLXICSOMJHGA-LBPRGKRZSA-N 0 1 300.324 3.463 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CC[C@H](c2ccccc2)O1 ZINC000882138004 625645686 /nfs/dbraw/zinc/64/56/86/625645686.db2.gz BATOAIQUHIRXTJ-UONOGXRCSA-N 0 1 317.414 3.182 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CC[C@@H](C)C1 ZINC000776517326 623436921 /nfs/dbraw/zinc/43/69/21/623436921.db2.gz QHGJQNUJLVBXAO-HZSPNIEDSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@H](O)C[C@@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000790438876 625652872 /nfs/dbraw/zinc/65/28/72/625652872.db2.gz XAKICFQKMYEBCH-BBRMVZONSA-N 0 1 322.836 3.368 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@@H](C(C)C)N1CCCC1 ZINC000777057466 623492453 /nfs/dbraw/zinc/49/24/53/623492453.db2.gz WHNSGKXGRZJTEW-QGZVFWFLSA-N 0 1 300.402 3.030 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2ccc(CF)cc2)cc1 ZINC000777538777 623537678 /nfs/dbraw/zinc/53/76/78/623537678.db2.gz BTVZFBBXONVHQU-UHFFFAOYSA-N 0 1 301.365 3.059 20 30 DGEDMN C[C@@H](NCc1nc(-c2ccccc2)n[nH]1)c1ccc(C#N)c(F)c1 ZINC000777574333 623543598 /nfs/dbraw/zinc/54/35/98/623543598.db2.gz IFLIVXFSRTUWQO-GFCCVEGCSA-N 0 1 321.359 3.333 20 30 DGEDMN C/C(=C/C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1)C1CC1 ZINC000778112993 623613158 /nfs/dbraw/zinc/61/31/58/623613158.db2.gz JPPGLWFYTOWRDQ-SSZFMOIBSA-N 0 1 323.440 3.017 20 30 DGEDMN CC(C)(C#N)c1ccccc1COC(=O)C(C)(C)N1CCCC1 ZINC000805611134 623675125 /nfs/dbraw/zinc/67/51/25/623675125.db2.gz NKSLJVZAOWHVIR-UHFFFAOYSA-N 0 1 314.429 3.405 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC2(C)C)cc1Cl ZINC000126139609 623696739 /nfs/dbraw/zinc/69/67/39/623696739.db2.gz HIBUQLOKSMGLKX-WDEREUQCSA-N 0 1 320.776 3.042 20 30 DGEDMN N#Cc1cccc([C@@H]2CN(CC3CCSCC3)CCO2)c1 ZINC000876815669 623714900 /nfs/dbraw/zinc/71/49/00/623714900.db2.gz CMVJKYRBLIDKCE-KRWDZBQOSA-N 0 1 302.443 3.075 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1OCCNCc1cc(C#N)cnc1Cl ZINC000876932591 623747713 /nfs/dbraw/zinc/74/77/13/623747713.db2.gz PXSGQTGATTZYRG-DOMZBBRYSA-N 0 1 307.825 3.292 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1ccn(-c2ccccc2)n1 ZINC000876984406 623759951 /nfs/dbraw/zinc/75/99/51/623759951.db2.gz HAPNGOYCOUTASH-MRXNPFEDSA-N 0 1 324.428 3.061 20 30 DGEDMN Cc1ccc(C#N)cc1NCc1ccc(N2CCN(C)CC2)cc1 ZINC000779590775 623780668 /nfs/dbraw/zinc/78/06/68/623780668.db2.gz TUKCZDLCKBPXIK-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN C#CCCCCNCc1nc(Cl)ccc1Br ZINC000877087887 623788381 /nfs/dbraw/zinc/78/83/81/623788381.db2.gz VUJIYRBRODFINH-UHFFFAOYSA-N 0 1 301.615 3.391 20 30 DGEDMN COc1cccc(N=NC(C)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000779816101 623812614 /nfs/dbraw/zinc/81/26/14/623812614.db2.gz AURXXTWAERGYQA-UHFFFAOYSA-N 0 1 300.318 3.143 20 30 DGEDMN COc1cccc(N=NCc2ccc(F)cc2Br)n1 ZINC000779817293 623814082 /nfs/dbraw/zinc/81/40/82/623814082.db2.gz JGCRNNJFGZHKPN-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CCCOc1cccc(CC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000129555803 625678707 /nfs/dbraw/zinc/67/87/07/625678707.db2.gz FWKUTPXETHGTSW-UHFFFAOYSA-N 0 1 310.353 3.234 20 30 DGEDMN COc1ccc(N=NCc2cc(Cl)cc3c2OCC3)nc1 ZINC000780268969 623900478 /nfs/dbraw/zinc/90/04/78/623900478.db2.gz YCRPQPCWVZAMNZ-UHFFFAOYSA-N 0 1 303.749 3.125 20 30 DGEDMN COc1ccc(N=NC2C[C@@H](C)CN(Cc3ccccc3)C2)nc1 ZINC000780271072 623901771 /nfs/dbraw/zinc/90/17/71/623901771.db2.gz OBRWXEGTRHFYQT-OAHLLOKOSA-N 0 1 324.428 3.400 20 30 DGEDMN Fc1ccc(F)c2c1OCCC2=NN=c1nc[nH]cc1Cl ZINC000780275950 623902683 /nfs/dbraw/zinc/90/26/83/623902683.db2.gz ILNMQHRMLJJHBX-UHFFFAOYSA-N 0 1 310.691 3.007 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(Cc3ccc(F)cc3)c2)cc1 ZINC000780509869 623941574 /nfs/dbraw/zinc/94/15/74/623941574.db2.gz RYPPWLZYJYOLOO-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN COc1cnc(F)c(CN[C@H]2CCCc3cc(C#N)ccc32)c1 ZINC000877593985 623956105 /nfs/dbraw/zinc/95/61/05/623956105.db2.gz LPIQOVZVGXVEEN-KRWDZBQOSA-N 0 1 311.360 3.268 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cn(C)nc2-c2cc(F)ccc2F)C1 ZINC000877616741 623963686 /nfs/dbraw/zinc/96/36/86/623963686.db2.gz HAEFMJMDMOHQTR-ZDUSSCGKSA-N 0 1 315.367 3.211 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2c(C(F)(F)F)nc3n2CCCC3)C1 ZINC000877650554 623977689 /nfs/dbraw/zinc/97/76/89/623977689.db2.gz KWRQEPNLAFEHBU-GFCCVEGCSA-N 0 1 311.351 3.083 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(F)c(F)c1[O-])C2 ZINC000877660028 623981084 /nfs/dbraw/zinc/98/10/84/623981084.db2.gz GYRJGYHYMVLRKX-UHFFFAOYSA-N 0 1 314.335 3.295 20 30 DGEDMN C=C(Cl)C[C@H](NCc1cccc(Cl)c1O)C(=O)OCC ZINC000877671138 623985844 /nfs/dbraw/zinc/98/58/44/623985844.db2.gz GGHVIUCDRBHSRP-LBPRGKRZSA-N 0 1 318.200 3.210 20 30 DGEDMN N#Cc1cnc(Cl)c(CNCCSCCC(F)(F)F)c1 ZINC000877730867 624006728 /nfs/dbraw/zinc/00/67/28/624006728.db2.gz HWLPXPSHHVFSPR-UHFFFAOYSA-N 0 1 323.771 3.382 20 30 DGEDMN CCN1CCN(c2ccc(N[C@@H]3CC[C@H](C#N)C3)cc2F)CC1 ZINC000877767337 624028822 /nfs/dbraw/zinc/02/88/22/624028822.db2.gz ZQOHAORKNCHUAP-LSDHHAIUSA-N 0 1 316.424 3.072 20 30 DGEDMN CCOC(=O)[C@@H](C)C1CN(Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC000877829794 624060557 /nfs/dbraw/zinc/06/05/57/624060557.db2.gz BWWCQSVPPGVXTC-AWEZNQCLSA-N 0 1 314.429 3.119 20 30 DGEDMN COC1CCC(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)CC1 ZINC000781479830 624077835 /nfs/dbraw/zinc/07/78/35/624077835.db2.gz KEMOVZSMIAXNNP-RUXDESIVSA-N 0 1 311.385 3.253 20 30 DGEDMN C#CCCCCCN(C)[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000877891762 624079680 /nfs/dbraw/zinc/07/96/80/624079680.db2.gz FDVMARSPZPYCKF-OAHLLOKOSA-N 0 1 307.459 3.277 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)Nc1ccc(C2CC2)c(C#N)c1 ZINC000882315531 625697153 /nfs/dbraw/zinc/69/71/53/625697153.db2.gz VTQLQYNIIOHQIE-ZMZPIMSZSA-N 0 1 320.396 3.463 20 30 DGEDMN N#CCC1CCN(C[C@@H](O)c2cccc(Br)c2)CC1 ZINC000782087118 624202037 /nfs/dbraw/zinc/20/20/37/624202037.db2.gz BJRCZTPHWHOLOT-OAHLLOKOSA-N 0 1 323.234 3.108 20 30 DGEDMN C#CCCCCCN1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000878196167 624212030 /nfs/dbraw/zinc/21/20/30/624212030.db2.gz WFCQZIGSIWGKIE-RTBURBONSA-N 0 1 301.430 3.005 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccnc(Cl)c1 ZINC000782192875 624218065 /nfs/dbraw/zinc/21/80/65/624218065.db2.gz CBEJMKXSZZAXFL-DAFODLJHSA-N 0 1 304.689 3.245 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)nc2ccccc12)[C@@H]1CCCO1 ZINC000782543687 624262544 /nfs/dbraw/zinc/26/25/44/624262544.db2.gz NCZAZXCMOWEFAQ-ZBFHGGJFSA-N 0 1 300.789 3.159 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(F)c(Cl)cc2F)c1 ZINC000127137410 624286110 /nfs/dbraw/zinc/28/61/10/624286110.db2.gz UXKHULYKEBVFDE-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cccc2cnccc12 ZINC000782898642 624288637 /nfs/dbraw/zinc/28/86/37/624288637.db2.gz LGZWYDTYSFDQHE-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NNCCC(C)(C)C ZINC000782903429 624289439 /nfs/dbraw/zinc/28/94/39/624289439.db2.gz VQZDSWVHDGJSJZ-OAHLLOKOSA-N 0 1 302.466 3.305 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@H]1CCC2(CCOCC2)CO1 ZINC000878612801 624321770 /nfs/dbraw/zinc/32/17/70/624321770.db2.gz ASMWHJSPRPGMCH-LJQANCHMSA-N 0 1 313.441 3.098 20 30 DGEDMN N#CCC1(CN2CCCC[C@H]2COC(=O)NC2CCCC2)CC1 ZINC000878626488 624325083 /nfs/dbraw/zinc/32/50/83/624325083.db2.gz CNHGVOAHVOHECU-INIZCTEOSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc(CCCC)cc1 ZINC000783531115 624362721 /nfs/dbraw/zinc/36/27/21/624362721.db2.gz GNIMYHPFQPSPOB-HNNXBMFYSA-N 0 1 309.475 3.281 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)O[C@@H](C)[C@@H]2C)c1O ZINC000783540624 624363241 /nfs/dbraw/zinc/36/32/41/624363241.db2.gz FLJMHBJAWKPDNL-IHRRRGAJSA-N 0 1 305.418 3.127 20 30 DGEDMN C[C@@H](OC(=O)c1c(F)cc(O)cc1F)c1ccc(C#N)cc1 ZINC000783715919 624386618 /nfs/dbraw/zinc/38/66/18/624386618.db2.gz IZOGRCOGTWPEQB-SECBINFHSA-N 0 1 303.264 3.460 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@H](C)[C@@H](C)O1 ZINC000783737571 624389753 /nfs/dbraw/zinc/38/97/53/624389753.db2.gz NTVDNOMYUWXYSS-BZUAXINKSA-N 0 1 301.434 3.034 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@@H](C)[C@H](C)O1 ZINC000783737574 624389827 /nfs/dbraw/zinc/38/98/27/624389827.db2.gz NTVDNOMYUWXYSS-PMPSAXMXSA-N 0 1 301.434 3.034 20 30 DGEDMN CC(C)[C@H](C(=O)O[C@H](C)c1ccc(C#N)cc1)N1CCCC1 ZINC000783775319 624395596 /nfs/dbraw/zinc/39/55/96/624395596.db2.gz DMARERIYKIEOFB-RHSMWYFYSA-N 0 1 300.402 3.283 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)c1ccc(C#N)cc1 ZINC000783781555 624396087 /nfs/dbraw/zinc/39/60/87/624396087.db2.gz YKOJLMIJMLBDTG-XGUBFFRZSA-N 0 1 300.402 3.424 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000790931299 625717356 /nfs/dbraw/zinc/71/73/56/625717356.db2.gz NMXGZZNIGUXRDH-YOEHRIQHSA-N 0 1 302.418 3.224 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCc1ccc(C#N)cc1Cl ZINC000783986348 624416620 /nfs/dbraw/zinc/41/66/20/624416620.db2.gz NTISIPNOWOJKQX-GFCCVEGCSA-N 0 1 320.824 3.085 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@@H](CCC#N)c1ccccc1 ZINC000879255854 624466944 /nfs/dbraw/zinc/46/69/44/624466944.db2.gz RNBBZLDIUDOSQY-SKDZVZGDSA-N 0 1 322.412 3.237 20 30 DGEDMN CC[C@H](C)CCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000784833251 624586013 /nfs/dbraw/zinc/58/60/13/624586013.db2.gz MFZCHAMYVVREMA-UONOGXRCSA-N 0 1 301.390 3.335 20 30 DGEDMN CCCNC(=O)OC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC000805774008 624639272 /nfs/dbraw/zinc/63/92/72/624639272.db2.gz YPCGWVBKBYTPFI-KRWDZBQOSA-N 0 1 315.417 3.049 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccnn2C2CCCCC2)cc1O ZINC000785403532 624662062 /nfs/dbraw/zinc/66/20/62/624662062.db2.gz JHPXEVQOFUIYDJ-UHFFFAOYSA-N 0 1 310.357 3.218 20 30 DGEDMN C[C@@H](CN[C@@H](C)c1ccc(C#N)cc1F)NC(=O)OC(C)(C)C ZINC000785488200 624675905 /nfs/dbraw/zinc/67/59/05/624675905.db2.gz IPQKUKNVFAEZBZ-RYUDHWBXSA-N 0 1 321.396 3.261 20 30 DGEDMN C#CC[C@H](NCc1cc(Br)nn1C)c1ccccc1 ZINC000785504318 624678897 /nfs/dbraw/zinc/67/88/97/624678897.db2.gz VCBIWTZZVQGVNK-AWEZNQCLSA-N 0 1 318.218 3.037 20 30 DGEDMN CC(C)(O)[C@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000879962272 624736767 /nfs/dbraw/zinc/73/67/67/624736767.db2.gz PEGUUSZRHOJBIQ-AWEZNQCLSA-N 0 1 322.836 3.225 20 30 DGEDMN Cc1csc([C@@H]2CC[N@@H+](Cc3ccc(F)c(C#N)c3)C2)n1 ZINC000880008572 624751034 /nfs/dbraw/zinc/75/10/34/624751034.db2.gz UDEPUUXBCSGQOU-CYBMUJFWSA-N 0 1 301.390 3.452 20 30 DGEDMN C#Cc1cccc(N(C(=O)c2ccc3cncn3c2)C(C)C)c1 ZINC000786167842 624816721 /nfs/dbraw/zinc/81/67/21/624816721.db2.gz JMUYBSDUMCQPEY-UHFFFAOYSA-N 0 1 303.365 3.371 20 30 DGEDMN CCCC(C)(C)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000786304913 624846779 /nfs/dbraw/zinc/84/67/79/624846779.db2.gz WOHQOWSHASUCEG-OAHLLOKOSA-N 0 1 300.402 3.228 20 30 DGEDMN N#Cc1c(CN2CC[C@H](c3nccs3)C2)cn2ccccc12 ZINC000880316713 624914226 /nfs/dbraw/zinc/91/42/26/624914226.db2.gz KBRLLKGPIWQTPV-ZDUSSCGKSA-N 0 1 308.410 3.257 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C2CCCCCC2)c1 ZINC000786538310 624915009 /nfs/dbraw/zinc/91/50/09/624915009.db2.gz FKVDRDQUUIMOKK-QGZVFWFLSA-N 0 1 312.413 3.291 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786553535 624920336 /nfs/dbraw/zinc/92/03/36/624920336.db2.gz VPWLQUKNDQSKAZ-OFQRWUPVSA-N 0 1 300.402 3.002 20 30 DGEDMN N#CC(CC[N@@H+]1CC=C[C@@H]1CO)(c1ccccc1)c1ccccc1 ZINC000880489577 624965814 /nfs/dbraw/zinc/96/58/14/624965814.db2.gz VSRHBVKZEUIHKM-HXUWFJFHSA-N 0 1 318.420 3.119 20 30 DGEDMN N#CC(CCN1CC=C[C@@H]1CO)(c1ccccc1)c1ccccc1 ZINC000880489577 624965818 /nfs/dbraw/zinc/96/58/18/624965818.db2.gz VSRHBVKZEUIHKM-HXUWFJFHSA-N 0 1 318.420 3.119 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(OC(C)C)c(Cl)c2)CC1 ZINC000880484031 624965850 /nfs/dbraw/zinc/96/58/50/624965850.db2.gz OKEIHGGOWAKVLD-UHFFFAOYSA-N 0 1 321.848 3.477 20 30 DGEDMN C#CCC1(O)CCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000880484285 624966178 /nfs/dbraw/zinc/96/61/78/624966178.db2.gz TVGFWRGZQBGTBI-UHFFFAOYSA-N 0 1 312.240 3.386 20 30 DGEDMN CCc1cccc(Cl)c1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000788722171 625250680 /nfs/dbraw/zinc/25/06/80/625250680.db2.gz MBSBSNOGSWIZNQ-GASCZTMLSA-N 0 1 304.825 3.096 20 30 DGEDMN C=CCCc1ccc(S(=O)(=O)Nc2cnn(C(C)C)c2)cc1 ZINC000882691759 625787241 /nfs/dbraw/zinc/78/72/41/625787241.db2.gz XDVWWJHGNZXCLV-UHFFFAOYSA-N 0 1 319.430 3.383 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1ccc(C#N)c(F)c1 ZINC000791460355 625807105 /nfs/dbraw/zinc/80/71/05/625807105.db2.gz YSCRORRRUBGPSZ-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN C=CCC[C@H](NCc1csc([C@H](C)OC)n1)[C@@H]1CCCO1 ZINC000883195849 625966133 /nfs/dbraw/zinc/96/61/33/625966133.db2.gz DOBGTVXRXSWYAE-QEJZJMRPSA-N 0 1 310.463 3.454 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCc3cc(Cl)ccc32)C1=O ZINC000796057412 626019790 /nfs/dbraw/zinc/01/97/90/626019790.db2.gz OIQVOFSMJWPHKF-CVEARBPZSA-N 0 1 304.821 3.046 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)c1ccc(F)cc1 ZINC000796381844 626045008 /nfs/dbraw/zinc/04/50/08/626045008.db2.gz GJRVOOUBZJHESV-SFHVURJKSA-N 0 1 319.376 3.023 20 30 DGEDMN Cc1ccccc1[C@@H](O)C1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000796406680 626047519 /nfs/dbraw/zinc/04/75/19/626047519.db2.gz LYVZFTHBUZVYTE-FQEVSTJZSA-N 0 1 321.424 3.207 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796631200 626067926 /nfs/dbraw/zinc/06/79/26/626067926.db2.gz NXVCSMUWVGENPZ-GJZGRUSLSA-N 0 1 310.413 3.310 20 30 DGEDMN N#C[C@@H](C(=O)NC1CCCCC1)C(=O)[C@H](F)CC1CCCCC1 ZINC000796631617 626068059 /nfs/dbraw/zinc/06/80/59/626068059.db2.gz HTKRABAHTXRWHA-HZPDHXFCSA-N 0 1 322.424 3.453 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CC[C@@H](C(F)(F)F)C2)nc(C)n1 ZINC000796778078 626081572 /nfs/dbraw/zinc/08/15/72/626081572.db2.gz VXVCXLINAJFJGI-TUAOUCFPSA-N 0 1 311.307 3.248 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000796792125 626083673 /nfs/dbraw/zinc/08/36/73/626083673.db2.gz IOGKVEBLDKILKT-DOMZBBRYSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000796792128 626083786 /nfs/dbraw/zinc/08/37/86/626083786.db2.gz IOGKVEBLDKILKT-WFASDCNBSA-N 0 1 302.374 3.169 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1cccc(CC(C)C)c1 ZINC000796931509 626096774 /nfs/dbraw/zinc/09/67/74/626096774.db2.gz DFJOWOIXXAQFJJ-HNNXBMFYSA-N 0 1 309.475 3.137 20 30 DGEDMN CC(=[NH+][N-]c1ncnc(Cl)c1C(C)C)c1csc(N)n1 ZINC000797404196 626140359 /nfs/dbraw/zinc/14/03/59/626140359.db2.gz BATQIPIYMOHQJR-UHFFFAOYSA-N 0 1 310.814 3.128 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2[C@@H](C)[C@@H]2c2ccccc2)nc(C)n1 ZINC000797549075 626152084 /nfs/dbraw/zinc/15/20/84/626152084.db2.gz UMNXOHJQNOBPNK-PWUKJLEFSA-N 0 1 305.381 3.319 20 30 DGEDMN CSCCCN(C)Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000799162199 626276777 /nfs/dbraw/zinc/27/67/77/626276777.db2.gz NZKLKUXGOPDROC-UHFFFAOYSA-N 0 1 300.431 3.064 20 30 DGEDMN COc1cc(CN[C@H](C)c2ccnc(Cl)c2)ccc1C#N ZINC000799341987 626292901 /nfs/dbraw/zinc/29/29/01/626292901.db2.gz IQWBFPGNKHQENG-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cc(C)n(C)n1 ZINC000799878684 626333066 /nfs/dbraw/zinc/33/30/66/626333066.db2.gz VVEFVTGYONFDCB-UHFFFAOYSA-N 0 1 307.741 3.126 20 30 DGEDMN CC(N=Nc1ccncc1Cl)c1cnn(C2CCCC2)c1 ZINC000799881726 626333189 /nfs/dbraw/zinc/33/31/89/626333189.db2.gz WSOGNEDHTCRSGO-UHFFFAOYSA-N 0 1 303.797 3.305 20 30 DGEDMN Cc1cc(NN=Cc2ccc(-c3cnn(C)c3)s2)nc(C)n1 ZINC000800810608 626376005 /nfs/dbraw/zinc/37/60/05/626376005.db2.gz RADPCRNBDLGMDT-UHFFFAOYSA-N 0 1 312.402 3.001 20 30 DGEDMN CCCn1cccc1C=NNc1cccc(F)c1C(=O)OC ZINC000800817163 626376379 /nfs/dbraw/zinc/37/63/79/626376379.db2.gz IPABTPRGXAGWAH-UHFFFAOYSA-N 0 1 303.337 3.270 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2cn(C)c(C)n2)cc1Cl ZINC000800815638 626376454 /nfs/dbraw/zinc/37/64/54/626376454.db2.gz IHRYIRYILNHFEU-UHFFFAOYSA-N 0 1 320.780 3.005 20 30 DGEDMN C#C[C@H](N[C@H]1CCc2ccc(Br)cc21)[C@H]1CCCO1 ZINC000800982091 626387969 /nfs/dbraw/zinc/38/79/69/626387969.db2.gz CLDQYZWCMKYEHQ-HRCADAONSA-N 0 1 320.230 3.207 20 30 DGEDMN CC(C)(C)SCCN1CCN(c2ccccc2C#N)CC1 ZINC000801917265 626425739 /nfs/dbraw/zinc/42/57/39/626425739.db2.gz WQIQGFDDJIOJFP-UHFFFAOYSA-N 0 1 303.475 3.212 20 30 DGEDMN C[C@H](C#N)OCCNC(C)(C)c1ccccc1Br ZINC000801940666 626427199 /nfs/dbraw/zinc/42/71/99/626427199.db2.gz ZVMHSVMZYMAZLP-LLVKDONJSA-N 0 1 311.223 3.202 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000802797105 626573605 /nfs/dbraw/zinc/57/36/05/626573605.db2.gz OUYDPVFFHSONJH-FZMZJTMJSA-N 0 1 322.355 3.116 20 30 DGEDMN C=CCN1CCN(CN2CC[C@H](c3ccc(Cl)cc3)C2)C1=O ZINC000839997766 626605792 /nfs/dbraw/zinc/60/57/92/626605792.db2.gz PZHDDKPJWUHHFR-HNNXBMFYSA-N 0 1 319.836 3.010 20 30 DGEDMN C[C@@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1cc(F)ccc1F ZINC000883358680 626660100 /nfs/dbraw/zinc/66/01/00/626660100.db2.gz PHDFJAALWXCTTG-LDWIPMOCSA-N 0 1 319.220 3.174 20 30 DGEDMN C[C@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1cccc(F)c1F ZINC000883360739 626660911 /nfs/dbraw/zinc/66/09/11/626660911.db2.gz JVAVFTVLBNWZOB-IMTBSYHQSA-N 0 1 319.220 3.174 20 30 DGEDMN C=C(C)CNC(=S)NCc1cc(Cl)cc(Cl)c1O ZINC000806599642 626725883 /nfs/dbraw/zinc/72/58/83/626725883.db2.gz VZKREZQGAPYDRP-UHFFFAOYSA-N 0 1 305.230 3.239 20 30 DGEDMN CC(C)[C@@H]1CN(Cn2ccc(-c3ccc(C#N)cc3)n2)CCCO1 ZINC000840095897 626757659 /nfs/dbraw/zinc/75/76/59/626757659.db2.gz OJDWMUAIZCHECX-IBGZPJMESA-N 0 1 324.428 3.126 20 30 DGEDMN COCCOCc1ccc(CN=Nc2nc3ccccc3[nH]2)cc1 ZINC000807946312 626779280 /nfs/dbraw/zinc/77/92/80/626779280.db2.gz RBJANRSIFJUXDG-UHFFFAOYSA-N 0 1 324.384 3.172 20 30 DGEDMN CC(=CN=NC1=NC[C@@H](C)N1)Cc1cccc(C(F)(F)F)c1 ZINC000807981065 626782197 /nfs/dbraw/zinc/78/21/97/626782197.db2.gz GUNRDNPFDZAEJJ-HPMOIOIWSA-N 0 1 310.323 3.032 20 30 DGEDMN CC(C)C(N)=NOCc1cccc(NC(=O)OC(C)(C)C)c1 ZINC000133672888 626831672 /nfs/dbraw/zinc/83/16/72/626831672.db2.gz GCRDGJKAQYEUJA-UHFFFAOYSA-N 0 1 307.394 3.478 20 30 DGEDMN COc1cccc2c(C(=O)O[C@H](C)c3cccc(C#N)c3)[nH]nc21 ZINC000808675715 626847801 /nfs/dbraw/zinc/84/78/01/626847801.db2.gz QFGOAWNLMHKTNG-LLVKDONJSA-N 0 1 321.336 3.361 20 30 DGEDMN C=C(C)COCCNCc1c(Cl)n(C)nc1-c1ccccc1 ZINC000134503849 626879437 /nfs/dbraw/zinc/87/94/37/626879437.db2.gz GFOANIMGRGAHQR-UHFFFAOYSA-N 0 1 319.836 3.423 20 30 DGEDMN C=CCOc1cc(OC)ccc1C(=O)Nc1cc(C#N)ccc1O ZINC000135078176 626904814 /nfs/dbraw/zinc/90/48/14/626904814.db2.gz GCSGESJZGKKHSL-UHFFFAOYSA-N 0 1 324.336 3.090 20 30 DGEDMN C#Cc1ccc(CN[C@H]2CCN(c3ccccc3Cl)C2=O)cc1 ZINC000809619497 626927157 /nfs/dbraw/zinc/92/71/57/626927157.db2.gz ATWJNAWIBLGLSG-KRWDZBQOSA-N 0 1 324.811 3.216 20 30 DGEDMN C#C[C@@H](C)NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000809683976 626935801 /nfs/dbraw/zinc/93/58/01/626935801.db2.gz ULGDULSKSIZLIK-CYBMUJFWSA-N 0 1 300.789 3.425 20 30 DGEDMN C#C[C@H](N[C@H](C)CCn1cccn1)c1ccc(Cl)cc1F ZINC000809699485 626938094 /nfs/dbraw/zinc/93/80/94/626938094.db2.gz PEAIRRUCVUIYRN-WBMJQRKESA-N 0 1 305.784 3.418 20 30 DGEDMN C[C@@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1cccc(C#N)c1 ZINC000810568932 627017813 /nfs/dbraw/zinc/01/78/13/627017813.db2.gz SAPSLYWMOLFBIH-FZKQIMNGSA-N 0 1 318.392 3.375 20 30 DGEDMN C=CCOc1ccc(OC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC000810599814 627021748 /nfs/dbraw/zinc/02/17/48/627021748.db2.gz OPWWXPVELJYDDC-GOSISDBHSA-N 0 1 321.392 3.369 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cn[nH]c3C(C)(C)C)cc21 ZINC000885572478 627027189 /nfs/dbraw/zinc/02/71/89/627027189.db2.gz IICFMOZZBONTCE-UHFFFAOYSA-N 0 1 323.400 3.495 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)c(Cl)c1 ZINC000885669423 627041275 /nfs/dbraw/zinc/04/12/75/627041275.db2.gz FFKWWYOTEMXZGO-GXFFZTMASA-N 0 1 313.788 3.349 20 30 DGEDMN CSc1ccc(CNCc2cn(C)nc2C(F)F)cc1C#N ZINC000886277104 627129734 /nfs/dbraw/zinc/12/97/34/627129734.db2.gz HFFGQGMKTRLRRF-UHFFFAOYSA-N 0 1 322.384 3.241 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000886353726 627141254 /nfs/dbraw/zinc/14/12/54/627141254.db2.gz ODQJUJZKONTFBW-GFCCVEGCSA-N 0 1 301.777 3.235 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000886358143 627142615 /nfs/dbraw/zinc/14/26/15/627142615.db2.gz PXMIGGVLZDLDSE-GFCCVEGCSA-N 0 1 301.777 3.235 20 30 DGEDMN CC(C)c1ccc(S(=O)(=O)Nc2cccc(CC#N)n2)cc1 ZINC000886435519 627148208 /nfs/dbraw/zinc/14/82/08/627148208.db2.gz ASIATGDAYNKIQC-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN C#CC[C@@H](N[C@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886557183 627163098 /nfs/dbraw/zinc/16/30/98/627163098.db2.gz VTEYHXDXAYOEEZ-RDTXWAMCSA-N 0 1 308.381 3.283 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cn[nH]c3C(C)(C)C)cc2n1 ZINC000886649144 627180718 /nfs/dbraw/zinc/18/07/18/627180718.db2.gz QRHGRMOQOZCCTE-UHFFFAOYSA-N 0 1 323.400 3.495 20 30 DGEDMN N#Cc1cccc([C@H]2CN(CC3Cc4ccccc4C3)CCO2)c1 ZINC000811468245 627277691 /nfs/dbraw/zinc/27/76/91/627277691.db2.gz IWGIZEXVZMJZLE-OAQYLSRUSA-N 0 1 318.420 3.347 20 30 DGEDMN C[C@@H]1C(N=Nc2ccccc2C(=O)[O-])CC[N@H+]1Cc1ccccc1 ZINC000811635785 627297183 /nfs/dbraw/zinc/29/71/83/627297183.db2.gz LQODHFWPTCTAGR-CQSZACIVSA-N 0 1 323.396 3.447 20 30 DGEDMN COc1ccc(N=NC2CCN(Cc3ccccc3)[C@@H]2C)nc1 ZINC000811648108 627299613 /nfs/dbraw/zinc/29/96/13/627299613.db2.gz FHYAHFYDTATXEL-CQSZACIVSA-N 0 1 310.401 3.153 20 30 DGEDMN Cl/C=C\CON=CC1(CN2CCOCC2)CCCCC1 ZINC000811670005 627304806 /nfs/dbraw/zinc/30/48/06/627304806.db2.gz MCOFUZAEHRJDHN-DPCWLQFSSA-N 0 1 300.830 3.024 20 30 DGEDMN Cl/C=C/CON=CC1(CN2CCOCC2)CCCCC1 ZINC000811670007 627304984 /nfs/dbraw/zinc/30/49/84/627304984.db2.gz MCOFUZAEHRJDHN-QOTQFYAKSA-N 0 1 300.830 3.024 20 30 DGEDMN N#Cc1ccc(C2CCN(C(=O)c3cc(C4CC4)[nH]n3)CC2)cc1 ZINC000887706026 627309726 /nfs/dbraw/zinc/30/97/26/627309726.db2.gz IUGNXCPUBQMRIM-UHFFFAOYSA-N 0 1 320.396 3.179 20 30 DGEDMN CN(C)c1ccc(C(N)=NOC[C@@H]2C[C@H]2c2ccccc2)cc1 ZINC000812303417 627369321 /nfs/dbraw/zinc/36/93/21/627369321.db2.gz AHQYRQKYJPCRRQ-WMZOPIPTSA-N 0 1 309.413 3.193 20 30 DGEDMN C[C@H](NCc1c2c(nn1C)CCCC2)c1ccc(OCC#N)cc1 ZINC000812737669 627414686 /nfs/dbraw/zinc/41/46/86/627414686.db2.gz RFNJMNSKFAVWHO-AWEZNQCLSA-N 0 1 324.428 3.052 20 30 DGEDMN C#CCONC(=O)C(C)(C)COc1cc(Cl)cc(Cl)c1 ZINC000812787173 627420135 /nfs/dbraw/zinc/42/01/35/627420135.db2.gz GHDWOKOIGAWBMA-UHFFFAOYSA-N 0 1 316.184 3.079 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813212089 627467595 /nfs/dbraw/zinc/46/75/95/627467595.db2.gz WZGXPMVDQHJZRE-GXSJLCMTSA-N 0 1 314.332 3.420 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)c2n[nH]c(C)n2)cc1Cl ZINC000813330946 627478724 /nfs/dbraw/zinc/47/87/24/627478724.db2.gz JXTKDXQGOYFTLI-SNVBAGLBSA-N 0 1 306.797 3.182 20 30 DGEDMN FC(F)Cn1cc(C=NNc2cccc(C(F)(F)F)n2)cn1 ZINC000814223098 627552429 /nfs/dbraw/zinc/55/24/29/627552429.db2.gz MUZKLLGLEKFWAW-UHFFFAOYSA-N 0 1 319.237 3.008 20 30 DGEDMN C#CCN(Cc1n[nH]c(C(C)(C)C)n1)[C@H]1CCc2ccccc21 ZINC000814619657 627579893 /nfs/dbraw/zinc/57/98/93/627579893.db2.gz RXSCIYRGELNFOK-INIZCTEOSA-N 0 1 308.429 3.225 20 30 DGEDMN CC(=NNc1ccccc1C(=O)[O-])c1cccc(C[NH+](C)C)c1 ZINC000814768742 627589615 /nfs/dbraw/zinc/58/96/15/627589615.db2.gz KRMRNDVNPQLBJT-UHFFFAOYSA-N 0 1 311.385 3.283 20 30 DGEDMN CCOc1ccc2c(c1)C(N=Nc1ccc(F)cn1)CCO2 ZINC000814842526 627597148 /nfs/dbraw/zinc/59/71/48/627597148.db2.gz KYAWUFKFVBVCKN-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN Cn1c2ccc(CN=Nc3cccc(F)c3F)cc2sc1=O ZINC000814859724 627599409 /nfs/dbraw/zinc/59/94/09/627599409.db2.gz XKAFAJYBOPAWCL-UHFFFAOYSA-N 0 1 319.336 3.324 20 30 DGEDMN CCCC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(OC)cc1 ZINC000814885926 627602661 /nfs/dbraw/zinc/60/26/61/627602661.db2.gz MZQQAMIDTDZMAV-IAGOWNOFSA-N 0 1 314.433 3.059 20 30 DGEDMN CCC(=NNc1ccccc1C(=O)OC)c1ccc(F)cn1 ZINC000814893808 627603570 /nfs/dbraw/zinc/60/35/70/627603570.db2.gz BXJGJLUXJSFJNK-UHFFFAOYSA-N 0 1 301.321 3.234 20 30 DGEDMN CC(=[NH+][N-]c1cc(C#N)cc(Cl)n1)c1cc(F)c(N)c(F)c1 ZINC000814891078 627603757 /nfs/dbraw/zinc/60/37/57/627603757.db2.gz NETCXQLDYVIPJX-UHFFFAOYSA-N 0 1 321.718 3.303 20 30 DGEDMN CC(C)(C)c1ncc(CN=Nc2cc(C#N)cc(Cl)n2)cn1 ZINC000814891089 627603764 /nfs/dbraw/zinc/60/37/64/627603764.db2.gz NMYQLLGFJPXSHE-UHFFFAOYSA-N 0 1 314.780 3.140 20 30 DGEDMN CC(=[NH+][N-]c1cccc(F)c1[N+](=O)[O-])c1cc(F)c(N)c(F)c1 ZINC000814918936 627607798 /nfs/dbraw/zinc/60/77/98/627607798.db2.gz IRTRGHJGVBHANF-UHFFFAOYSA-N 0 1 324.262 3.430 20 30 DGEDMN COCc1nc(Cl)cc(NN=Cc2cc(F)ccc2F)n1 ZINC000814975157 627614670 /nfs/dbraw/zinc/61/46/70/627614670.db2.gz VHDSWZZEVUYHTN-UHFFFAOYSA-N 0 1 312.707 3.001 20 30 DGEDMN COCc1nc(Cl)cc(NN=Cc2cnc(C3CC3)s2)n1 ZINC000814976936 627614852 /nfs/dbraw/zinc/61/48/52/627614852.db2.gz QGHJFDCZDWGWIZ-UHFFFAOYSA-N 0 1 323.809 3.056 20 30 DGEDMN CCCCCCOc1ccc(CN=Nc2cnnn2C)cc1 ZINC000814980494 627615143 /nfs/dbraw/zinc/61/51/43/627615143.db2.gz NTSJHDVVSXPCAJ-UHFFFAOYSA-N 0 1 301.394 3.220 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000815095252 627625877 /nfs/dbraw/zinc/62/58/77/627625877.db2.gz BGHMSEYKPCAUGJ-HIFRSBDPSA-N 0 1 308.372 3.150 20 30 DGEDMN CC(C)[C@H]1CN([C@H]2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000815098832 627626369 /nfs/dbraw/zinc/62/63/69/627626369.db2.gz NONHMWHJXWFZJI-HRCADAONSA-N 0 1 321.465 3.256 20 30 DGEDMN C#CC(C)(C)N(C)Cc1cc([N+](=O)[O-])ccc1C(F)(F)F ZINC000815103031 627627045 /nfs/dbraw/zinc/62/70/45/627627045.db2.gz MPSPBBUQQNCUIV-UHFFFAOYSA-N 0 1 300.280 3.457 20 30 DGEDMN Cc1ccc2snc(C(=O)Nc3cc(C#N)ccc3O)c2c1 ZINC000815877869 627708954 /nfs/dbraw/zinc/70/89/54/627708954.db2.gz KABACXOUDGDKOZ-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F)cc1 ZINC000815907924 627711169 /nfs/dbraw/zinc/71/11/69/627711169.db2.gz OHZWBQXMZFAEPD-GXFFZTMASA-N 0 1 308.328 3.188 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CC3CCC2CC3)c1 ZINC000816037410 627729214 /nfs/dbraw/zinc/72/92/14/627729214.db2.gz NBIYCASNHYNEGU-SYNTWQANSA-N 0 1 324.424 3.146 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000925386015 627732061 /nfs/dbraw/zinc/73/20/61/627732061.db2.gz VDFDGODFEPVVQW-GXTWGEPZSA-N 0 1 319.836 3.363 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000925386018 627732475 /nfs/dbraw/zinc/73/24/75/627732475.db2.gz VDFDGODFEPVVQW-JSGCOSHPSA-N 0 1 319.836 3.363 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2N1CCOc2ccc(N)cc2C1 ZINC000925752201 627787223 /nfs/dbraw/zinc/78/72/23/627787223.db2.gz HAVWVZYELGUDOW-LJQANCHMSA-N 0 1 319.408 3.412 20 30 DGEDMN C#CCCOc1ccc(CN2CCOC[C@@H]2CC2CCC2)cc1 ZINC000816667539 627823669 /nfs/dbraw/zinc/82/36/69/627823669.db2.gz PKLWVPRVXURLRW-IBGZPJMESA-N 0 1 313.441 3.480 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000926531579 627909343 /nfs/dbraw/zinc/90/93/43/627909343.db2.gz SKLNHCQGCDJCIT-OAHLLOKOSA-N 0 1 309.413 3.471 20 30 DGEDMN C=CCOCCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000817584535 627926121 /nfs/dbraw/zinc/92/61/21/627926121.db2.gz AZJKMIXOHLJCOK-NSHDSACASA-N 0 1 317.252 3.149 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)[C@H]1CC12CC2 ZINC000817714615 627937784 /nfs/dbraw/zinc/93/77/84/627937784.db2.gz VHMSRSANDASARC-LSDHHAIUSA-N 0 1 304.349 3.287 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)cc1 ZINC000817713758 627937919 /nfs/dbraw/zinc/93/79/19/627937919.db2.gz HDEVZPRGLFSEMI-QSJFSLAZSA-N 0 1 310.397 3.333 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccccc1 ZINC000817731510 627941370 /nfs/dbraw/zinc/94/13/70/627941370.db2.gz ZSTAGAJAXSQZPL-ZKXLYKBJSA-N 0 1 324.424 3.399 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000817791649 627949596 /nfs/dbraw/zinc/94/95/96/627949596.db2.gz NDXDQIPOTJUPSN-CQSZACIVSA-N 0 1 300.402 3.174 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2cnn(Cc3ccccc3)c2Cl)C1 ZINC000818230723 628007238 /nfs/dbraw/zinc/00/72/38/628007238.db2.gz MCABSZVTHTVUIS-GOEBONIOSA-N 0 1 314.820 3.367 20 30 DGEDMN CC(C)n1ncc2cc(CN[C@@H]3CC[C@H](C#N)C3)c(Cl)nc21 ZINC000818231711 628007601 /nfs/dbraw/zinc/00/76/01/628007601.db2.gz XFFXMTAEMPCGFW-SMDDNHRTSA-N 0 1 317.824 3.447 20 30 DGEDMN C[C@@H]([NH2+][C@@H]1CC[C@H](C#N)C1)c1cc(Br)ccc1[O-] ZINC000818355033 628017378 /nfs/dbraw/zinc/01/73/78/628017378.db2.gz AKERCAQHSLUPPR-JFGNBEQYSA-N 0 1 309.207 3.498 20 30 DGEDMN CC(C)n1cc2c(n1)[C@H](NC[C@H](O)c1ccc(C#N)cc1)CCC2 ZINC000819218573 628114809 /nfs/dbraw/zinc/11/48/09/628114809.db2.gz QBMWEGOJUTYZSI-MSOLQXFVSA-N 0 1 324.428 3.036 20 30 DGEDMN C#CCN1CCC(NC(=O)c2cc3ccc(C(C)C)cc3[nH]2)CC1 ZINC000928657216 628173153 /nfs/dbraw/zinc/17/31/53/628173153.db2.gz SCXUJAWYZVXKIV-UHFFFAOYSA-N 0 1 323.440 3.119 20 30 DGEDMN C#CCN1CCC(NC(=O)c2cccc(C3CCCC3)c2)CC1 ZINC000928648471 628173161 /nfs/dbraw/zinc/17/31/61/628173161.db2.gz LNDWUNSHOBLMCB-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CCC)CC2CCCC2)CC1 ZINC000928654863 628173196 /nfs/dbraw/zinc/17/31/96/628173196.db2.gz GIGZRKBSYDZWNC-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)n1cncc1C=NNc1ccc(Br)cn1 ZINC000819808258 628192177 /nfs/dbraw/zinc/19/21/77/628192177.db2.gz TWTIKNKVVRSTGC-UHFFFAOYSA-N 0 1 308.183 3.068 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC[C@H]2C)c1 ZINC000819946280 628202581 /nfs/dbraw/zinc/20/25/81/628202581.db2.gz ZAHWAIRMCLLINP-DAXOMENPSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC1(CCOC(=O)Cc2n[nH]c3c2CCCC3)CCCCC1 ZINC000840465364 628375051 /nfs/dbraw/zinc/37/50/51/628375051.db2.gz XGPHLSLUARKHRA-UHFFFAOYSA-N 0 1 315.417 3.238 20 30 DGEDMN C=CCN(Cc1cccc(-c2nn[nH]n2)c1)[C@H](C)c1ccccn1 ZINC000823914923 628609804 /nfs/dbraw/zinc/60/98/04/628609804.db2.gz OUWAUCRDQGUDCQ-CQSZACIVSA-N 0 1 320.400 3.011 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](OC)[C@@H](C)C1 ZINC000824815542 628696668 /nfs/dbraw/zinc/69/66/68/628696668.db2.gz SLCGBARAZOKDAH-XJKSGUPXSA-N 0 1 307.821 3.209 20 30 DGEDMN O=C(NC[C@@H]1CC=CC[C@H]1C(F)(F)F)C(F)(F)C(F)F ZINC000825502602 628769907 /nfs/dbraw/zinc/76/99/07/628769907.db2.gz MZCQQFIXNNXRNT-NKWVEPMBSA-N 0 1 307.209 3.148 20 30 DGEDMN C#C[C@@H](N[C@H](COC)CC1CCOCC1)c1ccc(Cl)cc1 ZINC000825949248 628813481 /nfs/dbraw/zinc/81/34/81/628813481.db2.gz RPAFNMYENAYTKE-ZWKOTPCHSA-N 0 1 321.848 3.436 20 30 DGEDMN CC(C)(C#N)CCC[N@@H+](CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000826072362 628825437 /nfs/dbraw/zinc/82/54/37/628825437.db2.gz VUMPIFXSBUJVPM-UHFFFAOYSA-N 0 1 310.344 3.181 20 30 DGEDMN N#CCC[C@@H](NCc1ccc(-c2nn[nH]n2)cc1)c1ccccc1 ZINC000826381369 628850541 /nfs/dbraw/zinc/85/05/41/628850541.db2.gz JCZFYBXECAAUDG-QGZVFWFLSA-N 0 1 318.384 3.001 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2ccncc2)cc1F)C1CCOCC1 ZINC000827564466 628971291 /nfs/dbraw/zinc/97/12/91/628971291.db2.gz CHKWZNDWBKOJGV-FQEVSTJZSA-N 0 1 324.399 3.406 20 30 DGEDMN COC1(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CCCCCC1 ZINC000828260228 629039313 /nfs/dbraw/zinc/03/93/13/629039313.db2.gz CMTGLFCYWYFTFA-UHFFFAOYSA-N 0 1 312.373 3.112 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(Cl)cc2C)C1 ZINC000829784082 629192407 /nfs/dbraw/zinc/19/24/07/629192407.db2.gz GCVPSLRJKDGYNQ-CQSZACIVSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC000829804918 629195401 /nfs/dbraw/zinc/19/54/01/629195401.db2.gz NDXABEUUZQTUMH-CQSZACIVSA-N 0 1 315.367 3.386 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)N[C@H](C)c2cc3ccccc3o2)CC1 ZINC000830326125 629253316 /nfs/dbraw/zinc/25/33/16/629253316.db2.gz CPBBZTDRVYUCAD-CABCVRRESA-N 0 1 324.424 3.344 20 30 DGEDMN C#CC1CCN(CC(=O)N(CC)[C@@H](C)c2ccc(F)cc2)CC1 ZINC000830330353 629253852 /nfs/dbraw/zinc/25/38/52/629253852.db2.gz WNGWGISRFJSCIE-HNNXBMFYSA-N 0 1 316.420 3.080 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)N2CCC[C@H]2c2cccs2)CC1 ZINC000830338136 629255156 /nfs/dbraw/zinc/25/51/56/629255156.db2.gz SXYOERPWKJKSEN-HOCLYGCPSA-N 0 1 316.470 3.145 20 30 DGEDMN C[N@@H+](CCCc1ccccc1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830777016 629304705 /nfs/dbraw/zinc/30/47/05/629304705.db2.gz IQGBCRQWEYFXRB-SFHVURJKSA-N 0 1 308.381 3.249 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCC2(C#N)CC2)C12CCCC2 ZINC000831021560 629332090 /nfs/dbraw/zinc/33/20/90/629332090.db2.gz ICXCVSUCFYKJLI-CQSZACIVSA-N 0 1 319.449 3.202 20 30 DGEDMN CCC(F)(F)C(=O)N[C@H](c1cccc(C#N)c1)C(F)(F)F ZINC000831095700 629337791 /nfs/dbraw/zinc/33/77/91/629337791.db2.gz KOHVHGALDWYPPH-SNVBAGLBSA-N 0 1 306.234 3.323 20 30 DGEDMN COC(=O)C1(C#N)CCN(Cc2c(C)ccc3ccccc32)CC1 ZINC000831695422 629404947 /nfs/dbraw/zinc/40/49/47/629404947.db2.gz QPHCFTJLFUTBSD-UHFFFAOYSA-N 0 1 322.408 3.427 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)C#CC(C)(C)C)C2)n[nH]1 ZINC000831884825 629422546 /nfs/dbraw/zinc/42/25/46/629422546.db2.gz KTNQVLKTTOJKBP-CQSZACIVSA-N 0 1 301.434 3.289 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3F)C2)c1 ZINC000833074473 629555457 /nfs/dbraw/zinc/55/54/57/629555457.db2.gz YPSWFTDBBXHFQP-MAUKXSAKSA-N 0 1 324.355 3.313 20 30 DGEDMN C#CCOc1ccccc1C[N@@H+]1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000833366517 629592123 /nfs/dbraw/zinc/59/21/23/629592123.db2.gz BAIYCGVJIUHAOI-INIZCTEOSA-N 0 1 315.413 3.021 20 30 DGEDMN C=C(C)COc1cc(C[N@H+]2CCC[C@H]2CC(=O)[O-])ccc1OC ZINC000833369380 629593127 /nfs/dbraw/zinc/59/31/27/629593127.db2.gz TYITVMUPEBXTOI-HNNXBMFYSA-N 0 1 319.401 3.089 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@@H]2CC(=O)[O-])cc1Cl ZINC000833377925 629594445 /nfs/dbraw/zinc/59/44/45/629594445.db2.gz QQZNLPITBDROKV-CYBMUJFWSA-N 0 1 309.793 3.344 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1Cl ZINC000833377559 629594478 /nfs/dbraw/zinc/59/44/78/629594478.db2.gz BQWHLQKOBNXMKE-AAEUAGOBSA-N 0 1 309.793 3.057 20 30 DGEDMN CC(C)C[N@@H+](Cc1ccc(C(=O)[O-])[nH]1)Cc1ccc(C#N)cc1 ZINC000833486210 629610659 /nfs/dbraw/zinc/61/06/59/629610659.db2.gz BZFBAAHELAKGOH-UHFFFAOYSA-N 0 1 311.385 3.243 20 30 DGEDMN N#CCCCOc1cccc(C[N@@H+]2CCCCC[C@@H]2C(=O)[O-])c1 ZINC000833760213 629647588 /nfs/dbraw/zinc/64/75/88/629647588.db2.gz KTHFGQMSHHACAR-QGZVFWFLSA-N 0 1 316.401 3.198 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2[C@@H]2CCCN2C(=O)[O-])s1 ZINC000833972268 629664621 /nfs/dbraw/zinc/66/46/21/629664621.db2.gz YBJWQACMBGDYBV-CABCVRRESA-N 0 1 319.430 3.117 20 30 DGEDMN Nc1ccc2c(n1)CCCC2=[NH+][N-]c1ccc(C(F)(F)F)cn1 ZINC000834921774 629809148 /nfs/dbraw/zinc/80/91/48/629809148.db2.gz ZERDAUUQHFSZBW-UHFFFAOYSA-N 0 1 321.306 3.230 20 30 DGEDMN CCCn1cccc1C=NNc1cccc(C(=O)OC)c1F ZINC000835018853 629825064 /nfs/dbraw/zinc/82/50/64/629825064.db2.gz IPKUFPAIVHIVQJ-UHFFFAOYSA-N 0 1 303.337 3.270 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000835065645 629842341 /nfs/dbraw/zinc/84/23/41/629842341.db2.gz PKLGSANKBUTCCD-MCIONIFRSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)N[C@@H](CC)c1ccccc1 ZINC000835340404 629910114 /nfs/dbraw/zinc/91/01/14/629910114.db2.gz NFCDIFJKIWBKKX-HOTGVXAUSA-N 0 1 300.402 3.399 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000835341921 629910666 /nfs/dbraw/zinc/91/06/66/629910666.db2.gz XAKANRZWJFQAAX-BRWVUGGUSA-N 0 1 312.413 3.146 20 30 DGEDMN CCc1ncc(CNCc2cccc(O[C@H](C#N)CC)c2)cn1 ZINC000840896823 630374289 /nfs/dbraw/zinc/37/42/89/630374289.db2.gz HNLKRTZXRDYYQI-INIZCTEOSA-N 0 1 310.401 3.010 20 30 DGEDMN C[C@H](O)c1ncc(CN[C@H]2CCCc3cc(C#N)ccc32)s1 ZINC000841894073 630473934 /nfs/dbraw/zinc/47/39/34/630473934.db2.gz MLXNLYHIVOIQQN-ZBEGNZNMSA-N 0 1 313.426 3.235 20 30 DGEDMN C[C@H](ON=C(N)CN1[C@H](C)CCC[C@H]1C)c1ccc(C#N)cc1 ZINC000842048301 630482207 /nfs/dbraw/zinc/48/22/07/630482207.db2.gz CRFLOMAHOJZCMY-KFWWJZLASA-N 0 1 314.433 3.381 20 30 DGEDMN CCCc1ccc(CCCC(=O)C(C#N)C(=O)NC(C)C)cc1 ZINC000842690366 630563584 /nfs/dbraw/zinc/56/35/84/630563584.db2.gz UTMFTBKDWDAOQG-QGZVFWFLSA-N 0 1 314.429 3.195 20 30 DGEDMN N#C[C@@H](C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940789 630596438 /nfs/dbraw/zinc/59/64/38/630596438.db2.gz DVSUNXDEWWDOJB-RRROIERXSA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940789 630596439 /nfs/dbraw/zinc/59/64/39/630596439.db2.gz DVSUNXDEWWDOJB-RRROIERXSA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940789 630596440 /nfs/dbraw/zinc/59/64/40/630596440.db2.gz DVSUNXDEWWDOJB-RRROIERXSA-N 0 1 302.399 3.180 20 30 DGEDMN C=CCc1ccc(OCc2nc([C@H](N)C(C)C)no2)c(OC)c1 ZINC000843151040 630620955 /nfs/dbraw/zinc/62/09/55/630620955.db2.gz MDKSJUPDHLVKOZ-MRXNPFEDSA-N 0 1 317.389 3.042 20 30 DGEDMN C=CCc1cc(-c2nc([C@H](N)C(C)C)no2)cc(OC)c1OC ZINC000843152907 630621958 /nfs/dbraw/zinc/62/19/58/630621958.db2.gz TXPRWTQPNNLBTM-CQSZACIVSA-N 0 1 317.389 3.138 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H](c1ccccc1)N(C)CC)c1ccccc1 ZINC000843640805 630682696 /nfs/dbraw/zinc/68/26/96/630682696.db2.gz HOPRRYPAVLMTHI-RBUKOAKNSA-N 0 1 306.409 3.170 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000844002015 630706220 /nfs/dbraw/zinc/70/62/20/630706220.db2.gz RTBUAMXTONICJY-ZDUSSCGKSA-N 0 1 311.360 3.091 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000844002014 630706383 /nfs/dbraw/zinc/70/63/83/630706383.db2.gz RTBUAMXTONICJY-CYBMUJFWSA-N 0 1 311.360 3.091 20 30 DGEDMN C=C(Br)C[NH2+]Cc1cc(Br)ccc1[O-] ZINC000105453478 630712257 /nfs/dbraw/zinc/71/22/57/630712257.db2.gz MLFVHWVEYWMSRM-UHFFFAOYSA-N 0 1 321.012 3.153 20 30 DGEDMN COC(=O)[C@H](CCF)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000844270543 630714740 /nfs/dbraw/zinc/71/47/40/630714740.db2.gz IRJXZNGSTUSTJG-JIURPICXSA-N 0 1 308.136 3.019 20 30 DGEDMN CCN(Cc1cc(Cl)ccc1OCC#N)[C@H]1CCCOC1 ZINC000844404006 630725086 /nfs/dbraw/zinc/72/50/86/630725086.db2.gz IZPIBWLUURNXAE-HNNXBMFYSA-N 0 1 308.809 3.243 20 30 DGEDMN Cc1ncc(NS(=O)(=O)c2ccc(C(C)(C)C#N)cc2)s1 ZINC000844659131 630757349 /nfs/dbraw/zinc/75/73/49/630757349.db2.gz OFUONJAXFDQPAE-UHFFFAOYSA-N 0 1 321.427 3.054 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC000845443734 631175486 /nfs/dbraw/zinc/17/54/86/631175486.db2.gz HIJGCBGYSDUGIJ-BZUAXINKSA-N 0 1 304.434 3.218 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H]1C1CCCC1 ZINC000845443740 631175497 /nfs/dbraw/zinc/17/54/97/631175497.db2.gz HIJGCBGYSDUGIJ-HRCADAONSA-N 0 1 304.434 3.218 20 30 DGEDMN N#CC(C(=O)CCc1cscn1)=C(O)C1(c2ccccc2)CC1 ZINC000845838850 631198311 /nfs/dbraw/zinc/19/83/11/631198311.db2.gz PXQWAGVODGRXEO-OAHLLOKOSA-N 0 1 324.405 3.085 20 30 DGEDMN N#CC(C(=O)C1CC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845841719 631198547 /nfs/dbraw/zinc/19/85/47/631198547.db2.gz PLFKQWFPGOJBSL-CYBMUJFWSA-N 0 1 303.308 3.041 20 30 DGEDMN N#CC(C(=O)CCc1cncs1)=C(O)C1(c2ccccc2)CC1 ZINC000845843186 631198789 /nfs/dbraw/zinc/19/87/89/631198789.db2.gz KGQPISZYVFMLIH-OAHLLOKOSA-N 0 1 324.405 3.085 20 30 DGEDMN CCc1cccc(F)c1CN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000846005942 631205474 /nfs/dbraw/zinc/20/54/74/631205474.db2.gz DQCWSNAELFSIEN-LJQANCHMSA-N 0 1 312.388 3.425 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(C2(O)CC2)CC1 ZINC000846008876 631205500 /nfs/dbraw/zinc/20/55/00/631205500.db2.gz RYHJUKGNADPOMO-UHFFFAOYSA-N 0 1 319.832 3.089 20 30 DGEDMN CN(Cc1cc(Cl)cs1)C[C@H](O)c1cccc(C#N)c1 ZINC000846007769 631205544 /nfs/dbraw/zinc/20/55/44/631205544.db2.gz PUIHVYMYMDPQRG-HNNXBMFYSA-N 0 1 306.818 3.439 20 30 DGEDMN C#Cc1ccc(CNc2ccc3c(c2)nc(C)n3[C@H](C)CO)cc1 ZINC000846061008 631208856 /nfs/dbraw/zinc/20/88/56/631208856.db2.gz WMYSLXYXHDDJQJ-CQSZACIVSA-N 0 1 319.408 3.491 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2C[C@@H](C)OCC23CCC3)cc1 ZINC000846067006 631208968 /nfs/dbraw/zinc/20/89/68/631208968.db2.gz KYCVQYOIWIYWCL-LSDHHAIUSA-N 0 1 300.402 3.121 20 30 DGEDMN C=CC[N@H+](CCCOc1cccc(C(F)(F)F)c1)CC(=O)[O-] ZINC000846263246 631217359 /nfs/dbraw/zinc/21/73/59/631217359.db2.gz HRNFPSCZGPMCHO-UHFFFAOYSA-N 0 1 317.307 3.047 20 30 DGEDMN CC[C@@H](CC#N)NCc1ccc(N2CCC(OC)CC2)cc1 ZINC000846980209 631372695 /nfs/dbraw/zinc/37/26/95/631372695.db2.gz FKYDPCRONWDUTC-INIZCTEOSA-N 0 1 301.434 3.084 20 30 DGEDMN CC(C)(C)OC(=O)CCCCC(=O)Nc1cc(C#N)ccc1O ZINC000847616307 631497284 /nfs/dbraw/zinc/49/72/84/631497284.db2.gz NOCZJAAHKFXZFI-UHFFFAOYSA-N 0 1 318.373 3.104 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2cc3n(n2)CCCC3)n1 ZINC000848405905 631655900 /nfs/dbraw/zinc/65/59/00/631655900.db2.gz CXYUFMUNVLBBPN-UHFFFAOYSA-N 0 1 309.295 3.079 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc(/C=C/C(=O)OC)o1 ZINC000848900187 631778894 /nfs/dbraw/zinc/77/88/94/631778894.db2.gz CSFIXXYOAQBIFM-CMDGGOBGSA-N 0 1 315.369 3.290 20 30 DGEDMN COC(=O)/C=C\c1ccc(CN[C@@H]2CCc3cc(C#N)ccc32)o1 ZINC000848907379 631782187 /nfs/dbraw/zinc/78/21/87/631782187.db2.gz MUDJAUYQQINYCH-OTIVFRFGSA-N 0 1 322.364 3.115 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC000849344517 631897073 /nfs/dbraw/zinc/89/70/73/631897073.db2.gz NXMZAQSBADJBQO-IAQYHMDHSA-N 0 1 309.768 3.340 20 30 DGEDMN CC(C)(NCc1cc(C(F)(F)F)n[nH]1)c1cccc(C#N)c1 ZINC000850354798 632122892 /nfs/dbraw/zinc/12/28/92/632122892.db2.gz ACONARIDDMLNFZ-UHFFFAOYSA-N 0 1 308.307 3.325 20 30 DGEDMN C=CCOc1ccccc1CNC[C@]12OCCC[C@H]1C2(F)F ZINC000850378051 632132024 /nfs/dbraw/zinc/13/20/24/632132024.db2.gz VRZHGSCEXYUJMH-CVEARBPZSA-N 0 1 309.356 3.155 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2c(C3CC3)cnn2C)cc1 ZINC000850535397 632185161 /nfs/dbraw/zinc/18/51/61/632185161.db2.gz VVEXCWMSTCWYFY-AWEZNQCLSA-N 0 1 309.413 3.160 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCC[C@@H]1NCC1(C#N)CCCCC1 ZINC000850551273 632190022 /nfs/dbraw/zinc/19/00/22/632190022.db2.gz UONSSZSZHOBCGQ-GJZGRUSLSA-N 0 1 321.465 3.496 20 30 DGEDMN C[C@@H](NCc1c(C2CCC2)cnn1C)c1ccc(OCC#N)cc1 ZINC000850550866 632190060 /nfs/dbraw/zinc/19/00/60/632190060.db2.gz PGWUBUAXKVZLEB-CQSZACIVSA-N 0 1 324.428 3.441 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC000850752982 632215881 /nfs/dbraw/zinc/21/58/81/632215881.db2.gz WTMRVZZACXWOAG-RBSFLKMASA-N 0 1 304.434 3.218 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC000850752983 632215926 /nfs/dbraw/zinc/21/59/26/632215926.db2.gz WTMRVZZACXWOAG-SOUVJXGZSA-N 0 1 304.434 3.218 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000850757314 632216921 /nfs/dbraw/zinc/21/69/21/632216921.db2.gz YGLZUNGFUWUVIE-QWHCGFSZSA-N 0 1 304.777 3.424 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)C1CCC2(CC2)CC1 ZINC000850756589 632217123 /nfs/dbraw/zinc/21/71/23/632217123.db2.gz BRHDEGVVMXIPAB-HNNXBMFYSA-N 0 1 302.418 3.115 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C3CC4(CC4(F)F)C3)[nH]c21 ZINC000850849970 632237171 /nfs/dbraw/zinc/23/71/71/632237171.db2.gz NNOISSRJUQHMCS-NOEPWBJOSA-N 0 1 315.323 3.483 20 30 DGEDMN C[C@@H](Cc1ccco1)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000851109816 632313127 /nfs/dbraw/zinc/31/31/27/632313127.db2.gz MOEDZGRYCFMNPH-YOEHRIQHSA-N 0 1 321.376 3.468 20 30 DGEDMN C[C@H](Cc1ccco1)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000851109814 632313137 /nfs/dbraw/zinc/31/31/37/632313137.db2.gz MOEDZGRYCFMNPH-RHSMWYFYSA-N 0 1 321.376 3.468 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000852103037 632555296 /nfs/dbraw/zinc/55/52/96/632555296.db2.gz WEEUKSSDPHREBX-VQIMIIECSA-N 0 1 311.429 3.022 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc3ccsc32)C1 ZINC001032101988 660197734 /nfs/dbraw/zinc/19/77/34/660197734.db2.gz PVHWEIHUEBYIKF-UHFFFAOYSA-N 0 1 300.427 3.139 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C=C2CCCCC2)CC1(C)C ZINC000995531455 660227474 /nfs/dbraw/zinc/22/74/74/660227474.db2.gz OWNCDJWCKRXDLS-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN CSCCCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000072921505 660229167 /nfs/dbraw/zinc/22/91/67/660229167.db2.gz KTHCBQDDWKCIEW-UHFFFAOYSA-N 0 1 307.463 3.067 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001032208333 660225514 /nfs/dbraw/zinc/22/55/14/660225514.db2.gz ULXFMTURYHKXDS-OAHLLOKOSA-N 0 1 300.446 3.438 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@H](C)C1 ZINC000945007667 660238433 /nfs/dbraw/zinc/23/84/33/660238433.db2.gz SDKQMNXRXFNOCU-KGLIPLIRSA-N 0 1 318.486 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC2CC(F)(F)C2)CC1(C)C ZINC000995980158 660273441 /nfs/dbraw/zinc/27/34/41/660273441.db2.gz YZUXRCPWLGUQII-LBPRGKRZSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)oc(C)c2C)CC1(C)C ZINC000996018921 660274459 /nfs/dbraw/zinc/27/44/59/660274459.db2.gz QCQRMCILZPSVQY-CQSZACIVSA-N 0 1 324.852 3.398 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(C)(C)C2)CC1(C)C ZINC000996250823 660278590 /nfs/dbraw/zinc/27/85/90/660278590.db2.gz YYGRLUREXNVHPW-UONOGXRCSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C3CC3)CCC2)CC1(C)C ZINC000996096573 660275334 /nfs/dbraw/zinc/27/53/34/660275334.db2.gz GIDGKZKFKYVUSM-AWEZNQCLSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CC3(CCC3)C2)CC1(C)C ZINC000996175855 660277272 /nfs/dbraw/zinc/27/72/72/660277272.db2.gz FWTLNKHFYGEXCT-CQSZACIVSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2occc2CC)CC1(C)C ZINC000996240141 660278634 /nfs/dbraw/zinc/27/86/34/660278634.db2.gz PODPNPHKSYNCGZ-CYBMUJFWSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C)c2C)CC1(C)C ZINC000996573663 660286847 /nfs/dbraw/zinc/28/68/47/660286847.db2.gz LNRDVBRFVFWHMY-MRXNPFEDSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(CC)cc2)CC1(C)C ZINC000996574087 660286860 /nfs/dbraw/zinc/28/68/60/660286860.db2.gz YFYPOSJVDQFYNV-INIZCTEOSA-N 0 1 320.864 3.442 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccn2C(C)C)CC1(C)C ZINC000996626169 660288133 /nfs/dbraw/zinc/28/81/33/660288133.db2.gz UUXNYDDAWBDNIK-OAHLLOKOSA-N 0 1 323.868 3.262 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)oc2ccccc21 ZINC001032420674 660319303 /nfs/dbraw/zinc/31/93/03/660319303.db2.gz YVPVVOHTXXTSIL-GJZGRUSLSA-N 0 1 310.397 3.216 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001032481592 660329154 /nfs/dbraw/zinc/32/91/54/660329154.db2.gz QZKGZFRUHWZGFV-HOTGVXAUSA-N 0 1 323.440 3.260 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)cc(C)c1 ZINC001032559636 660347478 /nfs/dbraw/zinc/34/74/78/660347478.db2.gz BYLREUOKSGFBMP-ROUUACIJSA-N 0 1 312.457 3.301 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2ccc(C)s2)CC1 ZINC000997909013 660353286 /nfs/dbraw/zinc/35/32/86/660353286.db2.gz MZZNUTLFGPLTRK-MRXNPFEDSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)C2CCCCCC2)CC1 ZINC000997938063 660357372 /nfs/dbraw/zinc/35/73/72/660357372.db2.gz DZFPTZRYVZVVEM-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@H]2CC23CC3)CC1 ZINC000998143160 660372599 /nfs/dbraw/zinc/37/25/99/660372599.db2.gz OTVDEKDMLWYPNL-LSDHHAIUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@H]2CC23CC3)CC1 ZINC000998143155 660372636 /nfs/dbraw/zinc/37/26/36/660372636.db2.gz OTVDEKDMLWYPNL-HUUCEWRRSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2cccn2C(C)C)CC1 ZINC000998201299 660376068 /nfs/dbraw/zinc/37/60/68/660376068.db2.gz XNIAJTNRKJBIAH-QGZVFWFLSA-N 0 1 315.461 3.019 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001032675864 660411781 /nfs/dbraw/zinc/41/17/81/660411781.db2.gz SSTNBBFSZKBNAA-GNBUJSLZSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001032665151 660409391 /nfs/dbraw/zinc/40/93/91/660409391.db2.gz ISERZKCKEBNJEG-FPXQBCRKSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(c2ccccc2)CC1(C)C ZINC001032696793 660413793 /nfs/dbraw/zinc/41/37/93/660413793.db2.gz QDHYRMOFCJPWOJ-BBTUJRGHSA-N 0 1 324.468 3.216 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)C(=C)Cl)C1 ZINC000999250195 660413863 /nfs/dbraw/zinc/41/38/63/660413863.db2.gz MLBPDAMMSYFTCI-LBPRGKRZSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2sc(C)cc2C)C1 ZINC000999267510 660414407 /nfs/dbraw/zinc/41/44/07/660414407.db2.gz MGHXWCVFFSCTQD-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC000999322587 660416306 /nfs/dbraw/zinc/41/63/06/660416306.db2.gz AARIEVPFZREDTO-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3c(o2)CCCC3)C1 ZINC000999343025 660416776 /nfs/dbraw/zinc/41/67/76/660416776.db2.gz VWXCEZYMLDGUAN-CQSZACIVSA-N 0 1 322.836 3.105 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C(F)F)c1 ZINC001032743505 660436812 /nfs/dbraw/zinc/43/68/12/660436812.db2.gz QZOWKSFZMRDKQC-GJZGRUSLSA-N 0 1 306.356 3.099 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2ccccc2c1 ZINC001032750480 660438673 /nfs/dbraw/zinc/43/86/73/660438673.db2.gz GZEHASNDCPRAEU-OALUTQOASA-N 0 1 306.409 3.315 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)s1 ZINC001032765590 660441446 /nfs/dbraw/zinc/44/14/46/660441446.db2.gz VJBKGHAXSGNFHE-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC001003286361 660490838 /nfs/dbraw/zinc/49/08/38/660490838.db2.gz PNSYMTBYYMNGMY-UXHICEINSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCC[C@H]2c2ccccc2)CC1 ZINC001003286369 660490867 /nfs/dbraw/zinc/49/08/67/660490867.db2.gz PNSYMTBYYMNGMY-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001044008278 660486708 /nfs/dbraw/zinc/48/67/08/660486708.db2.gz APXHXCKLMBJMQB-UHFFFAOYSA-N 0 1 322.836 3.071 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc(C3CCC3)c2)CC1 ZINC001003976264 660522849 /nfs/dbraw/zinc/52/28/49/660522849.db2.gz PMBROFFQVIITOA-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(CC)c(CC)c2)CC1 ZINC001004299168 660540131 /nfs/dbraw/zinc/54/01/31/660540131.db2.gz SLBFVKPJQMJYIV-UHFFFAOYSA-N 0 1 312.457 3.029 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(F)c3ccccc3c2)C1 ZINC001033134074 660554567 /nfs/dbraw/zinc/55/45/67/660554567.db2.gz QZHFSPVESSKGRG-MRXNPFEDSA-N 0 1 312.388 3.311 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(F)c3ccccc3c2)C1 ZINC001033134073 660554606 /nfs/dbraw/zinc/55/46/06/660554606.db2.gz QZHFSPVESSKGRG-INIZCTEOSA-N 0 1 312.388 3.311 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2oc3c(cccc3F)c2C)C1 ZINC001033148742 660560749 /nfs/dbraw/zinc/56/07/49/660560749.db2.gz FEIKOIHKBASDFZ-ZDUSSCGKSA-N 0 1 316.376 3.213 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001033230621 660593787 /nfs/dbraw/zinc/59/37/87/660593787.db2.gz QRHSUQWTDMANKG-MRXNPFEDSA-N 0 1 316.445 3.151 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001033504017 660628058 /nfs/dbraw/zinc/62/80/58/660628058.db2.gz ZBLZKTKKCZQYLU-IXDOHACOSA-N 0 1 318.848 3.162 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033519282 660629745 /nfs/dbraw/zinc/62/97/45/660629745.db2.gz KVXUJZMBGINZPP-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001033530159 660630554 /nfs/dbraw/zinc/63/05/54/660630554.db2.gz NKGWEYVCMVCNTF-YLJYHZDGSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001033529685 660630623 /nfs/dbraw/zinc/63/06/23/660630623.db2.gz POYMQVIUCJLQDT-GOSISDBHSA-N 0 1 321.424 3.081 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001033532221 660630639 /nfs/dbraw/zinc/63/06/39/660630639.db2.gz ILMLEWPFNTZVEP-BZSNNMDCSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001033568759 660634424 /nfs/dbraw/zinc/63/44/24/660634424.db2.gz OIAKGBBGILOTGP-LJQANCHMSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccccc2C2CCC2)C1 ZINC001033625559 660641204 /nfs/dbraw/zinc/64/12/04/660641204.db2.gz VWZCIUDOZKRUPA-KRWDZBQOSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001033675199 660648072 /nfs/dbraw/zinc/64/80/72/660648072.db2.gz MTIJTRYSHHRAEW-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN CN(C(=O)C1=CCCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005245563 660643552 /nfs/dbraw/zinc/64/35/52/660643552.db2.gz BWYIGOMDFSCEKI-UHFFFAOYSA-N 0 1 322.452 3.071 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001033677958 660649014 /nfs/dbraw/zinc/64/90/14/660649014.db2.gz GHLYJIHHWWFEDE-AWEZNQCLSA-N 0 1 318.486 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2oc3ccccc3c2CC)C1 ZINC001033695305 660650999 /nfs/dbraw/zinc/65/09/99/660650999.db2.gz HWOKJGVXWIOWFW-CQSZACIVSA-N 0 1 312.413 3.328 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001033699382 660651791 /nfs/dbraw/zinc/65/17/91/660651791.db2.gz FGSGQLNLCIUIGR-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700415 660652021 /nfs/dbraw/zinc/65/20/21/660652021.db2.gz YIMQTQNKOUHIQQ-HKUYNNGSSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700415 660652022 /nfs/dbraw/zinc/65/20/22/660652022.db2.gz YIMQTQNKOUHIQQ-HKUYNNGSSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001033698985 660653514 /nfs/dbraw/zinc/65/35/14/660653514.db2.gz HMCXVKXQSMHPCZ-SFHVURJKSA-N 0 1 312.457 3.135 20 30 DGEDMN CC(C)(C)CC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001044929135 660660191 /nfs/dbraw/zinc/66/01/91/660660191.db2.gz YZBJBWITXZNWTR-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN(Cc1cc(O)cc(C(F)(F)F)c1)[C@@H](C)COC ZINC001659398713 1196380140 /nfs/dbraw/zinc/38/01/40/1196380140.db2.gz ROUVJDBAYFBWCW-NSHDSACASA-N 0 1 303.324 3.434 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(CC)s2)C1 ZINC001033889346 660674551 /nfs/dbraw/zinc/67/45/51/660674551.db2.gz XOALSQKRPWNAHZ-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ccc(CC)s2)C1 ZINC001033889346 660674552 /nfs/dbraw/zinc/67/45/52/660674552.db2.gz XOALSQKRPWNAHZ-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(F)ccc2CC)C1 ZINC001033886575 660675486 /nfs/dbraw/zinc/67/54/86/660675486.db2.gz XEQFXISAIWWACI-MRXNPFEDSA-N 0 1 304.409 3.111 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(F)c(Cl)c2)C1 ZINC001033939136 660684348 /nfs/dbraw/zinc/68/43/48/660684348.db2.gz VLLSFGMWGZRFGJ-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001033974272 660687689 /nfs/dbraw/zinc/68/76/89/660687689.db2.gz BCBKHHLTLFOEGL-HNNXBMFYSA-N 0 1 316.376 3.294 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001033986682 660689279 /nfs/dbraw/zinc/68/92/79/660689279.db2.gz VWRRTLIXMKOMPT-SLFFLAALSA-N 0 1 324.468 3.045 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001033985892 660689420 /nfs/dbraw/zinc/68/94/20/660689420.db2.gz SKIXVXASUHZSGG-HXUWFJFHSA-N 0 1 322.452 3.206 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001033982564 660690519 /nfs/dbraw/zinc/69/05/19/660690519.db2.gz DIBLGPZKPIYUAG-GBESFXJTSA-N 0 1 312.457 3.207 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3scnc3c2)C1 ZINC001034009257 660692095 /nfs/dbraw/zinc/69/20/95/660692095.db2.gz ILKZAAOVOYHXII-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001034018081 660694388 /nfs/dbraw/zinc/69/43/88/660694388.db2.gz WCCDTFKIMMCKIZ-IBGZPJMESA-N 0 1 310.441 3.368 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001034040788 660700146 /nfs/dbraw/zinc/70/01/46/660700146.db2.gz ZOLUEIBMGBXUDQ-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001034050736 660702308 /nfs/dbraw/zinc/70/23/08/660702308.db2.gz DUZMVIMYMOSORF-CJNGLKHVSA-N 0 1 318.848 3.089 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(C)(C)C2)C1 ZINC001034050312 660702391 /nfs/dbraw/zinc/70/23/91/660702391.db2.gz JHFRONQOLLUVFL-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001034033866 660696874 /nfs/dbraw/zinc/69/68/74/660696874.db2.gz CWWBMHDVMPIYPC-KRWDZBQOSA-N 0 1 317.477 3.408 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2oc3ccccc3c2C)C1 ZINC001034034324 660697707 /nfs/dbraw/zinc/69/77/07/660697707.db2.gz CQIPMZAEOGQACP-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(C)CCCCCC2)CC1 ZINC001005511036 660716154 /nfs/dbraw/zinc/71/61/54/660716154.db2.gz ZXSQBBFKQFZSTH-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001034209177 660724022 /nfs/dbraw/zinc/72/40/22/660724022.db2.gz IZMOAHAKIVZGSO-MRXNPFEDSA-N 0 1 315.461 3.149 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC001034224453 660726912 /nfs/dbraw/zinc/72/69/12/660726912.db2.gz YCYLKMQAPAONAY-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCCCN(C[C@H](F)CC)C1 ZINC001034248243 660727681 /nfs/dbraw/zinc/72/76/81/660727681.db2.gz XLAOWMLSAAFVDD-CVEARBPZSA-N 0 1 321.440 3.007 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001034241925 660728752 /nfs/dbraw/zinc/72/87/52/660728752.db2.gz SSQIQFOXASFAMW-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001034254008 660729546 /nfs/dbraw/zinc/72/95/46/660729546.db2.gz ONRVZGDRWDIHLW-CYBMUJFWSA-N 0 1 324.371 3.133 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC001034302324 660734352 /nfs/dbraw/zinc/73/43/52/660734352.db2.gz XHKNYMAQABABCS-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001034330834 660738123 /nfs/dbraw/zinc/73/81/23/660738123.db2.gz STRVZPRCVMELDJ-KRWDZBQOSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001034377890 660744893 /nfs/dbraw/zinc/74/48/93/660744893.db2.gz NGMVAMZGWGADLV-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001034377890 660744895 /nfs/dbraw/zinc/74/48/95/660744895.db2.gz NGMVAMZGWGADLV-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC001034381965 660745934 /nfs/dbraw/zinc/74/59/34/660745934.db2.gz OJGGXTXZPUQNQE-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC001034395338 660747567 /nfs/dbraw/zinc/74/75/67/660747567.db2.gz WLZRNFLTSLSQBD-QGZVFWFLSA-N 0 1 324.468 3.346 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2scnc2C(C)C)C1 ZINC001034430876 660752598 /nfs/dbraw/zinc/75/25/98/660752598.db2.gz BNCMJOORGNCUBT-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001034411174 660749749 /nfs/dbraw/zinc/74/97/49/660749749.db2.gz NPIAWZDBLQWKRZ-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H](NC(=O)c2nccc3ccccc32)C1 ZINC001034368988 660742002 /nfs/dbraw/zinc/74/20/02/660742002.db2.gz ODSDCTAVGZQKOK-QGZVFWFLSA-N 0 1 323.440 3.395 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2scnc2C(C)C)C1 ZINC001034431432 660752955 /nfs/dbraw/zinc/75/29/55/660752955.db2.gz JUIXAVDYNMIHQY-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2csc(Cl)c2)C1 ZINC001034434712 660753966 /nfs/dbraw/zinc/75/39/66/660753966.db2.gz PALPREFSHQSSGU-CYBMUJFWSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2csc(Cl)c2)C1 ZINC001034434713 660753973 /nfs/dbraw/zinc/75/39/73/660753973.db2.gz PALPREFSHQSSGU-ZDUSSCGKSA-N 0 1 310.850 3.009 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2sc(CC)nc2C)C1 ZINC001034438204 660754118 /nfs/dbraw/zinc/75/41/18/660754118.db2.gz FXGDMLWJQICXJE-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034507048 660763540 /nfs/dbraw/zinc/76/35/40/660763540.db2.gz NJHXALNGQNHQJH-FQEVSTJZSA-N 0 1 320.436 3.447 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034507048 660763541 /nfs/dbraw/zinc/76/35/41/660763541.db2.gz NJHXALNGQNHQJH-FQEVSTJZSA-N 0 1 320.436 3.447 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2ccc3ccncc3c2)C1 ZINC001034474453 660756434 /nfs/dbraw/zinc/75/64/34/660756434.db2.gz OYPOBAYLLSWBSO-SFHVURJKSA-N 0 1 309.413 3.005 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001034479093 660757724 /nfs/dbraw/zinc/75/77/24/660757724.db2.gz RKBASKRBDNQHNR-CHWSQXEVSA-N 0 1 324.877 3.109 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001034511798 660764675 /nfs/dbraw/zinc/76/46/75/660764675.db2.gz DFXMHSXVYWGEQR-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc3ccccc3o2)C1 ZINC001034513664 660765167 /nfs/dbraw/zinc/76/51/67/660765167.db2.gz BUTBYQHNQGFEIK-INIZCTEOSA-N 0 1 310.397 3.040 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001034515273 660765645 /nfs/dbraw/zinc/76/56/45/660765645.db2.gz CBPKQOZGDVHNNZ-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001034519959 660768350 /nfs/dbraw/zinc/76/83/50/660768350.db2.gz GGPSCZPUYNXIFR-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC001034543022 660770830 /nfs/dbraw/zinc/77/08/30/660770830.db2.gz FFXFCNPZHRKGSF-KRWDZBQOSA-N 0 1 324.468 3.091 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001034543858 660771016 /nfs/dbraw/zinc/77/10/16/660771016.db2.gz BHYXDNDIJGSYGW-PKOBYXMFSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001034556869 660774101 /nfs/dbraw/zinc/77/41/01/660774101.db2.gz KETLXGHKXRMHGJ-IBGZPJMESA-N 0 1 312.457 3.029 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)CCC[C@H]2CC)CC1 ZINC001005583284 660781419 /nfs/dbraw/zinc/78/14/19/660781419.db2.gz HQUNTTIHDLHGRR-APWZRJJASA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1ccccc1)CC2 ZINC001035154939 660819462 /nfs/dbraw/zinc/81/94/62/660819462.db2.gz NJEZOCYDTILNKC-UHFFFAOYSA-N 0 1 318.848 3.367 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC[C@H]1CCC)CC2 ZINC001035736873 660848507 /nfs/dbraw/zinc/84/85/07/660848507.db2.gz CJIKNISRVWCNLF-QZTJIDSGSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1[C@H]3CCCCCC[C@H]13)CC2 ZINC001035804739 660854675 /nfs/dbraw/zinc/85/46/75/660854675.db2.gz WDMKYOFPAGCJGX-IRXDYDNUSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CC1CCCCCC1)CC2 ZINC001035804703 660854687 /nfs/dbraw/zinc/85/46/87/660854687.db2.gz UGKRGWZSKBOCBL-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CC=C(C)CC1)CC2 ZINC001035856948 660860212 /nfs/dbraw/zinc/86/02/12/660860212.db2.gz XZSWZEOMAOSWFO-SFHVURJKSA-N 0 1 302.462 3.233 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC(C)(C)C1)CC2 ZINC001035859597 660860786 /nfs/dbraw/zinc/86/07/86/660860786.db2.gz DVPRPJOUVBLBTC-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H]1CCCC[C@H]1C)CC2 ZINC001035860582 660861234 /nfs/dbraw/zinc/86/12/34/660861234.db2.gz SDFCDJJMGUFDHY-IAGOWNOFSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1ccccc1C#N ZINC001036495281 660912378 /nfs/dbraw/zinc/91/23/78/660912378.db2.gz OGBWWCUGGSGACE-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cccs3)CC[C@H]21 ZINC001036633577 660934471 /nfs/dbraw/zinc/93/44/71/660934471.db2.gz FMGQMXUKBICZEL-QWHCGFSZSA-N 0 1 310.850 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccsc3)CC[C@@H]21 ZINC001036628016 660937269 /nfs/dbraw/zinc/93/72/69/660937269.db2.gz UKIHFBWRPBSDGM-JSGCOSHPSA-N 0 1 310.850 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccsc3)CC[C@H]21 ZINC001036628018 660937319 /nfs/dbraw/zinc/93/73/19/660937319.db2.gz UKIHFBWRPBSDGM-TZMCWYRMSA-N 0 1 310.850 3.037 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC001005806717 660939797 /nfs/dbraw/zinc/93/97/97/660939797.db2.gz BMYDGBHFDUDQLC-UHFFFAOYSA-N 0 1 318.848 3.126 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C(C2CCC2)C2CCC2)CC1 ZINC001005893441 660947859 /nfs/dbraw/zinc/94/78/59/660947859.db2.gz XWXXSJKIMPODHC-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CCN(C/C=C/Cl)CC2)CC1 ZINC001005932779 660951165 /nfs/dbraw/zinc/95/11/65/660951165.db2.gz AIGGKKIXZDVAHB-XCVCLJGOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3c(C)coc3C)CC[C@H]21 ZINC001036703366 660956868 /nfs/dbraw/zinc/95/68/68/660956868.db2.gz BGWCCHXHVSNDFO-LSDHHAIUSA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(F)ccc2Cl)CC1 ZINC001006043085 660958564 /nfs/dbraw/zinc/95/85/64/660958564.db2.gz QDGNUOGYWDXORF-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C[C@@H]1C ZINC000947975942 660959211 /nfs/dbraw/zinc/95/92/11/660959211.db2.gz RSIIHWYCNQACIN-QWHCGFSZSA-N 0 1 322.811 3.003 20 30 DGEDMN CCC(=O)N[C@@H]1CCN(CC#Cc2cccc(Cl)c2)[C@@H](C)C1 ZINC000946936889 660970883 /nfs/dbraw/zinc/97/08/83/660970883.db2.gz MZMNNXYZIKKKJF-WMLDXEAASA-N 0 1 318.848 3.071 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(C(C)C)c2)CC1 ZINC001006252393 660971079 /nfs/dbraw/zinc/97/10/79/660971079.db2.gz QJQKUNUONKXVBU-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)c2ccc(C)cc2)CC1 ZINC001006303189 660973714 /nfs/dbraw/zinc/97/37/14/660973714.db2.gz NMSCEBPFMFWSLA-QGZVFWFLSA-N 0 1 312.457 3.045 20 30 DGEDMN C[C@H](NN=Cc1ccc(N2CCOCC2)c(F)c1)C(C)(C)C ZINC000793112297 661005353 /nfs/dbraw/zinc/00/53/53/661005353.db2.gz RWPIRVYZMMZZLF-ZDUSSCGKSA-N 0 1 307.413 3.020 20 30 DGEDMN C[C@@H](NN=C1CCN(Cc2ccccn2)C[C@H]1C)C(C)(C)C ZINC000793114590 661005555 /nfs/dbraw/zinc/00/55/55/661005555.db2.gz HSYRKVZNXZOFEA-HUUCEWRRSA-N 0 1 302.466 3.304 20 30 DGEDMN O=C1CCC(=NNc2cccc(Cl)n2)c2ccccc2N1 ZINC000793173830 661009825 /nfs/dbraw/zinc/00/98/25/661009825.db2.gz DYZZSLIUOQMBBS-UHFFFAOYSA-N 0 1 300.749 3.284 20 30 DGEDMN Clc1cccc(N=NC2CCOc3cc4c(cc32)OCO4)n1 ZINC000793173785 661009913 /nfs/dbraw/zinc/00/99/13/661009913.db2.gz CDHWUPPSFGOJSR-UHFFFAOYSA-N 0 1 317.732 3.062 20 30 DGEDMN CC1CCC(N(C)Cn2cc3c(c(C#N)c2=O)CCCC3)CC1 ZINC000793485915 661038378 /nfs/dbraw/zinc/03/83/78/661038378.db2.gz DPVYIIZZJQMCJA-UHFFFAOYSA-N 0 1 313.445 3.067 20 30 DGEDMN Cc1nnsc1C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000793669620 661052722 /nfs/dbraw/zinc/05/27/22/661052722.db2.gz SKHZXJLCUPFVQY-LNKIKWGQSA-N 0 1 302.186 3.478 20 30 DGEDMN C[C@H]1CC(NC(=O)c2ccc3nc(-c4ccccc4)oc3c2)=NO1 ZINC000793739780 661055169 /nfs/dbraw/zinc/05/51/69/661055169.db2.gz MRQDNYZEIZNQSV-NSHDSACASA-N 0 1 321.336 3.347 20 30 DGEDMN C#C[C@H](NC(=O)c1cccc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000794060480 661067029 /nfs/dbraw/zinc/06/70/29/661067029.db2.gz OQLDWPRKURUOAH-HNNXBMFYSA-N 0 1 309.756 3.321 20 30 DGEDMN Cc1cccnc1CC(N=NCCC(F)(F)F)C(F)(F)F ZINC000794547083 661090389 /nfs/dbraw/zinc/09/03/89/661090389.db2.gz XXLNHIBKQTZMSU-UHFFFAOYSA-N 0 1 313.245 3.393 20 30 DGEDMN C[C@H]1CCN(Cc2ccccc2)CC1N=NCCC(F)(F)F ZINC000794548761 661090398 /nfs/dbraw/zinc/09/03/98/661090398.db2.gz ZYHGJHGYAMEUMB-ZDUSSCGKSA-N 0 1 313.367 3.426 20 30 DGEDMN N#Cc1ccc2c(c1)CCCCC2=NNCCN1CCCCC1 ZINC000794852739 661109466 /nfs/dbraw/zinc/10/94/66/661109466.db2.gz KDZFNLQLIKWHEK-UHFFFAOYSA-N 0 1 310.445 3.064 20 30 DGEDMN C[C@@H]1COC(=O)C1N=Nc1nc(Cl)c(Cl)cc1Cl ZINC000794872791 661110839 /nfs/dbraw/zinc/11/08/39/661110839.db2.gz LLCYLZXEFLJRLW-SCSAIBSYSA-N 0 1 308.552 3.003 20 30 DGEDMN Cn1c2ccccc2nc1NN=C1CCOc2cc(F)ccc21 ZINC000794896450 661112619 /nfs/dbraw/zinc/11/26/19/661112619.db2.gz YYIVKFZBKPHFHT-UHFFFAOYSA-N 0 1 310.332 3.311 20 30 DGEDMN CCCCOc1cc(C=NNc2cncnc2)ccc1OC ZINC000794976400 661117995 /nfs/dbraw/zinc/11/79/95/661117995.db2.gz JUKDTUJAHUBINJ-UHFFFAOYSA-N 0 1 300.362 3.110 20 30 DGEDMN c1ccc(-c2nc3ccccn3c2CN=Nc2cncnc2)cc1 ZINC000794976158 661118197 /nfs/dbraw/zinc/11/81/97/661118197.db2.gz FLNDJACNBRKCCZ-UHFFFAOYSA-N 0 1 314.352 3.237 20 30 DGEDMN COc1cc2c(cc1F)nccc2NCc1ccc(C#N)cn1 ZINC000795157102 661130272 /nfs/dbraw/zinc/13/02/72/661130272.db2.gz LIOMJUQVWITDJV-UHFFFAOYSA-N 0 1 308.316 3.261 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1C[C@@H](C(F)F)CC1=O ZINC000795426845 661149293 /nfs/dbraw/zinc/14/92/93/661149293.db2.gz GPNUTIXYRXMHAI-AWEZNQCLSA-N 0 1 300.393 3.126 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(Cl)s1 ZINC000969132248 655526578 /nfs/dbraw/zinc/52/65/78/655526578.db2.gz AMDUKINFQHJUBQ-CYBMUJFWSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)c(C)s1 ZINC000969139106 655527236 /nfs/dbraw/zinc/52/72/36/655527236.db2.gz ITGWDPQUFBOCMV-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(F)cc3ccoc32)C1 ZINC000969147340 655528389 /nfs/dbraw/zinc/52/83/89/655528389.db2.gz VIEIDQDBWLXJSY-CYBMUJFWSA-N 0 1 322.767 3.129 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCCC(C)(C)C1 ZINC000969161496 655529683 /nfs/dbraw/zinc/52/96/83/655529683.db2.gz YETLZBCORJSZSO-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C(C)C)s1 ZINC000969160713 655529768 /nfs/dbraw/zinc/52/97/68/655529768.db2.gz KCQNVFRPBCHKNP-OAHLLOKOSA-N 0 1 318.486 3.479 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C2=CCCC2)CCN1CC#Cc1ccccc1 ZINC000947190940 655541944 /nfs/dbraw/zinc/54/19/44/655541944.db2.gz KNDPOTJWDNICME-FXAWDEMLSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC000969807068 655558109 /nfs/dbraw/zinc/55/81/09/655558109.db2.gz XWNJYDWZZWXNSO-ZDUSSCGKSA-N 0 1 312.388 3.215 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC000969864888 655561136 /nfs/dbraw/zinc/56/11/36/655561136.db2.gz HOAIUCLPGYLJNR-DJIMGWMZSA-N 0 1 304.478 3.215 20 30 DGEDMN COc1ccc(O)c(/C=N\C[C@@H](c2cccs2)N(C)C)c1 ZINC000255046399 655572133 /nfs/dbraw/zinc/57/21/33/655572133.db2.gz FYYPKQOZGPNOOX-XGHPXPSOSA-N 0 1 304.415 3.184 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2scnc2C2CCCC2)C1 ZINC000970077755 655572507 /nfs/dbraw/zinc/57/25/07/655572507.db2.gz WHTXSFPTNGPJFH-LBPRGKRZSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC000966352856 655583724 /nfs/dbraw/zinc/58/37/24/655583724.db2.gz CJVJKUNORAJEAA-BEFAXECRSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968683051 655585413 /nfs/dbraw/zinc/58/54/13/655585413.db2.gz XSTRHLCZXXJOCV-BBRMVZONSA-N 0 1 312.413 3.367 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000255060815 655588365 /nfs/dbraw/zinc/58/83/65/655588365.db2.gz HDNCMZQWTGXMHP-DAXSKMNVSA-N 0 1 314.253 3.105 20 30 DGEDMN O=C(/C=C\c1nccn1CC(F)(F)F)c1ccc(O)c(F)c1 ZINC000255062913 655588662 /nfs/dbraw/zinc/58/86/62/655588662.db2.gz RVBCEIFTSIFPSH-ARJAWSKDSA-N 0 1 314.238 3.186 20 30 DGEDMN O=C1c2cccc(F)c2OC/C1=C\c1cc(F)c(O)c(F)c1 ZINC000255066271 655589375 /nfs/dbraw/zinc/58/93/75/655589375.db2.gz MDSDFIFCARJSPI-RUDMXATFSA-N 0 1 306.239 3.468 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC000970251965 655590466 /nfs/dbraw/zinc/59/04/66/655590466.db2.gz UWVIOYOEZWHYME-NSHDSACASA-N 0 1 317.820 3.057 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)c2ccccc2F)C1 ZINC000970277561 655591102 /nfs/dbraw/zinc/59/11/02/655591102.db2.gz FZUHPZUHNDXFLT-GXTWGEPZSA-N 0 1 324.827 3.262 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C2C(C)(C)C2(C)C)c1 ZINC000177597704 655601773 /nfs/dbraw/zinc/60/17/73/655601773.db2.gz NLUQNKYUZRJWMP-ZDUSSCGKSA-N 0 1 314.385 3.025 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000970498375 655602979 /nfs/dbraw/zinc/60/29/79/655602979.db2.gz YBEKCLXBUGNMGD-MRXNPFEDSA-N 0 1 320.436 3.222 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2nccn2CC(F)(F)F)c(O)c1 ZINC000255119255 655604282 /nfs/dbraw/zinc/60/42/82/655604282.db2.gz UUOCELZOCURLJC-PLNGDYQASA-N 0 1 310.275 3.356 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CCC(C(F)F)CC2)C1 ZINC000970603592 655608902 /nfs/dbraw/zinc/60/89/02/655608902.db2.gz SZJCQGQDVSHVGW-WXRRBKDZSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3c(c2)CCCC3)C1 ZINC000970639710 655610668 /nfs/dbraw/zinc/61/06/68/655610668.db2.gz GMWFVOGMUHNGOC-QGZVFWFLSA-N 0 1 318.848 3.122 20 30 DGEDMN CC(C)C(=O)N1CCC[C@@H]2CN(CC#Cc3ccccc3)CC[C@H]21 ZINC001021528025 655625339 /nfs/dbraw/zinc/62/53/39/655625339.db2.gz VIIRHPBXKSYLRM-WOJBJXKFSA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)C(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)CC[C@@H]21 ZINC001021528022 655625495 /nfs/dbraw/zinc/62/54/95/655625495.db2.gz VIIRHPBXKSYLRM-PMACEKPBSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC000970838285 655628702 /nfs/dbraw/zinc/62/87/02/655628702.db2.gz JPADSYZIQMLDOX-ZDUSSCGKSA-N 0 1 318.486 3.253 20 30 DGEDMN C[C@@H](CCC(=O)C(C#N)C(=O)NC1CCCC1)c1ccccc1 ZINC000160433551 655632512 /nfs/dbraw/zinc/63/25/12/655632512.db2.gz APNYFJUVWFGVCV-WMLDXEAASA-N 0 1 312.413 3.338 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)C2=CCCC2)C1 ZINC001021560874 655632907 /nfs/dbraw/zinc/63/29/07/655632907.db2.gz AANIKNNZRKLNEU-JKSUJKDBSA-N 0 1 308.853 3.162 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC000970939143 655639816 /nfs/dbraw/zinc/63/98/16/655639816.db2.gz UTVMRQWEBRDSAF-HNAYVOBHSA-N 0 1 312.457 3.119 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC000971113163 655664664 /nfs/dbraw/zinc/66/46/64/655664664.db2.gz JPQDGTQNTJUTDN-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2coc3cc(F)ccc23)C[C@H]1C ZINC000947473587 655736568 /nfs/dbraw/zinc/73/65/68/655736568.db2.gz NNVIGGQXUOWXKR-OCCSQVGLSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CF)cc1 ZINC001039475864 655745276 /nfs/dbraw/zinc/74/52/76/655745276.db2.gz MUVBPNLDOMEFRW-SJORKVTESA-N 0 1 302.393 3.021 20 30 DGEDMN C#C[C@H]1CCCCN1Cc1cccc(C(=O)N2CCCCC2)c1 ZINC000934510887 655781981 /nfs/dbraw/zinc/78/19/81/655781981.db2.gz FORRPVQNWANOKG-IBGZPJMESA-N 0 1 310.441 3.300 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H](N(C)Cc3ccsc3)C2)C1 ZINC000972286186 655785681 /nfs/dbraw/zinc/78/56/81/655785681.db2.gz YCWGFHHJILIIDV-OAHLLOKOSA-N 0 1 304.459 3.137 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(C[C@@H]2CCCC(F)(F)C2)CC1 ZINC000949666957 655790638 /nfs/dbraw/zinc/79/06/38/655790638.db2.gz KABQQJODSFSKIX-CQSZACIVSA-N 0 1 314.420 3.168 20 30 DGEDMN O=C(NC[C@H]1CCCN(CC#Cc2ccccc2)C1)C1CCCC1 ZINC001023195947 655818347 /nfs/dbraw/zinc/81/83/47/655818347.db2.gz LCHHCKJDRNYBNB-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)O[C@H](CC)C2)c(O)c(OC)c1 ZINC000246839389 655826745 /nfs/dbraw/zinc/82/67/45/655826745.db2.gz QJJHTWRQVDDBLV-CZUORRHYSA-N 0 1 305.418 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C(C)C)CC[C@H]21 ZINC001036725626 661192592 /nfs/dbraw/zinc/19/25/92/661192592.db2.gz ZNQGTLBXHUNGKJ-LSDHHAIUSA-N 0 1 312.885 3.344 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](CNC(=O)c2cc(C)c(CC)s2)C1 ZINC001023738564 655860246 /nfs/dbraw/zinc/86/02/46/655860246.db2.gz CPJVXGXJCMWSHD-OAHLLOKOSA-N 0 1 318.486 3.084 20 30 DGEDMN C#CCN1CCC[C@H](CNC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001023786586 655865842 /nfs/dbraw/zinc/86/58/42/655865842.db2.gz ZQQWNRHUTRQIDC-MRXNPFEDSA-N 0 1 322.408 3.022 20 30 DGEDMN CC1(C)CCC[C@@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000179381618 655891467 /nfs/dbraw/zinc/89/14/67/655891467.db2.gz OHLDJNGOQHETRP-HZPDHXFCSA-N 0 1 312.413 3.228 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C(=C)C)CC[C@@H]21 ZINC001036791919 661201699 /nfs/dbraw/zinc/20/16/99/661201699.db2.gz HEJRZEPMWJAWJO-CABCVRRESA-N 0 1 310.869 3.264 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001024448534 655906133 /nfs/dbraw/zinc/90/61/33/655906133.db2.gz QCYQFSPWWRZXOJ-GVDBMIGSSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1nsc2ccccc21 ZINC001024455702 655906903 /nfs/dbraw/zinc/90/69/03/655906903.db2.gz NKBDXBPSGJRWAY-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)c(OC)c(C)c1 ZINC001024450245 655906911 /nfs/dbraw/zinc/90/69/11/655906911.db2.gz LFLFYURGWOPAAM-KRWDZBQOSA-N 0 1 316.445 3.082 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc2c1CCCC2 ZINC001024457408 655907131 /nfs/dbraw/zinc/90/71/31/655907131.db2.gz IOVBSKPNYFNJFC-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C(=C)C)CC[C@@H]21 ZINC001036791920 661201992 /nfs/dbraw/zinc/20/19/92/661201992.db2.gz HEJRZEPMWJAWJO-GJZGRUSLSA-N 0 1 310.869 3.264 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1cccc([N+](=O)[O-])c1 ZINC000255834594 655908382 /nfs/dbraw/zinc/90/83/82/655908382.db2.gz PVKKNSXFLQGLOC-PLNGDYQASA-N 0 1 305.236 3.475 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1conc1C1CCCC1 ZINC001024478099 655908994 /nfs/dbraw/zinc/90/89/94/655908994.db2.gz QSFDHKKQDISWDA-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc(F)c(C)cc1F ZINC001024489738 655910189 /nfs/dbraw/zinc/91/01/89/655910189.db2.gz CUOPWTXAEDHCHV-AWEZNQCLSA-N 0 1 322.399 3.434 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CC4(CCC4)C3)CC[C@@H]21 ZINC001036795577 661202375 /nfs/dbraw/zinc/20/23/75/661202375.db2.gz ONVISCWBVYIWFL-HOCLYGCPSA-N 0 1 322.880 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CC4(CCC4)C3)CC[C@H]21 ZINC001036795576 661202403 /nfs/dbraw/zinc/20/24/03/661202403.db2.gz ONVISCWBVYIWFL-GOEBONIOSA-N 0 1 322.880 3.242 20 30 DGEDMN CC[C@@H](OC1CCCCC1)C(=O)Nc1cc(C#N)ccc1O ZINC000179729284 655912927 /nfs/dbraw/zinc/91/29/27/655912927.db2.gz YRKHWFPWDNQXFQ-MRXNPFEDSA-N 0 1 302.374 3.330 20 30 DGEDMN COc1cc(C(=O)Nc2cc(C#N)ccc2O)ccc1Cl ZINC000179731639 655912982 /nfs/dbraw/zinc/91/29/82/655912982.db2.gz LHFOTCVVTGFQEE-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2cc(C)ccc2n1 ZINC001024543245 655915327 /nfs/dbraw/zinc/91/53/27/655915327.db2.gz FXXGRBCCYOVKCF-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001024561802 655916948 /nfs/dbraw/zinc/91/69/48/655916948.db2.gz YTGHESNMUQGQOT-WSTZPKSXSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C3CC3)CC[C@@H]21 ZINC001036796930 661203069 /nfs/dbraw/zinc/20/30/69/661203069.db2.gz KZCIXHLFPGFUOY-ZFWWWQNUSA-N 0 1 310.869 3.098 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001024572975 655918731 /nfs/dbraw/zinc/91/87/31/655918731.db2.gz DKTCDKBKVUSUPL-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001024585734 655920935 /nfs/dbraw/zinc/92/09/35/655920935.db2.gz FJFXEQXVZFPRDQ-HNNXBMFYSA-N 0 1 315.392 3.077 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCCCN2C[C@@H](F)CC)cc1 ZINC001024587542 655921248 /nfs/dbraw/zinc/92/12/48/655921248.db2.gz LCULIMXAXOXJSS-ZWKOTPCHSA-N 0 1 316.420 3.000 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(Cl)cc1C ZINC001024591209 655921372 /nfs/dbraw/zinc/92/13/72/655921372.db2.gz BWFUFVDXSBHAPP-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cccc(F)c1F ZINC001024621951 655924621 /nfs/dbraw/zinc/92/46/21/655924621.db2.gz PNBKBVKMKNWABT-CYBMUJFWSA-N 0 1 308.372 3.125 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)cc1C ZINC001024633964 655926308 /nfs/dbraw/zinc/92/63/08/655926308.db2.gz PDQBDKRZEDANEJ-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)cc1C ZINC001024633580 655926429 /nfs/dbraw/zinc/92/64/29/655926429.db2.gz KWXFMGCNCGPICN-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(C)cccc1Cl ZINC001024637661 655926953 /nfs/dbraw/zinc/92/69/53/655926953.db2.gz MSQUUZYVELYCJO-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccccc1C1(C)CC1 ZINC001024647156 655927312 /nfs/dbraw/zinc/92/73/12/655927312.db2.gz JTRNTUQJQHDXCI-KRWDZBQOSA-N 0 1 324.468 3.346 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc2occc2s1 ZINC001024647545 655927392 /nfs/dbraw/zinc/92/73/92/655927392.db2.gz OAOWMTGZTHPLJA-ZDUSSCGKSA-N 0 1 316.426 3.102 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C2CC2)nc1C ZINC001024656714 655927870 /nfs/dbraw/zinc/92/78/70/655927870.db2.gz QBWVMFOHJHJURZ-INIZCTEOSA-N 0 1 313.445 3.038 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001024673591 655928742 /nfs/dbraw/zinc/92/87/42/655928742.db2.gz NDTQJSBHRCIWJB-SQNIBIBYSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001024676304 655929546 /nfs/dbraw/zinc/92/95/46/655929546.db2.gz UWSHQPPJUPEBSJ-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(F)ccc1Cl ZINC001024676585 655929603 /nfs/dbraw/zinc/92/96/03/655929603.db2.gz WVHMMMHVMNSSKQ-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc(F)c1Cl ZINC001024679410 655929943 /nfs/dbraw/zinc/92/99/43/655929943.db2.gz WDVSFQBMMQTIDY-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(OCC)c(C)c1 ZINC001024689926 655931105 /nfs/dbraw/zinc/93/11/05/655931105.db2.gz ONFPFBSDLVVOIF-KRWDZBQOSA-N 0 1 316.445 3.164 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2nc(C)ccc2c1 ZINC001024723777 655933541 /nfs/dbraw/zinc/93/35/41/655933541.db2.gz QJTVJKYUDISHNS-SFHVURJKSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001024736927 655933694 /nfs/dbraw/zinc/93/36/94/655933694.db2.gz FKELYAKIIILJQJ-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1nc(C2CC2)oc1C ZINC001024736927 655933695 /nfs/dbraw/zinc/93/36/95/655933695.db2.gz FKELYAKIIILJQJ-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1coc(C2CCC2)n1 ZINC001024752721 655935570 /nfs/dbraw/zinc/93/55/70/655935570.db2.gz UQYABKWZEHDNAW-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1CCC(C(F)F)CC1 ZINC001024783860 655938378 /nfs/dbraw/zinc/93/83/78/655938378.db2.gz VVIXBPGGXJLOQC-NRXISQOPSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(F)cc1Cl ZINC001024788126 655939141 /nfs/dbraw/zinc/93/91/41/655939141.db2.gz FOJSOMGOKQAXKN-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001024794023 655939702 /nfs/dbraw/zinc/93/97/02/655939702.db2.gz FCDYHUVDEWUSKB-QGZVFWFLSA-N 0 1 317.477 3.456 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1csc2c1CCCC2 ZINC001024790933 655939887 /nfs/dbraw/zinc/93/98/87/655939887.db2.gz RPAISHXVKDAFCB-CQSZACIVSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC001024791105 655939979 /nfs/dbraw/zinc/93/99/79/655939979.db2.gz UWSDRJJMAZZHCR-KRWDZBQOSA-N 0 1 300.446 3.382 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001024814169 655941307 /nfs/dbraw/zinc/94/13/07/655941307.db2.gz OZLINRPFPGTMMN-QFBILLFUSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1CCC(C)(C)CC1 ZINC001024819489 655941665 /nfs/dbraw/zinc/94/16/65/655941665.db2.gz PPECAGOIFHLHHC-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCC(C)(C)C1 ZINC001024820503 655942086 /nfs/dbraw/zinc/94/20/86/655942086.db2.gz ZVUSKEYCWLHXMZ-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1Cc2c1cccc2Cl ZINC001024821274 655942426 /nfs/dbraw/zinc/94/24/26/655942426.db2.gz JUEZTULUYCURID-BBRMVZONSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1csc(C)n1 ZINC001025040769 655958682 /nfs/dbraw/zinc/95/86/82/655958682.db2.gz LJWOUUDWZUREFX-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C)CC(=C)C3)CC[C@@H]21 ZINC001036816151 661208428 /nfs/dbraw/zinc/20/84/28/661208428.db2.gz QLTFCXDVAFGBGT-CABCVRRESA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC001007397329 655969834 /nfs/dbraw/zinc/96/98/34/655969834.db2.gz ROLSDPONCUBEOE-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ccsc1C ZINC001025228240 655981823 /nfs/dbraw/zinc/98/18/23/655981823.db2.gz WUDKDWQKIBIGQV-DLBZAZTESA-N 0 1 316.470 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@H]1CCCCN1C(=O)C1(C)CC1 ZINC001025235959 655982931 /nfs/dbraw/zinc/98/29/31/655982931.db2.gz QWIFBPRYSVVGAM-LSDHHAIUSA-N 0 1 310.869 3.385 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2cccc(F)c2)C1 ZINC001025315062 655992353 /nfs/dbraw/zinc/99/23/53/655992353.db2.gz YTYJMQYHZRIZEJ-ZFWWWQNUSA-N 0 1 324.827 3.409 20 30 DGEDMN C[C@@H](NC(=O)C1CCC1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001025317423 655992704 /nfs/dbraw/zinc/99/27/04/655992704.db2.gz QIYIYDMMXBIQRO-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2ccccc2F)C1 ZINC001025313465 655992840 /nfs/dbraw/zinc/99/28/40/655992840.db2.gz RYQFOUKOGLABEM-KGLIPLIRSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2ccoc2CC)C1 ZINC001025405525 656005827 /nfs/dbraw/zinc/00/58/27/656005827.db2.gz URWUDLPRUJTEPM-UONOGXRCSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2cc(C)[nH]c2C)C1 ZINC001025547021 656034267 /nfs/dbraw/zinc/03/42/67/656034267.db2.gz WVCYFGRPRNKYNK-ZFWWWQNUSA-N 0 1 323.868 3.214 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2ccc(C(F)F)o2)C1 ZINC001025606495 656045319 /nfs/dbraw/zinc/04/53/19/656045319.db2.gz OJLXNOAHQOVHRG-QWHCGFSZSA-N 0 1 324.371 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2cccn2CC)C1 ZINC001025618564 656049373 /nfs/dbraw/zinc/04/93/73/656049373.db2.gz CDNPWTUMQCCKHO-HUUCEWRRSA-N 0 1 323.868 3.091 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001019845953 656065155 /nfs/dbraw/zinc/06/51/55/656065155.db2.gz JPBINELMIOJDHT-RDJZCZTQSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2scc(C)c2Cl)C(C)(C)C1 ZINC000974626223 656218450 /nfs/dbraw/zinc/21/84/50/656218450.db2.gz YBZGSVKWNVWDDF-LBPRGKRZSA-N 0 1 324.877 3.173 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3ccccc3nc2C)C(C)(C)C1 ZINC000974645842 656218478 /nfs/dbraw/zinc/21/84/78/656218478.db2.gz OVKRNQLSANVCOV-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C(C)C)C(C)(C)C1 ZINC000974643966 656219096 /nfs/dbraw/zinc/21/90/96/656219096.db2.gz MGPAJFKQPMFMHB-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)cc3ccoc32)C(C)(C)C1 ZINC000974746990 656226501 /nfs/dbraw/zinc/22/65/01/656226501.db2.gz RKDDNDTXKSDXFD-HNNXBMFYSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2cccc(Cl)c2F)C(C)(C)C1 ZINC000975001040 656247347 /nfs/dbraw/zinc/24/73/47/656247347.db2.gz APUQEPRVRIZOJZ-AWEZNQCLSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cccc(Cl)c2F)C(C)(C)C1 ZINC000975001040 656247349 /nfs/dbraw/zinc/24/73/49/656247349.db2.gz APUQEPRVRIZOJZ-AWEZNQCLSA-N 0 1 324.827 3.495 20 30 DGEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000974999224 656247566 /nfs/dbraw/zinc/24/75/66/656247566.db2.gz UBGQCMMRZLWSOE-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000974999224 656247567 /nfs/dbraw/zinc/24/75/67/656247567.db2.gz UBGQCMMRZLWSOE-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C(F)F)c2)C(C)(C)C1 ZINC000974986051 656248581 /nfs/dbraw/zinc/24/85/81/656248581.db2.gz OXFSCASTXKAOCU-ZDUSSCGKSA-N 0 1 312.360 3.234 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CN(C[C@@H](F)CC)CC2(C)C)CC1 ZINC000975008927 656249483 /nfs/dbraw/zinc/24/94/83/656249483.db2.gz FSUJCXZUKXIGTM-HOTGVXAUSA-N 0 1 310.457 3.308 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@@H](F)CC)CC2(C)C)CC1 ZINC000975008934 656249598 /nfs/dbraw/zinc/24/95/98/656249598.db2.gz FSUJCXZUKXIGTM-JKSUJKDBSA-N 0 1 310.457 3.308 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ncsc2C2CC2)C(C)(C)C1 ZINC000975031450 656251878 /nfs/dbraw/zinc/25/18/78/656251878.db2.gz QARGBSOHSUPNEO-CYBMUJFWSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncsc2C2CC2)C(C)(C)C1 ZINC000975031450 656251881 /nfs/dbraw/zinc/25/18/81/656251881.db2.gz QARGBSOHSUPNEO-CYBMUJFWSA-N 0 1 319.474 3.037 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2CCCCCC2)C(C)(C)C1 ZINC000975094408 656257464 /nfs/dbraw/zinc/25/74/64/656257464.db2.gz LDODPZNXDODUDM-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C(C)(C)C1 ZINC000977211021 656316717 /nfs/dbraw/zinc/31/67/17/656316717.db2.gz BCMZMMWAAWKJOI-DOXZYTNZSA-N 0 1 306.494 3.461 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc([C@@H](C)CC)no2)C(C)(C)C1 ZINC000977245319 656318749 /nfs/dbraw/zinc/31/87/49/656318749.db2.gz XUZCZMHKPAFBKI-XJKSGUPXSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2cc(C)oc2C)C(C)(C)C1 ZINC000977347604 656323916 /nfs/dbraw/zinc/32/39/16/656323916.db2.gz IVGKPNHTZADMDP-BBRMVZONSA-N 0 1 304.434 3.013 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C(C)C)c2)C(C)(C)C1 ZINC000977417477 656328110 /nfs/dbraw/zinc/32/81/10/656328110.db2.gz GYUZHZCTJVLXJI-KRWDZBQOSA-N 0 1 300.446 3.436 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C3CCC3)c2)C(C)(C)C1 ZINC000977449302 656330702 /nfs/dbraw/zinc/33/07/02/656330702.db2.gz BMQJIIBVIVREEG-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000977494435 656334685 /nfs/dbraw/zinc/33/46/85/656334685.db2.gz MWAGJGSZLZSRTM-ULQDDVLXSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001009749608 656338040 /nfs/dbraw/zinc/33/80/40/656338040.db2.gz NNEWVBAKSUHPGX-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C(C)(C)C1 ZINC000977524309 656338906 /nfs/dbraw/zinc/33/89/06/656338906.db2.gz HRUWKJIWNCBWLF-HNNXBMFYSA-N 0 1 324.827 3.414 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C(F)F)c2)C(C)(C)C1 ZINC000977521382 656340634 /nfs/dbraw/zinc/34/06/34/656340634.db2.gz FJNKRTVXOKYZPQ-HNNXBMFYSA-N 0 1 320.383 3.088 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCC(C3CC3)CC2)C(C)(C)C1 ZINC000977549511 656341448 /nfs/dbraw/zinc/34/14/48/656341448.db2.gz ZPHUQMYIGNWZFV-DAWZGUTISA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2C2CC2)C(C)(C)C1 ZINC000977585381 656346940 /nfs/dbraw/zinc/34/69/40/656346940.db2.gz LDYRYQIDGJRUHP-OAHLLOKOSA-N 0 1 316.470 3.089 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)C(C)(C)C1 ZINC000977648361 656351482 /nfs/dbraw/zinc/35/14/82/656351482.db2.gz IMTWYPFHHWAWPD-GOSISDBHSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C(C)(C)C1 ZINC000977650201 656352043 /nfs/dbraw/zinc/35/20/43/656352043.db2.gz CTGORNBHDZMLII-VMEOHVCESA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977646680 656352489 /nfs/dbraw/zinc/35/24/89/656352489.db2.gz VIWCQINZRMWVDL-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977656768 656353508 /nfs/dbraw/zinc/35/35/08/656353508.db2.gz CFVHRQBWVMRNKJ-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C(C)(C)C1 ZINC000977666589 656355103 /nfs/dbraw/zinc/35/51/03/656355103.db2.gz MLVSFBAGVMWLQE-SFHVURJKSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001027560336 656359398 /nfs/dbraw/zinc/35/93/98/656359398.db2.gz WUFGKMVYTNUMAL-MJGOQNOKSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCC=CCCC3)[C@@H]2C1 ZINC000978325761 656374875 /nfs/dbraw/zinc/37/48/75/656374875.db2.gz UCCVQLQDALCUGW-LUKYLMHMSA-N 0 1 322.880 3.169 20 30 DGEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001027647912 656385190 /nfs/dbraw/zinc/38/51/90/656385190.db2.gz MVUCQQBFKHDQGS-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2CCC(C3CC3)CC2)CC1 ZINC001006355493 661257475 /nfs/dbraw/zinc/25/74/75/661257475.db2.gz JIZVYQYZFYKIHG-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc3c(c2)CCCC3)CC1 ZINC001006366118 661257942 /nfs/dbraw/zinc/25/79/42/661257942.db2.gz HKRXHONLULPPNZ-UHFFFAOYSA-N 0 1 324.468 3.125 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C2CCCC2)CCN1CC#Cc1ccccc1 ZINC000946971023 661259002 /nfs/dbraw/zinc/25/90/02/661259002.db2.gz GJMNKFQAHZVBCB-YLJYHZDGSA-N 0 1 324.468 3.197 20 30 DGEDMN O=C(N[C@H]1CCCN(CC#Cc2ccccc2)CC1)C1CCCC1 ZINC001052143437 656416068 /nfs/dbraw/zinc/41/60/68/656416068.db2.gz WBKVVZLLRBXKHO-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(F)cc2)CC1 ZINC001052159476 656417793 /nfs/dbraw/zinc/41/77/93/656417793.db2.gz WOVWOORTENHEQZ-OAHLLOKOSA-N 0 1 310.800 3.163 20 30 DGEDMN O=C(N[C@@H]1CCCN(CC#Cc2ccccc2)CC1)C1=CCCC1 ZINC001052304471 656426763 /nfs/dbraw/zinc/42/67/63/656426763.db2.gz LZGIPQCEYGXVSJ-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccn(CC)c2C)CC1 ZINC001052651429 656452952 /nfs/dbraw/zinc/45/29/52/656452952.db2.gz VXFBVKGUQUKULX-OAHLLOKOSA-N 0 1 323.868 3.153 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1coc2ccc(CC)cc12 ZINC001027881564 656455660 /nfs/dbraw/zinc/45/56/60/656455660.db2.gz SFSDXVGAAZRIHJ-INIZCTEOSA-N 0 1 324.424 3.213 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001027885368 656459368 /nfs/dbraw/zinc/45/93/68/656459368.db2.gz DGAZTNMBEXQFEK-QRVBRYPASA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1scc(C)c1Cl ZINC001027905243 656474426 /nfs/dbraw/zinc/47/44/26/656474426.db2.gz VJBIZKOYTYFTCL-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1(C)CCCC1 ZINC001039370450 656489546 /nfs/dbraw/zinc/48/95/46/656489546.db2.gz LHQDWPMFPXOYAM-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)nc1 ZINC001039386209 656491474 /nfs/dbraw/zinc/49/14/74/656491474.db2.gz COCGRAAXUYFCQK-SJORKVTESA-N 0 1 313.445 3.070 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)sc1C ZINC001039393149 656492428 /nfs/dbraw/zinc/49/24/28/656492428.db2.gz SUDBQWFFSQXZFT-CABCVRRESA-N 0 1 319.474 3.015 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)cc(Cl)c1 ZINC001039442279 656502367 /nfs/dbraw/zinc/50/23/67/656502367.db2.gz WZLNHTJYJPFYOF-CVEARBPZSA-N 0 1 322.811 3.344 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cccc(C)c1 ZINC001039444969 656503361 /nfs/dbraw/zinc/50/33/61/656503361.db2.gz YHNLHTQMWDDOMZ-RLLQIKCJSA-N 0 1 324.468 3.187 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(C)C)c1 ZINC001039457603 656506214 /nfs/dbraw/zinc/50/62/14/656506214.db2.gz ARBIXRPSAAYLHT-MOPGFXCFSA-N 0 1 310.441 3.122 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cc(C)c1 ZINC001039464537 656508418 /nfs/dbraw/zinc/50/84/18/656508418.db2.gz MIQCUSHMSYERPT-MOPGFXCFSA-N 0 1 310.441 3.006 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)CC2 ZINC001039471764 656509587 /nfs/dbraw/zinc/50/95/87/656509587.db2.gz LJRKDCRDPYNRJE-NNVNDSJASA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)cn1CC ZINC001039472394 656510709 /nfs/dbraw/zinc/51/07/09/656510709.db2.gz PYINDMLWUGPILN-CABCVRRESA-N 0 1 321.852 3.026 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccccc1C ZINC001039473674 656510782 /nfs/dbraw/zinc/51/07/82/656510782.db2.gz XUHNLGFXYRNIOJ-QRVBRYPASA-N 0 1 324.468 3.187 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccccc1C ZINC001039473400 656510824 /nfs/dbraw/zinc/51/08/24/656510824.db2.gz KZCITNDZNKOWEO-KSZLIROESA-N 0 1 312.457 3.350 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CC=C(C)CC1 ZINC001039473223 656510850 /nfs/dbraw/zinc/51/08/50/656510850.db2.gz BKECSSLJXZVLHQ-WSTZPKSXSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cc(C)co1)C2 ZINC001048555132 656511181 /nfs/dbraw/zinc/51/11/81/656511181.db2.gz WPCOWPYMJNSIFA-QDMKHBRRSA-N 0 1 322.836 3.313 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)CCC(C)CC1 ZINC001039475667 656511374 /nfs/dbraw/zinc/51/13/74/656511374.db2.gz CITLGFJWORGQPT-ISXWCOSWSA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC000980587429 656527206 /nfs/dbraw/zinc/52/72/06/656527206.db2.gz KCGZHWBYAAUQGX-IBGZPJMESA-N 0 1 308.425 3.033 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001039897095 656540586 /nfs/dbraw/zinc/54/05/86/656540586.db2.gz UECKYHISUXKADG-CUSZFKRNSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3csc(C)c3C)C[C@@H]2C1 ZINC001048840848 656542797 /nfs/dbraw/zinc/54/27/97/656542797.db2.gz WJDFJNZMHVHKMT-OKILXGFUSA-N 0 1 324.877 3.121 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2ccccc2s1 ZINC001028006588 656592123 /nfs/dbraw/zinc/59/21/23/656592123.db2.gz SQFGUYXCTMVATF-AWEZNQCLSA-N 0 1 300.427 3.282 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001028009145 656596239 /nfs/dbraw/zinc/59/62/39/656596239.db2.gz JGLAJDCDNIJTKO-IBGZPJMESA-N 0 1 312.457 3.247 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3ccsc3)C2)CC1 ZINC001040595834 656598173 /nfs/dbraw/zinc/59/81/73/656598173.db2.gz VZUUTICKYUVNDW-UHFFFAOYSA-N 0 1 324.877 3.429 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2ccc(F)cc2s1 ZINC001028010153 656598716 /nfs/dbraw/zinc/59/87/16/656598716.db2.gz VGOFMGJPWSUXID-AWEZNQCLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C=C\C3CC3)C2)CC1 ZINC001040648751 656600305 /nfs/dbraw/zinc/60/03/05/656600305.db2.gz HWQITQBMPVPTNU-PLNGDYQASA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1ccc(-c2ccco2)o1 ZINC001028019304 656606779 /nfs/dbraw/zinc/60/67/79/656606779.db2.gz SNGNORRIIJMJJB-AWEZNQCLSA-N 0 1 314.385 3.310 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049337733 656606829 /nfs/dbraw/zinc/60/68/29/656606829.db2.gz APUANBJRKSATFZ-SXLOBPIMSA-N 0 1 324.468 3.150 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049337732 656607427 /nfs/dbraw/zinc/60/74/27/656607427.db2.gz APUANBJRKSATFZ-IHPCNDPISA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3c[nH]cc3C)C2)CC1 ZINC001040935904 656615178 /nfs/dbraw/zinc/61/51/78/656615178.db2.gz IXGRMGKSKGESDM-UHFFFAOYSA-N 0 1 321.852 3.004 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001049411827 656616558 /nfs/dbraw/zinc/61/65/58/656616558.db2.gz RBKOFLIRELADIR-MNZAMAJESA-N 0 1 323.440 3.090 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)CO[C@H]2CCCC[C@H]2CC)CC1 ZINC000981137436 656628992 /nfs/dbraw/zinc/62/89/92/656628992.db2.gz VGGQAVDJIGLGGL-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2occc2c1 ZINC001049491214 656629429 /nfs/dbraw/zinc/62/94/29/656629429.db2.gz MNKALVFMPUKYHY-ROUUACIJSA-N 0 1 322.408 3.135 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1oc(C(F)F)cc1C ZINC001049528869 656636035 /nfs/dbraw/zinc/63/60/35/656636035.db2.gz BQMROASFUMDAAS-QWHCGFSZSA-N 0 1 324.371 3.391 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(CCCC)cc1 ZINC001049581603 656645085 /nfs/dbraw/zinc/64/50/85/656645085.db2.gz IXEFKTIKUOLGDG-PMACEKPBSA-N 0 1 324.468 3.341 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028070668 656662014 /nfs/dbraw/zinc/66/20/14/656662014.db2.gz IISZVRCQQLNVLR-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028070668 656662017 /nfs/dbraw/zinc/66/20/17/656662017.db2.gz IISZVRCQQLNVLR-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccc(C)s2)CC1 ZINC000957310838 656668821 /nfs/dbraw/zinc/66/88/21/656668821.db2.gz ABBIUTAJESFUKH-OAHLLOKOSA-N 0 1 306.475 3.270 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](c1ccccc1)C(C)C ZINC001049753925 656680165 /nfs/dbraw/zinc/68/01/65/656680165.db2.gz LZWMNJYRQRMBAF-AQNXPRMDSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CCCN(C(=O)CC(C)(C)c2ccc(F)cc2)CC1 ZINC000981338516 656680539 /nfs/dbraw/zinc/68/05/39/656680539.db2.gz FPIINNDTYRURMD-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)CC1CCCCC1 ZINC001049761809 656682342 /nfs/dbraw/zinc/68/23/42/656682342.db2.gz LYYUPGRWQYQXJG-NZSAHSFTSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc(C(C)(C)C)c1 ZINC001049792074 656687467 /nfs/dbraw/zinc/68/74/67/656687467.db2.gz FPGXEAZMWUXGLB-OALUTQOASA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc(C(C)(C)C)c1 ZINC001049792076 656687565 /nfs/dbraw/zinc/68/75/65/656687565.db2.gz FPGXEAZMWUXGLB-RTBURBONSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001049790025 656687747 /nfs/dbraw/zinc/68/77/47/656687747.db2.gz JHRGYWYEDGURSR-PWIZWCRZSA-N 0 1 324.468 3.051 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001049813078 656690738 /nfs/dbraw/zinc/69/07/38/656690738.db2.gz YWQYJWJYNAUGEV-MKXGPGLRSA-N 0 1 316.489 3.147 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)c(CC)o1 ZINC001049844987 656696561 /nfs/dbraw/zinc/69/65/61/656696561.db2.gz UPTGPPXLEPTJBZ-CABCVRRESA-N 0 1 302.418 3.015 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc(C2CCC2)c1 ZINC001049846139 656696965 /nfs/dbraw/zinc/69/69/65/656696965.db2.gz DBJUIQBXRMAHAV-WOJBJXKFSA-N 0 1 322.452 3.266 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc(Cl)c1 ZINC001049855394 656699369 /nfs/dbraw/zinc/69/93/69/656699369.db2.gz CDKAVIKPQKBRIB-IAGOWNOFSA-N 0 1 316.832 3.042 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049877907 656709981 /nfs/dbraw/zinc/70/99/81/656709981.db2.gz SMRWRZZNEYXLHQ-IRXDYDNUSA-N 0 1 322.408 3.135 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049882759 656712925 /nfs/dbraw/zinc/71/29/25/656712925.db2.gz DVWDITNJYOLBDM-HZPDHXFCSA-N 0 1 316.470 3.067 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(C)CC=C(C)CC1 ZINC001049904859 656717529 /nfs/dbraw/zinc/71/75/29/656717529.db2.gz SNEULUUDPGIHPB-QWFCFKBJSA-N 0 1 314.473 3.212 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C)CCC(C)CC1 ZINC001049924888 656724920 /nfs/dbraw/zinc/72/49/20/656724920.db2.gz UUPXPNHRFXZJOW-NRGCRIOASA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C)C(C)(C)C1(C)C ZINC001049936279 656727626 /nfs/dbraw/zinc/72/76/26/656727626.db2.gz ILUPTOAZLZBIRH-HZPDHXFCSA-N 0 1 316.489 3.147 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](c2ccccc2)C2CC2)CC1 ZINC000981609004 656735264 /nfs/dbraw/zinc/73/52/64/656735264.db2.gz KCCVSCSOCNUAKC-LJQANCHMSA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2cccc(C)c2)CC1 ZINC001052673917 656745210 /nfs/dbraw/zinc/74/52/10/656745210.db2.gz FKMGLQBAMPQWEB-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@@H+](C/C=C/c2ccccc2)CC1 ZINC000981751859 656758400 /nfs/dbraw/zinc/75/84/00/656758400.db2.gz ZIKVPUMCHQEZAB-XYOKQWHBSA-N 0 1 312.457 3.446 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(C/C=C/c2ccccc2)CC1 ZINC000981751859 656758406 /nfs/dbraw/zinc/75/84/06/656758406.db2.gz ZIKVPUMCHQEZAB-XYOKQWHBSA-N 0 1 312.457 3.446 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3sccc3C(C)C)C[C@@H]21 ZINC001042367322 656765508 /nfs/dbraw/zinc/76/55/08/656765508.db2.gz FQJQDGUTIUOUQD-ZBFHGGJFSA-N 0 1 316.470 3.041 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccccc3CC(C)C)C[C@@H]21 ZINC001042376043 656768469 /nfs/dbraw/zinc/76/84/69/656768469.db2.gz NSFNJRYUMGADRD-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2(F)CCCCC2)CC1 ZINC001052842968 656774343 /nfs/dbraw/zinc/77/43/43/656774343.db2.gz FWRVXWZCCBDULM-AWEZNQCLSA-N 0 1 316.848 3.382 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CC23CCCC3)CC1 ZINC001052840095 656774787 /nfs/dbraw/zinc/77/47/87/656774787.db2.gz XBLIKJHQWMGBRT-GJZGRUSLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCN(C(=O)CCC)C2)C1 ZINC001052999219 656806324 /nfs/dbraw/zinc/80/63/24/656806324.db2.gz IXGRRJLBMYHDAI-CVEARBPZSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCOc1ccccc1C(=O)N(C)C1CN(C[C@@H](C)CC)C1 ZINC001043117054 656812856 /nfs/dbraw/zinc/81/28/56/656812856.db2.gz DFDFSCQZBIEDCA-HNNXBMFYSA-N 0 1 316.445 3.054 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1scnc1C(C)C ZINC001028187949 656848735 /nfs/dbraw/zinc/84/87/35/656848735.db2.gz QVMWSWQCOADNJC-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1scnc1C(C)(C)C ZINC001028188910 656849982 /nfs/dbraw/zinc/84/99/82/656849982.db2.gz MLVKJLLICMJRDJ-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(Cl)cc2C)C1 ZINC001043730849 656852343 /nfs/dbraw/zinc/85/23/43/656852343.db2.gz HMKGPGDADGINMI-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](c2ccccc2)C(C)C)CC1 ZINC000982041371 656873901 /nfs/dbraw/zinc/87/39/01/656873901.db2.gz RGWAQXQCCJXNOU-SFHVURJKSA-N 0 1 300.446 3.147 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001044053987 656876405 /nfs/dbraw/zinc/87/64/05/656876405.db2.gz JOICSMDPSDBXLV-MRXNPFEDSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H](C)Cc2ccccc2Cl)CC1 ZINC000982059451 656878931 /nfs/dbraw/zinc/87/89/31/656878931.db2.gz YJXUKRMMNXPHBY-HNNXBMFYSA-N 0 1 320.864 3.239 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)Cc2ccccc2Cl)CC1 ZINC000982059451 656878942 /nfs/dbraw/zinc/87/89/42/656878942.db2.gz YJXUKRMMNXPHBY-HNNXBMFYSA-N 0 1 320.864 3.239 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ccoc1 ZINC001054031468 656884676 /nfs/dbraw/zinc/88/46/76/656884676.db2.gz DLTSOYDVAXZBTP-GOSISDBHSA-N 0 1 310.397 3.012 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C[C@@H]1C=CCC1)C2 ZINC001054082514 656906598 /nfs/dbraw/zinc/90/65/98/656906598.db2.gz LIXDZZUJTIJHGK-AEFFLSMTSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H]3CCCC[C@@H]3C)C2)C1 ZINC000982168745 656910136 /nfs/dbraw/zinc/91/01/36/656910136.db2.gz BKMUMXSOPQBROW-ZWKOTPCHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)c1cc[nH]c1C)C2 ZINC001054112380 656913009 /nfs/dbraw/zinc/91/30/09/656913009.db2.gz LUCCRXXWUXMPGS-QGZVFWFLSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H](C)C1CCC1)C2 ZINC001054151427 656919633 /nfs/dbraw/zinc/91/96/33/656919633.db2.gz JUDINCPYBAABHJ-CRAIPNDOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC000982227343 656921512 /nfs/dbraw/zinc/92/15/12/656921512.db2.gz PZHMVMYCZWWIID-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@]1(C)C=CCC1)C2 ZINC001054180823 656926556 /nfs/dbraw/zinc/92/65/56/656926556.db2.gz YBFVFLSCUOJWEZ-ROUUACIJSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H]1CCC1(C)C)C2 ZINC001054179783 656926719 /nfs/dbraw/zinc/92/67/19/656926719.db2.gz GQLJTPNDXRIVJU-CRAIPNDOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001028231208 656928431 /nfs/dbraw/zinc/92/84/31/656928431.db2.gz IVFQQJPTHNORBC-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc2ccsc21 ZINC001028239616 656936146 /nfs/dbraw/zinc/93/61/46/656936146.db2.gz RFHLORIXYXSOCC-HNNXBMFYSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H](C)C(C)C)C2 ZINC001054206903 656938482 /nfs/dbraw/zinc/93/84/82/656938482.db2.gz OGSLZCSQCWOSRX-WBVHZDCISA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)c1c[nH]cc1C)C2 ZINC001054238853 656944538 /nfs/dbraw/zinc/94/45/38/656944538.db2.gz BSHJWVDRAHCLJO-QGZVFWFLSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3CC[C@H](F)C3)cc2C1 ZINC001054279115 656958811 /nfs/dbraw/zinc/95/88/11/656958811.db2.gz UYRHITUVLLQGOC-QAPCUYQASA-N 0 1 316.420 3.333 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CC[C@@H](C)C3)cc2C1 ZINC001054285109 656962356 /nfs/dbraw/zinc/96/23/56/656962356.db2.gz SIABYVREONACPD-WBVHZDCISA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3C(C)C)cc2C1 ZINC001054287831 656963558 /nfs/dbraw/zinc/96/35/58/656963558.db2.gz COZYKPYEWIIZBM-RBUKOAKNSA-N 0 1 312.457 3.487 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccsc1C(F)F ZINC001028288239 656973310 /nfs/dbraw/zinc/97/33/10/656973310.db2.gz BMFSXKMNJGXMHO-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001028294311 656980450 /nfs/dbraw/zinc/98/04/50/656980450.db2.gz ZCGLUPXNVXBRQG-FQEVSTJZSA-N 0 1 318.420 3.181 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccccc1CC(C)C ZINC001028341535 656999633 /nfs/dbraw/zinc/99/96/33/656999633.db2.gz NISFVLRUSGZFEH-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2Cl)C[C@H]1C ZINC001054685010 657000356 /nfs/dbraw/zinc/00/03/56/657000356.db2.gz AOEGSAYNRADSKY-LDYMZIIASA-N 0 1 319.257 3.204 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1sc(C(C)(C)C)nc1C ZINC001028350649 657001880 /nfs/dbraw/zinc/00/18/80/657001880.db2.gz HTFLROBZCDKJKF-ZDUSSCGKSA-N 0 1 321.490 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cscc2Cl)C[C@H]1C ZINC001054767082 657005893 /nfs/dbraw/zinc/00/58/93/657005893.db2.gz PCKLDEVTENESMI-PRHODGIISA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cscc2Cl)C[C@H]1C ZINC001054767081 657006828 /nfs/dbraw/zinc/00/68/28/657006828.db2.gz PCKLDEVTENESMI-PELKAZGASA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(F)ccc2CC)C[C@@H]1C ZINC001054856772 657015206 /nfs/dbraw/zinc/01/52/06/657015206.db2.gz KYKOORCRDPNSHD-MEDUHNTESA-N 0 1 324.827 3.191 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2cccc(C)c2)C[C@@H]1C ZINC001054937913 657022839 /nfs/dbraw/zinc/02/28/39/657022839.db2.gz BCTDYWIOSLIXPM-WMLDXEAASA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc3ccsc3[nH]2)C[C@@H]1C ZINC001054954281 657025147 /nfs/dbraw/zinc/02/51/47/657025147.db2.gz OHURKMNDJZFZFJ-ZANVPECISA-N 0 1 323.849 3.032 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(CCCC)cc2)CC1 ZINC001045496030 657029921 /nfs/dbraw/zinc/02/99/21/657029921.db2.gz NYHUYNIORMACQU-UHFFFAOYSA-N 0 1 312.457 3.247 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCCc3ccccc32)CC1 ZINC001045647777 657048650 /nfs/dbraw/zinc/04/86/50/657048650.db2.gz UJDDTRHUHZAMOR-IBGZPJMESA-N 0 1 324.468 3.101 20 30 DGEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)[C@@H](C)CC3CCCC3)C[C@@]2(C)C1 ZINC000982609925 657061525 /nfs/dbraw/zinc/06/15/25/657061525.db2.gz JTTUTMZAYSFIKJ-FFZOFVMBSA-N 0 1 316.489 3.006 20 30 DGEDMN CCC(=O)N1CCCC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001045902840 657082005 /nfs/dbraw/zinc/08/20/05/657082005.db2.gz GWQVCGXGICMPJY-OAQYLSRUSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)CC1CC1)C2 ZINC001045907179 657083908 /nfs/dbraw/zinc/08/39/08/657083908.db2.gz QWYBOPZQVTZYBZ-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@H]1CC1(C)C)C2 ZINC001045928143 657095686 /nfs/dbraw/zinc/09/56/86/657095686.db2.gz ROAZHWFQMWGPFV-CRAIPNDOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001000164905 657100744 /nfs/dbraw/zinc/10/07/44/657100744.db2.gz GEIHRFZTQPZQQM-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001000481850 657129775 /nfs/dbraw/zinc/12/97/75/657129775.db2.gz AOZYQVDMXOMJAM-UONOGXRCSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2ccccc2)C1 ZINC001000727278 657145928 /nfs/dbraw/zinc/14/59/28/657145928.db2.gz BVTOMNBXGUGTTQ-GDBMZVCRSA-N 0 1 306.837 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)cc(C)c2)C1 ZINC001000783315 657153635 /nfs/dbraw/zinc/15/36/35/657153635.db2.gz IBMFQDNIDKKPJB-MRXNPFEDSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2ccc(C)c(C)c2)C1 ZINC001000872174 657160613 /nfs/dbraw/zinc/16/06/13/657160613.db2.gz KPCJNUFGCJHQJP-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3ccccc3s2)C1 ZINC001046459127 657164447 /nfs/dbraw/zinc/16/44/47/657164447.db2.gz WTYBURUWDAXYPW-KRWDZBQOSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3ccccc3cc2F)C1 ZINC001046477045 657168007 /nfs/dbraw/zinc/16/80/07/657168007.db2.gz NXNGXJQUSCIIBS-IBGZPJMESA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@]2(C)CC=C(C)CC2)C1 ZINC001000968196 657170395 /nfs/dbraw/zinc/17/03/95/657170395.db2.gz AKQMPSBWXZWQSJ-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@]2(C)CC=C(C)CC2)C1 ZINC001000968197 657170496 /nfs/dbraw/zinc/17/04/96/657170496.db2.gz AKQMPSBWXZWQSJ-RDJZCZTQSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001046538814 657173655 /nfs/dbraw/zinc/17/36/55/657173655.db2.gz PRSCXARVAVKAQL-FQEVSTJZSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccoc2C(C)C)C1 ZINC001001037834 657177416 /nfs/dbraw/zinc/17/74/16/657177416.db2.gz KZKGXNMBLCPPON-CYBMUJFWSA-N 0 1 310.825 3.350 20 30 DGEDMN C=C1CCC(C(=O)N[C@]2(C)CCN(CCC(F)(F)F)C2)CC1 ZINC001046594911 657186043 /nfs/dbraw/zinc/18/60/43/657186043.db2.gz ROHATGHFFVJSLT-OAHLLOKOSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001046670586 657201570 /nfs/dbraw/zinc/20/15/70/657201570.db2.gz PRMLJGSRYWYCSK-SFHVURJKSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001046752918 657213519 /nfs/dbraw/zinc/21/35/19/657213519.db2.gz FBFWSDKBDSIOML-BEFAXECRSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2ccc(C3CC3)s2)C1 ZINC001046769340 657215687 /nfs/dbraw/zinc/21/56/87/657215687.db2.gz SFUALEVROOTKFA-KRWDZBQOSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001046791369 657220076 /nfs/dbraw/zinc/22/00/76/657220076.db2.gz IBXSZYSMMONIHR-HNNXBMFYSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc3ccccc3c2)C1 ZINC001046797645 657222077 /nfs/dbraw/zinc/22/20/77/657222077.db2.gz FVTMJOQIAKCRMC-HXUWFJFHSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001046813830 657226497 /nfs/dbraw/zinc/22/64/97/657226497.db2.gz GLQMXBBDQVIQOD-NRFANRHFSA-N 0 1 318.420 3.181 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001046813830 657226500 /nfs/dbraw/zinc/22/65/00/657226500.db2.gz GLQMXBBDQVIQOD-NRFANRHFSA-N 0 1 318.420 3.181 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001046854083 657236266 /nfs/dbraw/zinc/23/62/66/657236266.db2.gz JHSMTAAVAZUZSL-KRWDZBQOSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001046974010 657248553 /nfs/dbraw/zinc/24/85/53/657248553.db2.gz BUPIAAHBHBSPAM-RBSFLKMASA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2ccc(C)c(C)c2)C1 ZINC001046991428 657249709 /nfs/dbraw/zinc/24/97/09/657249709.db2.gz GGXHJKHSOBJOLV-MRXNPFEDSA-N 0 1 322.399 3.176 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001046990274 657250772 /nfs/dbraw/zinc/25/07/72/657250772.db2.gz QEKOKSLBROKDJZ-KKUMJFAQSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2cccc(C)c2C)C1 ZINC001046991795 657250783 /nfs/dbraw/zinc/25/07/83/657250783.db2.gz MSPOLGXZKMTJRV-HNNXBMFYSA-N 0 1 322.399 3.176 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001050154259 657260696 /nfs/dbraw/zinc/26/06/96/657260696.db2.gz MHHNMERCGVZFJS-YTQUADARSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc(CC)c(CC)o3)[C@@H]2C1 ZINC001050184819 657265440 /nfs/dbraw/zinc/26/54/40/657265440.db2.gz VCDHRQMOUQSUQT-JKSUJKDBSA-N 0 1 316.445 3.127 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3oc4ccccc4c3C)[C@@H]2C1 ZINC001050196624 657268516 /nfs/dbraw/zinc/26/85/16/657268516.db2.gz KPTOHVWYMBDBQM-DOTOQJQBSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CCC[C@H]3C)C2)CC1 ZINC001050530937 657320516 /nfs/dbraw/zinc/32/05/16/657320516.db2.gz GBWSMXTXONSWQJ-ZBFHGGJFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3csc(C)c3)C2)CC1 ZINC001050699896 657344858 /nfs/dbraw/zinc/34/48/58/657344858.db2.gz XDGZWMCYRODOOG-UHFFFAOYSA-N 0 1 324.877 3.347 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)C3CCCC3)C2)CC1 ZINC001050726385 657349170 /nfs/dbraw/zinc/34/91/70/657349170.db2.gz APDPAWAHYOXKMA-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccc(C)cc3)C2)CC1 ZINC001050740116 657352541 /nfs/dbraw/zinc/35/25/41/657352541.db2.gz WWPHLCVKSFPRPS-UHFFFAOYSA-N 0 1 318.848 3.286 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccccc3)cccc2C1 ZINC001051278637 657378076 /nfs/dbraw/zinc/37/80/76/657378076.db2.gz XQAZJLPHWRWNAQ-UHFFFAOYSA-N 0 1 306.409 3.161 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3C=CC=CC=C3)cccc2C1 ZINC001051289852 657382476 /nfs/dbraw/zinc/38/24/76/657382476.db2.gz NXLFKOQDHAPFGR-UHFFFAOYSA-N 0 1 320.436 3.145 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)CC2CCCCCC2)C1 ZINC000968354533 657391877 /nfs/dbraw/zinc/39/18/77/657391877.db2.gz UTPBPVZCDLDNPN-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000968366379 657396265 /nfs/dbraw/zinc/39/62/65/657396265.db2.gz WXSVPFLHABQWOB-UZLBHIALSA-N 0 1 320.436 3.222 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccccc2Cl)C1 ZINC000957310060 657397988 /nfs/dbraw/zinc/39/79/88/657397988.db2.gz CIMUOSAREXMQRV-UHFFFAOYSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(C)c(C)c2Cl)CC1 ZINC000957325855 657400331 /nfs/dbraw/zinc/40/03/31/657400331.db2.gz ZNQUQRMQCLSLHT-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2csc(Cl)c2)C1 ZINC000968386364 657402469 /nfs/dbraw/zinc/40/24/69/657402469.db2.gz HRRAQHZYAVXPNX-DGCLKSJQSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968471890 657414345 /nfs/dbraw/zinc/41/43/45/657414345.db2.gz MRHYUQIEASDQAX-TVFCKZIOSA-N 0 1 306.494 3.461 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC000957430139 657416222 /nfs/dbraw/zinc/41/62/22/657416222.db2.gz VZIPAMLMZNRWHJ-LEOMRAHMSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968506641 657419140 /nfs/dbraw/zinc/41/91/40/657419140.db2.gz VNZBWLWRPHWBPM-YJBOKZPZSA-N 0 1 300.446 3.436 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC000968565818 657427343 /nfs/dbraw/zinc/42/73/43/657427343.db2.gz JKDGRZXKPGSXFA-JSGCOSHPSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC000968565819 657428127 /nfs/dbraw/zinc/42/81/27/657428127.db2.gz JKDGRZXKPGSXFA-OCCSQVGLSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968569698 657428979 /nfs/dbraw/zinc/42/89/79/657428979.db2.gz KUQWHPSKYBFRAZ-DOMZBBRYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)nc3ccccc32)CC1 ZINC000957543601 657429217 /nfs/dbraw/zinc/42/92/17/657429217.db2.gz LVAHTTFWGHLTFE-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968579235 657432522 /nfs/dbraw/zinc/43/25/22/657432522.db2.gz LSMKAEBVYHXICX-BEFAXECRSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3ccccc3)CCCC2)CC1 ZINC000957558373 657432736 /nfs/dbraw/zinc/43/27/36/657432736.db2.gz ZEKWGIXQHYGXOF-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968582613 657433131 /nfs/dbraw/zinc/43/31/31/657433131.db2.gz AOMGOBGRUQMRLK-VQIMIIECSA-N 0 1 312.457 3.274 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968583315 657433176 /nfs/dbraw/zinc/43/31/76/657433176.db2.gz LPLLNZXNZDDJHB-MAUKXSAKSA-N 0 1 300.446 3.436 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2occ3c2CCCC3)C1 ZINC000968583851 657434076 /nfs/dbraw/zinc/43/40/76/657434076.db2.gz PXNPUZDDUSWTAM-RHSMWYFYSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)nc3ccccc32)C1 ZINC000968609528 657441691 /nfs/dbraw/zinc/44/16/91/657441691.db2.gz IBLLEDPIYJKJMC-LIRRHRJNSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC000968610891 657442070 /nfs/dbraw/zinc/44/20/70/657442070.db2.gz SJVAJOJHOCEUJL-CRAIPNDOSA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968628067 657448263 /nfs/dbraw/zinc/44/82/63/657448263.db2.gz RWNWOTIHYPXOEG-XJKSGUPXSA-N 0 1 318.486 3.082 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968682207 657456251 /nfs/dbraw/zinc/45/62/51/657456251.db2.gz PASZVZHBTNETGU-NVXWUHKLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684984 657457404 /nfs/dbraw/zinc/45/74/04/657457404.db2.gz LLERXAHVBRFRTC-GDBMZVCRSA-N 0 1 312.413 3.367 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684967 657457901 /nfs/dbraw/zinc/45/79/01/657457901.db2.gz LADLKODWBGXDJR-WBVHZDCISA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C3CC3)c2)C1 ZINC000968689405 657459213 /nfs/dbraw/zinc/45/92/13/657459213.db2.gz XUGZVDKDZKDMMT-HNAYVOBHSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2csc(C3CC3)n2)C1 ZINC000968697636 657464156 /nfs/dbraw/zinc/46/41/56/657464156.db2.gz IASIJJDUWBZTFT-GXTWGEPZSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC000968709883 657465652 /nfs/dbraw/zinc/46/56/52/657465652.db2.gz MFWGJOUAPHPGNJ-CZUORRHYSA-N 0 1 322.399 3.425 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(C(F)(F)F)CCCC2)CC1 ZINC000957920883 657471999 /nfs/dbraw/zinc/47/19/99/657471999.db2.gz OSWHAALJRLGNOY-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccsc1C ZINC000968850220 657503249 /nfs/dbraw/zinc/50/32/49/657503249.db2.gz SHFUTNJZWGZRGW-OAHLLOKOSA-N 0 1 304.459 3.054 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC000968863488 657505305 /nfs/dbraw/zinc/50/53/05/657505305.db2.gz GNCJSKMGSDRNNU-YESZJQIVSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC000968897906 657512853 /nfs/dbraw/zinc/51/28/53/657512853.db2.gz AFMODHAADYTERT-CYBMUJFWSA-N 0 1 324.371 3.133 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC2CCCCC2)c1 ZINC000127041508 657515373 /nfs/dbraw/zinc/51/53/73/657515373.db2.gz OHFKCTTZMADFAR-MRXNPFEDSA-N 0 1 314.385 3.313 20 30 DGEDMN N#Cc1cccc(NC(=O)CCNC2(c3ccccc3F)CC2)c1 ZINC000411467719 657517471 /nfs/dbraw/zinc/51/74/71/657517471.db2.gz BQRVGAZSKCCWCQ-UHFFFAOYSA-N 0 1 323.371 3.305 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cc(COC)cs1 ZINC000968936844 657518314 /nfs/dbraw/zinc/51/83/14/657518314.db2.gz RZAUJMCMJVBHHR-OAHLLOKOSA-N 0 1 322.474 3.055 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000968962433 657523561 /nfs/dbraw/zinc/52/35/61/657523561.db2.gz FCACIQALRUTRJZ-XMTFNYHQSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCCCC1(F)F ZINC000968969038 657525722 /nfs/dbraw/zinc/52/57/22/657525722.db2.gz WSZOKFFTIZTXGV-HUUCEWRRSA-N 0 1 314.420 3.359 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC000968985468 657526833 /nfs/dbraw/zinc/52/68/33/657526833.db2.gz UFTUUWXEPRZGJU-YZGWKJHDSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC000969019408 657537033 /nfs/dbraw/zinc/53/70/33/657537033.db2.gz BINOCWOULHOLQL-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC000969046089 657542192 /nfs/dbraw/zinc/54/21/92/657542192.db2.gz ABAVZPPTDSABTD-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCCc3ccccc32)C1 ZINC000969098022 657555348 /nfs/dbraw/zinc/55/53/48/657555348.db2.gz NFNCUJPHCZVIMR-WBVHZDCISA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@@H]1CNC(=O)c1oc(CC)nc1C ZINC000969100851 657556520 /nfs/dbraw/zinc/55/65/20/657556520.db2.gz JXURWOQVUAGZRP-OAHLLOKOSA-N 0 1 319.449 3.096 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001007346178 657596575 /nfs/dbraw/zinc/59/65/75/657596575.db2.gz VBCHFXZVDKVDKK-HNNXBMFYSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001007395412 657605215 /nfs/dbraw/zinc/60/52/15/657605215.db2.gz HZJBSOOWABENPF-QGZVFWFLSA-N 0 1 316.445 3.082 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cscc2C)CC1 ZINC000985368551 657611257 /nfs/dbraw/zinc/61/12/57/657611257.db2.gz VVKQPSNOVNMDEC-UHFFFAOYSA-N 0 1 312.866 3.345 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007467798 657611891 /nfs/dbraw/zinc/61/18/91/657611891.db2.gz BJHNYGIEYLPQCZ-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007467798 657611894 /nfs/dbraw/zinc/61/18/94/657611894.db2.gz BJHNYGIEYLPQCZ-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC001038620543 657614852 /nfs/dbraw/zinc/61/48/52/657614852.db2.gz CPILXUNCINLMRV-JHJVBQTASA-N 0 1 324.877 3.272 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC001038620543 657614857 /nfs/dbraw/zinc/61/48/57/657614857.db2.gz CPILXUNCINLMRV-JHJVBQTASA-N 0 1 324.877 3.272 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001007533356 657616726 /nfs/dbraw/zinc/61/67/26/657616726.db2.gz ZITNJLCVMXRKCB-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@H]2CCCN(CC(=C)C)C2)cc1 ZINC001007668111 657627496 /nfs/dbraw/zinc/62/74/96/657627496.db2.gz HESHBOWDHOENEM-KRWDZBQOSA-N 0 1 314.429 3.022 20 30 DGEDMN Cn1cccc1[C@@H](O)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000304406347 657630942 /nfs/dbraw/zinc/63/09/42/657630942.db2.gz XDSVFNSWMIYFHY-SRYMZENNSA-N 0 1 313.184 3.190 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccc(CCCC)cc2)C1 ZINC001007817400 657635675 /nfs/dbraw/zinc/63/56/75/657635675.db2.gz LZXFIQOUKWAFHH-LJQANCHMSA-N 0 1 314.473 3.338 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001007860727 657641405 /nfs/dbraw/zinc/64/14/05/657641405.db2.gz VYZPWYTYTUXZPA-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001007950577 657648398 /nfs/dbraw/zinc/64/83/98/657648398.db2.gz LVAHVKWAKHGYSJ-ZIAGYGMSSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001008064149 657658338 /nfs/dbraw/zinc/65/83/38/657658338.db2.gz UDJCSRYBXCQVGP-OAHLLOKOSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)nc2C2CC2)C1 ZINC001008094601 657660600 /nfs/dbraw/zinc/66/06/00/657660600.db2.gz IGPGNTWNEXSAPA-MRXNPFEDSA-N 0 1 313.445 3.038 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001008113634 657662395 /nfs/dbraw/zinc/66/23/95/657662395.db2.gz NTORAZLXBIDXLS-JTQLQIEISA-N 0 1 316.232 3.092 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001008135912 657664311 /nfs/dbraw/zinc/66/43/11/657664311.db2.gz KZRCHQBOOKNCES-HNNXBMFYSA-N 0 1 315.392 3.077 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC001008181405 657667750 /nfs/dbraw/zinc/66/77/50/657667750.db2.gz DTWZMFSQMPTKKU-CQSZACIVSA-N 0 1 306.475 3.182 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001008656158 657714643 /nfs/dbraw/zinc/71/46/43/657714643.db2.gz ZFAKZRHUVJCKKE-ULQDDVLXSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC000985512191 657728397 /nfs/dbraw/zinc/72/83/97/657728397.db2.gz FMFCZPKYMQFKHS-OFQRWUPVSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3cccnc3c2)C1 ZINC001008914042 657739656 /nfs/dbraw/zinc/73/96/56/657739656.db2.gz PGRXDYTZGMLPHZ-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001009042970 657744973 /nfs/dbraw/zinc/74/49/73/657744973.db2.gz GYXZXSIPWJSDOA-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3cnccc3c2)C1 ZINC001009106455 657749472 /nfs/dbraw/zinc/74/94/72/657749472.db2.gz FKUQOUHQYSXWLW-GOSISDBHSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001009241666 657757478 /nfs/dbraw/zinc/75/74/78/657757478.db2.gz CKFSNOFDKLPCQA-AWEZNQCLSA-N 0 1 320.864 3.384 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001009289758 657764712 /nfs/dbraw/zinc/76/47/12/657764712.db2.gz DWXHTWBSAVBXQP-MSOLQXFVSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001009312718 657768618 /nfs/dbraw/zinc/76/86/18/657768618.db2.gz MKVYJBCHCBXRFT-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001009357045 657768922 /nfs/dbraw/zinc/76/89/22/657768922.db2.gz CADHWKCIMCMHFK-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCC(C)=C(C)C1 ZINC000960270973 657770702 /nfs/dbraw/zinc/77/07/02/657770702.db2.gz JLNOFFTUKQNVKY-DFQSSKMNSA-N 0 1 322.452 3.128 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001009376533 657774431 /nfs/dbraw/zinc/77/44/31/657774431.db2.gz IZPLXTJDZIZBGZ-DOTOQJQBSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001009584284 657797256 /nfs/dbraw/zinc/79/72/56/657797256.db2.gz CSLJSNLIZGKDEG-BBRMVZONSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001009590811 657798845 /nfs/dbraw/zinc/79/88/45/657798845.db2.gz WSRGTWOZBQVURU-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@H]2c2ccco2)CC1 ZINC000985672870 657800454 /nfs/dbraw/zinc/80/04/54/657800454.db2.gz UUHQNXIUACGKJK-HUUCEWRRSA-N 0 1 322.836 3.058 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC001009661156 657805469 /nfs/dbraw/zinc/80/54/69/657805469.db2.gz PYTURTLCKZJJMA-KMFTYDHNSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001009679045 657807900 /nfs/dbraw/zinc/80/79/00/657807900.db2.gz CGOXNUNPJPSFHP-BBRMVZONSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2CC(c3ccccc3)C2)C1 ZINC001009698110 657809074 /nfs/dbraw/zinc/80/90/74/657809074.db2.gz QFJRMKSGDFSYCG-ACBHZAAOSA-N 0 1 312.457 3.337 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001009702953 657811698 /nfs/dbraw/zinc/81/16/98/657811698.db2.gz NYGYGFRBIHSPCJ-HNNXBMFYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)C2CCCC2)CC1 ZINC000985748182 657832466 /nfs/dbraw/zinc/83/24/66/657832466.db2.gz ZVTHLZCEHAVPDT-AWEZNQCLSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)/C(C)=C/C)C2)C1 ZINC000961134355 657959889 /nfs/dbraw/zinc/95/98/89/657959889.db2.gz DSUVUGXRBDTPDT-HAJDGHMISA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C2CCC2)C1 ZINC001029917509 657995924 /nfs/dbraw/zinc/99/59/24/657995924.db2.gz MBYCVEYBUWSQQG-LJQANCHMSA-N 0 1 312.457 3.466 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@@H]2C[C@H]2C)C1 ZINC001029920019 658004406 /nfs/dbraw/zinc/00/44/06/658004406.db2.gz MKCJBTZUWJAYHD-MISYRCLQSA-N 0 1 324.468 3.159 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001014479713 658018485 /nfs/dbraw/zinc/01/84/85/658018485.db2.gz TXQSQWJJDHQVMM-LLVKDONJSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccsc2)[C@H]1C ZINC000986358388 658040378 /nfs/dbraw/zinc/04/03/78/658040378.db2.gz IZMPISLNFYBOMS-JSGCOSHPSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccc(F)cc2)[C@H]1C ZINC000986498931 658055602 /nfs/dbraw/zinc/05/56/02/658055602.db2.gz CNDGXQPBDCRFJW-BBRMVZONSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)n(CC)c2C)[C@H]1C ZINC000986512039 658057945 /nfs/dbraw/zinc/05/79/45/658057945.db2.gz HTIFPYZJIBKAAL-HOCLYGCPSA-N 0 1 323.868 3.070 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC001015141200 658089854 /nfs/dbraw/zinc/08/98/54/658089854.db2.gz YKSHTRGLLOWUGG-AWEZNQCLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3[nH]ccc32)[C@H]1C ZINC000987033399 658141809 /nfs/dbraw/zinc/14/18/09/658141809.db2.gz AFAXICQCHQJQKT-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001015643322 658151464 /nfs/dbraw/zinc/15/14/64/658151464.db2.gz LQLHHFXSDFDGAP-INIZCTEOSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3cc[nH]c3c2)[C@H]1C ZINC000987073734 658151684 /nfs/dbraw/zinc/15/16/84/658151684.db2.gz CEUVPZDPBODLSF-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(CC)c(CC)o2)[C@@H]1C ZINC000987359915 658195437 /nfs/dbraw/zinc/19/54/37/658195437.db2.gz RFBGHVSRPFHLOR-TZMCWYRMSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)cc(C)c2)[C@H]1C ZINC000987404084 658199305 /nfs/dbraw/zinc/19/93/05/658199305.db2.gz LQYYJBDMHNYZMZ-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(F)F)o2)[C@@H]1C ZINC000987428344 658208389 /nfs/dbraw/zinc/20/83/89/658208389.db2.gz NTIPSOWCFWGHCQ-ZJUUUORDSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CC)cc2)[C@H]1C ZINC000987445435 658210002 /nfs/dbraw/zinc/21/00/02/658210002.db2.gz ZACBZCSNZOVKSY-XJKSGUPXSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3c(s2)CCC3)[C@@H]1C ZINC000987458362 658211023 /nfs/dbraw/zinc/21/10/23/658211023.db2.gz GCXLOBULPLCOAO-DGCLKSJQSA-N 0 1 324.877 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC000987458357 658211388 /nfs/dbraw/zinc/21/13/88/658211388.db2.gz GCXLOBULPLCOAO-AAEUAGOBSA-N 0 1 324.877 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3c(s2)CCC3)[C@@H]1C ZINC000987458367 658211514 /nfs/dbraw/zinc/21/15/14/658211514.db2.gz GCXLOBULPLCOAO-YPMHNXCESA-N 0 1 324.877 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc3ccccc23)[C@H]1C ZINC000987609297 658240772 /nfs/dbraw/zinc/24/07/72/658240772.db2.gz JKJRFHNJJSGPRG-WFASDCNBSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001016374754 658274941 /nfs/dbraw/zinc/27/49/41/658274941.db2.gz VUHXLWGYKCJOSX-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001016411731 658280811 /nfs/dbraw/zinc/28/08/11/658280811.db2.gz LYOVWAMHUIVEKC-MRXNPFEDSA-N 0 1 300.446 3.039 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2CC=CCC2)CC1 ZINC001016499732 658294405 /nfs/dbraw/zinc/29/44/05/658294405.db2.gz JHGMGYPGTAHSEQ-CABCVRRESA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2c(C)coc2C)CC1 ZINC001016605399 658305161 /nfs/dbraw/zinc/30/51/61/658305161.db2.gz PHCSHLLSJIYWRT-AWEZNQCLSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001016822154 658339175 /nfs/dbraw/zinc/33/91/75/658339175.db2.gz YOFFRAWECJYHNR-RVKKMQEKSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001016871868 658345722 /nfs/dbraw/zinc/34/57/22/658345722.db2.gz CIWDCURWGLXWBR-XHSDSOJGSA-N 0 1 322.880 3.146 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](CN(C)Cc2ccns2)C1 ZINC001017180505 658403400 /nfs/dbraw/zinc/40/34/00/658403400.db2.gz TVADZOQKQCPJFY-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2ccccc2CC)C1 ZINC000989034594 658426173 /nfs/dbraw/zinc/42/61/73/658426173.db2.gz NBMXGEIMSCMPGG-PBHICJAKSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC000966098053 658446980 /nfs/dbraw/zinc/44/69/80/658446980.db2.gz BDXXXEVZTNRUNS-DZGCQCFKSA-N 0 1 319.449 3.123 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC000966098056 658447026 /nfs/dbraw/zinc/44/70/26/658447026.db2.gz BDXXXEVZTNRUNS-ZFWWWQNUSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCC[C@H]1CC ZINC001017663950 658448849 /nfs/dbraw/zinc/44/88/49/658448849.db2.gz YIQSGQMQIZGYAG-VXIBKDFQSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccn(C(C)C)c2C)C1 ZINC000989330927 658465265 /nfs/dbraw/zinc/46/52/65/658465265.db2.gz VYVPLCQPFWGLIM-DZGCQCFKSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc3[nH]ccc3c2)C1 ZINC000989364517 658468946 /nfs/dbraw/zinc/46/89/46/658468946.db2.gz FKFQTRJTDIVNSJ-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(Cl)c1F ZINC001017872780 658470925 /nfs/dbraw/zinc/47/09/25/658470925.db2.gz SZDZTHTVJOGSPC-BETUJISGSA-N 0 1 322.811 3.344 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966571329 658483399 /nfs/dbraw/zinc/48/33/99/658483399.db2.gz XYHUXACYBIQGOH-VQIMIIECSA-N 0 1 312.457 3.274 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)c(F)c1F ZINC001017984708 658485398 /nfs/dbraw/zinc/48/53/98/658485398.db2.gz QLUABGSCCUSRAP-OKILXGFUSA-N 0 1 320.383 3.138 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC000989446693 658486488 /nfs/dbraw/zinc/48/64/88/658486488.db2.gz WZYGLOOWNAWKAF-CYBMUJFWSA-N 0 1 324.877 3.063 20 30 DGEDMN C=CCN1CCCN(C(=O)C(C)(C)c2ccc(CC)cc2)CC1 ZINC000989445977 658486599 /nfs/dbraw/zinc/48/65/99/658486599.db2.gz LBSVIFJVAJATKE-UHFFFAOYSA-N 0 1 314.473 3.247 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966723031 658493352 /nfs/dbraw/zinc/49/33/52/658493352.db2.gz NDZHBZBWIMMNLI-KBXCAEBGSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc([C@@H](C)CC)no1 ZINC001018040762 658495625 /nfs/dbraw/zinc/49/56/25/658495625.db2.gz FHGQIWZMBDKXOG-SOUVJXGZSA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cscc2Cl)C1 ZINC000966887826 658507617 /nfs/dbraw/zinc/50/76/17/658507617.db2.gz JCDOTSHDWHIHCC-SMDDNHRTSA-N 0 1 312.866 3.418 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCC(C)(C)C1 ZINC001018176004 658510175 /nfs/dbraw/zinc/51/01/75/658510175.db2.gz DHSKNOLQIXNLAN-OKZBNKHCSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oc(CC)cc1C ZINC001018178992 658510531 /nfs/dbraw/zinc/51/05/31/658510531.db2.gz YFVXVZIUKTVALU-GASCZTMLSA-N 0 1 302.418 3.015 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(C)c(C)c1 ZINC001018241968 658524589 /nfs/dbraw/zinc/52/45/89/658524589.db2.gz OYAAPBITSRKIPR-KDURUIRLSA-N 0 1 312.457 3.097 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCC2 ZINC001018255449 658527505 /nfs/dbraw/zinc/52/75/05/658527505.db2.gz FZHYNCHGRCJQHG-KDURUIRLSA-N 0 1 310.441 3.040 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2cccc(F)c2)CC1 ZINC000989699761 658545248 /nfs/dbraw/zinc/54/52/48/658545248.db2.gz URDUZFDVOMAWDR-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccc(F)cc2F)CC1 ZINC000989703538 658546136 /nfs/dbraw/zinc/54/61/36/658546136.db2.gz DODVBLRLHSBMIY-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3[nH]ccc3s2)C1 ZINC000989729585 658557360 /nfs/dbraw/zinc/55/73/60/658557360.db2.gz BZUBLAMEHYBZKP-QWRGUYRKSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC000967273187 658558571 /nfs/dbraw/zinc/55/85/71/658558571.db2.gz JJZQGEPKZBNTFL-VQIMIIECSA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967280402 658561459 /nfs/dbraw/zinc/56/14/59/658561459.db2.gz XXNBSZAPCZSCAW-MLGOLLRUSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC000967283465 658562727 /nfs/dbraw/zinc/56/27/27/658562727.db2.gz HKEFHFAIMMHPOY-SUMWQHHRSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(CC)cc2)C1 ZINC000989741802 658563423 /nfs/dbraw/zinc/56/34/23/658563423.db2.gz ILTITUNZKFQRRG-XJKSGUPXSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cccnc2C(F)F)C1 ZINC000967291941 658564502 /nfs/dbraw/zinc/56/45/02/658564502.db2.gz PHVQRFLTKDGICB-TZMCWYRMSA-N 0 1 323.387 3.036 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(C(F)F)cc2)C1 ZINC000967338915 658571168 /nfs/dbraw/zinc/57/11/68/658571168.db2.gz SHPVEOQVSRONSS-XJKSGUPXSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)Cc2ccc(C)cc2)CC1 ZINC000989752692 658571547 /nfs/dbraw/zinc/57/15/47/658571547.db2.gz RQGDVZGIKZBXFX-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3c(s2)CCC3)C1 ZINC000989755196 658571947 /nfs/dbraw/zinc/57/19/47/658571947.db2.gz IRHVNEWZDQJDMU-YPMHNXCESA-N 0 1 324.877 3.182 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397114 658576171 /nfs/dbraw/zinc/57/61/71/658576171.db2.gz MDFGGENHWGUPNT-RHSMWYFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(C(F)(F)F)CCCC2)CC1 ZINC000989797781 658579775 /nfs/dbraw/zinc/57/97/75/658579775.db2.gz GBTVJBNXNVZAKG-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC000967497831 658595734 /nfs/dbraw/zinc/59/57/34/658595734.db2.gz OCWAQUOSVASTKJ-WMLDXEAASA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC2(c3ccccc3)CC2)C1 ZINC001018950887 658598136 /nfs/dbraw/zinc/59/81/36/658598136.db2.gz CWDWAWMIMFHGSO-INIZCTEOSA-N 0 1 318.848 3.051 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001019056422 658606705 /nfs/dbraw/zinc/60/67/05/658606705.db2.gz XSTAQIPBZPBOSB-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CCC)cc2)C1 ZINC001019305058 658630021 /nfs/dbraw/zinc/63/00/21/658630021.db2.gz NLUZSOQYSDNYND-INIZCTEOSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2C2(C)CC2)C1 ZINC001019369778 658639566 /nfs/dbraw/zinc/63/95/66/658639566.db2.gz GTNFMBUJILQWNW-AWEZNQCLSA-N 0 1 318.848 3.295 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968034004 658656282 /nfs/dbraw/zinc/65/62/82/658656282.db2.gz GFMNOOOBGTUOHY-CRAIPNDOSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001019664547 658659851 /nfs/dbraw/zinc/65/98/51/658659851.db2.gz FHFDGAFXKRLYGP-KRWDZBQOSA-N 0 1 316.832 3.203 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001019833168 658676315 /nfs/dbraw/zinc/67/63/15/658676315.db2.gz HZLHKDDZBMYEGI-ZDUSSCGKSA-N 0 1 317.820 3.033 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968174778 658677078 /nfs/dbraw/zinc/67/70/78/658677078.db2.gz LCTDJRBTKPTXEX-GUYCJALGSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC000968189354 658679185 /nfs/dbraw/zinc/67/91/85/658679185.db2.gz GYBVCSXTWPBZMQ-MJGOQNOKSA-N 0 1 314.473 3.249 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191856 658681152 /nfs/dbraw/zinc/68/11/52/658681152.db2.gz PJMCTKULTPQPIJ-WMLDXEAASA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC000968207590 658682004 /nfs/dbraw/zinc/68/20/04/658682004.db2.gz CDQXJEYBQXQRAM-IUODEOHRSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC000968208317 658682766 /nfs/dbraw/zinc/68/27/66/658682766.db2.gz DHEIMDYQLWFGRQ-BLLLJJGKSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC000968207592 658682818 /nfs/dbraw/zinc/68/28/18/658682818.db2.gz CDQXJEYBQXQRAM-WFASDCNBSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC000968323423 658695989 /nfs/dbraw/zinc/69/59/89/658695989.db2.gz YXUINPIZLOBLDM-RHSMWYFYSA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ncsc2C2CC2)C1 ZINC000968327756 658698767 /nfs/dbraw/zinc/69/87/67/658698767.db2.gz RUQZHEIUGDNYRX-JSGCOSHPSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC000968345725 658703840 /nfs/dbraw/zinc/70/38/40/658703840.db2.gz OSGWRNXXTLNWJW-CZUORRHYSA-N 0 1 306.837 3.275 20 30 DGEDMN COc1cc(Cl)c(C)cc1NC(=O)C(C#N)C(=O)C1CCC1 ZINC000128288025 658730953 /nfs/dbraw/zinc/73/09/53/658730953.db2.gz NUIJLSBKOQPZCN-NSHDSACASA-N 0 1 320.776 3.105 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1nc(C(C)(C)C)cs1 ZINC001038365402 658746610 /nfs/dbraw/zinc/74/66/10/658746610.db2.gz CJJABVUTJFAMFF-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001038395792 658748152 /nfs/dbraw/zinc/74/81/52/658748152.db2.gz JQJIFMUUYXDNPG-GUDVDZBRSA-N 0 1 312.457 3.337 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(Cl)c3C)CCC[C@@H]12 ZINC000990792288 658752652 /nfs/dbraw/zinc/75/26/52/658752652.db2.gz RJMWVSOSTJYOPZ-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3sccc3Cl)CCC[C@@H]12 ZINC000990792896 658754025 /nfs/dbraw/zinc/75/40/25/658754025.db2.gz WKOHFMOXXHJWCN-CJNGLKHVSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cccc4c3CCCC4)CCC[C@@H]12 ZINC000990851625 658768902 /nfs/dbraw/zinc/76/89/02/658768902.db2.gz OPMCDQSMKFLZOB-TZIWHRDSSA-N 0 1 324.468 3.478 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCN2Cc2ccccc2C)CC1 ZINC001038660108 658775597 /nfs/dbraw/zinc/77/55/97/658775597.db2.gz GDEYCHJYVGRWEJ-LJQANCHMSA-N 0 1 312.457 3.432 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3sc(C)cc3C)CCC[C@H]12 ZINC000990937539 658782658 /nfs/dbraw/zinc/78/26/58/658782658.db2.gz UNIFXEOMAVNJKH-MAUKXSAKSA-N 0 1 316.470 3.115 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccccc1O[C@@H](C)CC ZINC001038758498 658795615 /nfs/dbraw/zinc/79/56/15/658795615.db2.gz YEMIQKFVDPCMNG-HOTGVXAUSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001038833230 658946015 /nfs/dbraw/zinc/94/60/15/658946015.db2.gz LZSWUTKTFYLUNS-KRWDZBQOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001038902570 658955612 /nfs/dbraw/zinc/95/56/12/658955612.db2.gz PBFOWPHRPVIHFW-MRXNPFEDSA-N 0 1 309.413 3.062 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)s1 ZINC001039068215 658992613 /nfs/dbraw/zinc/99/26/13/658992613.db2.gz WIBFSBBRGHAMKY-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cnc(CC(C)C)s1 ZINC001039075877 658993646 /nfs/dbraw/zinc/99/36/46/658993646.db2.gz CWVLEVAGKHIBQK-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2cccc(Cl)c2o1 ZINC001039100477 658999276 /nfs/dbraw/zinc/99/92/76/658999276.db2.gz AWQMZJXXXCZZID-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(C)c(CC)s2)C[C@@H]1C ZINC000948007372 659269811 /nfs/dbraw/zinc/26/98/11/659269811.db2.gz QRHNFZXLSVRQJA-UONOGXRCSA-N 0 1 306.475 3.388 20 30 DGEDMN CCS[C@@H](C(=O)C(C#N)C(=O)Nc1cccc(C)c1)C(C)C ZINC000171365226 659277835 /nfs/dbraw/zinc/27/78/35/659277835.db2.gz PWMNERSAZUBBNI-GDBMZVCRSA-N 0 1 318.442 3.420 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCC[C@@H](C)C1 ZINC000173977227 659407360 /nfs/dbraw/zinc/40/73/60/659407360.db2.gz QFRLXKRJVMNKCD-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000173980288 659407495 /nfs/dbraw/zinc/40/74/95/659407495.db2.gz BLOAJACSMVLPOH-ZYHUDNBSSA-N 0 1 322.792 3.432 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C[C@H]1C ZINC000948052007 659448882 /nfs/dbraw/zinc/44/88/82/659448882.db2.gz AYRGGFDNRXTVGQ-WBVHZDCISA-N 0 1 316.420 3.049 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C)c(Cl)c3)CCC[C@H]12 ZINC000992171948 659483438 /nfs/dbraw/zinc/48/34/38/659483438.db2.gz PPCGZSXVNWDFQA-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(C(C)C)oc3C)CCC[C@@H]12 ZINC000992397729 659541464 /nfs/dbraw/zinc/54/14/64/659541464.db2.gz XTSRGKAZOAQIHS-MJGOQNOKSA-N 0 1 314.429 3.071 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc4c(s3)CCC4)CCC[C@@H]12 ZINC000992455525 659553814 /nfs/dbraw/zinc/55/38/14/659553814.db2.gz OVMSXOPFZRABBQ-SJLPKXTDSA-N 0 1 316.470 3.150 20 30 DGEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@@H]12 ZINC000992456062 659553910 /nfs/dbraw/zinc/55/39/10/659553910.db2.gz QWLJJJTXWYZUBZ-XLIONFOSSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@@H]12 ZINC000992456062 659553912 /nfs/dbraw/zinc/55/39/12/659553912.db2.gz QWLJJJTXWYZUBZ-XLIONFOSSA-N 0 1 322.408 3.101 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC(C)(C)C)cc1Cl ZINC000130280528 659651289 /nfs/dbraw/zinc/65/12/89/659651289.db2.gz AGJRAVIEVIZYBW-NSHDSACASA-N 0 1 322.792 3.432 20 30 DGEDMN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C[C@H](O)CC#N ZINC000929835382 659671821 /nfs/dbraw/zinc/67/18/21/659671821.db2.gz QOQATFUMCDPHOO-UKRRQHHQSA-N 0 1 315.442 3.386 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(OC)c(C)c2)[C@H]1C ZINC000993378738 659723845 /nfs/dbraw/zinc/72/38/45/659723845.db2.gz LQAJMXUMJKRVNZ-NVXWUHKLSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nsc3ccccc32)[C@@H]1C ZINC000993386036 659725118 /nfs/dbraw/zinc/72/51/18/659725118.db2.gz UCBQURJRVYTDHU-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C3CCC3)cc2)[C@H]1C ZINC000993400974 659728195 /nfs/dbraw/zinc/72/81/95/659728195.db2.gz XHTNLRAUDPSJIQ-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1C ZINC000993408803 659729026 /nfs/dbraw/zinc/72/90/26/659729026.db2.gz HCNBIOLJWGXPKO-HIFRSBDPSA-N 0 1 306.475 3.469 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)C1CCC1 ZINC000130435164 659742626 /nfs/dbraw/zinc/74/26/26/659742626.db2.gz DNYFTAMLSQVEHW-LBPRGKRZSA-N 0 1 311.168 3.441 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@@H]1C ZINC000993476723 659746384 /nfs/dbraw/zinc/74/63/84/659746384.db2.gz LWRRJUACMYSYFG-STQMWFEESA-N 0 1 324.371 3.132 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)noc2C(C)C)[C@@H]1C ZINC000993463449 659749593 /nfs/dbraw/zinc/74/95/93/659749593.db2.gz FTVWYMPCNNBXOD-LSDHHAIUSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)ccc2CC)[C@H]1C ZINC000993532654 659757051 /nfs/dbraw/zinc/75/70/51/659757051.db2.gz VIWYKBFNXDMJDQ-DYVFJYSZSA-N 0 1 304.409 3.157 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(CC)s2)[C@H]1C ZINC000993552915 659761049 /nfs/dbraw/zinc/76/10/49/659761049.db2.gz GPSRXMVMJIZMAE-UKRRQHHQSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)[C@@H]1C ZINC000993561907 659763259 /nfs/dbraw/zinc/76/32/59/659763259.db2.gz ZSYRASJVZWUGAG-QFBILLFUSA-N 0 1 323.440 3.246 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCC2)[C@@H]1C ZINC000993592627 659768309 /nfs/dbraw/zinc/76/83/09/659768309.db2.gz WNJXEUVVDIBBBY-PKOBYXMFSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCC2)[C@@H]1C ZINC000993592606 659768947 /nfs/dbraw/zinc/76/89/47/659768947.db2.gz WNJXEUVVDIBBBY-HKUYNNGSSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@H]1C ZINC000993684811 659775898 /nfs/dbraw/zinc/77/58/98/659775898.db2.gz PHHNJIJOUKOBFW-RHSMWYFYSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2OC)[C@H]1C ZINC000993718109 659780189 /nfs/dbraw/zinc/78/01/89/659780189.db2.gz SKNFTYWXXCPKEZ-IUODEOHRSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H]1C ZINC000993764476 659788198 /nfs/dbraw/zinc/78/81/98/659788198.db2.gz TYEPDUYGUXZMNS-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)C)nc2C)[C@@H]1C ZINC000993819775 659791409 /nfs/dbraw/zinc/79/14/09/659791409.db2.gz IBEHRWBFDNKWJB-YJBOKZPZSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)C)nc2C)[C@H]1C ZINC000993819772 659791543 /nfs/dbraw/zinc/79/15/43/659791543.db2.gz IBEHRWBFDNKWJB-QAPCUYQASA-N 0 1 315.461 3.282 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H]1C ZINC000993859227 659793742 /nfs/dbraw/zinc/79/37/42/659793742.db2.gz IZXMAWBCYOEESC-LRDDRELGSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(F)c3ccccc23)[C@H]1C ZINC000993850257 659795525 /nfs/dbraw/zinc/79/55/25/659795525.db2.gz UTELBZJFZIPXHK-KUHUBIRLSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3ccccc3c2)[C@H]1C ZINC000993883398 659796500 /nfs/dbraw/zinc/79/65/00/659796500.db2.gz CMILYWIMOPYQAO-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cnc(C(C)C)s2)C1 ZINC001046882783 659797241 /nfs/dbraw/zinc/79/72/41/659797241.db2.gz IRCHUJWEOIBKCR-INIZCTEOSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(F)ccc3o2)[C@H]1C ZINC000993907770 659800898 /nfs/dbraw/zinc/80/08/98/659800898.db2.gz UOPNYPSAZGYOJD-DOMZBBRYSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H]1C ZINC000993959875 659804501 /nfs/dbraw/zinc/80/45/01/659804501.db2.gz HEVRDCVVAXKODP-UZLBHIALSA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(F)F)cc2)[C@H]1C ZINC000994005551 659808203 /nfs/dbraw/zinc/80/82/03/659808203.db2.gz MEBOYQUOHQIWBK-DOMZBBRYSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2C)[C@H]1C ZINC000994014515 659809021 /nfs/dbraw/zinc/80/90/21/659809021.db2.gz ZKEIZFJUANVUJZ-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3sccc3c2)[C@H]1C ZINC000994118177 659813019 /nfs/dbraw/zinc/81/30/19/659813019.db2.gz AQQJEUCZQYISTC-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C3CCC3)n2)[C@H]1C ZINC000994189041 659819121 /nfs/dbraw/zinc/81/91/21/659819121.db2.gz MFTGMDJDUCEFAI-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)cccc2F)[C@@H]1C ZINC000994302698 659836359 /nfs/dbraw/zinc/83/63/59/659836359.db2.gz WDBGKFWCJCKTPL-SWLSCSKDSA-N 0 1 308.372 3.124 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2C2CC2)[C@H]1C ZINC000994342449 659842244 /nfs/dbraw/zinc/84/22/44/659842244.db2.gz AOIZUROOXDWGPR-CJNGLKHVSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2oc3ccccc3c2C)[C@H]1C ZINC000994360381 659842993 /nfs/dbraw/zinc/84/29/93/659842993.db2.gz AIBPDQQYUOOARH-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc3c2CCCC3)[C@@H]1C ZINC000994382148 659848907 /nfs/dbraw/zinc/84/89/07/659848907.db2.gz UUMDCEJAIYDWGK-BBRMVZONSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2C(C)C)[C@@H]1C ZINC000994486972 659860805 /nfs/dbraw/zinc/86/08/05/659860805.db2.gz NPHOVTRGIHBAQK-HOCLYGCPSA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@@H]1C ZINC000994497118 659862757 /nfs/dbraw/zinc/86/27/57/659862757.db2.gz QGZUBDRYBVINGN-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CC2)[C@H]1C ZINC000994518376 659868840 /nfs/dbraw/zinc/86/88/40/659868840.db2.gz MHHAHPJCMZPEFN-SJLPKXTDSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CC2)[C@@H]1C ZINC000994518375 659869440 /nfs/dbraw/zinc/86/94/40/659869440.db2.gz MHHAHPJCMZPEFN-FUHWJXTLSA-N 0 1 312.457 3.182 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(C)C(C)(C)C2(C)C)[C@@H]1C ZINC000994530552 659873143 /nfs/dbraw/zinc/87/31/43/659873143.db2.gz JKYNYESAWQXZLH-GJZGRUSLSA-N 0 1 304.478 3.051 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3C[C@@]3(C)CC)C2)CC1 ZINC001040901243 659992205 /nfs/dbraw/zinc/99/22/05/659992205.db2.gz ISRNIQSWKPRJEL-NVXWUHKLSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2C2CC2)C[C@H]1C ZINC000939675855 660008972 /nfs/dbraw/zinc/00/89/72/660008972.db2.gz WAJIIBLSDXQNOR-YGRLFVJLSA-N 0 1 324.877 3.428 20 30 DGEDMN COc1cccc(OC)c1/C=C/C(=O)c1ccc(O)c(F)c1 ZINC000182251961 660039655 /nfs/dbraw/zinc/03/96/55/660039655.db2.gz BPZPEIGGVKDNAA-VQHVLOKHSA-N 0 1 302.301 3.445 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2csc(C)c2C)C(C)(C)C1 ZINC000940771231 660063743 /nfs/dbraw/zinc/06/37/43/660063743.db2.gz LONBYRJVCLHOIL-MRXNPFEDSA-N 0 1 318.486 3.219 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000940880827 660070717 /nfs/dbraw/zinc/07/07/17/660070717.db2.gz BYIAINKRIKWWHC-RBUKOAKNSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H](C)n2cccc2)CC1 ZINC000948286957 660076652 /nfs/dbraw/zinc/07/66/52/660076652.db2.gz XSNRZQUERGJJKZ-HZPDHXFCSA-N 0 1 323.868 3.115 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C(C)(C)C1 ZINC000941075255 660083058 /nfs/dbraw/zinc/08/30/58/660083058.db2.gz JRFZJXRRRGECMY-YRNRMSPPSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2sccc2C(C)C)C(C)(C)C1 ZINC000941236774 660098618 /nfs/dbraw/zinc/09/86/18/660098618.db2.gz AGHMZSRESWLPRI-OAHLLOKOSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCSCCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000183776911 660137632 /nfs/dbraw/zinc/13/76/32/660137632.db2.gz AOZONHMHUTYRBE-QGZVFWFLSA-N 0 1 300.402 3.266 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001032072401 660183644 /nfs/dbraw/zinc/18/36/44/660183644.db2.gz OUOSGTQTHNRQNO-UHFFFAOYSA-N 0 1 312.335 3.001 20 30 DGEDMN CC(C)N(Cc1ccc(Cl)c(Cl)c1)C[C@H](O)CC#N ZINC000929296111 661294998 /nfs/dbraw/zinc/29/49/98/661294998.db2.gz NJSZEDLCQLZCNY-GFCCVEGCSA-N 0 1 301.217 3.478 20 30 DGEDMN CC[N@@H+](C[C@H](O)CC#N)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000929385992 661303176 /nfs/dbraw/zinc/30/31/76/661303176.db2.gz ACWQQDHZBLXGJW-SMDDNHRTSA-N 0 1 300.324 3.363 20 30 DGEDMN CC(C)CN(Cc1[nH]nc2c1COCC2)Cc1ccc(C#N)cc1 ZINC000929397893 661303832 /nfs/dbraw/zinc/30/38/32/661303832.db2.gz QZWMZILYUAGWHO-UHFFFAOYSA-N 0 1 324.428 3.012 20 30 DGEDMN CC(=O)NCCCCCN1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000929756524 661335189 /nfs/dbraw/zinc/33/51/89/661335189.db2.gz HAKIANRVAHEEMQ-RTBURBONSA-N 0 1 313.445 3.065 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC(c3ncc[nH]3)CC2)c1 ZINC000929803259 661340085 /nfs/dbraw/zinc/34/00/85/661340085.db2.gz FSEVILNPWKHJIP-UHFFFAOYSA-N 0 1 300.793 3.314 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC(n3ccnc3)CC2)c1 ZINC000929803383 661340174 /nfs/dbraw/zinc/34/01/74/661340174.db2.gz HCAJMEHBHRIKRY-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN CC(C)(C)CNC(=O)C(C)(C)NCc1cc(Cl)cc(C#N)c1 ZINC000930150058 661371408 /nfs/dbraw/zinc/37/14/08/661371408.db2.gz CFMDXDLWQUJYEM-UHFFFAOYSA-N 0 1 321.852 3.242 20 30 DGEDMN C[C@@H](NCc1cncc(C#N)c1)c1ccc(OC(F)F)cc1 ZINC000930196841 661376772 /nfs/dbraw/zinc/37/67/72/661376772.db2.gz LGYMMTWEKHLXNH-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H](NC[C@H](O)CC#N)c1ccccc1OCc1ccccc1 ZINC000930214128 661378783 /nfs/dbraw/zinc/37/87/83/661378783.db2.gz WYZCBQJORYFLLX-NVXWUHKLSA-N 0 1 310.397 3.191 20 30 DGEDMN COc1cccc(CN2CCC(C#N)(c3ccccn3)CC2)c1C ZINC000930334321 661390174 /nfs/dbraw/zinc/39/01/74/661390174.db2.gz XGFDQVSMSJGDES-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN Cc1c(C#N)cccc1NC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC000930457687 661400410 /nfs/dbraw/zinc/40/04/10/661400410.db2.gz FFNYUAOWCOPUTB-MRXNPFEDSA-N 0 1 314.433 3.061 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3Cc3cncc(C#N)c3)[nH]c2c1 ZINC000930487403 661403643 /nfs/dbraw/zinc/40/36/43/661403643.db2.gz VVTSKWXPFASCPJ-GOSISDBHSA-N 0 1 317.396 3.475 20 30 DGEDMN COC(=O)c1cnc([C@H](C)NCCCCC2(C#N)CCC2)s1 ZINC000930758957 661427468 /nfs/dbraw/zinc/42/74/68/661427468.db2.gz GXPNVHKUEQLVPX-LBPRGKRZSA-N 0 1 321.446 3.444 20 30 DGEDMN CC[C@](O)(CN(C)[C@H](C)c1cccc(C#N)c1)C(F)(F)F ZINC000930764966 661428391 /nfs/dbraw/zinc/42/83/91/661428391.db2.gz RFIURPJITZKFRL-RISCZKNCSA-N 0 1 300.324 3.254 20 30 DGEDMN COC(=O)[C@]1(NCC2CCC(C#N)CC2)CCCC(C)(C)C1 ZINC000931173371 661458654 /nfs/dbraw/zinc/45/86/54/661458654.db2.gz KUGKRFXLSMBSKE-JMLCCBQJSA-N 0 1 306.450 3.418 20 30 DGEDMN Cn1ccc(C2CCN(CC#Cc3cccc(Cl)c3)CC2)n1 ZINC000932140902 661541840 /nfs/dbraw/zinc/54/18/40/661541840.db2.gz DDORHGTWHRQZCT-UHFFFAOYSA-N 0 1 313.832 3.305 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN([C@@H](C)CC)CC2)c(Cl)c1 ZINC000933355180 661629850 /nfs/dbraw/zinc/62/98/50/661629850.db2.gz ASNWEXLHELWRGK-ZDUSSCGKSA-N 0 1 319.836 3.269 20 30 DGEDMN CC(C)(C)c1nc(CN2CCC(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000933607469 661644479 /nfs/dbraw/zinc/64/44/79/661644479.db2.gz QJFSAPBBYNUTNH-UHFFFAOYSA-N 0 1 323.444 3.353 20 30 DGEDMN CCCCNC(=O)[C@H](C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933613732 661644857 /nfs/dbraw/zinc/64/48/57/661644857.db2.gz FYGPGJVNZSYRKI-HNNXBMFYSA-N 0 1 313.445 3.042 20 30 DGEDMN O=C1C=COC2(CCN(Cc3cnc(-c4ccccc4)o3)CC2)C1 ZINC000933644038 661647323 /nfs/dbraw/zinc/64/73/23/661647323.db2.gz IEUMFUKNOHNQLZ-UHFFFAOYSA-N 0 1 324.380 3.179 20 30 DGEDMN CC(C)Oc1ccccc1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933651612 661648103 /nfs/dbraw/zinc/64/81/03/661648103.db2.gz HTXVUAYWFQWCBC-UHFFFAOYSA-N 0 1 315.413 3.312 20 30 DGEDMN O=C1C=COC2(CCN(CCOc3ccc(Cl)cc3)CC2)C1 ZINC000933654277 661648526 /nfs/dbraw/zinc/64/85/26/661648526.db2.gz QHLHAWVQHPABTI-UHFFFAOYSA-N 0 1 321.804 3.057 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(Cc1ccoc1)C1CC1 ZINC000934004463 661673013 /nfs/dbraw/zinc/67/30/13/661673013.db2.gz LZHAUOFYJHUIJK-UHFFFAOYSA-N 0 1 312.369 3.203 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](Cc3nccs3)C2)ccc1F ZINC000934332185 661698075 /nfs/dbraw/zinc/69/80/75/661698075.db2.gz IMRXOEYYIXBKJW-CYBMUJFWSA-N 0 1 301.390 3.218 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000934467282 661709193 /nfs/dbraw/zinc/70/91/93/661709193.db2.gz VQSTVQFCYUILTR-CRAIPNDOSA-N 0 1 313.445 3.264 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934488292 661711372 /nfs/dbraw/zinc/71/13/72/661711372.db2.gz GERNJVQQAQJGQI-QAPCUYQASA-N 0 1 318.424 3.499 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@H+]1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC000934837663 661739234 /nfs/dbraw/zinc/73/92/34/661739234.db2.gz VHFQNBAGTXYNMN-GOEBONIOSA-N 0 1 302.393 3.336 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@H+]1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC000934837665 661739466 /nfs/dbraw/zinc/73/94/66/661739466.db2.gz VHFQNBAGTXYNMN-ZBFHGGJFSA-N 0 1 302.393 3.336 20 30 DGEDMN C=C(CC(=O)C(=O)CC(C)(C)CC(=O)OCC)c1ccco1 ZINC000935945227 661820853 /nfs/dbraw/zinc/82/08/53/661820853.db2.gz PQOIGANHPJPZAF-XFXZXTDPSA-N 0 1 306.358 3.191 20 30 DGEDMN CCOc1ccc(/C=C/C(=O)c2ccc(O)cc2O)cc1OC ZINC000156632719 661864043 /nfs/dbraw/zinc/86/40/43/661864043.db2.gz RQBICODDQBPLMR-XBXARRHUSA-N 0 1 314.337 3.401 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cscc3s2)C[C@@H]1C ZINC000947551347 661915970 /nfs/dbraw/zinc/91/59/70/661915970.db2.gz IXPXBSQZQLVLSY-AAEUAGOBSA-N 0 1 318.467 3.179 20 30 DGEDMN CN(C(=O)C1CCC1)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948140270 661954645 /nfs/dbraw/zinc/95/46/45/661954645.db2.gz PHXUNIOYMYHRBX-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2CC(F)(F)C2)CC1 ZINC000948282349 661965408 /nfs/dbraw/zinc/96/54/08/661965408.db2.gz NBNRRPDFQZCADX-CYBMUJFWSA-N 0 1 320.811 3.097 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](N(C)C(=O)[C@@H](C)c2ccsc2)CC1 ZINC000948771489 661997200 /nfs/dbraw/zinc/99/72/00/661997200.db2.gz OXLBWVKWZLKIQP-DOTOQJQBSA-N 0 1 318.486 3.188 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC=CCC1 ZINC000948893589 662005851 /nfs/dbraw/zinc/00/58/51/662005851.db2.gz AVEOTAIXYQXZHM-AZUAARDMSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC000949228715 662013056 /nfs/dbraw/zinc/01/30/56/662013056.db2.gz JVPRMPKJVPSODR-MOPGFXCFSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3cccc(C)c3)CCC2)CC1 ZINC000949583597 662020263 /nfs/dbraw/zinc/02/02/63/662020263.db2.gz QSDVEQZXNYQVKE-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCCC2(C)C)CC1 ZINC001006457129 662066707 /nfs/dbraw/zinc/06/67/07/662066707.db2.gz BXMASMAEWIQQEN-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC000951112664 662114075 /nfs/dbraw/zinc/11/40/75/662114075.db2.gz IFRDWUIBSSFQHL-IBGZPJMESA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(OC)cc2C)C1 ZINC000951592231 662141602 /nfs/dbraw/zinc/14/16/02/662141602.db2.gz MQKGJCFKTYXHKE-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(C)C(C)(C)C2(C)C)CC1 ZINC001006483213 662156610 /nfs/dbraw/zinc/15/66/10/662156610.db2.gz SCFAGUHQWVTNQQ-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C[C@@H](NCc1ccccc1[N+](=O)[O-])c1ccc(OCC#N)cc1 ZINC000194619933 662170038 /nfs/dbraw/zinc/17/00/38/662170038.db2.gz IFOPECZGKYYOGW-CYBMUJFWSA-N 0 1 311.341 3.348 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(Cl)cc(OC)c2)CC1 ZINC000952372483 662185502 /nfs/dbraw/zinc/18/55/02/662185502.db2.gz IEGXVZRGIRPPTA-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1)c1ccccn1 ZINC000091811464 662318802 /nfs/dbraw/zinc/31/88/02/662318802.db2.gz CRIUYLLJSJINOE-AEFFLSMTSA-N 0 1 323.371 3.062 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccc(F)cc2F)CC1 ZINC000957028962 662345039 /nfs/dbraw/zinc/34/50/39/662345039.db2.gz MWCZMBYOKALFCQ-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cn(C)nc2C(C)(C)C)c(O)c1 ZINC000093902056 662361225 /nfs/dbraw/zinc/36/12/25/662361225.db2.gz MUEXJRHDJLMLBK-RMKNXTFCSA-N 0 1 314.385 3.328 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)[C@@H]1C ZINC000994239854 685359448 /nfs/dbraw/zinc/35/94/48/685359448.db2.gz LKRQEBBLZMYZOF-YOEHRIQHSA-N 0 1 318.436 3.258 20 30 DGEDMN Cc1nc(CNCc2ccc(N(C)CCC#N)cc2)sc1C ZINC000174434904 685106073 /nfs/dbraw/zinc/10/60/73/685106073.db2.gz DLLNWYPYJUWMMV-UHFFFAOYSA-N 0 1 314.458 3.400 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000255108238 685120697 /nfs/dbraw/zinc/12/06/97/685120697.db2.gz ZYHAIEWQEZOUJH-IWQZZHSRSA-N 0 1 318.275 3.338 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2C[C@@H](C)C[C@H](C(=O)[O-])C2)cc1Cl ZINC000263034329 685124941 /nfs/dbraw/zinc/12/49/41/685124941.db2.gz HQVIIDZLXTZYCB-JSGCOSHPSA-N 0 1 323.820 3.447 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nsc3ccccc32)CC[C@H]1C ZINC001071531940 686680968 /nfs/dbraw/zinc/68/09/68/686680968.db2.gz SNCNEVNISZXROS-OLZOCXBDSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3c2CCCC3)CC[C@@H]1C ZINC001071531231 686681300 /nfs/dbraw/zinc/68/13/00/686681300.db2.gz IWZALKASBYVTBZ-DOTOQJQBSA-N 0 1 312.457 3.334 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C3CCC3)cc2)CC[C@@H]1C ZINC001071548629 686686805 /nfs/dbraw/zinc/68/68/05/686686805.db2.gz IQLFTBJQGLYCJH-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)CC[C@H]1C ZINC001071572611 686691818 /nfs/dbraw/zinc/69/18/18/686691818.db2.gz YGACNPDYWNIYMV-GMTUCVLRSA-N 0 1 316.489 3.214 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@@H]1C ZINC001071583442 686695242 /nfs/dbraw/zinc/69/52/42/686695242.db2.gz IHURHUZYOKEEEN-STQMWFEESA-N 0 1 324.371 3.132 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CC[C@@H](C)N(CC=C)C1 ZINC001071618891 686703583 /nfs/dbraw/zinc/70/35/83/686703583.db2.gz GFIBUGBQQSZWID-CVEARBPZSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)cc3ccoc32)CC[C@@H]1C ZINC001071629350 686707954 /nfs/dbraw/zinc/70/79/54/686707954.db2.gz YHYBAUBYZGWYMH-WFASDCNBSA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(CCCC)cc2)CC[C@@H]1C ZINC001071663768 686716881 /nfs/dbraw/zinc/71/68/81/686716881.db2.gz RYVCNMOBKWRDGS-LPHOPBHVSA-N 0 1 312.457 3.245 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(CCCC)cc2)CC[C@H]1C ZINC001071663767 686716903 /nfs/dbraw/zinc/71/69/03/686716903.db2.gz RYVCNMOBKWRDGS-APWZRJJASA-N 0 1 312.457 3.245 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(CCCC)cc2)CC[C@H]1C ZINC001071663770 686717467 /nfs/dbraw/zinc/71/74/67/686717467.db2.gz RYVCNMOBKWRDGS-VQIMIIECSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2CCC)CC[C@@H]1C ZINC001071672921 686719927 /nfs/dbraw/zinc/71/99/27/686719927.db2.gz GIHXPILTVVEQQY-FUHWJXTLSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)CC[C@@H]1C ZINC001071670009 686719988 /nfs/dbraw/zinc/71/99/88/686719988.db2.gz DNJWREJFSOYCEA-HOCLYGCPSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@@H]1C ZINC001071712869 686731161 /nfs/dbraw/zinc/73/11/61/686731161.db2.gz VCYHXLBVHBNGMQ-RDJZCZTQSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2cccs2)CC[C@@H]1C ZINC001071741497 686739607 /nfs/dbraw/zinc/73/96/07/686739607.db2.gz HMAIBXGNGVOFAO-UONOGXRCSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(CCC)cc2)CC[C@H]1C ZINC001071780377 686750801 /nfs/dbraw/zinc/75/08/01/686750801.db2.gz XQDCGISJWLEZIC-QAPCUYQASA-N 0 1 300.446 3.408 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@@H]1C ZINC001071779900 686750987 /nfs/dbraw/zinc/75/09/87/686750987.db2.gz RACRCKKMKXXHBD-JSGCOSHPSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(Cl)c2F)CC[C@@H]1C ZINC001071783624 686751882 /nfs/dbraw/zinc/75/18/82/686751882.db2.gz RCAOPORVGGPYEW-NWDGAFQWSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cccc2Cl)CC[C@@H]1C ZINC001071796917 686757030 /nfs/dbraw/zinc/75/70/30/686757030.db2.gz ZKWFPPACNAKFHD-UONOGXRCSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncsc2C2CC2)CC[C@@H]1C ZINC001071822504 686761243 /nfs/dbraw/zinc/76/12/43/686761243.db2.gz XXQBRBWUZSGCRV-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C2(C)CC2)CC[C@H]1C ZINC001071820640 686761500 /nfs/dbraw/zinc/76/15/00/686761500.db2.gz BGYXPCVKYLKOHQ-IAGOWNOFSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)ccc2Cl)CC[C@H]1C ZINC001071845252 686769961 /nfs/dbraw/zinc/76/99/61/686769961.db2.gz ZDRQRSAGYGWALJ-DGCLKSJQSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2scnc2C2CC2)CC[C@H]1C ZINC001071882969 686781076 /nfs/dbraw/zinc/78/10/76/686781076.db2.gz CYNOJQAVQJXGEA-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)CC[C@H]1C ZINC001071923609 686791782 /nfs/dbraw/zinc/79/17/82/686791782.db2.gz LBAPUXSLOQESKP-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)CC[C@@H]1C ZINC001071954692 686794952 /nfs/dbraw/zinc/79/49/52/686794952.db2.gz TXOXJMBAODMRPM-GOEBONIOSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3ccsc32)CC[C@@H]1C ZINC001071967890 686799334 /nfs/dbraw/zinc/79/93/34/686799334.db2.gz PSQGWULVOWGKFF-ZFWWWQNUSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)c(CC)o2)CC[C@H]1C ZINC001071985427 686805806 /nfs/dbraw/zinc/80/58/06/686805806.db2.gz MAUQGXSPSAFUAC-CABCVRRESA-N 0 1 304.434 3.309 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@H]1C ZINC001072003561 686812110 /nfs/dbraw/zinc/81/21/10/686812110.db2.gz VRXXDDRJXKLUOK-OXQOHEQNSA-N 0 1 322.452 3.252 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC001072016871 686822758 /nfs/dbraw/zinc/82/27/58/686822758.db2.gz VMXMCOGIQRQHRW-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC001072016868 686823003 /nfs/dbraw/zinc/82/30/03/686823003.db2.gz VMXMCOGIQRQHRW-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)cccc2F)CC[C@H]1C ZINC001072023132 686828247 /nfs/dbraw/zinc/82/82/47/686828247.db2.gz MFVCEGNLXWTJSQ-OLZOCXBDSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2OC)CC[C@H]1C ZINC001072046223 686841550 /nfs/dbraw/zinc/84/15/50/686841550.db2.gz WHLXUMRFCXRXEC-TZMCWYRMSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc3c2CCCC3)CC[C@H]1C ZINC001072053481 686847373 /nfs/dbraw/zinc/84/73/73/686847373.db2.gz TUDAATTUMUEFDV-KGLIPLIRSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC)c(Cl)c2)CC[C@@H]1C ZINC001072057771 686849063 /nfs/dbraw/zinc/84/90/63/686849063.db2.gz ASFNIJGWEUBOPY-GXTWGEPZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)CC[C@H]1C ZINC001072091681 686861361 /nfs/dbraw/zinc/86/13/61/686861361.db2.gz GAHFLEUABCXRLQ-OCCSQVGLSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@@H]1C ZINC001072098798 686864624 /nfs/dbraw/zinc/86/46/24/686864624.db2.gz GCLOMQIECGLBDO-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)CC[C@H]1C ZINC001072098614 686865136 /nfs/dbraw/zinc/86/51/36/686865136.db2.gz CWJWZWOBYNWBQZ-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@@H]1C ZINC001072109767 686871946 /nfs/dbraw/zinc/87/19/46/686871946.db2.gz MKZXHHZIPKTVKV-BQTPPKLHSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C)C(C)(C)C2(C)C)CC[C@H]1C ZINC001072120507 686876721 /nfs/dbraw/zinc/87/67/21/686876721.db2.gz VMAGJGRICULZCW-CABCVRRESA-N 0 1 304.478 3.051 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)[C@H]1C ZINC001074764582 687293009 /nfs/dbraw/zinc/29/30/09/687293009.db2.gz NRUTZIQDJPYBHU-GVRJEKJASA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccccc2F)[C@H]1C ZINC001074975865 687321960 /nfs/dbraw/zinc/32/19/60/687321960.db2.gz RNTGLOAIUFYOQW-XEZPLFJOSA-N 0 1 324.827 3.261 20 30 DGEDMN C=C[C@H](C(=O)N1CC[C@H](NCC(=C)Cl)[C@@H]1C)c1ccccc1 ZINC001075124707 687337683 /nfs/dbraw/zinc/33/76/83/687337683.db2.gz GSZYQBNJRXLQKA-XIRDDKMYSA-N 0 1 318.848 3.288 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(CCCC)cc3)[C@@H]2C1 ZINC001076012543 687466958 /nfs/dbraw/zinc/46/69/58/687466958.db2.gz LDPFMPDEZJQOPB-VQTJNVASSA-N 0 1 324.468 3.199 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc([C@H](C)CC)cc3)[C@@H]2C1 ZINC001076611076 687542088 /nfs/dbraw/zinc/54/20/88/687542088.db2.gz PTJZMHLHVTUTHY-LSTHTHJFSA-N 0 1 324.468 3.370 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001079924323 687904305 /nfs/dbraw/zinc/90/43/05/687904305.db2.gz OBXDMYCCYTYNAA-CRAIPNDOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3csc(C)c3)C[C@H]2C)C1 ZINC001080405654 687972879 /nfs/dbraw/zinc/97/28/79/687972879.db2.gz FFUGEZNNHPSKFD-CZUORRHYSA-N 0 1 318.486 3.349 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)/C(C)=C\C)C[C@H]1c1ccccc1 ZINC001081104236 688052188 /nfs/dbraw/zinc/05/21/88/688052188.db2.gz UWGSIWYPBCYYHK-GQCPUJLZSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC=CCCC2)C[C@H]1C ZINC001082588148 688119887 /nfs/dbraw/zinc/11/98/87/688119887.db2.gz NFPQPUJABDFKMM-VNQPRFMTSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C[C@H]1C ZINC001082657360 688120679 /nfs/dbraw/zinc/12/06/79/688120679.db2.gz URKLICDKGHZHSY-YMILTQATSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCC(C)(C)C2)C[C@H]1C ZINC001082949733 688133382 /nfs/dbraw/zinc/13/33/82/688133382.db2.gz DFUGCNNCZIGPHT-VHDGCEQUSA-N 0 1 312.885 3.392 20 30 DGEDMN N#Cc1nc(Nc2ccc(-c3c[nH]nn3)cc2)sc1Cl ZINC001577719558 1191913968 /nfs/dbraw/zinc/91/39/68/1191913968.db2.gz VZNSXTAUZXACST-UHFFFAOYSA-N 0 1 302.750 3.197 20 30 DGEDMN [NH3+][C@@H]1CCCC[C@@H]1C(=O)N([O-])Cc1cc(Cl)cc(Cl)c1 ZINC001580368567 1192023983 /nfs/dbraw/zinc/02/39/83/1192023983.db2.gz FGDNLTHLHFKFPC-QWHCGFSZSA-N 0 1 317.216 3.229 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1ccc(C(=O)OCC)cc1 ZINC001620646649 1192057473 /nfs/dbraw/zinc/05/74/73/1192057473.db2.gz JYPFNCQXHSLUHJ-UHFFFAOYSA-N 0 1 318.417 3.090 20 30 DGEDMN Cc1cc(C)c(C)c(OCCC(=O)NOc2cccc(C#N)c2)c1 ZINC001587208753 1192379858 /nfs/dbraw/zinc/37/98/58/1192379858.db2.gz MWYRDGAUWVGSNO-UHFFFAOYSA-N 0 1 324.380 3.363 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3csc(C4CC4)n3)[nH]c2c1 ZINC001587347513 1192394453 /nfs/dbraw/zinc/39/44/53/1192394453.db2.gz ORHPHVFKKYCZKG-UHFFFAOYSA-N 0 1 309.354 3.021 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2ccc(-c3nn[nH]n3)cc2)CCCC1(C)C ZINC001588563918 1192450579 /nfs/dbraw/zinc/45/05/79/1192450579.db2.gz FDYRJONDNOEKCF-INIZCTEOSA-N 0 1 311.433 3.433 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2nc(C)c(C)s2)CCC1 ZINC001664169443 1196977300 /nfs/dbraw/zinc/97/73/00/1196977300.db2.gz ISIFPBPOQPXEFA-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN CCCCCCC[C@@H](C)CNc1ccncc1-c1nn[nH]n1 ZINC001590593172 1192530041 /nfs/dbraw/zinc/53/00/41/1192530041.db2.gz ZCBINHSMRCYDAY-CYBMUJFWSA-N 0 1 302.426 3.092 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cccc(Cl)c2)C1 ZINC001665187716 1197106918 /nfs/dbraw/zinc/10/69/18/1197106918.db2.gz QARQVRCGJVNEIJ-CYBMUJFWSA-N 0 1 306.837 3.038 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1cccc(F)c1Cl ZINC001772511613 1176041591 /nfs/dbraw/zinc/04/15/91/1176041591.db2.gz WXDTZBRFVOVVJV-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccccc1CC(C)(C)C ZINC001691025754 1176120752 /nfs/dbraw/zinc/12/07/52/1176120752.db2.gz FBIHWFLMBDUDIG-UHFFFAOYSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(Cl)c1)C1CC1 ZINC001670544905 1176165221 /nfs/dbraw/zinc/16/52/21/1176165221.db2.gz QGYYUNCEZHEUQQ-CQSZACIVSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c(C)cc(C)cc1C)C1CC1 ZINC001670551419 1176168510 /nfs/dbraw/zinc/16/85/10/1176168510.db2.gz SRFRQDKBYZVLRX-MRXNPFEDSA-N 0 1 320.864 3.462 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)Cc1cccc(F)c1 ZINC001691038616 1176184965 /nfs/dbraw/zinc/18/49/65/1176184965.db2.gz KCODJOMWYCYFDU-SFHVURJKSA-N 0 1 306.425 3.366 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1sc(C2CC2)nc1C ZINC001670640154 1176199908 /nfs/dbraw/zinc/19/99/08/1176199908.db2.gz AXUWOYIZELAKKP-AWEZNQCLSA-N 0 1 319.474 3.099 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)/C=C/C(C)(C)C ZINC001754038343 1176204589 /nfs/dbraw/zinc/20/45/89/1176204589.db2.gz GKKDQLGYXAACLW-ZHACJKMWSA-N 0 1 313.445 3.099 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001670677161 1176213990 /nfs/dbraw/zinc/21/39/90/1176213990.db2.gz LIYDRIHLJAGCJR-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC/C=C\c1ccccc1 ZINC001691058033 1176282712 /nfs/dbraw/zinc/28/27/12/1176282712.db2.gz GZTUUZBMVUMHDP-KEEQEYLASA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1coc2ccccc12 ZINC001691066894 1176290448 /nfs/dbraw/zinc/29/04/48/1176290448.db2.gz XRWOKLTWGFHJKB-MRXNPFEDSA-N 0 1 312.413 3.084 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001691082129 1176300210 /nfs/dbraw/zinc/30/02/10/1176300210.db2.gz GAZCDGJUDCWLSL-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC001691082166 1176300270 /nfs/dbraw/zinc/30/02/70/1176300270.db2.gz GPHNWVHFIMUBLQ-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(CC)CNC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001597396299 1192731715 /nfs/dbraw/zinc/73/17/15/1192731715.db2.gz QDCLXTXRUGDQBC-SFHVURJKSA-N 0 1 300.446 3.432 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001691098368 1176310189 /nfs/dbraw/zinc/31/01/89/1176310189.db2.gz JBMRQWPFFJSFHW-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CC)CC1CCCCC1 ZINC001691100122 1176311469 /nfs/dbraw/zinc/31/14/69/1176311469.db2.gz NLMHVTCRWDKNRJ-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001691100124 1176312239 /nfs/dbraw/zinc/31/22/39/1176312239.db2.gz NLMHVTCRWDKNRJ-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001691142994 1176337040 /nfs/dbraw/zinc/33/70/40/1176337040.db2.gz HZVKISLRTMHCCC-OAHLLOKOSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001691162277 1176348129 /nfs/dbraw/zinc/34/81/29/1176348129.db2.gz XHDGPOHCZLYDDC-XZQWCENXSA-N 0 1 305.249 3.146 20 30 DGEDMN COc1ccccc1-n1nccc1CNCc1ccc(C#N)s1 ZINC001620976187 1192735078 /nfs/dbraw/zinc/73/50/78/1192735078.db2.gz RYGSBIHMQSZIGR-UHFFFAOYSA-N 0 1 324.409 3.104 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(O[C@@H]3CCOC3)cc2)s1 ZINC001620976203 1192735151 /nfs/dbraw/zinc/73/51/51/1192735151.db2.gz SLCXAUMJKJQCIY-OAHLLOKOSA-N 0 1 314.410 3.077 20 30 DGEDMN Cc1cc(CN(CCNC(=O)C#CC(C)(C)C)C2CC2)cs1 ZINC001691208923 1176384434 /nfs/dbraw/zinc/38/44/34/1176384434.db2.gz KRSFASZUOUVSOH-UHFFFAOYSA-N 0 1 318.486 3.187 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C)c(F)c(C)c1)c1ccccc1 ZINC001691801770 1176404683 /nfs/dbraw/zinc/40/46/83/1176404683.db2.gz XBDYIXUHGUUENE-GOSISDBHSA-N 0 1 324.399 3.136 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@@H](C)C[C@H]1CNCC(=C)Cl ZINC001691365576 1176453232 /nfs/dbraw/zinc/45/32/32/1176453232.db2.gz FYYROCKEAIBMDJ-HWRHMDFFSA-N 0 1 310.869 3.478 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCc1ccc(Cl)cc1 ZINC001691388597 1176459664 /nfs/dbraw/zinc/45/96/64/1176459664.db2.gz NNFPVGJHSJGAGT-KRWDZBQOSA-N 0 1 320.864 3.381 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC001670733884 1176492446 /nfs/dbraw/zinc/49/24/46/1176492446.db2.gz CPTYTSKHCDQIAS-YOEHRIQHSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001754567155 1176607959 /nfs/dbraw/zinc/60/79/59/1176607959.db2.gz HAYVOJKBCWUUSX-VWTAQGJOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNC/C(Cl)=C/Cl)C1 ZINC001699132732 1176619657 /nfs/dbraw/zinc/61/96/57/1176619657.db2.gz BDPKYHXLNAACDK-LCFDYFRESA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001691458984 1176624515 /nfs/dbraw/zinc/62/45/15/1176624515.db2.gz NXKFWGSDHZBJAV-GFCCVEGCSA-N 0 1 315.244 3.244 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2ccccc2F)CC1 ZINC001691461469 1176626308 /nfs/dbraw/zinc/62/63/08/1176626308.db2.gz CWWPEWRSYBHIRM-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001671313112 1176762789 /nfs/dbraw/zinc/76/27/89/1176762789.db2.gz NEXFTOHJINLERR-SOUVJXGZSA-N 0 1 324.877 3.185 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C(=O)c1ccc(Cl)c(O)c1 ZINC001598148518 1192764139 /nfs/dbraw/zinc/76/41/39/1192764139.db2.gz LWZOWNCBKMNVOV-UHFFFAOYSA-N 0 1 306.774 3.251 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](C)CC(C)(C)C ZINC001755198608 1176849816 /nfs/dbraw/zinc/84/98/16/1176849816.db2.gz CZBYBXQPMWLTNT-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ncc(C)s1 ZINC001755250527 1176871006 /nfs/dbraw/zinc/87/10/06/1176871006.db2.gz XNHSEQSBNBNOTB-STQMWFEESA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C(C)(C)C(F)F)C1 ZINC001752518385 1176880770 /nfs/dbraw/zinc/88/07/70/1176880770.db2.gz ULQWVXPHAIFPSC-GFCCVEGCSA-N 0 1 322.827 3.201 20 30 DGEDMN C=CCCC(=O)N[C@](C)(CNC/C(Cl)=C\Cl)C1CC1 ZINC001753514183 1176904853 /nfs/dbraw/zinc/90/48/53/1176904853.db2.gz DAWNEJOMUVEJJG-CEFACKQISA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@@H](COC)NCc1cc(Br)c(Cl)s1 ZINC000308948829 1177084571 /nfs/dbraw/zinc/08/45/71/1177084571.db2.gz ONBDBEJKHDRMPR-ZETCQYMHSA-N 0 1 310.644 3.455 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001600075062 1192787612 /nfs/dbraw/zinc/78/76/12/1192787612.db2.gz GYXXGSQZYCCAER-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(F)F)cc1F ZINC001691527823 1177124817 /nfs/dbraw/zinc/12/48/17/1177124817.db2.gz BSYZUEVQLVDVBV-UHFFFAOYSA-N 0 1 320.742 3.177 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccccc1Cl ZINC001732451700 1177228980 /nfs/dbraw/zinc/22/89/80/1177228980.db2.gz BYBSCTPGRGVONE-LLVKDONJSA-N 0 1 301.217 3.143 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@]12C[C@H]1CCCC2)C(C)(C)C ZINC001755833048 1177273669 /nfs/dbraw/zinc/27/36/69/1177273669.db2.gz VNGKAROMFDSKTR-JKIFEVAISA-N 0 1 312.885 3.440 20 30 DGEDMN CC(C)CC(C)(C)C(=O)N(C)CCNCc1ccccc1C#N ZINC001691546544 1177307926 /nfs/dbraw/zinc/30/79/26/1177307926.db2.gz VCUSIUGMDCRAFL-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN Cc1cc(C[N@@H+]2CC=C(c3ccc(C#N)cc3)CC2)[nH]c1C(=O)[O-] ZINC001600191768 1192801434 /nfs/dbraw/zinc/80/14/34/1192801434.db2.gz GGVOZXSTEYRDEB-UHFFFAOYSA-N 0 1 321.380 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1ccccc1C ZINC001671385098 1177317630 /nfs/dbraw/zinc/31/76/30/1177317630.db2.gz SABDQWYUUFMLIG-HOTGVXAUSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1(C)CC(F)(F)C1 ZINC001700731394 1177360031 /nfs/dbraw/zinc/36/00/31/1177360031.db2.gz HWXIFEFGAXPKMH-GFCCVEGCSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1ccc(Cl)cc1F ZINC001752874288 1177382227 /nfs/dbraw/zinc/38/22/27/1177382227.db2.gz DMCMDRCXFBUCNU-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C(C)(C)c1ccc(CC)cc1 ZINC001752910066 1177437732 /nfs/dbraw/zinc/43/77/32/1177437732.db2.gz BFDREDPEDNQTHW-CQSZACIVSA-N 0 1 322.880 3.373 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C3CC3)c2)CC1 ZINC001670906803 1177525129 /nfs/dbraw/zinc/52/51/29/1177525129.db2.gz ISWFULVLZMWGQG-UHFFFAOYSA-N 0 1 304.821 3.169 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc3c2CCCCC3)CC1 ZINC001687481445 1177539734 /nfs/dbraw/zinc/53/97/34/1177539734.db2.gz GWUVNVUJZDMHKH-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C23CCC(CC2)CC3)CC1 ZINC001113661534 1177726980 /nfs/dbraw/zinc/72/69/80/1177726980.db2.gz XXDFLCBSJBMBKD-MUYFXNHWSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(CC/C=C\CC)CC1)c1ccccc1 ZINC001113665009 1177727900 /nfs/dbraw/zinc/72/79/00/1177727900.db2.gz KUBCWTXFVFXBSW-SFFCAUCYSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C1CN(CCC2CCCCC2)C1 ZINC001671104924 1177873197 /nfs/dbraw/zinc/87/31/97/1177873197.db2.gz IFHWKCOBWODKKM-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C[C@@H]1C(N=Nc2ccc(C#N)cn2)CCN1Cc1ccccc1 ZINC001330911625 1178090532 /nfs/dbraw/zinc/09/05/32/1178090532.db2.gz UILOJRGZDIQUEV-CQSZACIVSA-N 0 1 305.385 3.016 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001331405549 1178205370 /nfs/dbraw/zinc/20/53/70/1178205370.db2.gz LJDONHZHWRIQAO-OAHLLOKOSA-N 0 1 318.848 3.094 20 30 DGEDMN Cc1ccc([C@H](N)C(=O)Nc2ccc(C3CC3)c(C#N)c2)cc1 ZINC001331427876 1178211196 /nfs/dbraw/zinc/21/11/96/1178211196.db2.gz WWFZXIFKXNXUEE-SFHVURJKSA-N 0 1 305.381 3.383 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001331516527 1178232048 /nfs/dbraw/zinc/23/20/48/1178232048.db2.gz APHVOCRZNSJCKR-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccn(C(C)C)c1C ZINC001331530097 1178235566 /nfs/dbraw/zinc/23/55/66/1178235566.db2.gz NEGUVMZPSIBEDJ-ZDUSSCGKSA-N 0 1 311.857 3.180 20 30 DGEDMN CCC1(C(=O)N(C)C[C@H](C)NCc2ccccc2C#N)CCC1 ZINC001331534828 1178238396 /nfs/dbraw/zinc/23/83/96/1178238396.db2.gz RAANPXNIMKYBDX-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C[C@H](NC[C@@H](O)CC1(C#N)CC1)c1nc(C(C)(C)C)cs1 ZINC001331730173 1178275227 /nfs/dbraw/zinc/27/52/27/1178275227.db2.gz KRAKZIDKPJYXSZ-RYUDHWBXSA-N 0 1 307.463 3.146 20 30 DGEDMN C[C@H](NC[C@H](O)CC1(C#N)CC1)c1nc(C(C)(C)C)cs1 ZINC001331730170 1178275501 /nfs/dbraw/zinc/27/55/01/1178275501.db2.gz KRAKZIDKPJYXSZ-NWDGAFQWSA-N 0 1 307.463 3.146 20 30 DGEDMN CCCCn1nc(C)c(CN=Nc2ccc(C#N)cn2)c1Cl ZINC001332015627 1178337684 /nfs/dbraw/zinc/33/76/84/1178337684.db2.gz XGYDWQJLVSDETA-UHFFFAOYSA-N 0 1 316.796 3.358 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CC2CCC1CC2)C(C)C ZINC001332740425 1178488816 /nfs/dbraw/zinc/48/88/16/1178488816.db2.gz KNNOFTAQHJFMNX-DAWZGUTISA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1nc(C)c(C)s1)C(C)(C)C ZINC001332769665 1178495021 /nfs/dbraw/zinc/49/50/21/1178495021.db2.gz KWKDAARCDFAPPZ-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1oc(C)nc1C)C(C)(C)C ZINC001332806634 1178501245 /nfs/dbraw/zinc/50/12/45/1178501245.db2.gz GDIREBZDEBRRNX-MRXNPFEDSA-N 0 1 321.465 3.268 20 30 DGEDMN C=C1CCC(CNC(=O)Nc2cnn(-c3ccncc3)c2)CC1 ZINC001332966414 1178529864 /nfs/dbraw/zinc/52/98/64/1178529864.db2.gz WQKYBBZTHNSSSQ-UHFFFAOYSA-N 0 1 311.389 3.135 20 30 DGEDMN C=C[C@@H](C(=O)N[C@@H](C)C1CCN(CCF)CC1)c1ccccc1 ZINC001333015016 1178540207 /nfs/dbraw/zinc/54/02/07/1178540207.db2.gz PHRCTCIYKPWQMY-MAUKXSAKSA-N 0 1 318.436 3.142 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NCc2ncoc2C(C)C)C1 ZINC001333115836 1178558042 /nfs/dbraw/zinc/55/80/42/1178558042.db2.gz DQWAANNFLUOOGG-UHFFFAOYSA-N 0 1 319.449 3.233 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NCc2nc(C)c(C)s2)C1 ZINC001333115901 1178558614 /nfs/dbraw/zinc/55/86/14/1178558614.db2.gz GZVVHCWEFRSPII-UHFFFAOYSA-N 0 1 321.490 3.195 20 30 DGEDMN CC(=NNc1ccnc2cccnc21)c1nc2c(s1)CCCC2 ZINC001333220859 1178573100 /nfs/dbraw/zinc/57/31/00/1178573100.db2.gz OXPIMLWKYJAAQM-UHFFFAOYSA-N 0 1 323.425 3.223 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2ccccc2F)CC1 ZINC001333304496 1178584797 /nfs/dbraw/zinc/58/47/97/1178584797.db2.gz OADABPKJOMSYTN-UHFFFAOYSA-N 0 1 302.393 3.040 20 30 DGEDMN N#CCC1(C[N@H+]2C[C@@H](c3ccc(Cl)cc3)[C@H](C(=O)[O-])C2)CC1 ZINC001601061843 1192915385 /nfs/dbraw/zinc/91/53/85/1192915385.db2.gz HPGKGZSPEJQAOW-LSDHHAIUSA-N 0 1 318.804 3.134 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cc4ccccc4[nH]3)no2)CC1 ZINC001335206325 1178868431 /nfs/dbraw/zinc/86/84/31/1178868431.db2.gz DRLXXBZVPMHSKK-UHFFFAOYSA-N 0 1 306.369 3.031 20 30 DGEDMN N#Cc1c(C[N@@H+](CCc2ccccc2)C2CC2)cccc1C(=O)[O-] ZINC001601080881 1192916375 /nfs/dbraw/zinc/91/63/75/1192916375.db2.gz FIBKEHILPLMALY-UHFFFAOYSA-N 0 1 320.392 3.464 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@H](c3cccc(F)c3)C2)cccc1C(=O)[O-] ZINC001601082321 1192916534 /nfs/dbraw/zinc/91/65/34/1192916534.db2.gz YEOFHBNHUVNRJD-AWEZNQCLSA-N 0 1 324.355 3.385 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@H](c3ccc(F)cc3)C2)cccc1C(=O)[O-] ZINC001601081640 1192916648 /nfs/dbraw/zinc/91/66/48/1192916648.db2.gz OFRVCHRXZTUQKS-AWEZNQCLSA-N 0 1 324.355 3.385 20 30 DGEDMN N#Cc1c(COc2cc[nH+]c3c2CCCC3)cccc1C(=O)[O-] ZINC001601080317 1192916732 /nfs/dbraw/zinc/91/67/32/1192916732.db2.gz IQIKNNWVTYRTNP-UHFFFAOYSA-N 0 1 308.337 3.109 20 30 DGEDMN N#Cc1ccc(F)c(C[NH2+]Cc2cc(C(=O)[O-])ccc2Cl)c1 ZINC001601102941 1192918600 /nfs/dbraw/zinc/91/86/00/1192918600.db2.gz SNYNMXFWRFNVMA-UHFFFAOYSA-N 0 1 318.735 3.339 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2C[C@H](C3CCCCC3)C[C@H]2C(=O)[O-])o1 ZINC001601099823 1192918606 /nfs/dbraw/zinc/91/86/06/1192918606.db2.gz CXOISZPQNKQNRC-CJNGLKHVSA-N 0 1 302.374 3.007 20 30 DGEDMN C#CCN(Cc1ccc(NC(=O)OC(C)(C)C)nc1)C(C)C ZINC001335565280 1178931340 /nfs/dbraw/zinc/93/13/40/1178931340.db2.gz GHCIVSUPDXMVJZ-UHFFFAOYSA-N 0 1 303.406 3.272 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC=CCCC1)c1nnc2n1CCCCC2 ZINC001342259771 1179122926 /nfs/dbraw/zinc/12/29/26/1179122926.db2.gz QIBNMXFMTUOCGV-HUUCEWRRSA-N 0 1 312.417 3.317 20 30 DGEDMN Cc1c(Br)csc1C(=O)[C@@H](C#N)c1nccn1C ZINC001342268733 1179125091 /nfs/dbraw/zinc/12/50/91/1179125091.db2.gz QEUTVVHRCZDUKY-MRVPVSSYSA-N 0 1 324.203 3.043 20 30 DGEDMN Cc1c(Br)csc1C(=O)C(C#N)c1nccn1C ZINC001342268733 1179125093 /nfs/dbraw/zinc/12/50/93/1179125093.db2.gz QEUTVVHRCZDUKY-MRVPVSSYSA-N 0 1 324.203 3.043 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)Cc1ccccc1 ZINC001342331971 1179131259 /nfs/dbraw/zinc/13/12/59/1179131259.db2.gz OQDKZQOYRNZTPH-UHFFFAOYSA-N 0 1 322.416 3.189 20 30 DGEDMN CCCn1ncc(C(=O)[C@H](C#N)c2cccc(F)n2)c1C1CC1 ZINC001342663372 1179169949 /nfs/dbraw/zinc/16/99/49/1179169949.db2.gz JDWKHQBJARQYAB-GFCCVEGCSA-N 0 1 312.348 3.195 20 30 DGEDMN CCCn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1C1CC1 ZINC001342663372 1179169954 /nfs/dbraw/zinc/16/99/54/1179169954.db2.gz JDWKHQBJARQYAB-GFCCVEGCSA-N 0 1 312.348 3.195 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)[C@H]1CC ZINC001342977225 1179219576 /nfs/dbraw/zinc/21/95/76/1179219576.db2.gz CJQRSUOJROESCH-TZMCWYRMSA-N 0 1 314.437 3.178 20 30 DGEDMN Cc1occc1C(=O)[C@@H](C#N)c1nccn1Cc1ccccc1 ZINC001343272926 1179250470 /nfs/dbraw/zinc/25/04/70/1179250470.db2.gz MAWNDTBLEYODSY-MRXNPFEDSA-N 0 1 305.337 3.323 20 30 DGEDMN Cc1occc1C(=O)C(C#N)c1nccn1Cc1ccccc1 ZINC001343272926 1179250477 /nfs/dbraw/zinc/25/04/77/1179250477.db2.gz MAWNDTBLEYODSY-MRXNPFEDSA-N 0 1 305.337 3.323 20 30 DGEDMN CCOc1cc(C#N)ccc1OC(=O)c1ccc2cncn2c1C ZINC001343463265 1179280022 /nfs/dbraw/zinc/28/00/22/1179280022.db2.gz OGXXTLJIDKKEBE-UHFFFAOYSA-N 0 1 321.336 3.132 20 30 DGEDMN N#C[C@@H](C(=O)CC(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001343990476 1179360860 /nfs/dbraw/zinc/36/08/60/1179360860.db2.gz KTSWUFBWJQHHIN-HNNXBMFYSA-N 0 1 320.396 3.215 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCCN1c1ccccc1)c1cccc(F)n1 ZINC001344033983 1179368233 /nfs/dbraw/zinc/36/82/33/1179368233.db2.gz FXVHPMSACJNIFT-GOEBONIOSA-N 0 1 309.344 3.066 20 30 DGEDMN N#CC(C(=O)Cc1cc(F)c(F)cc1F)c1cccc(F)n1 ZINC001344044277 1179369594 /nfs/dbraw/zinc/36/95/94/1179369594.db2.gz NWPHUWCNHVWSLJ-VIFPVBQESA-N 0 1 308.234 3.057 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CC(C)(C)Oc2ccccc21 ZINC001344322698 1179412414 /nfs/dbraw/zinc/41/24/14/1179412414.db2.gz VVILFNRAIDBUFL-HUUCEWRRSA-N 0 1 323.396 3.424 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)OCc1ccc(C#N)cn1 ZINC001344413573 1179429608 /nfs/dbraw/zinc/42/96/08/1179429608.db2.gz FILICDHNNLBKJM-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCOC2(CCC2)C1)c1cnc2ccccc2n1 ZINC001344850174 1179486472 /nfs/dbraw/zinc/48/64/72/1179486472.db2.gz KBCNDXNQJIMWMB-UONOGXRCSA-N 0 1 321.380 3.155 20 30 DGEDMN N#CC(C(=O)Cc1ccccc1)=C(O)C1(c2ccccc2)CC1 ZINC001345039184 1179514989 /nfs/dbraw/zinc/51/49/89/1179514989.db2.gz XVPRDBIGBNUGCP-KRWDZBQOSA-N 0 1 303.361 3.239 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)Cc1cccc(C(F)F)c1 ZINC001345643254 1179585624 /nfs/dbraw/zinc/58/56/24/1179585624.db2.gz OQOYPTUKOJIUFU-NSHDSACASA-N 0 1 323.730 3.430 20 30 DGEDMN CC(C)c1ccc(C([O-])=C(C#N)c2ncc(Cl)n2C)nc1 ZINC001345644396 1179586826 /nfs/dbraw/zinc/58/68/26/1179586826.db2.gz ZFSRCTQOXWAGSR-LLVKDONJSA-N 0 1 302.765 3.082 20 30 DGEDMN CC(C)c1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)nc1 ZINC001345644396 1179586832 /nfs/dbraw/zinc/58/68/32/1179586832.db2.gz ZFSRCTQOXWAGSR-LLVKDONJSA-N 0 1 302.765 3.082 20 30 DGEDMN C[C@@H]1[C@H](OC(=O)c2ccc(C#N)[nH]2)CCC[N@H+]1Cc1ccccc1 ZINC001345776083 1179604828 /nfs/dbraw/zinc/60/48/28/1179604828.db2.gz MRLIGFQKWLBZPQ-RDTXWAMCSA-N 0 1 323.396 3.096 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccn1 ZINC001346221807 1179672009 /nfs/dbraw/zinc/67/20/09/1179672009.db2.gz UNALCWINHZTRJP-MJVIPROJSA-N 0 1 312.291 3.148 20 30 DGEDMN Cc1ccoc1C(=O)C(C#N)c1nccn1Cc1ccccc1 ZINC001347063132 1179773173 /nfs/dbraw/zinc/77/31/73/1179773173.db2.gz HXHAWEAQKAYLNT-OAHLLOKOSA-N 0 1 305.337 3.323 20 30 DGEDMN N#CC(C(=O)c1csc([C@@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125879 1179781100 /nfs/dbraw/zinc/78/11/00/1179781100.db2.gz YIIHNLIWIBXOGU-SKDRFNHKSA-N 0 1 317.345 3.019 20 30 DGEDMN N#C[C@@H](C(=O)c1csc([C@@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125879 1179781104 /nfs/dbraw/zinc/78/11/04/1179781104.db2.gz YIIHNLIWIBXOGU-SKDRFNHKSA-N 0 1 317.345 3.019 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1cn(C)c2ccccc12 ZINC001347337201 1179797358 /nfs/dbraw/zinc/79/73/58/1179797358.db2.gz DUZAYWZCFJOUKK-ZFWWWQNUSA-N 0 1 320.396 3.375 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(-c3ccc(OC)cc3)n[nH]2)cc1 ZINC001603614687 1193023596 /nfs/dbraw/zinc/02/35/96/1193023596.db2.gz PYSPWTGFCLMPAD-UHFFFAOYSA-N 0 1 317.348 3.319 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001646393620 1195226887 /nfs/dbraw/zinc/22/68/87/1195226887.db2.gz XCBQVRWMRJKOII-UONOGXRCSA-N 0 1 304.821 3.402 20 30 DGEDMN C=CCO[C@@H]1CC[N@H+](CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001352890843 1180415956 /nfs/dbraw/zinc/41/59/56/1180415956.db2.gz WITHBPVGZHDIMP-HZSPNIEDSA-N 0 1 319.367 3.201 20 30 DGEDMN C=CCO[C@@H]1CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001352890843 1180415961 /nfs/dbraw/zinc/41/59/61/1180415961.db2.gz WITHBPVGZHDIMP-HZSPNIEDSA-N 0 1 319.367 3.201 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1sccc1Cl ZINC001480517449 1180587187 /nfs/dbraw/zinc/58/71/87/1180587187.db2.gz FVCIFIVYXIYKOL-LBPRGKRZSA-N 0 1 312.866 3.189 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1n[nH]c(C(F)(F)F)c1C ZINC001353905244 1180679744 /nfs/dbraw/zinc/67/97/44/1180679744.db2.gz OQMXUTPZVWLORV-SNVBAGLBSA-N 0 1 301.312 3.308 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100560738 1180790832 /nfs/dbraw/zinc/79/08/32/1180790832.db2.gz NSABCGYAVUUGHV-GHXNOFRVSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CC(NCc2c(F)cccc2F)C1 ZINC001100564496 1180793252 /nfs/dbraw/zinc/79/32/52/1180793252.db2.gz GYBZXGXQCOSZEF-UHFFFAOYSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100597458 1180837190 /nfs/dbraw/zinc/83/71/90/1180837190.db2.gz WFJYWIWDAGQRON-WLYUNCDWSA-N 0 1 321.490 3.038 20 30 DGEDMN Cc1ccc2nc(CNC(=O)[C@H](CC#N)c3ccccc3)[nH]c2c1 ZINC001354826307 1180914675 /nfs/dbraw/zinc/91/46/75/1180914675.db2.gz SXCKIQHCGPDXDF-OAHLLOKOSA-N 0 1 318.380 3.185 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)c(OC)c(C)c2)CC1 ZINC001472960242 1181035293 /nfs/dbraw/zinc/03/52/93/1181035293.db2.gz MJZKPTSAQRFTNN-UHFFFAOYSA-N 0 1 316.445 3.036 20 30 DGEDMN CCC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)Cc1ccc(C#N)cc1 ZINC001605317528 1193142890 /nfs/dbraw/zinc/14/28/90/1193142890.db2.gz OUVAAGIONKOEFB-UHFFFAOYSA-N 0 1 311.385 3.007 20 30 DGEDMN C#CC[C@H](NC(=O)c1cc(F)cc(F)c1O)c1ccccc1 ZINC001446342895 1182167522 /nfs/dbraw/zinc/16/75/22/1182167522.db2.gz SUFBDMIRFHBMPX-HNNXBMFYSA-N 0 1 301.292 3.165 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2[C@@H]3c4ccc(Cl)cc4C[C@H]23)c1 ZINC001447052566 1182182909 /nfs/dbraw/zinc/18/29/09/1182182909.db2.gz XALRMWDFOLYISN-IAOVAPTHSA-N 0 1 324.767 3.442 20 30 DGEDMN Cc1cnc(OC(C)C)c(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC001450110433 1182242555 /nfs/dbraw/zinc/24/25/55/1182242555.db2.gz KMSJGKQNVBTZEJ-UHFFFAOYSA-N 0 1 311.341 3.007 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)c2ccc(CN3CCCC3)o2)c1 ZINC001451388702 1182268485 /nfs/dbraw/zinc/26/84/85/1182268485.db2.gz NJDGGARNLNUJFS-UHFFFAOYSA-N 0 1 309.369 3.308 20 30 DGEDMN CCN(C)[C@H](C(=O)NCCC#C[Si](C)(C)C)c1ccccc1 ZINC001453121844 1182309710 /nfs/dbraw/zinc/30/97/10/1182309710.db2.gz KOVPXWIGXXIJKJ-KRWDZBQOSA-N 0 1 316.521 3.067 20 30 DGEDMN Cc1nc2cccc(C(=O)NC(C)(C)c3cccc(C#N)c3)c2[nH]1 ZINC001453318222 1182313381 /nfs/dbraw/zinc/31/33/81/1182313381.db2.gz FUIVNKHGTISSDK-UHFFFAOYSA-N 0 1 318.380 3.408 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1cc(F)cc(C#N)c1)N1CCCCC1 ZINC001454719036 1182352130 /nfs/dbraw/zinc/35/21/30/1182352130.db2.gz MKWREQCFIHTXQR-MRXNPFEDSA-N 0 1 303.381 3.146 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@H](c3nc[nH]n3)C2)CCCCC1 ZINC001455341213 1182366714 /nfs/dbraw/zinc/36/67/14/1182366714.db2.gz PZRVROUTGXUGAY-AWEZNQCLSA-N 0 1 302.422 3.037 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)[C@H](C)[C@@H](C)O ZINC001459411638 1182586225 /nfs/dbraw/zinc/58/62/25/1182586225.db2.gz AEOWQKNWNZUSAF-GHMZBOCLSA-N 0 1 316.214 3.346 20 30 DGEDMN C=CCN(CC(=O)Nc1ccnn1CCC)CC1CCCCC1 ZINC001459492241 1182590626 /nfs/dbraw/zinc/59/06/26/1182590626.db2.gz VICPFCKTYLIYJH-UHFFFAOYSA-N 0 1 318.465 3.300 20 30 DGEDMN C#CCN(CC1CC1)[C@H]1CCN(c2c(C)cc(C)cc2C)C1=O ZINC001459773208 1182615420 /nfs/dbraw/zinc/61/54/20/1182615420.db2.gz FYQMLTPZGMPUTC-SFHVURJKSA-N 0 1 310.441 3.062 20 30 DGEDMN COCC#CCN1CCC(F)(Cc2ccc(Cl)cc2)CC1 ZINC001460127995 1182654019 /nfs/dbraw/zinc/65/40/19/1182654019.db2.gz VNGIJMYYPMXQKT-UHFFFAOYSA-N 0 1 309.812 3.336 20 30 DGEDMN O[C@@H]1CCN(CC#Cc2ccccc2Cl)[C@H]2CCCC[C@H]12 ZINC001460664956 1182706626 /nfs/dbraw/zinc/70/66/26/1182706626.db2.gz GBCMDZOHTUUVOI-RYQLBKOJSA-N 0 1 303.833 3.317 20 30 DGEDMN N#Cc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001460697857 1182709542 /nfs/dbraw/zinc/70/95/42/1182709542.db2.gz XTSMVGSJMULIJG-IBGZPJMESA-N 0 1 302.381 3.286 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)c2nc(Cc3ccc(F)cc3)no2)C1 ZINC001460755706 1182718401 /nfs/dbraw/zinc/71/84/01/1182718401.db2.gz DDMBSJZUBXTWJT-UKRRQHHQSA-N 0 1 313.376 3.206 20 30 DGEDMN N#CC[C@H](CC(=O)NCc1ccc2cncn2c1)c1ccccc1 ZINC001460762157 1182720265 /nfs/dbraw/zinc/72/02/65/1182720265.db2.gz SAPSBCDPMKTXDT-QGZVFWFLSA-N 0 1 318.380 3.038 20 30 DGEDMN C=CCC[C@@H](O)CN(CC)Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001460964534 1182748054 /nfs/dbraw/zinc/74/80/54/1182748054.db2.gz IOXNNUVJVKZGBE-UONOGXRCSA-N 0 1 308.491 3.417 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(Cc4ccccc4)CC3)[nH]c2c1 ZINC001461917061 1182877459 /nfs/dbraw/zinc/87/74/59/1182877459.db2.gz IJFZXCRAYCXCBJ-UHFFFAOYSA-N 0 1 316.364 3.396 20 30 DGEDMN C=CCCC[C@@H](C(=O)NC[C@@H]1CCN1CC)c1ccccc1 ZINC001462434343 1182952568 /nfs/dbraw/zinc/95/25/68/1182952568.db2.gz BJVFMEDDLLNXFJ-ZWKOTPCHSA-N 0 1 300.446 3.337 20 30 DGEDMN Cc1c(C(=O)Nc2ccc(CCC#N)cc2)ccc2cncn21 ZINC001462861786 1182997450 /nfs/dbraw/zinc/99/74/50/1182997450.db2.gz ZSFZFNVAWZOEFG-UHFFFAOYSA-N 0 1 304.353 3.351 20 30 DGEDMN CCCCCCCCc1nnc(NC(=O)Nc2c[nH]nn2)s1 ZINC001465061159 1183127293 /nfs/dbraw/zinc/12/72/93/1183127293.db2.gz GBWWDALFDRTGRV-UHFFFAOYSA-N 0 1 323.426 3.203 20 30 DGEDMN C[C@@H](CC#N)C(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001465132408 1183130774 /nfs/dbraw/zinc/13/07/74/1183130774.db2.gz XEDNMEOJGDFNPA-SCLBCKFNSA-N 0 1 318.380 3.318 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2cc(C#N)ccc2C)n[nH]1 ZINC001472103853 1183616832 /nfs/dbraw/zinc/61/68/32/1183616832.db2.gz IUEYNZRIYZMDNF-KRWDZBQOSA-N 0 1 308.385 3.266 20 30 DGEDMN C[C@H](C(=O)N([O-])Cc1ccccc1Cl)[N@H+]1[C@H](C)CC[C@@H]1C ZINC001472619014 1183656941 /nfs/dbraw/zinc/65/69/41/1183656941.db2.gz SBYPDVBNMVJNDS-FRRDWIJNSA-N 0 1 310.825 3.319 20 30 DGEDMN C[C@H](C(=O)N([O-])Cc1ccccc1Cl)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001472619014 1183656945 /nfs/dbraw/zinc/65/69/45/1183656945.db2.gz SBYPDVBNMVJNDS-FRRDWIJNSA-N 0 1 310.825 3.319 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635779 1183659148 /nfs/dbraw/zinc/65/91/48/1183659148.db2.gz PHBWXKSMCWKLTG-CYBMUJFWSA-N 0 1 311.389 3.354 20 30 DGEDMN CCOc1ccc2c(c1)C[N@H+](C[C@@H](O)c1cccc(C#N)c1)CC2 ZINC001473124618 1183718797 /nfs/dbraw/zinc/71/87/97/1183718797.db2.gz QOEAFYNVOYCGAQ-HXUWFJFHSA-N 0 1 322.408 3.049 20 30 DGEDMN CCOc1ccc2c(c1)CN(C[C@@H](O)c1cccc(C#N)c1)CC2 ZINC001473124618 1183718801 /nfs/dbraw/zinc/71/88/01/1183718801.db2.gz QOEAFYNVOYCGAQ-HXUWFJFHSA-N 0 1 322.408 3.049 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2cc(Cl)cc(Cl)c2)CC1 ZINC001473149009 1183721818 /nfs/dbraw/zinc/72/18/18/1183721818.db2.gz MALATYNVJXBXRH-AWEZNQCLSA-N 0 1 316.228 3.385 20 30 DGEDMN C#CCN(C[C@H]1CCC[C@H](c2ccccc2)O1)C1CSC1 ZINC001473629236 1183783920 /nfs/dbraw/zinc/78/39/20/1183783920.db2.gz CQFZMIZPUSKCAE-QZTJIDSGSA-N 0 1 301.455 3.347 20 30 DGEDMN C#CC[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC001474075250 1183862633 /nfs/dbraw/zinc/86/26/33/1183862633.db2.gz ALETUMWILULLEK-KRWDZBQOSA-N 0 1 302.381 3.326 20 30 DGEDMN Cc1sc(CNCc2ccc(C#N)cn2)cc1Br ZINC001474167263 1183876427 /nfs/dbraw/zinc/87/64/27/1183876427.db2.gz JBABDZIICDRWNV-UHFFFAOYSA-N 0 1 322.231 3.376 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2ccsc2)s1 ZINC001474184152 1183878796 /nfs/dbraw/zinc/87/87/96/1183878796.db2.gz PXVVGOPMEBIQBQ-UHFFFAOYSA-N 0 1 300.412 3.361 20 30 DGEDMN Cc1ccccc1CC[N@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001607880745 1193265206 /nfs/dbraw/zinc/26/52/06/1193265206.db2.gz NLOGISSQJIISFN-GOSISDBHSA-N 0 1 308.381 3.167 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001479393489 1184244709 /nfs/dbraw/zinc/24/47/09/1184244709.db2.gz ILVCDPDBEGDZBD-DHXKVRQVSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc(C(C)C)n1 ZINC001479848537 1184348689 /nfs/dbraw/zinc/34/86/89/1184348689.db2.gz YKGJJVJJEPPJAG-UHFFFAOYSA-N 0 1 323.868 3.399 20 30 DGEDMN N#CCc1ccc(C[N@H+]2CCc3cc(C(=O)[O-])ccc3C2)cc1 ZINC001608187847 1193281893 /nfs/dbraw/zinc/28/18/93/1193281893.db2.gz ANKPJNCTALOVPO-UHFFFAOYSA-N 0 1 306.365 3.009 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001479893070 1184366277 /nfs/dbraw/zinc/36/62/77/1184366277.db2.gz HXUSAANWXKHTLO-CVEARBPZSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN(CCOCC)C2)CCCCC1 ZINC001479915391 1184381424 /nfs/dbraw/zinc/38/14/24/1184381424.db2.gz UMEBLOVLRHFXKH-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001479962289 1184392713 /nfs/dbraw/zinc/39/27/13/1184392713.db2.gz MJJSILIMUJBKFN-HZPDHXFCSA-N 0 1 316.420 3.271 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CCCc3ccccc3CC2)c1 ZINC001608215639 1193283820 /nfs/dbraw/zinc/28/38/20/1193283820.db2.gz NHQNWYGTCQBPBY-IBGZPJMESA-N 0 1 320.392 3.175 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@H](F)C2)CC1 ZINC001479994009 1184406178 /nfs/dbraw/zinc/40/61/78/1184406178.db2.gz ZPDOVADFWGTFGW-SJORKVTESA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccc(C)o2)C1 ZINC001480070577 1184424128 /nfs/dbraw/zinc/42/41/28/1184424128.db2.gz HJOQMAQRCNGHAI-CQSZACIVSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001480130403 1184441515 /nfs/dbraw/zinc/44/15/15/1184441515.db2.gz LWJOZJRVBBKYLE-JHJVBQTASA-N 0 1 308.372 3.229 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2C[C@H](NC/C(Cl)=C/Cl)C2)CC1 ZINC001480157319 1184447471 /nfs/dbraw/zinc/44/74/71/1184447471.db2.gz SSWHPTBLCXVDNP-ZGSYCIAVSA-N 0 1 317.260 3.289 20 30 DGEDMN CCCCC(=O)NC[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC001480243390 1184468881 /nfs/dbraw/zinc/46/88/81/1184468881.db2.gz RWUZJCDSNJSORN-AWEZNQCLSA-N 0 1 319.474 3.138 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001480382872 1184517258 /nfs/dbraw/zinc/51/72/58/1184517258.db2.gz LQGOTVUZABNTLP-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001480400719 1184522244 /nfs/dbraw/zinc/52/22/44/1184522244.db2.gz VPCVPQCFJLHCCI-NFOMZHRRSA-N 0 1 305.422 3.027 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1cccc(F)c1Cl ZINC001480445512 1184531427 /nfs/dbraw/zinc/53/14/27/1184531427.db2.gz CMNYCFOVMBLFPY-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccsc1C(F)F ZINC001480579838 1184552598 /nfs/dbraw/zinc/55/25/98/1184552598.db2.gz JDSSVSRBUZQBFZ-QMMMGPOBSA-N 0 1 308.781 3.146 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493093337 1184647737 /nfs/dbraw/zinc/64/77/37/1184647737.db2.gz DHTNSVBUOUDLSN-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001493106811 1184650385 /nfs/dbraw/zinc/65/03/85/1184650385.db2.gz JDRMDOFNIXCPPM-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001493142537 1184656964 /nfs/dbraw/zinc/65/69/64/1184656964.db2.gz OFUAXHVBZPPWLN-INIZCTEOSA-N 0 1 320.864 3.384 20 30 DGEDMN CC#CCN(CCNC(=O)c1sccc1C(C)C)C1CC1 ZINC001493451129 1184708374 /nfs/dbraw/zinc/70/83/74/1184708374.db2.gz YGTMVCFVSVTECH-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001493683369 1184725266 /nfs/dbraw/zinc/72/52/66/1184725266.db2.gz ALHKPEQDQCAUKG-QGZVFWFLSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1CC(c2ccc(F)cc2)C1 ZINC001493812641 1184741531 /nfs/dbraw/zinc/74/15/31/1184741531.db2.gz ITDSLNGXDFYKDV-UHFFFAOYSA-N 0 1 324.827 3.120 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)C)c1ccc(C(C)C)cc1 ZINC001493878179 1184756270 /nfs/dbraw/zinc/75/62/70/1184756270.db2.gz HENRCQCUEIEAQA-SFHVURJKSA-N 0 1 300.446 3.236 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1CC1)c1ccc(C(C)C)cc1 ZINC001493879124 1184757599 /nfs/dbraw/zinc/75/75/99/1184757599.db2.gz PAWDJOVVRAQFSU-IBGZPJMESA-N 0 1 312.457 3.380 20 30 DGEDMN O=C([O-])[C@@H]1C[C@@H]2CCCC[C@@H]2[N@H+]1CC#Cc1ccccc1Cl ZINC001608811765 1193304870 /nfs/dbraw/zinc/30/48/70/1193304870.db2.gz VSBKGMITUCRYHL-XIRDDKMYSA-N 0 1 317.816 3.409 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](CCC)C(C)C)C1 ZINC001493968411 1184777748 /nfs/dbraw/zinc/77/77/48/1184777748.db2.gz RCTOHEHPNKYHSF-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@H](C)c2cccc(F)c2)C1 ZINC001494555235 1184884654 /nfs/dbraw/zinc/88/46/54/1184884654.db2.gz IRXOJLYEBUKROS-CQSZACIVSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC001494598230 1184893468 /nfs/dbraw/zinc/89/34/68/1184893468.db2.gz DZDAFDFYTFWLEI-GOSISDBHSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CCC)c1ccccc1 ZINC001494683896 1184905449 /nfs/dbraw/zinc/90/54/49/1184905449.db2.gz ODZFHNWSWIHXQM-GBESFXJTSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001494773564 1184924332 /nfs/dbraw/zinc/92/43/32/1184924332.db2.gz XVAOJJDHQWMGBX-MJGOQNOKSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C[C@H](CC(=O)N(CC)[C@@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001494924162 1184963645 /nfs/dbraw/zinc/96/36/45/1184963645.db2.gz ILLGNBFTOCMLBN-UYAOXDASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ncsc1C(C)C ZINC001495092780 1184994783 /nfs/dbraw/zinc/99/47/83/1184994783.db2.gz ATXQTXRIXVDYTR-NSHDSACASA-N 0 1 315.870 3.117 20 30 DGEDMN C=CCCC(=O)NCCC1CCN(CC=C(Cl)Cl)CC1 ZINC001495505857 1185067403 /nfs/dbraw/zinc/06/74/03/1185067403.db2.gz SWRKNUZADNLWQC-UHFFFAOYSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc2[nH]ccc21 ZINC001495577606 1185075923 /nfs/dbraw/zinc/07/59/23/1185075923.db2.gz ICQCLSFXYWBYAG-CYBMUJFWSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](c2nc3ccccc3s2)C1 ZINC001609264548 1193332931 /nfs/dbraw/zinc/33/29/31/1193332931.db2.gz TUZREGQJHNAQMO-AAEUAGOBSA-N 0 1 302.399 3.115 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)CC2=CCCCC2)C1 ZINC001495837886 1185107919 /nfs/dbraw/zinc/10/79/19/1185107919.db2.gz NDFYEUIOTDYPSJ-WMZOPIPTSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2oc(C)nc2C)CCCC1 ZINC001495930655 1185115168 /nfs/dbraw/zinc/11/51/68/1185115168.db2.gz OAOBVQMMMKPUMN-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001496071014 1185130803 /nfs/dbraw/zinc/13/08/03/1185130803.db2.gz IOYNQMOXUZBJIG-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](COc2ccccc2Cl)C1 ZINC001609264652 1193332990 /nfs/dbraw/zinc/33/29/90/1193332990.db2.gz XJYDDSIUXDDIBA-TZMCWYRMSA-N 0 1 309.793 3.070 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)Cc1ccccc1C(=O)[O-] ZINC001609248281 1193332562 /nfs/dbraw/zinc/33/25/62/1193332562.db2.gz JNRVMYJSRUMOCU-UHFFFAOYSA-N 0 1 315.369 3.048 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@]1(C)CCc2ccccc21 ZINC001496244696 1185153396 /nfs/dbraw/zinc/15/33/96/1185153396.db2.gz QQWNDWANJXODEJ-RDTXWAMCSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(C)co1 ZINC001496480269 1185196255 /nfs/dbraw/zinc/19/62/55/1185196255.db2.gz RJDJEYNGAJRHGE-GXTWGEPZSA-N 0 1 310.825 3.171 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1C[C@H](C)CC(C)(C)C1 ZINC001496804449 1185251474 /nfs/dbraw/zinc/25/14/74/1185251474.db2.gz FFIFLLOWYQCLEE-DLBZAZTESA-N 0 1 306.494 3.252 20 30 DGEDMN C[C@](CC(=O)[O-])([NH2+]Cc1ccccc1C#N)c1cccc(F)c1 ZINC001609731200 1193352135 /nfs/dbraw/zinc/35/21/35/1193352135.db2.gz UQYQWRBICQCYNQ-GOSISDBHSA-N 0 1 312.344 3.177 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(Cl)c(CCC)c1 ZINC001498425559 1185491872 /nfs/dbraw/zinc/49/18/72/1185491872.db2.gz OIFIWRMWNZBHLD-AWEZNQCLSA-N 0 1 320.864 3.366 20 30 DGEDMN C=CCCC(=O)N(C)CCCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001498521679 1185512751 /nfs/dbraw/zinc/51/27/51/1185512751.db2.gz WGUUVUFABBQEJI-AWEZNQCLSA-N 0 1 321.465 3.437 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc2ccccc2o1)C1CC1 ZINC001498859167 1185556846 /nfs/dbraw/zinc/55/68/46/1185556846.db2.gz MTUZEXLMBUZXIZ-CQSZACIVSA-N 0 1 318.804 3.283 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1cccc(F)c1)C1CC1 ZINC001498873962 1185558999 /nfs/dbraw/zinc/55/89/99/1185558999.db2.gz YIZFXMXNMUPPQB-WBMJQRKESA-N 0 1 324.827 3.166 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1cnc(C)s1 ZINC001498939893 1185576918 /nfs/dbraw/zinc/57/69/18/1185576918.db2.gz VKNKVPFYCACWTF-CQSZACIVSA-N 0 1 307.463 3.002 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)CCc1ccc(F)cc1 ZINC001498993011 1185586521 /nfs/dbraw/zinc/58/65/21/1185586521.db2.gz WMVNEUVSGNBRDE-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001499127414 1185617165 /nfs/dbraw/zinc/61/71/65/1185617165.db2.gz LYEDKHSHUKXUHQ-ZWKOTPCHSA-N 0 1 312.457 3.263 20 30 DGEDMN CC[C@H](c1ccc(F)cc1F)[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001609940051 1193367167 /nfs/dbraw/zinc/36/71/67/1193367167.db2.gz WBFCSOXVSBHSKU-CQSZACIVSA-N 0 1 308.328 3.106 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1F)[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001609940050 1193367324 /nfs/dbraw/zinc/36/73/24/1193367324.db2.gz WBFCSOXVSBHSKU-AWEZNQCLSA-N 0 1 308.328 3.106 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccccc2Cl)CC1 ZINC001499334423 1185637983 /nfs/dbraw/zinc/63/79/83/1185637983.db2.gz BUZOGHKADFEIED-UHFFFAOYSA-N 0 1 306.837 3.435 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3c(cccc3C)o2)CC1 ZINC001499462978 1185652569 /nfs/dbraw/zinc/65/25/69/1185652569.db2.gz AMOUOJSNCHXEHB-UHFFFAOYSA-N 0 1 318.804 3.346 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC)c1ccccc1 ZINC001499560493 1185669041 /nfs/dbraw/zinc/66/90/41/1185669041.db2.gz NAOKZAAOQBZJCD-QRQLOZEOSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)c2cccs2)C1 ZINC001499743503 1185689514 /nfs/dbraw/zinc/68/95/14/1185689514.db2.gz BHSQNLFOQGQWKT-UONOGXRCSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)CC(F)(F)F)C1 ZINC001499766733 1185693580 /nfs/dbraw/zinc/69/35/80/1185693580.db2.gz ADGGZQCKVAUYDQ-UHFFFAOYSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)ccc2C)C1 ZINC001499777274 1185694524 /nfs/dbraw/zinc/69/45/24/1185694524.db2.gz ZSOFEXLAVRWCAS-MRXNPFEDSA-N 0 1 300.446 3.414 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccc(C)nc2)C1 ZINC001499792043 1185696021 /nfs/dbraw/zinc/69/60/21/1185696021.db2.gz GTBCKIPMBZBKTQ-UHFFFAOYSA-N 0 1 317.477 3.046 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1(C)CCN(C[C@@H](F)CC)CC1 ZINC001499987087 1185727564 /nfs/dbraw/zinc/72/75/64/1185727564.db2.gz YQEISMZFEJUMKG-INIZCTEOSA-N 0 1 310.457 3.474 20 30 DGEDMN C#CCN(C(=O)C/C=C(\C)C=C)C1CCN(C/C=C/Cl)CC1 ZINC001500048226 1185733988 /nfs/dbraw/zinc/73/39/88/1185733988.db2.gz DVLLJXOBJUFTCN-YYDZIKFISA-N 0 1 320.864 3.188 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C[C@@H](C)CCC)C1 ZINC001500606710 1185827973 /nfs/dbraw/zinc/82/79/73/1185827973.db2.gz CQDNXSDTTSKGBG-UONOGXRCSA-N 0 1 302.409 3.072 20 30 DGEDMN COc1cccc(C2([NH2+]Cc3cccc(C(=O)[O-])c3C#N)CC2)c1 ZINC001610354417 1193383954 /nfs/dbraw/zinc/38/39/54/1193383954.db2.gz JXAZWGBBVXUDDE-UHFFFAOYSA-N 0 1 322.364 3.044 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C[C@@H](F)CC ZINC001501399277 1185899709 /nfs/dbraw/zinc/89/97/09/1185899709.db2.gz DVKAAAHBBIMSSG-XHSDSOJGSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1cncs1 ZINC001502379172 1185976916 /nfs/dbraw/zinc/97/69/16/1185976916.db2.gz XBYGFQDLOVRWQO-UHFFFAOYSA-N 0 1 309.479 3.026 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C=C)c2ccccc2)C(C)(C)C1 ZINC001502835853 1186021956 /nfs/dbraw/zinc/02/19/56/1186021956.db2.gz CNKANEJUICUFJA-MJGOQNOKSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001502972269 1186034034 /nfs/dbraw/zinc/03/40/34/1186034034.db2.gz YBCZSWIMRVBYRT-QUCCMNQESA-N 0 1 324.468 3.364 20 30 DGEDMN Cc1ccc([C@@H]([NH2+]Cc2ccc(F)cc2C#N)C(=O)[O-])cc1C ZINC001610495199 1193393229 /nfs/dbraw/zinc/39/32/29/1193393229.db2.gz NPLPIAQZOLDABX-QGZVFWFLSA-N 0 1 312.344 3.230 20 30 DGEDMN C#CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)/C(C)=C/CC ZINC001503667847 1186049494 /nfs/dbraw/zinc/04/94/94/1186049494.db2.gz LNMCTSFIFCRARS-HVRVZBDQSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C(C)=C/CC ZINC001503667847 1186049499 /nfs/dbraw/zinc/04/94/99/1186049499.db2.gz LNMCTSFIFCRARS-HVRVZBDQSA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(C)CCCCCC1 ZINC001505434360 1186078803 /nfs/dbraw/zinc/07/88/03/1186078803.db2.gz POKVWPMHWLAHAC-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)C[C@@H]1CCCCC1(C)C ZINC001505468785 1186090813 /nfs/dbraw/zinc/09/08/13/1186090813.db2.gz FUSIYSCSWKSUFZ-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H]1CCCCC1(C)C ZINC001505468785 1186090819 /nfs/dbraw/zinc/09/08/19/1186090819.db2.gz FUSIYSCSWKSUFZ-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(c2ccccc2)CCC1 ZINC001505649509 1186118656 /nfs/dbraw/zinc/11/86/56/1186118656.db2.gz NMNPXLZBLGMTFW-LSDHHAIUSA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1ccccc1C ZINC001505697741 1186126531 /nfs/dbraw/zinc/12/65/31/1186126531.db2.gz KXVZHPJUVHYTFY-CABCVRRESA-N 0 1 308.853 3.163 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1CCC(F)(F)CC1 ZINC001505698544 1186126915 /nfs/dbraw/zinc/12/69/15/1186126915.db2.gz QXKOXBHIOLWGHW-WDEREUQCSA-N 0 1 308.800 3.047 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(Cl)c1Cl ZINC001506045303 1186188436 /nfs/dbraw/zinc/18/84/36/1186188436.db2.gz SXVSCBQGADSTJM-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)CCCC)C2)C1 ZINC001506710590 1186276950 /nfs/dbraw/zinc/27/69/50/1186276950.db2.gz OOTDFXYWLRANSH-LJQANCHMSA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CC(C)(C)CC)C2)C1 ZINC001506723351 1186278754 /nfs/dbraw/zinc/27/87/54/1186278754.db2.gz SNUUYQOOWLBTFG-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001507054981 1186351524 /nfs/dbraw/zinc/35/15/24/1186351524.db2.gz DMYLVQUXZWMYPF-OLZOCXBDSA-N 0 1 310.388 3.429 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2cccc(F)c2)[C@H]1C ZINC001507379199 1186408619 /nfs/dbraw/zinc/40/86/19/1186408619.db2.gz ZUTUMSGBCUWFAH-YJBOKZPZSA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(CC)CCCCC2)[C@@H]1C ZINC001507382530 1186410911 /nfs/dbraw/zinc/41/09/11/1186410911.db2.gz FSCRGIWBXZUIIY-IRXDYDNUSA-N 0 1 304.478 3.339 20 30 DGEDMN C/C=C(\C)C(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001507778184 1186442718 /nfs/dbraw/zinc/44/27/18/1186442718.db2.gz OGURLEJNEMJSSB-OMCISZLKSA-N 0 1 313.445 3.193 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)cc(Cl)c1)C(C)C ZINC001507819771 1186446273 /nfs/dbraw/zinc/44/62/73/1186446273.db2.gz SYJXBEXBVOGDSI-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)c1ccccc1F)C(C)C ZINC001507841523 1186448954 /nfs/dbraw/zinc/44/89/54/1186448954.db2.gz KZBDOCXJEYRTGJ-INIZCTEOSA-N 0 1 318.436 3.121 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2cccc(F)c2)CCCC1 ZINC001515837671 1186772188 /nfs/dbraw/zinc/77/21/88/1186772188.db2.gz QCPJEFNHTJWWPS-HNNXBMFYSA-N 0 1 311.360 3.247 20 30 DGEDMN N#CC(C(=O)c1cncnc1-c1ccccc1)c1cccc(F)n1 ZINC001516820717 1186792967 /nfs/dbraw/zinc/79/29/67/1186792967.db2.gz PINUZEJLYGKOLJ-ZDUSSCGKSA-N 0 1 318.311 3.168 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1ncc(F)cc1F)[C@@H]1CCCCO1 ZINC001518065748 1186831345 /nfs/dbraw/zinc/83/13/45/1186831345.db2.gz QKOZSYVMOCLXNY-AAEUAGOBSA-N 0 1 308.328 3.131 20 30 DGEDMN Cc1ccc(C(=O)Nc2ccc(F)c(-c3nc[nH]n3)c2)cc1C#N ZINC001519928647 1186903586 /nfs/dbraw/zinc/90/35/86/1186903586.db2.gz XYFVTRRPSGQLGS-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CCCc2ccc(OC)cc21 ZINC001520035276 1186911870 /nfs/dbraw/zinc/91/18/70/1186911870.db2.gz AHYVPWLRNBVLGS-NVXWUHKLSA-N 0 1 323.396 3.208 20 30 DGEDMN CN1CCN(c2cc(F)ccc2C#N)[C@@H](Cc2ccccc2)C1 ZINC001612570347 1193456065 /nfs/dbraw/zinc/45/60/65/1193456065.db2.gz QRDBIBGLZNNCTR-SFHVURJKSA-N 0 1 309.388 3.060 20 30 DGEDMN C#CCN1CCC[C@H](Nc2nc(C)nc3sc(CC)cc32)C1 ZINC001612894661 1193463424 /nfs/dbraw/zinc/46/34/24/1193463424.db2.gz VVLWVPOFIIYJSR-ZDUSSCGKSA-N 0 1 314.458 3.072 20 30 DGEDMN N#Cc1cc(N2CCC(c3ncc(C(F)(F)F)[nH]3)CC2)ccn1 ZINC001612970297 1193465151 /nfs/dbraw/zinc/46/51/51/1193465151.db2.gz XASJSFVTGYDFIG-UHFFFAOYSA-N 0 1 321.306 3.079 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC001523708297 1187181251 /nfs/dbraw/zinc/18/12/51/1187181251.db2.gz JNCWGNBSQWARHN-DOTOQJQBSA-N 0 1 312.413 3.260 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC001524338566 1187235670 /nfs/dbraw/zinc/23/56/70/1187235670.db2.gz WPPOZRGAKDJRMW-SCRDCRAPSA-N 0 1 314.437 3.033 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCOC(C)(C)C3)[nH]c21 ZINC001524671715 1187258904 /nfs/dbraw/zinc/25/89/04/1187258904.db2.gz KKHLPNRYFBVXLH-CHWSQXEVSA-N 0 1 311.385 3.253 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)c1cnc2ccccc2n1 ZINC001525468955 1187316700 /nfs/dbraw/zinc/31/67/00/1187316700.db2.gz SCAJTYMTAAFYNW-JRDWQUKZSA-N 0 1 303.365 3.098 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1)C(C)C ZINC001525609820 1187324026 /nfs/dbraw/zinc/32/40/26/1187324026.db2.gz KMWIEUISJMPCGC-RBUKOAKNSA-N 0 1 312.457 3.124 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC001529884752 1187586138 /nfs/dbraw/zinc/58/61/38/1187586138.db2.gz TUVWICWODZCLJR-WUJZJPHMSA-N 0 1 319.493 3.474 20 30 DGEDMN CCc1ccccc1CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001530626183 1187638588 /nfs/dbraw/zinc/63/85/88/1187638588.db2.gz LMQOLGBLRJCRJZ-UHFFFAOYSA-N 0 1 304.353 3.178 20 30 DGEDMN C#CCCCCCCN1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC001615850521 1193529644 /nfs/dbraw/zinc/52/96/44/1193529644.db2.gz LASKOWGMFUOZGH-UXHICEINSA-N 0 1 315.457 3.395 20 30 DGEDMN C=CC1(CC(=O)NCCCN2C[C@H](C)O[C@@H](C)C2)CCCCC1 ZINC001539827828 1188205311 /nfs/dbraw/zinc/20/53/11/1188205311.db2.gz DCBVYQGTLFNWRB-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN(C(=O)c1ccc(CN2CCCC2)o1)C1CCCCC1 ZINC001542629519 1188291660 /nfs/dbraw/zinc/29/16/60/1188291660.db2.gz REGFMUCCARXLBS-UHFFFAOYSA-N 0 1 314.429 3.284 20 30 DGEDMN Cc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc(C)c1F ZINC001543407803 1188331681 /nfs/dbraw/zinc/33/16/81/1188331681.db2.gz LUTIMCCVQQFMJO-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2csc(C#N)c2)cc1F ZINC001544952368 1188416034 /nfs/dbraw/zinc/41/60/34/1188416034.db2.gz GLQUOCKGHCRYCT-UHFFFAOYSA-N 0 1 303.362 3.073 20 30 DGEDMN C#CCN(CCOc1cccc([N+](=O)[O-])c1)Cc1ccccc1 ZINC001617791136 1193581217 /nfs/dbraw/zinc/58/12/17/1193581217.db2.gz HGGIBKFFRRGJPK-UHFFFAOYSA-N 0 1 310.353 3.109 20 30 DGEDMN C=CCCCN1CC[C@H]1CN(C)C(=O)c1scnc1C(C)C ZINC001565827727 1188980721 /nfs/dbraw/zinc/98/07/21/1188980721.db2.gz GFQLEYSCTMYISC-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)C[C@@H]1CCc2ccccc2C1 ZINC001556466178 1189001712 /nfs/dbraw/zinc/00/17/12/1189001712.db2.gz LXEORYVLSHFDCK-QGZVFWFLSA-N 0 1 314.473 3.148 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@H](Cc1ccccc1)C(C)C ZINC001556466335 1189002079 /nfs/dbraw/zinc/00/20/79/1189002079.db2.gz SQUYYQDCKCEIBI-LJQANCHMSA-N 0 1 316.489 3.468 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)c1 ZINC001557005016 1189034961 /nfs/dbraw/zinc/03/49/61/1189034961.db2.gz CCLVDVRHZPRNBW-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2cc(Cl)c3ccccc3n2)c1 ZINC001559024150 1189163869 /nfs/dbraw/zinc/16/38/69/1189163869.db2.gz VZRBIXNEJRVZSV-UHFFFAOYSA-N 0 1 323.739 3.484 20 30 DGEDMN CCc1n[nH]c(Sc2c(Br)cccc2C#N)n1 ZINC001559061448 1189167047 /nfs/dbraw/zinc/16/70/47/1189167047.db2.gz JHURTKOWMLLXNN-UHFFFAOYSA-N 0 1 309.192 3.152 20 30 DGEDMN CCc1nc(Sc2c(Br)cccc2C#N)n[nH]1 ZINC001559061448 1189167048 /nfs/dbraw/zinc/16/70/48/1189167048.db2.gz JHURTKOWMLLXNN-UHFFFAOYSA-N 0 1 309.192 3.152 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1nsc2ccccc21 ZINC001559387880 1189200725 /nfs/dbraw/zinc/20/07/25/1189200725.db2.gz NZRYUZNHZILVMH-UHFFFAOYSA-N 0 1 304.400 3.072 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1=O ZINC001559851276 1189249989 /nfs/dbraw/zinc/24/99/89/1189249989.db2.gz GAEYQISKAGBTDU-CJNGLKHVSA-N 0 1 324.346 3.202 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCCC[C@H]1c1cc(C)[nH]n1 ZINC001560173995 1189287923 /nfs/dbraw/zinc/28/79/23/1189287923.db2.gz SCQTVEVTCCDKNZ-KRWDZBQOSA-N 0 1 310.401 3.326 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(Cc1ccc3ncccc3c1)C2 ZINC001560200814 1189289987 /nfs/dbraw/zinc/28/99/87/1189289987.db2.gz NEMLTVRYYLSHIR-UHFFFAOYSA-N 0 1 320.421 3.308 20 30 DGEDMN C#CCC1(NCc2cn(-c3ccc(F)cc3)nn2)CCCCC1 ZINC001560600605 1189346720 /nfs/dbraw/zinc/34/67/20/1189346720.db2.gz SYILYCHJMYDWNU-UHFFFAOYSA-N 0 1 312.392 3.222 20 30 DGEDMN N#CCOc1cccc(CNCc2cc(O)cc(Cl)c2)c1 ZINC001657210531 1196118753 /nfs/dbraw/zinc/11/87/53/1196118753.db2.gz HHKPMOIOGQGVKR-UHFFFAOYSA-N 0 1 302.761 3.238 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C/Cl)[C@H]2C1(C)C ZINC001565992167 1189710792 /nfs/dbraw/zinc/71/07/92/1189710792.db2.gz DGEBTDHNPTWRIO-KMTBHFHHSA-N 0 1 310.869 3.310 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1sccc1C ZINC001566116786 1189735979 /nfs/dbraw/zinc/73/59/79/1189735979.db2.gz BEICMDFUXJPJSZ-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](CC)C(C)(C)C ZINC001566123549 1189741152 /nfs/dbraw/zinc/74/11/52/1189741152.db2.gz OWIOOYGPGCRUDU-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1c(C)cccc1Cl ZINC001566449009 1189773892 /nfs/dbraw/zinc/77/38/92/1189773892.db2.gz ZVDXSRUUOORWMR-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2cnc(C)s2)CCC1 ZINC001566488655 1189781134 /nfs/dbraw/zinc/78/11/34/1189781134.db2.gz VUQXCFVKIFYNTN-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H](C)C(F)(F)F ZINC001566564086 1189803579 /nfs/dbraw/zinc/80/35/79/1189803579.db2.gz SIWWWACZLPSEMM-SNVBAGLBSA-N 0 1 314.779 3.156 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(CCOCC)CCCC2)C1 ZINC001566631002 1189836929 /nfs/dbraw/zinc/83/69/29/1189836929.db2.gz FPZZWLVUXAUSIT-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@H](C)C2CC2)CC1 ZINC001566694536 1189862288 /nfs/dbraw/zinc/86/22/88/1189862288.db2.gz IXNZYCYFXFMOEP-SWLSCSKDSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(C)sc1C)C1CC1 ZINC001566716195 1189871903 /nfs/dbraw/zinc/87/19/03/1189871903.db2.gz KMHZGLWIWXGLPK-CQSZACIVSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1cccs1)C1CC1 ZINC001566724698 1189875691 /nfs/dbraw/zinc/87/56/91/1189875691.db2.gz OGVYBQFLNIXDBY-YPMHNXCESA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cc(C)[nH]c2C)C1 ZINC001566743813 1189888916 /nfs/dbraw/zinc/88/89/16/1189888916.db2.gz RJQNTJMYIQLZFG-HNNXBMFYSA-N 0 1 323.868 3.168 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)OC ZINC001566749348 1189890038 /nfs/dbraw/zinc/89/00/38/1189890038.db2.gz XOQNRHFVRHLBHN-BBWFWOEESA-N 0 1 310.482 3.057 20 30 DGEDMN CCCCC(=O)N1CC[C@H](NCC#Cc2ccc(Cl)cc2)C1 ZINC001566760139 1189893661 /nfs/dbraw/zinc/89/36/61/1189893661.db2.gz QOCMZDGSWSKITP-KRWDZBQOSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001566852393 1189922497 /nfs/dbraw/zinc/92/24/97/1189922497.db2.gz UGCUFHTWVFKGRQ-FHSNZYRGSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001566852394 1189923722 /nfs/dbraw/zinc/92/37/22/1189923722.db2.gz UGCUFHTWVFKGRQ-JCGVRSQUSA-N 0 1 322.399 3.304 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCCN1Cc1cccnc1 ZINC001566921083 1189951758 /nfs/dbraw/zinc/95/17/58/1189951758.db2.gz GLNFRLMDUJHBAT-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1cccc(F)c1 ZINC001566918846 1189951990 /nfs/dbraw/zinc/95/19/90/1189951990.db2.gz ZEMJTHFDIQJZGD-QGZVFWFLSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001566922855 1189953914 /nfs/dbraw/zinc/95/39/14/1189953914.db2.gz GBCZIUGAVSJDQS-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)cc(F)c1 ZINC001566947728 1189963673 /nfs/dbraw/zinc/96/36/73/1189963673.db2.gz FVVMEOPVIUGVRA-INIZCTEOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001567020662 1189996907 /nfs/dbraw/zinc/99/69/07/1189996907.db2.gz XEPOFQPYYKDLGQ-OAHLLOKOSA-N 0 1 303.450 3.156 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(Cl)cc1C ZINC001567050558 1190009685 /nfs/dbraw/zinc/00/96/85/1190009685.db2.gz CEDIECVBZDJAAY-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc(F)c(Cl)c2)c1 ZINC001567072398 1190019903 /nfs/dbraw/zinc/01/99/03/1190019903.db2.gz DFNJPPIRMYOFJJ-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)c1ccccc1OC ZINC001567071508 1190020595 /nfs/dbraw/zinc/02/05/95/1190020595.db2.gz RPOYQIPBXGBJDK-OCCSQVGLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CC)c1ccc(OC)cc1 ZINC001567080046 1190024400 /nfs/dbraw/zinc/02/44/00/1190024400.db2.gz HLAFVXSDUZQHDQ-CZUORRHYSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cnoc2C)CCCCC1 ZINC001567080150 1190025098 /nfs/dbraw/zinc/02/50/98/1190025098.db2.gz JRLXVALJTVGEJN-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC001567101661 1190029203 /nfs/dbraw/zinc/02/92/03/1190029203.db2.gz YQDREOBSRUULPA-INIZCTEOSA-N 0 1 318.848 3.224 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H](C)NC/C(Cl)=C/Cl ZINC001567216021 1190075659 /nfs/dbraw/zinc/07/56/59/1190075659.db2.gz LSTQFZKPRBCUJT-AWPPVZKDSA-N 0 1 307.265 3.392 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCN1Cc1ccccc1F ZINC001567361235 1190152747 /nfs/dbraw/zinc/15/27/47/1190152747.db2.gz NJHRUFLBWLUAJI-KRWDZBQOSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001567373437 1190157695 /nfs/dbraw/zinc/15/76/95/1190157695.db2.gz IAWJZPYXYQZWGZ-GOEBONIOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001567404015 1190174018 /nfs/dbraw/zinc/17/40/18/1190174018.db2.gz VZUCRFDUUBEXJK-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCN(C/C=C\Cl)C2)CCCCC1 ZINC001567421160 1190181037 /nfs/dbraw/zinc/18/10/37/1190181037.db2.gz NCBPOAUZVQMGAX-BCTQVIJGSA-N 0 1 322.880 3.151 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCN(C/C=C\Cl)C2)CCCCC1 ZINC001567421161 1190181616 /nfs/dbraw/zinc/18/16/16/1190181616.db2.gz NCBPOAUZVQMGAX-BDJZEXMMSA-N 0 1 322.880 3.151 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)Cc1c(C)cccc1Cl ZINC001567422794 1190182727 /nfs/dbraw/zinc/18/27/27/1190182727.db2.gz AYRAMMYVKFLPHX-AWEZNQCLSA-N 0 1 321.852 3.136 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc2cc(C)c(C)cc2o1)C1CC1 ZINC001567453392 1190202220 /nfs/dbraw/zinc/20/22/20/1190202220.db2.gz CGBWCMDALYFSQC-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C)c(C)c1 ZINC001567668452 1190243130 /nfs/dbraw/zinc/24/31/30/1190243130.db2.gz ZOBUXCLUTWMTKA-KDURUIRLSA-N 0 1 312.457 3.445 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(OC)c2C)C1 ZINC001567687597 1190253974 /nfs/dbraw/zinc/25/39/74/1190253974.db2.gz BTKFMJRVVLJKHB-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(C)s2)C1 ZINC001567692003 1190256952 /nfs/dbraw/zinc/25/69/52/1190256952.db2.gz ZETOFGSGTNHYAS-ZDUSSCGKSA-N 0 1 312.866 3.251 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C(C)C)C1 ZINC001567745502 1190284499 /nfs/dbraw/zinc/28/44/99/1190284499.db2.gz QYPLTCKKZYJVCX-IBGZPJMESA-N 0 1 312.457 3.159 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001567800507 1190302168 /nfs/dbraw/zinc/30/21/68/1190302168.db2.gz NMJXTQXDKAXKFE-DNVCBOLYSA-N 0 1 312.457 3.335 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)[C@H](F)c2ccccc2)C1 ZINC001567943210 1190367399 /nfs/dbraw/zinc/36/73/99/1190367399.db2.gz ZNPGJMHXPWOKMY-IAGOWNOFSA-N 0 1 304.409 3.196 20 30 DGEDMN C=CC1(CC(=O)N(CC)[C@@H]2CCN(CC#CC)C2)CCCCC1 ZINC001567942188 1190367638 /nfs/dbraw/zinc/36/76/38/1190367638.db2.gz LTDSGGLDTCLYNE-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001567944305 1190369023 /nfs/dbraw/zinc/36/90/23/1190369023.db2.gz QSIRDUCXLCCACB-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001567964047 1190378545 /nfs/dbraw/zinc/37/85/45/1190378545.db2.gz BQBINDLDXFXFGV-MELADBBJSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001567990291 1190390742 /nfs/dbraw/zinc/39/07/42/1190390742.db2.gz DLOZKYGNGPGHFD-PBHICJAKSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CCNCc2nocc2C)C1 ZINC001568058630 1190411463 /nfs/dbraw/zinc/41/14/63/1190411463.db2.gz XRWZQSDHWHDNRV-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](C)CCC)CC1 ZINC001568123752 1190428234 /nfs/dbraw/zinc/42/82/34/1190428234.db2.gz JOCNHRDCHSPFOD-ZDUSSCGKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1ccccc1C ZINC001568151878 1190435910 /nfs/dbraw/zinc/43/59/10/1190435910.db2.gz SABDQWYUUFMLIG-JKSUJKDBSA-N 0 1 320.864 3.432 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cscn2)CCCC1 ZINC001568242550 1190460294 /nfs/dbraw/zinc/46/02/94/1190460294.db2.gz FQRHWYOIDCFNDF-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CCCC)C(C)C)CC2 ZINC001568253978 1190462937 /nfs/dbraw/zinc/46/29/37/1190462937.db2.gz VTQJRIVKTRITHU-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001568291084 1190475407 /nfs/dbraw/zinc/47/54/07/1190475407.db2.gz ZZLHULKCXKRPBV-NSHDSACASA-N 0 1 323.799 3.110 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1F ZINC001568294167 1190475908 /nfs/dbraw/zinc/47/59/08/1190475908.db2.gz BSJRQHBGQBKCPK-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2c(c1)CCCC2 ZINC001568315256 1190486763 /nfs/dbraw/zinc/48/67/63/1190486763.db2.gz BRNUXVIJQDEPMY-AWEZNQCLSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2cc[nH]c2C)CC1 ZINC001568436285 1190519772 /nfs/dbraw/zinc/51/97/72/1190519772.db2.gz IESPVMKLUUMRJC-UHFFFAOYSA-N 0 1 323.868 3.250 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@@H](C)C(C)(C)C ZINC001568753302 1190585461 /nfs/dbraw/zinc/58/54/61/1190585461.db2.gz CFAQAYDYHHDNPW-QGZVFWFLSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)c1cccc(C)c1 ZINC001568779234 1190588218 /nfs/dbraw/zinc/58/82/18/1190588218.db2.gz RHXJLOCDEPMRAC-OAHLLOKOSA-N 0 1 308.853 3.289 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](CC)CC(F)(F)F ZINC001568791599 1190589490 /nfs/dbraw/zinc/58/94/90/1190589490.db2.gz NPSHBJDTWCSOQR-NSHDSACASA-N 0 1 314.779 3.156 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1c(C)cccc1Cl ZINC001568825730 1190592182 /nfs/dbraw/zinc/59/21/82/1190592182.db2.gz OTTJSZTZNUWGQL-AATRIKPKSA-N 0 1 306.837 3.377 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001568913915 1190599416 /nfs/dbraw/zinc/59/94/16/1190599416.db2.gz NQBWGXUZPKWGHK-IHHMQQGQSA-N 0 1 318.436 3.498 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001568996809 1190606319 /nfs/dbraw/zinc/60/63/19/1190606319.db2.gz UAHLKNVRZURSKB-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001569027873 1190610285 /nfs/dbraw/zinc/61/02/85/1190610285.db2.gz XYNDYORHZHVRBG-UKRRQHHQSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1C1CCC1 ZINC001569094062 1190620437 /nfs/dbraw/zinc/62/04/37/1190620437.db2.gz CEEIZULBKVYXDU-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC1CCC(C)(C)CC1 ZINC001569101044 1190621691 /nfs/dbraw/zinc/62/16/91/1190621691.db2.gz OZNKXQIKUMLMKW-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001569134776 1190635644 /nfs/dbraw/zinc/63/56/44/1190635644.db2.gz KPPSDIAZZSIZTJ-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001569313436 1190663845 /nfs/dbraw/zinc/66/38/45/1190663845.db2.gz YCZWTQQQFCLLDM-FZKCQIBNSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1csc(Cl)c1)C1CC1 ZINC001569321409 1190666271 /nfs/dbraw/zinc/66/62/71/1190666271.db2.gz OIHDLDIQYZOSGF-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1CC ZINC001569353503 1190673789 /nfs/dbraw/zinc/67/37/89/1190673789.db2.gz FMWJKAZKDVBEGC-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001569366643 1190678572 /nfs/dbraw/zinc/67/85/72/1190678572.db2.gz SDKZCNDYHJIDTD-QGZVFWFLSA-N 0 1 317.477 3.473 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001569368150 1190678616 /nfs/dbraw/zinc/67/86/16/1190678616.db2.gz VPECTMROWYUOSN-CQSZACIVSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001569368207 1190678907 /nfs/dbraw/zinc/67/89/07/1190678907.db2.gz WRHLEDKXNJBRHE-GOSISDBHSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001569370148 1190680214 /nfs/dbraw/zinc/68/02/14/1190680214.db2.gz MNVOVOUZGIASAL-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN CC#CCCCC(=O)NCC1(NCc2ccccc2Cl)CC1 ZINC001569414312 1190693236 /nfs/dbraw/zinc/69/32/36/1190693236.db2.gz JYGUAOMSYRLHGJ-UHFFFAOYSA-N 0 1 318.848 3.272 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)c2ccc(C)cc2)CC1 ZINC001569426213 1190696241 /nfs/dbraw/zinc/69/62/41/1190696241.db2.gz JBFXDJRDHPLBHI-UHFFFAOYSA-N 0 1 320.864 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001569459616 1190702049 /nfs/dbraw/zinc/70/20/49/1190702049.db2.gz LTMKSMKQKRPNGR-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)C#CC(=O)N(C)C1CN(CC[C@@H]2CCC[C@@H](C)C2)C1 ZINC001569488917 1190707614 /nfs/dbraw/zinc/70/76/14/1190707614.db2.gz BDZJOZJWHFWBNI-SJORKVTESA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(OC)c(C)c2)C1 ZINC001569502657 1190709448 /nfs/dbraw/zinc/70/94/48/1190709448.db2.gz LRRSGVVFOLEGEY-OAHLLOKOSA-N 0 1 316.445 3.115 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc2ccsc2[nH]1 ZINC001569550298 1190715721 /nfs/dbraw/zinc/71/57/21/1190715721.db2.gz VNVXIZIPDWURQE-LBPRGKRZSA-N 0 1 323.849 3.176 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc2ccccc2o1 ZINC001569563238 1190717229 /nfs/dbraw/zinc/71/72/29/1190717229.db2.gz MQUJMPNMXJKAGX-CQSZACIVSA-N 0 1 318.804 3.380 20 30 DGEDMN C#CCN(C(=O)[C@H](C)C1CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001569589094 1190720231 /nfs/dbraw/zinc/72/02/31/1190720231.db2.gz ZBFYJBCHWPCSIV-WBVHZDCISA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)/C(C)=C/CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569584034 1190720319 /nfs/dbraw/zinc/72/03/19/1190720319.db2.gz DZTACTUDBUCXRO-WPBGYSLVSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCCC(=O)N1CC[C@@](C)(CNCc2ncc(C(C)C)o2)C1 ZINC001569662301 1190737179 /nfs/dbraw/zinc/73/71/79/1190737179.db2.gz QZHNBDATCQVRKR-SFHVURJKSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CN(CC=C(C)C)CCC1(F)F ZINC001569738833 1190753128 /nfs/dbraw/zinc/75/31/28/1190753128.db2.gz JKXBWGKXSXBRBZ-HNNXBMFYSA-N 0 1 314.420 3.382 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc3cc[nH]c32)CCC1 ZINC001570119452 1190800260 /nfs/dbraw/zinc/80/02/60/1190800260.db2.gz ZIGBBVJRHZKQOA-UHFFFAOYSA-N 0 1 317.820 3.163 20 30 DGEDMN CC(C)[C@H](C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001570211919 1190822302 /nfs/dbraw/zinc/82/23/02/1190822302.db2.gz MIVBFFXVZVCFEQ-AWEZNQCLSA-N 0 1 321.490 3.192 20 30 DGEDMN C=CCCCN1Cc2ccccc2C[C@H]1CNC(=O)c1ccco1 ZINC001570267667 1190833654 /nfs/dbraw/zinc/83/36/54/1190833654.db2.gz XYNURGPQIOOCMZ-SFHVURJKSA-N 0 1 324.424 3.403 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCc2ccccc2)C[C@@H]1C ZINC001570351107 1190841737 /nfs/dbraw/zinc/84/17/37/1190841737.db2.gz ALYRDQDILMKYHK-YOEHRIQHSA-N 0 1 320.864 3.198 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001570399888 1190853411 /nfs/dbraw/zinc/85/34/11/1190853411.db2.gz MQQLBPNUIHNDFP-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1cccc(F)c1Cl ZINC001570540027 1190880904 /nfs/dbraw/zinc/88/09/04/1190880904.db2.gz JDBQWXXJKMKVAG-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(F)c1Cl ZINC001570540027 1190880909 /nfs/dbraw/zinc/88/09/09/1190880909.db2.gz JDBQWXXJKMKVAG-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)CCCc1ccc(C)cc1 ZINC001570540125 1190881599 /nfs/dbraw/zinc/88/15/99/1190881599.db2.gz KTKCPDGHYURBLS-LJQANCHMSA-N 0 1 314.473 3.427 20 30 DGEDMN C[C@@H](NC(=O)CC(C)(C)C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001570589908 1190895165 /nfs/dbraw/zinc/89/51/65/1190895165.db2.gz KZAKMTTXHYYVFF-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001570591325 1190895689 /nfs/dbraw/zinc/89/56/89/1190895689.db2.gz XLPVDTNZKNLVMK-HUUCEWRRSA-N 0 1 301.434 3.121 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001570628844 1190905101 /nfs/dbraw/zinc/90/51/01/1190905101.db2.gz XAEPBICMHJXGGN-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(Cl)cc1C ZINC001570631757 1190906629 /nfs/dbraw/zinc/90/66/29/1190906629.db2.gz YXZRQBKDMQBWDZ-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1oc(CC)nc1C ZINC001570636933 1190907658 /nfs/dbraw/zinc/90/76/58/1190907658.db2.gz PBBGUYINTLPWEF-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2cc[nH]c2c1 ZINC001570637790 1190907677 /nfs/dbraw/zinc/90/76/77/1190907677.db2.gz ZZJKUZXOELRSLO-NEPJUHHUSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1cc(C)cc(C)c1 ZINC001570640563 1190907945 /nfs/dbraw/zinc/90/79/45/1190907945.db2.gz CQLZDPHVNVQHFX-JKSUJKDBSA-N 0 1 322.880 3.471 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001570713124 1190927152 /nfs/dbraw/zinc/92/71/52/1190927152.db2.gz ZPIKSWKTSKMVLL-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@H](CC)CCCC)C2)C1 ZINC001570882356 1190969237 /nfs/dbraw/zinc/96/92/37/1190969237.db2.gz RJYZAIOSPROONA-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CC[C@@H](C)CC)C2)C1 ZINC001570886875 1190970372 /nfs/dbraw/zinc/97/03/72/1190970372.db2.gz QLHQHLGACKSKMJ-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC(C2CCC2)C2CCC2)C(C)(C)C1 ZINC001570905773 1190974105 /nfs/dbraw/zinc/97/41/05/1190974105.db2.gz ZUXYWRHPKSOJPU-GOSISDBHSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)c1ccsc1 ZINC001571405047 1191016633 /nfs/dbraw/zinc/01/66/33/1191016633.db2.gz YGDVUPMBVZQTTD-RYUDHWBXSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001571413105 1191018867 /nfs/dbraw/zinc/01/88/67/1191018867.db2.gz MKBJEGLTOFBCMK-AWEZNQCLSA-N 0 1 318.848 3.449 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)Nc1ccc(-c2cn[nH]n2)cc1Cl ZINC001574850134 1191453729 /nfs/dbraw/zinc/45/37/29/1191453729.db2.gz NITMJDNJPGKDNE-NSHDSACASA-N 0 1 317.780 3.049 20 30 DGEDMN C=CC[C@@]1(C(=O)OCc2ccc(C(C)(C)C)cn2)CCCN1 ZINC001574897519 1191456712 /nfs/dbraw/zinc/45/67/12/1191456712.db2.gz PZTXKXRNNZCWSB-SFHVURJKSA-N 0 1 302.418 3.121 20 30 DGEDMN C=CC[C@@]1(C(=O)OCc2ccc(OCCC)cc2)CCCN1 ZINC001574897819 1191457079 /nfs/dbraw/zinc/45/70/79/1191457079.db2.gz YEXZFJVCCRAFBX-SFHVURJKSA-N 0 1 303.402 3.217 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@@](C)(N)c3ccccc3)cc2n1 ZINC001574959473 1191459865 /nfs/dbraw/zinc/45/98/65/1191459865.db2.gz ADLRTOQNIDFWAQ-IBGZPJMESA-N 0 1 320.396 3.035 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1cc(C(C)(C)C)cc2cccnc21 ZINC001574971117 1191460941 /nfs/dbraw/zinc/46/09/41/1191460941.db2.gz AXSZNZPVBBYTKJ-HNNXBMFYSA-N 0 1 309.413 3.212 20 30 DGEDMN C=C(C)c1cccc(NC(=O)c2cncc(-c3cn[nH]n3)c2)c1 ZINC001575054330 1191464167 /nfs/dbraw/zinc/46/41/67/1191464167.db2.gz RKNQBVBQJPOYGP-UHFFFAOYSA-N 0 1 305.341 3.152 20 30 DGEDMN C=C(CC)C(=O)N(Cc1c[nH]nn1)[C@H]1CCCc2ccccc21 ZINC001575053866 1191464472 /nfs/dbraw/zinc/46/44/72/1191464472.db2.gz LQDLZDCVKOVTRN-KRWDZBQOSA-N 0 1 310.401 3.177 20 30 DGEDMN CC(C)(C#N)CCN1CCOC[C@H]1C[C@@H]1CNc2ccccc21 ZINC001575083634 1191465335 /nfs/dbraw/zinc/46/53/35/1191465335.db2.gz ZWGBQOKFAQZMEH-HZPDHXFCSA-N 0 1 313.445 3.226 20 30 DGEDMN COC[C@H](C)N(Cc1cc(C#N)ccn1)[C@@H]1CCc2ccccc21 ZINC001620472251 1193771252 /nfs/dbraw/zinc/77/12/52/1193771252.db2.gz MSKDPCHNBDSLMW-MGPUTAFESA-N 0 1 321.424 3.478 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2ccc(O)c(Cl)c2)cc1 ZINC000036984999 1193924206 /nfs/dbraw/zinc/92/42/06/1193924206.db2.gz DIVBIEZDVTUZKT-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN N#CCc1ccc(NC(=O)c2c(O)cnc3c(F)cccc32)cc1 ZINC001623316232 1193927410 /nfs/dbraw/zinc/92/74/10/1193927410.db2.gz QAQZVDHCTQZIRK-UHFFFAOYSA-N 0 1 321.311 3.398 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4c(o3)CCCC4)[nH]c2c1 ZINC001623608805 1193940839 /nfs/dbraw/zinc/94/08/39/1193940839.db2.gz COQNLCCYMPIEJI-UHFFFAOYSA-N 0 1 306.325 3.159 20 30 DGEDMN C[C@@H]1[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)[C@H]1c1ccccc1 ZINC001623604690 1193941006 /nfs/dbraw/zinc/94/10/06/1193941006.db2.gz LUUQSDXCCRFOSY-YMRXKLBXSA-N 0 1 316.364 3.423 20 30 DGEDMN Cc1ccc(C(=O)/C=C/C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC001623746549 1193954255 /nfs/dbraw/zinc/95/42/55/1193954255.db2.gz VJCZQOMFRUZICX-VAWYXSNFSA-N 0 1 322.408 3.434 20 30 DGEDMN C[C@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccc(C#N)cc1 ZINC001625332003 1194044566 /nfs/dbraw/zinc/04/45/66/1194044566.db2.gz JNLUSKZLSXNWSX-JTQLQIEISA-N 0 1 300.745 3.408 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC001625512818 1194055536 /nfs/dbraw/zinc/05/55/36/1194055536.db2.gz PKOZNULSBZNYCZ-UHFFFAOYSA-N 0 1 316.361 3.193 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@@H]2c2c(F)cccc2F)c1 ZINC001631983183 1194365230 /nfs/dbraw/zinc/36/52/30/1194365230.db2.gz OPXBRVRQUFFHEC-QWHCGFSZSA-N 0 1 314.291 3.050 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538482 1194441932 /nfs/dbraw/zinc/44/19/32/1194441932.db2.gz SDPIWQCQHFEAQF-APPDUMDISA-N 0 1 301.390 3.351 20 30 DGEDMN CN(CC(=O)NC1(C#N)CCC(C(C)(C)C)CC1)C1CCC1 ZINC001633547933 1194442490 /nfs/dbraw/zinc/44/24/90/1194442490.db2.gz XMGZNMWBVPWWQG-UHFFFAOYSA-N 0 1 305.466 3.086 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2c(C)cc(C)cc2C)C1 ZINC001671167207 1194542330 /nfs/dbraw/zinc/54/23/30/1194542330.db2.gz HATZXZCXNHCCBM-UHFFFAOYSA-N 0 1 314.473 3.263 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2cc3ccccc3c(Cl)n2)c1 ZINC001635506226 1194555525 /nfs/dbraw/zinc/55/55/25/1194555525.db2.gz DTQDBDMWOZEPCF-UHFFFAOYSA-N 0 1 323.739 3.484 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001636255073 1194603106 /nfs/dbraw/zinc/60/31/06/1194603106.db2.gz CQPDOXVOJUIODG-UHFFFAOYSA-N 0 1 322.393 3.282 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001671179708 1194683283 /nfs/dbraw/zinc/68/32/83/1194683283.db2.gz UDTDZMPUOSNWMM-CABCVRRESA-N 0 1 318.436 3.427 20 30 DGEDMN Cc1c(C(=O)N(O)CCCCc2ccccc2)ccc2cncn21 ZINC001639058677 1194749042 /nfs/dbraw/zinc/74/90/42/1194749042.db2.gz QJBHEVRSUGPGFS-UHFFFAOYSA-N 0 1 323.396 3.497 20 30 DGEDMN CC1(C)CCC(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001639135713 1194752472 /nfs/dbraw/zinc/75/24/72/1194752472.db2.gz PKEBKKJWEJZJJS-UHFFFAOYSA-N 0 1 323.400 3.498 20 30 DGEDMN N#Cc1ccc(F)cc1Nc1cccc(N2CCC[NH+]=C2[O-])c1 ZINC001639555973 1194771279 /nfs/dbraw/zinc/77/12/79/1194771279.db2.gz XKKNZVKTVYFVHS-UHFFFAOYSA-N 0 1 310.332 3.361 20 30 DGEDMN N#Cc1cc([N+](=O)[O-])c(NCc2ccc3nc[nH]c3c2)cc1F ZINC001640154745 1194799408 /nfs/dbraw/zinc/79/94/08/1194799408.db2.gz JJRXPESFKDIYBF-UHFFFAOYSA-N 0 1 311.276 3.094 20 30 DGEDMN CN1CCN(c2nc(C(C)(C)C)ccc2C#N)C[C@H]1C(C)(C)C ZINC001640329303 1194806759 /nfs/dbraw/zinc/80/67/59/1194806759.db2.gz COBXOYIVRJRMLF-INIZCTEOSA-N 0 1 314.477 3.417 20 30 DGEDMN CN1CCN(c2nc(C(C)(C)C)ccc2C#N)C[C@@H]1C(C)(C)C ZINC001640329304 1194806871 /nfs/dbraw/zinc/80/68/71/1194806871.db2.gz COBXOYIVRJRMLF-MRXNPFEDSA-N 0 1 314.477 3.417 20 30 DGEDMN N#Cc1ccc(CCSc2nc(-c3ccccc3O)n[nH]2)cc1 ZINC001640750467 1194826902 /nfs/dbraw/zinc/82/69/02/1194826902.db2.gz PFTARZHSVCZUDI-UHFFFAOYSA-N 0 1 322.393 3.384 20 30 DGEDMN C#CCCCCCCN1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC001641511549 1194857625 /nfs/dbraw/zinc/85/76/25/1194857625.db2.gz KZUWTZXUEVTBIE-INIZCTEOSA-N 0 1 317.429 3.137 20 30 DGEDMN C[C@@H](NC(=O)c1coc(C#N)c1)c1nc2ccc(Cl)cc2[nH]1 ZINC001641510265 1194857887 /nfs/dbraw/zinc/85/78/87/1194857887.db2.gz FLDCIQSJFZZDJW-MRVPVSSYSA-N 0 1 314.732 3.172 20 30 DGEDMN C[C@@H]1c2c(F)cccc2CCN1C[C@@H](O)c1cccc(C#N)c1 ZINC001641851752 1194875564 /nfs/dbraw/zinc/87/55/64/1194875564.db2.gz MTSRUZRCWUHWGZ-FZKQIMNGSA-N 0 1 310.372 3.350 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cncc3ccccc32)C1 ZINC001671202466 1194892350 /nfs/dbraw/zinc/89/23/50/1194892350.db2.gz VPWCFLMOLXUNFN-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(c2ccncc2C#N)CC1 ZINC001642325826 1194901817 /nfs/dbraw/zinc/90/18/17/1194901817.db2.gz MOAVPYBXVNPXGF-SFHVURJKSA-N 0 1 306.413 3.227 20 30 DGEDMN N#Cc1ccnc(CN[C@@H](c2ccccc2)C2(CO)CC=CC2)c1 ZINC001643550220 1195034595 /nfs/dbraw/zinc/03/45/95/1195034595.db2.gz JURHCKOWBRDVKB-IBGZPJMESA-N 0 1 319.408 3.113 20 30 DGEDMN N#CCC1(CN2CCC[C@@H](Oc3ccc(C4CC4)cn3)C2)CC1 ZINC001644015618 1195071718 /nfs/dbraw/zinc/07/17/18/1195071718.db2.gz VPQRWLBPIXUTRE-QGZVFWFLSA-N 0 1 311.429 3.496 20 30 DGEDMN Cc1nccc2c(NC(=O)c3ccc(C#N)c(O)c3)cccc12 ZINC001644446269 1195109609 /nfs/dbraw/zinc/10/96/09/1195109609.db2.gz WKZHWCWJDYPDIR-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C[C@H]1CN(Cc2ccc(-c3ccccc3C#N)cc2)CC[N@@H+]1C ZINC001645163215 1195163012 /nfs/dbraw/zinc/16/30/12/1195163012.db2.gz LFPLOWUISRTQJV-INIZCTEOSA-N 0 1 305.425 3.361 20 30 DGEDMN CSc1ccc(CNC(=O)c2n[nH]c3ccccc32)cc1C#N ZINC001645402665 1195181591 /nfs/dbraw/zinc/18/15/91/1195181591.db2.gz QHYPCEFRYIJARN-UHFFFAOYSA-N 0 1 322.393 3.086 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)C(F)(F)F)C2(CCCCC2)C1 ZINC001646515839 1195232314 /nfs/dbraw/zinc/23/23/14/1195232314.db2.gz KKESPTYNLTURTO-CYBMUJFWSA-N 0 1 318.383 3.360 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2cccc(C#N)c2[N+](=O)[O-])C1 ZINC001650942464 1195397457 /nfs/dbraw/zinc/39/74/57/1195397457.db2.gz JLEKZGNGUREYME-INIZCTEOSA-N 0 1 308.341 3.065 20 30 DGEDMN CCc1cc(CNc2ccc(C#N)c(Br)c2F)n[nH]1 ZINC001651511342 1195474329 /nfs/dbraw/zinc/47/43/29/1195474329.db2.gz KQFSDTMUWZLZCI-UHFFFAOYSA-N 0 1 323.169 3.357 20 30 DGEDMN N#CC1(CNCc2cccc(-c3ccccn3)c2)CCOCC1 ZINC001651673172 1195494476 /nfs/dbraw/zinc/49/44/76/1195494476.db2.gz KQMHIRKDQCEOCF-UHFFFAOYSA-N 0 1 307.397 3.159 20 30 DGEDMN C[C@@H](C#N)CNC[C@@H](CCC(C)(C)C)NC(=O)OC(C)(C)C ZINC001652170424 1195558023 /nfs/dbraw/zinc/55/80/23/1195558023.db2.gz ZGRVSHPKKFUDFE-UONOGXRCSA-N 0 1 311.470 3.455 20 30 DGEDMN C#CCN(CC#CC)CCCCCCCNC(=O)OC(C)(C)C ZINC001652583238 1195621648 /nfs/dbraw/zinc/62/16/48/1195621648.db2.gz SJKDHLUMLLNBLN-UHFFFAOYSA-N 0 1 320.477 3.420 20 30 DGEDMN C=CCCCCN1CCN(C(=O)OCc2ccccc2)CC1 ZINC001653820937 1195752457 /nfs/dbraw/zinc/75/24/57/1195752457.db2.gz IUNIKXXBHSPQRC-UHFFFAOYSA-N 0 1 302.418 3.297 20 30 DGEDMN CCCN(CCc1ccc(C#N)cc1)[C@@H](CC)C(=O)OCC ZINC001654133824 1195777156 /nfs/dbraw/zinc/77/71/56/1195777156.db2.gz RBAIWPDGBNOQQF-KRWDZBQOSA-N 0 1 302.418 3.154 20 30 DGEDMN C=C(C)CN(CCCC(=O)OC(C)(C)C)Cc1ccc(CO)o1 ZINC001654421358 1195802270 /nfs/dbraw/zinc/80/22/70/1195802270.db2.gz HMTBFCQQDGZOSB-UHFFFAOYSA-N 0 1 323.433 3.272 20 30 DGEDMN C#CCN(CCn1ccc2cc(Cl)ccc21)C1CSC1 ZINC001655021512 1195866153 /nfs/dbraw/zinc/86/61/53/1195866153.db2.gz STZGXJJQPSEUTQ-UHFFFAOYSA-N 0 1 304.846 3.345 20 30 DGEDMN CCCCCCCN1Cc2cccnc2N2C[C@H](OC)C[C@@H]2C1 ZINC001655317915 1195903206 /nfs/dbraw/zinc/90/32/06/1195903206.db2.gz LJHSUEMQCIBVPH-QZTJIDSGSA-N 0 1 317.477 3.461 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1F ZINC001656097238 1196000627 /nfs/dbraw/zinc/00/06/27/1196000627.db2.gz IUQLSBDGURPZBV-CABCVRRESA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1cccc(F)c1F ZINC001656112859 1196004955 /nfs/dbraw/zinc/00/49/55/1196004955.db2.gz LNRSEPBIKZWRIV-OAHLLOKOSA-N 0 1 322.399 3.006 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001656113254 1196005752 /nfs/dbraw/zinc/00/57/52/1196005752.db2.gz UHYKCEROMTUYDW-PKOBYXMFSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001656153779 1196010519 /nfs/dbraw/zinc/01/05/19/1196010519.db2.gz LPXUWMVVPIRJPQ-GTCDUDFRSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001656153782 1196010789 /nfs/dbraw/zinc/01/07/89/1196010789.db2.gz LPXUWMVVPIRJPQ-OBVFRDKPSA-N 0 1 319.276 3.392 20 30 DGEDMN N#Cc1ccc(CCSc2nc(-c3cccnc3)n[nH]2)cc1 ZINC001656237111 1196022101 /nfs/dbraw/zinc/02/21/01/1196022101.db2.gz LVXKODONUDZMLE-UHFFFAOYSA-N 0 1 307.382 3.073 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C(=O)OC)[C@H](C)CC ZINC001656984945 1196089920 /nfs/dbraw/zinc/08/99/20/1196089920.db2.gz RQGYDJFQYZIJPK-RHSMWYFYSA-N 0 1 302.418 3.358 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1csc(Cl)c1 ZINC001657076176 1196100675 /nfs/dbraw/zinc/10/06/75/1196100675.db2.gz QJQKVFZUJWBYNT-AWEZNQCLSA-N 0 1 324.877 3.351 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](C)CC(C)C ZINC001657079287 1196101899 /nfs/dbraw/zinc/10/18/99/1196101899.db2.gz BSNAHHBJIVOYOU-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(-c2ccccn2)n[nH]1 ZINC001657541119 1196152957 /nfs/dbraw/zinc/15/29/57/1196152957.db2.gz IRODSGYSQYJYFD-UHFFFAOYSA-N 0 1 308.385 3.295 20 30 DGEDMN C=CCCCCN1CCN(C(=O)Cc2ccccc2Cl)CC1 ZINC001657759574 1196175452 /nfs/dbraw/zinc/17/54/52/1196175452.db2.gz GSJVOATUEZZTEA-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN CCCc1c(NCc2cnc[nH]2)cnn1-c1cccc(C#N)c1 ZINC001657814912 1196180650 /nfs/dbraw/zinc/18/06/50/1196180650.db2.gz PBLSASMXZSZCIJ-UHFFFAOYSA-N 0 1 306.373 3.032 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(C(C)(C)C)c1)[C@H]1CCCO1 ZINC001657822246 1196182113 /nfs/dbraw/zinc/18/21/13/1196182113.db2.gz BVPXTQKUBYYJCR-FUHWJXTLSA-N 0 1 301.430 3.263 20 30 DGEDMN Cc1cc(CNc2nc3cc4c(cc3[nH]2)OCO4)ccc1C#N ZINC001657907305 1196192296 /nfs/dbraw/zinc/19/22/96/1196192296.db2.gz YYWFVFCEFZFRDE-UHFFFAOYSA-N 0 1 306.325 3.084 20 30 DGEDMN Cc1ccc(-c2nn(C)cc2CNCc2ccc(C#N)s2)o1 ZINC001658150307 1196218636 /nfs/dbraw/zinc/21/86/36/1196218636.db2.gz TUFYQILGFZIWPE-UHFFFAOYSA-N 0 1 312.398 3.212 20 30 DGEDMN Cc1ccc(-c2nn(C)cc2CNCc2ccc(C#N)c(F)c2)o1 ZINC001658352240 1196246188 /nfs/dbraw/zinc/24/61/88/1196246188.db2.gz YXDQFKNORCHQRA-UHFFFAOYSA-N 0 1 324.359 3.289 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@](O)(C2CC2)C1 ZINC001658530014 1196269146 /nfs/dbraw/zinc/26/91/46/1196269146.db2.gz POIMLACJYGZMRP-GOSISDBHSA-N 0 1 319.832 3.089 20 30 DGEDMN C[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1cccc(C#N)c1 ZINC001658596890 1196277639 /nfs/dbraw/zinc/27/76/39/1196277639.db2.gz RKYSKNGUUFHKOJ-CYBMUJFWSA-N 0 1 303.369 3.194 20 30 DGEDMN CN(Cc1ccccc1)C1CN(Cc2cccc(F)c2C#N)C1 ZINC001659405908 1196379886 /nfs/dbraw/zinc/37/98/86/1196379886.db2.gz HTYFUCKOQQWRPB-UHFFFAOYSA-N 0 1 309.388 3.014 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1ccc2oc(=O)oc2c1 ZINC001659503971 1196389588 /nfs/dbraw/zinc/38/95/88/1196389588.db2.gz SSXFENBNUOVCMK-GFCCVEGCSA-N 0 1 308.337 3.451 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1cnc(C)o1 ZINC001660448468 1196485514 /nfs/dbraw/zinc/48/55/14/1196485514.db2.gz YSSNKKSOLUINIM-RHSMWYFYSA-N 0 1 319.449 3.199 20 30 DGEDMN N#Cc1c(N)sc2c1CCN([C@@H]1CCc3c1cccc3F)C2 ZINC001660489347 1196490093 /nfs/dbraw/zinc/49/00/93/1196490093.db2.gz GMDPODKESIIUAW-OAHLLOKOSA-N 0 1 313.401 3.387 20 30 DGEDMN CC(C)=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC#Cc1ccccc1 ZINC001661279901 1196591410 /nfs/dbraw/zinc/59/14/10/1196591410.db2.gz GMUBNLZCMDAMAE-BGYRXZFFSA-N 0 1 322.452 3.070 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001661477747 1196610038 /nfs/dbraw/zinc/61/00/38/1196610038.db2.gz IJKCDGNXSMQLDU-HDJSIYSDSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1(F)CCCCC1 ZINC001661960125 1196666003 /nfs/dbraw/zinc/66/60/03/1196666003.db2.gz ZAPDGRZWWQZWQB-AWEZNQCLSA-N 0 1 316.848 3.382 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC(=C)Cl)C1 ZINC001661988570 1196671588 /nfs/dbraw/zinc/67/15/88/1196671588.db2.gz ADGOLAIZDOSNCT-JKSUJKDBSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001662126177 1196683951 /nfs/dbraw/zinc/68/39/51/1196683951.db2.gz IBEXKGNYHRWFKI-JMLCCBQJSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001662235259 1196697690 /nfs/dbraw/zinc/69/76/90/1196697690.db2.gz PEQSCXNUBQTCHG-BLLLJJGKSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001662350926 1196711317 /nfs/dbraw/zinc/71/13/17/1196711317.db2.gz PBJXJQYYICWMIO-ZBFHGGJFSA-N 0 1 308.853 3.102 20 30 DGEDMN C#CCOc1ccc(CNCc2cc(F)c(F)c(F)c2)cc1 ZINC001662487874 1196725786 /nfs/dbraw/zinc/72/57/86/1196725786.db2.gz GBZOLWGXRZNHGA-UHFFFAOYSA-N 0 1 305.299 3.406 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1cc(C)ccc1OC ZINC001663476628 1196881407 /nfs/dbraw/zinc/88/14/07/1196881407.db2.gz YRYSWSZLFHMCOA-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1cc(C)ccc1OC ZINC001663476627 1196881723 /nfs/dbraw/zinc/88/17/23/1196881723.db2.gz YRYSWSZLFHMCOA-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001663502313 1196888163 /nfs/dbraw/zinc/88/81/63/1196888163.db2.gz BXWAFJOBRBVVKO-LJQANCHMSA-N 0 1 324.468 3.003 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCN1Cc1cccc(F)c1 ZINC001663518460 1196893183 /nfs/dbraw/zinc/89/31/83/1196893183.db2.gz HMRKNYFIQHKJKD-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)Cc1cccc(Cl)c1 ZINC001663554317 1196903414 /nfs/dbraw/zinc/90/34/14/1196903414.db2.gz ZXTMSPVVFOQCSH-RHSMWYFYSA-N 0 1 320.864 3.285 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@H](NC(=O)c3ccccc3)C2)cc1 ZINC001663776667 1196945027 /nfs/dbraw/zinc/94/50/27/1196945027.db2.gz TXAPOMREAUHMJQ-FQEVSTJZSA-N 0 1 318.420 3.062 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cc(OC)ccc1Cl ZINC001664116319 1196972709 /nfs/dbraw/zinc/97/27/09/1196972709.db2.gz TXFDPFISZBQPNF-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](NCc1ccccc1)c1ccccc1 ZINC001664577767 1197016169 /nfs/dbraw/zinc/01/61/69/1197016169.db2.gz DTAREBSGRNADMF-FQEVSTJZSA-N 0 1 320.436 3.293 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1c(C)oc(C)c1C)c1ccccc1 ZINC001664583114 1197016864 /nfs/dbraw/zinc/01/68/64/1197016864.db2.gz GUJYRCQYSLREJF-GOSISDBHSA-N 0 1 324.424 3.289 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(C)cs1)c1ccccc1 ZINC001664578713 1197016955 /nfs/dbraw/zinc/01/69/55/1197016955.db2.gz SOKUZDZBTQZFQJ-INIZCTEOSA-N 0 1 312.438 3.141 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cc[nH]c2C(C)C)C1 ZINC001664677805 1197032595 /nfs/dbraw/zinc/03/25/95/1197032595.db2.gz HTQCPMWPEBVLPP-INIZCTEOSA-N 0 1 315.461 3.088 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001664679037 1197033738 /nfs/dbraw/zinc/03/37/38/1197033738.db2.gz SMNMFHHHGFDMQU-GOSISDBHSA-N 0 1 323.440 3.118 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001664680110 1197033771 /nfs/dbraw/zinc/03/37/71/1197033771.db2.gz ZSXDUXIAOHZAGG-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)c2cccc3ccccc32)C1 ZINC001664687355 1197036297 /nfs/dbraw/zinc/03/62/97/1197036297.db2.gz SBEHJAHCQQGFFL-GOSISDBHSA-N 0 1 320.436 3.400 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(F)cc2C)C1 ZINC001664853801 1197069609 /nfs/dbraw/zinc/06/96/09/1197069609.db2.gz KHIZXTXBBXNQGS-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(C/C=C/c3cccc(F)c3)C2)nc1 ZINC001664867564 1197072299 /nfs/dbraw/zinc/07/22/99/1197072299.db2.gz FDKSGABJIVMRKK-BWDYHRDRSA-N 0 1 323.371 3.259 20 30 DGEDMN C#CCCCCCC(=O)N1CCC(CN(C)CC(=C)Cl)CC1 ZINC001664884431 1197075896 /nfs/dbraw/zinc/07/58/96/1197075896.db2.gz LZTOIOMWFUILSI-UHFFFAOYSA-N 0 1 324.896 3.493 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CCCCC(F)(F)F)C1 ZINC001665570234 1197153120 /nfs/dbraw/zinc/15/31/20/1197153120.db2.gz ZSGLJKSRZZMGBG-CYBMUJFWSA-N 0 1 306.372 3.266 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001665616692 1197159499 /nfs/dbraw/zinc/15/94/99/1197159499.db2.gz HQFJZSXWKNHDEO-QGZVFWFLSA-N 0 1 316.445 3.003 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)CC(C)(C)C2CCCCC2)C1 ZINC001665677368 1197170269 /nfs/dbraw/zinc/17/02/69/1197170269.db2.gz VDDPPDNMOIFILM-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)CC(C)(C)CC ZINC001665793922 1197198254 /nfs/dbraw/zinc/19/82/54/1197198254.db2.gz RTDRNVQLYRAFHY-GFCCVEGCSA-N 0 1 319.287 3.206 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001665797897 1197198828 /nfs/dbraw/zinc/19/88/28/1197198828.db2.gz DJUWUJLEIZZHAF-KRWDZBQOSA-N 0 1 317.408 3.038 20 30 DGEDMN C/C=C(\C)C(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001665914790 1197215833 /nfs/dbraw/zinc/21/58/33/1197215833.db2.gz WCNIGTHZZQZKPW-CRKCGEKBSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CCC2CCCCC2)C1 ZINC001666729578 1197280786 /nfs/dbraw/zinc/28/07/86/1197280786.db2.gz IYUNBLQIWXIXJG-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCCc1ccccc1)CC2 ZINC001666829631 1197288828 /nfs/dbraw/zinc/28/88/28/1197288828.db2.gz QTRKQTWBMOGNQP-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H](C=C)c1ccccc1)CC2 ZINC001666839195 1197289451 /nfs/dbraw/zinc/28/94/51/1197289451.db2.gz ZSVPJYPXRWKTTR-SFHVURJKSA-N 0 1 324.468 3.457 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(F)F)cc1 ZINC001667013459 1197308222 /nfs/dbraw/zinc/30/82/22/1197308222.db2.gz PDCHFSKMGYOREA-NSHDSACASA-N 0 1 316.779 3.427 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1)C1CCCCC1 ZINC001667124246 1197321107 /nfs/dbraw/zinc/32/11/07/1197321107.db2.gz JMWOCVGSRYMCIE-OAHLLOKOSA-N 0 1 310.825 3.300 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CC1CCCC1 ZINC001668350429 1197411679 /nfs/dbraw/zinc/41/16/79/1197411679.db2.gz YERCWJZCFFXLER-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCN(CCNCc1cc(Cl)ccc1F)C(=O)C#CC(C)C ZINC001668423484 1197414308 /nfs/dbraw/zinc/41/43/08/1197414308.db2.gz YIWFIRZPXIVTQY-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001668566344 1197419585 /nfs/dbraw/zinc/41/95/85/1197419585.db2.gz HVTOIJTYAVEGMR-HUUCEWRRSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001668566345 1197419694 /nfs/dbraw/zinc/41/96/94/1197419694.db2.gz HVTOIJTYAVEGMR-ZSHCYNCHSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001669622846 1197465985 /nfs/dbraw/zinc/46/59/85/1197465985.db2.gz YJQJXDNUWSOVEU-UAGQMJEPSA-N 0 1 320.864 3.102 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001669929537 1197506151 /nfs/dbraw/zinc/50/61/51/1197506151.db2.gz ATLXPFZVPCLLCI-GTPINHCMSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001669929538 1197506840 /nfs/dbraw/zinc/50/68/40/1197506840.db2.gz ATLXPFZVPCLLCI-KLAILNCOSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN(C(=O)C=C(CC)CC)C1CCN(C/C=C/Cl)CC1 ZINC001671469995 1197541802 /nfs/dbraw/zinc/54/18/02/1197541802.db2.gz VEVJKSOQWGYVSO-JXMROGBWSA-N 0 1 322.880 3.412 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC12CCC2)C1CCCC1 ZINC001671559259 1197548214 /nfs/dbraw/zinc/54/82/14/1197548214.db2.gz QVHNDCSSVGMRDO-CABCVRRESA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(F)CCCC2)CC1 ZINC001671842836 1197575055 /nfs/dbraw/zinc/57/50/55/1197575055.db2.gz PEXBCLUPYOSCGX-UHFFFAOYSA-N 0 1 316.848 3.240 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCCC12CC2)c1ccccc1CC ZINC001672177645 1197594758 /nfs/dbraw/zinc/59/47/58/1197594758.db2.gz ZLTOEGUHUUWIAY-RBUKOAKNSA-N 0 1 324.468 3.209 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccccc1F)c1ccccc1CC ZINC001672176018 1197594776 /nfs/dbraw/zinc/59/47/76/1197594776.db2.gz CZGLGDIAAWUXNL-LJQANCHMSA-N 0 1 324.399 3.082 20 30 DGEDMN C=CCCCNC(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC001672386047 1197609229 /nfs/dbraw/zinc/60/92/29/1197609229.db2.gz RZXZSVXWGVQBOC-SFHVURJKSA-N 0 1 315.461 3.431 20 30 DGEDMN C=CCCCC(=O)NCc1ccc2c(c1)CN([C@H](C)COC)C2 ZINC001673765449 1197691926 /nfs/dbraw/zinc/69/19/26/1197691926.db2.gz QUTJEYJRKOZWMT-OAHLLOKOSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(C)(NCc2nocc2C)CC1 ZINC001673977697 1197714905 /nfs/dbraw/zinc/71/49/05/1197714905.db2.gz YDDORULYHSNQMF-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(C)(NCc2nocc2C)CC1 ZINC001673977698 1197715066 /nfs/dbraw/zinc/71/50/66/1197715066.db2.gz YDDORULYHSNQMF-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(C/C=C/Cl)[C@H](C)C1 ZINC001674134198 1197736535 /nfs/dbraw/zinc/73/65/35/1197736535.db2.gz JRGKDTOTCXWIEZ-YHOLHYGNSA-N 0 1 310.869 3.476 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C(C)(C)C)oc1C ZINC001674486884 1197785290 /nfs/dbraw/zinc/78/52/90/1197785290.db2.gz QPUXSGZUMBUZEC-OAHLLOKOSA-N 0 1 316.445 3.055 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C(C)(C)C)oc1C ZINC001674486883 1197785408 /nfs/dbraw/zinc/78/54/08/1197785408.db2.gz QPUXSGZUMBUZEC-HNNXBMFYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1c(C)onc1CC ZINC001674716983 1197845771 /nfs/dbraw/zinc/84/57/71/1197845771.db2.gz QXADSBGZNZXHDE-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1c(C)cc(C)cc1C ZINC001674722722 1197849270 /nfs/dbraw/zinc/84/92/70/1197849270.db2.gz RGTLVODECOZVGC-CVEARBPZSA-N 0 1 322.880 3.390 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C)c(F)c1F ZINC001674728463 1197851070 /nfs/dbraw/zinc/85/10/70/1197851070.db2.gz GZPDINNTSFMZPK-QWRGUYRKSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2nccs2)CCCC1 ZINC001674781027 1197872158 /nfs/dbraw/zinc/87/21/58/1197872158.db2.gz QWHCMJXADRJXSR-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(C)c(Cl)cc1C ZINC001674974113 1197940949 /nfs/dbraw/zinc/94/09/49/1197940949.db2.gz CHQNMDJKEITSPS-CQSZACIVSA-N 0 1 306.837 3.030 20 30 DGEDMN C=C(Br)CNC[C@H](CCCC)NC(=O)/C(C)=C/C ZINC001675219304 1198011135 /nfs/dbraw/zinc/01/11/35/1198011135.db2.gz XHKIWPFLRLMNDA-VKUYVZBCSA-N 0 1 317.271 3.126 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccccc1O ZINC001675219388 1198011743 /nfs/dbraw/zinc/01/17/43/1198011743.db2.gz YQQAEFSWEAWLPM-ZDUSSCGKSA-N 0 1 310.825 3.023 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCCN1Cc1c(C)noc1C ZINC001675247345 1198020285 /nfs/dbraw/zinc/02/02/85/1198020285.db2.gz LUNZGTXWPRQATQ-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CC(C)=C(C)C)C2)C1 ZINC001675423164 1198061722 /nfs/dbraw/zinc/06/17/22/1198061722.db2.gz UABDZQARBWQTSH-IBGZPJMESA-N 0 1 320.477 3.002 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001675781935 1198140136 /nfs/dbraw/zinc/14/01/36/1198140136.db2.gz MXJGFXZDZNETHE-VGWMRTNUSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC001676051902 1198208345 /nfs/dbraw/zinc/20/83/45/1198208345.db2.gz CTGHIUNMXGGRON-UHFFFAOYSA-N 0 1 321.490 3.168 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](CNCC(=C)Cl)C2CC2)CCC1 ZINC001676250600 1198261230 /nfs/dbraw/zinc/26/12/30/1198261230.db2.gz ZRXASNCJRILITG-HNNXBMFYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)[C@@H]1C ZINC001676859925 1198412828 /nfs/dbraw/zinc/41/28/28/1198412828.db2.gz NOINOTVPRIPBKX-GDBMZVCRSA-N 0 1 306.475 3.492 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CCc2ccns2)[C@@H]1C ZINC001676872279 1198417057 /nfs/dbraw/zinc/41/70/57/1198417057.db2.gz IDPZTKJDYHHZIJ-ZBFHGGJFSA-N 0 1 321.490 3.011 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](CNCc2ncc(C(C)C)o2)C1 ZINC001676915066 1198434188 /nfs/dbraw/zinc/43/41/88/1198434188.db2.gz ZDEQWXZDDYWUAY-GJZGRUSLSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H](NCC(=C)Cl)C(C)(C)C1 ZINC001676998497 1198461928 /nfs/dbraw/zinc/46/19/28/1198461928.db2.gz JNHBCLYAECCUOI-OAHLLOKOSA-N 0 1 310.869 3.334 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001677276347 1198507248 /nfs/dbraw/zinc/50/72/48/1198507248.db2.gz AXWBUAVOYDAAOQ-GDBMZVCRSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CNCc2nccs2)C(C)(C)C)C1 ZINC001677281325 1198508230 /nfs/dbraw/zinc/50/82/30/1198508230.db2.gz GYLNVMDITHSOOM-CYBMUJFWSA-N 0 1 321.490 3.120 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)c2ccccc2C)c(F)c1 ZINC001677640746 1198566105 /nfs/dbraw/zinc/56/61/05/1198566105.db2.gz ZVTCUMFQOVCQKH-UHFFFAOYSA-N 0 1 324.399 3.177 20 30 DGEDMN C#Cc1ccc(NC(=O)NCc2ccc3cncn3c2)c(Cl)c1 ZINC001680873466 1198920967 /nfs/dbraw/zinc/92/09/67/1198920967.db2.gz YLRFIHYSKNXIGT-UHFFFAOYSA-N 0 1 324.771 3.291 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CC(N(C)Cc3ccccc3)C2)cc1 ZINC001681091145 1198943707 /nfs/dbraw/zinc/94/37/07/1198943707.db2.gz ZLVUEFXIRSAEKI-UHFFFAOYSA-N 0 1 319.408 3.016 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC001681675718 1199058320 /nfs/dbraw/zinc/05/83/20/1199058320.db2.gz WKTAMWSRPLFSSP-RTBURBONSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCCCn1c(C2CCC2)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001760439173 1199690495 /nfs/dbraw/zinc/69/04/95/1199690495.db2.gz YEWVMDPYIRYLNE-HNNXBMFYSA-N 0 1 317.481 3.042 20 30 DGEDMN C[C@H](CC1CCCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000114618508 1199706516 /nfs/dbraw/zinc/70/65/16/1199706516.db2.gz SGOLFEMHVOJIJK-CJNGLKHVSA-N 0 1 304.434 3.361 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCN([C@H](C)c2cccc3ccccc32)CC1 ZINC001688691824 1199833750 /nfs/dbraw/zinc/83/37/50/1199833750.db2.gz WUJGAJIYPRHCSD-HZPDHXFCSA-N 0 1 321.424 3.205 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCc1ccc(C(C)C)cc1 ZINC001688878610 1199938581 /nfs/dbraw/zinc/93/85/81/1199938581.db2.gz LQPFORJZYZRXQV-IBGZPJMESA-N 0 1 314.473 3.461 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001688880673 1199940023 /nfs/dbraw/zinc/94/00/23/1199940023.db2.gz BPGSFDMJDJLYFH-SFHVURJKSA-N 0 1 314.473 3.494 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cccs1 ZINC001689079224 1200020141 /nfs/dbraw/zinc/02/01/41/1200020141.db2.gz LKCLZRZOWNJMIQ-YPMHNXCESA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1cnoc1C ZINC001689090290 1200025007 /nfs/dbraw/zinc/02/50/07/1200025007.db2.gz PTTWJVIRJMRTNG-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)CC)C1 ZINC001689150692 1200044968 /nfs/dbraw/zinc/04/49/68/1200044968.db2.gz XPKIGHVDQLMWLU-CGTJXYLNSA-N 0 1 300.446 3.193 20 30 DGEDMN CCN(CCNCC#Cc1cccc(Cl)c1)C(=O)C=C(C)C ZINC001754030937 1200077965 /nfs/dbraw/zinc/07/79/65/1200077965.db2.gz LHWSYXMUCARICP-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1c(C)noc1CC ZINC001754061887 1200088959 /nfs/dbraw/zinc/08/89/59/1200088959.db2.gz XNUZEKDWGCDHLD-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)Cc1cncc(C)c1 ZINC001689346074 1200101436 /nfs/dbraw/zinc/10/14/36/1200101436.db2.gz VZNFRSROMUBRJN-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@H]2CN(C)CC#CC)CC1 ZINC001689352658 1200105125 /nfs/dbraw/zinc/10/51/25/1200105125.db2.gz MJBQGSJDBMPVSX-SFHVURJKSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](CCC)C(C)C ZINC001689352305 1200105468 /nfs/dbraw/zinc/10/54/68/1200105468.db2.gz CLKXSHHEXNKTLL-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CCC[C@H](C(=O)N[C@@H](C)CNCc1ccccc1C#N)C(C)C ZINC001754286012 1200174172 /nfs/dbraw/zinc/17/41/72/1200174172.db2.gz UQNAHFQGIYNAMW-YJBOKZPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1ncc(C)s1)C1CC1 ZINC001754448324 1200267317 /nfs/dbraw/zinc/26/73/17/1200267317.db2.gz XIFZBUFGJXKQBC-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN CCC(C)(CC)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001689890497 1200316361 /nfs/dbraw/zinc/31/63/61/1200316361.db2.gz WRPLRHVIUYXYSA-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001754503731 1200319242 /nfs/dbraw/zinc/31/92/42/1200319242.db2.gz ANRJNBVVDRGCPP-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2CC[C@H](C)F)CCCCC1 ZINC001754505578 1200322250 /nfs/dbraw/zinc/32/22/50/1200322250.db2.gz QCJALNTUZIRGLJ-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001754515262 1200330890 /nfs/dbraw/zinc/33/08/90/1200330890.db2.gz RJCCOACKGFHWSM-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN CCCC1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CCC1 ZINC001754529015 1200340613 /nfs/dbraw/zinc/34/06/13/1200340613.db2.gz UPZSFUSKZRRBMS-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1coc(C(F)F)c1 ZINC001689953166 1200342914 /nfs/dbraw/zinc/34/29/14/1200342914.db2.gz IKRFZKGCPVZCMB-UHFFFAOYSA-N 0 1 320.767 3.412 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H]3CCC[C@@H](C2)N3C(C)C)CCCCC1 ZINC001754554476 1200359950 /nfs/dbraw/zinc/35/99/50/1200359950.db2.gz JKCBRDKVNDVKMB-HDICACEKSA-N 0 1 316.489 3.434 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CCN(CC#CC)CC2)CCCCC1 ZINC001690029372 1200374543 /nfs/dbraw/zinc/37/45/43/1200374543.db2.gz CTAYYPFPSGWQSJ-UHFFFAOYSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C[C@H](C)C(F)(F)F)C1 ZINC001754580860 1200376286 /nfs/dbraw/zinc/37/62/86/1200376286.db2.gz PLOMGYCMHDSWAQ-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2ccc(C)cc2)C1 ZINC001754580534 1200376563 /nfs/dbraw/zinc/37/65/63/1200376563.db2.gz CRSAOOJFSRPGCA-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001690046606 1200382265 /nfs/dbraw/zinc/38/22/65/1200382265.db2.gz MRDCDFGYCDPGKX-GOEBONIOSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001690050540 1200386448 /nfs/dbraw/zinc/38/64/48/1200386448.db2.gz NECMHHNORCMLAO-QZTJIDSGSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CCCCC(F)(F)F)C1 ZINC001690101986 1200398793 /nfs/dbraw/zinc/39/87/93/1200398793.db2.gz ZSGLJKSRZZMGBG-ZDUSSCGKSA-N 0 1 306.372 3.266 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1ocnc1C)C1CCCC1 ZINC001754650619 1200417512 /nfs/dbraw/zinc/41/75/12/1200417512.db2.gz QMKWOIZZLSFITB-INIZCTEOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2CCCC23CC3)C1 ZINC001690191703 1200446830 /nfs/dbraw/zinc/44/68/30/1200446830.db2.gz YKLBOSHSLUMHDM-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)no1 ZINC001690201140 1200453047 /nfs/dbraw/zinc/45/30/47/1200453047.db2.gz YIFZDABWQNMTQI-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](NCc2cc(O)ccc2Cl)C1 ZINC001690287017 1200478649 /nfs/dbraw/zinc/47/86/49/1200478649.db2.gz LDNXXVXHQUPIRU-HDJSIYSDSA-N 0 1 322.836 3.139 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001690288996 1200480791 /nfs/dbraw/zinc/48/07/91/1200480791.db2.gz PXWJAWCZOZXQRM-XQLOURGPSA-N 0 1 305.249 3.145 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)/C=C(\C)C1CC1)c1ccccc1CC ZINC001754784659 1200488152 /nfs/dbraw/zinc/48/81/52/1200488152.db2.gz DSNYSONVCOUVEO-VISDOYDDSA-N 0 1 324.468 3.376 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001690357453 1200510418 /nfs/dbraw/zinc/51/04/18/1200510418.db2.gz UMGZXKDHZNJYTB-BETUJISGSA-N 0 1 322.399 3.304 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CCC1CCCC1 ZINC001754944441 1200546879 /nfs/dbraw/zinc/54/68/79/1200546879.db2.gz XRPZEYAUSIOBPS-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=CCCC(=O)NCc1ccccc1CN(C)Cc1cccnc1 ZINC001754945851 1200548830 /nfs/dbraw/zinc/54/88/30/1200548830.db2.gz LEJPUOCWOBUUIJ-UHFFFAOYSA-N 0 1 323.440 3.296 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CCCC(C)C)cccc2C1 ZINC001754975829 1200562858 /nfs/dbraw/zinc/56/28/58/1200562858.db2.gz QJPLBECDTQGMQC-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@@H](C)c1ccccc1 ZINC001690458483 1200571925 /nfs/dbraw/zinc/57/19/25/1200571925.db2.gz DBRPVRKZLPVGIV-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001755025839 1200586659 /nfs/dbraw/zinc/58/66/59/1200586659.db2.gz CCOSBSKSTHZUSU-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)Cc2occc2C)C1 ZINC001690502513 1200598300 /nfs/dbraw/zinc/59/83/00/1200598300.db2.gz ZEMUVCPJYXGZML-GJZGRUSLSA-N 0 1 324.852 3.100 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@@H](CNC(=O)C1(C)CC1)O2 ZINC001755054745 1200619765 /nfs/dbraw/zinc/61/97/65/1200619765.db2.gz ULRFJFOOWZKTQU-INIZCTEOSA-N 0 1 322.493 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1ccc(F)cc1Cl ZINC001690610171 1200646551 /nfs/dbraw/zinc/64/65/51/1200646551.db2.gz IBMHMSDJSYUIJT-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001690614711 1200650661 /nfs/dbraw/zinc/65/06/61/1200650661.db2.gz OOOLAQGFMHZQOA-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C/C=C/Cc2ccccc2)C(C)(C)C1 ZINC001755137918 1200656159 /nfs/dbraw/zinc/65/61/59/1200656159.db2.gz GSBVBJNKYRTKDP-SGQUHAKNSA-N 0 1 324.468 3.025 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](CC)CCCC)C(C)(C)C1 ZINC001755141579 1200660208 /nfs/dbraw/zinc/66/02/08/1200660208.db2.gz NZLXUCRJIBSDCA-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001690636701 1200661637 /nfs/dbraw/zinc/66/16/37/1200661637.db2.gz FSZZKDZYZJMAML-UWVGGRQHSA-N 0 1 321.273 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001690636924 1200662164 /nfs/dbraw/zinc/66/21/64/1200662164.db2.gz JFFIBGFGCZPDTC-AWEZNQCLSA-N 0 1 308.853 3.102 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001755157376 1200662246 /nfs/dbraw/zinc/66/22/46/1200662246.db2.gz HQDWUSGSUYPWKJ-PKOBYXMFSA-N 0 1 312.457 3.053 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccccc1F ZINC001755190475 1200688731 /nfs/dbraw/zinc/68/87/31/1200688731.db2.gz ZMRZBGVYJGJJBS-MRXNPFEDSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2C[N@@H+](C)C/C=C/Cl)CCC1 ZINC001755198911 1200696823 /nfs/dbraw/zinc/69/68/23/1200696823.db2.gz KWKRYHVOVCYFLC-KDHUCADRSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CN(C)C/C=C/Cl)CCC1 ZINC001755198911 1200696827 /nfs/dbraw/zinc/69/68/27/1200696827.db2.gz KWKRYHVOVCYFLC-KDHUCADRSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC001690771332 1200708247 /nfs/dbraw/zinc/70/82/47/1200708247.db2.gz DLTODRFNJSUKIR-UONOGXRCSA-N 0 1 307.463 3.016 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)CC(C)=C(C)C ZINC001755248030 1200733267 /nfs/dbraw/zinc/73/32/67/1200733267.db2.gz GAUZPXQOEZQXBT-QWHCGFSZSA-N 0 1 317.271 3.124 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)CC(C)=C(C)C ZINC001755248028 1200734155 /nfs/dbraw/zinc/73/41/55/1200734155.db2.gz GAUZPXQOEZQXBT-CHWSQXEVSA-N 0 1 317.271 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2scnc21 ZINC001755252271 1200738638 /nfs/dbraw/zinc/73/86/38/1200738638.db2.gz IWICPBHKGREMCL-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2cnccc2c1 ZINC001755264613 1200746780 /nfs/dbraw/zinc/74/67/80/1200746780.db2.gz YEWIHLCUOUQCBB-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(F)c(C)c1)c1ccccc1 ZINC001691791889 1200810885 /nfs/dbraw/zinc/81/08/85/1200810885.db2.gz JJJKAFFTHMHBLK-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001691893160 1200860583 /nfs/dbraw/zinc/86/05/83/1200860583.db2.gz NPNNDEQRLXSTDB-ZIAGYGMSSA-N 0 1 300.874 3.249 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001755372942 1200861206 /nfs/dbraw/zinc/86/12/06/1200861206.db2.gz HEJMAGDSCKAAEJ-CABCVRRESA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001755385739 1200868907 /nfs/dbraw/zinc/86/89/07/1200868907.db2.gz BVLXFHMXWYOQPZ-AWEZNQCLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@]2(C1)CN(CC/C=C/CC)CCO2 ZINC001755401554 1200875494 /nfs/dbraw/zinc/87/54/94/1200875494.db2.gz IQVDYNNMKPGJMQ-XZXOBPBMSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)CCCF)C2)CC1 ZINC001691960020 1200886400 /nfs/dbraw/zinc/88/64/00/1200886400.db2.gz IYUNYGLMWXXIQO-CQSZACIVSA-N 0 1 316.848 3.192 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001755415583 1200892217 /nfs/dbraw/zinc/89/22/17/1200892217.db2.gz JNYKZRRJUXWGIE-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@H]2CCc3c2cccc3F)C1 ZINC001692119288 1200937711 /nfs/dbraw/zinc/93/77/11/1200937711.db2.gz IFXHYNCJYDKIAT-KSSFIOAISA-N 0 1 316.420 3.360 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001692178905 1200959742 /nfs/dbraw/zinc/95/97/42/1200959742.db2.gz FTRFYTQBQCWTBS-SPMIMHQFSA-N 0 1 324.468 3.364 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001755583086 1200969026 /nfs/dbraw/zinc/96/90/26/1200969026.db2.gz CCTYCCLWBTTXSS-ZXZGFYSFSA-N 0 1 318.848 3.094 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC[C@@H](C)c2ccccc2)[C@H]1C ZINC001692208838 1200970078 /nfs/dbraw/zinc/97/00/78/1200970078.db2.gz ZJYFQLXUNDKDBB-LMMKCTJWSA-N 0 1 312.457 3.173 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cscc1Cl ZINC001755592742 1200976279 /nfs/dbraw/zinc/97/62/79/1200976279.db2.gz KWWHLKBUHVVWPE-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001755604775 1200982921 /nfs/dbraw/zinc/98/29/21/1200982921.db2.gz CSLCEMDRIHTUGU-CRAIPNDOSA-N 0 1 320.481 3.003 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C1C(C)(C)C1(C)C ZINC001692250023 1200987557 /nfs/dbraw/zinc/98/75/57/1200987557.db2.gz OSUORBBCQNYAOK-HNNXBMFYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCCC[C@H]2CN(C)CC#CC)cc1 ZINC001692257670 1200992399 /nfs/dbraw/zinc/99/23/99/1200992399.db2.gz MUOBKUCQTGCZGT-IBGZPJMESA-N 0 1 310.441 3.279 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3cnccc32)C1 ZINC001692263919 1200994506 /nfs/dbraw/zinc/99/45/06/1200994506.db2.gz OTCPDVJRAJZTIU-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001692264522 1200996486 /nfs/dbraw/zinc/99/64/86/1200996486.db2.gz BRWKHIPDOSWYEV-CAXWLTTCSA-N 0 1 322.880 3.288 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2c(F)cccc2F)C[C@@H]1C ZINC001755675081 1201010617 /nfs/dbraw/zinc/01/06/17/1201010617.db2.gz IFSFWFKOPPTDJW-UONOGXRCSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(OC)cc2)[C@H]1C ZINC001755713522 1201034124 /nfs/dbraw/zinc/03/41/24/1201034124.db2.gz ACJNWOVONRNNLW-YJBOKZPZSA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)[C@H]1C ZINC001755732149 1201052847 /nfs/dbraw/zinc/05/28/47/1201052847.db2.gz SDNIHZVPZJZTBA-RDJZCZTQSA-N 0 1 318.486 3.329 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc2ncccc2c1)C(C)C ZINC001755813054 1201076836 /nfs/dbraw/zinc/07/68/36/1201076836.db2.gz YYPIBZHXBAOGMR-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001698548346 1201217374 /nfs/dbraw/zinc/21/73/74/1201217374.db2.gz CZRPDUBRCPCWCG-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001698565207 1201234902 /nfs/dbraw/zinc/23/49/02/1201234902.db2.gz VKUJSKOKQXYZKZ-MAUKXSAKSA-N 0 1 316.420 3.223 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001698571330 1201241293 /nfs/dbraw/zinc/24/12/93/1201241293.db2.gz OVXKILZXJAXUMK-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC001698571692 1201241920 /nfs/dbraw/zinc/24/19/20/1201241920.db2.gz WZUXUAIOMRAKBF-MOPGFXCFSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCCc2ccsc2)C1 ZINC001698580075 1201255901 /nfs/dbraw/zinc/25/59/01/1201255901.db2.gz BNVNJLIEOIZKFQ-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCCC2CCCC2)C1 ZINC001698586981 1201260749 /nfs/dbraw/zinc/26/07/49/1201260749.db2.gz MBEIFRHPXCOXTO-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](NCc2nc(C)oc2C)CC1 ZINC001698710623 1201348834 /nfs/dbraw/zinc/34/88/34/1201348834.db2.gz BOGWNMUXFHPPFE-WKILWMFISA-N 0 1 319.449 3.165 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001698710693 1201349110 /nfs/dbraw/zinc/34/91/10/1201349110.db2.gz DXOAFTKJQICGLC-JYJNAYRXSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001698712512 1201352596 /nfs/dbraw/zinc/35/25/96/1201352596.db2.gz WWHMIXJRYYKGQD-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](CCC)C(C)C)CC2 ZINC001698809384 1201443716 /nfs/dbraw/zinc/44/37/16/1201443716.db2.gz KNAFVYQVPQUZEC-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001698824465 1201474457 /nfs/dbraw/zinc/47/44/57/1201474457.db2.gz LRSNFVUOIUEGIQ-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)Cc1ccccc1 ZINC001698859193 1201516976 /nfs/dbraw/zinc/51/69/76/1201516976.db2.gz BIQYTQDTRKOWIQ-UKRRQHHQSA-N 0 1 308.853 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2cccc(F)c2o1 ZINC001698862096 1201518876 /nfs/dbraw/zinc/51/88/76/1201518876.db2.gz UCJSPOTTWNWHIR-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1c(C)noc1C)CC(C)C ZINC001698870364 1201530562 /nfs/dbraw/zinc/53/05/62/1201530562.db2.gz FTPIKXLTKGKEPM-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CCN[C@@H](C)c1ncc(C)o1 ZINC001698910359 1201571622 /nfs/dbraw/zinc/57/16/22/1201571622.db2.gz YGFVDCHQXFBVCZ-HOTGVXAUSA-N 0 1 319.449 3.371 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@@H](C)NCc2cc(C)on2)C1 ZINC001698927622 1201581328 /nfs/dbraw/zinc/58/13/28/1201581328.db2.gz FZVWHRHROYNQHA-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C1CC(C)(C)C1 ZINC001698937774 1201587225 /nfs/dbraw/zinc/58/72/25/1201587225.db2.gz FLEKMGLPBUAAFE-DOMZBBRYSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cccs1 ZINC001698942450 1201591578 /nfs/dbraw/zinc/59/15/78/1201591578.db2.gz ADBZMDJVEVNGEV-YPMHNXCESA-N 0 1 312.866 3.331 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CNC/C(Cl)=C/Cl ZINC001698942109 1201591719 /nfs/dbraw/zinc/59/17/19/1201591719.db2.gz XEZNFPZIMSJOQQ-OIZKETEYSA-N 0 1 319.276 3.488 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001698997836 1201666579 /nfs/dbraw/zinc/66/65/79/1201666579.db2.gz ARYJROVAFCBTMI-IBGZPJMESA-N 0 1 314.473 3.230 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC/C=C\c1ccccc1 ZINC001750931629 1201726891 /nfs/dbraw/zinc/72/68/91/1201726891.db2.gz PXOOZYXAHSTNSB-SJAUKRLSSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001699315159 1201788786 /nfs/dbraw/zinc/78/87/86/1201788786.db2.gz MBXXGESODJFGGU-LLHDCHNASA-N 0 1 318.848 3.195 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001699351735 1201800241 /nfs/dbraw/zinc/80/02/41/1201800241.db2.gz ZFGNLRQLIHRHTA-DNVGVPOPSA-N 0 1 318.848 3.413 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(OC)c(C)c1 ZINC001752220618 1201801809 /nfs/dbraw/zinc/80/18/09/1201801809.db2.gz ZTVGYGNURBSVQC-UHFFFAOYSA-N 0 1 324.852 3.198 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C(F)F)o1 ZINC001752230091 1201808438 /nfs/dbraw/zinc/80/84/38/1201808438.db2.gz RZKZGDKTYLOBDD-UHFFFAOYSA-N 0 1 320.767 3.412 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C/C=C\Cc1ccccc1 ZINC001699366659 1201812040 /nfs/dbraw/zinc/81/20/40/1201812040.db2.gz RFUWPUQDSMXVJB-IDTUSYRASA-N 0 1 306.837 3.022 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CCN(C[C@@H](F)CC)CC1 ZINC001752304667 1201826434 /nfs/dbraw/zinc/82/64/34/1201826434.db2.gz UNWWRMJEODUHHC-INIZCTEOSA-N 0 1 310.457 3.241 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@]1(C)CCCc2ccccc21 ZINC001699384094 1201827994 /nfs/dbraw/zinc/82/79/94/1201827994.db2.gz XBEPINSFFBQIAI-KDOFPFPSSA-N 0 1 320.864 3.127 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)C1CCCCC1 ZINC001699423867 1201856762 /nfs/dbraw/zinc/85/67/62/1201856762.db2.gz JIVUCMIOZWWAFC-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ocnc1C ZINC001752532637 1201870695 /nfs/dbraw/zinc/87/06/95/1201870695.db2.gz MXGXGKKFVJRMRJ-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001752753226 1201907568 /nfs/dbraw/zinc/90/75/68/1201907568.db2.gz AWTISLLUYBPXCX-MELADBBJSA-N 0 1 322.399 3.304 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001752778967 1201917418 /nfs/dbraw/zinc/91/74/18/1201917418.db2.gz OQLIGYRUZAQWDW-BFHGZXLWSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1CCC(F)(F)F ZINC001752822090 1201948065 /nfs/dbraw/zinc/94/80/65/1201948065.db2.gz ZBASADWVXZHOKW-CYBMUJFWSA-N 0 1 306.372 3.266 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)CC1CCCCC1 ZINC001752822649 1201951321 /nfs/dbraw/zinc/95/13/21/1201951321.db2.gz LTGIEKBYVCGBKI-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@@H]1CCCCN1CC#CC)c1ccccc1 ZINC001752824581 1201951712 /nfs/dbraw/zinc/95/17/12/1201951712.db2.gz AFAUJKJACFCNTR-QUCCMNQESA-N 0 1 324.468 3.340 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCC[N@@H+]1C/C=C\Cl ZINC001752831271 1201956522 /nfs/dbraw/zinc/95/65/22/1201956522.db2.gz CTCUDBDWDKVMGK-VHDZQUKYSA-N 0 1 322.880 3.388 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001752910193 1202007177 /nfs/dbraw/zinc/00/71/77/1202007177.db2.gz RJQGISYSCUZZTA-KKUMJFAQSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N[C@H](C)c2cc(F)ccc2F)CC1 ZINC001699659042 1202018494 /nfs/dbraw/zinc/01/84/94/1202018494.db2.gz KWHADGIHTGSMLL-GFCCVEGCSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC001699658735 1202019323 /nfs/dbraw/zinc/01/93/23/1202019323.db2.gz LVGOGRQKEBWOHB-KGLIPLIRSA-N 0 1 310.869 3.216 20 30 DGEDMN CC1(C)CCC[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001699660125 1202020422 /nfs/dbraw/zinc/02/04/22/1202020422.db2.gz NRSPZOZZKUCMHR-SFHVURJKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@@H](C)c2ccncc2)C1 ZINC001699712959 1202047318 /nfs/dbraw/zinc/04/73/18/1202047318.db2.gz FOQLZMGHGILETH-HZPDHXFCSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN([C@H]2CCCc3ccccc32)C1 ZINC001699713458 1202048867 /nfs/dbraw/zinc/04/88/67/1202048867.db2.gz YOISLNYLEDEITA-IBGZPJMESA-N 0 1 310.441 3.010 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CC(C)(C)CC(F)F)C1 ZINC001699715950 1202049360 /nfs/dbraw/zinc/04/93/60/1202049360.db2.gz MFDWMHMIUIERNG-UHFFFAOYSA-N 0 1 302.409 3.167 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN(Cc2ccccc2Cl)CC1 ZINC001699775874 1202076539 /nfs/dbraw/zinc/07/65/39/1202076539.db2.gz QDCUSPAIKDVUKD-UHFFFAOYSA-N 0 1 318.848 3.176 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)C(F)F)C1CCCC1 ZINC001699797378 1202083015 /nfs/dbraw/zinc/08/30/15/1202083015.db2.gz UWTJVGOJIWGDNM-LBPRGKRZSA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001753067978 1202097160 /nfs/dbraw/zinc/09/71/60/1202097160.db2.gz MWRVGLKBJTZWIZ-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CC23CCC3)CC1 ZINC001699863834 1202119678 /nfs/dbraw/zinc/11/96/78/1202119678.db2.gz CHECJHCLHBFGNY-CQSZACIVSA-N 0 1 310.869 3.147 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H]1CCC[N@H+]1Cc1cccc(C#N)c1 ZINC001753097637 1202130923 /nfs/dbraw/zinc/13/09/23/1202130923.db2.gz QOQXKPOHOXMDOL-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001753097637 1202130927 /nfs/dbraw/zinc/13/09/27/1202130927.db2.gz QOQXKPOHOXMDOL-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCC[N@@H+]1Cc1cnccc1C ZINC001753106471 1202137666 /nfs/dbraw/zinc/13/76/66/1202137666.db2.gz PHLQUKIXOGEWJB-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001753106471 1202137667 /nfs/dbraw/zinc/13/76/67/1202137667.db2.gz PHLQUKIXOGEWJB-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC(C)(C)C)C1 ZINC001699890236 1202137780 /nfs/dbraw/zinc/13/77/80/1202137780.db2.gz QEOBCXNYBFYYPH-CYBMUJFWSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1C ZINC001753109277 1202139245 /nfs/dbraw/zinc/13/92/45/1202139245.db2.gz SEDZWCUOCBFFGM-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@H](C)CC ZINC001700092544 1202178426 /nfs/dbraw/zinc/17/84/26/1202178426.db2.gz YHDJRWGDKXFDPR-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001700122760 1202184118 /nfs/dbraw/zinc/18/41/18/1202184118.db2.gz IBOSKWFYXKHKCD-KRWDZBQOSA-N 0 1 324.468 3.287 20 30 DGEDMN C=CC[C@H](C(=O)N(C)CCNCC(=C)Cl)c1ccccc1 ZINC001753271002 1202191937 /nfs/dbraw/zinc/19/19/37/1202191937.db2.gz FNNGJSBHXFQIHJ-INIZCTEOSA-N 0 1 306.837 3.147 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001700173414 1202194272 /nfs/dbraw/zinc/19/42/72/1202194272.db2.gz WPWFWLWAJUNWHF-CRAFIKPXSA-N 0 1 317.458 3.057 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2(C(F)(F)F)CC2)CC1 ZINC001700181174 1202197979 /nfs/dbraw/zinc/19/79/79/1202197979.db2.gz PYRKBESRFRZVNA-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)c1cccc(F)c1)c1ccccc1 ZINC001753303391 1202200619 /nfs/dbraw/zinc/20/06/19/1202200619.db2.gz XQMCQZARYFLWSC-BEFAXECRSA-N 0 1 324.399 3.010 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C)c(C)c1 ZINC001753304877 1202201933 /nfs/dbraw/zinc/20/19/33/1202201933.db2.gz FIGCJINEHUOULP-BGYRXZFFSA-N 0 1 324.468 3.282 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc3c(c2)CCC3)C1 ZINC001753322557 1202210102 /nfs/dbraw/zinc/21/01/02/1202210102.db2.gz INGROTNLPLWCME-HXUWFJFHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@H](CNC(=O)CCC(=C)C)O2 ZINC001700208121 1202211432 /nfs/dbraw/zinc/21/14/32/1202211432.db2.gz ZVUDOWDZPIFMOW-IAGOWNOFSA-N 0 1 320.477 3.047 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2coc(C(F)F)c2)C1 ZINC001753329080 1202213683 /nfs/dbraw/zinc/21/36/83/1202213683.db2.gz UFLVNJQDAYMWTK-AWEZNQCLSA-N 0 1 324.371 3.167 20 30 DGEDMN C#CCCCC(=O)NCc1ccc2c(c1)CN(CC[C@H](C)F)C2 ZINC001700253597 1202218886 /nfs/dbraw/zinc/21/88/86/1202218886.db2.gz IDULUDFNIBPORU-HNNXBMFYSA-N 0 1 316.420 3.170 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1C[C@H](NCc2ccccc2C#N)[C@@H](C)C1 ZINC001700263408 1202221212 /nfs/dbraw/zinc/22/12/12/1202221212.db2.gz JLKGYDYVHRZBNZ-WZDAXMPFSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](CC)CCC)C1 ZINC001753359584 1202228093 /nfs/dbraw/zinc/22/80/93/1202228093.db2.gz KIWBOXRQBNZOKM-HUUCEWRRSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccccn2)CC1(C)C ZINC001700292038 1202228301 /nfs/dbraw/zinc/22/83/01/1202228301.db2.gz MFFIEYQFTXSSTR-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ocnc2C)CC1(C)C ZINC001700291703 1202228900 /nfs/dbraw/zinc/22/89/00/1202228900.db2.gz ZGQGJIRHVHHGJS-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2conc2C)[C@H](C)C1 ZINC001700317891 1202234411 /nfs/dbraw/zinc/23/44/11/1202234411.db2.gz NLPAEFURIYLTNQ-CJNGLKHVSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001753383680 1202236439 /nfs/dbraw/zinc/23/64/39/1202236439.db2.gz KLYBNGMKUMVDDE-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001753390224 1202238511 /nfs/dbraw/zinc/23/85/11/1202238511.db2.gz RQINZQVDRHZFNC-DZJNRPSUSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001753390226 1202238917 /nfs/dbraw/zinc/23/89/17/1202238917.db2.gz RQINZQVDRHZFNC-USXIJHARSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2ccccc2C)C1 ZINC001753395624 1202240546 /nfs/dbraw/zinc/24/05/46/1202240546.db2.gz PGEBKLXHFRHMKL-CVEARBPZSA-N 0 1 300.446 3.254 20 30 DGEDMN C#CCCCCC(=O)NCC1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001753401038 1202241214 /nfs/dbraw/zinc/24/12/14/1202241214.db2.gz QPTLGRWJHBGSDQ-OAHLLOKOSA-N 0 1 316.420 3.128 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001753405003 1202243091 /nfs/dbraw/zinc/24/30/91/1202243091.db2.gz ZJBJVBLQGXELAO-UHFFFAOYSA-N 0 1 314.473 3.454 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](C)c1ccccc1 ZINC001700363232 1202250900 /nfs/dbraw/zinc/25/09/00/1202250900.db2.gz GGMRZJFYGHQQTH-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](C)c1ccccc1 ZINC001700363229 1202251299 /nfs/dbraw/zinc/25/12/99/1202251299.db2.gz GGMRZJFYGHQQTH-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001753433862 1202253124 /nfs/dbraw/zinc/25/31/24/1202253124.db2.gz OMLBBMRKOVEIQO-INIZCTEOSA-N 0 1 312.413 3.432 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC001700389930 1202259454 /nfs/dbraw/zinc/25/94/54/1202259454.db2.gz HUTFYFPUWCFKGO-NEPJUHHUSA-N 0 1 312.816 3.428 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC001700389931 1202259636 /nfs/dbraw/zinc/25/96/36/1202259636.db2.gz HUTFYFPUWCFKGO-NWDGAFQWSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cscc1Cl ZINC001700404696 1202263382 /nfs/dbraw/zinc/26/33/82/1202263382.db2.gz LSZISNZAIGNWQS-IUCAKERBSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@H](C)NCc2c(C)noc2C)CC1 ZINC001700422870 1202268867 /nfs/dbraw/zinc/26/88/67/1202268867.db2.gz UPPDQOOTKGTSRL-STQMWFEESA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C)nc1C(C)C ZINC001753481859 1202271210 /nfs/dbraw/zinc/27/12/10/1202271210.db2.gz ZQJUCEUHGWCSIF-AWEZNQCLSA-N 0 1 323.868 3.364 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2conc2C)CCCC1 ZINC001753498077 1202276178 /nfs/dbraw/zinc/27/61/78/1202276178.db2.gz OHIUTHXGMWOFBA-MRXNPFEDSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N(C)Cc1csc(C)c1 ZINC001700456393 1202279484 /nfs/dbraw/zinc/27/94/84/1202279484.db2.gz JALBJTPPQMGTPZ-AWEZNQCLSA-N 0 1 306.475 3.187 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2nocc2C)CCCCC1 ZINC001700511752 1202299773 /nfs/dbraw/zinc/29/97/73/1202299773.db2.gz LPFQNSHWERSVEB-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc(C)c(C)cn1 ZINC001700519577 1202301987 /nfs/dbraw/zinc/30/19/87/1202301987.db2.gz VRUMOYZUUYNXHQ-OAHLLOKOSA-N 0 1 323.868 3.329 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc(C)c(C)cn1 ZINC001700519576 1202302106 /nfs/dbraw/zinc/30/21/06/1202302106.db2.gz VRUMOYZUUYNXHQ-HNNXBMFYSA-N 0 1 323.868 3.329 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001753679499 1202311918 /nfs/dbraw/zinc/31/19/18/1202311918.db2.gz ONBHLAAOUVSFAJ-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1F ZINC001753684638 1202312682 /nfs/dbraw/zinc/31/26/82/1202312682.db2.gz CZJXZOJXZICYTJ-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001753718825 1202320428 /nfs/dbraw/zinc/32/04/28/1202320428.db2.gz RMSIFPOEXARSDY-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC001700619963 1202323632 /nfs/dbraw/zinc/32/36/32/1202323632.db2.gz OUWBRRPQPNKWQC-DHZHZOJOSA-N 0 1 322.880 3.247 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](C)CCCCCC)C2)C1 ZINC001700621172 1202324040 /nfs/dbraw/zinc/32/40/40/1202324040.db2.gz MAVHGZADKBXNFS-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1oc(C)nc1C)CC(C)C ZINC001753738191 1202325359 /nfs/dbraw/zinc/32/53/59/1202325359.db2.gz DWWFHMUFKJZJAK-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C#CC(C)(C)C ZINC001753818807 1202340061 /nfs/dbraw/zinc/34/00/61/1202340061.db2.gz MGZPVTGSCQIDCC-UKRRQHHQSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](CNCc1nc(C)sc1C)C1CC1 ZINC001700720843 1202342739 /nfs/dbraw/zinc/34/27/39/1202342739.db2.gz DPAHZKKKZSIZLR-MRXNPFEDSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(Cl)o1 ZINC001700722652 1202342789 /nfs/dbraw/zinc/34/27/89/1202342789.db2.gz UGCJKZWCBFMYNW-LLVKDONJSA-N 0 1 317.216 3.126 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001753833434 1202344041 /nfs/dbraw/zinc/34/40/41/1202344041.db2.gz DSTNYZTZRYYZMA-KRWDZBQOSA-N 0 1 313.445 3.001 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2ccncc2Cl)C1 ZINC001700766378 1202350812 /nfs/dbraw/zinc/35/08/12/1202350812.db2.gz GGVDMMXPMLYOHV-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCCc1cccs1 ZINC001700779131 1202353608 /nfs/dbraw/zinc/35/36/08/1202353608.db2.gz UYOFDIHNZKVEDO-ZDUSSCGKSA-N 0 1 314.882 3.260 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2c(c1)CCCC2 ZINC001700778052 1202353628 /nfs/dbraw/zinc/35/36/28/1202353628.db2.gz DMDTZIHFSDSENM-AWEZNQCLSA-N 0 1 320.864 3.368 20 30 DGEDMN CC[C@H](C)C(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001700856718 1202359693 /nfs/dbraw/zinc/35/96/93/1202359693.db2.gz VEPRPVLCNHSBQE-DSKINZAPSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2scnc2C)[C@H]1C ZINC001700881142 1202364845 /nfs/dbraw/zinc/36/48/45/1202364845.db2.gz NWDLLKYFCAYPQO-UONOGXRCSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCC2CCCCC2)[C@H]1C ZINC001700888202 1202366545 /nfs/dbraw/zinc/36/65/45/1202366545.db2.gz OGJUMVJRGFYCSY-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCC[C@H]1CCC)C(C)C ZINC001700973777 1202374164 /nfs/dbraw/zinc/37/41/64/1202374164.db2.gz MGGIOCBDPPNAGJ-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C/C(C)(C)C)C(C)(C)C ZINC001700983057 1202376594 /nfs/dbraw/zinc/37/65/94/1202376594.db2.gz FFUQOHKPJDDKPU-MMQHEFTJSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001700999642 1202379121 /nfs/dbraw/zinc/37/91/21/1202379121.db2.gz ZJONKHTUMADKJN-MAUKXSAKSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccccc1C)C(C)(C)C ZINC001700999790 1202379279 /nfs/dbraw/zinc/37/92/79/1202379279.db2.gz BYQJETIJFQBEFN-MRXNPFEDSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccccc1C)C(C)(C)C ZINC001700999789 1202379301 /nfs/dbraw/zinc/37/93/01/1202379301.db2.gz BYQJETIJFQBEFN-INIZCTEOSA-N 0 1 322.880 3.411 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001701009550 1202380531 /nfs/dbraw/zinc/38/05/31/1202380531.db2.gz DVBIFPLRNZQWQX-SEIPJRMCSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC(C)(C)c1ccccc1 ZINC001707059979 1202570849 /nfs/dbraw/zinc/57/08/49/1202570849.db2.gz GEOZWYVBPOHTCG-QGZVFWFLSA-N 0 1 300.446 3.073 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001707247893 1202578124 /nfs/dbraw/zinc/57/81/24/1202578124.db2.gz YACDFNWXNZSUIZ-RKVPGOIHSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001707245144 1202578362 /nfs/dbraw/zinc/57/83/62/1202578362.db2.gz PUMGGQOYERZPLQ-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN CCC[C@H](C)C(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001712940200 1202605379 /nfs/dbraw/zinc/60/53/79/1202605379.db2.gz ZUZVSOQSLWWXQR-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@H](CC)c2ccc(F)cc2)C1 ZINC001713081942 1202615103 /nfs/dbraw/zinc/61/51/03/1202615103.db2.gz QJHULYIFAPLQHH-QZTJIDSGSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)/C=C\C(C)(C)C)CC1 ZINC001713091480 1202616367 /nfs/dbraw/zinc/61/63/67/1202616367.db2.gz GVFGMJXRTKFASL-GEXIGZQTSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H](C)c2ccco2)CC1 ZINC001713101723 1202617418 /nfs/dbraw/zinc/61/74/18/1202617418.db2.gz YKAUQQHHUVZWHD-MRXNPFEDSA-N 0 1 316.445 3.109 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCC(C)=C(C)C1)C1CC1 ZINC001713112755 1202618164 /nfs/dbraw/zinc/61/81/64/1202618164.db2.gz YYVZUNNMDJPPRP-HOTGVXAUSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCC(C)=C(C)C1)C1CC1 ZINC001713112757 1202618208 /nfs/dbraw/zinc/61/82/08/1202618208.db2.gz YYVZUNNMDJPPRP-JKSUJKDBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1(CC(C)C)CCC1)C1CC1 ZINC001713114973 1202618338 /nfs/dbraw/zinc/61/83/38/1202618338.db2.gz FFJDAEJNZYGXJB-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001713128449 1202619661 /nfs/dbraw/zinc/61/96/61/1202619661.db2.gz NYUQOAXSPIHXMF-CVEARBPZSA-N 0 1 319.449 3.181 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H](CC)SC)C1 ZINC001713128609 1202620078 /nfs/dbraw/zinc/62/00/78/1202620078.db2.gz JRZAXJDTCTUFMJ-KBPBESRZSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)C1(F)CCCCC1 ZINC001713144611 1202621968 /nfs/dbraw/zinc/62/19/68/1202621968.db2.gz VTQCYWZVZAVFRT-IYBDPMFKSA-N 0 1 308.441 3.300 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(F)CCCCC1 ZINC001713144611 1202621969 /nfs/dbraw/zinc/62/19/69/1202621969.db2.gz VTQCYWZVZAVFRT-IYBDPMFKSA-N 0 1 308.441 3.300 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001713252733 1202631392 /nfs/dbraw/zinc/63/13/92/1202631392.db2.gz FYIABMTTYSNBNR-IBGZPJMESA-N 0 1 324.468 3.003 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCCN1Cc1ccccn1 ZINC001713252433 1202631468 /nfs/dbraw/zinc/63/14/68/1202631468.db2.gz YORWBRFHRYHLAT-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001713260223 1202632844 /nfs/dbraw/zinc/63/28/44/1202632844.db2.gz YKPZRBGNMSFMON-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1sccc1F ZINC001713262225 1202632944 /nfs/dbraw/zinc/63/29/44/1202632944.db2.gz FJZODHYKJRQXQF-LLVKDONJSA-N 0 1 316.829 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001713266370 1202633171 /nfs/dbraw/zinc/63/31/71/1202633171.db2.gz SRHQGXIGPMHLDE-ZOBUZTSGSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2cnoc2C)CCCCC1 ZINC001713338839 1202640652 /nfs/dbraw/zinc/64/06/52/1202640652.db2.gz WHIKIZOUMXSNCY-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H](N(C)C[C@@H](F)CC)C1 ZINC001713395327 1202645019 /nfs/dbraw/zinc/64/50/19/1202645019.db2.gz GCISLLCNJLEXCN-IRXDYDNUSA-N 0 1 310.457 3.241 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1cc(F)ccc1C ZINC001713501441 1202661334 /nfs/dbraw/zinc/66/13/34/1202661334.db2.gz VNBCEAOEERVZJW-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1 ZINC001713510556 1202662693 /nfs/dbraw/zinc/66/26/93/1202662693.db2.gz BODISNGJEAZAPL-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001713614808 1202673285 /nfs/dbraw/zinc/67/32/85/1202673285.db2.gz RFNDQHCJQLKBEF-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1ccc2ccccc2c1 ZINC001713662630 1202675435 /nfs/dbraw/zinc/67/54/35/1202675435.db2.gz VCOHNRVNNQSYSC-UHFFFAOYSA-N 0 1 316.832 3.183 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2oc(C)cc2C)C1 ZINC001713714771 1202680078 /nfs/dbraw/zinc/68/00/78/1202680078.db2.gz IVQVEHSFCJBWCG-MRXNPFEDSA-N 0 1 304.434 3.399 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001713719703 1202681300 /nfs/dbraw/zinc/68/13/00/1202681300.db2.gz GFXAWWBBFIMWHT-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)C(F)(F)F)C1 ZINC001713719704 1202681309 /nfs/dbraw/zinc/68/13/09/1202681309.db2.gz GFXAWWBBFIMWHT-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(CF)cc2)C1 ZINC001713722569 1202682035 /nfs/dbraw/zinc/68/20/35/1202682035.db2.gz PDVXTBONQZNWAO-SFHVURJKSA-N 0 1 316.420 3.106 20 30 DGEDMN C=C[C@H](C(=O)N(CCC)[C@@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001713721949 1202682066 /nfs/dbraw/zinc/68/20/66/1202682066.db2.gz BNSQTYSTADXTPS-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccc(C)s2)C1 ZINC001713723058 1202682335 /nfs/dbraw/zinc/68/23/35/1202682335.db2.gz ZTOBXUKPAFPZHQ-HNNXBMFYSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2=CCCCCC2)C1 ZINC001713729290 1202682965 /nfs/dbraw/zinc/68/29/65/1202682965.db2.gz WUAVKPNMYVFSPE-HNNXBMFYSA-N 0 1 310.869 3.458 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CN(C)Cc2nc(C)c(C)s2)C1 ZINC001713746814 1202685436 /nfs/dbraw/zinc/68/54/36/1202685436.db2.gz NGFVROHINCINEU-HNNXBMFYSA-N 0 1 321.490 3.006 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)CCC)C1 ZINC001713762278 1202687843 /nfs/dbraw/zinc/68/78/43/1202687843.db2.gz NEYFFAYYRKPNCA-IBGZPJMESA-N 0 1 312.457 3.303 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001713793045 1202691260 /nfs/dbraw/zinc/69/12/60/1202691260.db2.gz HGFBEIINQRFEEE-SJLPKXTDSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001713804726 1202691938 /nfs/dbraw/zinc/69/19/38/1202691938.db2.gz DNNAAPXWWFMDEL-IRXDYDNUSA-N 0 1 310.457 3.452 20 30 DGEDMN C[C@@H](c1ccc(F)cc1)N1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001713822261 1202693063 /nfs/dbraw/zinc/69/30/63/1202693063.db2.gz JPIISVPXTYAEAJ-YOEHRIQHSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001713830943 1202693893 /nfs/dbraw/zinc/69/38/93/1202693893.db2.gz GKIADFNEUMRBSS-AEFFLSMTSA-N 0 1 300.446 3.255 20 30 DGEDMN CCCCCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001713834911 1202694378 /nfs/dbraw/zinc/69/43/78/1202694378.db2.gz PMHKLVRYNRZGHP-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc(C(C)C)n1)C1CC1 ZINC001713869719 1202697380 /nfs/dbraw/zinc/69/73/80/1202697380.db2.gz CYPFVEDXWGDKGA-INIZCTEOSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCc2ccc(C)s2)C1 ZINC001713879722 1202698236 /nfs/dbraw/zinc/69/82/36/1202698236.db2.gz ITOHAJOXLNGQIY-UHFFFAOYSA-N 0 1 306.475 3.146 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2CC3(CC3)C2)C1 ZINC001713898305 1202700529 /nfs/dbraw/zinc/70/05/29/1202700529.db2.gz USSOLEWAJZJPBP-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)OCC ZINC001713902714 1202701108 /nfs/dbraw/zinc/70/11/08/1202701108.db2.gz QLMZJUQOIKAYKI-YESZJQIVSA-N 0 1 310.482 3.057 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C\CC)CCC1 ZINC001713935770 1202703514 /nfs/dbraw/zinc/70/35/14/1202703514.db2.gz BZQKKNDKLRKSJN-JEZUCGJFSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001714011299 1202726060 /nfs/dbraw/zinc/72/60/60/1202726060.db2.gz JKKYQVRUYLPZHQ-DDBXPCSUSA-N 0 1 323.440 3.113 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001714013113 1202727661 /nfs/dbraw/zinc/72/76/61/1202727661.db2.gz KNMIVVJCZTXSBQ-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2cnc(C)s2)C1 ZINC001714045978 1202749754 /nfs/dbraw/zinc/74/97/54/1202749754.db2.gz VJSBGDSIPMASQU-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2nc(CC)oc2C)C1 ZINC001753320344 1202764627 /nfs/dbraw/zinc/76/46/27/1202764627.db2.gz YZMYAHOVQLWIKE-HNNXBMFYSA-N 0 1 319.449 3.048 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(CNCc2ocnc2C)CCCC1 ZINC001714140565 1202798352 /nfs/dbraw/zinc/79/83/52/1202798352.db2.gz OILFQNVBYZJOTN-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001714147231 1202802792 /nfs/dbraw/zinc/80/27/92/1202802792.db2.gz HHRJHXMGIUVUPP-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001714270730 1202857496 /nfs/dbraw/zinc/85/74/96/1202857496.db2.gz UANXZRZZDRDSDB-RYUDHWBXSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](C)n2cccc2)CC1 ZINC001714324686 1202882489 /nfs/dbraw/zinc/88/24/89/1202882489.db2.gz AYBDJDXCSYXUKL-OAHLLOKOSA-N 0 1 323.868 3.020 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001714332096 1202887440 /nfs/dbraw/zinc/88/74/40/1202887440.db2.gz KUXJXRUGVINBKX-HNNXBMFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CNCc1oc(C(C)C)nc1C ZINC001714333297 1202887725 /nfs/dbraw/zinc/88/77/25/1202887725.db2.gz OPBZVOPBPZAASH-OAHLLOKOSA-N 0 1 319.449 3.153 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)CNCc2ccon2)CCCCC1 ZINC001714437762 1202932697 /nfs/dbraw/zinc/93/26/97/1202932697.db2.gz SAKODLVAYSWGEN-HNNXBMFYSA-N 0 1 319.449 3.043 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)Cc1ccc(OC)c(Cl)c1 ZINC001714545133 1202989986 /nfs/dbraw/zinc/98/99/86/1202989986.db2.gz CIPQGUZJIFGPSY-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001714566772 1203008424 /nfs/dbraw/zinc/00/84/24/1203008424.db2.gz YFJSPFYZTROMJD-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC001714650116 1203075236 /nfs/dbraw/zinc/07/52/36/1203075236.db2.gz XDQBGGDVLFNKIP-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1oc(C(C)C)nc1C ZINC001714751648 1203118363 /nfs/dbraw/zinc/11/83/63/1203118363.db2.gz HYUDLUAZLDZRIK-GOSISDBHSA-N 0 1 321.465 3.257 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)C[C@@H](C)CC(C)C ZINC001714779550 1203125202 /nfs/dbraw/zinc/12/52/02/1203125202.db2.gz LQHDFYUHYADTEI-LBPRGKRZSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001714796397 1203128546 /nfs/dbraw/zinc/12/85/46/1203128546.db2.gz PDQABGGZADRZDM-BHYGNILZSA-N 0 1 320.864 3.288 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1C[C@H]1C(C)C)C(C)C ZINC001714832549 1203143557 /nfs/dbraw/zinc/14/35/57/1203143557.db2.gz VMJWNZSLOHDIHL-GJZGRUSLSA-N 0 1 300.874 3.248 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCC=CCCC1)c1ccccc1 ZINC001714848178 1203150216 /nfs/dbraw/zinc/15/02/16/1203150216.db2.gz PXQKZKDIOMBRKQ-MOPGFXCFSA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001714897110 1203184092 /nfs/dbraw/zinc/18/40/92/1203184092.db2.gz SHQNPZRKISQOID-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001714947797 1203211989 /nfs/dbraw/zinc/21/19/89/1203211989.db2.gz PFGWBAZFXWJOSR-OAHLLOKOSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001714971502 1203221445 /nfs/dbraw/zinc/22/14/45/1203221445.db2.gz GQPDQSQYYTXYBW-GOSISDBHSA-N 0 1 316.420 3.217 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001714971333 1203221624 /nfs/dbraw/zinc/22/16/24/1203221624.db2.gz UMOOTWRUDMLEJD-UHFFFAOYSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C/C=C\Cc1ccccc1 ZINC001714987955 1203227702 /nfs/dbraw/zinc/22/77/02/1203227702.db2.gz QFOHWGOXVUUVBP-RJCMGOLDSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(OC)c(C)c2)C1 ZINC001715001015 1203231379 /nfs/dbraw/zinc/23/13/79/1203231379.db2.gz RUXPHJCHAGEOKU-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001715018509 1203240245 /nfs/dbraw/zinc/24/02/45/1203240245.db2.gz HSQSQPRUTSALRL-DOTOQJQBSA-N 0 1 306.475 3.383 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001715051213 1203255406 /nfs/dbraw/zinc/25/54/06/1203255406.db2.gz SQXXWPSHTDSJRG-XNWJVHIKSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C2CCCCCCC2)C1 ZINC001715289632 1203307951 /nfs/dbraw/zinc/30/79/51/1203307951.db2.gz RVMYKVAKUPKDGQ-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN(CCC2=CCCCC2)CCO1 ZINC001715295294 1203308348 /nfs/dbraw/zinc/30/83/48/1203308348.db2.gz VQJYWXSQYFEKFX-GOSISDBHSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001715306022 1203311167 /nfs/dbraw/zinc/31/11/67/1203311167.db2.gz XOHMHVJJMLGEOO-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCCCC(C)(C)C)CC2 ZINC001715313515 1203313360 /nfs/dbraw/zinc/31/33/60/1203313360.db2.gz GWNRUIUONUHGBW-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C(C)(C)CC(C)C)CC2 ZINC001715316511 1203313792 /nfs/dbraw/zinc/31/37/92/1203313792.db2.gz UPBWAWCWDVMPGB-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@H](C)NCc2coc(C)n2)C1 ZINC001715316119 1203313822 /nfs/dbraw/zinc/31/38/22/1203313822.db2.gz QQADZYSEOBZYIJ-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C)(C)C1CCCC1 ZINC001715335548 1203318341 /nfs/dbraw/zinc/31/83/41/1203318341.db2.gz HHLUKZDXXPXQIS-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2scnc2c1 ZINC001715360069 1203323868 /nfs/dbraw/zinc/32/38/68/1203323868.db2.gz BJDOTNPAFLGGAO-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CCC(C)(F)F ZINC001715382348 1203330240 /nfs/dbraw/zinc/33/02/40/1203330240.db2.gz CPFUJAHDFAYOKX-DGCLKSJQSA-N 0 1 322.827 3.391 20 30 DGEDMN C#CCCCCC(=O)N1CCC(CCN(C)CC(=C)Cl)CC1 ZINC001715472905 1203347038 /nfs/dbraw/zinc/34/70/38/1203347038.db2.gz XKTLXPBXGMRTQP-UHFFFAOYSA-N 0 1 324.896 3.493 20 30 DGEDMN CC(C)[C@H](CNC(=O)C#CC(C)(C)C)NC/C(Cl)=C/Cl ZINC001715494444 1203351892 /nfs/dbraw/zinc/35/18/92/1203351892.db2.gz NUGKTLUWEUYTIK-FSBNRTBOSA-N 0 1 319.276 3.085 20 30 DGEDMN CCCCC(=O)NC/C=C\CNCC#Cc1cccc(Cl)c1 ZINC001715796783 1203393260 /nfs/dbraw/zinc/39/32/60/1203393260.db2.gz XKCBJAZFJJQMBU-PLNGDYQASA-N 0 1 318.848 3.144 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc2c1CCCC2 ZINC001715930899 1203405857 /nfs/dbraw/zinc/40/58/57/1203405857.db2.gz LFFRBODQNNYTOH-CYBMUJFWSA-N 0 1 306.837 3.026 20 30 DGEDMN C=CCC1(C(=O)N(C)CCN(C)Cc2ccsc2)CCC1 ZINC001715940706 1203407834 /nfs/dbraw/zinc/40/78/34/1203407834.db2.gz KKOMBFRLERNXOO-UHFFFAOYSA-N 0 1 306.475 3.385 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001715995354 1203418259 /nfs/dbraw/zinc/41/82/59/1203418259.db2.gz GZQAFNGUZXZFFE-LBPRGKRZSA-N 0 1 324.852 3.242 20 30 DGEDMN CC(C)C#CC(=O)NC/C=C/CN[C@H](C)c1ccccc1Cl ZINC001716291460 1203457768 /nfs/dbraw/zinc/45/77/68/1203457768.db2.gz QRGGUZGRFXGFOM-LQYUOIDQSA-N 0 1 318.848 3.322 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1cccc(OC)c1Cl ZINC001716407355 1203467738 /nfs/dbraw/zinc/46/77/38/1203467738.db2.gz INXBRIULOGXZAI-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001716409346 1203467933 /nfs/dbraw/zinc/46/79/33/1203467933.db2.gz DBFNNPYHTXXFTH-MLGOLLRUSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN[C@@H](C)c2c(F)cccc2F)C1 ZINC001716411277 1203468495 /nfs/dbraw/zinc/46/84/95/1203468495.db2.gz GFCPSGHHTRZUFJ-OLZOCXBDSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2cc(F)ccc2F)CC1 ZINC001716450999 1203476380 /nfs/dbraw/zinc/47/63/80/1203476380.db2.gz AZEAPMDINRQWOO-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)C[C@H](C)CC(C)C ZINC001716459281 1203478001 /nfs/dbraw/zinc/47/80/01/1203478001.db2.gz CFOSYUNNHCXIBL-YPMHNXCESA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001716465747 1203479513 /nfs/dbraw/zinc/47/95/13/1203479513.db2.gz IXQDCSVFXSCFSX-WFASDCNBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCNC/C(Cl)=C/Cl)CC1 ZINC001716565121 1203503032 /nfs/dbraw/zinc/50/30/32/1203503032.db2.gz WUHQKNQBRSMCAP-UVTDQMKNSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001716670213 1203520977 /nfs/dbraw/zinc/52/09/77/1203520977.db2.gz CPJBXMHMOHMMLR-PBHICJAKSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001716683990 1203524640 /nfs/dbraw/zinc/52/46/40/1203524640.db2.gz XZIQAJOTDBJZKS-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C[C@H]1CCC[C@@H]1CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716683557 1203524674 /nfs/dbraw/zinc/52/46/74/1203524674.db2.gz HVECOVMNFBZQDN-PKOBYXMFSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001716693807 1203526512 /nfs/dbraw/zinc/52/65/12/1203526512.db2.gz ZRMPSYIUXYTKNO-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CN(CCC2CCCC2)C1 ZINC001716741881 1203535485 /nfs/dbraw/zinc/53/54/85/1203535485.db2.gz OUINWQTXVMRONY-UHFFFAOYSA-N 0 1 304.478 3.478 20 30 DGEDMN C[C@@H]1CCC[C@H](CCN2CC(N(C)C(=O)C#CC(C)(C)C)C2)C1 ZINC001716740987 1203535655 /nfs/dbraw/zinc/53/56/55/1203535655.db2.gz IHADGLUBMWSQQM-IAGOWNOFSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C1CN(CC2CCC2)C1)c1ccccc1 ZINC001716753526 1203538300 /nfs/dbraw/zinc/53/83/00/1203538300.db2.gz JARNRFJWVYKXJY-LJQANCHMSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1CCC(F)(F)CC1 ZINC001716784857 1203542227 /nfs/dbraw/zinc/54/22/27/1203542227.db2.gz LPWGSDZRKWGXDR-ZDUSSCGKSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCN(C(=O)CCC1CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001716804298 1203545076 /nfs/dbraw/zinc/54/50/76/1203545076.db2.gz CWUFQBPRJRSJMA-KRWDZBQOSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCN(C(=O)CC[C@@H](C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001716810253 1203545405 /nfs/dbraw/zinc/54/54/05/1203545405.db2.gz KJZZFDPWSQEYSU-IRXDYDNUSA-N 0 1 324.484 3.487 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001716844630 1203553174 /nfs/dbraw/zinc/55/31/74/1203553174.db2.gz VDORXRWAAXBLPY-XTXLOEGASA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCCCCCC(=O)N[C@]1(C)CCN(Cc2ccsc2)C1 ZINC001716846715 1203554085 /nfs/dbraw/zinc/55/40/85/1203554085.db2.gz VINATXSXLXOJIF-GOSISDBHSA-N 0 1 318.486 3.412 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cccnc2C)C1 ZINC001716848239 1203554676 /nfs/dbraw/zinc/55/46/76/1203554676.db2.gz ZWTQXHKGMOHLIO-APWZRJJASA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C(C)C)c1ccccc1CC ZINC001716953899 1203569491 /nfs/dbraw/zinc/56/94/91/1203569491.db2.gz KQZSYEHHMMGVHK-SFHVURJKSA-N 0 1 314.473 3.311 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)CC1CC1 ZINC001717003558 1203576263 /nfs/dbraw/zinc/57/62/63/1203576263.db2.gz DKTYKDCABRTQSP-UHFFFAOYSA-N 0 1 306.837 3.157 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CCCCC(C)C)C[C@@H]2C1 ZINC001717013650 1203577811 /nfs/dbraw/zinc/57/78/11/1203577811.db2.gz OTPPFCINVSLDGM-IYBDPMFKSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CCCC3CCCC3)C[C@@H]2C1 ZINC001717015186 1203577826 /nfs/dbraw/zinc/57/78/26/1203577826.db2.gz UKECEAKPUJSNCL-CALCHBBNSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)CCCCC(C)(C)C)C1 ZINC001717023613 1203578180 /nfs/dbraw/zinc/57/81/80/1203578180.db2.gz TWHORTFHLOFIOT-IBGZPJMESA-N 0 1 324.509 3.112 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C\Cl ZINC001717035013 1203579717 /nfs/dbraw/zinc/57/97/17/1203579717.db2.gz FBKPQJGBIFWQKP-PBPRPIPASA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccsc1C ZINC001717113577 1203586130 /nfs/dbraw/zinc/58/61/30/1203586130.db2.gz ZHXMMKQTMPKVIB-UHFFFAOYSA-N 0 1 312.438 3.052 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H]3C=CCCC3)cccc2C1 ZINC001717143546 1203590264 /nfs/dbraw/zinc/59/02/64/1203590264.db2.gz NSDAYTGUHJDREA-QGZVFWFLSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccccc1OC ZINC001717145742 1203590563 /nfs/dbraw/zinc/59/05/63/1203590563.db2.gz XNSWMTQWRKWJAW-CQSZACIVSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccsc2Cl)CCC1 ZINC001717159115 1203593340 /nfs/dbraw/zinc/59/33/40/1203593340.db2.gz UVSBWLDTCPBHAR-UHFFFAOYSA-N 0 1 319.257 3.396 20 30 DGEDMN C=CCCC(=O)N(C)CCCN(C)Cc1nc2ccccc2o1 ZINC001717204729 1203600481 /nfs/dbraw/zinc/60/04/81/1203600481.db2.gz KWHLGEKDDMFVPS-UHFFFAOYSA-N 0 1 315.417 3.074 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C1(CC)CCC1 ZINC001717243692 1203609128 /nfs/dbraw/zinc/60/91/28/1203609128.db2.gz JMROOKWMBKZXML-LJQANCHMSA-N 0 1 324.468 3.133 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H](C)NCc1csc(C)n1 ZINC001717341067 1203621579 /nfs/dbraw/zinc/62/15/79/1203621579.db2.gz JZCASMYKUYDEPQ-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](CC)c1ccccc1 ZINC001717375328 1203629771 /nfs/dbraw/zinc/62/97/71/1203629771.db2.gz WCGSRGYVUKTGML-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001717389775 1203633416 /nfs/dbraw/zinc/63/34/16/1203633416.db2.gz ZXMXMDZTJAAPOI-WLYUNCDWSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001717423695 1203641288 /nfs/dbraw/zinc/64/12/88/1203641288.db2.gz WHZKADFGGYFHDT-NEPJUHHUSA-N 0 1 310.388 3.160 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001717470840 1203654932 /nfs/dbraw/zinc/65/49/32/1203654932.db2.gz PRGZAYIEGUYQMM-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2cc(C)ccc2s1 ZINC001717494869 1203665116 /nfs/dbraw/zinc/66/51/16/1203665116.db2.gz PMYHCKQLAQAKLZ-AWEZNQCLSA-N 0 1 314.454 3.283 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)CCCc1ccccc1 ZINC001717498491 1203666770 /nfs/dbraw/zinc/66/67/70/1203666770.db2.gz BUBUVUAUZLCEGK-ROUUACIJSA-N 0 1 314.473 3.105 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)C1(C)CC(F)(F)C1 ZINC001717532506 1203673701 /nfs/dbraw/zinc/67/37/01/1203673701.db2.gz IMZCRJASNUAVHQ-LBPRGKRZSA-N 0 1 322.827 3.439 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@](C)(F)CCCC ZINC001717538970 1203677052 /nfs/dbraw/zinc/67/70/52/1203677052.db2.gz WITWPYUDNOWXSM-FUHWJXTLSA-N 0 1 310.457 3.289 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001717556666 1203681039 /nfs/dbraw/zinc/68/10/39/1203681039.db2.gz IFNKZEAIEBYGSW-OAHLLOKOSA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)C=C(CC)CC)C2)C1 ZINC001717573224 1203683522 /nfs/dbraw/zinc/68/35/22/1203683522.db2.gz LMKNOGCRAGTWGB-IBGZPJMESA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(Cc2scnc2C)CC1(C)C ZINC001717584774 1203686239 /nfs/dbraw/zinc/68/62/39/1203686239.db2.gz COHFZPBDVQQLFF-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584808 1203686472 /nfs/dbraw/zinc/68/64/72/1203686472.db2.gz DDAUYYNMVACMAB-CHWSQXEVSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCCC(F)(F)F)C1 ZINC001717824898 1203716625 /nfs/dbraw/zinc/71/66/25/1203716625.db2.gz YBMVANYLBFZQMT-MNOVXSKESA-N 0 1 312.763 3.051 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(Cl)s1)C(C)C ZINC001717952560 1203734685 /nfs/dbraw/zinc/73/46/85/1203734685.db2.gz YTMOKHRANJOQQW-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC2CCC(C)(C)CC2)CC1 ZINC001718035998 1203745127 /nfs/dbraw/zinc/74/51/27/1203745127.db2.gz XXKFOZMPBDCRHM-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCC2CCCC2)CC1 ZINC001718035426 1203745257 /nfs/dbraw/zinc/74/52/57/1203745257.db2.gz DEDDJXMSTOLAOV-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1CCC(F)(F)C1)C1CC1 ZINC001723302688 1203962420 /nfs/dbraw/zinc/96/24/20/1203962420.db2.gz UWBGPESONICPBR-AAEUAGOBSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1ncc(C)s1)C1CC1 ZINC001723333612 1203964361 /nfs/dbraw/zinc/96/43/61/1203964361.db2.gz JDVCSFJOSUPMOY-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCCCC1(C)C)C1CC1 ZINC001723356066 1203965319 /nfs/dbraw/zinc/96/53/19/1203965319.db2.gz NCKZGWCIUSZHHC-CABCVRRESA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCCCC1(C)C)C1CC1 ZINC001723356068 1203965481 /nfs/dbraw/zinc/96/54/81/1203965481.db2.gz NCKZGWCIUSZHHC-HUUCEWRRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001723416150 1203969078 /nfs/dbraw/zinc/96/90/78/1203969078.db2.gz ICNIOPWSWUVPKB-MRXNPFEDSA-N 0 1 304.434 3.447 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1[C@H](C)c1cccc(C)c1 ZINC001723423458 1203970151 /nfs/dbraw/zinc/97/01/51/1203970151.db2.gz OOQOEKRBPAEHED-IEBWSBKVSA-N 0 1 312.457 3.440 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001723460796 1203974990 /nfs/dbraw/zinc/97/49/90/1203974990.db2.gz IYIXKZFHVFPXIA-INIZCTEOSA-N 0 1 320.502 3.474 20 30 DGEDMN C[C@@H](C(=O)NCC1(NCc2ccc(C#N)s2)CC1)C(C)(C)C ZINC001723531240 1203980891 /nfs/dbraw/zinc/98/08/91/1203980891.db2.gz YHOUNGFVIRDAMR-LBPRGKRZSA-N 0 1 319.474 3.040 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)[nH]c3ccccc32)CC1 ZINC001723537784 1203981010 /nfs/dbraw/zinc/98/10/10/1203981010.db2.gz MHOBUYXPSPLYHW-UHFFFAOYSA-N 0 1 317.820 3.081 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C1CN(CC2CCCCCC2)C1 ZINC001723736980 1203991000 /nfs/dbraw/zinc/99/10/00/1203991000.db2.gz PQEUKBPLACTGQD-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@H](OC)C2)C1 ZINC001723790332 1203992706 /nfs/dbraw/zinc/99/27/06/1203992706.db2.gz FUKRGOTZUBKKCG-AEFFLSMTSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c[nH]cc2C2CC2)C1 ZINC001723804352 1203993904 /nfs/dbraw/zinc/99/39/04/1203993904.db2.gz RSNRTFVDYRQQQV-ZDUSSCGKSA-N 0 1 301.434 3.003 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3ccncc32)C1 ZINC001723803359 1203994192 /nfs/dbraw/zinc/99/41/92/1203994192.db2.gz LLNKSUPPWTVHBB-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(C3CCCCC3)CC2)C1 ZINC001723815127 1203994674 /nfs/dbraw/zinc/99/46/74/1203994674.db2.gz WDVCMSHHZDVIEX-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CNCc1cccc(F)c1F ZINC001723886775 1203997633 /nfs/dbraw/zinc/99/76/33/1203997633.db2.gz CFOHIBUOHWNBDB-AWEZNQCLSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@H]1CCCC1(F)F ZINC001723937264 1203999065 /nfs/dbraw/zinc/99/90/65/1203999065.db2.gz HTRSLLZQOXUCAT-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC001724114150 1204005818 /nfs/dbraw/zinc/00/58/18/1204005818.db2.gz BYJULPWVLSERRF-CABCVRRESA-N 0 1 319.474 3.065 20 30 DGEDMN CC(C)C#CC(=O)N[C@@]1(C)CCN([C@H](C)c2ccccc2F)C1 ZINC001724154724 1204010041 /nfs/dbraw/zinc/01/00/41/1204010041.db2.gz OPJOHLNEGUNSSV-BEFAXECRSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CC1(CC(=O)N[C@@]2(C)CCN(CC#CC)C2)CCCCC1 ZINC001724175319 1204012009 /nfs/dbraw/zinc/01/20/09/1204012009.db2.gz NKTAHFUPIDUBLB-SFHVURJKSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccccc2C2(C)CC2)C1 ZINC001724332976 1204022688 /nfs/dbraw/zinc/02/26/88/1204022688.db2.gz LVQHLVSGOWIQIO-HXUWFJFHSA-N 0 1 324.468 3.203 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]2CN(C[C@@H](F)CC)C[C@H]21 ZINC001724655912 1204037027 /nfs/dbraw/zinc/03/70/27/1204037027.db2.gz GYLPMDMFLJFFNF-OKZBNKHCSA-N 0 1 322.468 3.426 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](CC)CC(F)F ZINC001724735705 1204040886 /nfs/dbraw/zinc/04/08/86/1204040886.db2.gz CMKPKGXZACEAKO-CQSZACIVSA-N 0 1 322.399 3.049 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCC[C@H](C)C1 ZINC001724737670 1204041314 /nfs/dbraw/zinc/04/13/14/1204041314.db2.gz DAWLPCWVUHHDPH-IRXDYDNUSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](CC)CCC)C2)CC1 ZINC001724760646 1204044800 /nfs/dbraw/zinc/04/48/00/1204044800.db2.gz YUNXLJIVBJDMRZ-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001724831665 1204050245 /nfs/dbraw/zinc/05/02/45/1204050245.db2.gz NWGUXFHRAIGFHQ-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001724840028 1204052459 /nfs/dbraw/zinc/05/24/59/1204052459.db2.gz DHXSFPAIUIMKCK-YJBOKZPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1cncc(F)c1 ZINC001724854518 1204057275 /nfs/dbraw/zinc/05/72/75/1204057275.db2.gz ACJCUVSYLLFPLR-GOSISDBHSA-N 0 1 321.440 3.103 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C[C@@H]1C=CCC1 ZINC001724893142 1204063295 /nfs/dbraw/zinc/06/32/95/1204063295.db2.gz JQFRXHSDCNOESJ-YLJYHZDGSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CC(CC)CC)CC2)C1 ZINC001725013773 1204079891 /nfs/dbraw/zinc/07/98/91/1204079891.db2.gz WUGLJGIWZOVVMY-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@@H]([C@H]2CCN(CC(=C)Cl)C2)C1 ZINC001725057639 1204087619 /nfs/dbraw/zinc/08/76/19/1204087619.db2.gz JYZJHJVBHDQLJA-SJORKVTESA-N 0 1 322.880 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1csc(Cl)c1 ZINC001725117490 1204098035 /nfs/dbraw/zinc/09/80/35/1204098035.db2.gz WWWHOCCETHJSRO-DTWKUNHWSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001725138577 1204101778 /nfs/dbraw/zinc/10/17/78/1204101778.db2.gz YSQCUQWBHURRRD-QWHCGFSZSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@@H]1CCC1(F)F ZINC001725232243 1204122977 /nfs/dbraw/zinc/12/29/77/1204122977.db2.gz GWFIQSSVJIVPBF-RYUDHWBXSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc(C)[nH]c1C ZINC001725233424 1204123429 /nfs/dbraw/zinc/12/34/29/1204123429.db2.gz WZDQWXPPAUMCLW-CQSZACIVSA-N 0 1 311.857 3.262 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001725257023 1204128945 /nfs/dbraw/zinc/12/89/45/1204128945.db2.gz GWKWIJQECIQWKJ-ZDUSSCGKSA-N 0 1 306.475 3.205 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)[C@@H](CC)CCC)C2)C1 ZINC001725287930 1204133484 /nfs/dbraw/zinc/13/34/84/1204133484.db2.gz WHKFBYLFDAGGBT-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)[C@@H](CC)CCC)C2)C1 ZINC001725287917 1204133684 /nfs/dbraw/zinc/13/36/84/1204133684.db2.gz WHKFBYLFDAGGBT-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001725448889 1204151851 /nfs/dbraw/zinc/15/18/51/1204151851.db2.gz GHXPOOZDXCNFES-FSBNRTBOSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CCC(C)(C)C1 ZINC001725465418 1204153360 /nfs/dbraw/zinc/15/33/60/1204153360.db2.gz NVQHZYUUSBOQAI-GJZGRUSLSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CCCC1(C)C ZINC001725466687 1204153633 /nfs/dbraw/zinc/15/36/33/1204153633.db2.gz LDVFOFMFPQXTSZ-CABCVRRESA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2nc(C)cs2)CCC1 ZINC001725509074 1204162122 /nfs/dbraw/zinc/16/21/22/1204162122.db2.gz ZEOPFFYUWVFAAO-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ccncc2Cl)C[C@H]1C ZINC001725598724 1204175502 /nfs/dbraw/zinc/17/55/02/1204175502.db2.gz OISMNHITLGDFLB-UKRRQHHQSA-N 0 1 321.852 3.170 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cccnc2C)[C@H]1C ZINC001725627216 1204185533 /nfs/dbraw/zinc/18/55/33/1204185533.db2.gz ORABEOSXLXMLFH-DOTOQJQBSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CCN(C/C=C\Cl)CC2)C1 ZINC001725777356 1204219747 /nfs/dbraw/zinc/21/97/47/1204219747.db2.gz UKOQPGFDPVKNSH-ZBKLQPJUSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)C2CCCCC2)CC1 ZINC001725825401 1204226982 /nfs/dbraw/zinc/22/69/82/1204226982.db2.gz DKFUKXXZZBBNJV-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN CN(CC(=O)C(C#N)c1nc2ccccc2o1)Cc1ccccc1 ZINC000179363493 1204309293 /nfs/dbraw/zinc/30/92/93/1204309293.db2.gz NTUCYGHCKNDOTI-OAHLLOKOSA-N 0 1 319.364 3.136 20 30 DGEDMN CC/C(C)=C\C(=O)NCCCN(CC)Cc1ccccc1C#N ZINC001731307984 1204369481 /nfs/dbraw/zinc/36/94/81/1204369481.db2.gz FXXKEEMODOSXTL-SSZFMOIBSA-N 0 1 313.445 3.243 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001731353004 1204382615 /nfs/dbraw/zinc/38/26/15/1204382615.db2.gz LXTYLHJZKCUIHF-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@H](C)c2cccc(OC)c2)C1 ZINC001731372335 1204387504 /nfs/dbraw/zinc/38/75/04/1204387504.db2.gz LFUVLCXSWSEYAQ-WBVHZDCISA-N 0 1 316.445 3.303 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCO1 ZINC001731423926 1204408970 /nfs/dbraw/zinc/40/89/70/1204408970.db2.gz BJBLKBZASLGLJJ-FGTMMUONSA-N 0 1 322.493 3.201 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001731451011 1204415892 /nfs/dbraw/zinc/41/58/92/1204415892.db2.gz CAGJWCMPANIXQG-HBUWYVDXSA-N 0 1 319.449 3.137 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H](C)CCC=C(C)C ZINC001731540932 1204451117 /nfs/dbraw/zinc/45/11/17/1204451117.db2.gz NAGMYUCQFUNXLM-ROUUACIJSA-N 0 1 304.478 3.363 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731580544 1204470933 /nfs/dbraw/zinc/47/09/33/1204470933.db2.gz DNXSEFKLGHQALQ-LULHVWEPSA-N 0 1 318.848 3.142 20 30 DGEDMN CCc1ccccc1C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001731616453 1204484318 /nfs/dbraw/zinc/48/43/18/1204484318.db2.gz TXZBHRZZRPXCEQ-OAHLLOKOSA-N 0 1 321.424 3.029 20 30 DGEDMN C=CCCC(=O)NCCN(C)Cc1cccc(C(F)(F)F)c1 ZINC001731766102 1204544954 /nfs/dbraw/zinc/54/49/54/1204544954.db2.gz OKNNVLHWTACSKN-UHFFFAOYSA-N 0 1 314.351 3.220 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CC[C@H](C)c1ccccc1 ZINC001731813209 1204578837 /nfs/dbraw/zinc/57/88/37/1204578837.db2.gz MVRBPLDMLAIANE-KRWDZBQOSA-N 0 1 300.446 3.032 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc(C(C)(C)C)oc1C)C1CC1 ZINC001731882799 1204622871 /nfs/dbraw/zinc/62/28/71/1204622871.db2.gz UYPXOMFKBJTXTP-UHFFFAOYSA-N 0 1 316.445 3.103 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@H](C)CCC)c1ccccc1 ZINC001732018520 1204650678 /nfs/dbraw/zinc/65/06/78/1204650678.db2.gz KGACBDLJRCRMCZ-SJLPKXTDSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccccc2C)C1 ZINC001732042193 1204664240 /nfs/dbraw/zinc/66/42/40/1204664240.db2.gz PPJKYMUQPMVMPS-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@@H](C)CCC)C1 ZINC001732045753 1204666312 /nfs/dbraw/zinc/66/63/12/1204666312.db2.gz BPRDREOXACSWNW-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC2CC(C)(C)C2)C1 ZINC001732052328 1204669810 /nfs/dbraw/zinc/66/98/10/1204669810.db2.gz GFRCUWUXPLBFJK-AWEZNQCLSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC001732091580 1204691420 /nfs/dbraw/zinc/69/14/20/1204691420.db2.gz SUUXNZMKLUDRSY-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(c3cccc(F)c3)CC2)C1 ZINC001732113298 1204698673 /nfs/dbraw/zinc/69/86/73/1204698673.db2.gz ZNJDTRAFGANVOZ-AWEZNQCLSA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2cc(Cl)cs2)C1 ZINC001732113163 1204698910 /nfs/dbraw/zinc/69/89/10/1204698910.db2.gz YLJFUOHDUJXNQJ-UHFFFAOYSA-N 0 1 312.866 3.101 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001732184403 1204736712 /nfs/dbraw/zinc/73/67/12/1204736712.db2.gz GKYWIWQAQSIPEL-ZVDOUQERSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1ccsc1 ZINC001732213209 1204752867 /nfs/dbraw/zinc/75/28/67/1204752867.db2.gz WQRRVAOITCNQEB-AAEUAGOBSA-N 0 1 300.855 3.089 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)CC(C)=C(C)C ZINC001732207303 1204748765 /nfs/dbraw/zinc/74/87/65/1204748765.db2.gz XJRWENSGQOGNAJ-CYBMUJFWSA-N 0 1 317.271 3.126 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1CCC(C2CC2)CC1 ZINC001732216331 1204755132 /nfs/dbraw/zinc/75/51/32/1204755132.db2.gz DRKGYYBYIBKDSI-UYSNPLJNSA-N 0 1 312.885 3.440 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)C=C2CCC2)CCCC1 ZINC001732389655 1204814245 /nfs/dbraw/zinc/81/42/45/1204814245.db2.gz KZGHOUSSYMSOCB-UHFFFAOYSA-N 0 1 323.440 3.187 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)c(F)cc1F ZINC001732422722 1204833138 /nfs/dbraw/zinc/83/31/38/1204833138.db2.gz IYHLEVTVBSVOHR-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCCF)C1CCCCC1 ZINC001732476620 1204864572 /nfs/dbraw/zinc/86/45/72/1204864572.db2.gz GFNKLIOXXSFMFK-CQSZACIVSA-N 0 1 304.837 3.143 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cc[nH]c1CC ZINC001732536751 1204884925 /nfs/dbraw/zinc/88/49/25/1204884925.db2.gz SIJKKZXZGQBREQ-MLGOLLRUSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2cccc(F)c2)C1 ZINC001732592481 1204914303 /nfs/dbraw/zinc/91/43/03/1204914303.db2.gz LHLSDFDSHXXHSD-UHFFFAOYSA-N 0 1 304.409 3.072 20 30 DGEDMN CCC(=CC(=O)N(CC)CCNCC#Cc1ccccc1)CC ZINC001732769568 1204941582 /nfs/dbraw/zinc/94/15/82/1204941582.db2.gz SPCQIJSUCGBLBV-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1ccc(Cl)cc1F ZINC001732771264 1204942243 /nfs/dbraw/zinc/94/22/43/1204942243.db2.gz JHDVCIMYKPOUSF-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2ccncc2s1 ZINC001732778088 1204942638 /nfs/dbraw/zinc/94/26/38/1204942638.db2.gz IJHNUVUIXXFLEE-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN CCCC[C@H](C)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001732848045 1204951230 /nfs/dbraw/zinc/95/12/30/1204951230.db2.gz QILNQLQGDRADDR-BLGFXRMMSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001732851331 1204951769 /nfs/dbraw/zinc/95/17/69/1204951769.db2.gz LIZLWCUZDNCFMD-JHZNUDOXSA-N 0 1 324.877 3.175 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001732906523 1204958128 /nfs/dbraw/zinc/95/81/28/1204958128.db2.gz HDKWOXISZMCMSL-XVWMLYKFSA-N 0 1 306.837 3.113 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001732906518 1204958238 /nfs/dbraw/zinc/95/82/38/1204958238.db2.gz HDKWOXISZMCMSL-FOSCPCJNSA-N 0 1 306.837 3.113 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1Cc1ccc(CCC)cc1 ZINC001733207683 1205044627 /nfs/dbraw/zinc/04/46/27/1205044627.db2.gz QEMDEGPWLSOYRN-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN Cc1ccc(C)c([C@H](C)N2CC[C@H]2CNC(=O)C#CC(C)C)c1 ZINC001733212460 1205046745 /nfs/dbraw/zinc/04/67/45/1205046745.db2.gz OUTREZXNQXKNMF-ROUUACIJSA-N 0 1 312.457 3.214 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001733307814 1205089641 /nfs/dbraw/zinc/08/96/41/1205089641.db2.gz IOXUQKSWWLAWLV-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC001733317583 1205094057 /nfs/dbraw/zinc/09/40/57/1205094057.db2.gz PJKNEIZCCDVVLJ-UHFFFAOYSA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(CCOC)CCCC2)C1 ZINC001733317291 1205094077 /nfs/dbraw/zinc/09/40/77/1205094077.db2.gz NODXXUYJNIYICG-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C1CN(CCCC)C1)c1ccccc1 ZINC001733317311 1205094157 /nfs/dbraw/zinc/09/41/57/1205094157.db2.gz CISPIXIVJWVWAV-MRXNPFEDSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)C1CC1)C1CCN(C[C@H](F)CC)CC1 ZINC001733365559 1205107299 /nfs/dbraw/zinc/10/72/99/1205107299.db2.gz UIFBYVLXDPWKEU-AKMXKPQHSA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCN(C(=O)CCCC(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001733371816 1205108010 /nfs/dbraw/zinc/10/80/10/1205108010.db2.gz RDDCBWLPDBCXKZ-IZZDOVSWSA-N 0 1 324.896 3.491 20 30 DGEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2cc(Cl)cs2)C1 ZINC001733463097 1205144540 /nfs/dbraw/zinc/14/45/40/1205144540.db2.gz AAAZYPQJWYPHET-MRXNPFEDSA-N 0 1 324.877 3.257 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001733464029 1205145125 /nfs/dbraw/zinc/14/51/25/1205145125.db2.gz STFXBAROPNHLAT-TZIWHRDSSA-N 0 1 324.468 3.032 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@H](CC)CCC)C1 ZINC001733476930 1205149237 /nfs/dbraw/zinc/14/92/37/1205149237.db2.gz LUWAABLSEHKZEE-HUUCEWRRSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cccc(C)c1)c1ccccc1CC ZINC001733513776 1205151288 /nfs/dbraw/zinc/15/12/88/1205151288.db2.gz NLQMZCROFALMPE-FQEVSTJZSA-N 0 1 320.436 3.251 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC1CCC1)c1ccccc1CC ZINC001733518481 1205151955 /nfs/dbraw/zinc/15/19/55/1205151955.db2.gz OPCDNVKERNVPMX-IBGZPJMESA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C1=CCCC1 ZINC001733569385 1205165558 /nfs/dbraw/zinc/16/55/58/1205165558.db2.gz CANYJVUQVZAMJX-UHFFFAOYSA-N 0 1 318.848 3.468 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001733763661 1205202752 /nfs/dbraw/zinc/20/27/52/1205202752.db2.gz YLXNWANTCMNPQY-FQEVSTJZSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001733763152 1205202765 /nfs/dbraw/zinc/20/27/65/1205202765.db2.gz HQXFEYBRTKHUNJ-YJBOKZPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CC[C@H]4C[C@H]43)cc2C1 ZINC001733835584 1205227872 /nfs/dbraw/zinc/22/78/72/1205227872.db2.gz GCPPNYATQRBHQK-ABSDTBQOSA-N 0 1 322.452 3.078 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2conc2CC)[C@@H](C)C1 ZINC001733902493 1205248750 /nfs/dbraw/zinc/24/87/50/1205248750.db2.gz UJTHDPGFZJDMAL-GOEBONIOSA-N 0 1 319.449 3.062 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CCC1CCCCC1 ZINC001733925242 1205257006 /nfs/dbraw/zinc/25/70/06/1205257006.db2.gz OIHHBCRNPLGDBG-TZIWHRDSSA-N 0 1 324.468 3.352 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C/C=C\c1ccc(F)cc1 ZINC001733932365 1205261980 /nfs/dbraw/zinc/26/19/80/1205261980.db2.gz NWBXUFNEZHCILX-WLPBJKJWSA-N 0 1 316.420 3.338 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C)cc(Cl)c1 ZINC001733933703 1205263031 /nfs/dbraw/zinc/26/30/31/1205263031.db2.gz IYHFKEKHGMAXAO-KRWDZBQOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)nc1 ZINC001733974052 1205275956 /nfs/dbraw/zinc/27/59/56/1205275956.db2.gz GXUPAQSYPMFHSL-STQMWFEESA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(C2CC2)c1 ZINC001734003675 1205285716 /nfs/dbraw/zinc/28/57/16/1205285716.db2.gz GQPVXCFXZOUZFJ-STQMWFEESA-N 0 1 306.837 3.413 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1ccc(C(C)C)nc1C ZINC001734030767 1205294874 /nfs/dbraw/zinc/29/48/74/1205294874.db2.gz OBOMBQBOLGESDA-OAHLLOKOSA-N 0 1 317.477 3.416 20 30 DGEDMN CCCC[C@@H](CNCc1ccccc1C#N)NC(=O)C1CCC1 ZINC001734092958 1205319622 /nfs/dbraw/zinc/31/96/22/1205319622.db2.gz WIBGOWMDZCZFJK-SFHVURJKSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@H](C)C1CN(CC#CC)C1)c1ccccc1 ZINC001734130905 1205331530 /nfs/dbraw/zinc/33/15/30/1205331530.db2.gz LIUSDKKMYHTNNY-YLJYHZDGSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)[C@@H](CC)CCC)C2)C1 ZINC001734140380 1205332251 /nfs/dbraw/zinc/33/22/51/1205332251.db2.gz RNZOXAWFJDPKQA-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)C(C)(C)C1 ZINC001734146125 1205334281 /nfs/dbraw/zinc/33/42/81/1205334281.db2.gz NOPRFLNXJZDPOT-QGZVFWFLSA-N 0 1 312.457 3.022 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(Cc3ccccc3)CC2)C(C)(C)C1 ZINC001734146124 1205334808 /nfs/dbraw/zinc/33/48/08/1205334808.db2.gz NOPRFLNXJZDPOT-KRWDZBQOSA-N 0 1 312.457 3.022 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001734153527 1205337821 /nfs/dbraw/zinc/33/78/21/1205337821.db2.gz IFGJTAXPROYHBW-PKOBYXMFSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001734214989 1205344876 /nfs/dbraw/zinc/34/48/76/1205344876.db2.gz FIBYCBYMQXUNSJ-OPSIHOIKSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](CNCc1nccs1)C1CC1 ZINC001734307014 1205360992 /nfs/dbraw/zinc/36/09/92/1205360992.db2.gz QGZVFKVLKYUCPX-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H](C)c1ccccc1 ZINC001734309749 1205361686 /nfs/dbraw/zinc/36/16/86/1205361686.db2.gz CTNYDCPZHXWZJM-RHSMWYFYSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2cc(C)cn2c1 ZINC001734328919 1205365626 /nfs/dbraw/zinc/36/56/26/1205365626.db2.gz SZELBYHBMYBFGH-AWEZNQCLSA-N 0 1 319.836 3.050 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc2cccnc21 ZINC001734332542 1205366297 /nfs/dbraw/zinc/36/62/97/1205366297.db2.gz QMCOKQJLEAVMTG-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(F)ccc(C)c1F ZINC001734340945 1205367668 /nfs/dbraw/zinc/36/76/68/1205367668.db2.gz KNIUGMMLMYOWHJ-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C[C@@H]1C ZINC001734421964 1205375060 /nfs/dbraw/zinc/37/50/60/1205375060.db2.gz HPSPJSCKXGETFF-XJKSGUPXSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(Cc3ccccc3)CC2)[C@@H]1C ZINC001734459121 1205381196 /nfs/dbraw/zinc/38/11/96/1205381196.db2.gz NGHNRPNLERKTPF-WMZOPIPTSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2nc(C)sc2C)C(C)(C)C1 ZINC001734491229 1205386718 /nfs/dbraw/zinc/38/67/18/1205386718.db2.gz PAYQRJYAGFPSSR-HNNXBMFYSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1C[C@H](C)C[C@H](C)C1)C(C)C ZINC001734553427 1205392169 /nfs/dbraw/zinc/39/21/69/1205392169.db2.gz RNEGVHGAHJXCON-PIIMJCKOSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001734569481 1205397002 /nfs/dbraw/zinc/39/70/02/1205397002.db2.gz MQFCTIJEUQNCSD-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001734569480 1205397175 /nfs/dbraw/zinc/39/71/75/1205397175.db2.gz MQFCTIJEUQNCSD-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](CC)CC2CCCCC2)CC1 ZINC001734633854 1205407314 /nfs/dbraw/zinc/40/73/14/1205407314.db2.gz KQVKMQRNLRWDMW-IBGZPJMESA-N 0 1 316.489 3.365 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC001734633733 1205407703 /nfs/dbraw/zinc/40/77/03/1205407703.db2.gz JJXNTCZSIKYGPH-GOSISDBHSA-N 0 1 324.468 3.260 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@@H](C)CCCC)c(F)c1 ZINC001734640432 1205408819 /nfs/dbraw/zinc/40/88/19/1205408819.db2.gz LHFXVALMOGRXQZ-HNNXBMFYSA-N 0 1 318.436 3.381 20 30 DGEDMN C[C@@H]1CN(C)[C@@H](C)CN1c1nc(-c2ccc(F)cc2)ccc1C#N ZINC001164630332 719157784 /nfs/dbraw/zinc/15/77/84/719157784.db2.gz IVWSOCRCQHYHQA-UONOGXRCSA-N 0 1 324.403 3.288 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001666720185 1197279769 /nfs/dbraw/zinc/27/97/69/1197279769.db2.gz PCOXSOWMGHLATM-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001668652992 1197423549 /nfs/dbraw/zinc/42/35/49/1197423549.db2.gz YOSLZHRMLALSTI-OAHLLOKOSA-N 0 1 322.880 3.364 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC001589308519 953774443 /nfs/dbraw/zinc/77/44/43/953774443.db2.gz UUSYHCRBIIDJSJ-UKRRQHHQSA-N 0 1 300.402 3.173 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC001589308518 953775550 /nfs/dbraw/zinc/77/55/50/953775550.db2.gz UUSYHCRBIIDJSJ-HIFRSBDPSA-N 0 1 300.402 3.173 20 30 DGEDMN C[C@H]1CCC[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)[C@H]1C(=O)[O-] ZINC001589333854 953971161 /nfs/dbraw/zinc/97/11/61/953971161.db2.gz CDOQSPLVYNHUCJ-XJKSGUPXSA-N 0 1 300.402 3.173 20 30 DGEDMN C#CC[N@H+](CCCCc1cccc(C(F)(F)F)c1)CC(=O)[O-] ZINC001573327318 958488504 /nfs/dbraw/zinc/48/85/04/958488504.db2.gz KKJNUYGEVIMEDA-UHFFFAOYSA-N 0 1 313.319 3.048 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)CC[C@@H]1C(=O)[O-] ZINC001603463814 973323062 /nfs/dbraw/zinc/32/30/62/973323062.db2.gz KHZJVMAZUWSABN-ZFWWWQNUSA-N 0 1 300.402 3.030 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1ccc(C#N)c(C)c1)CC1CC1 ZINC001594788377 981835366 /nfs/dbraw/zinc/83/53/66/981835366.db2.gz WGBKVMLQHAVXDF-SFHVURJKSA-N 0 1 300.402 3.332 20 30 DGEDMN C#CC[N@@H+](Cc1ccccc1)Cc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC001588471305 983505670 /nfs/dbraw/zinc/50/56/70/983505670.db2.gz IQQYRHHKBRLPIL-INIZCTEOSA-N 0 1 323.392 3.174 20 30 DGEDMN CC[N@H+](CCC#N)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001596299732 983726213 /nfs/dbraw/zinc/72/62/13/983726213.db2.gz XZUPTJNKPZIONQ-LBPRGKRZSA-N 0 1 301.173 3.355 20 30 DGEDMN CC[N@@H+](CCC#N)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001596299732 983726215 /nfs/dbraw/zinc/72/62/15/983726215.db2.gz XZUPTJNKPZIONQ-LBPRGKRZSA-N 0 1 301.173 3.355 20 30 DGEDMN CC[N@@H+](Cc1cc(C(=O)[O-])c(C)[nH]1)[C@H](C)c1ccc(C#N)cc1 ZINC001596354433 983818296 /nfs/dbraw/zinc/81/82/96/983818296.db2.gz QQJLUMNIBAUCRT-CYBMUJFWSA-N 0 1 311.385 3.476 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)Cc1ccc(F)cc1Br ZINC001588729559 983991457 /nfs/dbraw/zinc/99/14/57/983991457.db2.gz SGCURQONSJNVTL-GFCCVEGCSA-N 0 1 316.170 3.049 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001588829248 984312162 /nfs/dbraw/zinc/31/21/62/984312162.db2.gz LVSONTXWOZATKE-BQYQJAHWSA-N 0 1 301.342 3.201 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)C[C@]1(C)C(=O)[O-] ZINC001599929681 985288434 /nfs/dbraw/zinc/28/84/34/985288434.db2.gz HDKIEQAJHONMPL-UGSOOPFHSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CCC[C@H](CCC(=O)[O-])C2)cc1 ZINC001589336316 986220759 /nfs/dbraw/zinc/22/07/59/986220759.db2.gz YXBLNSTYHIEFAQ-HUUCEWRRSA-N 0 1 316.401 3.054 20 30 DGEDMN C[C@@H](C(=O)[O-])[N@@H+](Cc1ccc(C(C)(C)C#N)cc1)C1CCC1 ZINC001589487103 987042762 /nfs/dbraw/zinc/04/27/62/987042762.db2.gz QRNNYGRSNXBJIC-ZDUSSCGKSA-N 0 1 300.402 3.315 20 30 DGEDMN C[N@@H+](Cc1[nH]cc(C(=O)[O-])c1C1CC1)Cc1ccc(C#N)cc1 ZINC001598509137 992545474 /nfs/dbraw/zinc/54/54/74/992545474.db2.gz CAUFCCISWIYQSS-UHFFFAOYSA-N 0 1 309.369 3.094 20 30 DGEDMN C[N@@H+](Cc1ccc(C(=O)[O-])c2cccnc12)[C@@H]1CCC[C@@H]1C#N ZINC001598509556 992552101 /nfs/dbraw/zinc/55/21/01/992552101.db2.gz CZLFEMXOQYGPLQ-MLGOLLRUSA-N 0 1 309.369 3.057 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593687412 996127190 /nfs/dbraw/zinc/12/71/90/996127190.db2.gz ZDBDDLVBWODFTF-ZDUSSCGKSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593687412 996127194 /nfs/dbraw/zinc/12/71/94/996127194.db2.gz ZDBDDLVBWODFTF-ZDUSSCGKSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001593699286 996169619 /nfs/dbraw/zinc/16/96/19/996169619.db2.gz TURMAGDZSYWBNE-YXVBKTCISA-N 0 1 310.353 3.442 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc(Br)c1F ZINC001226128157 1096619739 /nfs/dbraw/zinc/61/97/39/1096619739.db2.gz CXHZGXSIKGWNQI-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C#CCN(Cc1cccc(Br)c1OC)CC1CC1 ZINC001320704838 1107111322 /nfs/dbraw/zinc/11/13/22/1107111322.db2.gz GTKWGTLOKFMTNR-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001266252466 1081581596 /nfs/dbraw/zinc/58/15/96/1081581596.db2.gz URYRBRVOEJUGSR-FUHWJXTLSA-N 0 1 300.446 3.022 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1c(Cl)cccc1C(F)(F)F ZINC000088475768 1081600065 /nfs/dbraw/zinc/60/00/65/1081600065.db2.gz IIJLGKLJUSNJIH-ZCFIWIBFSA-N 0 1 312.700 3.013 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@@H]1CN(CC#CC)C[C@H]1C)c1ccccc1 ZINC001211495153 1081625569 /nfs/dbraw/zinc/62/55/69/1081625569.db2.gz GIUMRMZAWRBWBM-MISYRCLQSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208964029 1081669544 /nfs/dbraw/zinc/66/95/44/1081669544.db2.gz QOZNTSIGHMEENU-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN COC(=O)c1cc2ccc(NC3=CC(=O)CC(C)(C)C3)nc2[nH]1 ZINC001169946616 1081683055 /nfs/dbraw/zinc/68/30/55/1081683055.db2.gz HTOBVQLADFFPMN-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN N#Cc1cnc2cc(NCc3ccc(-c4ncon4)cc3)c[nH]c1-2 ZINC001167097074 1081686966 /nfs/dbraw/zinc/68/69/66/1081686966.db2.gz GEFGBQGTQRJOPW-UHFFFAOYSA-N 0 1 316.324 3.097 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H](C)C(C)(C)C)CC1 ZINC001266373592 1081713224 /nfs/dbraw/zinc/71/32/24/1081713224.db2.gz HDUXZLLGRCNVMC-KGLIPLIRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CCCC2CC2)CC1 ZINC001266376818 1081716922 /nfs/dbraw/zinc/71/69/22/1081716922.db2.gz JNZXZGBVJODSRN-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2(CC)CCC2)CC1 ZINC001266376513 1081717372 /nfs/dbraw/zinc/71/73/72/1081717372.db2.gz DCUHQECEFBAXQU-AWEZNQCLSA-N 0 1 310.869 3.290 20 30 DGEDMN CCN(CCNC(=O)CC(C)(C)C)Cc1ccc(F)c(C#N)c1 ZINC001266377970 1081718860 /nfs/dbraw/zinc/71/88/60/1081718860.db2.gz LLDPSIVGMDZYOA-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cc(C(C)C)on2)CC1 ZINC001266388738 1081732820 /nfs/dbraw/zinc/73/28/20/1081732820.db2.gz OZGRPVLANXWUOF-UHFFFAOYSA-N 0 1 319.449 3.301 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CCC2(C)CC2)CC1 ZINC001266390165 1081734502 /nfs/dbraw/zinc/73/45/02/1081734502.db2.gz MTKZTYPUEFUQIZ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001109015716 1081751644 /nfs/dbraw/zinc/75/16/44/1081751644.db2.gz FMLIGLOQACPBMN-PEJHZZDISA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C)c(F)c1 ZINC001109055171 1081760670 /nfs/dbraw/zinc/76/06/70/1081760670.db2.gz RLBOZPCYDVAAGS-NJAFHUGGSA-N 0 1 316.420 3.322 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001266416566 1081773428 /nfs/dbraw/zinc/77/34/28/1081773428.db2.gz JQALESWWVDAKNY-KRWDZBQOSA-N 0 1 314.473 3.204 20 30 DGEDMN C#CCN(CC)CCNC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC001266422464 1081780502 /nfs/dbraw/zinc/78/05/02/1081780502.db2.gz CQXROSICVWCEBX-VQTJNVASSA-N 0 1 320.436 3.015 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H](NCc1ccccc1C#N)C1CC1 ZINC001266423160 1081782633 /nfs/dbraw/zinc/78/26/33/1081782633.db2.gz BZXOINCPDVHTHW-IVWUANMUSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)OCCCCCC)C2 ZINC001109279837 1081794403 /nfs/dbraw/zinc/79/44/03/1081794403.db2.gz RKOPGWMUYVZCAE-MHORFTMASA-N 0 1 322.493 3.269 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC1CCCCCC1)C2 ZINC001109534312 1081860169 /nfs/dbraw/zinc/86/01/69/1081860169.db2.gz AIHZQNPQWHLOPZ-SQNIBIBYSA-N 0 1 302.462 3.092 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001266464611 1081861448 /nfs/dbraw/zinc/86/14/48/1081861448.db2.gz DRVOAAKTMRYYTN-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001266474176 1081876652 /nfs/dbraw/zinc/87/66/52/1081876652.db2.gz IUNQMDFATJPBGI-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cnc(C)s1 ZINC001266479736 1081882240 /nfs/dbraw/zinc/88/22/40/1081882240.db2.gz BXEDLLASNSNIEA-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109633213 1081891204 /nfs/dbraw/zinc/89/12/04/1081891204.db2.gz SQLWQNGFTQPBBH-CRWXNKLISA-N 0 1 318.383 3.263 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCCCCC ZINC001266498908 1081903027 /nfs/dbraw/zinc/90/30/27/1081903027.db2.gz IKXNXSHXWHJLRW-HDICACEKSA-N 0 1 304.478 3.436 20 30 DGEDMN COC(=O)Nc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC001648808321 1081912643 /nfs/dbraw/zinc/91/26/43/1081912643.db2.gz KDJQPXZZVUIMDV-UHFFFAOYSA-N 0 1 313.332 3.165 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)Cc1ccccc1)C2 ZINC001109780515 1081915055 /nfs/dbraw/zinc/91/50/55/1081915055.db2.gz WGJAFDXUSMPBOD-ZRNYENFQSA-N 0 1 324.468 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)Cc1ccccc1)C2 ZINC001109937781 1081937322 /nfs/dbraw/zinc/93/73/22/1081937322.db2.gz LHCLELJPBDLUAZ-SQNIBIBYSA-N 0 1 312.457 3.163 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CCCCCC)C2 ZINC001109966316 1081942162 /nfs/dbraw/zinc/94/21/62/1081942162.db2.gz LOPBCORMINOKPC-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC1CCCCC1)C2 ZINC001110066257 1081958800 /nfs/dbraw/zinc/95/88/00/1081958800.db2.gz CRLWWDOWXBDZFV-QYZOEREBSA-N 0 1 316.489 3.482 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccsc2)CC1 ZINC001112599931 1081970744 /nfs/dbraw/zinc/97/07/44/1081970744.db2.gz CKSVVWSCMZKJDM-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccc(C)o2)CC1 ZINC001112769920 1082000033 /nfs/dbraw/zinc/00/00/33/1082000033.db2.gz IJTXFPRUOYIMTJ-UHFFFAOYSA-N 0 1 304.434 3.021 20 30 DGEDMN C[C@H]1CCC[C@@H](CCN2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001112834404 1082015065 /nfs/dbraw/zinc/01/50/65/1082015065.db2.gz LUYSRQKFYHAYAR-ROUUACIJSA-N 0 1 318.505 3.397 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001112817513 1082015139 /nfs/dbraw/zinc/01/51/39/1082015139.db2.gz PSBFANBDKFHRSQ-UHFFFAOYSA-N 0 1 305.466 3.305 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCN(CCCCC)CC1 ZINC001112850960 1082023230 /nfs/dbraw/zinc/02/32/30/1082023230.db2.gz NRVUHJIWYQEFTM-UHFFFAOYSA-N 0 1 310.482 3.084 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)Cc2cccc(F)c2)CC1 ZINC001112855371 1082025492 /nfs/dbraw/zinc/02/54/92/1082025492.db2.gz TVFPZPATCGWFEC-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001167363215 1082027376 /nfs/dbraw/zinc/02/73/76/1082027376.db2.gz QQOFFCBQBFYDSU-UHFFFAOYSA-N 0 1 323.506 3.294 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(F)c2C)CC1 ZINC001112867900 1082029322 /nfs/dbraw/zinc/02/93/22/1082029322.db2.gz CVNWTFAEPGXIGV-AWEZNQCLSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC001112867265 1082029543 /nfs/dbraw/zinc/02/95/43/1082029543.db2.gz JUJBBXFHMAONKK-CEXWTWQISA-N 0 1 316.489 3.479 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC001112939826 1082056573 /nfs/dbraw/zinc/05/65/73/1082056573.db2.gz KVKPGTLZPFTBMA-AWEZNQCLSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCCCN1CCN(C(=O)CC2(c3ccccc3)CC2)CC1 ZINC001112938779 1082056776 /nfs/dbraw/zinc/05/67/76/1082056776.db2.gz SMDSKKJXIDBQGP-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2C3CC4CC(C3)CC2C4)CC1 ZINC001112969461 1082069810 /nfs/dbraw/zinc/06/98/10/1082069810.db2.gz IACAXMSOXXJZLH-UHFFFAOYSA-N 0 1 316.489 3.169 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(CC2CCC2)CC1 ZINC001112969497 1082070214 /nfs/dbraw/zinc/07/02/14/1082070214.db2.gz KFAKDLYVVZPHSW-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001266615043 1082075683 /nfs/dbraw/zinc/07/56/83/1082075683.db2.gz XRSQBEURZHKOHC-RBSFLKMASA-N 0 1 321.490 3.099 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C\c2ccccc2F)CC1 ZINC001113012300 1082084419 /nfs/dbraw/zinc/08/44/19/1082084419.db2.gz ONNMDQCWPYTKGE-ALCCZGGFSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccnc2C2CC2)CC1 ZINC001113069125 1082106451 /nfs/dbraw/zinc/10/64/51/1082106451.db2.gz PIIHFBPAHHZTJP-HNNXBMFYSA-N 0 1 313.445 3.072 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccnc(C3CC3)c2)CC1 ZINC001113071691 1082107574 /nfs/dbraw/zinc/10/75/74/1082107574.db2.gz NSGDJCIYTLMAEO-OAHLLOKOSA-N 0 1 313.445 3.072 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC001113083605 1082110293 /nfs/dbraw/zinc/11/02/93/1082110293.db2.gz IVPNUWOEQNTRSE-IRXDYDNUSA-N 0 1 304.478 3.335 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC001113083606 1082110465 /nfs/dbraw/zinc/11/04/65/1082110465.db2.gz IVPNUWOEQNTRSE-SJORKVTESA-N 0 1 304.478 3.335 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)cc(Cl)c2)CC1 ZINC001113102547 1082114538 /nfs/dbraw/zinc/11/45/38/1082114538.db2.gz PWSBIFNUWLPXFW-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN COc1cc(C#N)cc(-c2cc(F)c(O)cc2F)c1OC(C)=O ZINC001211339374 1082115714 /nfs/dbraw/zinc/11/57/14/1082115714.db2.gz NSHNICIJLDWQAO-UHFFFAOYSA-N 0 1 319.263 3.143 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](c2ccccc2)C2CC2)CC1 ZINC001113100986 1082115698 /nfs/dbraw/zinc/11/56/98/1082115698.db2.gz XGQAJWZIHLKOMN-LJQANCHMSA-N 0 1 312.457 3.291 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(CC/C=C\CCC)CC1 ZINC001113164530 1082129842 /nfs/dbraw/zinc/12/98/42/1082129842.db2.gz QUSUIBFNIIEQHF-OLHLWXQYSA-N 0 1 322.493 3.106 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(CC/C=C/CCC)CC1 ZINC001113164529 1082130346 /nfs/dbraw/zinc/13/03/46/1082130346.db2.gz QUSUIBFNIIEQHF-CSHXORCISA-N 0 1 322.493 3.106 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc3cc(C)ccc3[nH]2)CC1 ZINC001113180018 1082132755 /nfs/dbraw/zinc/13/27/55/1082132755.db2.gz XLAWSASQRYBXQX-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC3(CN(Cc4ccccc4)C3)C2)c1 ZINC000706821448 1082144601 /nfs/dbraw/zinc/14/46/01/1082144601.db2.gz LUDVKPCNFRJLBB-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113239907 1082146877 /nfs/dbraw/zinc/14/68/77/1082146877.db2.gz WYALYRDGMRZGAK-SFHVURJKSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(C(F)F)CCC2)CC1 ZINC001113252613 1082153571 /nfs/dbraw/zinc/15/35/71/1082153571.db2.gz ZDWABZBJUSABQO-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001266703929 1082156359 /nfs/dbraw/zinc/15/63/59/1082156359.db2.gz RPVLRUURNAMWFA-BETUJISGSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCCCC(=O)N1CCN(C[C@@H]2CCCC(F)(F)C2)CC1 ZINC001113282120 1082163717 /nfs/dbraw/zinc/16/37/17/1082163717.db2.gz LIRLJCYPWVMCGG-OAHLLOKOSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)CC(F)(F)F)CC1 ZINC001113294605 1082168893 /nfs/dbraw/zinc/16/88/93/1082168893.db2.gz FPPMBHYPVOQUDS-AWEZNQCLSA-N 0 1 320.399 3.466 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2c(C)cc(C)cc2C)CC1 ZINC001113287678 1082170686 /nfs/dbraw/zinc/17/06/86/1082170686.db2.gz RJJBHKLWCIGJAS-UHFFFAOYSA-N 0 1 314.473 3.265 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(Cl)c2F)CC1 ZINC001113287776 1082170706 /nfs/dbraw/zinc/17/07/06/1082170706.db2.gz YJWYSFARMVYZHJ-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CCCC(F)(F)C2)CC1 ZINC001113336691 1082180689 /nfs/dbraw/zinc/18/06/89/1082180689.db2.gz IIYZYKCTCLBCIN-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)Cc2cccs2)CC1 ZINC001113391445 1082194580 /nfs/dbraw/zinc/19/45/80/1082194580.db2.gz DEWVGTUNLPZQKP-HZPDHXFCSA-N 0 1 320.502 3.426 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc([C@@H](C)CC)no2)CC1 ZINC001113411446 1082200676 /nfs/dbraw/zinc/20/06/76/1082200676.db2.gz YAWVBZIYWCDITO-GJZGRUSLSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(OC)c(C)c2)CC1 ZINC001113430951 1082209469 /nfs/dbraw/zinc/20/94/69/1082209469.db2.gz CFCSUYMOGHKIRO-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C(F)F)cc2)CC1 ZINC001113435478 1082212743 /nfs/dbraw/zinc/21/27/43/1082212743.db2.gz JOKWTFLGJDYADZ-UHFFFAOYSA-N 0 1 308.372 3.348 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(CC(C)C)c[nH]2)CC1 ZINC001113545394 1082244732 /nfs/dbraw/zinc/24/47/32/1082244732.db2.gz MYKAPNXOHLPHKN-MRXNPFEDSA-N 0 1 317.477 3.326 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C(C)(C)C(F)(F)F)CC1 ZINC001113566241 1082252279 /nfs/dbraw/zinc/25/22/79/1082252279.db2.gz KPEIUKWCQYHEMW-UHFFFAOYSA-N 0 1 320.399 3.466 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H](C)[C@@H]2CCCO2)CC1 ZINC001113580105 1082253300 /nfs/dbraw/zinc/25/33/00/1082253300.db2.gz CGHBIVRCTINABW-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](OC)C2CCCC2)CC1 ZINC001113590136 1082258160 /nfs/dbraw/zinc/25/81/60/1082258160.db2.gz UIVNLPCMGSCJBS-GOSISDBHSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccccc2F)CC1 ZINC001113598874 1082261805 /nfs/dbraw/zinc/26/18/05/1082261805.db2.gz PGLJUMBBNFEEEJ-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001266850088 1082264060 /nfs/dbraw/zinc/26/40/60/1082264060.db2.gz UGYVTSWFVNAWMD-MOPGFXCFSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(c3ccccc3)CC2)CC1 ZINC001113610279 1082264278 /nfs/dbraw/zinc/26/42/78/1082264278.db2.gz SPRFWXVPSRYRLW-QGZVFWFLSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(C3CC3)CCC2)C1 ZINC001086601835 1082267539 /nfs/dbraw/zinc/26/75/39/1082267539.db2.gz QZSIAFYWIXPYEH-RBUKOAKNSA-N 0 1 324.468 3.337 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(C)CCCC2)C1 ZINC001086601849 1082267830 /nfs/dbraw/zinc/26/78/30/1082267830.db2.gz RUECHXSADPGYNX-RBUKOAKNSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001113652880 1082271410 /nfs/dbraw/zinc/27/14/10/1082271410.db2.gz LOQDYTHLVPQEMM-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)c2cccc(F)c2)CC1 ZINC001113656780 1082272820 /nfs/dbraw/zinc/27/28/20/1082272820.db2.gz UBUULOWZATWARO-HZPDHXFCSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CCCC)CC1)c1ccccc1 ZINC001113667030 1082273413 /nfs/dbraw/zinc/27/34/13/1082273413.db2.gz LDXCEHUZNZLDER-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CCCC)CC1)c1ccccc1 ZINC001113667031 1082273751 /nfs/dbraw/zinc/27/37/51/1082273751.db2.gz LDXCEHUZNZLDER-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CC[C@@H](C)F)CC1)c1ccccc1 ZINC001113667293 1082273759 /nfs/dbraw/zinc/27/37/59/1082273759.db2.gz XYWGMQLOSKRYIF-IAGOWNOFSA-N 0 1 318.436 3.239 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2CC3(CCC3)C2)C1 ZINC001086604322 1082277772 /nfs/dbraw/zinc/27/77/72/1082277772.db2.gz XNCXWXICWAZMRC-RBUKOAKNSA-N 0 1 324.468 3.337 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CC3CCCC3)CC2)CCCCC1 ZINC001113704025 1082285076 /nfs/dbraw/zinc/28/50/76/1082285076.db2.gz XCVQDCUDMKCAEP-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](OC)C2CCCCC2)CC1 ZINC001113747113 1082294382 /nfs/dbraw/zinc/29/43/82/1082294382.db2.gz IPLOODMLKZXSRC-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cc(C)no2)C1 ZINC001266896199 1082314224 /nfs/dbraw/zinc/31/42/24/1082314224.db2.gz XUQZKVBQGBEXEI-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C(=O)NC[C@@H](C)NCC#Cc1ccccc1)=C1CCCC1 ZINC001266930903 1082350924 /nfs/dbraw/zinc/35/09/24/1082350924.db2.gz DNAPGMXMBUAJID-MRXNPFEDSA-N 0 1 310.441 3.023 20 30 DGEDMN COC(=O)[C@H]1CCCN1C1CCC(C#N)(c2ccccc2)CC1 ZINC001170379078 1082362913 /nfs/dbraw/zinc/36/29/13/1082362913.db2.gz PAYCDSKIEQHZAJ-TVRKMHQQSA-N 0 1 312.413 3.028 20 30 DGEDMN C[C@H](CNC(=O)C1(C)CCCCC1)NCc1ccccc1C#N ZINC001266967680 1082386548 /nfs/dbraw/zinc/38/65/48/1082386548.db2.gz JGEXOBGCKWETFH-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1OC1CCC1 ZINC001266971019 1082389547 /nfs/dbraw/zinc/38/95/47/1082389547.db2.gz QJZZXJXDIQGFLW-CYBMUJFWSA-N 0 1 322.836 3.078 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(F)c(F)cc1Cl ZINC001266989012 1082410897 /nfs/dbraw/zinc/41/08/97/1082410897.db2.gz JVMQQGAIAZEGFC-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(OCC(C)C)cc1 ZINC001266990328 1082411924 /nfs/dbraw/zinc/41/19/24/1082411924.db2.gz ZFZVNNKZQNRDHV-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(CCc2ccccc2)CC1 ZINC001266998517 1082419438 /nfs/dbraw/zinc/41/94/38/1082419438.db2.gz MAEZKOIMPQKZKB-HNNXBMFYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NCc2coc(C3CC3)n2)C1 ZINC001267079345 1082484654 /nfs/dbraw/zinc/48/46/54/1082484654.db2.gz MJWRWUZDTKMPDQ-CABCVRRESA-N 0 1 317.433 3.035 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](c2ccccc2)[C@H](NCC(=C)Cl)C1 ZINC001211551421 1082572509 /nfs/dbraw/zinc/57/25/09/1082572509.db2.gz NTGLRBVYAZDWPR-DLBZAZTESA-N 0 1 318.848 3.289 20 30 DGEDMN CCCCCCCCOCC(=O)N1[C@H](C)CN(C)[C@H](C)[C@@H]1C ZINC001130370681 1082574493 /nfs/dbraw/zinc/57/44/93/1082574493.db2.gz RKKVAMMVZYYVBG-ZACQAIPSSA-N 0 1 312.498 3.303 20 30 DGEDMN C#CCCCC(=O)NCC[N@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC001267193061 1082621303 /nfs/dbraw/zinc/62/13/03/1082621303.db2.gz LQLRMLVZBZUZBR-VOTSOKGWSA-N 0 1 318.848 3.205 20 30 DGEDMN C#CCCCC(=O)NCCN(C)C/C=C/c1ccc(Cl)cc1 ZINC001267193061 1082621306 /nfs/dbraw/zinc/62/13/06/1082621306.db2.gz LQLRMLVZBZUZBR-VOTSOKGWSA-N 0 1 318.848 3.205 20 30 DGEDMN CN(CCNC(=O)C#CC(C)(C)C)Cc1ccc(Cl)c(F)c1 ZINC001267194757 1082623065 /nfs/dbraw/zinc/62/30/65/1082623065.db2.gz XIYQYVUUQCRXOW-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CSc4ccccc43)[nH]c2c1 ZINC001131674779 1082704999 /nfs/dbraw/zinc/70/49/99/1082704999.db2.gz WNNLNMFHSHOCJQ-LBPRGKRZSA-N 0 1 320.377 3.263 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CCC[C@@H](F)C1)C(C)C ZINC001267257856 1082712813 /nfs/dbraw/zinc/71/28/13/1082712813.db2.gz YEKJRUZZFYBTAY-LSDHHAIUSA-N 0 1 318.864 3.484 20 30 DGEDMN C#CCC1(C(=O)NCC[N@H+](CC)C[C@@H](F)CC)CCCCC1 ZINC001267257470 1082713310 /nfs/dbraw/zinc/71/33/10/1082713310.db2.gz WYUKIEZBBVMLFX-INIZCTEOSA-N 0 1 310.457 3.146 20 30 DGEDMN C#CCC1(C(=O)NCCN(CC)C[C@@H](F)CC)CCCCC1 ZINC001267257470 1082713314 /nfs/dbraw/zinc/71/33/14/1082713314.db2.gz WYUKIEZBBVMLFX-INIZCTEOSA-N 0 1 310.457 3.146 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCCN1C/C=C/c1ccccc1 ZINC001267266484 1082727154 /nfs/dbraw/zinc/72/71/54/1082727154.db2.gz DVOBGJVLUVFFNO-BQHJZSHBSA-N 0 1 324.468 3.330 20 30 DGEDMN CCCCCCCN1C[C@H](NC(=O)c2cnns2)CC[C@H]1C ZINC001131834087 1082743934 /nfs/dbraw/zinc/74/39/34/1082743934.db2.gz JLHDZRHPUGWQDV-ZIAGYGMSSA-N 0 1 324.494 3.091 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccc(C)nc2C)C1 ZINC001131898413 1082756951 /nfs/dbraw/zinc/75/69/51/1082756951.db2.gz AIKNPGHDTKEIBH-MAUKXSAKSA-N 0 1 315.461 3.134 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2sc(C)nc2C)C1 ZINC001131899814 1082758571 /nfs/dbraw/zinc/75/85/71/1082758571.db2.gz QBAQXBPSQYQPML-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccc(OC)cc2)C1 ZINC001131899508 1082758883 /nfs/dbraw/zinc/75/88/83/1082758883.db2.gz MYSQNVUBCKOQJF-DOTOQJQBSA-N 0 1 316.445 3.131 20 30 DGEDMN CC[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1c(C)noc1C ZINC001131906390 1082759532 /nfs/dbraw/zinc/75/95/32/1082759532.db2.gz DVHIMWQPPAWCIB-LBPRGKRZSA-N 0 1 323.356 3.172 20 30 DGEDMN CCCN(CCNC(=O)/C=C(/C)CC)Cc1ccc(C#N)s1 ZINC001267312931 1082780080 /nfs/dbraw/zinc/78/00/80/1082780080.db2.gz SRPRGERQCAXQNQ-KAMYIIQDSA-N 0 1 319.474 3.304 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132072818 1082809693 /nfs/dbraw/zinc/80/96/93/1082809693.db2.gz PFSCKGMSAOJWAX-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H](C)C1CCCCC1)C1CC1 ZINC001267335419 1082811862 /nfs/dbraw/zinc/81/18/62/1082811862.db2.gz USALMNPJADKPEL-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CCC1)c1ccc(C(C)C)cc1 ZINC001267340627 1082818584 /nfs/dbraw/zinc/81/85/84/1082818584.db2.gz PAUNJICMEAGXJU-IBGZPJMESA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@H]1C ZINC001132111028 1082820734 /nfs/dbraw/zinc/82/07/34/1082820734.db2.gz NXRDQDOYJKIQGZ-IEBWSBKVSA-N 0 1 324.468 3.002 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](F)CC)c1ccc(C(C)C)cc1 ZINC001267346600 1082822942 /nfs/dbraw/zinc/82/29/42/1082822942.db2.gz UBWHYDBDFOXZRK-ZWKOTPCHSA-N 0 1 318.436 3.328 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2(C)CCCCC2)CC[C@H]1C ZINC001132169802 1082829555 /nfs/dbraw/zinc/82/95/55/1082829555.db2.gz VKTPXUZDJGOLNB-SJORKVTESA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C12CCC(CC1)C2)c1ccccc1 ZINC001267362012 1082834333 /nfs/dbraw/zinc/83/43/33/1082834333.db2.gz NDTDIHUJVAMWQU-IPCDKGFNSA-N 0 1 310.441 3.037 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC(C)(C)CC(F)F)CC[C@@H]1C ZINC001132190648 1082838150 /nfs/dbraw/zinc/83/81/50/1082838150.db2.gz MANUXHGIWRJPLZ-KBPBESRZSA-N 0 1 314.420 3.050 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCCC(C)C)c1ccccc1 ZINC001267369619 1082840299 /nfs/dbraw/zinc/84/02/99/1082840299.db2.gz FLPQPAUDZQEPEF-GOSISDBHSA-N 0 1 300.446 3.283 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001267373538 1082842063 /nfs/dbraw/zinc/84/20/63/1082842063.db2.gz YIDCAMCOIKHYJG-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCc1ccccc1)c1ccccc1 ZINC001267376638 1082845550 /nfs/dbraw/zinc/84/55/50/1082845550.db2.gz HNWLQISDRRCUNO-FQEVSTJZSA-N 0 1 320.436 3.090 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](CC)CC(C)C)c1ccccc1 ZINC001267378112 1082849114 /nfs/dbraw/zinc/84/91/14/1082849114.db2.gz XOBNUOLGEVAVRB-SJLPKXTDSA-N 0 1 300.446 3.139 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN[C@@H](C)c1ccc(F)cc1F ZINC001267416935 1082872599 /nfs/dbraw/zinc/87/25/99/1082872599.db2.gz JEDKPVUGXDUBRZ-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCNCc1cc(C)ccc1F ZINC001267416060 1082875488 /nfs/dbraw/zinc/87/54/88/1082875488.db2.gz BGTVBFPSYJRCCN-OAHLLOKOSA-N 0 1 306.425 3.284 20 30 DGEDMN C=CC[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C)c1ccccc1 ZINC001267436711 1082882668 /nfs/dbraw/zinc/88/26/68/1082882668.db2.gz NLANFBCZMRAPPR-CEXWTWQISA-N 0 1 310.441 3.208 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(CCOCC)CCCC2)CC[C@H]1C ZINC001132381555 1082895559 /nfs/dbraw/zinc/89/55/59/1082895559.db2.gz YVHTZVPHFUVKNH-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CC(C)(C)C(=O)NCCNCc1cc(Cl)ccc1Cl ZINC001132391983 1082896783 /nfs/dbraw/zinc/89/67/83/1082896783.db2.gz UAKPKPYKAWVXJG-UHFFFAOYSA-N 0 1 315.244 3.411 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001267473264 1082926577 /nfs/dbraw/zinc/92/65/77/1082926577.db2.gz BPVOANRPYJPHGQ-FCGDIQPGSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)CC[C@@H]1C ZINC001132509092 1082930331 /nfs/dbraw/zinc/93/03/31/1082930331.db2.gz QYIPBPAJFAJPOG-HOTGVXAUSA-N 0 1 306.494 3.441 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](CC)CC(C)C)C1 ZINC001267487779 1082944624 /nfs/dbraw/zinc/94/46/24/1082944624.db2.gz GNGRZDFIYYPXBM-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](CC)CC(C)C)C1 ZINC001267487777 1082944803 /nfs/dbraw/zinc/94/48/03/1082944803.db2.gz GNGRZDFIYYPXBM-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1sccc1Cl ZINC001132569222 1082948370 /nfs/dbraw/zinc/94/83/70/1082948370.db2.gz MVSLAQBQIRETSY-MNOVXSKESA-N 0 1 300.855 3.066 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132569858 1082948462 /nfs/dbraw/zinc/94/84/62/1082948462.db2.gz ZTTNJZACSPJCNV-NWDGAFQWSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132573464 1082950169 /nfs/dbraw/zinc/95/01/69/1082950169.db2.gz OEJPGLPZUOYNPT-NEPJUHHUSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132573465 1082950205 /nfs/dbraw/zinc/95/02/05/1082950205.db2.gz OEJPGLPZUOYNPT-NWDGAFQWSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132576338 1082950942 /nfs/dbraw/zinc/95/09/42/1082950942.db2.gz IYFKLRMBRNQMQO-QWHCGFSZSA-N 0 1 324.852 3.013 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132654034 1082969025 /nfs/dbraw/zinc/96/90/25/1082969025.db2.gz XHOYGLCKWFZVPR-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC[C@H](C)CC1)c1ccccc1 ZINC001267525052 1082986518 /nfs/dbraw/zinc/98/65/18/1082986518.db2.gz JOZGXMQKIVULPJ-WDSOQIARSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@]2(C)CC=CCC2)C1 ZINC001267531171 1082992519 /nfs/dbraw/zinc/99/25/19/1082992519.db2.gz NMOWIZLDHFHGAS-DOTOQJQBSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2c(F)cccc2F)C1 ZINC001267546903 1083015703 /nfs/dbraw/zinc/01/57/03/1083015703.db2.gz UBJWGHJNWNEUMN-CQSZACIVSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccccc2CC)C1 ZINC001267547641 1083017923 /nfs/dbraw/zinc/01/79/23/1083017923.db2.gz YZHBXZFVUHKJKQ-QGZVFWFLSA-N 0 1 300.446 3.362 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2cc(C)c(C)s2)C1 ZINC001267548591 1083019186 /nfs/dbraw/zinc/01/91/86/1083019186.db2.gz IYMBSZANJSULLE-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001267566048 1083046224 /nfs/dbraw/zinc/04/62/24/1083046224.db2.gz ZYWOVZTYBCOWPL-ZMSDIMECSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001133215734 1083071359 /nfs/dbraw/zinc/07/13/59/1083071359.db2.gz QXWIRDFSRBVNIN-UHFFFAOYSA-N 0 1 315.244 3.076 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001267583650 1083099859 /nfs/dbraw/zinc/09/98/59/1083099859.db2.gz QJOZVMVYYNPXJA-HIFRSBDPSA-N 0 1 324.852 3.039 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2cccc(Cl)c2F)CC1 ZINC001133368670 1083107081 /nfs/dbraw/zinc/10/70/81/1083107081.db2.gz DANXXKUFZKMUHR-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2cc(Cl)ccc2F)CC1 ZINC001133369515 1083107990 /nfs/dbraw/zinc/10/79/90/1083107990.db2.gz ZKDXCQCIVPNDAS-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1sccc1Cl ZINC001133378537 1083109557 /nfs/dbraw/zinc/10/95/57/1083109557.db2.gz GHVUQEMDQBXLHY-CQSZACIVSA-N 0 1 300.855 3.210 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cccc(F)c1Cl ZINC001133380746 1083110545 /nfs/dbraw/zinc/11/05/45/1083110545.db2.gz NUMVRWWBQDYYNH-MRXNPFEDSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001133380519 1083110582 /nfs/dbraw/zinc/11/05/82/1083110582.db2.gz HYMZVDHNFUOLRA-KRWDZBQOSA-N 0 1 308.853 3.457 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2oc(C(C)C)nc2C)CCC1 ZINC001133392069 1083114279 /nfs/dbraw/zinc/11/42/79/1083114279.db2.gz FYWLTCNCQBFABO-UHFFFAOYSA-N 0 1 319.449 3.059 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCN1Cc1cccc(F)c1 ZINC001481036369 1083117241 /nfs/dbraw/zinc/11/72/41/1083117241.db2.gz VEBYTIVAJPXHSC-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)/C=C(\C)CC)C1 ZINC001267597230 1083129185 /nfs/dbraw/zinc/12/91/85/1083129185.db2.gz ZOEQMSBHQSQMIO-POLXVDLBSA-N 0 1 324.468 3.469 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@H](C)c2ccccc2Cl)C1 ZINC001133571330 1083153777 /nfs/dbraw/zinc/15/37/77/1083153777.db2.gz NJOTTYYMGXDFLD-CYBMUJFWSA-N 0 1 306.837 3.463 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1cc(C(C)(C)C)on1 ZINC001133889547 1083208021 /nfs/dbraw/zinc/20/80/21/1083208021.db2.gz XWZFNNCLQHNDBX-UONOGXRCSA-N 0 1 321.465 3.311 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(CCC(F)(F)F)C1 ZINC001267630114 1083232904 /nfs/dbraw/zinc/23/29/04/1083232904.db2.gz ZAKNEUIRFOZGIA-STQMWFEESA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2CCCCCC2)C1 ZINC001267632671 1083235267 /nfs/dbraw/zinc/23/52/67/1083235267.db2.gz PDUDXVRFIPZFGD-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](C)c1cc2ccccc2o1)C1CC1 ZINC001481193428 1083235881 /nfs/dbraw/zinc/23/58/81/1083235881.db2.gz UVJGQASBFIQRBR-HNNXBMFYSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001267634925 1083238393 /nfs/dbraw/zinc/23/83/93/1083238393.db2.gz VTAMBADYMYKWLJ-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001267634925 1083238396 /nfs/dbraw/zinc/23/83/96/1083238396.db2.gz VTAMBADYMYKWLJ-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC001267650486 1083246688 /nfs/dbraw/zinc/24/66/88/1083246688.db2.gz OIMAUFLGVIQFFW-CQSZACIVSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3ccncc32)C1 ZINC001267663688 1083252040 /nfs/dbraw/zinc/25/20/40/1083252040.db2.gz SSELSFGSXNCQOL-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(CC2CC3(CCC3)C2)C1)c1ccccc1 ZINC001267669213 1083255484 /nfs/dbraw/zinc/25/54/84/1083255484.db2.gz FABBFWFCPYOXCJ-IBGZPJMESA-N 0 1 324.468 3.337 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001267672239 1083256841 /nfs/dbraw/zinc/25/68/41/1083256841.db2.gz SHUKXWPFJNLITR-DOPJRALCSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1CC ZINC001267705740 1083274870 /nfs/dbraw/zinc/27/48/70/1083274870.db2.gz LQOXRNLUTSPBCO-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C/C=C\c1ccc(C)cc1 ZINC001267716744 1083280261 /nfs/dbraw/zinc/28/02/61/1083280261.db2.gz SBGKPSYSKMYGRL-DHJFXDNESA-N 0 1 310.441 3.260 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CN(C)Cc2nocc2C)CC1 ZINC001267730375 1083288383 /nfs/dbraw/zinc/28/83/83/1083288383.db2.gz UBHQSXRVIVNZFU-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(OC)c2)C1 ZINC001267743114 1083309157 /nfs/dbraw/zinc/30/91/57/1083309157.db2.gz LFUVLCXSWSEYAQ-NVXWUHKLSA-N 0 1 316.445 3.303 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001267746080 1083313334 /nfs/dbraw/zinc/31/33/34/1083313334.db2.gz PPHAXNGFRCTQER-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001134677772 1083317968 /nfs/dbraw/zinc/31/79/68/1083317968.db2.gz IQBWSWWDWZDBEJ-HNNXBMFYSA-N 0 1 300.874 3.441 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001267756451 1083322253 /nfs/dbraw/zinc/32/22/53/1083322253.db2.gz FJPGMDBETGBEMD-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001267772283 1083335626 /nfs/dbraw/zinc/33/56/26/1083335626.db2.gz UGFHZVBWRAZZHI-RTBURBONSA-N 0 1 314.473 3.220 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(Cl)cc2C(F)(F)F)CCC1=O ZINC001143505826 1083341405 /nfs/dbraw/zinc/34/14/05/1083341405.db2.gz OWXRJUPOSJGHMR-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001267790122 1083347604 /nfs/dbraw/zinc/34/76/04/1083347604.db2.gz KTKCYDBNCDGZSI-MOPGFXCFSA-N 0 1 314.473 3.364 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001267788195 1083347989 /nfs/dbraw/zinc/34/79/89/1083347989.db2.gz RWCULTBKPBEWNV-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN CCCCCCC[C@H](C)C(=O)NCc1[nH]ncc1C(=O)OCC ZINC001181135401 1083415651 /nfs/dbraw/zinc/41/56/51/1083415651.db2.gz VXMDEGACGDNPKF-ZDUSSCGKSA-N 0 1 323.437 3.199 20 30 DGEDMN Cc1cccc2nc(NC(=O)Cc3ccc(F)c(C#N)c3)[nH]c21 ZINC001181170312 1083423009 /nfs/dbraw/zinc/42/30/09/1083423009.db2.gz KLKZNXIDBARTOK-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(CNCc2nocc2C)CCCC1 ZINC001267842355 1083427884 /nfs/dbraw/zinc/42/78/84/1083427884.db2.gz QXBSQHQDWDZPLL-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2nc(C(C)(C)C)cs2)C1 ZINC001181535262 1083486345 /nfs/dbraw/zinc/48/63/45/1083486345.db2.gz PYEJIKBKIZBPGB-CYBMUJFWSA-N 0 1 321.490 3.097 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC001181543673 1083487930 /nfs/dbraw/zinc/48/79/30/1083487930.db2.gz USNYUTGPJOSVGR-TZMCWYRMSA-N 0 1 308.372 3.183 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001181542731 1083488133 /nfs/dbraw/zinc/48/81/33/1083488133.db2.gz AVESHAYCYULPIL-QWHCGFSZSA-N 0 1 308.372 3.183 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001267943037 1083611071 /nfs/dbraw/zinc/61/10/71/1083611071.db2.gz WXWKGEGQRQASMW-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001267943037 1083611074 /nfs/dbraw/zinc/61/10/74/1083611074.db2.gz WXWKGEGQRQASMW-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(c2ccccc2)CC1 ZINC001267971428 1083675520 /nfs/dbraw/zinc/67/55/20/1083675520.db2.gz VLZBXTROSAVQMH-MRXNPFEDSA-N 0 1 318.848 3.051 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)CN[C@@H](C)c2ncc(C)o2)CCC1 ZINC001268001413 1083723603 /nfs/dbraw/zinc/72/36/03/1083723603.db2.gz BGTWJVPDIWIHSV-ZFWWWQNUSA-N 0 1 319.449 3.132 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H](C)C(F)(F)F)C(C)C ZINC001481353654 1083809093 /nfs/dbraw/zinc/80/90/93/1083809093.db2.gz NALFHQTYPOZEFM-NSHDSACASA-N 0 1 314.779 3.154 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001182897583 1083834972 /nfs/dbraw/zinc/83/49/72/1083834972.db2.gz BGXMCKBJBZKCPQ-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@H]1CCCCN1CC ZINC001183227970 1083901903 /nfs/dbraw/zinc/90/19/03/1083901903.db2.gz YPHMDWZFWBORTL-GOSISDBHSA-N 0 1 316.445 3.397 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C\Cc2ccccc2)C1 ZINC001183421630 1083947163 /nfs/dbraw/zinc/94/71/63/1083947163.db2.gz DMEOJKITTBALNC-KEGWNNHHSA-N 0 1 318.848 3.118 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)C[C@H](C)CCC ZINC001268052675 1084040983 /nfs/dbraw/zinc/04/09/83/1084040983.db2.gz AEOIJLJJFDFHRO-YPMHNXCESA-N 0 1 319.287 3.158 20 30 DGEDMN CC(C)(CC(=O)Nc1nc[nH]c1C#N)c1ccccc1Cl ZINC001183870998 1084058410 /nfs/dbraw/zinc/05/84/10/1084058410.db2.gz LGYPZQIBBZJARY-UHFFFAOYSA-N 0 1 302.765 3.241 20 30 DGEDMN CC(C)CCC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001481522685 1084065374 /nfs/dbraw/zinc/06/53/74/1084065374.db2.gz PVGDTEAXZSXRST-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001481536815 1084103971 /nfs/dbraw/zinc/10/39/71/1084103971.db2.gz NKNWLUMTRJYUMM-ZBFHGGJFSA-N 0 1 306.475 3.431 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001110345016 1084114134 /nfs/dbraw/zinc/11/41/34/1084114134.db2.gz FMLIGLOQACPBMN-SLCUCUKISA-N 0 1 323.440 3.136 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001110345017 1084114696 /nfs/dbraw/zinc/11/46/96/1084114696.db2.gz FMLIGLOQACPBMN-XOENRIDSSA-N 0 1 323.440 3.136 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001110344917 1084114764 /nfs/dbraw/zinc/11/47/64/1084114764.db2.gz BTRCHTKIEHLLJE-QUSPDSEQSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001268081444 1084120702 /nfs/dbraw/zinc/12/07/02/1084120702.db2.gz PUNZWDFOOQYEIH-SWLSCSKDSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1sccc1C1CC1 ZINC001268096315 1084159696 /nfs/dbraw/zinc/15/96/96/1084159696.db2.gz PTHYVNGDCJPGOW-LLVKDONJSA-N 0 1 312.866 3.428 20 30 DGEDMN C[C@H]1CCC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001184469835 1084191088 /nfs/dbraw/zinc/19/10/88/1084191088.db2.gz BTEKGIYTPQITEP-FZMZJTMJSA-N 0 1 309.373 3.108 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001481588838 1084229552 /nfs/dbraw/zinc/22/95/52/1084229552.db2.gz VDFMOKAKJXIRNO-AYOQOUSVSA-N 0 1 312.457 3.254 20 30 DGEDMN O=C(CC#Cc1ccccc1)NC1CN(CC2CCCCCC2)C1 ZINC001481603745 1084246739 /nfs/dbraw/zinc/24/67/39/1084246739.db2.gz WQYRUJHOVSKCPY-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CCNCc1cc(C)on1 ZINC001268162038 1084258444 /nfs/dbraw/zinc/25/84/44/1084258444.db2.gz HCCVXNHRLKCOCB-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCCCCC(=O)NCC1CN(Cc2cc3ccccc3o2)C1 ZINC001481620943 1084267311 /nfs/dbraw/zinc/26/73/11/1084267311.db2.gz DFDJOPUORVCOAS-UHFFFAOYSA-N 0 1 324.424 3.174 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1conc1C ZINC001268193296 1084303704 /nfs/dbraw/zinc/30/37/04/1084303704.db2.gz VLPGCCWZCDGWMW-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001279288223 1084311082 /nfs/dbraw/zinc/31/10/82/1084311082.db2.gz NYJTXOHOYVWNPS-MQBCKMQZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001087437900 1084352408 /nfs/dbraw/zinc/35/24/08/1084352408.db2.gz RSCAUUDBNCISJD-NXWXRZEISA-N 0 1 312.457 3.193 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001268224925 1084356525 /nfs/dbraw/zinc/35/65/25/1084356525.db2.gz RUIOEGVAWNXDSF-SFHVURJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC[N@H+](C)Cc1ccc(CC)cc1 ZINC001268240319 1084388036 /nfs/dbraw/zinc/38/80/36/1084388036.db2.gz WQVGHWGIPJDMKU-UHFFFAOYSA-N 0 1 302.462 3.496 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C(C)(C)C)s1 ZINC001268258261 1084424020 /nfs/dbraw/zinc/42/40/20/1084424020.db2.gz ACSYFJMICKOJEQ-UHFFFAOYSA-N 0 1 306.475 3.073 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC001087438635 1084456690 /nfs/dbraw/zinc/45/66/90/1084456690.db2.gz IDGKKACVLANFOS-CEXWTWQISA-N 0 1 324.468 3.337 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001481692712 1084480929 /nfs/dbraw/zinc/48/09/29/1084480929.db2.gz LCRZIHNRKYPMGT-QFBILLFUSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001268344024 1084510767 /nfs/dbraw/zinc/51/07/67/1084510767.db2.gz VNWONXGXVAWSOP-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001481734337 1084549389 /nfs/dbraw/zinc/54/93/89/1084549389.db2.gz FJVCRMDBEXZGFV-CQSZACIVSA-N 0 1 321.490 3.005 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185950640 1084553232 /nfs/dbraw/zinc/55/32/32/1084553232.db2.gz DONRUTSSSYIMNW-LLVKDONJSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001185951536 1084553650 /nfs/dbraw/zinc/55/36/50/1084553650.db2.gz QJGUPSSXMOJFKZ-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN Cc1cc(F)c(C#N)c(N[C@H](c2nnc[nH]2)c2ccccc2)c1 ZINC001186053333 1084583985 /nfs/dbraw/zinc/58/39/85/1084583985.db2.gz AYHZLTYORIKPTQ-INIZCTEOSA-N 0 1 307.332 3.325 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C(C)(C)C)cn1 ZINC001481811744 1084650792 /nfs/dbraw/zinc/65/07/92/1084650792.db2.gz CLQCFPBXWOQPIO-AWEZNQCLSA-N 0 1 323.868 3.230 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccn(C(C)C)c1C ZINC001481842737 1084661280 /nfs/dbraw/zinc/66/12/80/1084661280.db2.gz JHXNTGBELDQLTE-AWEZNQCLSA-N 0 1 311.857 3.228 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CC(C)(C)C(F)(F)F)C1 ZINC001268478910 1084694694 /nfs/dbraw/zinc/69/46/94/1084694694.db2.gz OPPJHUUVSJTHIP-NSHDSACASA-N 0 1 306.372 3.120 20 30 DGEDMN CC#CCCCC(=O)N(CC)CCNCc1ccccc1Cl ZINC001268471122 1084675077 /nfs/dbraw/zinc/67/50/77/1084675077.db2.gz BCUBGNGOXZMNBN-UHFFFAOYSA-N 0 1 320.864 3.472 20 30 DGEDMN CCCCC(=O)N[C@](C)(CNCc1ccccc1C#N)C1CC1 ZINC001481915102 1084703700 /nfs/dbraw/zinc/70/37/00/1084703700.db2.gz DMWNIPOHVHTCMS-LJQANCHMSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001187042998 1084744934 /nfs/dbraw/zinc/74/49/34/1084744934.db2.gz HIFDBPCPMUIKTC-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2CC(C)(C)C2)CC1 ZINC001482041269 1084793167 /nfs/dbraw/zinc/79/31/67/1084793167.db2.gz FYFGLWWPMQDSAH-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CC)C1 ZINC001087460396 1084817276 /nfs/dbraw/zinc/81/72/76/1084817276.db2.gz HKNTZVMFNRJTCF-IAGOWNOFSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1oc2ccccc2c1C ZINC001268619841 1084896729 /nfs/dbraw/zinc/89/67/29/1084896729.db2.gz NVDSGAXXGHZCEP-AATRIKPKSA-N 0 1 318.804 3.369 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)c1ccccc1OC ZINC001268669439 1084931520 /nfs/dbraw/zinc/93/15/20/1084931520.db2.gz KTVAGZLNMBGLBS-GXTWGEPZSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001268697640 1084952530 /nfs/dbraw/zinc/95/25/30/1084952530.db2.gz GFXAYILQIAEZGM-XHDPSFHLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001268697639 1084953479 /nfs/dbraw/zinc/95/34/79/1084953479.db2.gz GFXAYILQIAEZGM-NHYWBVRUSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001268700804 1084955236 /nfs/dbraw/zinc/95/52/36/1084955236.db2.gz UZIBJDQGKCYLQG-NWDGAFQWSA-N 0 1 310.388 3.332 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccccc1C(C)(C)CC ZINC001268735268 1084996040 /nfs/dbraw/zinc/99/60/40/1084996040.db2.gz KIONXSOYYSIDDX-MRXNPFEDSA-N 0 1 314.473 3.448 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001268733815 1085001433 /nfs/dbraw/zinc/00/14/33/1085001433.db2.gz LFLJDGJXPWXRPQ-KBAYOESNSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC001268753565 1085027602 /nfs/dbraw/zinc/02/76/02/1085027602.db2.gz VXLNYEFIQHROND-RYGJVYDSSA-N 0 1 320.436 3.013 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1ncc(C(C)(C)C)o1 ZINC001268795444 1085055282 /nfs/dbraw/zinc/05/52/82/1085055282.db2.gz GCOVATUCNJFJOS-UHFFFAOYSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](C)CCCC)CC1(C)C ZINC001284296274 1085058984 /nfs/dbraw/zinc/05/89/84/1085058984.db2.gz OELAUUWHTUCHTG-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001268916787 1085081234 /nfs/dbraw/zinc/08/12/34/1085081234.db2.gz FDLBEIFNRXQULA-GOSISDBHSA-N 0 1 318.436 3.189 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC001269019092 1085100202 /nfs/dbraw/zinc/10/02/02/1085100202.db2.gz RHMOQQIYBWYNEH-YOEHRIQHSA-N 0 1 318.848 3.395 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001482203419 1085110109 /nfs/dbraw/zinc/11/01/09/1085110109.db2.gz RPRVDTBRRVLSOK-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001269177244 1085182176 /nfs/dbraw/zinc/18/21/76/1085182176.db2.gz BKSFNKUEMOWUQE-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001269278073 1085262729 /nfs/dbraw/zinc/26/27/29/1085262729.db2.gz BSCJROSGRGOOFV-IBGZPJMESA-N 0 1 312.457 3.222 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001269288016 1085269896 /nfs/dbraw/zinc/26/98/96/1085269896.db2.gz YSTBOWATTPYOLE-HKUYNNGSSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001269349603 1085328132 /nfs/dbraw/zinc/32/81/32/1085328132.db2.gz UHKPNRAGYDTWEE-CABCVRRESA-N 0 1 304.409 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1oc(C(C)C)nc1C ZINC001269449172 1085390312 /nfs/dbraw/zinc/39/03/12/1085390312.db2.gz UZJFSDPXBQSVSJ-AWEZNQCLSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1coc2ccccc12 ZINC001269488165 1085417420 /nfs/dbraw/zinc/41/74/20/1085417420.db2.gz ZLIYZCNJAMGADB-AWEZNQCLSA-N 0 1 320.820 3.212 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C(C)C)nc2C)CC1 ZINC001269494090 1085421592 /nfs/dbraw/zinc/42/15/92/1085421592.db2.gz PAGHMEXCJVXFOY-UHFFFAOYSA-N 0 1 321.852 3.118 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(CNCc2cscn2)CCCC1 ZINC001269572133 1085474823 /nfs/dbraw/zinc/47/48/23/1085474823.db2.gz IFMNPZFXHDSBMV-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC)CC(C)C ZINC001269602332 1085489310 /nfs/dbraw/zinc/48/93/10/1085489310.db2.gz YXGFWHRBSSFOMY-KSZLIROESA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cnc(C)o2)CCCC[C@@H]1C ZINC001269660064 1085517311 /nfs/dbraw/zinc/51/73/11/1085517311.db2.gz ZPPCAWHPJGOPRJ-KSSFIOAISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001269671489 1085520854 /nfs/dbraw/zinc/52/08/54/1085520854.db2.gz UIDRCJPDWGEQSM-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cccc(Cl)c2)C1 ZINC001269843463 1085583143 /nfs/dbraw/zinc/58/31/43/1085583143.db2.gz KMQXRSQJGPNEPC-CQSZACIVSA-N 0 1 320.864 3.380 20 30 DGEDMN C=CCCCC(=O)N(C)C1CN(C[C@@H]2CCCC(F)(F)C2)C1 ZINC001269843511 1085583318 /nfs/dbraw/zinc/58/33/18/1085583318.db2.gz MJGBBDSLJAXLRQ-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001482261872 1085674094 /nfs/dbraw/zinc/67/40/94/1085674094.db2.gz VVKQLQQXVJCKGM-UONOGXRCSA-N 0 1 315.417 3.292 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@@H](C)CC)CC2 ZINC001270329539 1085773060 /nfs/dbraw/zinc/77/30/60/1085773060.db2.gz WGEAFHIMIKYNGG-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001482282324 1085784479 /nfs/dbraw/zinc/78/44/79/1085784479.db2.gz YLHPTLSHODOQIO-ZIAGYGMSSA-N 0 1 322.399 3.122 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CCCCC)C1CCN(CC#C)CC1 ZINC001270363536 1085785806 /nfs/dbraw/zinc/78/58/06/1085785806.db2.gz FWDGVMGZWVLZEL-SFHVURJKSA-N 0 1 316.489 3.152 20 30 DGEDMN CCCCCCCN1CCO[C@@H](CNC(=O)/C=C\C(C)(C)C)C1 ZINC001270629644 1085902634 /nfs/dbraw/zinc/90/26/34/1085902634.db2.gz SGJLRCSGOFWNCT-MQNTZWLQSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1c(F)cccc1F ZINC001482317043 1085948895 /nfs/dbraw/zinc/94/88/95/1085948895.db2.gz NFJOSWLPMFAIRG-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001270701317 1085951581 /nfs/dbraw/zinc/95/15/81/1085951581.db2.gz QVXWVDQAFXGUIW-MRXNPFEDSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@@H](C)CNCc2nc(C)cs2)CC1 ZINC001482327506 1086009924 /nfs/dbraw/zinc/00/99/24/1086009924.db2.gz LNBGAKSPPFELSD-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001482328506 1086017039 /nfs/dbraw/zinc/01/70/39/1086017039.db2.gz ZTGNLSSNXAUAMX-SJKOYZFVSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001270845595 1086044403 /nfs/dbraw/zinc/04/44/03/1086044403.db2.gz PZKKGKIIHWGHRX-QGZVFWFLSA-N 0 1 322.468 3.427 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](c1cccnc1)C(C)C ZINC001482349139 1086060021 /nfs/dbraw/zinc/06/00/21/1086060021.db2.gz SNAZCGWCQVXOGH-HOCLYGCPSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(C)oc1C ZINC001482376969 1086098634 /nfs/dbraw/zinc/09/86/34/1086098634.db2.gz XJLQVCSXOIGDRX-AWEZNQCLSA-N 0 1 312.841 3.383 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H](CNCC(=C)Cl)CC(C)C)cc1 ZINC001482392210 1086121445 /nfs/dbraw/zinc/12/14/45/1086121445.db2.gz WWHHZBZKBRLINA-QGZVFWFLSA-N 0 1 318.848 3.155 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001270922988 1086192471 /nfs/dbraw/zinc/19/24/71/1086192471.db2.gz YIBZIEWFDBKINV-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@@H](F)CN1CCC[C@@](C)(CNC(=O)C#CC(C)(C)C)C1 ZINC001270958658 1086216871 /nfs/dbraw/zinc/21/68/71/1086216871.db2.gz NMQSWLPWEQGHAO-QAPCUYQASA-N 0 1 310.457 3.002 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CCCCC(C)(C)C)C1 ZINC001270965747 1086221582 /nfs/dbraw/zinc/22/15/82/1086221582.db2.gz OEDMFUPDUDBFGL-LJQANCHMSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1c(C)onc1CC ZINC001270975055 1086225842 /nfs/dbraw/zinc/22/58/42/1086225842.db2.gz CUESHGVCQUKWPR-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001270975778 1086229033 /nfs/dbraw/zinc/22/90/33/1086229033.db2.gz IDBHZJMBPPFXMG-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc3ccccc3c2)C1 ZINC001271006803 1086245695 /nfs/dbraw/zinc/24/56/95/1086245695.db2.gz BODPZQSQNQOMOQ-OAQYLSRUSA-N 0 1 320.436 3.305 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CC2(C)C)CCN1CC#Cc1ccccc1 ZINC001087545280 1086264879 /nfs/dbraw/zinc/26/48/79/1086264879.db2.gz ASNBVRIWRWCWEV-GBESFXJTSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2cscc2Cl)[C@H]1CC ZINC001087614525 1086328740 /nfs/dbraw/zinc/32/87/40/1086328740.db2.gz ZDTDPLQOMOWTMI-UONOGXRCSA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cscc2Cl)[C@H]1CC ZINC001087614525 1086328746 /nfs/dbraw/zinc/32/87/46/1086328746.db2.gz ZDTDPLQOMOWTMI-UONOGXRCSA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC=CCCC2)[C@H]1CC ZINC001087621643 1086331624 /nfs/dbraw/zinc/33/16/24/1086331624.db2.gz CYPCPWGQWJPQQY-OKZBNKHCSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@H]1CC ZINC001087685607 1086361038 /nfs/dbraw/zinc/36/10/38/1086361038.db2.gz UIQJKXKDEPWXCH-DLBZAZTESA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2C2(C)CC2)[C@H]1CC ZINC001087722706 1086380846 /nfs/dbraw/zinc/38/08/46/1086380846.db2.gz PKPHNSWGMMNZJF-RBUKOAKNSA-N 0 1 324.468 3.344 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H]1CC ZINC001087737365 1086385873 /nfs/dbraw/zinc/38/58/73/1086385873.db2.gz SFUPCDVDBFLDIS-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H]1CC ZINC001087737365 1086385883 /nfs/dbraw/zinc/38/58/83/1086385883.db2.gz SFUPCDVDBFLDIS-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2sccc2C2CC2)[C@H]1CC ZINC001087819077 1086425439 /nfs/dbraw/zinc/42/54/39/1086425439.db2.gz CYAIMTZUIIMMAL-LSDHHAIUSA-N 0 1 304.459 3.394 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)[C@H]1CC ZINC001087817800 1086426208 /nfs/dbraw/zinc/42/62/08/1086426208.db2.gz JPKJFXZGQQRYBH-ZGUYJTEBSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)[C@H]1CC ZINC001087821951 1086428619 /nfs/dbraw/zinc/42/86/19/1086428619.db2.gz NVGCLVFJOVJNJZ-JKSUJKDBSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(CC)oc3ccccc32)[C@H]1CC ZINC001087841630 1086438220 /nfs/dbraw/zinc/43/82/20/1086438220.db2.gz HAPOHERZEFZZLI-JKSUJKDBSA-N 0 1 324.424 3.211 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)s2)[C@H]1CC ZINC001087843227 1086440036 /nfs/dbraw/zinc/44/00/36/1086440036.db2.gz KYNILOZESFSRHX-LSDHHAIUSA-N 0 1 318.486 3.478 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H](C)c1ccco1 ZINC001271295264 1086565345 /nfs/dbraw/zinc/56/53/45/1086565345.db2.gz ULMATXHQLMJNCK-UONOGXRCSA-N 0 1 312.841 3.256 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CCNCc1nocc1C ZINC001271575559 1086684387 /nfs/dbraw/zinc/68/43/87/1086684387.db2.gz HMSYNGIDRPURSM-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccoc1CC ZINC001271705353 1086707071 /nfs/dbraw/zinc/70/70/71/1086707071.db2.gz MSRIYFCOBZFQLI-DOMZBBRYSA-N 0 1 324.852 3.425 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@@H](NCc2nccs2)C1 ZINC001088360128 1086859195 /nfs/dbraw/zinc/85/91/95/1086859195.db2.gz RBOAHCDCLTZONK-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1ccc(F)cc1C ZINC001482592130 1087016097 /nfs/dbraw/zinc/01/60/97/1087016097.db2.gz UVSULIWWFFTMAC-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN CC(C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C)=C1CCC1 ZINC001088559685 1087050899 /nfs/dbraw/zinc/05/08/99/1087050899.db2.gz BLZLCSWIYWVAIZ-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001482621053 1087090719 /nfs/dbraw/zinc/09/07/19/1087090719.db2.gz BWZMRDUGRVAXAV-INIZCTEOSA-N 0 1 313.445 3.051 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)CCC(C)(C)C)[C@@H]2C1 ZINC001272085627 1087113275 /nfs/dbraw/zinc/11/32/75/1087113275.db2.gz RVYHFVOBORFMIE-IXDOHACOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCN[C@@H](C)c1ncc(C)o1 ZINC001482836145 1087167859 /nfs/dbraw/zinc/16/78/59/1087167859.db2.gz DTUJTQPXKOFBMX-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN CCCCCC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001482910431 1087184450 /nfs/dbraw/zinc/18/44/50/1087184450.db2.gz RHHSGKIJNWVRDN-UHFFFAOYSA-N 0 1 300.446 3.057 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(C)(C)CC)CC[C@@H]21 ZINC001272208945 1087301139 /nfs/dbraw/zinc/30/11/39/1087301139.db2.gz ZHXJEWFQRDHYLV-GJZGRUSLSA-N 0 1 312.885 3.488 20 30 DGEDMN C[C@@H](NCCNC(=O)C#CC(C)(C)C)c1ccccc1Cl ZINC001126907913 1087389666 /nfs/dbraw/zinc/38/96/66/1087389666.db2.gz XTHRICWSLRLCDI-CYBMUJFWSA-N 0 1 306.837 3.156 20 30 DGEDMN C=CCCC(=O)N1CCC(OC2CN(CCCC(C)C)C2)CC1 ZINC001105697403 1087442929 /nfs/dbraw/zinc/44/29/29/1087442929.db2.gz WDSVVUPIOZEQOD-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)[C@H](C)CCC)CC2)C1 ZINC001105699754 1087447358 /nfs/dbraw/zinc/44/73/58/1087447358.db2.gz GAGARKBFMIPHLU-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(-c2cccs2)o1 ZINC001483289280 1087459763 /nfs/dbraw/zinc/45/97/63/1087459763.db2.gz QEPJWHIGEGKUNF-NSHDSACASA-N 0 1 324.833 3.469 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001483377645 1087485696 /nfs/dbraw/zinc/48/56/96/1087485696.db2.gz FMYXLLUTPHJLKA-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)Cc2scnc2C)CC1 ZINC001483380002 1087486549 /nfs/dbraw/zinc/48/65/49/1087486549.db2.gz BLTAPGHWCHXQGD-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=Cc1ccc(C(=O)N[C@H](CNCC(=C)Cl)C2CC2)cc1 ZINC001483589858 1087531019 /nfs/dbraw/zinc/53/10/19/1087531019.db2.gz SZIPCOIBOVXDLE-MRXNPFEDSA-N 0 1 304.821 3.180 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(C2CC2)c1)C1CC1 ZINC001483608253 1087534663 /nfs/dbraw/zinc/53/46/63/1087534663.db2.gz REMAHIWQQDKCBV-QGZVFWFLSA-N 0 1 318.848 3.415 20 30 DGEDMN C=CCCCC(=O)N1CCC(OC2CN(CCCC=C)C2)CC1 ZINC001105722190 1087543115 /nfs/dbraw/zinc/54/31/15/1087543115.db2.gz VVLRBOWKOQOBOS-UHFFFAOYSA-N 0 1 320.477 3.001 20 30 DGEDMN Cc1ncc(CNc2cc(/C=N/O)cc(C(F)(F)F)c2)cn1 ZINC001168391334 1087549493 /nfs/dbraw/zinc/54/94/93/1087549493.db2.gz KSQUBJMARHBOAA-ODCIPOBUSA-N 0 1 310.279 3.224 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(F)=C1CCCC1 ZINC001099012651 1087585310 /nfs/dbraw/zinc/58/53/10/1087585310.db2.gz LPDLYLRXIFRUQR-INIZCTEOSA-N 0 1 320.452 3.414 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2cc(F)ccc2Cl)[C@H]1C ZINC001088878071 1087594500 /nfs/dbraw/zinc/59/45/00/1087594500.db2.gz AJVOEBZVRIZWCV-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)[C@H]1C ZINC001088963522 1087610394 /nfs/dbraw/zinc/61/03/94/1087610394.db2.gz KNWFCUVYXWHBKN-RKVPGOIHSA-N 0 1 316.445 3.243 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccc(Cl)c2Cl)[C@H]1C ZINC001089001403 1087618883 /nfs/dbraw/zinc/61/88/83/1087618883.db2.gz GEDVVZFACSYHJZ-MFKMUULPSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc(Cl)c2Cl)[C@H]1C ZINC001089001403 1087618884 /nfs/dbraw/zinc/61/88/84/1087618884.db2.gz GEDVVZFACSYHJZ-MFKMUULPSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc3c2CC[C@@H](C)C3)[C@H]1C ZINC001089019971 1087621908 /nfs/dbraw/zinc/62/19/08/1087621908.db2.gz LRNZRKSNJODSMX-IOASZLSFSA-N 0 1 318.486 3.252 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)c2ccccc2)[C@H]1C ZINC001089028278 1087623944 /nfs/dbraw/zinc/62/39/44/1087623944.db2.gz MIVRDUCFABDTIW-WBVHZDCISA-N 0 1 300.446 3.119 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@H]1C ZINC001089032626 1087626025 /nfs/dbraw/zinc/62/60/25/1087626025.db2.gz XVHFZQDKDIBERV-QAPCUYQASA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@H]1CNC(=O)c1scnc1C1CC1 ZINC001483684063 1087649962 /nfs/dbraw/zinc/64/99/62/1087649962.db2.gz CJCPTBGEIVVQHQ-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@H]1CNC(=O)c1sc(CC)nc1C ZINC001483684469 1087650061 /nfs/dbraw/zinc/65/00/61/1087650061.db2.gz GWUVJFYRDSUQDC-JSGCOSHPSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001483698654 1087675424 /nfs/dbraw/zinc/67/54/24/1087675424.db2.gz UBWCBFOALIVRJY-RBUKOAKNSA-N 0 1 314.473 3.330 20 30 DGEDMN O=C1c2ccc(O)cc2CC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000176380533 1087718204 /nfs/dbraw/zinc/71/82/04/1087718204.db2.gz DEXPAEBECURFLI-YRNVUSSQSA-N 0 1 311.293 3.219 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2c[nH]c3cc(F)ccc23)c1 ZINC000176400472 1087724997 /nfs/dbraw/zinc/72/49/97/1087724997.db2.gz RPGIPNJOIFDJMW-UHFFFAOYSA-N 0 1 309.300 3.065 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cnc(-c3ccco3)s2)c1 ZINC000176404651 1087726043 /nfs/dbraw/zinc/72/60/43/1087726043.db2.gz DTEYTKDUUAAWFJ-UHFFFAOYSA-N 0 1 311.322 3.233 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099170019 1087727797 /nfs/dbraw/zinc/72/77/97/1087727797.db2.gz BXGINFSQZQZTBM-INIZCTEOSA-N 0 1 304.478 3.219 20 30 DGEDMN CC[N@@H+](CC#Cc1ccccc1)[C@H](C)CNC(=O)CCC(C)C ZINC001151767240 1087772295 /nfs/dbraw/zinc/77/22/95/1087772295.db2.gz XMHHFBWXLPMJEH-GOSISDBHSA-N 0 1 314.473 3.301 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)CCC(C)C ZINC001151767240 1087772313 /nfs/dbraw/zinc/77/23/13/1087772313.db2.gz XMHHFBWXLPMJEH-GOSISDBHSA-N 0 1 314.473 3.301 20 30 DGEDMN C=CCC(CC=C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001483737024 1087773328 /nfs/dbraw/zinc/77/33/28/1087773328.db2.gz MWRMLMIDGJATBD-UHFFFAOYSA-N 0 1 322.452 3.045 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2ccccn2)CC(C)(C)C1 ZINC001089301372 1087780700 /nfs/dbraw/zinc/78/07/00/1087780700.db2.gz RNSIRXSFEVDDDN-CQSZACIVSA-N 0 1 321.852 3.055 20 30 DGEDMN CC(C)(C)CC(C)(C)C(=O)NCCNCC#Cc1ccccc1 ZINC001151938244 1087834649 /nfs/dbraw/zinc/83/46/49/1087834649.db2.gz HAZSSCKJVDLTAI-UHFFFAOYSA-N 0 1 314.473 3.206 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001151980648 1087854556 /nfs/dbraw/zinc/85/45/56/1087854556.db2.gz DXPKNRGMZDYTGU-CYBMUJFWSA-N 0 1 321.490 3.383 20 30 DGEDMN Cc1cccc2nc(C(=O)Nc3ccc(F)c(CC#N)c3)[nH]c21 ZINC001151991607 1087859983 /nfs/dbraw/zinc/85/99/83/1087859983.db2.gz DYBLLDHDGHQCQH-UHFFFAOYSA-N 0 1 308.316 3.329 20 30 DGEDMN Cc1cccc2nc(C(=O)Nc3c(C#N)ccc(F)c3F)[nH]c21 ZINC001151992828 1087860837 /nfs/dbraw/zinc/86/08/37/1087860837.db2.gz QSLRNJIODMACPE-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)c1ccc(Cl)cc1 ZINC001152010644 1087870528 /nfs/dbraw/zinc/87/05/28/1087870528.db2.gz JUXKUPZNAFOMIF-AWEZNQCLSA-N 0 1 315.244 3.292 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2cc[nH]c2c1 ZINC001158522120 1087890886 /nfs/dbraw/zinc/89/08/86/1087890886.db2.gz MIDXIZYAXAEMQD-GOSISDBHSA-N 0 1 323.440 3.118 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)o2)CC(C)(C)C1 ZINC001089321613 1087987761 /nfs/dbraw/zinc/98/77/61/1087987761.db2.gz RSUJCYRGCCQACB-ZDUSSCGKSA-N 0 1 322.836 3.177 20 30 DGEDMN Cc1cc(C2CCN(C(=O)[C@H](CC#N)c3ccccc3)CC2)n[nH]1 ZINC001152577878 1088010055 /nfs/dbraw/zinc/01/00/55/1088010055.db2.gz MYSGGYIYECKBDP-QGZVFWFLSA-N 0 1 322.412 3.122 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(C)CCC(F)(F)CC2)CC1 ZINC001483748704 1088024519 /nfs/dbraw/zinc/02/45/19/1088024519.db2.gz YTWKEXPOZIRFCE-UHFFFAOYSA-N 0 1 320.811 3.193 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(CC)cc1 ZINC001158819527 1088046994 /nfs/dbraw/zinc/04/69/94/1088046994.db2.gz QUUVKUZSPNBBFS-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](CCCC)C(C)C ZINC001158927849 1088105998 /nfs/dbraw/zinc/10/59/98/1088105998.db2.gz FUMHDHMTXYXSKT-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001158935778 1088108315 /nfs/dbraw/zinc/10/83/15/1088108315.db2.gz CKUWNCFHQWMHDK-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(CC)C(=O)Nc1nc2cc(Br)c(F)cc2[nH]1 ZINC001159012327 1088138021 /nfs/dbraw/zinc/13/80/21/1088138021.db2.gz PZORLOORXRSNAC-UHFFFAOYSA-N 0 1 312.142 3.369 20 30 DGEDMN C=C(C)CCC(=O)NCCCNCc1csc(C(C)(C)C)n1 ZINC001159040120 1088155451 /nfs/dbraw/zinc/15/54/51/1088155451.db2.gz HZJPHWVKBPXEFT-UHFFFAOYSA-N 0 1 323.506 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1sc(C)nc1C ZINC001153642523 1088316733 /nfs/dbraw/zinc/31/67/33/1088316733.db2.gz ZDHTVCHVMVSKIF-CYBMUJFWSA-N 0 1 323.506 3.443 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1cccc(Cl)c1)CC2 ZINC001272659733 1088502915 /nfs/dbraw/zinc/50/29/15/1088502915.db2.gz DYORGCIURDVFLI-INIZCTEOSA-N 0 1 318.848 3.244 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cccc(Cl)c1 ZINC001272659796 1088503431 /nfs/dbraw/zinc/50/34/31/1088503431.db2.gz FVYYIGZOLMQEOB-IAGOWNOFSA-N 0 1 318.848 3.481 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)C(C)(C)C ZINC001099321306 1088569879 /nfs/dbraw/zinc/56/98/79/1088569879.db2.gz UCMYCDPJMMTYHZ-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)C(C)(C)C ZINC001099321305 1088570112 /nfs/dbraw/zinc/57/01/12/1088570112.db2.gz UCMYCDPJMMTYHZ-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN N=C(Nc1cc2[nH]ccc2cn1)c1ccc2cc(O)ccc2c1 ZINC001160021230 1088607224 /nfs/dbraw/zinc/60/72/24/1088607224.db2.gz RRRASXYRTWOONK-UHFFFAOYSA-N 0 1 302.337 3.459 20 30 DGEDMN CC1(C#N)CN(C(=O)c2cccc3[nH]c(-c4ccccc4)nc32)C1 ZINC001154484792 1088624593 /nfs/dbraw/zinc/62/45/93/1088624593.db2.gz BVPGXHBJHKBCII-UHFFFAOYSA-N 0 1 316.364 3.216 20 30 DGEDMN CCc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)nn1 ZINC001160100641 1088639875 /nfs/dbraw/zinc/63/98/75/1088639875.db2.gz MIBBSIMSEDULOY-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN Cc1c(F)ccnc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001160100687 1088640470 /nfs/dbraw/zinc/64/04/70/1088640470.db2.gz OSTPNDDCFVNMFI-UHFFFAOYSA-N 0 1 324.403 3.499 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001483764891 1088651697 /nfs/dbraw/zinc/65/16/97/1088651697.db2.gz QJFLDDDKUGAMEP-GOSISDBHSA-N 0 1 318.436 3.475 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001154584502 1088661192 /nfs/dbraw/zinc/66/11/92/1088661192.db2.gz KNEWDRCDEWZDFI-CQSZACIVSA-N 0 1 321.440 3.150 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C1(C)CCC1 ZINC001154632540 1088684685 /nfs/dbraw/zinc/68/46/85/1088684685.db2.gz XUMGCQJHTGRUNK-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CCCCCCCC(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001154692604 1088713718 /nfs/dbraw/zinc/71/37/18/1088713718.db2.gz AIDLYLOCPBGEQG-ROUUACIJSA-N 0 1 324.509 3.354 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2ccco2)CC(C)(C)C1 ZINC001089527840 1088713964 /nfs/dbraw/zinc/71/39/64/1088713964.db2.gz VLMZPFLXUBGNTD-CQSZACIVSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001154751444 1088743201 /nfs/dbraw/zinc/74/32/01/1088743201.db2.gz XDESJZPHEHJIQS-CVEARBPZSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC001483773773 1088777520 /nfs/dbraw/zinc/77/75/20/1088777520.db2.gz RCBOXYXPESHDJG-MRXNPFEDSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)s1 ZINC001089600727 1088797976 /nfs/dbraw/zinc/79/79/76/1088797976.db2.gz PZULVUHGHXZTMT-CQSZACIVSA-N 0 1 306.475 3.463 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)o1 ZINC001089605936 1088809440 /nfs/dbraw/zinc/80/94/40/1088809440.db2.gz RHYSZFDXEZNXDB-HNNXBMFYSA-N 0 1 304.434 3.385 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1oc(C)cc1C ZINC001089622094 1088837113 /nfs/dbraw/zinc/83/71/13/1088837113.db2.gz DWWWHKKIGOINPR-OAHLLOKOSA-N 0 1 304.434 3.303 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc2occc2[nH]1 ZINC001089649126 1088901457 /nfs/dbraw/zinc/90/14/57/1088901457.db2.gz BOZCYSFXKUBUGR-CYBMUJFWSA-N 0 1 315.417 3.167 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001155067389 1088928081 /nfs/dbraw/zinc/92/80/81/1088928081.db2.gz YNDQPNJFRFCYSR-QAPCUYQASA-N 0 1 303.450 3.011 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001483834251 1088935626 /nfs/dbraw/zinc/93/56/26/1088935626.db2.gz ZPMFVSRQENLIJC-RLGIIYIFSA-N 0 1 322.880 3.247 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)C1CN(CC2CCCCCC2)C1 ZINC001483863287 1088960675 /nfs/dbraw/zinc/96/06/75/1088960675.db2.gz SNWBEMIIIIGUEC-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001483871197 1088974335 /nfs/dbraw/zinc/97/43/35/1088974335.db2.gz FZORAZQUDOFOFV-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(CC)cc2)C1 ZINC001483890536 1088991691 /nfs/dbraw/zinc/99/16/91/1088991691.db2.gz WHBDBAXTRFFRHE-HNNXBMFYSA-N 0 1 300.446 3.360 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@](C)(F)CCCC ZINC001483934797 1089018686 /nfs/dbraw/zinc/01/86/86/1089018686.db2.gz LUACIBBCQKOGMV-ZFWWWQNUSA-N 0 1 304.837 3.238 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1cc(C)cc(C)c1 ZINC001483942714 1089025805 /nfs/dbraw/zinc/02/58/05/1089025805.db2.gz MIQALKJINSQCGT-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1nc(C)sc1C ZINC001483943552 1089028068 /nfs/dbraw/zinc/02/80/68/1089028068.db2.gz YEAVOKIKSWFIAN-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160940928 1089030704 /nfs/dbraw/zinc/03/07/04/1089030704.db2.gz IOXAPYBPAKBDET-PWIQMMQFSA-N 0 1 310.869 3.336 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1cccc(C)c1 ZINC001483947328 1089031742 /nfs/dbraw/zinc/03/17/42/1089031742.db2.gz YTPINCZPKKWCRM-RDJZCZTQSA-N 0 1 320.864 3.432 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(F)(F)c1cccc(Cl)n1 ZINC001160951296 1089037806 /nfs/dbraw/zinc/03/78/06/1089037806.db2.gz DLEIVMUJIQBSSC-NSHDSACASA-N 0 1 301.768 3.024 20 30 DGEDMN CC#CCCCC(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160953878 1089042731 /nfs/dbraw/zinc/04/27/31/1089042731.db2.gz KJBNDKKNDNLWMS-KRWDZBQOSA-N 0 1 308.441 3.067 20 30 DGEDMN C=CCCC(=O)NC1(C)CCN(Cc2cccc(F)c2)CC1 ZINC001483963585 1089055548 /nfs/dbraw/zinc/05/55/48/1089055548.db2.gz SLBSAIJTQAWSIQ-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC(C)(C)C1)C1CCCC1 ZINC001483997454 1089076725 /nfs/dbraw/zinc/07/67/25/1089076725.db2.gz GQNCDALPXOMUEI-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001089669191 1089076808 /nfs/dbraw/zinc/07/68/08/1089076808.db2.gz IKAGNBPAOAOFPO-ARFHVFGLSA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCCCC(=O)NCCC1=CCN(Cc2ccccn2)CC1 ZINC001161057047 1089097005 /nfs/dbraw/zinc/09/70/05/1089097005.db2.gz YJORLPWPZAEBKD-UHFFFAOYSA-N 0 1 313.445 3.076 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ncc(C)cc1C ZINC001089672665 1089099402 /nfs/dbraw/zinc/09/94/02/1089099402.db2.gz OWVJEVYXYHURQT-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001484022842 1089106662 /nfs/dbraw/zinc/10/66/62/1089106662.db2.gz PXZZIOHHXPHJQK-GOSISDBHSA-N 0 1 310.457 3.263 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(OC)cs1 ZINC001089680053 1089134557 /nfs/dbraw/zinc/13/45/57/1089134557.db2.gz FLXZDZPCLKRJKI-CYBMUJFWSA-N 0 1 322.474 3.163 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001155489569 1089134736 /nfs/dbraw/zinc/13/47/36/1089134736.db2.gz GQDPHQDIDFTXQC-OAHLLOKOSA-N 0 1 303.450 3.011 20 30 DGEDMN C=CCN(C(=O)[C@@H]1NCCc2cc(F)ccc21)C1CCCCC1 ZINC001161248201 1089169124 /nfs/dbraw/zinc/16/91/24/1089169124.db2.gz SZOOGVFVVRGVNW-GOSISDBHSA-N 0 1 316.420 3.360 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc2[nH]ccc21 ZINC001089697628 1089225954 /nfs/dbraw/zinc/22/59/54/1089225954.db2.gz QLHZQDKVNMEHOF-HNNXBMFYSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(CCC)cc2)C1 ZINC001484167953 1089263560 /nfs/dbraw/zinc/26/35/60/1089263560.db2.gz UVKCVZAGFYRFQG-FQEVSTJZSA-N 0 1 312.457 3.104 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(CC)(CC)CC)C1 ZINC001484196428 1089284660 /nfs/dbraw/zinc/28/46/60/1089284660.db2.gz UBMCAWWILKMWCU-CQSZACIVSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@](C)(F)CCCC)C1 ZINC001484198130 1089286731 /nfs/dbraw/zinc/28/67/31/1089286731.db2.gz GZDLKJOQZQMAOH-HIFRSBDPSA-N 0 1 320.399 3.164 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CCC=CCC1 ZINC001089735057 1089315579 /nfs/dbraw/zinc/31/55/79/1089315579.db2.gz FHZVHYLLINTMET-SFHVURJKSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCCC1(C)C ZINC001089734757 1089316876 /nfs/dbraw/zinc/31/68/76/1089316876.db2.gz XCAXHYZKGRIQTN-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCC#CC)c1ccccc1CC ZINC001484325834 1089338104 /nfs/dbraw/zinc/33/81/04/1089338104.db2.gz RIEUSKQKSRRISM-IBGZPJMESA-N 0 1 312.457 3.376 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccsc1)c1ccccc1CC ZINC001484326472 1089343334 /nfs/dbraw/zinc/34/33/34/1089343334.db2.gz ZAQDBQBJPSUFMI-KRWDZBQOSA-N 0 1 312.438 3.004 20 30 DGEDMN CCOC(=O)c1nc2ccc(N[C@@H](C#N)c3ccccc3)cc2[nH]1 ZINC001161726171 1089373011 /nfs/dbraw/zinc/37/30/11/1089373011.db2.gz WDIHXMPDRMENQX-INIZCTEOSA-N 0 1 320.352 3.416 20 30 DGEDMN CC(C)(C)C(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001161758531 1089386936 /nfs/dbraw/zinc/38/69/36/1089386936.db2.gz FHCUNGJEJRTUKI-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC001161763198 1089390271 /nfs/dbraw/zinc/39/02/71/1089390271.db2.gz MMVDQRMAKDQQSY-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@@H](C)OCC)cc1 ZINC001272682402 1089399452 /nfs/dbraw/zinc/39/94/52/1089399452.db2.gz KNPLGLLTXDPLIQ-UONOGXRCSA-N 0 1 324.852 3.131 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@](C)(CC)CCC ZINC001484466033 1089436409 /nfs/dbraw/zinc/43/64/09/1089436409.db2.gz RLVMBOQOAVMZFC-LMMKCTJWSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@](C)(CC)CCC ZINC001484466033 1089436415 /nfs/dbraw/zinc/43/64/15/1089436415.db2.gz RLVMBOQOAVMZFC-LMMKCTJWSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001484473315 1089441314 /nfs/dbraw/zinc/44/13/14/1089441314.db2.gz PQJQSIROLGIAFL-BEBBCNLGSA-N 0 1 322.880 3.245 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C(C)(F)F ZINC001484557752 1089493431 /nfs/dbraw/zinc/49/34/31/1089493431.db2.gz RZKOIBXNQNHULD-AWEZNQCLSA-N 0 1 322.399 3.049 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCC2CCCC2)CC1 ZINC001161963333 1089496067 /nfs/dbraw/zinc/49/60/67/1089496067.db2.gz AOCXRDQMMWZLHX-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccccc2CC(C)C)CC1 ZINC001161968059 1089498337 /nfs/dbraw/zinc/49/83/37/1089498337.db2.gz DOYLNLFMVRUQIU-UHFFFAOYSA-N 0 1 324.468 3.270 20 30 DGEDMN N#Cc1cc(Cl)cnc1NCC1CN(Cc2ccccc2)C1 ZINC001156399219 1089506240 /nfs/dbraw/zinc/50/62/40/1089506240.db2.gz QGVCDHRXFDLQMV-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(F)c(N)c3)sc2C1 ZINC001212330007 1089523767 /nfs/dbraw/zinc/52/37/67/1089523767.db2.gz DDRUMUCIZXRPRY-UHFFFAOYSA-N 0 1 302.378 3.073 20 30 DGEDMN C=CC(=NCOC)N[C@@H]1CCCC[C@H]1OCc1ccccc1 ZINC001162043139 1089537566 /nfs/dbraw/zinc/53/75/66/1089537566.db2.gz KFQWCSHCMUKPBD-IAGOWNOFSA-N 0 1 302.418 3.292 20 30 DGEDMN C#CC1CCN(C(=O)c2[nH]nc3cc(C(F)(F)F)ccc32)CC1 ZINC001156497200 1089551023 /nfs/dbraw/zinc/55/10/23/1089551023.db2.gz CUQBLJRXVBRNPW-UHFFFAOYSA-N 0 1 321.302 3.067 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3ccsc3[nH]2)CCC1 ZINC001484646863 1089562504 /nfs/dbraw/zinc/56/25/04/1089562504.db2.gz YWKYUSSVMBMUID-UHFFFAOYSA-N 0 1 323.849 3.224 20 30 DGEDMN CN1CCC(C#N)(Nc2nc(Cl)cc3ccc(F)cc32)CC1 ZINC001162226957 1089620398 /nfs/dbraw/zinc/62/03/98/1089620398.db2.gz FHEOZSWOWGWCCJ-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN CC[C@H](C)c1nc(Cl)c(C)c(NC2(C#N)CCN(C)CC2)n1 ZINC001162230654 1089623283 /nfs/dbraw/zinc/62/32/83/1089623283.db2.gz BXQZWDUZJYWOBZ-NSHDSACASA-N 0 1 321.856 3.352 20 30 DGEDMN N#CC1(Nc2nccc3c2CCN(Cc2ccccc2)C3)CCC1 ZINC001162310119 1089670945 /nfs/dbraw/zinc/67/09/45/1089670945.db2.gz AJLITPPFCIGQHC-UHFFFAOYSA-N 0 1 318.424 3.498 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC2(CN(CCCC(C)(C)C)C2)O1 ZINC001484785054 1089674717 /nfs/dbraw/zinc/67/47/17/1089674717.db2.gz RBUWDOXYKAYMLQ-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@]1(C)C=CCC1 ZINC001484822048 1089703168 /nfs/dbraw/zinc/70/31/68/1089703168.db2.gz BOLGKWWYLLWTEN-TZIWHRDSSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](CC)SC)cc2C1 ZINC001484872664 1089714908 /nfs/dbraw/zinc/71/49/08/1089714908.db2.gz ANYOHBZFORTDJO-QGZVFWFLSA-N 0 1 318.486 3.336 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NCc1ccc2c(c1)CN(CC)C2)OCC ZINC001484873771 1089715271 /nfs/dbraw/zinc/71/52/71/1089715271.db2.gz JYTOKAYDZSBJKS-GOSISDBHSA-N 0 1 316.445 3.010 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)[C@H](C)CC)cc2C1 ZINC001484876212 1089717390 /nfs/dbraw/zinc/71/73/90/1089717390.db2.gz ZTCVEEWNXIOLPE-HZPDHXFCSA-N 0 1 312.457 3.324 20 30 DGEDMN CN(CCCc1ccccc1)C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156883419 1089732019 /nfs/dbraw/zinc/73/20/19/1089732019.db2.gz LTEUGLMGILFYIQ-UHFFFAOYSA-N 0 1 318.380 3.139 20 30 DGEDMN C#CC1(Nc2cc3ncc(C(=O)OCC)c-3c[nH]2)CCCCC1 ZINC001162480142 1089754263 /nfs/dbraw/zinc/75/42/63/1089754263.db2.gz WYIKIXLMPOITIT-UHFFFAOYSA-N 0 1 311.385 3.488 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C=C1CCC1 ZINC001485003730 1089839693 /nfs/dbraw/zinc/83/96/93/1089839693.db2.gz GEPYECRDCCLOII-LJQANCHMSA-N 0 1 310.441 3.388 20 30 DGEDMN N#CC1(c2ccc(N(C(=N)N)c3ccc(F)cc3)nc2)CCC1 ZINC001157226462 1089882113 /nfs/dbraw/zinc/88/21/13/1089882113.db2.gz OGQWMEOSUMCFFL-UHFFFAOYSA-N 0 1 309.348 3.198 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1ccc(C)nc1C ZINC001485050005 1089887664 /nfs/dbraw/zinc/88/76/64/1089887664.db2.gz JSQUZTGCUHENRS-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001485069337 1089904221 /nfs/dbraw/zinc/90/42/21/1089904221.db2.gz NQVCEUGYGMSNGT-UKRRQHHQSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(-c2ccccc2)o1 ZINC001485068231 1089904358 /nfs/dbraw/zinc/90/43/58/1089904358.db2.gz BALXFWAHLBSBEM-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccccc1C1CCC1 ZINC001485074520 1089926981 /nfs/dbraw/zinc/92/69/81/1089926981.db2.gz ADMIQWOUMBPTCO-QGZVFWFLSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001485105051 1089957976 /nfs/dbraw/zinc/95/79/76/1089957976.db2.gz FIBYCBYMQXUNSJ-WQLSENKSSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001485120605 1089973284 /nfs/dbraw/zinc/97/32/84/1089973284.db2.gz LJLSSKCHDYTPLI-KGLIPLIRSA-N 0 1 319.424 3.116 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@@H](C)NC(=O)C1(C)CCCC1 ZINC001485177752 1090005463 /nfs/dbraw/zinc/00/54/63/1090005463.db2.gz QVNRFMBNRQRKHO-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C[C@@H](NC(=O)C1(C)CCCC1)[C@H](C)NCc1ccccc1C#N ZINC001485177753 1090005524 /nfs/dbraw/zinc/00/55/24/1090005524.db2.gz QVNRFMBNRQRKHO-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC001485202578 1090017015 /nfs/dbraw/zinc/01/70/15/1090017015.db2.gz UUZMTVDRPIFNTC-CHWSQXEVSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C(C)(C)CC(F)(F)F ZINC001485212865 1090032272 /nfs/dbraw/zinc/03/22/72/1090032272.db2.gz XPSXFRFUPPTYOJ-ZJUUUORDSA-N 0 1 314.779 3.200 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccccc1Cl ZINC001485213494 1090033346 /nfs/dbraw/zinc/03/33/46/1090033346.db2.gz AUTHPGNAMHPXEX-GHMZBOCLSA-N 0 1 301.217 3.189 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1ccc(C)s1 ZINC001485233149 1090039229 /nfs/dbraw/zinc/03/92/29/1090039229.db2.gz CMZFNTNNYHFMTD-QWHCGFSZSA-N 0 1 314.882 3.224 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CC(C)(C)CNCc1cscn1 ZINC001485252883 1090047487 /nfs/dbraw/zinc/04/74/87/1090047487.db2.gz RPVVWPTWKDBHDO-UHFFFAOYSA-N 0 1 323.506 3.320 20 30 DGEDMN CN(Cc1ccc(NC2(C#N)Cc3ccccc3C2)nc1)C1CC1 ZINC001163003289 1090052293 /nfs/dbraw/zinc/05/22/93/1090052293.db2.gz NHCRFWUIOLNUQX-UHFFFAOYSA-N 0 1 318.424 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC1=CCCCC1 ZINC001157686525 1090073491 /nfs/dbraw/zinc/07/34/91/1090073491.db2.gz IQPBDOKPGHADRE-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@@H]1CN(C)C[C@@H](F)CC ZINC001157855963 1090132702 /nfs/dbraw/zinc/13/27/02/1090132702.db2.gz FMKPWRWOOOENGB-DLBZAZTESA-N 0 1 310.457 3.241 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CN(C)Cc1cccnc1 ZINC001158018569 1090172500 /nfs/dbraw/zinc/17/25/00/1090172500.db2.gz CRNOPKMSYDOBSU-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CN(Cc3csc(C)c3)C2)C1 ZINC001485522426 1090201026 /nfs/dbraw/zinc/20/10/26/1090201026.db2.gz IWPWRWNUGOLMIA-CQSZACIVSA-N 0 1 318.486 3.349 20 30 DGEDMN CN(C)Cc1cccc(NC(=N)c2ccc(C(F)(F)F)cc2)n1 ZINC001163679642 1090231567 /nfs/dbraw/zinc/23/15/67/1090231567.db2.gz FGRFMAXUFSDCIS-UHFFFAOYSA-N 0 1 322.334 3.199 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(C)nc(-c2cccc([N+](=O)[O-])c2)n1 ZINC001163737361 1090246097 /nfs/dbraw/zinc/24/60/97/1090246097.db2.gz XTEPPNXRJGPMFU-YFHOEESVSA-N 0 1 312.329 3.265 20 30 DGEDMN COc1ccc(-c2ccc(N=C(C)CC(C)=O)nn2)cc1OC ZINC001163737297 1090246312 /nfs/dbraw/zinc/24/63/12/1090246312.db2.gz VBEOJRKRHVYHIQ-LUAWRHEFSA-N 0 1 313.357 3.066 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCNCc1csc(C(C)(C)C)n1 ZINC001163964158 1090302046 /nfs/dbraw/zinc/30/20/46/1090302046.db2.gz RCVBYCZJVUDDGT-UHFFFAOYSA-N 0 1 323.506 3.249 20 30 DGEDMN CC(C)[C@@H](C)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001124681208 1090316796 /nfs/dbraw/zinc/31/67/96/1090316796.db2.gz MLLRBXHDQOJXMW-HNNXBMFYSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1c(F)cccc1F ZINC001164345456 1090406928 /nfs/dbraw/zinc/40/69/28/1090406928.db2.gz ADNPRFXZNUVONZ-ZDUSSCGKSA-N 0 1 310.388 3.163 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164360489 1090412830 /nfs/dbraw/zinc/41/28/30/1090412830.db2.gz LKXPVQKVKWNONP-AWEZNQCLSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C=C3CCC3)CCC[C@@H]12 ZINC001107360492 1090428482 /nfs/dbraw/zinc/42/84/82/1090428482.db2.gz OCFNBHZCNUVZNJ-NVXWUHKLSA-N 0 1 308.853 3.210 20 30 DGEDMN CC(C)C1=NCCc2ccc(NC(=NC#N)c3ccncc3)cc21 ZINC001164517079 1090435523 /nfs/dbraw/zinc/43/55/23/1090435523.db2.gz HQLLTKKARHKRST-UHFFFAOYSA-N 0 1 317.396 3.232 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3(C)CC(=C)C3)CCC[C@@H]12 ZINC001107543761 1090458718 /nfs/dbraw/zinc/45/87/18/1090458718.db2.gz YGFBHYUWNQWFBA-CRAIPNDOSA-N 0 1 322.880 3.456 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CC2CCCCC2)C1 ZINC001107716536 1090491862 /nfs/dbraw/zinc/49/18/62/1090491862.db2.gz KKBMLACNDQKJML-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@@H](CC)CCCC)C1 ZINC001107764412 1090500065 /nfs/dbraw/zinc/50/00/65/1090500065.db2.gz XBEZSILLCWYORP-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@@H](CC)CCCC)C1 ZINC001107763405 1090500079 /nfs/dbraw/zinc/50/00/79/1090500079.db2.gz GIZLDBMLZYDICA-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CCC)C1 ZINC001107823156 1090509820 /nfs/dbraw/zinc/50/98/20/1090509820.db2.gz KJISARYQDHVACF-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C=C2CCC2)C1 ZINC001107862163 1090519676 /nfs/dbraw/zinc/51/96/76/1090519676.db2.gz JUXULRJUYIAMKD-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C2=CCCC2)C1 ZINC001107866570 1090521434 /nfs/dbraw/zinc/52/14/34/1090521434.db2.gz QAVKCQMLHTUBNW-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](C)CC)C1 ZINC001107901461 1090532348 /nfs/dbraw/zinc/53/23/48/1090532348.db2.gz QGVVJSPHZIVWLO-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C[C@H](C)CC)C1 ZINC001107901461 1090532350 /nfs/dbraw/zinc/53/23/50/1090532350.db2.gz QGVVJSPHZIVWLO-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)/C=C(\C)CC)C1 ZINC001107924446 1090538126 /nfs/dbraw/zinc/53/81/26/1090538126.db2.gz KYGZFCCOTYQNRY-FLXZHSAZSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(c1cc(C)cc(C)n1)CCO2 ZINC001166368015 1090600169 /nfs/dbraw/zinc/60/01/69/1090600169.db2.gz QOBGGZDGOLKLEH-IEBWSBKVSA-N 0 1 316.445 3.277 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1ncc(Cl)s1 ZINC001272812925 1090624608 /nfs/dbraw/zinc/62/46/08/1090624608.db2.gz FWINQSMWUCTBCS-LLVKDONJSA-N 0 1 315.870 3.137 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001128085511 1090646126 /nfs/dbraw/zinc/64/61/26/1090646126.db2.gz VBBGJADBOKWBIH-UHFFFAOYSA-N 0 1 324.827 3.453 20 30 DGEDMN CC(C)=CCN1CC[C@]2(CCCN2Cc2ccc(C#N)cc2)C1=O ZINC001272908519 1090677563 /nfs/dbraw/zinc/67/75/63/1090677563.db2.gz XSXAOSMCRAWXSP-HXUWFJFHSA-N 0 1 323.440 3.091 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1oc(C(C)C)nc1C ZINC001485880665 1090716121 /nfs/dbraw/zinc/71/61/21/1090716121.db2.gz RJHFIQJEZVPTPH-AWEZNQCLSA-N 0 1 321.465 3.399 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@@H]1C ZINC001486071009 1090741692 /nfs/dbraw/zinc/74/16/92/1090741692.db2.gz OZVFXOMQATYJRF-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)[C@H]1C ZINC001486079373 1090750274 /nfs/dbraw/zinc/75/02/74/1090750274.db2.gz NTHCDKJDWJBTIL-HIFRSBDPSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CC(C2CCC2)C2CCC2)[C@H]1C ZINC001486179892 1090778578 /nfs/dbraw/zinc/77/85/78/1090778578.db2.gz FOXCWAJATAILCA-DNVCBOLYSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC(C2CCC2)C2CCC2)[C@H]1C ZINC001486179890 1090778644 /nfs/dbraw/zinc/77/86/44/1090778644.db2.gz FOXCWAJATAILCA-BEFAXECRSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC001486232981 1090790346 /nfs/dbraw/zinc/79/03/46/1090790346.db2.gz BSHHDVSJEHHEJC-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN CC(C)OCCN(CCOC(C)C)Cc1cccc(C#N)c1 ZINC001203760759 1090798501 /nfs/dbraw/zinc/79/85/01/1090798501.db2.gz NPQUETRDIZJNOJ-UHFFFAOYSA-N 0 1 304.434 3.210 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1cccc(F)c1)C2 ZINC001203785481 1090804176 /nfs/dbraw/zinc/80/41/76/1090804176.db2.gz CBBORPMVORZURU-ZWKOTPCHSA-N 0 1 305.393 3.152 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1cnccc1C)C(C)C ZINC001486365597 1090808468 /nfs/dbraw/zinc/80/84/68/1090808468.db2.gz WMTMNCQTQNIUPW-UHFFFAOYSA-N 0 1 303.450 3.025 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)c(F)cc1F)C(C)C ZINC001486370221 1090810767 /nfs/dbraw/zinc/81/07/67/1090810767.db2.gz VSKXJDNPIBJOAQ-UHFFFAOYSA-N 0 1 322.399 3.079 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc2ccccc21)C(C)C ZINC001486378980 1090815160 /nfs/dbraw/zinc/81/51/60/1090815160.db2.gz GZMMFQBWCSSFSV-UHFFFAOYSA-N 0 1 308.425 3.255 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C(F)F)CCC1)C(C)(C)C ZINC001486413020 1090826119 /nfs/dbraw/zinc/82/61/19/1090826119.db2.gz YKDKWDWGACSFJW-NSHDSACASA-N 0 1 322.827 3.295 20 30 DGEDMN CCOc1cccc(N2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC001203904821 1090834109 /nfs/dbraw/zinc/83/41/09/1090834109.db2.gz HFTZQASJGNTWIT-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1ccccc1C#N ZINC001204373942 1090958414 /nfs/dbraw/zinc/95/84/14/1090958414.db2.gz YWXINQQCEDFRGV-MRXNPFEDSA-N 0 1 300.402 3.254 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(Cl)s1 ZINC001273000859 1090980516 /nfs/dbraw/zinc/98/05/16/1090980516.db2.gz ZSKYASKBTBHYKM-CHWSQXEVSA-N 0 1 310.850 3.153 20 30 DGEDMN C#CCN(Cc1cc(C(=O)OC)c(CC)o1)C1CCCCC1 ZINC001204495866 1090983465 /nfs/dbraw/zinc/98/34/65/1090983465.db2.gz BJDJYVDDFSJNQN-UHFFFAOYSA-N 0 1 303.402 3.397 20 30 DGEDMN COc1cc(C)cc(OC)c1CN1[C@@H]2CC[C@H]1C[C@H](C#N)C2 ZINC001143142231 1090989888 /nfs/dbraw/zinc/98/98/88/1090989888.db2.gz BYKPGHMMENPFEC-QKDCVEJESA-N 0 1 300.402 3.279 20 30 DGEDMN Cc1ccc(C#N)c(Nc2ccc(C[NH+]3CCN(C)CC3)cc2)c1 ZINC001204746903 1091028063 /nfs/dbraw/zinc/02/80/63/1091028063.db2.gz GTMBWSUIYACMQL-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN CN1CC[NH+](Cc2ccc(Nc3c(F)cccc3C#N)cc2)CC1 ZINC001204746788 1091028127 /nfs/dbraw/zinc/02/81/27/1091028127.db2.gz DJEZOIFDTGARPY-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN C#CCOc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1OC ZINC001138343918 1091147296 /nfs/dbraw/zinc/14/72/96/1091147296.db2.gz RSOBXTKJXFPNSV-GASCZTMLSA-N 0 1 321.367 3.184 20 30 DGEDMN C[NH+](C)CCCOc1ccc(-c2ccc(/C=N/[O-])c(F)c2)cn1 ZINC001205248576 1091147861 /nfs/dbraw/zinc/14/78/61/1091147861.db2.gz OVPGJXQAGZFEMB-UDWIEESQSA-N 0 1 317.364 3.026 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149045 1091154284 /nfs/dbraw/zinc/15/42/84/1091154284.db2.gz QDNCFBKZIQGIPQ-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C(CCC)CCC)C1 ZINC001108164049 1091190529 /nfs/dbraw/zinc/19/05/29/1091190529.db2.gz SWPPUTTUPVQWLQ-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCOc3c(F)cccc32)cc1F ZINC001205453707 1091225654 /nfs/dbraw/zinc/22/56/54/1091225654.db2.gz NMRQEXFQKXZURX-MRXNPFEDSA-N 0 1 300.308 3.450 20 30 DGEDMN C=CCc1cccc(CN(C)Cc2cccc3c2OCO3)c1O ZINC001138631014 1091262586 /nfs/dbraw/zinc/26/25/86/1091262586.db2.gz IESRHUBXMIRHJS-UHFFFAOYSA-N 0 1 311.381 3.481 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CN(CCC2CCCC2)CCO1 ZINC001108171530 1091270523 /nfs/dbraw/zinc/27/05/23/1091270523.db2.gz KVOMGSKKCGOMIW-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)COc1ccccc1CN1CC(N2CCC(F)CC2)C1 ZINC001138665224 1091281017 /nfs/dbraw/zinc/28/10/17/1091281017.db2.gz IYOWZIPSEPAKQR-UHFFFAOYSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](c2ccccc2F)[C@H](NCCF)C1 ZINC001205648059 1091295593 /nfs/dbraw/zinc/29/55/93/1091295593.db2.gz HYPLBMKQJKSWMV-DOTOQJQBSA-N 0 1 322.399 3.036 20 30 DGEDMN N#Cc1ccc(N[C@H]2CCN(Cc3cccc(Cl)c3)C2)nc1 ZINC001161183406 1091347423 /nfs/dbraw/zinc/34/74/23/1091347423.db2.gz XUVUXZVHWMCPMP-INIZCTEOSA-N 0 1 312.804 3.293 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2cccs2)CCC1 ZINC001273195194 1091380658 /nfs/dbraw/zinc/38/06/58/1091380658.db2.gz NYLDFARDWSGLPJ-UHFFFAOYSA-N 0 1 312.866 3.062 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(c2nnc(C)o2)CC1 ZINC001139030898 1091424202 /nfs/dbraw/zinc/42/42/02/1091424202.db2.gz XTKUYVJMMMUWNC-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN CCCn1cc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001139040070 1091426298 /nfs/dbraw/zinc/42/62/98/1091426298.db2.gz QUJCQYIBWAMSII-IBGZPJMESA-N 0 1 324.428 3.208 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c(C)oc4ccccc43)[C@@H]2C1 ZINC001084368559 1091482644 /nfs/dbraw/zinc/48/26/44/1091482644.db2.gz GWUGPYKJAWWGLS-GDBMZVCRSA-N 0 1 310.397 3.074 20 30 DGEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1C[C@@H](C)CC2)c1ccccc1 ZINC001295198882 1091524894 /nfs/dbraw/zinc/52/48/94/1091524894.db2.gz OFJQIHPEICUJLS-BBRMVZONSA-N 0 1 307.397 3.029 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(F)ccc3Cl)[C@@H]2C1 ZINC001084681249 1091535161 /nfs/dbraw/zinc/53/51/61/1091535161.db2.gz ZKTBGXIVDVZOMS-MLGOLLRUSA-N 0 1 322.811 3.202 20 30 DGEDMN COC(=O)c1[nH]c2ccccc2c1CN1CCC[C@H](C#N)CC1 ZINC001139399480 1091546506 /nfs/dbraw/zinc/54/65/06/1091546506.db2.gz LRVPUVOOSZFZKN-ZDUSSCGKSA-N 0 1 311.385 3.080 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc(N2CCOCC2)nc1 ZINC001139435483 1091558489 /nfs/dbraw/zinc/55/84/89/1091558489.db2.gz XTLFKEBJINTQGC-UHFFFAOYSA-N 0 1 323.440 3.106 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@@H](C)Cc2ccc(C#N)cc2)c1 ZINC001295812427 1091644224 /nfs/dbraw/zinc/64/42/24/1091644224.db2.gz VKKDDTUQQHMOSE-LBPRGKRZSA-N 0 1 322.364 3.284 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)c2ccccc2F)C[C@H]1C ZINC001206510028 1091654625 /nfs/dbraw/zinc/65/46/25/1091654625.db2.gz WPRASLQNDXJJIM-CKEIUWERSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCn1cc(CN2CCC(Oc3ccc(C)cc3)CC2)cn1 ZINC001139770227 1091667825 /nfs/dbraw/zinc/66/78/25/1091667825.db2.gz IHNUIAULCAIFBH-UHFFFAOYSA-N 0 1 311.429 3.421 20 30 DGEDMN C=CCn1cc(CN2CCC[C@H](OCc3ccccc3)C2)cn1 ZINC001139772355 1091668817 /nfs/dbraw/zinc/66/88/17/1091668817.db2.gz GJNYUYVZMXLVQC-IBGZPJMESA-N 0 1 311.429 3.250 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@]3(C)CCC(C)=C(C)C3)C[C@@H]21 ZINC001085103618 1091672116 /nfs/dbraw/zinc/67/21/16/1091672116.db2.gz CLWMBZLHOUUJOH-HBFSDRIKSA-N 0 1 314.473 3.069 20 30 DGEDMN COc1ncc(CN2CCC(c3cccc(C#N)c3)CC2)s1 ZINC001139817475 1091678226 /nfs/dbraw/zinc/67/82/26/1091678226.db2.gz SCWHGNZWGYUKPF-UHFFFAOYSA-N 0 1 313.426 3.403 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CC[C@H]1CC1(Cl)Cl ZINC001231168734 1091680205 /nfs/dbraw/zinc/68/02/05/1091680205.db2.gz ABCWMJVYFKEVKT-QWHCGFSZSA-N 0 1 319.276 3.069 20 30 DGEDMN CCN(CCNC(=O)OC(C)(C)C)Cc1cc(F)cc(C#N)c1 ZINC001139878846 1091700121 /nfs/dbraw/zinc/70/01/21/1091700121.db2.gz YJJKJLACYSOVAT-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN C#CC1CCN(Cc2c[nH]c3nccc(Br)c23)CC1 ZINC001139945542 1091720569 /nfs/dbraw/zinc/72/05/69/1091720569.db2.gz LSUAQNLVZRXLGM-UHFFFAOYSA-N 0 1 318.218 3.171 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2[nH]c3ccccc3c2C)C1=O ZINC001273326740 1091769271 /nfs/dbraw/zinc/76/92/71/1091769271.db2.gz IQXXHBVZZYGZFQ-HXUWFJFHSA-N 0 1 323.440 3.229 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCc4cc(CO)ccc4C3)c2c1 ZINC001140111165 1091771659 /nfs/dbraw/zinc/77/16/59/1091771659.db2.gz ZKKZLRVVNPQSMS-UHFFFAOYSA-N 0 1 317.392 3.090 20 30 DGEDMN COc1cc(CN2CCN(c3ccc(C#N)cc3)CC2)ccc1C ZINC001140159694 1091786936 /nfs/dbraw/zinc/78/69/36/1091786936.db2.gz TYIKOZNSSHNQTJ-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001207108262 1091846431 /nfs/dbraw/zinc/84/64/31/1091846431.db2.gz ZWAJQYKCUGCGFM-RHSMWYFYSA-N 0 1 318.486 3.453 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1coc2ccc(CC)cc21 ZINC001085596980 1091849460 /nfs/dbraw/zinc/84/94/60/1091849460.db2.gz NNIGHQODDMXLDL-INIZCTEOSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001085648327 1091870483 /nfs/dbraw/zinc/87/04/83/1091870483.db2.gz JIZLULOEXCCUED-FHWLQOOXSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1nc(C(C)(C)C)cs1 ZINC001085653353 1091872029 /nfs/dbraw/zinc/87/20/29/1091872029.db2.gz QGEHRAFWUFQLIZ-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc2ccc(C)nc21 ZINC001085663587 1091873133 /nfs/dbraw/zinc/87/31/33/1091873133.db2.gz NSJYBSPJJULGGS-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN(CCN1C[C@@H](C)C[C@H]1CF)C(=O)OC(C)(C)C ZINC001207200096 1091887632 /nfs/dbraw/zinc/88/76/32/1091887632.db2.gz YLLCSTPMUMHZQO-KBPBESRZSA-N 0 1 300.418 3.089 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1coc2cc(F)ccc21 ZINC001085681937 1091881431 /nfs/dbraw/zinc/88/14/31/1091881431.db2.gz JOGNZMPSYCDGAN-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(-n2cccc2)cc1 ZINC001085704724 1091889052 /nfs/dbraw/zinc/88/90/52/1091889052.db2.gz YLLUDUPOZWMTFK-IBGZPJMESA-N 0 1 323.440 3.200 20 30 DGEDMN CCCCN(CCC#N)C[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001207212080 1091889396 /nfs/dbraw/zinc/88/93/96/1091889396.db2.gz ZHYRZRKNAUOAEU-OAHLLOKOSA-N 0 1 309.454 3.259 20 30 DGEDMN CC(C)(C)OC(=O)NC12CC(CCN3CCC[C@@H](C#N)C3)(C1)C2 ZINC001207230055 1091894622 /nfs/dbraw/zinc/89/46/22/1091894622.db2.gz MXSQJCNTIBIHGL-NNGSBXSVSA-N 0 1 319.449 3.059 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1occc1-c1ccccc1 ZINC001085710741 1091895630 /nfs/dbraw/zinc/89/56/30/1091895630.db2.gz QQUSRIKNDQFMGO-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C)c2cccnc12 ZINC001085729799 1091902211 /nfs/dbraw/zinc/90/22/11/1091902211.db2.gz HJAQWHKGQSBHCM-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3nc4ccccc4o3)CC2)cn1 ZINC001140540759 1091902682 /nfs/dbraw/zinc/90/26/82/1091902682.db2.gz KWXUPXROVRMWEB-UHFFFAOYSA-N 0 1 318.380 3.474 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1csc2ccccc21 ZINC001085748108 1091909851 /nfs/dbraw/zinc/90/98/51/1091909851.db2.gz YCKJBSBLJWZLAR-AWEZNQCLSA-N 0 1 312.438 3.071 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001085748594 1091910526 /nfs/dbraw/zinc/91/05/26/1091910526.db2.gz LHIXXAXDSVILDF-INIZCTEOSA-N 0 1 311.429 3.199 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001085743868 1091913369 /nfs/dbraw/zinc/91/33/69/1091913369.db2.gz WPTHKVDSCVFDBL-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2ncccc2s1 ZINC001085760415 1091917125 /nfs/dbraw/zinc/91/71/25/1091917125.db2.gz JSALBRNHMKTTJZ-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2ncccc2s1 ZINC001085760415 1091917133 /nfs/dbraw/zinc/91/71/33/1091917133.db2.gz JSALBRNHMKTTJZ-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CC[C@H](COCC3CC3)C2)c1 ZINC001140602521 1091928073 /nfs/dbraw/zinc/92/80/73/1091928073.db2.gz MHUYLCZGQIKJAQ-HNNXBMFYSA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccn(C(C)C)c1C ZINC001085779832 1091929632 /nfs/dbraw/zinc/92/96/32/1091929632.db2.gz DPCCSLNCBKLTBI-INIZCTEOSA-N 0 1 303.450 3.100 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cc1ccc(OC(F)F)c(O)c1 ZINC001140630069 1091938492 /nfs/dbraw/zinc/93/84/92/1091938492.db2.gz GTZVMTDMFYSQAD-UHFFFAOYSA-N 0 1 318.323 3.497 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc[nH]c1C1CCC1 ZINC001085816839 1091952547 /nfs/dbraw/zinc/95/25/47/1091952547.db2.gz HRVMLHCVLFJTJS-OAHLLOKOSA-N 0 1 301.434 3.005 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(F)ccc1Cl ZINC001085838793 1091959378 /nfs/dbraw/zinc/95/93/78/1091959378.db2.gz SDSODKIWIIFFQR-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)C=CCC2 ZINC001085870828 1091990002 /nfs/dbraw/zinc/99/00/02/1091990002.db2.gz FOJQCXBRZZKRIV-IBGZPJMESA-N 0 1 310.441 3.368 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001140781771 1091996199 /nfs/dbraw/zinc/99/61/99/1091996199.db2.gz YPNXBTFDSCWCIB-MRXNPFEDSA-N 0 1 306.381 3.011 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001085893750 1091997465 /nfs/dbraw/zinc/99/74/65/1091997465.db2.gz JRGQNHJAVOALFZ-BZSNNMDCSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc(C2CCC2)c1 ZINC001085925753 1092014703 /nfs/dbraw/zinc/01/47/03/1092014703.db2.gz DRIZHIAYDKPZFA-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN CCC[C@H](C)C(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001207717442 1092079058 /nfs/dbraw/zinc/07/90/58/1092079058.db2.gz HWHYHFBDTRHCQL-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@H](C)C(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001207717440 1092079745 /nfs/dbraw/zinc/07/97/45/1092079745.db2.gz HWHYHFBDTRHCQL-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@]2(C1)CN(C(C)C)CCO2 ZINC001276546982 1092109914 /nfs/dbraw/zinc/10/99/14/1092109914.db2.gz CDOSEPOQYLDCFI-IBGZPJMESA-N 0 1 324.509 3.449 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001108238628 1092120420 /nfs/dbraw/zinc/12/04/20/1092120420.db2.gz RCEKNJXQLOZFKX-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN CC[C@H](C)CC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001207914705 1092140946 /nfs/dbraw/zinc/14/09/46/1092140946.db2.gz NKIFLUCBXWNMML-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108246536 1092147725 /nfs/dbraw/zinc/14/77/25/1092147725.db2.gz PIDZFDZFLJWTQQ-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1ccc(CCCN2CCN(c3cccc(C#N)c3)CC2)o1 ZINC001207950033 1092155425 /nfs/dbraw/zinc/15/54/25/1092155425.db2.gz LPJRQMRJZAWNEN-UHFFFAOYSA-N 0 1 309.413 3.215 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)C[C@H]1c1ccccc1 ZINC001141164622 1092158095 /nfs/dbraw/zinc/15/80/95/1092158095.db2.gz CWAYLDYITPFKJC-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN N#Cc1cc(F)ccc1CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001141166401 1092159296 /nfs/dbraw/zinc/15/92/96/1092159296.db2.gz LTUCOXDWQJTSKY-PMACEKPBSA-N 0 1 321.399 3.156 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1ccc(C)cc1F)C2 ZINC001141195006 1092170711 /nfs/dbraw/zinc/17/07/11/1092170711.db2.gz XBHGZJKRPMQKIQ-MJGOQNOKSA-N 0 1 319.420 3.460 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001208228525 1092283782 /nfs/dbraw/zinc/28/37/82/1092283782.db2.gz DWJCTUPFWBFRGC-RHSMWYFYSA-N 0 1 320.864 3.285 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001208256076 1092295253 /nfs/dbraw/zinc/29/52/53/1092295253.db2.gz YENQCGKWLLRMKT-FSBNRTBOSA-N 0 1 305.249 3.242 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@@H](CC)CC(C)C)C1 ZINC001108328078 1092332305 /nfs/dbraw/zinc/33/23/05/1092332305.db2.gz ANSRPRDYXBFQBL-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208388459 1092338940 /nfs/dbraw/zinc/33/89/40/1092338940.db2.gz MUEIYDOHAAGUJD-IIDMSEBBSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@](C)(F)CCCC)C1 ZINC001208455750 1092359867 /nfs/dbraw/zinc/35/98/67/1092359867.db2.gz AWALDRCSTTYUKO-HIFRSBDPSA-N 0 1 304.837 3.238 20 30 DGEDMN CSc1nc(CN2CCC3(CCCOC3)CC2)ccc1C#N ZINC001141943656 1092365922 /nfs/dbraw/zinc/36/59/22/1092365922.db2.gz DWBABOMJWZMHKR-UHFFFAOYSA-N 0 1 317.458 3.068 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@H]1O ZINC001141973066 1092371638 /nfs/dbraw/zinc/37/16/38/1092371638.db2.gz XBCCZDUSIWQDMR-HNAYVOBHSA-N 0 1 311.450 3.351 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C[C@@H]1O ZINC001141972588 1092372596 /nfs/dbraw/zinc/37/25/96/1092372596.db2.gz MSLMJAWZQOGBKN-BEFAXECRSA-N 0 1 311.450 3.351 20 30 DGEDMN C[C@@H]1C[C@@H](NC2(CC#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168843506 1092394540 /nfs/dbraw/zinc/39/45/40/1092394540.db2.gz GJVSZDUSMGKNMB-ZIAGYGMSSA-N 0 1 307.438 3.200 20 30 DGEDMN CC(C)(C)[C@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@@H]1O ZINC001168886211 1092426188 /nfs/dbraw/zinc/42/61/88/1092426188.db2.gz QKOPMGDFIXKUNQ-HOTGVXAUSA-N 0 1 306.837 3.441 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](CCC)CC(C)C)C1 ZINC001108431005 1092461146 /nfs/dbraw/zinc/46/11/46/1092461146.db2.gz SQDKPLNJMPIIAJ-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C#Cc1ccc(C(=O)NCCN(C)C/C=C/c2ccccc2)cc1 ZINC001492365958 1092483909 /nfs/dbraw/zinc/48/39/09/1092483909.db2.gz KSNJWSIMRNSTKM-JXMROGBWSA-N 0 1 318.420 3.043 20 30 DGEDMN N#CCc1cc(NCc2ccsc2Br)ccn1 ZINC001169058836 1092515799 /nfs/dbraw/zinc/51/57/99/1092515799.db2.gz QIXIZQFKTPCZGF-UHFFFAOYSA-N 0 1 308.204 3.006 20 30 DGEDMN CC[C@H](NC[C@@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001169103625 1092533325 /nfs/dbraw/zinc/53/33/25/1092533325.db2.gz XBFLLQFJWSIMOF-LSDHHAIUSA-N 0 1 301.415 3.098 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H]1CCCN1CCCc1ccc(C#N)cc1 ZINC001208859231 1092549561 /nfs/dbraw/zinc/54/95/61/1092549561.db2.gz VBGJHDLTMBMWAZ-KRWDZBQOSA-N 0 1 314.429 3.297 20 30 DGEDMN N#Cc1ccc2c(C[N@@H+]3CCC(=O)[C@@H]4CCCC[C@H]43)c[nH]c2c1 ZINC001142911768 1092583713 /nfs/dbraw/zinc/58/37/13/1092583713.db2.gz JAGMTEKKMXZMFH-SJLPKXTDSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(CN3CCC(=O)[C@@H]4CCCC[C@H]43)c[nH]c2c1 ZINC001142911768 1092583723 /nfs/dbraw/zinc/58/37/23/1092583723.db2.gz JAGMTEKKMXZMFH-SJLPKXTDSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(C[N@@H+]3CCC(=O)[C@H]4CCCC[C@H]43)c[nH]c2c1 ZINC001142911767 1092584167 /nfs/dbraw/zinc/58/41/67/1092584167.db2.gz JAGMTEKKMXZMFH-FUHWJXTLSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(CN3CCC(=O)[C@H]4CCCC[C@H]43)c[nH]c2c1 ZINC001142911767 1092584174 /nfs/dbraw/zinc/58/41/74/1092584174.db2.gz JAGMTEKKMXZMFH-FUHWJXTLSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc(CCN2CCN(Cc3cccc(F)c3)CC2)cc1 ZINC001208926725 1092589241 /nfs/dbraw/zinc/58/92/41/1092589241.db2.gz ZSRQOFSHEKQYPB-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN C=CCN(CCN1CCSc2ccccc2C1)C(=O)OCC ZINC001209021347 1092625866 /nfs/dbraw/zinc/62/58/66/1092625866.db2.gz YLTJREFTVPNCFS-UHFFFAOYSA-N 0 1 320.458 3.239 20 30 DGEDMN N#C[C@H]1CCCN(C2CCC(OC(=O)c3ccccc3)CC2)C1 ZINC001169304974 1092642359 /nfs/dbraw/zinc/64/23/59/1092642359.db2.gz UTCTWRNZECFGIH-FAEJEUNOSA-N 0 1 312.413 3.390 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H](C)CCCCC)C(C)(C)C1 ZINC001276615503 1092652818 /nfs/dbraw/zinc/65/28/18/1092652818.db2.gz FPVOKCYFJSZPFC-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H](C)CCCCC)C(C)(C)C1 ZINC001276615504 1092653526 /nfs/dbraw/zinc/65/35/26/1092653526.db2.gz FPVOKCYFJSZPFC-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001209116814 1092658385 /nfs/dbraw/zinc/65/83/85/1092658385.db2.gz WXCZBBFVJYFUTC-NZSAHSFTSA-N 0 1 318.505 3.443 20 30 DGEDMN C[C@](C#N)(Nc1cc2[nH]cnc2c(C#N)n1)c1ccccc1Cl ZINC001169372995 1092658898 /nfs/dbraw/zinc/65/88/98/1092658898.db2.gz GOSOUIPFFZYVGB-MRXNPFEDSA-N 0 1 322.759 3.334 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001209146702 1092672657 /nfs/dbraw/zinc/67/26/57/1092672657.db2.gz PTZNSOSBIGXJLJ-QLKUMGTLSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCCCN1Cc2ccccc2C[C@H]1C(=O)NC(C)(C)C ZINC001209160248 1092676294 /nfs/dbraw/zinc/67/62/94/1092676294.db2.gz LNTXLBMEPCCDHB-KRWDZBQOSA-N 0 1 300.446 3.294 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1ccc2cccnc2c1O ZINC001149323121 1092680626 /nfs/dbraw/zinc/68/06/26/1092680626.db2.gz UZGRAKNAMXEVAT-UHFFFAOYSA-N 0 1 318.336 3.106 20 30 DGEDMN C=CCCCCN1Cc2nn(CC3CC3)cc2[C@@H](COC)C1 ZINC001209200529 1092690827 /nfs/dbraw/zinc/69/08/27/1092690827.db2.gz KYKLYBAKRFSCMS-MRXNPFEDSA-N 0 1 303.450 3.195 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001209336573 1092737697 /nfs/dbraw/zinc/73/76/97/1092737697.db2.gz ZBXCSCGIHOXPDA-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C1CCC(CCCC)CC1 ZINC001150150469 1092759280 /nfs/dbraw/zinc/75/92/80/1092759280.db2.gz OSTATEAQRGVGCF-UHFFFAOYSA-N 0 1 300.874 3.441 20 30 DGEDMN C=CCCCCCCCCN1CCC(S(C)(=O)=O)CC1 ZINC001209444708 1092776677 /nfs/dbraw/zinc/77/66/77/1092776677.db2.gz YGCDYXJSXIIBBU-UHFFFAOYSA-N 0 1 301.496 3.412 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](c1ccccc1)[C@H](C)CC ZINC001150647488 1092804403 /nfs/dbraw/zinc/80/44/03/1092804403.db2.gz PZRFOZORDSAZIN-CZUORRHYSA-N 0 1 308.853 3.275 20 30 DGEDMN Cn1cc(CN2CCc3cc(C#N)ccc3C2)c2cccnc21 ZINC001209742968 1092900091 /nfs/dbraw/zinc/90/00/91/1092900091.db2.gz ZFULKIQTETZORA-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN COC(=O)c1sc(Nc2ccc3nc[nH]c3c2)c(C#N)c1C ZINC001209842244 1092942490 /nfs/dbraw/zinc/94/24/90/1092942490.db2.gz GJZUWRYYDPDNFR-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](CCC)C(C)C)C1 ZINC001209892612 1092968928 /nfs/dbraw/zinc/96/89/28/1092968928.db2.gz WMRMOHDFBLVSLK-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1cc(Nc2ccc3c(c2)OCCCO3)c(O)cc1F ZINC001210006165 1093012783 /nfs/dbraw/zinc/01/27/83/1093012783.db2.gz IYDLLNXZUFLICW-UHFFFAOYSA-N 0 1 300.289 3.308 20 30 DGEDMN Cc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1CO ZINC001210244503 1093092481 /nfs/dbraw/zinc/09/24/81/1093092481.db2.gz DJIJUNBVMABXOI-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN Cc1cc(C#N)ccc1Nc1ccccc1CN1CCN(C)CC1 ZINC001210376030 1093144390 /nfs/dbraw/zinc/14/43/90/1093144390.db2.gz JNPGQZDUBGNVNT-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN COCOc1ccc(Nc2cc(C#N)c(F)cc2O)c(C)c1 ZINC001210494596 1093188061 /nfs/dbraw/zinc/18/80/61/1093188061.db2.gz KZNNPGFGZRORPL-UHFFFAOYSA-N 0 1 302.305 3.438 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCC2CCCCC2)C1 ZINC001210809345 1093288666 /nfs/dbraw/zinc/28/86/66/1093288666.db2.gz XNNFCRKZUGWCSJ-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H](C)C(C)(C)C)C1 ZINC001210840694 1093299167 /nfs/dbraw/zinc/29/91/67/1093299167.db2.gz XHTOLUJKVBEIIY-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(=Nc2c(F)cccc2C#N)C1 ZINC001211313657 1093455146 /nfs/dbraw/zinc/45/51/46/1093455146.db2.gz SBTYGIRANHVEIA-UHFFFAOYSA-N 0 1 303.337 3.244 20 30 DGEDMN CCCCCCCCCCC(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001213771270 1093658092 /nfs/dbraw/zinc/65/80/92/1093658092.db2.gz XQBUVRZBCWCCIW-IAGOWNOFSA-N 0 1 312.498 3.353 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C2CC2)cc1)C1CC1 ZINC001276711202 1093769239 /nfs/dbraw/zinc/76/92/39/1093769239.db2.gz FTNDTBBBLQKHJM-KRWDZBQOSA-N 0 1 318.848 3.415 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215701272 1094034855 /nfs/dbraw/zinc/03/48/55/1094034855.db2.gz QNOGYBPSVVVBRE-DTUFIANYSA-N 0 1 319.276 3.344 20 30 DGEDMN Cc1c(C#N)cccc1Nc1cccc(N2CCN(C)CC2)c1 ZINC001216176185 1094138647 /nfs/dbraw/zinc/13/86/47/1094138647.db2.gz FGTGAXJIFUQSFB-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](F)CCCCCC)C[C@H]1C ZINC001216392503 1094189062 /nfs/dbraw/zinc/18/90/62/1094189062.db2.gz GJXAGNJKIUNAIZ-VHDGCEQUSA-N 0 1 318.864 3.484 20 30 DGEDMN N#Cc1cc(-c2nc(-c3cc(F)c(F)c(F)c3)no2)ccc1O ZINC001216969597 1094285275 /nfs/dbraw/zinc/28/52/75/1094285275.db2.gz IAGHMKXDDNWGIV-UHFFFAOYSA-N 0 1 317.226 3.398 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3ccc(F)c(O)c3F)n2)c1 ZINC001216986280 1094289989 /nfs/dbraw/zinc/28/99/89/1094289989.db2.gz MQYFNFNJRKMYGQ-UHFFFAOYSA-N 0 1 317.226 3.398 20 30 DGEDMN N#CC1CCC(CN2CCO[C@@H](COc3ccccc3)C2)CC1 ZINC001119846003 1094298722 /nfs/dbraw/zinc/29/87/22/1094298722.db2.gz ZOOBMSCFGLRNHX-FAFZWHIHSA-N 0 1 314.429 3.096 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135189345 1094426141 /nfs/dbraw/zinc/42/61/41/1094426141.db2.gz XZCBPJGSPUDEGR-NWDGAFQWSA-N 0 1 309.479 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001135188281 1094435763 /nfs/dbraw/zinc/43/57/63/1094435763.db2.gz ITXVAEGGFITDIQ-OLZOCXBDSA-N 0 1 323.506 3.291 20 30 DGEDMN C=CC1(CC(=O)NCCNCc2ncccc2C)CCCCC1 ZINC001135187420 1094435874 /nfs/dbraw/zinc/43/58/74/1094435874.db2.gz UFHDKELHWSSSLB-UHFFFAOYSA-N 0 1 315.461 3.122 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001135188283 1094436087 /nfs/dbraw/zinc/43/60/87/1094436087.db2.gz ITXVAEGGFITDIQ-STQMWFEESA-N 0 1 323.506 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001135188280 1094436120 /nfs/dbraw/zinc/43/61/20/1094436120.db2.gz ITXVAEGGFITDIQ-CHWSQXEVSA-N 0 1 323.506 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1ncc(C(C)C)o1 ZINC001135234568 1094453616 /nfs/dbraw/zinc/45/36/16/1094453616.db2.gz BLRSOONQTVIGRM-UONOGXRCSA-N 0 1 321.465 3.383 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1coc(C2CC2)n1 ZINC001135357538 1094500879 /nfs/dbraw/zinc/50/08/79/1094500879.db2.gz DTVCZUHUALPSGF-KBPBESRZSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2ncc(C)o2)CCC1 ZINC001135390616 1094522035 /nfs/dbraw/zinc/52/20/35/1094522035.db2.gz LPUFEPSWLNUYMC-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@H](C)NCc2ncc(C)o2)CC1 ZINC001135415541 1094526508 /nfs/dbraw/zinc/52/65/08/1094526508.db2.gz LIOFIGJWCMIQAA-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN N#Cc1cc(Cl)nc(NCCN2CCc3sccc3C2)c1 ZINC001120806002 1094529333 /nfs/dbraw/zinc/52/93/33/1094529333.db2.gz RDZKLRLBRLPRRC-UHFFFAOYSA-N 0 1 318.833 3.138 20 30 DGEDMN Cc1nc(C2CCN(c3cc(C#N)cc(Cl)n3)CC2)[nH]c1C ZINC001120873884 1094545411 /nfs/dbraw/zinc/54/54/11/1094545411.db2.gz HTNLYKQNZNSZHX-UHFFFAOYSA-N 0 1 315.808 3.331 20 30 DGEDMN CCCCCC[C@@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001135547793 1094571665 /nfs/dbraw/zinc/57/16/65/1094571665.db2.gz NCHXEQCHSVLYKP-GOSISDBHSA-N 0 1 314.473 3.350 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001135560739 1094577232 /nfs/dbraw/zinc/57/72/32/1094577232.db2.gz KYWPFLINKFPUAN-QWHCGFSZSA-N 0 1 321.465 3.267 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001135565208 1094579731 /nfs/dbraw/zinc/57/97/31/1094579731.db2.gz QWCYKVVBKFCDTP-QWHCGFSZSA-N 0 1 321.465 3.267 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1OC1=CC(=O)C=CC1=NNc1ccccc1 ZINC001218200156 1094654868 /nfs/dbraw/zinc/65/48/68/1094654868.db2.gz FBPYZQHEHFZZAX-RPMYCPQISA-N 0 1 308.341 3.298 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(C(F)(F)F)cc1C(F)(F)F ZINC001218199994 1094655376 /nfs/dbraw/zinc/65/53/76/1094655376.db2.gz CTMBROHUNLZOJU-CPCISQLKSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc2cc(Br)ccc2c1 ZINC001218201767 1094656614 /nfs/dbraw/zinc/65/66/14/1094656614.db2.gz VCZYQSPFZGCLHT-SWLSCSKDSA-N 0 1 317.186 3.093 20 30 DGEDMN Cc1cc(Cc2ccc(O[C@H]3CNC[C@@H]3C#N)c(C)c2)ccc1O ZINC001218204823 1094658425 /nfs/dbraw/zinc/65/84/25/1094658425.db2.gz WWISHNKVHIIAPS-PXNSSMCTSA-N 0 1 322.408 3.090 20 30 DGEDMN CC(C)c1cc(Br)cc(O[C@@H]2CNC[C@H]2C#N)c1 ZINC001218203920 1094658666 /nfs/dbraw/zinc/65/86/66/1094658666.db2.gz TUEFRIBREMRIQZ-BXUZGUMPSA-N 0 1 309.207 3.063 20 30 DGEDMN C=C1CC[NH2+]C[C@H]1Oc1ccc(CC(=O)c2ccc([O-])cc2)cc1 ZINC001218211063 1094663370 /nfs/dbraw/zinc/66/33/70/1094663370.db2.gz PQLANPCJBGMDQA-HXUWFJFHSA-N 0 1 323.392 3.115 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC001336537773 1094667832 /nfs/dbraw/zinc/66/78/32/1094667832.db2.gz SNAHRTRWTWLSBD-UHFFFAOYSA-N 0 1 304.438 3.066 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC001336537773 1094667837 /nfs/dbraw/zinc/66/78/37/1094667837.db2.gz SNAHRTRWTWLSBD-UHFFFAOYSA-N 0 1 304.438 3.066 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001135843519 1094708892 /nfs/dbraw/zinc/70/88/92/1094708892.db2.gz UBGSTXXWBJILGR-LJQANCHMSA-N 0 1 318.436 3.099 20 30 DGEDMN CCC(CC)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001135847334 1094710314 /nfs/dbraw/zinc/71/03/14/1094710314.db2.gz PVBNNHQOVUPELC-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@H](C)[C@H]1C ZINC001121711436 1094752437 /nfs/dbraw/zinc/75/24/37/1094752437.db2.gz XKNMQSKQOKIKQS-QWHCGFSZSA-N 0 1 322.416 3.242 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@H](C)[C@@H]1C ZINC001121711437 1094752614 /nfs/dbraw/zinc/75/26/14/1094752614.db2.gz XKNMQSKQOKIKQS-STQMWFEESA-N 0 1 322.416 3.242 20 30 DGEDMN C=CC[C@@H](Oc1n[nH]c2c1CNCC2)c1ccc(Cl)cc1 ZINC001218442777 1094776521 /nfs/dbraw/zinc/77/65/21/1094776521.db2.gz KRROUHBSSQISDS-OAHLLOKOSA-N 0 1 303.793 3.405 20 30 DGEDMN CCOc1ccc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cn1 ZINC001122415120 1094971672 /nfs/dbraw/zinc/97/16/72/1094971672.db2.gz OGENAQFYLXAJTN-CYBMUJFWSA-N 0 1 320.352 3.155 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111848923 1094989413 /nfs/dbraw/zinc/98/94/13/1094989413.db2.gz XXHBFEFZJKIVMS-VKTLZFPLSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](F)CCCCCC)C1 ZINC001111936364 1095015768 /nfs/dbraw/zinc/01/57/68/1095015768.db2.gz HBCPRLOTJYTXQX-SCTDSRPQSA-N 0 1 322.468 3.289 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)C1CC(C)(C)C1 ZINC001122622943 1095019543 /nfs/dbraw/zinc/01/95/43/1095019543.db2.gz LZLLHTSUGVKLKN-UHFFFAOYSA-N 0 1 314.437 3.178 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)N[C@H]2CNCc3ccsc32)cc1 ZINC001219829083 1095023226 /nfs/dbraw/zinc/02/32/26/1095023226.db2.gz MAJJIBPGBNEZAY-INIZCTEOSA-N 0 1 312.438 3.441 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC/C=C/Cl)C1 ZINC001112110351 1095035351 /nfs/dbraw/zinc/03/53/51/1095035351.db2.gz LBSRTLNYMGJAKW-JKNNBXRXSA-N 0 1 324.774 3.074 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC/C=C\Cl)C1 ZINC001112110350 1095035510 /nfs/dbraw/zinc/03/55/10/1095035510.db2.gz LBSRTLNYMGJAKW-BCIKPAMSSA-N 0 1 324.774 3.074 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC001337726480 1095073260 /nfs/dbraw/zinc/07/32/60/1095073260.db2.gz ZYILECFEJAXNBS-LBPRGKRZSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC001337726480 1095073273 /nfs/dbraw/zinc/07/32/73/1095073273.db2.gz ZYILECFEJAXNBS-LBPRGKRZSA-N 0 1 311.364 3.077 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c[nH]c2cccc(C)c12 ZINC001123236906 1095196467 /nfs/dbraw/zinc/19/64/67/1095196467.db2.gz IDYUUWZCIIDMRQ-AWEZNQCLSA-N 0 1 306.369 3.112 20 30 DGEDMN Cc1cc(NC2CC2)nc(N[C@@H]2CCc3c2cccc3C#N)n1 ZINC001171059234 1095225456 /nfs/dbraw/zinc/22/54/56/1095225456.db2.gz JEVOFWYCXQPLSP-MRXNPFEDSA-N 0 1 305.385 3.330 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001123336796 1095246295 /nfs/dbraw/zinc/24/62/95/1095246295.db2.gz KYAMHTTVSATKRE-STQMWFEESA-N 0 1 317.751 3.119 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001123336793 1095246494 /nfs/dbraw/zinc/24/64/94/1095246494.db2.gz KYAMHTTVSATKRE-CHWSQXEVSA-N 0 1 317.751 3.119 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(C)no2)CCCCC1 ZINC001115557013 1095277284 /nfs/dbraw/zinc/27/72/84/1095277284.db2.gz OFYQKKSTFZHYCI-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN N#CCCN(Cc1ncc(C(F)(F)F)[nH]1)Cc1ccccc1 ZINC001137010272 1095284860 /nfs/dbraw/zinc/28/48/60/1095284860.db2.gz LQPUTKWZIKXGST-UHFFFAOYSA-N 0 1 308.307 3.344 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(Cc3ccc(F)cc3)C[C@H]21 ZINC001221582412 1095307372 /nfs/dbraw/zinc/30/73/72/1095307372.db2.gz PRBGHOZAOBDDMN-SJLPKXTDSA-N 0 1 316.420 3.215 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2CCN(Cc3csc(C)c3)C[C@H]21 ZINC001221782770 1095353424 /nfs/dbraw/zinc/35/34/24/1095353424.db2.gz MKBBAUQULLGCPV-IAGOWNOFSA-N 0 1 318.486 3.446 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001221827922 1095365888 /nfs/dbraw/zinc/36/58/88/1095365888.db2.gz ODOWOFKLVMQGJR-GUDVDZBRSA-N 0 1 316.489 3.315 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](CC)c3ccccc3)[C@@H]2C1 ZINC001221944467 1095395515 /nfs/dbraw/zinc/39/55/15/1095395515.db2.gz PVOFSTXFXMIEMX-DFQSSKMNSA-N 0 1 324.468 3.126 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(C(F)(F)F)c(Cl)c2)CCC1=O ZINC001143810315 1095397449 /nfs/dbraw/zinc/39/74/49/1095397449.db2.gz RCJCPSJXXUZRKZ-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN C=CCC[C@@H](C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21)c1ccccc1 ZINC001222143031 1095455751 /nfs/dbraw/zinc/45/57/51/1095455751.db2.gz WVKILWISFFPIOA-VAMGGRTRSA-N 0 1 324.468 3.455 20 30 DGEDMN C=C1CCN(C(=O)c2ccc(-c3ccc(C=O)o3)cc2O)CC1 ZINC001144025923 1095481000 /nfs/dbraw/zinc/48/10/00/1095481000.db2.gz GYONGXJKCDYRAQ-UHFFFAOYSA-N 0 1 311.337 3.257 20 30 DGEDMN N#CCOc1cccc(CNCc2c[nH]c(-c3ccccc3)n2)c1 ZINC001116239460 1095491136 /nfs/dbraw/zinc/49/11/36/1095491136.db2.gz OECTVYZBJVNPHR-UHFFFAOYSA-N 0 1 318.380 3.269 20 30 DGEDMN COc1ccccc1C1CCN(Cc2cncc(C#N)c2)CC1 ZINC001144079486 1095503120 /nfs/dbraw/zinc/50/31/20/1095503120.db2.gz NWABRKVPRWNZRV-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1cncc(CN2CCC(C#Cc3ccccc3)CC2)c1 ZINC001144084933 1095506038 /nfs/dbraw/zinc/50/60/38/1095506038.db2.gz STSUOXPHLXBCKA-UHFFFAOYSA-N 0 1 301.393 3.217 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3ncc4ccccc43)CC2)nc1 ZINC001144163660 1095534674 /nfs/dbraw/zinc/53/46/74/1095534674.db2.gz PVTIQGAOKGBZMH-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN CCCCC(=O)NCC1CCN(Cc2ccc(C#N)s2)CC1 ZINC001222398690 1095593720 /nfs/dbraw/zinc/59/37/20/1095593720.db2.gz AXVPWAQUQRNYQT-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2cc(Br)c(F)cc2O)C1 ZINC001144308336 1095602123 /nfs/dbraw/zinc/60/21/23/1095602123.db2.gz VWWMVOIGZZCHFB-CYBMUJFWSA-N 0 1 313.170 3.029 20 30 DGEDMN CCc1cccc(CN2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC001144323404 1095605907 /nfs/dbraw/zinc/60/59/07/1095605907.db2.gz AMDUBVUIHOZRLA-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN C=CCCC(=O)NCCNCc1ccc(Cl)cc1Cl ZINC001124640597 1095612786 /nfs/dbraw/zinc/61/27/86/1095612786.db2.gz KZVFRSLPSXYGIU-UHFFFAOYSA-N 0 1 301.217 3.165 20 30 DGEDMN Cn1nc(CNC2CC(C#N)(c3ccccc3)C2)c2ccccc21 ZINC001116721362 1095641935 /nfs/dbraw/zinc/64/19/35/1095641935.db2.gz HAMIAXMMDWEZBI-UHFFFAOYSA-N 0 1 316.408 3.287 20 30 DGEDMN C=C[C@](C)(CC)C(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001116735229 1095644803 /nfs/dbraw/zinc/64/48/03/1095644803.db2.gz QFICJRXEHVNXDU-LJQANCHMSA-N 0 1 315.461 3.288 20 30 DGEDMN COc1ccc(C2(N[C@H](C)Cc3cccc(C#N)c3)COC2)cc1 ZINC001171183301 1095661498 /nfs/dbraw/zinc/66/14/98/1095661498.db2.gz DDGJHQQUXABIKJ-OAHLLOKOSA-N 0 1 322.408 3.013 20 30 DGEDMN Cc1ccc(C2(N[C@H](C)Cc3cccc(C#N)c3)COC2)cc1 ZINC001171186839 1095667045 /nfs/dbraw/zinc/66/70/45/1095667045.db2.gz ZHQDOWBAGYCKHT-MRXNPFEDSA-N 0 1 306.409 3.313 20 30 DGEDMN Cc1ccccc1C1(N[C@@H](C)Cc2cccc(C#N)c2)COC1 ZINC001171195018 1095690989 /nfs/dbraw/zinc/69/09/89/1095690989.db2.gz OLBRQXJRAKPXTH-INIZCTEOSA-N 0 1 306.409 3.313 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1cccc(C)c1F)C2 ZINC001137676138 1095707450 /nfs/dbraw/zinc/70/74/50/1095707450.db2.gz KUFJGLRWGCXRNN-HKUYNNGSSA-N 0 1 319.420 3.460 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2[nH]nc3ccc(Br)cc32)C1 ZINC001139658729 1095709916 /nfs/dbraw/zinc/70/99/16/1095709916.db2.gz PLWRDEOKDKGLNY-JTQLQIEISA-N 0 1 319.206 3.061 20 30 DGEDMN CC1(C)Cc2cc(C(=O)Nc3cc(C#N)ccc3O)ccc2O1 ZINC001137933975 1095795081 /nfs/dbraw/zinc/79/50/81/1095795081.db2.gz CCUVFKHOGOTKNP-UHFFFAOYSA-N 0 1 308.337 3.230 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CCc2cnc(C3CC3)nc2C1 ZINC001171232545 1095867994 /nfs/dbraw/zinc/86/79/94/1095867994.db2.gz TVPJOJKMUOPKCH-CQSZACIVSA-N 0 1 318.424 3.215 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CC[C@H](C)c3ccccc3)C2)C1 ZINC001276876604 1095917562 /nfs/dbraw/zinc/91/75/62/1095917562.db2.gz BGODCUWLHNBCGN-SFHVURJKSA-N 0 1 324.468 3.128 20 30 DGEDMN Cc1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)nc(C)n1 ZINC001171273919 1095998719 /nfs/dbraw/zinc/99/87/19/1095998719.db2.gz GJSNNEOYRVASNS-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN COc1ccncc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001171277127 1096033379 /nfs/dbraw/zinc/03/33/79/1096033379.db2.gz KQDOYGLSBVDFAV-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@H](C)C1=O)c1ccccc1Cl ZINC001223772928 1096061894 /nfs/dbraw/zinc/06/18/94/1096061894.db2.gz SZOYJQURNBIFPT-PSLIRLAXSA-N 0 1 324.760 3.180 20 30 DGEDMN C[C@H](O/C(=N\O)c1ccccc1Br)c1cncnc1 ZINC001223884470 1096085402 /nfs/dbraw/zinc/08/54/02/1096085402.db2.gz KRLSCZSWLYLLPW-KWSCKXNYSA-N 0 1 322.162 3.153 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224041915 1096121931 /nfs/dbraw/zinc/12/19/31/1096121931.db2.gz HUVLYGCENUYMHL-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H](C#N)C(=O)C1(C(C)(F)F)CC1 ZINC001341240695 1096138950 /nfs/dbraw/zinc/13/89/50/1096138950.db2.gz QQXNSOWRZOMUDP-JTQLQIEISA-N 0 1 303.312 3.185 20 30 DGEDMN CN(C)c1ccc(F)cc1CNCc1cccc(OCC#N)c1 ZINC001119238096 1096142609 /nfs/dbraw/zinc/14/26/09/1096142609.db2.gz YKUYCXWTYXMEIP-UHFFFAOYSA-N 0 1 313.376 3.084 20 30 DGEDMN COc1cccc([C@@H](N)C(=O)Nc2ccc(C3CC3)c(C#N)c2)c1 ZINC001119377179 1096182745 /nfs/dbraw/zinc/18/27/45/1096182745.db2.gz LLJZNRRWXKXPQM-GOSISDBHSA-N 0 1 321.380 3.083 20 30 DGEDMN CC[C@@H](CC(=O)NCCNCC#Cc1ccccc1)C(C)(C)C ZINC001147466655 1096224700 /nfs/dbraw/zinc/22/47/00/1096224700.db2.gz RZKYIAQNWBDMMQ-SFHVURJKSA-N 0 1 314.473 3.206 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCN(C/C=C/Cl)C2 ZINC001147636081 1096248864 /nfs/dbraw/zinc/24/88/64/1096248864.db2.gz ABCNUZULMHGBBN-WEVVVXLNSA-N 0 1 308.853 3.042 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2cccc3[nH]cnc32)C1 ZINC001225487570 1096445385 /nfs/dbraw/zinc/44/53/85/1096445385.db2.gz CCYJXXHGESVCNR-INIZCTEOSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(Cl)ccc1Cl ZINC001148905068 1096464598 /nfs/dbraw/zinc/46/45/98/1096464598.db2.gz YFWKMMWJCLIOCZ-UHFFFAOYSA-N 0 1 307.608 3.065 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)cc(Br)c1 ZINC001225717902 1096512920 /nfs/dbraw/zinc/51/29/20/1096512920.db2.gz GHBOQOCTYGZNKG-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@H](F)CC)C2)CC1 ZINC001086936866 1096513649 /nfs/dbraw/zinc/51/36/49/1096513649.db2.gz AIUVRGFZUSKQFM-ZIAGYGMSSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001086937503 1096514584 /nfs/dbraw/zinc/51/45/84/1096514584.db2.gz QSQHFEXRTVXJJE-CFVMTHIKSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001086943798 1096516317 /nfs/dbraw/zinc/51/63/17/1096516317.db2.gz FKORRPJELAWJPC-ARFHVFGLSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2coc3c2cccc3C)CC1 ZINC001277079475 1096550065 /nfs/dbraw/zinc/55/00/65/1096550065.db2.gz GBTVJMVHDCJGMD-UHFFFAOYSA-N 0 1 318.804 3.346 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cccc(F)c2C#N)C1 ZINC001225973082 1096578320 /nfs/dbraw/zinc/57/83/20/1096578320.db2.gz BAGRPWOGMHFZOM-UONOGXRCSA-N 0 1 311.360 3.307 20 30 DGEDMN CC[C@H](C#Cc1ccccc1)Oc1nc(C(F)(F)F)cc(=O)[nH]1 ZINC001225978768 1096579600 /nfs/dbraw/zinc/57/96/00/1096579600.db2.gz XRZQVNLHZGNCMF-GFCCVEGCSA-N 0 1 322.286 3.410 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3ccccc3)C2)c(F)c1F ZINC001226015354 1096589451 /nfs/dbraw/zinc/58/94/51/1096589451.db2.gz FRTJOBCBKAVLSL-OAHLLOKOSA-N 0 1 314.335 3.490 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001110131855 1096608548 /nfs/dbraw/zinc/60/85/48/1096608548.db2.gz IGAYFKPQQOUOBN-ZRNYENFQSA-N 0 1 324.468 3.329 20 30 DGEDMN CCOC(=O)[C@@H](C)[C@H](Oc1cccc(/C=N/O)c1)C(F)(F)F ZINC001226110735 1096614949 /nfs/dbraw/zinc/61/49/49/1096614949.db2.gz VDICJRWDNDNYKF-MWSRNYLFSA-N 0 1 319.279 3.004 20 30 DGEDMN CC(C)Oc1cc(COc2cccc(/C=N/O)c2)c(F)cn1 ZINC001226115690 1096615690 /nfs/dbraw/zinc/61/56/90/1096615690.db2.gz HOFYHJDWSYPUBD-UFWORHAWSA-N 0 1 304.321 3.395 20 30 DGEDMN Cc1cc(=O)[nH]c(O[C@H](C#C[Si](C)(C)C)c2ccccc2)n1 ZINC001226157349 1096626481 /nfs/dbraw/zinc/62/64/81/1096626481.db2.gz XLXBTABUIDSISS-OAHLLOKOSA-N 0 1 312.445 3.492 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@@H]2CN(CC(=C)Cl)C[C@H]2C)cc1 ZINC001092991337 1096646083 /nfs/dbraw/zinc/64/60/83/1096646083.db2.gz CVLAIHOPVXRKNB-CXAGYDPISA-N 0 1 318.848 3.380 20 30 DGEDMN C=C[C@H](CC(=O)OC(C)(C)C)Oc1cc(N)nc2ccccc21 ZINC001226418630 1096684807 /nfs/dbraw/zinc/68/48/07/1096684807.db2.gz PRSQSQNLDOBMMS-GFCCVEGCSA-N 0 1 314.385 3.482 20 30 DGEDMN C[C@H](CC(C)(C)S)Oc1[nH]c(=O)nc2nc(Cl)ccc21 ZINC001226554523 1096720709 /nfs/dbraw/zinc/72/07/09/1096720709.db2.gz WKXJUIIFLPQUHF-SSDOTTSWSA-N 0 1 313.810 3.250 20 30 DGEDMN C=C[C@@H](CCCCC)Oc1n[nH]c(=O)cc1Br ZINC001226845249 1096793070 /nfs/dbraw/zinc/79/30/70/1096793070.db2.gz CTCBYANLVIACJS-VIFPVBQESA-N 0 1 301.184 3.458 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Oc1[nH]c(=O)nc2cnccc21 ZINC001226978154 1096834455 /nfs/dbraw/zinc/83/44/55/1096834455.db2.gz ZVAKWJWCAKWAQP-GFCCVEGCSA-N 0 1 323.352 3.023 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC001227220377 1096899228 /nfs/dbraw/zinc/89/92/28/1096899228.db2.gz KKWGPTWGVROPMM-OAHLLOKOSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCOCc1nn([C@@H]2CCc3c(C)cccc3C2)c2c1CNC2 ZINC001171565688 1096925081 /nfs/dbraw/zinc/92/50/81/1096925081.db2.gz BNQBGCGLYQLFKA-MRXNPFEDSA-N 0 1 323.440 3.227 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC1CCN(C/C=C/Cl)CC1 ZINC001227527167 1096945035 /nfs/dbraw/zinc/94/50/35/1096945035.db2.gz HSZRIUZAGZLJHW-QPJJXVBHSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001227536353 1096947119 /nfs/dbraw/zinc/94/71/19/1096947119.db2.gz PQSVOPWFHLJIOS-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)c(OC(F)(F)F)c(F)c1 ZINC001227648070 1096965830 /nfs/dbraw/zinc/96/58/30/1096965830.db2.gz PSMKKUBXVIJGCV-RXMQYKEDSA-N 0 1 324.201 3.462 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1nccs1 ZINC001171822790 1096975181 /nfs/dbraw/zinc/97/51/81/1096975181.db2.gz MOIIWPGPDGYMAQ-UHFFFAOYSA-N 0 1 311.495 3.490 20 30 DGEDMN CN(CCC#N)[C@H]1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001172087378 1097039758 /nfs/dbraw/zinc/03/97/58/1097039758.db2.gz FIGLXWNHJOZWEJ-FICVDOATSA-N 0 1 307.438 3.152 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228124437 1097056943 /nfs/dbraw/zinc/05/69/43/1097056943.db2.gz XMUZOKWKSYFHDB-FVQBIDKESA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(O)cc1Br ZINC001228207407 1097073954 /nfs/dbraw/zinc/07/39/54/1097073954.db2.gz XZUGIFPPIHQPGP-SNVBAGLBSA-N 0 1 313.147 3.143 20 30 DGEDMN CSc1ncc2c(n1)CN([C@H](C)Cc1ccc(C#N)cc1)CC2 ZINC001172310798 1097096756 /nfs/dbraw/zinc/09/67/56/1097096756.db2.gz JGLHHGKTAZVRDC-CYBMUJFWSA-N 0 1 324.453 3.059 20 30 DGEDMN CCCCN(CC(=O)OCC)[C@H](C)Cc1ccc(C#N)cc1 ZINC001172311858 1097097900 /nfs/dbraw/zinc/09/79/00/1097097900.db2.gz ZLRQCKWNSOZVQD-OAHLLOKOSA-N 0 1 302.418 3.154 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc2ccccc2c1CN(C)C ZINC001228440310 1097122087 /nfs/dbraw/zinc/12/20/87/1097122087.db2.gz BZBVEQNQSFXXSH-LBPRGKRZSA-N 0 1 311.381 3.500 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](Oc2nc(Cl)nc3nc[nH]c32)C(C)(C)O1 ZINC001228507519 1097132610 /nfs/dbraw/zinc/13/26/10/1097132610.db2.gz SFTCRJOEMDYPIE-RFAUZJTJSA-N 0 1 322.796 3.287 20 30 DGEDMN C[C@H](CCCC#N)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001172486069 1097152630 /nfs/dbraw/zinc/15/26/30/1097152630.db2.gz YNXQQMKBPUKBHV-QGZVFWFLSA-N 0 1 310.445 3.217 20 30 DGEDMN C=C(C)C[C@@H](Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-])C(=C)C ZINC001228610360 1097152655 /nfs/dbraw/zinc/15/26/55/1097152655.db2.gz TVROQPGJVLVZCC-LLVKDONJSA-N 0 1 305.334 3.258 20 30 DGEDMN C[C@@H](CCCC#N)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001172500027 1097172118 /nfs/dbraw/zinc/17/21/18/1097172118.db2.gz INSGJMGVIGWAIA-MELADBBJSA-N 0 1 313.417 3.006 20 30 DGEDMN CCOC(=O)c1cc2c(s1)CCN([C@H](C)CCCC#N)C2 ZINC001172506881 1097174088 /nfs/dbraw/zinc/17/40/88/1097174088.db2.gz LEXZNDNPJKKQAC-GFCCVEGCSA-N 0 1 306.431 3.365 20 30 DGEDMN C[C@@H](CCCC#N)N1CC[C@@](F)(CNC(=O)OC(C)(C)C)C1 ZINC001172509676 1097174906 /nfs/dbraw/zinc/17/49/06/1097174906.db2.gz NPUFFCPWKPXZED-XJKSGUPXSA-N 0 1 313.417 3.007 20 30 DGEDMN O=C1C=C2CN([C@@H]3Cc4ccc(Cl)cc4C3)CCC2S1 ZINC001172715053 1097229841 /nfs/dbraw/zinc/22/98/41/1097229841.db2.gz SWYVJSISUBJIGL-CABCVRRESA-N 0 1 305.830 3.081 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)cc2C#N)C1 ZINC001229033771 1097241277 /nfs/dbraw/zinc/24/12/77/1097241277.db2.gz GYEAFGPTRFEPKF-WMLDXEAASA-N 0 1 318.380 3.039 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(Br)cc(C#N)c1 ZINC001229282806 1097288815 /nfs/dbraw/zinc/28/88/15/1097288815.db2.gz IVWKMESPOJSQQS-LBPRGKRZSA-N 0 1 322.158 3.309 20 30 DGEDMN C[C@H]1CC[C@@H](NCc2cc(C#N)co2)CN1C(=O)OC(C)(C)C ZINC001173065191 1097316964 /nfs/dbraw/zinc/31/69/64/1097316964.db2.gz VDYIZBORIUQYKJ-GXTWGEPZSA-N 0 1 319.405 3.029 20 30 DGEDMN Cc1nnc(OC(C(=O)c2ccco2)c2ccco2)c(C#N)c1C ZINC001229438927 1097320736 /nfs/dbraw/zinc/32/07/36/1097320736.db2.gz ACHPIKLMVBTOHO-INIZCTEOSA-N 0 1 323.308 3.154 20 30 DGEDMN Cc1cc(C#N)c(Nc2cccc(N3CCN(C)CC3)c2)s1 ZINC001212710388 1097345699 /nfs/dbraw/zinc/34/56/99/1097345699.db2.gz FGIUOGFBRRQSKP-UHFFFAOYSA-N 0 1 312.442 3.424 20 30 DGEDMN Cc1csc(Nc2cccc(N3CCN(C)CC3)c2)c1C#N ZINC001212709081 1097351742 /nfs/dbraw/zinc/35/17/42/1097351742.db2.gz BBKXRBJTXOWRTP-UHFFFAOYSA-N 0 1 312.442 3.424 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC001277404299 1097355041 /nfs/dbraw/zinc/35/50/41/1097355041.db2.gz WMLKNDFXPWOMIB-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C#C[C@@H](CC)Oc1nc2ccc(NCc3ccc(F)cc3)nc2[nH]1 ZINC001229677138 1097363280 /nfs/dbraw/zinc/36/32/80/1097363280.db2.gz QXJFNSKQFOSCBW-AWEZNQCLSA-N 0 1 324.359 3.500 20 30 DGEDMN C=CCCCN(CC)C(=O)NCC[N@@H+](C)Cc1ccc(F)cc1 ZINC001229726869 1097372538 /nfs/dbraw/zinc/37/25/38/1097372538.db2.gz NMOYMGPHOVWQRX-UHFFFAOYSA-N 0 1 321.440 3.255 20 30 DGEDMN C=CCCCN(CC)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC001229726869 1097372542 /nfs/dbraw/zinc/37/25/42/1097372542.db2.gz NMOYMGPHOVWQRX-UHFFFAOYSA-N 0 1 321.440 3.255 20 30 DGEDMN CCOC(=O)C[C@H](Oc1ccccc1/C(C)=N/O)C(F)(F)F ZINC001229736637 1097374466 /nfs/dbraw/zinc/37/44/66/1097374466.db2.gz FYVWRMXWNGBLFP-BEAUZRKQSA-N 0 1 319.279 3.148 20 30 DGEDMN CCCCCCCC[C@@H](CCC)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001173310059 1097407702 /nfs/dbraw/zinc/40/77/02/1097407702.db2.gz OLKNYBUQEJIRDN-SJORKVTESA-N 0 1 322.497 3.330 20 30 DGEDMN C=CCOC1CCC([N@@H+]2CC[C@@H](C)C[C@@H]2C(=O)OCC)CC1 ZINC001173326722 1097418276 /nfs/dbraw/zinc/41/82/76/1097418276.db2.gz OHKLVQVOLRKBPY-RDHHWEPZSA-N 0 1 309.450 3.164 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(CC#N)CC2)[C@@H]2C[C@@H]21 ZINC001173335844 1097420459 /nfs/dbraw/zinc/42/04/59/1097420459.db2.gz DWBJATDIOIEBSK-FJBKBRRZSA-N 0 1 319.449 3.152 20 30 DGEDMN N#CCC1CCC([N@H+]2CCO[C@@H](COc3ccccc3)C2)CC1 ZINC001173337301 1097421855 /nfs/dbraw/zinc/42/18/55/1097421855.db2.gz GBBULZRQIDTQHY-FAFZWHIHSA-N 0 1 314.429 3.239 20 30 DGEDMN N#CCC1CCC(N2CCO[C@@H](COc3ccccc3)C2)CC1 ZINC001173337301 1097421860 /nfs/dbraw/zinc/42/18/60/1097421860.db2.gz GBBULZRQIDTQHY-FAFZWHIHSA-N 0 1 314.429 3.239 20 30 DGEDMN CCN1CC[C@H](NC2=C(c3ccccc3)C(=O)c3ccccc32)C1 ZINC001173351470 1097425858 /nfs/dbraw/zinc/42/58/58/1097425858.db2.gz YNEHHOSBPWMMNX-INIZCTEOSA-N 0 1 318.420 3.435 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc(CCCC(=O)OCC)c1 ZINC001230008473 1097428026 /nfs/dbraw/zinc/42/80/26/1097428026.db2.gz TZZGIQQWVCEUER-GFCCVEGCSA-N 0 1 318.369 3.171 20 30 DGEDMN C=CCOCC(COCC=C)Oc1cc2cn[nH]c2cc1C ZINC001230223380 1097459972 /nfs/dbraw/zinc/45/99/72/1097459972.db2.gz PXQPYJVPBGKVNL-UHFFFAOYSA-N 0 1 302.374 3.024 20 30 DGEDMN N#CCc1ccccc1O[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001230276240 1097467656 /nfs/dbraw/zinc/46/76/56/1097467656.db2.gz PIRCULFAVBZZMX-LJQANCHMSA-N 0 1 317.392 3.278 20 30 DGEDMN C=CCC1(C(=O)NC2CCN(CC#CC)CC2)CCCCC1 ZINC001230331749 1097477163 /nfs/dbraw/zinc/47/71/63/1097477163.db2.gz BHFHRVXQWXBCCG-UHFFFAOYSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C[C@H](C(=O)NC1CCN(C/C=C/Cl)CC1)c1ccccc1 ZINC001230333987 1097478266 /nfs/dbraw/zinc/47/82/66/1097478266.db2.gz BLDALFPNQIRLQV-CEUCYRDJSA-N 0 1 318.848 3.289 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cc(F)ccc2C#N)C1 ZINC001230639552 1097543189 /nfs/dbraw/zinc/54/31/89/1097543189.db2.gz QMJYKKRLKWTOEL-CZUORRHYSA-N 0 1 311.360 3.307 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230715423 1097563674 /nfs/dbraw/zinc/56/36/74/1097563674.db2.gz YKAXHCWUNBKQEK-INIZCTEOSA-N 0 1 319.449 3.184 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](CC)CCC)Cc1cccnc1 ZINC001230881444 1097612838 /nfs/dbraw/zinc/61/28/38/1097612838.db2.gz HCJIBHASSYBYMK-SFHVURJKSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(CCC)c(C)s1 ZINC001150349685 1097659594 /nfs/dbraw/zinc/65/95/94/1097659594.db2.gz AATMLYVCJLVBTL-UHFFFAOYSA-N 0 1 300.855 3.081 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C(=O)c2ccncc2)cc1 ZINC001231025060 1097649811 /nfs/dbraw/zinc/64/98/11/1097649811.db2.gz NZFNACSYJZANAQ-INIZCTEOSA-N 0 1 323.348 3.301 20 30 DGEDMN N#Cc1ncc(Nc2ccc3nccn3c2)cc1C(F)(F)F ZINC001174278046 1097668479 /nfs/dbraw/zinc/66/84/79/1097668479.db2.gz IZYQXQOIKHFBAE-UHFFFAOYSA-N 0 1 303.247 3.363 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1cccc(OC)c1C ZINC001231169778 1097686885 /nfs/dbraw/zinc/68/68/85/1097686885.db2.gz KCTGEVVIRSAOPX-QGZVFWFLSA-N 0 1 316.445 3.003 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001231169510 1097687414 /nfs/dbraw/zinc/68/74/14/1097687414.db2.gz HYUIZMWOSDIQHA-GOSISDBHSA-N 0 1 300.446 3.248 20 30 DGEDMN CC(C)c1ccccc1CN1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231293809 1097715008 /nfs/dbraw/zinc/71/50/08/1097715008.db2.gz RJOQTZDOWYLLLL-WBVHZDCISA-N 0 1 313.445 3.002 20 30 DGEDMN C=CCOC(=O)c1ccc(OC2C(=C)O[C@H](CC)C2=O)cc1 ZINC001231302498 1097715778 /nfs/dbraw/zinc/71/57/78/1097715778.db2.gz JIPHYBCCGBLRRH-CQSZACIVSA-N 0 1 302.326 3.018 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c(Br)ccc(OC)c2O)C1 ZINC001231554102 1097779471 /nfs/dbraw/zinc/77/94/71/1097779471.db2.gz INRIDIIFTKCPMJ-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN OC1CC2(C1)CN(Cc1ccccc1C#Cc1ccccc1)C2 ZINC001231576742 1097782959 /nfs/dbraw/zinc/78/29/59/1097782959.db2.gz HYJNOUQMUSUKCD-UHFFFAOYSA-N 0 1 303.405 3.043 20 30 DGEDMN CCN(CCC#N)Cc1n[nH]c2cc(Br)ccc21 ZINC001231613456 1097792303 /nfs/dbraw/zinc/79/23/03/1097792303.db2.gz OQLAYZOTFGNPRP-UHFFFAOYSA-N 0 1 307.195 3.061 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@H](NC(=O)OC(C)(C)C)C2)c1 ZINC001231655994 1097804113 /nfs/dbraw/zinc/80/41/13/1097804113.db2.gz HSHLBMVOLZAZNR-KRWDZBQOSA-N 0 1 314.429 3.157 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001174694318 1097827732 /nfs/dbraw/zinc/82/77/32/1097827732.db2.gz LRRSYFPEQCTBTA-CYBMUJFWSA-N 0 1 321.465 3.268 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCC[C@H]2c2cnccn2)cc1 ZINC001231817655 1097854457 /nfs/dbraw/zinc/85/44/57/1097854457.db2.gz LRAGGZSIJHYHFC-IBGZPJMESA-N 0 1 321.428 3.164 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001174848259 1097866339 /nfs/dbraw/zinc/86/63/39/1097866339.db2.gz ALCUCKLSXMFEAY-GDBMZVCRSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@H](C)c1nc(C)no1 ZINC001174979438 1097914450 /nfs/dbraw/zinc/91/44/50/1097914450.db2.gz ZWTMHCQTQMBCEC-ZIAGYGMSSA-N 0 1 322.453 3.060 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(c3cccc(C#N)c3)CC2)[nH]1 ZINC001232075640 1097931079 /nfs/dbraw/zinc/93/10/79/1097931079.db2.gz HCKJOOKUMJZXAR-UHFFFAOYSA-N 0 1 323.396 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001175082377 1097942031 /nfs/dbraw/zinc/94/20/31/1097942031.db2.gz OANMJURFUFWXQS-RDTXWAMCSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)oc1C ZINC001277617211 1097945203 /nfs/dbraw/zinc/94/52/03/1097945203.db2.gz ZHXYEDYPTNSABT-HNNXBMFYSA-N 0 1 310.825 3.089 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccc(C)cc2)C1 ZINC001277626159 1097958950 /nfs/dbraw/zinc/95/89/50/1097958950.db2.gz ULCPFTLCOLTMPZ-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCOC[C@]12CCCO[C@H]1CCN(Cc1ncsc1C)C2 ZINC001232200486 1097963462 /nfs/dbraw/zinc/96/34/62/1097963462.db2.gz CNDQUGPAQDWSNL-DLBZAZTESA-N 0 1 322.474 3.025 20 30 DGEDMN N#Cc1ccnc(CN2CCC3(CC2)OCCc2ccccc23)c1 ZINC001232479751 1098052776 /nfs/dbraw/zinc/05/27/76/1098052776.db2.gz WPIVDELEBXJSMF-UHFFFAOYSA-N 0 1 319.408 3.017 20 30 DGEDMN N#Cc1ncc(F)cc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505362 1098074999 /nfs/dbraw/zinc/07/49/99/1098074999.db2.gz NCQWYBDAMJTPTQ-UHFFFAOYSA-N 0 1 308.360 3.294 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@@H]1CC=CCC1 ZINC001277684482 1098083139 /nfs/dbraw/zinc/08/31/39/1098083139.db2.gz KHRKDQXMXVEUFI-GDBMZVCRSA-N 0 1 310.869 3.312 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1cc(F)c(O)c(Br)c1 ZINC001232633052 1098102227 /nfs/dbraw/zinc/10/22/27/1098102227.db2.gz CSXSYHIUXSURLQ-JTQLQIEISA-N 0 1 313.170 3.172 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1CCC(F)CC1 ZINC001277696996 1098108766 /nfs/dbraw/zinc/10/87/66/1098108766.db2.gz ZVSOYYWYQKRLCD-NRXISQOPSA-N 0 1 316.848 3.094 20 30 DGEDMN Cc1ccnc(O[C@H]2CCC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)c1 ZINC001232681530 1098113064 /nfs/dbraw/zinc/11/30/64/1098113064.db2.gz PRRDGVFMIIZXHG-KRWDZBQOSA-N 0 1 323.396 3.011 20 30 DGEDMN N#Cc1cc(Nc2ccc(C(=O)NC3CC3)cc2)c(O)cc1F ZINC001175607634 1098118714 /nfs/dbraw/zinc/11/87/14/1098118714.db2.gz NOINKKGDKMQRIZ-UHFFFAOYSA-N 0 1 311.316 3.039 20 30 DGEDMN N#Cc1ccc(CN2CCC(O)(c3ccc(F)cc3)CC2)s1 ZINC001232843909 1098150390 /nfs/dbraw/zinc/15/03/90/1098150390.db2.gz HMTGAIMYPSXQHZ-UHFFFAOYSA-N 0 1 316.401 3.242 20 30 DGEDMN COc1ccccc1O[C@H]1CCN(Cc2ccc(C#N)s2)C1 ZINC001232844051 1098150875 /nfs/dbraw/zinc/15/08/75/1098150875.db2.gz MKAZIOFTHQBIAA-ZDUSSCGKSA-N 0 1 314.410 3.282 20 30 DGEDMN CCOC(=O)C1(C(C)C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001232846290 1098151287 /nfs/dbraw/zinc/15/12/87/1098151287.db2.gz FDGNOLLXXJYBQL-UHFFFAOYSA-N 0 1 320.458 3.421 20 30 DGEDMN N#C[C@H]1CN(Cc2cccc(SC(F)(F)F)c2)CCC1=O ZINC001232948261 1098180704 /nfs/dbraw/zinc/18/07/04/1098180704.db2.gz QBNKYJPXPQJYCN-NSHDSACASA-N 0 1 314.332 3.213 20 30 DGEDMN Cc1ccc(CN2CCN(C)C[C@H]2c2ccccc2)c(C#N)c1 ZINC001232961873 1098184470 /nfs/dbraw/zinc/18/44/70/1098184470.db2.gz HHRVBSIUGUJNQE-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN N#CC1CCN(Cc2c(O)cc(Br)cc2F)CC1 ZINC001233027754 1098207208 /nfs/dbraw/zinc/20/72/08/1098207208.db2.gz YABOXJKCYRERJJ-UHFFFAOYSA-N 0 1 313.170 3.029 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCCC[C@](O)(C(F)(F)F)C1 ZINC001233080011 1098220813 /nfs/dbraw/zinc/22/08/13/1098220813.db2.gz AQYFRKYTXFWBRE-OAHLLOKOSA-N 0 1 312.335 3.146 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1cnc(C)c(C)c1)C2 ZINC001233163994 1098240958 /nfs/dbraw/zinc/24/09/58/1098240958.db2.gz OVVFWGAAGHJNAD-MOPGFXCFSA-N 0 1 316.445 3.025 20 30 DGEDMN COc1ccc([C@@H]2CC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)cc1 ZINC001233387594 1098310917 /nfs/dbraw/zinc/31/09/17/1098310917.db2.gz OYAZVNYHDIBFPZ-MRXNPFEDSA-N 0 1 308.381 3.262 20 30 DGEDMN COc1ccc([C@H]2CC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)cc1 ZINC001233387593 1098311868 /nfs/dbraw/zinc/31/18/68/1098311868.db2.gz OYAZVNYHDIBFPZ-INIZCTEOSA-N 0 1 308.381 3.262 20 30 DGEDMN Cc1cccc(C(=O)NCCC[N@H+](C)CC#Cc2ccccc2)c1 ZINC001233527461 1098364353 /nfs/dbraw/zinc/36/43/53/1098364353.db2.gz KZGBTBHPVASNRP-UHFFFAOYSA-N 0 1 320.436 3.098 20 30 DGEDMN Cc1cccc(C(=O)NCCCN(C)CC#Cc2ccccc2)c1 ZINC001233527461 1098364360 /nfs/dbraw/zinc/36/43/60/1098364360.db2.gz KZGBTBHPVASNRP-UHFFFAOYSA-N 0 1 320.436 3.098 20 30 DGEDMN CCC(C)(CC)C(=O)NCCCNCc1cc(F)ccc1C#N ZINC001176521316 1098376399 /nfs/dbraw/zinc/37/63/99/1098376399.db2.gz PTYBAMFYNAZCLM-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2cscn2)CCCCC1 ZINC001176782297 1098430105 /nfs/dbraw/zinc/43/01/05/1098430105.db2.gz ZFSZFRGAHLJRDA-UHFFFAOYSA-N 0 1 321.490 3.266 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)CC[C@H](C)OC ZINC001233809305 1098436069 /nfs/dbraw/zinc/43/60/69/1098436069.db2.gz IELQMIKACMLXAQ-DLBZAZTESA-N 0 1 312.498 3.305 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H]2OCCN(CC(CC)CC)[C@H]2C1 ZINC001177023715 1098463804 /nfs/dbraw/zinc/46/38/04/1098463804.db2.gz BOGKCOOACZUNEO-ROUUACIJSA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1c[nH]c(=O)c3ccccc13)C2 ZINC001233963999 1098467624 /nfs/dbraw/zinc/46/76/24/1098467624.db2.gz HPERQMLXKJEXCD-UHFFFAOYSA-N 0 1 315.376 3.370 20 30 DGEDMN CCCCCC(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001233977114 1098469952 /nfs/dbraw/zinc/46/99/52/1098469952.db2.gz AZXVZTMJJTUAGR-UHFFFAOYSA-N 0 1 319.424 3.216 20 30 DGEDMN C=C(C)CCN1CCO[C@@H]2CCN(C(=O)CC(C)=C(C)C)C[C@@H]21 ZINC001177073877 1098471603 /nfs/dbraw/zinc/47/16/03/1098471603.db2.gz TVFFOMWKILWOCH-ZWKOTPCHSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCCN1CCO[C@H]2CCN(C(=O)CC(C)=C(C)C)C[C@H]21 ZINC001177074070 1098471641 /nfs/dbraw/zinc/47/16/41/1098471641.db2.gz UIGHWGYMDCGBRX-MSOLQXFVSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H]2OCCN(CC(CC)CC)[C@@H]2C1 ZINC001177088230 1098475717 /nfs/dbraw/zinc/47/57/17/1098475717.db2.gz IUQBXAILBCDYBW-MSOLQXFVSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(C)cc(Br)cc1C#N ZINC001234009790 1098476901 /nfs/dbraw/zinc/47/69/01/1098476901.db2.gz HFWSSSZXJZBBPE-QMMMGPOBSA-N 0 1 322.158 3.227 20 30 DGEDMN CCc1cc(O)ccc1O[C@H]1CCN(Cc2ccccc2C#N)C1 ZINC001234102504 1098495228 /nfs/dbraw/zinc/49/52/28/1098495228.db2.gz PEQALPCATBAYEY-IBGZPJMESA-N 0 1 322.408 3.480 20 30 DGEDMN CCCCCCC[N@@H+](C)CCCNC(=O)c1ccccc1O ZINC001234116325 1098497798 /nfs/dbraw/zinc/49/77/98/1098497798.db2.gz VMIUJEMBQBKLDF-UHFFFAOYSA-N 0 1 306.450 3.414 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001277836097 1098508565 /nfs/dbraw/zinc/50/85/65/1098508565.db2.gz MBLKEHBDSOGOMK-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN CCCc1ccc(CN2CC[C@H]2CN(C)C(=O)C#CC2CC2)cc1 ZINC001234178209 1098510329 /nfs/dbraw/zinc/51/03/29/1098510329.db2.gz JQUMWOFDSHRVBJ-FQEVSTJZSA-N 0 1 324.468 3.085 20 30 DGEDMN C[C@H](CC(C)(C)S)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234520374 1098577262 /nfs/dbraw/zinc/57/72/62/1098577262.db2.gz SENWHVZJLCIOSY-SECBINFHSA-N 0 1 303.387 3.073 20 30 DGEDMN C[C@H](COc1ccccc1)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234529189 1098585365 /nfs/dbraw/zinc/58/53/65/1098585365.db2.gz CSEMHMKRNVVVTD-GFCCVEGCSA-N 0 1 321.336 3.053 20 30 DGEDMN N#CCOc1ccc(CNCc2cnc(Cl)c(F)c2)cc1 ZINC001177765728 1098603067 /nfs/dbraw/zinc/60/30/67/1098603067.db2.gz XETVYQDDOWGEMV-UHFFFAOYSA-N 0 1 305.740 3.066 20 30 DGEDMN C=CC[C@@H](CCC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234570783 1098603621 /nfs/dbraw/zinc/60/36/21/1098603621.db2.gz AHVGRLVTDZPRPL-VIFPVBQESA-N 0 1 301.184 3.377 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1coc2ccc(C)cc12 ZINC001234582883 1098609721 /nfs/dbraw/zinc/60/97/21/1098609721.db2.gz GMVLITJUQRGHCB-MRXNPFEDSA-N 0 1 312.413 3.002 20 30 DGEDMN Cc1c(Cl)cc(NC(=O)C#Cc2ccccn2)c(O)c1Cl ZINC001177804741 1098619592 /nfs/dbraw/zinc/61/95/92/1098619592.db2.gz ZWFCIKWORDVYDO-UHFFFAOYSA-N 0 1 321.163 3.393 20 30 DGEDMN Cc1cccc2nc(NC(=O)[C@@H](C#N)Cc3cccs3)[nH]c21 ZINC001177903251 1098643502 /nfs/dbraw/zinc/64/35/02/1098643502.db2.gz ANCFHSIRSMKQLH-LLVKDONJSA-N 0 1 310.382 3.254 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N1CCC[C@@H]1c1ccccn1 ZINC001177908511 1098644973 /nfs/dbraw/zinc/64/49/73/1098644973.db2.gz BVBTZPBTBWSRTA-CZUORRHYSA-N 0 1 311.410 3.189 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC1C2CC3CC(C2)CC1C3 ZINC001177916506 1098648404 /nfs/dbraw/zinc/64/84/04/1098648404.db2.gz IDAJQUIHDJUXSK-UWRLEFKFSA-N 0 1 314.454 3.371 20 30 DGEDMN Cc1cc(C)c(CNC(=O)C(C#N)Cc2cccs2)c(O)c1 ZINC001177916949 1098648816 /nfs/dbraw/zinc/64/88/16/1098648816.db2.gz ASBKKCDSYDPCDX-CYBMUJFWSA-N 0 1 314.410 3.069 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CCc2ccccc21 ZINC001177918238 1098649033 /nfs/dbraw/zinc/64/90/33/1098649033.db2.gz SIXJFHVMIWLEOL-CABCVRRESA-N 0 1 310.422 3.277 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001177916050 1098649071 /nfs/dbraw/zinc/64/90/71/1098649071.db2.gz RTONNFKTIABCQD-VBNZEHGJSA-N 0 1 314.385 3.242 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C)nc1C1CC1 ZINC001277894184 1098669251 /nfs/dbraw/zinc/66/92/51/1098669251.db2.gz WCMAPZZQKUAVPQ-CYBMUJFWSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc2c(c(Cl)c1)C(=O)CC2 ZINC001234846149 1098680411 /nfs/dbraw/zinc/68/04/11/1098680411.db2.gz PFMNOPFJDYXUEP-CYBMUJFWSA-N 0 1 306.745 3.457 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(OC)cc(OC)c1Cl ZINC001234885995 1098697707 /nfs/dbraw/zinc/69/77/07/1098697707.db2.gz SGNRYXQXAIATTC-JTQLQIEISA-N 0 1 312.749 3.345 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001277913912 1098734325 /nfs/dbraw/zinc/73/43/25/1098734325.db2.gz JSZSLCAQHUGIAU-SWLSCSKDSA-N 0 1 323.506 3.299 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001178566626 1098875581 /nfs/dbraw/zinc/87/55/81/1098875581.db2.gz GWTWLMZLIOKNRA-VKJFTORMSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@@H](C)c1nc(C)no1 ZINC001178599595 1098884037 /nfs/dbraw/zinc/88/40/37/1098884037.db2.gz ZDESQZWDZIQXKT-AWEZNQCLSA-N 0 1 322.453 3.062 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001178795064 1098933385 /nfs/dbraw/zinc/93/33/85/1098933385.db2.gz HWVVEOVTKDOTNC-XLCKQVHISA-N 0 1 319.276 3.487 20 30 DGEDMN Cc1cc(CN(CCC#N)C2CCCC2)cc(C)c1OCCO ZINC001235361191 1098978739 /nfs/dbraw/zinc/97/87/39/1098978739.db2.gz GZESQDAAIQPZCB-UHFFFAOYSA-N 0 1 316.445 3.333 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1ccc(Cl)cc1OC ZINC001235451325 1099042221 /nfs/dbraw/zinc/04/22/21/1099042221.db2.gz HEYOVDYIFIKGDL-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@@H](C)c1cc(F)ccc1F ZINC001235463692 1099048774 /nfs/dbraw/zinc/04/87/74/1099048774.db2.gz ATHCWOIFEXNFLJ-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@@H](C)c1ccc(F)cc1F ZINC001235464741 1099050484 /nfs/dbraw/zinc/05/04/84/1099050484.db2.gz OMSRGTMNPUKPEX-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN COc1cc2c(cc1OC)CN(Cc1ccccc1CC#N)CC2 ZINC001235523224 1099071714 /nfs/dbraw/zinc/07/17/14/1099071714.db2.gz NYCWEKSNPBVMQQ-UHFFFAOYSA-N 0 1 322.408 3.328 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001179463464 1099106695 /nfs/dbraw/zinc/10/66/95/1099106695.db2.gz HQSYFTCHUOQMAD-XLCKQVHISA-N 0 1 305.249 3.241 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc(C)c(Cl)c1 ZINC001235758231 1099166899 /nfs/dbraw/zinc/16/68/99/1099166899.db2.gz LGRNZKGNOBLAPL-MRXNPFEDSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C/c1ccccc1F ZINC001235796570 1099185800 /nfs/dbraw/zinc/18/58/00/1099185800.db2.gz LITNYGQOQVWORG-DBWMJRBXSA-N 0 1 316.420 3.338 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001180696943 1099492715 /nfs/dbraw/zinc/49/27/15/1099492715.db2.gz ARKQDTSRRZWSFB-RISCZKNCSA-N 0 1 307.825 3.174 20 30 DGEDMN C=C(Br)CN[C@@H]1CCN(C(=O)CCCCCC)C1 ZINC001187572970 1099551077 /nfs/dbraw/zinc/55/10/77/1099551077.db2.gz PEJWQNGVCIAUCO-CYBMUJFWSA-N 0 1 317.271 3.056 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ccoc1C(=O)OC ZINC001187993420 1099651418 /nfs/dbraw/zinc/65/14/18/1099651418.db2.gz VLIYILAGNGEDFL-UHFFFAOYSA-N 0 1 317.407 3.168 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ccnc2c1CCC2 ZINC001187994072 1099653125 /nfs/dbraw/zinc/65/31/25/1099653125.db2.gz BYBGQQXKUBYBML-UHFFFAOYSA-N 0 1 310.463 3.094 20 30 DGEDMN CCCCCCCCS(=O)(=O)N1CC[C@H]([N@@H+](C)C(C)C)C1 ZINC001188008827 1099657392 /nfs/dbraw/zinc/65/73/92/1099657392.db2.gz TZNIHNPCJOSTMV-INIZCTEOSA-N 0 1 318.527 3.091 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCC[C@H](C)CC)[C@@H]2C1 ZINC001188043964 1099662475 /nfs/dbraw/zinc/66/24/75/1099662475.db2.gz RXZHTAYKEBLSIJ-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CN(CCC(F)(F)F)C[C@H]21 ZINC001188064020 1099675980 /nfs/dbraw/zinc/67/59/80/1099675980.db2.gz XEARGNKGSWSMIA-QWHCGFSZSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@]1(C)CC=C(C)CC1 ZINC001278007639 1099777011 /nfs/dbraw/zinc/77/70/11/1099777011.db2.gz XXECWOMZJFRHTA-DOTOQJQBSA-N 0 1 310.869 3.456 20 30 DGEDMN COc1cc([O-])c(C[N@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c(Cl)c1 ZINC001236803928 1099795975 /nfs/dbraw/zinc/79/59/75/1099795975.db2.gz QFUXRPIFUPGHMS-CNDDSTCGSA-N 0 1 306.793 3.321 20 30 DGEDMN COc1cc([O-])c(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c(Cl)c1 ZINC001236803928 1099795984 /nfs/dbraw/zinc/79/59/84/1099795984.db2.gz QFUXRPIFUPGHMS-CNDDSTCGSA-N 0 1 306.793 3.321 20 30 DGEDMN CC(C)C[C@@H](C#N)NC(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188588538 1099800394 /nfs/dbraw/zinc/80/03/94/1099800394.db2.gz RXQXQPGSWHIFJN-JTQLQIEISA-N 0 1 300.280 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001278025826 1099868546 /nfs/dbraw/zinc/86/85/46/1099868546.db2.gz RCDPVJDCSNWXKD-IGEOTXOUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cccc(OC)c1Cl ZINC001188970226 1099917175 /nfs/dbraw/zinc/91/71/75/1099917175.db2.gz HQIVVMNCFAXZOL-UHFFFAOYSA-N 0 1 311.794 3.124 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CN(Cc3cccc(F)c3)C[C@H]21 ZINC001188999553 1099924071 /nfs/dbraw/zinc/92/40/71/1099924071.db2.gz RVTKUVLEZDNVBQ-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H](CC)c3ccc(C)cc3)[C@@H]2C1 ZINC001189116972 1099951909 /nfs/dbraw/zinc/95/19/09/1099951909.db2.gz BPMHXGOHGTULRY-YZGWKJHDSA-N 0 1 324.468 3.045 20 30 DGEDMN C[Si](C)(C)C#Cc1ccc(C(=O)Nc2cccnc2CN)cc1 ZINC001189177098 1099967339 /nfs/dbraw/zinc/96/73/39/1099967339.db2.gz VLDRUBXCXGIBFE-UHFFFAOYSA-N 0 1 323.472 3.022 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1)[C@@H]1CCN(C(=O)/C=C/C(C)(C)C)C1 ZINC001189437168 1100057742 /nfs/dbraw/zinc/05/77/42/1100057742.db2.gz AHWUWSLUGBNEEX-XYDKVEKUSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)/C=C/C(C)(C)C)C1 ZINC001189437168 1100057747 /nfs/dbraw/zinc/05/77/47/1100057747.db2.gz AHWUWSLUGBNEEX-XYDKVEKUSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)CCCCCC)[C@@H]2C1 ZINC001189763419 1100128172 /nfs/dbraw/zinc/12/81/72/1100128172.db2.gz KJQYGPLIQIRCOZ-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1cccc([C@@H]2CCC[N@@H+](Cc3ccnc(C#N)c3)C2)c1 ZINC001237463242 1100131801 /nfs/dbraw/zinc/13/18/01/1100131801.db2.gz OOZFSWMTVZXYQS-QGZVFWFLSA-N 0 1 307.397 3.341 20 30 DGEDMN Cn1cc(C[N@H+]2CC[C@@H]3OCCC[C@@H]3C2)c2cc(C#N)ccc21 ZINC001237464203 1100132012 /nfs/dbraw/zinc/13/20/12/1100132012.db2.gz CWKMDTKKEAWLRT-BEFAXECRSA-N 0 1 309.413 3.051 20 30 DGEDMN COc1cccc(O[C@@H]2CCCN(Cc3ccnc(C#N)c3)C2)c1 ZINC001237469742 1100134337 /nfs/dbraw/zinc/13/43/37/1100134337.db2.gz FXMVFYAIQFDTHG-LJQANCHMSA-N 0 1 323.396 3.005 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2ccn3nccc3c2)CC1 ZINC001237549689 1100168819 /nfs/dbraw/zinc/16/88/19/1100168819.db2.gz LWMPJXUBQOPNQL-UHFFFAOYSA-N 0 1 316.408 3.392 20 30 DGEDMN CN(Cc1cn2cc(C#N)ccc2n1)[C@H]1CCc2ccccc21 ZINC001237607938 1100186259 /nfs/dbraw/zinc/18/62/59/1100186259.db2.gz CSRKIBPYGWMLNV-SFHVURJKSA-N 0 1 302.381 3.325 20 30 DGEDMN CCc1[nH]ncc1C(=O)Nc1cccc(C#C[Si](C)(C)C)c1 ZINC001190143263 1100206886 /nfs/dbraw/zinc/20/68/86/1100206886.db2.gz WPZNIZYSRKNKGV-UHFFFAOYSA-N 0 1 311.461 3.453 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2coc3ccc(CC)cc23)C1 ZINC001190203217 1100222984 /nfs/dbraw/zinc/22/29/84/1100222984.db2.gz IMRJMCHKVKKESB-INIZCTEOSA-N 0 1 324.424 3.165 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)Nc2nc(-c3ccncc3)n[nH]2)cc1 ZINC001190210143 1100223138 /nfs/dbraw/zinc/22/31/38/1100223138.db2.gz BLRNQNLEBWOLTR-UHFFFAOYSA-N 0 1 319.368 3.238 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCC#Cc2ccccc2)CCC1 ZINC001273597863 1100229102 /nfs/dbraw/zinc/22/91/02/1100229102.db2.gz RMHZIPGOVJVJCZ-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN CCOCCN(CCOCC)Cc1cc(Cl)cc(C#N)c1 ZINC001237752748 1100239750 /nfs/dbraw/zinc/23/97/50/1100239750.db2.gz YMQYTMRSEKZZAI-UHFFFAOYSA-N 0 1 310.825 3.087 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](N(C)C/C=C\Cl)C1 ZINC001190353706 1100253391 /nfs/dbraw/zinc/25/33/91/1100253391.db2.gz BJVFWJNGMNEHQX-SMYYYNMVSA-N 0 1 305.249 3.050 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC001190395982 1100258370 /nfs/dbraw/zinc/25/83/70/1100258370.db2.gz CIEYKXNXYBLRNK-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001190397127 1100259730 /nfs/dbraw/zinc/25/97/30/1100259730.db2.gz IOYNSJRADNBWLW-IBGZPJMESA-N 0 1 324.468 3.173 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2scnc2C)C1 ZINC001190398527 1100260304 /nfs/dbraw/zinc/26/03/04/1100260304.db2.gz WYCYNKUSTRLQJJ-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC001190398527 1100260311 /nfs/dbraw/zinc/26/03/11/1100260311.db2.gz WYCYNKUSTRLQJJ-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2ccc(Cl)nc2)C1 ZINC001190423186 1100271061 /nfs/dbraw/zinc/27/10/61/1100271061.db2.gz NKXGICOHKTXWSL-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001190428094 1100271965 /nfs/dbraw/zinc/27/19/65/1100271965.db2.gz BPCVEADZWVMCTB-CQSZACIVSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001190428091 1100272596 /nfs/dbraw/zinc/27/25/96/1100272596.db2.gz BPCVEADZWVMCTB-AWEZNQCLSA-N 0 1 317.458 3.009 20 30 DGEDMN C#CCN(Cc1cc(C(=O)OC)oc1CC)C1CCCCC1 ZINC001190699754 1100319009 /nfs/dbraw/zinc/31/90/09/1100319009.db2.gz NTLYNNMGWLHARB-UHFFFAOYSA-N 0 1 303.402 3.397 20 30 DGEDMN C#CCN(CC(=O)NCCC1CCCCC1)C1CCCCC1 ZINC001190700147 1100319357 /nfs/dbraw/zinc/31/93/57/1100319357.db2.gz VDOMINOGTQTZHW-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2cc(F)cc3cccnc32)CC1 ZINC001190813693 1100351191 /nfs/dbraw/zinc/35/11/91/1100351191.db2.gz OENZNKWAVPXHHG-UHFFFAOYSA-N 0 1 306.362 3.224 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@H]3CCO)CC2)c(Cl)c1 ZINC001238083674 1100355693 /nfs/dbraw/zinc/35/56/93/1100355693.db2.gz VFQCLIUUISDQQI-OAHLLOKOSA-N 0 1 304.821 3.196 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)c2cccc(C(C)(C)C#N)c2)CC1 ZINC001190931030 1100362825 /nfs/dbraw/zinc/36/28/25/1100362825.db2.gz LTIZXNXOZYMGBY-UHFFFAOYSA-N 0 1 313.445 3.044 20 30 DGEDMN CC(C)(C#N)c1cccc(C(=O)NCc2[nH]nc3ccccc32)c1 ZINC001190942676 1100364158 /nfs/dbraw/zinc/36/41/58/1100364158.db2.gz LUTIDXQCXPJEAQ-UHFFFAOYSA-N 0 1 318.380 3.294 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@H]1C ZINC001278241532 1100461376 /nfs/dbraw/zinc/46/13/76/1100461376.db2.gz ARGHEMOOQINOGM-JBUYOTSVSA-N 0 1 317.260 3.078 20 30 DGEDMN COc1cc(CN2CCN(C)[C@H](c3ccccc3)C2)ccc1C#N ZINC001238407343 1100436140 /nfs/dbraw/zinc/43/61/40/1100436140.db2.gz NRKYPUTXNNNAJB-IBGZPJMESA-N 0 1 321.424 3.056 20 30 DGEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001191569567 1100490125 /nfs/dbraw/zinc/49/01/25/1100490125.db2.gz PLRVHQYVQPNJGA-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001191569567 1100490130 /nfs/dbraw/zinc/49/01/30/1100490130.db2.gz PLRVHQYVQPNJGA-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN CCC1(C(=O)N2CC[C@H](N(C)CC#Cc3ccccc3)C2)CCC1 ZINC001191820200 1100517518 /nfs/dbraw/zinc/51/75/18/1100517518.db2.gz WYJFOHIWZFIZES-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN CCOc1ccc(C)cc1S(=O)(=O)Nc1cccc(C#N)c1 ZINC001191779486 1100518501 /nfs/dbraw/zinc/51/85/01/1100518501.db2.gz BJXVRHIDFSHRGG-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN CCOC(=O)C1(C)CCN(Cc2ccc(C#N)c(CC)c2)CC1 ZINC001238779760 1100528082 /nfs/dbraw/zinc/52/80/82/1100528082.db2.gz YPMLYKFGGRLEDV-UHFFFAOYSA-N 0 1 314.429 3.286 20 30 DGEDMN CN1CCN(c2cc(-c3ccc(C(C)(C)C#N)cc3)ccn2)CC1 ZINC001238822770 1100540459 /nfs/dbraw/zinc/54/04/59/1100540459.db2.gz ZWIQCJMLHPQKDM-UHFFFAOYSA-N 0 1 320.440 3.302 20 30 DGEDMN N#Cc1cc(C(F)(F)F)ccc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001238838286 1100543507 /nfs/dbraw/zinc/54/35/07/1100543507.db2.gz MFHBUCXHCNYXKS-UHFFFAOYSA-N 0 1 313.242 3.387 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001191913441 1100548929 /nfs/dbraw/zinc/54/89/29/1100548929.db2.gz YPYGGDMNPANGSF-GOEBONIOSA-N 0 1 306.475 3.383 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001151981034 1100554653 /nfs/dbraw/zinc/55/46/53/1100554653.db2.gz PPVGPBZNHJTBIW-QGZVFWFLSA-N 0 1 314.473 3.301 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2ccnc(Cl)c2)C1 ZINC001192072965 1100566033 /nfs/dbraw/zinc/56/60/33/1100566033.db2.gz NTKZAOBXIOQAKO-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN Cc1nnc(-c2ccc(-c3nccc4[nH]cc(C#N)c43)cc2)o1 ZINC001239012995 1100573232 /nfs/dbraw/zinc/57/32/32/1100573232.db2.gz RXONPIXWFRHIDR-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN CCCC1(C(=O)N2CC[C@@H](N(C)CC#Cc3ccccc3)C2)CC1 ZINC001192257694 1100590859 /nfs/dbraw/zinc/59/08/59/1100590859.db2.gz PQILEYXDTBLXNQ-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(Oc2ccc(O)cc2)cc1 ZINC001192432552 1100616112 /nfs/dbraw/zinc/61/61/12/1100616112.db2.gz XLIMTRFMUZPEJS-UHFFFAOYSA-N 0 1 320.308 3.032 20 30 DGEDMN N#Cc1c(NC(=O)c2c(F)ccc(O)c2F)sc2c1CCC2 ZINC001192524488 1100627516 /nfs/dbraw/zinc/62/75/16/1100627516.db2.gz NLDGPHWGSSAUDO-UHFFFAOYSA-N 0 1 320.320 3.345 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC001192631964 1100646401 /nfs/dbraw/zinc/64/64/01/1100646401.db2.gz LQQLWOPVYBYJKU-RBUKOAKNSA-N 0 1 318.505 3.395 20 30 DGEDMN CC(C)(NC(=O)c1c(O)ccc(F)c1F)c1ccc(C#N)cc1 ZINC001192768896 1100659880 /nfs/dbraw/zinc/65/98/80/1100659880.db2.gz FWUHQYKTOWJCKX-UHFFFAOYSA-N 0 1 316.307 3.207 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccn1)c1ccc(OC(F)F)cc1 ZINC001192758381 1100662354 /nfs/dbraw/zinc/66/23/54/1100662354.db2.gz SQQRTOGVQQVNGK-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CCc3ccc(Cl)cc3C2)c1 ZINC001192919630 1100677126 /nfs/dbraw/zinc/67/71/26/1100677126.db2.gz XEYPKUCQKFSFQM-SFHVURJKSA-N 0 1 312.800 3.303 20 30 DGEDMN CCCCCCCC(=O)c1ccc(NS(=O)(=O)CC#N)cc1 ZINC001192982272 1100684145 /nfs/dbraw/zinc/68/41/45/1100684145.db2.gz NHXDJPRLQYSTGH-UHFFFAOYSA-N 0 1 322.430 3.495 20 30 DGEDMN COc1cc(-c2nccc3[nH]cc(C#N)c32)cc(OC)c1OC ZINC001239639346 1100710550 /nfs/dbraw/zinc/71/05/50/1100710550.db2.gz CSDHFUQRRIZCII-UHFFFAOYSA-N 0 1 309.325 3.127 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(Cl)cc(Cl)c1Cl ZINC001193149310 1100712313 /nfs/dbraw/zinc/71/23/13/1100712313.db2.gz NESUVSBEVURJSX-YFKPBYRVSA-N 0 1 313.593 3.301 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc(-c2ccccc2)cc1F ZINC001193158624 1100714170 /nfs/dbraw/zinc/71/41/70/1100714170.db2.gz SHJBTDHGHMSWAH-NSHDSACASA-N 0 1 304.346 3.146 20 30 DGEDMN Cc1cnc([C@H](NC[C@@H](O)c2cccc(C#N)c2)C2CC2)s1 ZINC001193240006 1100719153 /nfs/dbraw/zinc/71/91/53/1100719153.db2.gz SACYWLJLMQSFMY-HZPDHXFCSA-N 0 1 313.426 3.098 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc(C3CCC3)c2)C1 ZINC001193463757 1100746714 /nfs/dbraw/zinc/74/67/14/1100746714.db2.gz YDKTVERAOFMRDM-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN N#Cc1cc(C(=O)NCCc2c(F)cccc2Cl)ccc1O ZINC001193494389 1100752051 /nfs/dbraw/zinc/75/20/51/1100752051.db2.gz KYTDXHRTTUTIFU-UHFFFAOYSA-N 0 1 318.735 3.029 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccccc2OCC2CC2)ccc1O ZINC001193512096 1100758069 /nfs/dbraw/zinc/75/80/69/1100758069.db2.gz KNWUKOBGFOPSOB-UHFFFAOYSA-N 0 1 308.337 3.305 20 30 DGEDMN CC[C@@H](NC(=O)c1ccc(O)c(C#N)c1)c1ccc(F)c(F)c1 ZINC001193518865 1100760095 /nfs/dbraw/zinc/76/00/95/1100760095.db2.gz IZAGNJHBWZWMMG-OAHLLOKOSA-N 0 1 316.307 3.423 20 30 DGEDMN N#CCc1cc(NC(=O)c2cc(O)c(O)c(Cl)c2)ccc1F ZINC001193624807 1100774164 /nfs/dbraw/zinc/77/41/64/1100774164.db2.gz AAHZRGZJJJWWDD-UHFFFAOYSA-N 0 1 320.707 3.209 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001193922480 1100843258 /nfs/dbraw/zinc/84/32/58/1100843258.db2.gz VUYNZWHNJAIAHB-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN N#CCC1(CN2CCCC[C@@H]2COC(=O)N2CCCCC2)CC1 ZINC001194107303 1100867324 /nfs/dbraw/zinc/86/73/24/1100867324.db2.gz HTPPOYFAXYBJRP-MRXNPFEDSA-N 0 1 319.449 3.157 20 30 DGEDMN C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)[C@H](CC)CCCCC)C1 ZINC001194182633 1100884960 /nfs/dbraw/zinc/88/49/60/1100884960.db2.gz BYVPOBXTCBUDTN-MSOLQXFVSA-N 0 1 324.509 3.328 20 30 DGEDMN CC#CCN(CCNC(=O)OC(C)(C)C)CCc1ccccc1 ZINC001194300007 1100907927 /nfs/dbraw/zinc/90/79/27/1100907927.db2.gz MAKZPVPGMFLALQ-UHFFFAOYSA-N 0 1 316.445 3.079 20 30 DGEDMN N#CCc1ccc(-c2cccc(CCN3CCOCC3)c2)cc1F ZINC001240265268 1100915303 /nfs/dbraw/zinc/91/53/03/1100915303.db2.gz FVYLYYLTEFFDGS-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2oc3ccccc3c2CC)C1 ZINC001194359720 1100919620 /nfs/dbraw/zinc/91/96/20/1100919620.db2.gz SJPBSRFDFUMVFY-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@@H](N(C)C/C=C\Cl)C2)CCCCC1 ZINC001194367961 1100921400 /nfs/dbraw/zinc/92/14/00/1100921400.db2.gz NNLQXOZLFLPKRU-JQPKSGMCSA-N 0 1 322.880 3.245 20 30 DGEDMN CCc1nc(C#N)cc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240386624 1100971028 /nfs/dbraw/zinc/97/10/28/1100971028.db2.gz FCMBQABQIOHDJT-UHFFFAOYSA-N 0 1 304.397 3.200 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001278315827 1101048144 /nfs/dbraw/zinc/04/81/44/1101048144.db2.gz VJKYJSUEDWNRRY-FFYLZMLBSA-N 0 1 322.880 3.388 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(N4CCCCC4)nc3)c12 ZINC001240582979 1101059702 /nfs/dbraw/zinc/05/97/02/1101059702.db2.gz IXLLDKAOOLYFJJ-UHFFFAOYSA-N 0 1 303.369 3.487 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001152189535 1101080663 /nfs/dbraw/zinc/08/06/63/1101080663.db2.gz SXHQJIREXSABDQ-WTWVRAIASA-N 0 1 319.474 3.303 20 30 DGEDMN CC(C)c1cccc(S(=O)(=O)Nc2ccc(C#N)cc2O)c1 ZINC001195159630 1101088837 /nfs/dbraw/zinc/08/88/37/1101088837.db2.gz RRTKVSZSZVJMLW-UHFFFAOYSA-N 0 1 316.382 3.188 20 30 DGEDMN C#CCCCCC(=O)N1CCCN(C/C=C\c2ccccc2)CC1 ZINC001195540949 1101155420 /nfs/dbraw/zinc/15/54/20/1101155420.db2.gz JSRJQUFNQVHXCT-LCYFTJDESA-N 0 1 324.468 3.428 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(F)cc(CC#N)c3)cc2)CC1 ZINC001240855027 1101160083 /nfs/dbraw/zinc/16/00/83/1101160083.db2.gz VBCMSZMQIBJLPA-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN C#CCCCC(=O)N1CCCN(Cc2cc3ccccc3o2)CC1 ZINC001195645276 1101169632 /nfs/dbraw/zinc/16/96/32/1101169632.db2.gz NLKGIDJPBWHWHL-UHFFFAOYSA-N 0 1 324.424 3.271 20 30 DGEDMN N#Cc1ccc(N2CC[C@H](N3CCC(C4CCC4)CC3)C2=O)cc1 ZINC001195946125 1101230342 /nfs/dbraw/zinc/23/03/42/1101230342.db2.gz HONIZOBLHLKJSK-IBGZPJMESA-N 0 1 323.440 3.176 20 30 DGEDMN CC(=O)c1ccc(NC(=S)Nc2cc(C#N)ccc2O)cc1 ZINC001196557277 1101342583 /nfs/dbraw/zinc/34/25/83/1101342583.db2.gz ZREXGKYQEFRYID-UHFFFAOYSA-N 0 1 311.366 3.275 20 30 DGEDMN Cc1c(O)cccc1NS(=O)(=O)c1cc(Cl)ccc1C#N ZINC001196680538 1101355281 /nfs/dbraw/zinc/35/52/81/1101355281.db2.gz PRPMBUOTTWTHHP-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196724615 1101372070 /nfs/dbraw/zinc/37/20/70/1101372070.db2.gz LZLHMQMEVOVKJQ-UHFFFAOYSA-N 0 1 324.509 3.186 20 30 DGEDMN COc1cccc(CC2CCN(Cc3cc(C#N)ccn3)CC2)c1 ZINC001196937171 1101437568 /nfs/dbraw/zinc/43/75/68/1101437568.db2.gz XVBCEVOAVXQZJK-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCCc2ccccc2)CC1 ZINC001196993960 1101452898 /nfs/dbraw/zinc/45/28/98/1101452898.db2.gz YPJPXWKENCTCAU-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[N@@H+](CCOCCC(C)C)CC1 ZINC001197038502 1101462944 /nfs/dbraw/zinc/46/29/44/1101462944.db2.gz WVLORKOSWRHSNG-LJQANCHMSA-N 0 1 324.509 3.186 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001197038502 1101462952 /nfs/dbraw/zinc/46/29/52/1101462952.db2.gz WVLORKOSWRHSNG-LJQANCHMSA-N 0 1 324.509 3.186 20 30 DGEDMN CCCCCCC[N@H+]1CCCN(C(=O)c2conc2CC)CC1 ZINC001197069422 1101469803 /nfs/dbraw/zinc/46/98/03/1101469803.db2.gz UPMXANIWAUILFT-UHFFFAOYSA-N 0 1 321.465 3.355 20 30 DGEDMN C=CCCCCC[N@@H+]1CCCN(C(=O)c2ocnc2CC)CC1 ZINC001197101029 1101477445 /nfs/dbraw/zinc/47/74/45/1101477445.db2.gz DRXRFICVPQDZCQ-UHFFFAOYSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H](C)CCc2ccccc2F)CC1 ZINC001197157986 1101491895 /nfs/dbraw/zinc/49/18/95/1101491895.db2.gz CATZAKYPGLVPHB-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)CCc2ccccc2F)CC1 ZINC001197157986 1101491901 /nfs/dbraw/zinc/49/19/01/1101491901.db2.gz CATZAKYPGLVPHB-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN COc1cc(C#N)cnc1C1=CCN(Cc2ccccc2)CC1 ZINC001241501444 1101512944 /nfs/dbraw/zinc/51/29/44/1101512944.db2.gz GRKHUWOPTHEYQR-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2ccc3[nH]cnc3c2)nc1C#N ZINC001241691130 1101638733 /nfs/dbraw/zinc/63/87/33/1101638733.db2.gz JJRJSODJLFNJJD-UHFFFAOYSA-N 0 1 322.331 3.430 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2sccc2C)CC1 ZINC001197964536 1101717669 /nfs/dbraw/zinc/71/76/69/1101717669.db2.gz RRWZEZTXNIUVCW-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCCC2CCCC2)CC1 ZINC001198296439 1101806434 /nfs/dbraw/zinc/80/64/34/1101806434.db2.gz LUAPMCJSYLGAHI-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCc2ccc(C)s2)CC1 ZINC001198417988 1101848642 /nfs/dbraw/zinc/84/86/42/1101848642.db2.gz SPWQVXQDJWGUCL-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)NC[C@H](c1ccccc1)C(C)C ZINC001198491812 1101875809 /nfs/dbraw/zinc/87/58/09/1101875809.db2.gz VHSDKCHVUNWRGH-ROUUACIJSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H](C)C1CC1)c1ccccc1OC ZINC001198645993 1101939055 /nfs/dbraw/zinc/93/90/55/1101939055.db2.gz BERYLPXACLDBMK-YOEHRIQHSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C[C@H](C)CC)c1ccccc1OC ZINC001198695043 1101946854 /nfs/dbraw/zinc/94/68/54/1101946854.db2.gz NJXMDVUZJSBXOL-NVXWUHKLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)C/C=C(/C)C=C)c1ccccc1OC ZINC001198834158 1101989851 /nfs/dbraw/zinc/98/98/51/1101989851.db2.gz JGFPIPQVRQSPMB-JBXOJTMHSA-N 0 1 314.429 3.151 20 30 DGEDMN CCn1cc(-c2ccc(F)cc2O)c2sc(C#N)cc2c1=O ZINC001242222444 1101993804 /nfs/dbraw/zinc/99/38/04/1101993804.db2.gz UZIIYIVJAVIYLE-UHFFFAOYSA-N 0 1 314.341 3.466 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCCC1CC1)c1ccccc1OC ZINC001198854998 1102002405 /nfs/dbraw/zinc/00/24/05/1102002405.db2.gz BYRWTGJSPORMSL-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C1(C(C)C)CC1)c1ccccc1OC ZINC001198894929 1102013433 /nfs/dbraw/zinc/01/34/33/1102013433.db2.gz LYIMMECIDHFUMQ-INIZCTEOSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCCCC(=O)NC[C@H](NCC(=C)C)c1ccccc1OC ZINC001198876136 1102018492 /nfs/dbraw/zinc/01/84/92/1102018492.db2.gz PFVBZVJENJXBGY-KRWDZBQOSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCCCCCCC)C1 ZINC001198880457 1102020750 /nfs/dbraw/zinc/02/07/50/1102020750.db2.gz OFXHTOWKPCPKDM-RCCFBDPRSA-N 0 1 324.509 3.111 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C1(CC)CC1)c1ccccc1OC ZINC001198885991 1102023498 /nfs/dbraw/zinc/02/34/98/1102023498.db2.gz WKADYUQLDXVWDU-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@@H](C)C(C)C)c1ccccc1OC ZINC001198925820 1102030309 /nfs/dbraw/zinc/03/03/09/1102030309.db2.gz YBQUVNNCYPOYMN-RDJZCZTQSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCC1(C)CC1)c1ccccc1OC ZINC001198953188 1102034763 /nfs/dbraw/zinc/03/47/63/1102034763.db2.gz LTJKNFSYEQQSBG-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C(C)(C)C)c1ccccc1OC ZINC001198969019 1102035413 /nfs/dbraw/zinc/03/54/13/1102035413.db2.gz RWCOVVIENULJHH-HNNXBMFYSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(CC)CC)c1ccccc1OC ZINC001198992706 1102046849 /nfs/dbraw/zinc/04/68/49/1102046849.db2.gz DWMMNCIDRLHOAD-INIZCTEOSA-N 0 1 318.461 3.454 20 30 DGEDMN N#Cc1cc(-c2cccc3c2C2(CC3)CC[NH2+]CC2)ccc1[O-] ZINC001242564064 1102210379 /nfs/dbraw/zinc/21/03/79/1102210379.db2.gz QJWWUGFBBOTPSL-UHFFFAOYSA-N 0 1 304.393 3.498 20 30 DGEDMN COc1cccc(-c2ccnc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001242564097 1102212042 /nfs/dbraw/zinc/21/20/42/1102212042.db2.gz OXAOKHNRBZAHRA-UHFFFAOYSA-N 0 1 303.321 3.396 20 30 DGEDMN CCOC(=O)c1nc(C2CC2)oc1Nc1ccc(C#N)cc1F ZINC001201561653 1102652222 /nfs/dbraw/zinc/65/22/22/1102652222.db2.gz MWXWZIFCCLMTAO-UHFFFAOYSA-N 0 1 315.304 3.483 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C4(C#N)CC4)cc3)nc2)CC1 ZINC001243424370 1102715509 /nfs/dbraw/zinc/71/55/09/1102715509.db2.gz RWCNQFROCQZFMN-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN CCCCCCCCN1Cc2nnn(CC)c2[C@@H](COC)C1 ZINC001201804587 1102732202 /nfs/dbraw/zinc/73/22/02/1102732202.db2.gz PJKVHZWNAJRJNZ-OAHLLOKOSA-N 0 1 308.470 3.204 20 30 DGEDMN CCCCCCCN1Cc2nccn2C[C@@H](Cn2cccn2)C1 ZINC001201776326 1102733522 /nfs/dbraw/zinc/73/35/22/1102733522.db2.gz BRWYQBIDKNARDS-KRWDZBQOSA-N 0 1 315.465 3.182 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001202123579 1102843445 /nfs/dbraw/zinc/84/34/45/1102843445.db2.gz USMWNIATKWSKNO-DZGCQCFKSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCC[N@@H+]2Cc1csc(C)c1 ZINC001273943060 1102956285 /nfs/dbraw/zinc/95/62/85/1102956285.db2.gz UMXNVOJPKADZNF-KRWDZBQOSA-N 0 1 304.459 3.200 20 30 DGEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](CC)c1ccc(C)cc1)C2 ZINC001111022070 1103020184 /nfs/dbraw/zinc/02/01/84/1103020184.db2.gz JRKYPPUTRINNNH-AFYVEPGGSA-N 0 1 324.468 3.233 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](CC)c1ccc(C)cc1)C2 ZINC001111022070 1103020200 /nfs/dbraw/zinc/02/02/00/1103020200.db2.gz JRKYPPUTRINNNH-AFYVEPGGSA-N 0 1 324.468 3.233 20 30 DGEDMN C#CCOc1ccc(CN2CC[C@@H](C(F)(F)F)C2)cc1OC ZINC001138345668 1103073737 /nfs/dbraw/zinc/07/37/37/1103073737.db2.gz LSCKIOSQLPCXFI-CYBMUJFWSA-N 0 1 313.319 3.091 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C[C@@H]1C=CCC1)C2 ZINC001325612543 1103080357 /nfs/dbraw/zinc/08/03/57/1103080357.db2.gz LTQOJYHLNLMXQK-WCXIOVBPSA-N 0 1 322.880 3.454 20 30 DGEDMN COc1cc(Br)nc(N=C2CCC(C#N)CC2)c1 ZINC001212859527 1103083592 /nfs/dbraw/zinc/08/35/92/1103083592.db2.gz LENYLAGJLRJQQK-VIFPVBQESA-N 0 1 308.179 3.472 20 30 DGEDMN COc1ccc(Cl)cc1CCNCc1nc(C#N)cs1 ZINC001325877401 1103145595 /nfs/dbraw/zinc/14/55/95/1103145595.db2.gz DUMQMDNUBRGTMB-UHFFFAOYSA-N 0 1 307.806 3.009 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](CC)c1ccccc1)C2 ZINC001111271080 1103151336 /nfs/dbraw/zinc/15/13/36/1103151336.db2.gz AYTSMWJRXMXIDA-NBYUQASBSA-N 0 1 324.468 3.315 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cccc(F)c2F)C[C@H]1C ZINC001278377372 1103237846 /nfs/dbraw/zinc/23/78/46/1103237846.db2.gz FEDGTJJVXPPPLO-UKRRQHHQSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C[C@@H]1C ZINC001278377373 1103238113 /nfs/dbraw/zinc/23/81/13/1103238113.db2.gz FEDGTJJVXPPPLO-ZFWWWQNUSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1cccc(F)c1 ZINC001495105539 1103241107 /nfs/dbraw/zinc/24/11/07/1103241107.db2.gz AXFODQLMHSXCEJ-IUODEOHRSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1ccon1 ZINC001489000203 1103242779 /nfs/dbraw/zinc/24/27/79/1103242779.db2.gz XMPUUIJRWKQFBY-NVXWUHKLSA-N 0 1 319.449 3.138 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CCC)c1ccccc1)C2 ZINC001111362000 1103246724 /nfs/dbraw/zinc/24/67/24/1103246724.db2.gz JDCHMGVJMLWYMD-WCIQWLHISA-N 0 1 324.468 3.315 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2nc(C(C)(C)C)cs2)C1 ZINC001490877811 1103285020 /nfs/dbraw/zinc/28/50/20/1103285020.db2.gz YDJFOXNZYWFQRN-JOCQHMNTSA-N 0 1 321.490 3.144 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(Cl)c1 ZINC001489330449 1103364126 /nfs/dbraw/zinc/36/41/26/1103364126.db2.gz LMPPTRUFDWWCLX-KRWDZBQOSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(F)c1 ZINC001110608238 1103445519 /nfs/dbraw/zinc/44/55/19/1103445519.db2.gz DXXLLSXMQQBWLY-KURKYZTESA-N 0 1 316.420 3.404 20 30 DGEDMN C=C[C@@H](COC)NCc1cn(-c2ccccc2Cl)nc1C ZINC001326845613 1103461120 /nfs/dbraw/zinc/46/11/20/1103461120.db2.gz JERQBPGRHYHQAB-AWEZNQCLSA-N 0 1 305.809 3.125 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3ccc(C)c(C#N)c3)nc2)CC1 ZINC001244493729 1103479811 /nfs/dbraw/zinc/47/98/11/1103479811.db2.gz GQXZRZUFCDWQIT-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)[C@H](C)CCCC)O2 ZINC001327348940 1103627313 /nfs/dbraw/zinc/62/73/13/1103627313.db2.gz DLEYTHBAPKCCIJ-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC001490622016 1103683575 /nfs/dbraw/zinc/68/35/75/1103683575.db2.gz KNXYCZGOQHBQFK-MJGOQNOKSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCOc1ccccc1CN1CCC[C@H](CC(=O)OC)C1 ZINC001139030157 1103705669 /nfs/dbraw/zinc/70/56/69/1103705669.db2.gz GKCPVRNRZWPNHJ-OAHLLOKOSA-N 0 1 303.402 3.027 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)c(F)cc1F)C2 ZINC001095940960 1103706992 /nfs/dbraw/zinc/70/69/92/1103706992.db2.gz AUGFGDXOHYUGRG-VFVAKGGASA-N 0 1 324.346 3.015 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C12CCC(CC1)CC2)C1CC1 ZINC001490742351 1103715124 /nfs/dbraw/zinc/71/51/24/1103715124.db2.gz OGRKMXVKEHVAIX-GNHJJJEISA-N 0 1 310.869 3.194 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C1(C)CC1)C2 ZINC001095999408 1103723738 /nfs/dbraw/zinc/72/37/38/1103723738.db2.gz BNAXQODJODOEIN-MNEFBYGVSA-N 0 1 322.452 3.097 20 30 DGEDMN CN1CCC(N=Nc2ccc(C(C)(C)C)nn2)c2ccccc21 ZINC001327843454 1103741040 /nfs/dbraw/zinc/74/10/40/1103741040.db2.gz QHRMCMKNAGFKHZ-UHFFFAOYSA-N 0 1 309.417 3.430 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)cs1)C2 ZINC001096106202 1103756844 /nfs/dbraw/zinc/75/68/44/1103756844.db2.gz NYZLFMVCMCHOED-AGIUHOORSA-N 0 1 310.850 3.313 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1)C2 ZINC001096130229 1103763040 /nfs/dbraw/zinc/76/30/40/1103763040.db2.gz PVASZTNYBGQOMV-RMMWZPCPSA-N 0 1 324.468 3.314 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1(c3ccccc3)CC1)C2 ZINC001110640982 1103764534 /nfs/dbraw/zinc/76/45/34/1103764534.db2.gz ADAQCMZWLTUGHQ-KURKYZTESA-N 0 1 310.441 3.016 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3cnccc3c1)C2 ZINC001096291153 1103795605 /nfs/dbraw/zinc/79/56/05/1103795605.db2.gz WXVQBZJHYIVPLO-QYZOEREBSA-N 0 1 321.424 3.146 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3cccc(C#N)c3F)ccc-2n1 ZINC001245064184 1103835664 /nfs/dbraw/zinc/83/56/64/1103835664.db2.gz BNPJZXNWEUJJLX-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3ccccc31)C2 ZINC001096473807 1103844968 /nfs/dbraw/zinc/84/49/68/1103844968.db2.gz YTRKSRCWHOCTCH-MNEFBYGVSA-N 0 1 306.409 3.361 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(Cc3ccccc3Cl)C[C@H]21 ZINC001114349339 1103871351 /nfs/dbraw/zinc/87/13/51/1103871351.db2.gz XDBOCBLBOPYCGT-HWWDLCQESA-N 0 1 318.848 3.243 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@H](C)C1 ZINC001328261098 1103894913 /nfs/dbraw/zinc/89/49/13/1103894913.db2.gz UNJKGMQWFXNJDM-CABCVRRESA-N 0 1 321.490 3.277 20 30 DGEDMN C[C@@H](CCNC(=O)CC(C)(C)C)NCc1cc(F)ccc1C#N ZINC001328310345 1103909318 /nfs/dbraw/zinc/90/93/18/1103909318.db2.gz JFGILBQYSOALPD-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1ccn(-c2ccncc2)n1 ZINC001328373260 1103923542 /nfs/dbraw/zinc/92/35/42/1103923542.db2.gz IXODPUJBGHLXJS-GDBMZVCRSA-N 0 1 310.401 3.132 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CC[C@@](F)(CO)C1 ZINC001252979326 1103980201 /nfs/dbraw/zinc/98/02/01/1103980201.db2.gz KCUUGKIOLLSGNN-SJORKVTESA-N 0 1 303.462 3.284 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001329092006 1104150321 /nfs/dbraw/zinc/15/03/21/1104150321.db2.gz VZYYDQCGZUZYBR-SFYZADRCSA-N 0 1 324.639 3.170 20 30 DGEDMN Cc1ccc2nc(CN3CCC4SC(=O)C=C4C3)ccc2c1 ZINC001137211237 1104148038 /nfs/dbraw/zinc/14/80/38/1104148038.db2.gz MZNLVSRBNIUFSS-KRWDZBQOSA-N 0 1 310.422 3.317 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(C)c1Cl ZINC001329155102 1104171721 /nfs/dbraw/zinc/17/17/21/1104171721.db2.gz ZKDJMGANUAJTAS-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCC(NCc2nocc2C)CC1 ZINC001329231016 1104194854 /nfs/dbraw/zinc/19/48/54/1104194854.db2.gz PBIZSPWUQVVLAK-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN COc1cc(-c2nccc3[nH]cc(C#N)c32)c(Cl)c(OC)n1 ZINC001245749840 1104217684 /nfs/dbraw/zinc/21/76/84/1104217684.db2.gz UGZGOXYDHIFSRM-UHFFFAOYSA-N 0 1 314.732 3.167 20 30 DGEDMN COc1cc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)ccc1F ZINC001245931978 1104319210 /nfs/dbraw/zinc/31/92/10/1104319210.db2.gz ABLQAXQSGHZFFS-UHFFFAOYSA-N 0 1 309.300 3.264 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2c(cccc2F)s1 ZINC001329613341 1104347361 /nfs/dbraw/zinc/34/73/61/1104347361.db2.gz NPLIMBCBWRCTQX-GFCCVEGCSA-N 0 1 318.417 3.114 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1CC[C@](N)(C(F)(F)F)C1 ZINC001246024905 1104354024 /nfs/dbraw/zinc/35/40/24/1104354024.db2.gz BEFINHOTVUEFDI-ZIAGYGMSSA-N 0 1 310.404 3.063 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(OCC(F)(F)F)c(F)c1 ZINC001320583992 1104421169 /nfs/dbraw/zinc/42/11/69/1104421169.db2.gz NWTJKQJBBWDFRD-LLVKDONJSA-N 0 1 307.287 3.057 20 30 DGEDMN N#Cc1cc(CN2CCC[C@](O)(c3ccccc3)C2)ccc1F ZINC001329921801 1104424832 /nfs/dbraw/zinc/42/48/32/1104424832.db2.gz YHXVJLZRLLYYFC-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC001274647260 1104433896 /nfs/dbraw/zinc/43/38/96/1104433896.db2.gz ZXQYUZZBONCWGA-HZPDHXFCSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CCF)[C@H](C)C2)CCCCC1 ZINC001274662680 1104444618 /nfs/dbraw/zinc/44/46/18/1104444618.db2.gz KMNHWIKGGDFIFR-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(C)CCC(=O)N1CCC2(CC1)CN(CC=C(C)C)CCO2 ZINC001274867294 1104529312 /nfs/dbraw/zinc/52/93/12/1104529312.db2.gz FDUUQKSXAICUNG-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN COc1ccc(F)c(C=NNc2ccc(C(C)(C)C)nn2)c1 ZINC001330309879 1104535790 /nfs/dbraw/zinc/53/57/90/1104535790.db2.gz AARUZYXYAUVRJJ-UHFFFAOYSA-N 0 1 302.353 3.368 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CC/C=C/c3ccccc3)C2)C1 ZINC001330502037 1104562295 /nfs/dbraw/zinc/56/22/95/1104562295.db2.gz MTEOCSQPPVIYRU-IZZDOVSWSA-N 0 1 322.452 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc2ccsc21 ZINC001274974121 1104563819 /nfs/dbraw/zinc/56/38/19/1104563819.db2.gz OGNOVPMKGWEOLB-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN CCCCCCCN1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001275131318 1104638530 /nfs/dbraw/zinc/63/85/30/1104638530.db2.gz PABHDQVQQQQKTR-UHFFFAOYSA-N 0 1 314.477 3.127 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)Cc1ccc(F)cc1Cl ZINC001275135674 1104643538 /nfs/dbraw/zinc/64/35/38/1104643538.db2.gz MUGMENPPTXNBCK-OAHLLOKOSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)C[C@H]1CC(C)(C)CO1 ZINC001275135739 1104643707 /nfs/dbraw/zinc/64/37/07/1104643707.db2.gz NNCPBKCBIPIBAX-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc(C(F)F)cc1 ZINC001275151279 1104653626 /nfs/dbraw/zinc/65/36/26/1104653626.db2.gz YWQUYMGWMJQWDN-OAHLLOKOSA-N 0 1 308.372 3.347 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](C)C1CCCCC1 ZINC001275150669 1104653723 /nfs/dbraw/zinc/65/37/23/1104653723.db2.gz LDCZCAVZBLKNNN-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001275160152 1104658354 /nfs/dbraw/zinc/65/83/54/1104658354.db2.gz KHMCNWGVJFQITP-STQMWFEESA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001275160152 1104658356 /nfs/dbraw/zinc/65/83/56/1104658356.db2.gz KHMCNWGVJFQITP-STQMWFEESA-N 0 1 324.877 3.061 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)CCc1ccc(F)cc1F ZINC001275160154 1104658384 /nfs/dbraw/zinc/65/83/84/1104658384.db2.gz KHXAKDDKWBBGSP-MRXNPFEDSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(C)CCC(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001275237264 1104686642 /nfs/dbraw/zinc/68/66/42/1104686642.db2.gz FXILQBIGVGCIJM-KPKJPENVSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001275291519 1104709497 /nfs/dbraw/zinc/70/94/97/1104709497.db2.gz KVBQERLKGILZMV-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(Cl)ccc1F ZINC001275444119 1104761649 /nfs/dbraw/zinc/76/16/49/1104761649.db2.gz QCJGOEIKOHJHCR-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)cc(Cl)c1 ZINC001275453286 1104763506 /nfs/dbraw/zinc/76/35/06/1104763506.db2.gz WLTTZAWGKKQFKI-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1oc(CC)nc1C ZINC001275460942 1104765642 /nfs/dbraw/zinc/76/56/42/1104765642.db2.gz MXJYBGMRGTVKKB-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2[nH]ccc21 ZINC001275489973 1104776085 /nfs/dbraw/zinc/77/60/85/1104776085.db2.gz AXNPNODNUJJZAT-NEPJUHHUSA-N 0 1 305.809 3.017 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001275571137 1104814548 /nfs/dbraw/zinc/81/45/48/1104814548.db2.gz NUOHVEUOBLJLDS-LSDHHAIUSA-N 0 1 315.461 3.367 20 30 DGEDMN CCCCCCCCNC(=S)N1CCN2CCCC[C@H]2C1 ZINC001247153138 1104817424 /nfs/dbraw/zinc/81/74/24/1104817424.db2.gz AWFCUIJBIXHNKF-INIZCTEOSA-N 0 1 311.539 3.392 20 30 DGEDMN CCCCCCCCNC(=S)NCCN1CCC(F)CC1 ZINC001247175837 1104828486 /nfs/dbraw/zinc/82/84/86/1104828486.db2.gz BDHRLCDBLHPNFI-UHFFFAOYSA-N 0 1 317.518 3.245 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001275617676 1104833608 /nfs/dbraw/zinc/83/36/08/1104833608.db2.gz RIDUGOLVIJLFLH-UHFFFAOYSA-N 0 1 319.449 3.136 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C)c(C)c1Cl ZINC001275699789 1104870151 /nfs/dbraw/zinc/87/01/51/1104870151.db2.gz ZRUCEDQUVIKMMM-ZDUSSCGKSA-N 0 1 306.837 3.030 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)Nc2c(F)cc(F)cc2F)c1 ZINC001247427623 1104900137 /nfs/dbraw/zinc/90/01/37/1104900137.db2.gz WXXADIFSTDYVEH-UHFFFAOYSA-N 0 1 323.299 3.490 20 30 DGEDMN C=CC[C@H](C)c1nc(-c2cccc(N3CCN(C)CC3)c2)no1 ZINC001247519118 1104917394 /nfs/dbraw/zinc/91/73/94/1104917394.db2.gz FVEKOXUKLRMJMO-AWEZNQCLSA-N 0 1 312.417 3.168 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001275913597 1104953821 /nfs/dbraw/zinc/95/38/21/1104953821.db2.gz SYFBIJQDAYTDMA-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001275929005 1104959456 /nfs/dbraw/zinc/95/94/56/1104959456.db2.gz WGUBHSXNWSIWKW-JKSUJKDBSA-N 0 1 302.462 3.365 20 30 DGEDMN N#Cc1ccc(-c2noc([C@]3(F)CCCNC3)n2)c2ccccc12 ZINC001247881136 1105006283 /nfs/dbraw/zinc/00/62/83/1105006283.db2.gz YGUHXSPCOQTSSY-SFHVURJKSA-N 0 1 322.343 3.310 20 30 DGEDMN N#Cc1ccc(-c2noc([C@H]3CCN3C3CCCCC3)n2)nc1 ZINC001248034067 1105036374 /nfs/dbraw/zinc/03/63/74/1105036374.db2.gz KTOVMQPWNLGTBB-OAHLLOKOSA-N 0 1 309.373 3.083 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC001490818894 1105067788 /nfs/dbraw/zinc/06/77/88/1105067788.db2.gz XUPGIDDIZIAJCU-INIZCTEOSA-N 0 1 318.848 3.224 20 30 DGEDMN C=CCOc1ccc(-c2noc([C@H](NC)C(C)C)n2)c(F)c1 ZINC001248206517 1105069383 /nfs/dbraw/zinc/06/93/83/1105069383.db2.gz WAQDDTKWQHWDQL-CQSZACIVSA-N 0 1 305.353 3.357 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@](C)(CNCc2csc(C)n2)C1 ZINC001278503449 1105090253 /nfs/dbraw/zinc/09/02/53/1105090253.db2.gz DLEQGKWQHLCDTA-KRWDZBQOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)oc(C)c1C)C2 ZINC001095720589 1105100976 /nfs/dbraw/zinc/10/09/76/1105100976.db2.gz FBQZEEZUTXOYTO-PMPSAXMXSA-N 0 1 302.418 3.116 20 30 DGEDMN Cn1cc(C[N@H+]2CCC[C@H](C#N)CC2)c2c1ccnc2Cl ZINC001248837540 1105176101 /nfs/dbraw/zinc/17/61/01/1105176101.db2.gz HSBFTGSBXAYWHF-LBPRGKRZSA-N 0 1 302.809 3.352 20 30 DGEDMN Cn1cc(CN2CCC[C@H](C#N)CC2)c2c1ccnc2Cl ZINC001248837540 1105176104 /nfs/dbraw/zinc/17/61/04/1105176104.db2.gz HSBFTGSBXAYWHF-LBPRGKRZSA-N 0 1 302.809 3.352 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2n[nH]c3cc(C(F)(F)F)ccc23)C1 ZINC001248848260 1105178251 /nfs/dbraw/zinc/17/82/51/1105178251.db2.gz VGGMLROSZHBPBI-CQSZACIVSA-N 0 1 308.307 3.317 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1n[nH]c2cc(C(F)(F)F)ccc21 ZINC001248846582 1105178784 /nfs/dbraw/zinc/17/87/84/1105178784.db2.gz BOOWBLNNMQNMNS-LLVKDONJSA-N 0 1 308.307 3.460 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(F)c(Cl)cc3F)no2)C1 ZINC001249232167 1105252797 /nfs/dbraw/zinc/25/27/97/1105252797.db2.gz YTOIJEFRALXNEA-AWEZNQCLSA-N 0 1 311.719 3.433 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ncccc2C)[C@H]1C ZINC001278514820 1105264714 /nfs/dbraw/zinc/26/47/14/1105264714.db2.gz AAYGLPGELJXQSP-JKSUJKDBSA-N 0 1 315.461 3.071 20 30 DGEDMN COc1ccc2nc(CN(CC#N)Cc3ccccc3)[nH]c2c1 ZINC001249414814 1105283251 /nfs/dbraw/zinc/28/32/51/1105283251.db2.gz XOHNEKQWFFAZJD-UHFFFAOYSA-N 0 1 306.369 3.097 20 30 DGEDMN Cc1noc(C2CCN(Cc3cc4ccc(C#N)cc4[nH]3)CC2)n1 ZINC001249429567 1105285164 /nfs/dbraw/zinc/28/51/64/1105285164.db2.gz HJVRSNVRHWJEIH-UHFFFAOYSA-N 0 1 321.384 3.111 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@H](Oc4cccnc4)C3)[nH]c2c1 ZINC001249428962 1105285597 /nfs/dbraw/zinc/28/55/97/1105285597.db2.gz IHDGSSNDVFXBHC-SFHVURJKSA-N 0 1 318.380 3.088 20 30 DGEDMN Cc1nc([C@H]2CCCN(Cc3cc4ccc(C#N)cc4[nH]3)C2)no1 ZINC001249427289 1105285859 /nfs/dbraw/zinc/28/58/59/1105285859.db2.gz XXQZZJJCDDVSEN-HNNXBMFYSA-N 0 1 321.384 3.111 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)C1 ZINC001249470002 1105293329 /nfs/dbraw/zinc/29/33/29/1105293329.db2.gz FAFXNCTZKUEGMB-VIFPVBQESA-N 0 1 309.200 3.062 20 30 DGEDMN COc1cc(Nc2ccc(C#N)cc2)ccc1N1CCN(C)CC1 ZINC001249713173 1105363306 /nfs/dbraw/zinc/36/33/06/1105363306.db2.gz PMKCEKOQSGTARG-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3c1CCCCC3)C2 ZINC001097278864 1105378105 /nfs/dbraw/zinc/37/81/05/1105378105.db2.gz BVVUAKZSSLXIED-AHRSYUTCSA-N 0 1 324.468 3.477 20 30 DGEDMN C=CCCCC(=O)N1CC[C@](C)(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001278542153 1105397755 /nfs/dbraw/zinc/39/77/55/1105397755.db2.gz IBRXPDPRTJHHHR-MAUKXSAKSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](c1ccccc1)C1CC1)C2 ZINC001097542733 1105407774 /nfs/dbraw/zinc/40/77/74/1105407774.db2.gz UXULSIFVQMEWTN-YRXWBPOGSA-N 0 1 310.441 3.088 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)c(F)cc1F)C2 ZINC001097746062 1105440290 /nfs/dbraw/zinc/44/02/90/1105440290.db2.gz AUGFGDXOHYUGRG-GTTSAUCSSA-N 0 1 324.346 3.015 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)cc1C)C2 ZINC001097774595 1105441312 /nfs/dbraw/zinc/44/13/12/1105441312.db2.gz ZBZCZVFSUFKTFI-BMFZPTHFSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN(Cc1[nH]c(C)cc1C)CCO2 ZINC001137325519 1105487084 /nfs/dbraw/zinc/48/70/84/1105487084.db2.gz HZPGNBLTCPPJKL-PKOBYXMFSA-N 0 1 318.461 3.205 20 30 DGEDMN N#C[C@H]1CN(Cc2c(Cl)ccc(F)c2Cl)CCC1=O ZINC001137358343 1105496984 /nfs/dbraw/zinc/49/69/84/1105496984.db2.gz JZSKOVBKFUACFS-QMMMGPOBSA-N 0 1 301.148 3.047 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1)C2 ZINC001098002658 1105498816 /nfs/dbraw/zinc/49/88/16/1105498816.db2.gz PVASZTNYBGQOMV-IVDHNXQLSA-N 0 1 324.468 3.314 20 30 DGEDMN N#Cc1cnnc(-c2ccccc2)c1Nc1ccc2cncn2c1 ZINC001250329043 1105500677 /nfs/dbraw/zinc/50/06/77/1105500677.db2.gz DSTIBJRZKRNRQA-UHFFFAOYSA-N 0 1 312.336 3.407 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](Oc3ccccc3C#N)C2)ccn1 ZINC001137416438 1105518632 /nfs/dbraw/zinc/51/86/32/1105518632.db2.gz CVWNKUOQBQCFIX-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN COc1cc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)cc2c1OCC=C2 ZINC001250513084 1105529011 /nfs/dbraw/zinc/52/90/11/1105529011.db2.gz SLCHWQAAHRFZHO-FFGGFLGDSA-N 0 1 310.397 3.367 20 30 DGEDMN C=CCN(Cc1nc2ccc(OC)cc2[nH]1)C(=O)OC(C)(C)C ZINC001250536794 1105533332 /nfs/dbraw/zinc/53/33/32/1105533332.db2.gz BZITVXONYBNLMB-UHFFFAOYSA-N 0 1 317.389 3.495 20 30 DGEDMN C=CCN(Cc1nc2c([nH]1)c(F)c(F)cc2F)C(=O)OCC ZINC001250591448 1105543548 /nfs/dbraw/zinc/54/35/48/1105543548.db2.gz MZJQDVFCRTWQQG-UHFFFAOYSA-N 0 1 313.279 3.125 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C(C)(C)C)c1)C2 ZINC001098083679 1105546058 /nfs/dbraw/zinc/54/60/58/1105546058.db2.gz FUENACGCBPHZMO-QRVBRYPASA-N 0 1 324.468 3.343 20 30 DGEDMN COCOc1ccc2nc(-c3ccc(OC)cc3C#N)[nH]c2c1 ZINC001250668909 1105556757 /nfs/dbraw/zinc/55/67/57/1105556757.db2.gz BEMJJVSQVJXZNL-UHFFFAOYSA-N 0 1 309.325 3.093 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1cc3ccccc3o1)C2 ZINC001098180547 1105561218 /nfs/dbraw/zinc/56/12/18/1105561218.db2.gz FIOZFTSDRXNTMJ-DZUCGIPZSA-N 0 1 324.424 3.444 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc3ccccc3c1)C2 ZINC001098346872 1105570546 /nfs/dbraw/zinc/57/05/46/1105570546.db2.gz DNNJIKJROUOGFV-AQNXPRMDSA-N 0 1 320.436 3.290 20 30 DGEDMN CC(C)c1ccc(OC[C@@H](O)CNCC2(C#N)CCCC2)cc1 ZINC001250765047 1105579133 /nfs/dbraw/zinc/57/91/33/1105579133.db2.gz WIVUJSCGRBGMNX-KRWDZBQOSA-N 0 1 316.445 3.223 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c(C)oc3ccccc31)C2 ZINC001098529477 1105581875 /nfs/dbraw/zinc/58/18/75/1105581875.db2.gz CQIROFXOJAHVLU-OLMNPRSZSA-N 0 1 324.424 3.191 20 30 DGEDMN N#Cc1ccc(F)c(-c2nc3ccc(N4CCOCC4)cc3[nH]2)c1 ZINC001250796121 1105584596 /nfs/dbraw/zinc/58/45/96/1105584596.db2.gz MZGRBRJGFSSAKC-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2scc(C)c2Cl)C1 ZINC001098854438 1105609495 /nfs/dbraw/zinc/60/94/95/1105609495.db2.gz IUJHBNVNDSGVLW-LRDDRELGSA-N 0 1 324.877 3.480 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cccc3cccnc32)cc1 ZINC001251005860 1105618685 /nfs/dbraw/zinc/61/86/85/1105618685.db2.gz QBPWUHKNIWBEMD-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCC(C)(C)CN1Cc1ccsc1 ZINC001098983156 1105623410 /nfs/dbraw/zinc/62/34/10/1105623410.db2.gz PBBPMRPTGXZPSM-HIFRSBDPSA-N 0 1 319.474 3.015 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC1(C)CCCC1 ZINC001099054225 1105634988 /nfs/dbraw/zinc/63/49/88/1105634988.db2.gz ASQQSBJIRNUIMC-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(Cl)cs2)C1 ZINC001099063093 1105637156 /nfs/dbraw/zinc/63/71/56/1105637156.db2.gz QCKJBHPCZSYAEC-LRDDRELGSA-N 0 1 322.861 3.009 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)C(C)(C)C ZINC001099122369 1105644591 /nfs/dbraw/zinc/64/45/91/1105644591.db2.gz ZBWFBDSFPDWYSF-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)C(CC)CC ZINC001099131034 1105646672 /nfs/dbraw/zinc/64/66/72/1105646672.db2.gz VQIWCRFRXZFTTJ-RDJZCZTQSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C1CCC1 ZINC001099158877 1105651965 /nfs/dbraw/zinc/65/19/65/1105651965.db2.gz MVGPLYZSOIAXMV-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(C)=C(C)C ZINC001099182375 1105654818 /nfs/dbraw/zinc/65/48/18/1105654818.db2.gz KRYZLSMTVASKQF-QGZVFWFLSA-N 0 1 304.478 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)CC(C)C ZINC001099304474 1105666781 /nfs/dbraw/zinc/66/67/81/1105666781.db2.gz QQYGKRRTFJYAHK-INIZCTEOSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)CCCCCOCC ZINC001099359031 1105673568 /nfs/dbraw/zinc/67/35/68/1105673568.db2.gz LXRIWQWIYIXANI-QGZVFWFLSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001252139411 1105749624 /nfs/dbraw/zinc/74/96/24/1105749624.db2.gz NRZLZDHNFAYROX-KRWDZBQOSA-N 0 1 323.481 3.465 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCCN(C/C=C\Cl)C1 ZINC001491108350 1105755421 /nfs/dbraw/zinc/75/54/21/1105755421.db2.gz SAXDJCPSIJSFDH-IZIDJEDMSA-N 0 1 310.869 3.336 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001491229958 1105779014 /nfs/dbraw/zinc/77/90/14/1105779014.db2.gz LQDYDTQLLLJHBA-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H](CC)c1ccccc1 ZINC001491229692 1105779295 /nfs/dbraw/zinc/77/92/95/1105779295.db2.gz KAONBXOJXGPXAG-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](CC)CCCC)C1 ZINC001278668076 1105782422 /nfs/dbraw/zinc/78/24/22/1105782422.db2.gz KRUJZTVBLXPEOE-IEBWSBKVSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C[C@](C)(O)CNCc1csc(-c2cccc(Cl)c2)n1 ZINC001252583368 1105797446 /nfs/dbraw/zinc/79/74/46/1105797446.db2.gz JBRQVZOKXSXNNY-HNNXBMFYSA-N 0 1 308.834 3.490 20 30 DGEDMN C=CCC[C@H](O)CNCc1ncc(C(F)(F)F)cc1Cl ZINC001252614236 1105804295 /nfs/dbraw/zinc/80/42/95/1105804295.db2.gz GXCXDDNMSDJTHX-JTQLQIEISA-N 0 1 308.731 3.171 20 30 DGEDMN C=CCC[C@@H](O)CNC1(c2ccccc2Br)CC1 ZINC001252621123 1105806669 /nfs/dbraw/zinc/80/66/69/1105806669.db2.gz WUESSJMJBVYHOR-GFCCVEGCSA-N 0 1 310.235 3.355 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001278681335 1105826631 /nfs/dbraw/zinc/82/66/31/1105826631.db2.gz DNFYKTBUCXYMHR-XLIONFOSSA-N 0 1 318.505 3.444 20 30 DGEDMN CCCCCCCCCC[C@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252989594 1105868129 /nfs/dbraw/zinc/86/81/29/1105868129.db2.gz RBYKFWZXGNCQGV-SFHVURJKSA-N 0 1 323.525 3.456 20 30 DGEDMN CCCC[C@@H](CC)COC[C@@H](O)CNC1(CC#N)CCCC1 ZINC001253034372 1105880469 /nfs/dbraw/zinc/88/04/69/1105880469.db2.gz PLVHJFUPOBDULC-SJORKVTESA-N 0 1 310.482 3.396 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101338006 1105911349 /nfs/dbraw/zinc/91/13/49/1105911349.db2.gz UIPDLOAGHNVFBG-NMFGVOTRSA-N 0 1 310.869 3.122 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2Cc2ccccc2C#N)n1 ZINC001253433516 1105939117 /nfs/dbraw/zinc/93/91/17/1105939117.db2.gz JPMYRQWBIKQKIK-SFHVURJKSA-N 0 1 306.413 3.338 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CCC2CCCC2)[C@H](C)C1 ZINC001101693709 1105959664 /nfs/dbraw/zinc/95/96/64/1105959664.db2.gz ZKVZDSOIXIZAST-CZUORRHYSA-N 0 1 312.885 3.393 20 30 DGEDMN COc1ccccc1C1(C(=O)Nc2cc(C#N)ccc2O)CCC1 ZINC001253713040 1105972973 /nfs/dbraw/zinc/97/29/73/1105972973.db2.gz RUOYXFLYVVBXJS-UHFFFAOYSA-N 0 1 322.364 3.333 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCCC(C)C)C1 ZINC001278747404 1105999364 /nfs/dbraw/zinc/99/93/64/1105999364.db2.gz MHFNVFFFYHTNFF-HNNXBMFYSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC2(C)CCCC2)[C@H](C)C1 ZINC001101934580 1106000120 /nfs/dbraw/zinc/00/01/20/1106000120.db2.gz CVMCAHGALJMTGQ-UKRRQHHQSA-N 0 1 312.885 3.393 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H](NCC2(C#N)CCC2)C1 ZINC001254187015 1106031264 /nfs/dbraw/zinc/03/12/64/1106031264.db2.gz BCWVUJBPHFANKE-CQSZACIVSA-N 0 1 307.438 3.059 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H](NCc2cc(C#N)co2)C1 ZINC001254196780 1106032410 /nfs/dbraw/zinc/03/24/10/1106032410.db2.gz VESNDXBQKLIISH-CQSZACIVSA-N 0 1 319.405 3.030 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(C3CCC3)CCC2)[C@H](C)C1 ZINC001102197040 1106037173 /nfs/dbraw/zinc/03/71/73/1106037173.db2.gz KTNZESFDSLVONQ-UKRRQHHQSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(C)CCCCC2)[C@H](C)C1 ZINC001102312633 1106048613 /nfs/dbraw/zinc/04/86/13/1106048613.db2.gz GOHRSQYSNJMSLG-UKRRQHHQSA-N 0 1 312.885 3.393 20 30 DGEDMN C#C[C@@H]1CCCN([C@H]2Cc3ccc(Br)cc3C2)C1 ZINC001254284942 1106051438 /nfs/dbraw/zinc/05/14/38/1106051438.db2.gz QVRZJUYTKHEBDK-WBMJQRKESA-N 0 1 304.231 3.262 20 30 DGEDMN C=CCOCc1nn(C2CCc3ccccc3CC2)c2c1CNC2 ZINC001254458624 1106074892 /nfs/dbraw/zinc/07/48/92/1106074892.db2.gz QKXLOSZMXYMHSH-UHFFFAOYSA-N 0 1 323.440 3.309 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CN(C/C(Cl)=C\Cl)C[C@H]1C ZINC001102762438 1106102715 /nfs/dbraw/zinc/10/27/15/1106102715.db2.gz ZXTUINHSMPZICM-SHFBUDTHSA-N 0 1 319.276 3.346 20 30 DGEDMN Cn1c(CN[C@H]2CC[C@H](C#N)C2)nc2cc(C(F)(F)F)ccc21 ZINC001254642594 1106120073 /nfs/dbraw/zinc/12/00/73/1106120073.db2.gz ISNYALRXICXGER-JQWIXIFHSA-N 0 1 322.334 3.374 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCO[C@H]3[C@H](OCC4CCCC4)CC[C@@H]32)C1 ZINC001254657273 1106124410 /nfs/dbraw/zinc/12/44/10/1106124410.db2.gz CIGDFUFKTDJUGE-UJWQCDCRSA-N 0 1 318.461 3.117 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(F)cc2)CCN1[C@H]1CC[C@H](C#N)C1 ZINC001254661326 1106126885 /nfs/dbraw/zinc/12/68/85/1106126885.db2.gz RXGJLKQVLKHOQQ-HFTRVMKXSA-N 0 1 301.409 3.024 20 30 DGEDMN Cc1ccc2c(n1)N(CC1CC1)CCN([C@@H]1CC[C@@H](C#N)C1)C2 ZINC001254663440 1106127549 /nfs/dbraw/zinc/12/75/49/1106127549.db2.gz BSUSVFQDEGYFTC-SJLPKXTDSA-N 0 1 310.445 3.114 20 30 DGEDMN CC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254669934 1106128228 /nfs/dbraw/zinc/12/82/28/1106128228.db2.gz XKRPFAKDQWTDDK-RBSFLKMASA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@@H](C#N)C1 ZINC001254669933 1106128681 /nfs/dbraw/zinc/12/86/81/1106128681.db2.gz XKRPFAKDQWTDDK-KFWWJZLASA-N 0 1 307.438 3.010 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)C1 ZINC001254671040 1106129467 /nfs/dbraw/zinc/12/94/67/1106129467.db2.gz NXZUKCYPQKIUOY-KBXIAJHMSA-N 0 1 304.397 3.209 20 30 DGEDMN Cc1cc2ccccc2nc1N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001254670675 1106130002 /nfs/dbraw/zinc/13/00/02/1106130002.db2.gz LAYXIKLGNDIDGN-WMZOPIPTSA-N 0 1 320.440 3.358 20 30 DGEDMN COc1cc(N2CCN([C@@H]3CC[C@@H](C#N)C3)CC2)ccc1Cl ZINC001254674476 1106131792 /nfs/dbraw/zinc/13/17/92/1106131792.db2.gz ZXHKPSXNABNIPG-ZIAGYGMSSA-N 0 1 319.836 3.163 20 30 DGEDMN CCc1ccc(S(=O)(=O)Nc2c(F)cc(F)cc2C#N)cc1 ZINC001255085336 1106176207 /nfs/dbraw/zinc/17/62/07/1106176207.db2.gz VLUUHHXSLOMNJK-UHFFFAOYSA-N 0 1 322.336 3.200 20 30 DGEDMN N#C[C@@H](N[C@H]1CCN(Cc2ccccc2)C1)c1ccc(F)cc1 ZINC001255101275 1106179940 /nfs/dbraw/zinc/17/99/40/1106179940.db2.gz QJANCVZXMGAFJW-RBUKOAKNSA-N 0 1 309.388 3.254 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001255167169 1106197519 /nfs/dbraw/zinc/19/75/19/1106197519.db2.gz VLIRCAUPFBRMSO-MAUKXSAKSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001255167202 1106197628 /nfs/dbraw/zinc/19/76/28/1106197628.db2.gz VWPSYVHKNJWXET-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN CC[C@H](CC#N)N1[C@@H]2CC[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C2 ZINC001255173650 1106199692 /nfs/dbraw/zinc/19/96/92/1106199692.db2.gz NTUYQPLWOFLQFW-APIJFGDWSA-N 0 1 307.438 3.199 20 30 DGEDMN CC(C)c1ccc(S(=O)(=O)Nc2ccc(C#N)cc2O)cc1 ZINC001255335506 1106224984 /nfs/dbraw/zinc/22/49/84/1106224984.db2.gz JHJOOYFXJLLUNY-UHFFFAOYSA-N 0 1 316.382 3.188 20 30 DGEDMN CC(C)=CC(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001278894915 1106341765 /nfs/dbraw/zinc/34/17/65/1106341765.db2.gz XNQGYUPCDBWRBS-UHFFFAOYSA-N 0 1 313.445 3.193 20 30 DGEDMN C[C@@H](C#N)c1ccc(NS(=O)(=O)c2ccc(F)cc2F)cc1 ZINC001256062286 1106362367 /nfs/dbraw/zinc/36/23/67/1106362367.db2.gz PDTGPSQOXOTNDS-JTQLQIEISA-N 0 1 322.336 3.393 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C(=O)c1scnc1C1CC1)C(C)C ZINC001278921952 1106395919 /nfs/dbraw/zinc/39/59/19/1106395919.db2.gz CNUSAKSCOHNIMZ-UHFFFAOYSA-N 0 1 321.490 3.379 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc2ccsc21)C(C)C ZINC001278926423 1106400882 /nfs/dbraw/zinc/40/08/82/1106400882.db2.gz LRPURFZTKYBKPI-UHFFFAOYSA-N 0 1 314.454 3.317 20 30 DGEDMN C#CCOCCN(C)Cc1cc(Cl)ccc1OCC1CC1 ZINC001256400739 1106415558 /nfs/dbraw/zinc/41/55/58/1106415558.db2.gz AYYLNKCDYNRLQS-UHFFFAOYSA-N 0 1 307.821 3.210 20 30 DGEDMN C[C@@H](Cc1ccccn1)[N@H+]1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001256421169 1106419001 /nfs/dbraw/zinc/41/90/01/1106419001.db2.gz IHITZXRCBUAPDT-LPHOPBHVSA-N 0 1 321.424 3.428 20 30 DGEDMN C[C@@H](Cc1ccccn1)[N@H+]1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001256421170 1106419264 /nfs/dbraw/zinc/41/92/64/1106419264.db2.gz IHITZXRCBUAPDT-QFBILLFUSA-N 0 1 321.424 3.428 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CCC(N2CCC[C@@H](C#N)C2)CC1 ZINC001256673678 1106466410 /nfs/dbraw/zinc/46/64/10/1106466410.db2.gz DLKKEKOGSUFKML-FHERZECASA-N 0 1 321.465 3.400 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)CCC)c1ccccc1CC ZINC001278969051 1106497393 /nfs/dbraw/zinc/49/73/93/1106497393.db2.gz CZUJROSICQZXKM-LPHOPBHVSA-N 0 1 314.473 3.455 20 30 DGEDMN CCOC(=O)CN(C)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257017034 1106524695 /nfs/dbraw/zinc/52/46/95/1106524695.db2.gz NDUJKVJXKVARAS-UHFFFAOYSA-N 0 1 300.402 3.079 20 30 DGEDMN CC[C@@H]1C[C@H](N2CC[C@@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257141686 1106543954 /nfs/dbraw/zinc/54/39/54/1106543954.db2.gz JPRRRASUGVOWMJ-RKVPGOIHSA-N 0 1 321.465 3.400 20 30 DGEDMN CCCN(CCC#N)[C@H](C)Cc1ccc(C(=O)OCC)cc1 ZINC001257164212 1106546573 /nfs/dbraw/zinc/54/65/73/1106546573.db2.gz DKHATTRYXITXGK-OAHLLOKOSA-N 0 1 302.418 3.420 20 30 DGEDMN CC(C)[C@@H]1C[C@H](N2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257199744 1106551097 /nfs/dbraw/zinc/55/10/97/1106551097.db2.gz YCNQWORTCJRAGB-XHSDSOJGSA-N 0 1 321.465 3.256 20 30 DGEDMN CN(CCC#N)[C@H]1CCC[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001257803696 1106630164 /nfs/dbraw/zinc/63/01/64/1106630164.db2.gz MLQWXOTZLUHXBD-YJBOKZPZSA-N 0 1 321.465 3.402 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cc3ccccc3cc2O)cc1 ZINC001258116235 1106676464 /nfs/dbraw/zinc/67/64/64/1106676464.db2.gz FYEGQWRKARALMT-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN CC(C)(C)c1cc(NS(=O)(=O)c2ccccc2C#N)ccn1 ZINC001258208162 1106696746 /nfs/dbraw/zinc/69/67/46/1106696746.db2.gz KJTWPAKBXNPZLV-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN CSCC[C@H](C)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001258209154 1106697492 /nfs/dbraw/zinc/69/74/92/1106697492.db2.gz TUDAQNIHNOTPGH-INIZCTEOSA-N 0 1 317.502 3.276 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@@H](CC)CCCCC ZINC001258440780 1106747756 /nfs/dbraw/zinc/74/77/56/1106747756.db2.gz WQYBBISJNGVAHO-DOTOQJQBSA-N 0 1 320.481 3.276 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)c1ccsc1 ZINC001316900641 1106748537 /nfs/dbraw/zinc/74/85/37/1106748537.db2.gz KXLYMHIOLUKCOU-CYBMUJFWSA-N 0 1 314.882 3.432 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001316984664 1106848051 /nfs/dbraw/zinc/84/80/51/1106848051.db2.gz DDVVDSUMFFMZRK-DOTOQJQBSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2nc(CC)oc2C)CC1 ZINC001317005165 1106887823 /nfs/dbraw/zinc/88/78/23/1106887823.db2.gz HOGOMLLIQMDXPE-UHFFFAOYSA-N 0 1 319.449 3.048 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2sccc2CC)CC1 ZINC001317005323 1106888383 /nfs/dbraw/zinc/88/83/83/1106888383.db2.gz IGEXFSRIDGTHMO-UHFFFAOYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H]2[C@@H](Oc3cccnc3)CC[C@@H]21 ZINC001258728267 1106935373 /nfs/dbraw/zinc/93/53/73/1106935373.db2.gz NRKJBMXZYHDUKL-LEUOFYLZSA-N 0 1 302.418 3.047 20 30 DGEDMN C=CCC[C@H](C)n1nc(COCc2nccs2)c2c1CNC2 ZINC001258728178 1106935899 /nfs/dbraw/zinc/93/58/99/1106935899.db2.gz MILMTVMNNFQPFD-LBPRGKRZSA-N 0 1 318.446 3.187 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001258735000 1106940851 /nfs/dbraw/zinc/94/08/51/1106940851.db2.gz MBQYGRQAPUYJOS-HZSPNIEDSA-N 0 1 300.418 3.278 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(F)ccc2Cl)C1 ZINC001317055931 1106956186 /nfs/dbraw/zinc/95/61/86/1106956186.db2.gz CSBNDRVORYJTAE-AWEZNQCLSA-N 0 1 310.800 3.136 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001320397337 1106994080 /nfs/dbraw/zinc/99/40/80/1106994080.db2.gz VPWJRCXXDWZZJN-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCN(Cc1c(C)nn(Cc2ccccc2)c1C)CC1CC1 ZINC001320522483 1107040686 /nfs/dbraw/zinc/04/06/86/1107040686.db2.gz MQWPWJHJAPIKKP-UHFFFAOYSA-N 0 1 307.441 3.393 20 30 DGEDMN N#CCc1cccc(NS(=O)(=O)c2ccc(F)cc2Cl)c1 ZINC001258916648 1107057049 /nfs/dbraw/zinc/05/70/49/1107057049.db2.gz PFOPJJBOHDPIOO-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@@H]2CNC(=O)C=C2CCC2)cc1 ZINC001317168058 1107075214 /nfs/dbraw/zinc/07/52/14/1107075214.db2.gz ADFKPHNISPGKOH-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1C/C=C/c1ccccc1 ZINC001317171860 1107081518 /nfs/dbraw/zinc/08/15/18/1107081518.db2.gz XHKIDMUQGWFKEG-XEDBTPMOSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001317178186 1107099243 /nfs/dbraw/zinc/09/92/43/1107099243.db2.gz WNTJNCGHSJYNPI-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1cc(Cl)ccc1F ZINC001320722543 1107116587 /nfs/dbraw/zinc/11/65/87/1107116587.db2.gz NKCKTNZCWSEAQP-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001317227670 1107152420 /nfs/dbraw/zinc/15/24/20/1107152420.db2.gz BHJVXBGSDVCWAW-HZPDHXFCSA-N 0 1 318.436 3.098 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317238546 1107164087 /nfs/dbraw/zinc/16/40/87/1107164087.db2.gz KQKBGEQPMBABQR-ZQHYZAEZSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)[C@H](C)[C@H](C)CC ZINC001320875968 1107172230 /nfs/dbraw/zinc/17/22/30/1107172230.db2.gz IIAHQPWWRCEWEQ-DGCLKSJQSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001317263684 1107185390 /nfs/dbraw/zinc/18/53/90/1107185390.db2.gz HANVHMWBAFYGFX-STQMWFEESA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c[nH]c2ccc(C)cc21 ZINC001320929596 1107192198 /nfs/dbraw/zinc/19/21/98/1107192198.db2.gz SOVATOSTXVROSN-UHFFFAOYSA-N 0 1 319.836 3.281 20 30 DGEDMN N#CCc1cccc(NS(=O)(=O)c2cnc3ccccc3c2)c1 ZINC001260010992 1107234109 /nfs/dbraw/zinc/23/41/09/1107234109.db2.gz SDOBMTGYAXRZRW-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(F)cc2ccoc21 ZINC001321123309 1107257184 /nfs/dbraw/zinc/25/71/84/1107257184.db2.gz AVFXOLQXGSFPMR-NSCUHMNNSA-N 0 1 322.767 3.200 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(C#N)cn2C(C)(C)C)s1 ZINC001260679139 1107292524 /nfs/dbraw/zinc/29/25/24/1107292524.db2.gz YASXPHOEPREUTJ-UHFFFAOYSA-N 0 1 323.443 3.286 20 30 DGEDMN CC/C=C(/C)C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001317389314 1107301876 /nfs/dbraw/zinc/30/18/76/1107301876.db2.gz QKDLNDHMOMPHKQ-MECSIWFOSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H](C)c1ccccc1F ZINC001321294134 1107315431 /nfs/dbraw/zinc/31/54/31/1107315431.db2.gz LWXLFPWQAMOKCG-SZZPACECSA-N 0 1 324.827 3.334 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@@H](CO)c2ccc(F)cc2)C1 ZINC001261255556 1107375628 /nfs/dbraw/zinc/37/56/28/1107375628.db2.gz AGHQBXCFTDBFSV-XBMUEBEBSA-N 0 1 310.372 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn(CC)c2ccccc12 ZINC001321575259 1107384489 /nfs/dbraw/zinc/38/44/89/1107384489.db2.gz MNGWOIAWUFXMEL-CYBMUJFWSA-N 0 1 319.836 3.122 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001317446458 1107389979 /nfs/dbraw/zinc/38/99/79/1107389979.db2.gz FOXJHSJTJNQCDN-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN CCC(CC)(CC)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001317466330 1107422329 /nfs/dbraw/zinc/42/23/29/1107422329.db2.gz ODBFCLOLQZHPHI-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001317502617 1107462490 /nfs/dbraw/zinc/46/24/90/1107462490.db2.gz RYADXHFNKDPLQI-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2cccc(C)c2)CCC1 ZINC001321939033 1107467129 /nfs/dbraw/zinc/46/71/29/1107467129.db2.gz ORZNQEFEGUNHCO-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001317507767 1107468075 /nfs/dbraw/zinc/46/80/75/1107468075.db2.gz LLBXUTLDEMLJHN-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1ccccc1Cl ZINC001317622923 1107568492 /nfs/dbraw/zinc/56/84/92/1107568492.db2.gz FUSHNHYFXZLNSB-KRWDZBQOSA-N 0 1 308.853 3.490 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCCCCCC1)c1ccccc1 ZINC001317720181 1107621361 /nfs/dbraw/zinc/62/13/61/1107621361.db2.gz BBUVMPXIWDCLML-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)C1CCC1)c1ccccc1 ZINC001317721256 1107621403 /nfs/dbraw/zinc/62/14/03/1107621403.db2.gz CQBZSEKXUZOYRD-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](CC)CCC)c1ccccc1 ZINC001317726784 1107625012 /nfs/dbraw/zinc/62/50/12/1107625012.db2.gz CETTXKCJLDVWGK-FUHWJXTLSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3ccncc3c2)C1 ZINC001317769442 1107651498 /nfs/dbraw/zinc/65/14/98/1107651498.db2.gz DFORAQXLYWGYNM-LJQANCHMSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)c2ccco2)C1 ZINC001317769597 1107653552 /nfs/dbraw/zinc/65/35/52/1107653552.db2.gz GNLQCJCKKCPATA-CVEARBPZSA-N 0 1 304.434 3.272 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC001262264069 1107663359 /nfs/dbraw/zinc/66/33/59/1107663359.db2.gz IUCXJKHCYHUUFU-SECBINFHSA-N 0 1 306.316 3.122 20 30 DGEDMN CC(C)N(CCc1ccccc1)CC(=O)Nc1cccc(C#N)c1 ZINC001322762745 1107750503 /nfs/dbraw/zinc/75/05/03/1107750503.db2.gz RWRKQIJUAHIAHU-UHFFFAOYSA-N 0 1 321.424 3.450 20 30 DGEDMN CN1CCN(c2cccc(Cl)c2C=NOC(C)(C)C)CC1 ZINC001323131902 1107847116 /nfs/dbraw/zinc/84/71/16/1107847116.db2.gz NNUANVQZXPHMRF-UHFFFAOYSA-N 0 1 309.841 3.241 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001318150345 1107864683 /nfs/dbraw/zinc/86/46/83/1107864683.db2.gz NXZNPTUYFJXCJB-KRWDZBQOSA-N 0 1 306.475 3.207 20 30 DGEDMN C=CCn1c(C2=NC(=O)SC2)nnc1N1CCCC[C@H]1CC ZINC001262969201 1107890931 /nfs/dbraw/zinc/89/09/31/1107890931.db2.gz BHVNOEQUMWJZLF-LLVKDONJSA-N 0 1 319.434 3.062 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001323246496 1107901766 /nfs/dbraw/zinc/90/17/66/1107901766.db2.gz NSYKMAPMCWYPDC-JSGCOSHPSA-N 0 1 308.372 3.124 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001318255517 1107916783 /nfs/dbraw/zinc/91/67/83/1107916783.db2.gz SLIZXVKEECVMLX-STQMWFEESA-N 0 1 319.276 3.117 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ccccc2F)CC1 ZINC001323458208 1107980361 /nfs/dbraw/zinc/98/03/61/1107980361.db2.gz VWVGTKBOFNOXMT-UHFFFAOYSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001318406204 1107987746 /nfs/dbraw/zinc/98/77/46/1107987746.db2.gz FPONJCQNCDMWSE-KRWDZBQOSA-N 0 1 316.445 3.084 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001323525464 1108000757 /nfs/dbraw/zinc/00/07/57/1108000757.db2.gz NQXCHIJBSDIMAD-UHFFFAOYSA-N 0 1 304.409 3.229 20 30 DGEDMN C[C@H](NCc1cc(OCC(F)F)ccn1)c1cccc(C#N)c1 ZINC001323556985 1108010426 /nfs/dbraw/zinc/01/04/26/1108010426.db2.gz VPSXGSSIDRMBPR-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC001323555481 1108011725 /nfs/dbraw/zinc/01/17/25/1108011725.db2.gz QNVLVKRIOAPLPQ-CQSZACIVSA-N 0 1 320.864 3.480 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC[C@H]1CCC ZINC001263340446 1108021561 /nfs/dbraw/zinc/02/15/61/1108021561.db2.gz KVCYOANKLJBPKZ-CQSZACIVSA-N 0 1 314.437 3.322 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001318471068 1108033677 /nfs/dbraw/zinc/03/36/77/1108033677.db2.gz BWGMPFIWCICANH-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN CCC(CC)C(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000586044759 1108066794 /nfs/dbraw/zinc/06/67/94/1108066794.db2.gz LJBWIKTUCDWVBX-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NC/C(Cl)=C\Cl ZINC001318558219 1108095908 /nfs/dbraw/zinc/09/59/08/1108095908.db2.gz YVEYCIQQAWUFFN-YTRUQHMWSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C)cc1Cl ZINC001318574464 1108103999 /nfs/dbraw/zinc/10/39/99/1108103999.db2.gz CBOWCEBPOIBNIU-LBPRGKRZSA-N 0 1 315.244 3.499 20 30 DGEDMN CC[C@@H](C)n1nc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001263615801 1108109959 /nfs/dbraw/zinc/10/99/59/1108109959.db2.gz GGXVZPGOKYEDDQ-MWLCHTKSSA-N 0 1 319.796 3.040 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001323920348 1108121188 /nfs/dbraw/zinc/12/11/88/1108121188.db2.gz CFZJYDXNIFPCMD-ZDUSSCGKSA-N 0 1 319.449 3.217 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc3ccsc3[nH]2)C1 ZINC001323957923 1108129931 /nfs/dbraw/zinc/12/99/31/1108129931.db2.gz ITWJXKWUDMRCLK-LBPRGKRZSA-N 0 1 317.458 3.340 20 30 DGEDMN N#CC[C@H](OC(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC001263658852 1108144439 /nfs/dbraw/zinc/14/44/39/1108144439.db2.gz AFPUVIRDOJZVDE-HNNXBMFYSA-N 0 1 302.374 3.115 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](C)c1cnn(-c2ccc(F)cc2)c1C ZINC001324021233 1108149616 /nfs/dbraw/zinc/14/96/16/1108149616.db2.gz HJYGPOZHXVCEIX-WFASDCNBSA-N 0 1 303.381 3.171 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(c3ccccc3)CC2)C1 ZINC001324018337 1108150160 /nfs/dbraw/zinc/15/01/60/1108150160.db2.gz VKIXJUSEJAUJTN-INIZCTEOSA-N 0 1 312.457 3.216 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CC2CCC(OC)CC2)C1 ZINC001324028824 1108151174 /nfs/dbraw/zinc/15/11/74/1108151174.db2.gz CGMOQRMTXWRJMZ-KLHKWILBSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2coc(C)n2)CCCC[C@@H]1C ZINC001318743897 1108178198 /nfs/dbraw/zinc/17/81/98/1108178198.db2.gz SAZGPFYLFYWLPQ-KSSFIOAISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001324160662 1108193963 /nfs/dbraw/zinc/19/39/63/1108193963.db2.gz CCYPTWAIWNPYFT-HUUCEWRRSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cnc(C(C)C)[nH]1 ZINC001318801367 1108199215 /nfs/dbraw/zinc/19/92/15/1108199215.db2.gz OJIRJGKSUDTKIS-UHFFFAOYSA-N 0 1 308.385 3.233 20 30 DGEDMN C#CCN(C(=O)CCCC(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001324426892 1108284459 /nfs/dbraw/zinc/28/44/59/1108284459.db2.gz OMMLUNNPRGOSIK-QGZVFWFLSA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCCCC(=O)N1CC2(C[C@H]1C)CCN(CC(=C)Cl)CC2 ZINC001263937020 1108303370 /nfs/dbraw/zinc/30/33/70/1108303370.db2.gz RGNPAOIAWJYNTF-MRXNPFEDSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001324522414 1108328557 /nfs/dbraw/zinc/32/85/57/1108328557.db2.gz YESPWXIVRXVRRN-UXHICEINSA-N 0 1 322.452 3.070 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cccc(OC)c2)[C@@H]1C ZINC001264157521 1108404383 /nfs/dbraw/zinc/40/43/83/1108404383.db2.gz JEXVVOPHULGKMN-QAPCUYQASA-N 0 1 316.445 3.131 20 30 DGEDMN C=C[C@H](C(=O)N[C@@]1(C)CCN(C/C=C\Cl)C1)c1ccccc1 ZINC001324670917 1108416726 /nfs/dbraw/zinc/41/67/26/1108416726.db2.gz ACGLNFXRIYMCKJ-JTQKBSHLSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC1CC(c2ccccc2)C1 ZINC001264364884 1108472006 /nfs/dbraw/zinc/47/20/06/1108472006.db2.gz MLTQDYOOFLXIMP-NKELODKYSA-N 0 1 312.457 3.289 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001319454417 1108475974 /nfs/dbraw/zinc/47/59/74/1108475974.db2.gz NNWLCARKJMBLSJ-KBPBESRZSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CC1(c2ccccc2)CC1 ZINC001319467470 1108483496 /nfs/dbraw/zinc/48/34/96/1108483496.db2.gz UHTHIFIFGOFIHH-HNNXBMFYSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1F ZINC001264373006 1108484024 /nfs/dbraw/zinc/48/40/24/1108484024.db2.gz PTJXFKWFNMMDKS-NVXWUHKLSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC(C)(C)CNC(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001324888096 1108494240 /nfs/dbraw/zinc/49/42/40/1108494240.db2.gz CZICEWXLTDZKJM-CVEARBPZSA-N 0 1 319.424 3.082 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H]3CCCC[C@H]3C2)c1C#N ZINC001319503287 1108496603 /nfs/dbraw/zinc/49/66/03/1108496603.db2.gz ZNZXOTJZEHSKLJ-GJZGRUSLSA-N 0 1 312.413 3.357 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl ZINC001319512959 1108500969 /nfs/dbraw/zinc/50/09/69/1108500969.db2.gz XQCKCJKSJQXQDO-LAPSENCUSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl ZINC001319512960 1108501460 /nfs/dbraw/zinc/50/14/60/1108501460.db2.gz XQCKCJKSJQXQDO-WBOGTDJTSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@@H]1CCc2ccccc21 ZINC001319550018 1108520846 /nfs/dbraw/zinc/52/08/46/1108520846.db2.gz VCAFJIOJCLVRIG-ZBFHGGJFSA-N 0 1 320.864 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2cnc(C)o2)C1 ZINC001324957891 1108534229 /nfs/dbraw/zinc/53/42/29/1108534229.db2.gz OPZJQFQCTYPPKN-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CCCC)C1 ZINC001264596019 1108586003 /nfs/dbraw/zinc/58/60/03/1108586003.db2.gz FIRXNWFOKGJOQN-QZTJIDSGSA-N 0 1 318.436 3.476 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC(C2CCC2)C2CCC2)[C@H]1CC ZINC001264618849 1108595505 /nfs/dbraw/zinc/59/55/05/1108595505.db2.gz WGFLPSDZIISSER-RBUKOAKNSA-N 0 1 316.489 3.195 20 30 DGEDMN Cc1nccn1-c1ccncc1NC(=O)c1cccc(C#N)c1C ZINC001325216509 1108597378 /nfs/dbraw/zinc/59/73/78/1108597378.db2.gz BQOBNDKAQDWKAJ-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001264744303 1108642942 /nfs/dbraw/zinc/64/29/42/1108642942.db2.gz RIUJIKRNVIDDBL-BZSNNMDCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NC/C(Cl)=C\Cl)C(C)C ZINC001319918979 1108695527 /nfs/dbraw/zinc/69/55/27/1108695527.db2.gz YCAPLISPYINJFW-WHGQRRHOSA-N 0 1 307.265 3.392 20 30 DGEDMN CCN(CCCNC(=O)C#CC1CC1)Cc1sccc1Cl ZINC001265113203 1108738681 /nfs/dbraw/zinc/73/86/81/1108738681.db2.gz DLCQDQLLCXNJKF-UHFFFAOYSA-N 0 1 324.877 3.143 20 30 DGEDMN CC(C)=CC(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001265186420 1108763529 /nfs/dbraw/zinc/76/35/29/1108763529.db2.gz SGWHXLRFHSLCAO-UHFFFAOYSA-N 0 1 317.458 3.009 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@H](NC(=O)[C@H](C)C#N)C2)cc1 ZINC001265207781 1108775894 /nfs/dbraw/zinc/77/58/94/1108775894.db2.gz KZADAKWDQKZQCC-QAPCUYQASA-N 0 1 313.445 3.050 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2sc(C)nc2C)C1 ZINC001265215351 1108781288 /nfs/dbraw/zinc/78/12/88/1108781288.db2.gz YMFSYBXZHCMKIZ-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCCN(CC(=C)C)C2)CCCCC1 ZINC001265234811 1108794527 /nfs/dbraw/zinc/79/45/27/1108794527.db2.gz BQGWXNJBOCBIAQ-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ccc(F)cc2Cl)C1 ZINC001265422375 1108869722 /nfs/dbraw/zinc/86/97/22/1108869722.db2.gz KRMFYENKTINWIZ-AWEZNQCLSA-N 0 1 310.800 3.136 20 30 DGEDMN CCCC(C)(C)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001265444648 1108873945 /nfs/dbraw/zinc/87/39/45/1108873945.db2.gz ZVNCNCUSRABXJK-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](CC)OC1CCCCC1 ZINC001265645432 1108946213 /nfs/dbraw/zinc/94/62/13/1108946213.db2.gz PIHMNZYJHPSVFZ-SJLPKXTDSA-N 0 1 322.493 3.271 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C/C=C/Cc1ccccc1 ZINC001265646737 1108947381 /nfs/dbraw/zinc/94/73/81/1108947381.db2.gz FNZXTIFWKSLLCV-DUIUGDAFSA-N 0 1 324.468 3.169 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(CCOCC)CCCC1 ZINC001265655330 1108952830 /nfs/dbraw/zinc/95/28/30/1108952830.db2.gz FNLSQIVMUXOQLW-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001265655352 1108953144 /nfs/dbraw/zinc/95/31/44/1108953144.db2.gz GEPJTNAKQVOCQB-IRXDYDNUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001265671917 1108960629 /nfs/dbraw/zinc/96/06/29/1108960629.db2.gz QRHCHYFOTPWDND-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CNCc1nc(C)cs1 ZINC001265671103 1108960644 /nfs/dbraw/zinc/96/06/44/1108960644.db2.gz AZQKOOHPXUZINR-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCC(NCc2cscn2)CC1 ZINC001265740978 1108987939 /nfs/dbraw/zinc/98/79/39/1108987939.db2.gz XAUJLWNQQFTZFP-UHFFFAOYSA-N 0 1 321.490 3.262 20 30 DGEDMN C[C@H](CNC(=O)CC1(C)CCCC1)NCC#Cc1ccccc1 ZINC001265770391 1108999472 /nfs/dbraw/zinc/99/94/72/1108999472.db2.gz BWJBBOLIXHWRHJ-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](C)N[C@H](C)c1cccc(Cl)c1F ZINC001265773195 1109000881 /nfs/dbraw/zinc/00/08/81/1109000881.db2.gz QTQNCYIRMFFRDR-CHWSQXEVSA-N 0 1 324.827 3.294 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001266088236 1109085017 /nfs/dbraw/zinc/08/50/17/1109085017.db2.gz PKVKBVDOMZCGTC-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H]1CC1(C)C ZINC001279304309 1109146268 /nfs/dbraw/zinc/14/62/68/1109146268.db2.gz CEJVSBUPGNLACC-MRXNPFEDSA-N 0 1 320.864 3.403 20 30 DGEDMN CCCCC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001279539347 1109153764 /nfs/dbraw/zinc/15/37/64/1109153764.db2.gz CBMQIGMGFAPFDS-WOJBJXKFSA-N 0 1 324.468 3.294 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2=CCN(CCC(F)(F)F)CC2)C1 ZINC001279636474 1109159257 /nfs/dbraw/zinc/15/92/57/1109159257.db2.gz MKQOYILKMCPJLP-UHFFFAOYSA-N 0 1 316.367 3.043 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001280324201 1109185595 /nfs/dbraw/zinc/18/55/95/1109185595.db2.gz AMUORUUGXSLOAY-PMOLBWCYSA-N 0 1 324.468 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC[C@H](C)CC)C2)CC1 ZINC001280392032 1109197340 /nfs/dbraw/zinc/19/73/40/1109197340.db2.gz MTPBRGRXBNCPLH-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001280440570 1109203680 /nfs/dbraw/zinc/20/36/80/1109203680.db2.gz CRCWIWOJDORLOV-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C1 ZINC001280505532 1109215204 /nfs/dbraw/zinc/21/52/04/1109215204.db2.gz TVHQYYNODLMQLI-UHFFFAOYSA-N 0 1 322.452 3.023 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1cccc(F)c1 ZINC001316605389 1109240625 /nfs/dbraw/zinc/24/06/25/1109240625.db2.gz OOAIVADSERMWKC-PBHICJAKSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001280757126 1109248834 /nfs/dbraw/zinc/24/88/34/1109248834.db2.gz UAOGPEWTPSMGIS-AEFFLSMTSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)C3CCC3)cc2C1 ZINC001281292298 1109323960 /nfs/dbraw/zinc/32/39/60/1109323960.db2.gz AIXVTWCVBIROTK-OAHLLOKOSA-N 0 1 310.441 3.078 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)CCC ZINC001281776231 1109407212 /nfs/dbraw/zinc/40/72/12/1109407212.db2.gz KDWRLAIXHNBOOZ-VQIMIIECSA-N 0 1 312.457 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)C1CC1 ZINC001281776680 1109407345 /nfs/dbraw/zinc/40/73/45/1109407345.db2.gz ONNJZEBRBBJUQC-BEFAXECRSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)Cc1cnc(C)s1 ZINC001281940174 1109418311 /nfs/dbraw/zinc/41/83/11/1109418311.db2.gz PPKRPRUGVFLXPY-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001282169756 1109462001 /nfs/dbraw/zinc/46/20/01/1109462001.db2.gz XLNULBYQFYNMNO-VXGBXAGGSA-N 0 1 310.388 3.160 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1nc2ccccc2o1 ZINC001282181620 1109463942 /nfs/dbraw/zinc/46/39/42/1109463942.db2.gz BBHPHZZLLGWYFA-KGLIPLIRSA-N 0 1 315.417 3.167 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1nc2ccccc2o1 ZINC001282181624 1109464047 /nfs/dbraw/zinc/46/40/47/1109464047.db2.gz BBHPHZZLLGWYFA-ZIAGYGMSSA-N 0 1 315.417 3.167 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2ccccc2[nH]1 ZINC001282225653 1109470778 /nfs/dbraw/zinc/47/07/78/1109470778.db2.gz BEARUYPNKKQXEO-VXGBXAGGSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC(C)(C)CN[C@H](C)c1ncc(C)o1 ZINC001282306179 1109481479 /nfs/dbraw/zinc/48/14/79/1109481479.db2.gz FIQOKLINQYFJSP-OAHLLOKOSA-N 0 1 321.465 3.475 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001282478427 1109521921 /nfs/dbraw/zinc/52/19/21/1109521921.db2.gz QOGKHICNMSKMEF-OAHLLOKOSA-N 0 1 310.397 3.020 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CCC)c1ccccc1 ZINC001282485094 1109523667 /nfs/dbraw/zinc/52/36/67/1109523667.db2.gz JMCXWVZRGHTLJQ-FUHWJXTLSA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cccnc1C ZINC001282647536 1109542185 /nfs/dbraw/zinc/54/21/85/1109542185.db2.gz JLNKQRPNCQFDRE-AWEZNQCLSA-N 0 1 309.841 3.021 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(CC)CCCC1 ZINC001282678033 1109550120 /nfs/dbraw/zinc/55/01/20/1109550120.db2.gz MOFJNBKUWIVGJE-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(Cc2ncccc2C)CC1(C)C ZINC001282808975 1109568358 /nfs/dbraw/zinc/56/83/58/1109568358.db2.gz AVFXHEIELUMRFM-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC001282833162 1109572823 /nfs/dbraw/zinc/57/28/23/1109572823.db2.gz ISEFKMAPCCXECF-SJLPKXTDSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cccc(F)c1F ZINC001283618745 1109661764 /nfs/dbraw/zinc/66/17/64/1109661764.db2.gz LOGBOZZZDOBADJ-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001283677619 1109672287 /nfs/dbraw/zinc/67/22/87/1109672287.db2.gz JJRPXDOTWPQKNU-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)[C@@H]1C ZINC001283897199 1109695900 /nfs/dbraw/zinc/69/59/00/1109695900.db2.gz BWDXYFRBMDKIEF-SUMWQHHRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cnccc2C)[C@H]1C ZINC001284132276 1109744959 /nfs/dbraw/zinc/74/49/59/1109744959.db2.gz VMCGCROTHWPNIK-RDJZCZTQSA-N 0 1 315.461 3.071 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C(CC(C)C)CC(C)C)[C@H]1C ZINC001284149587 1109749539 /nfs/dbraw/zinc/74/95/39/1109749539.db2.gz XFRNZJVUSSAHPU-SJLPKXTDSA-N 0 1 306.494 3.297 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](C)CCCC)CC1(C)C ZINC001284296272 1109770880 /nfs/dbraw/zinc/77/08/80/1109770880.db2.gz OELAUUWHTUCHTG-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(Cl)cc1F)C(C)C ZINC001284545038 1109809058 /nfs/dbraw/zinc/80/90/58/1109809058.db2.gz WEWOJCWRSQYVMM-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001284632866 1109829306 /nfs/dbraw/zinc/82/93/06/1109829306.db2.gz UACYEUSHZAZWGE-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN CC#CCCCC(=O)NCC1=CCN(Cc2ccsc2)CC1 ZINC001284948198 1109884340 /nfs/dbraw/zinc/88/43/40/1109884340.db2.gz BKIHMKJWQQUZEA-UHFFFAOYSA-N 0 1 316.470 3.190 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc(F)c3Cl)[nH]c2c1 ZINC001287395167 1110111280 /nfs/dbraw/zinc/11/12/80/1110111280.db2.gz QUVZSNRATJZJMF-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4ncsc4c3)[nH]c2c1 ZINC001287395571 1110111373 /nfs/dbraw/zinc/11/13/73/1110111373.db2.gz ZXNBRWXXRSVRCI-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCCN3CCSC[C@H]23)CCCCC1 ZINC001288802752 1110196286 /nfs/dbraw/zinc/19/62/86/1110196286.db2.gz MBJVZGUQMKQYNN-HZPDHXFCSA-N 0 1 322.518 3.209 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001289643701 1110217008 /nfs/dbraw/zinc/21/70/08/1110217008.db2.gz IJCKIBNXRRQBIB-LLVKDONJSA-N 0 1 315.373 3.280 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C(=O)c1ccc(Cl)c(O)c1 ZINC001290763887 1110258041 /nfs/dbraw/zinc/25/80/41/1110258041.db2.gz RAYDNPZBJVDWTB-UHFFFAOYSA-N 0 1 300.745 3.189 20 30 DGEDMN COc1ccc(NC(=O)c2ncc3ccccc3c2O)cc1C#N ZINC001302692009 1111121713 /nfs/dbraw/zinc/12/17/13/1111121713.db2.gz BLMNVLXAYNODDU-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H](N(C)C/C=C\Cl)C1 ZINC001317377578 1111192371 /nfs/dbraw/zinc/19/23/71/1111192371.db2.gz UJYKIWLSLIBJFZ-MRDWYFFCSA-N 0 1 310.869 3.430 20 30 DGEDMN C=CC[C@@H](C(=O)NCCCCc1ccnc(N)c1)c1ccccc1 ZINC001306091731 1111218511 /nfs/dbraw/zinc/21/85/11/1111218511.db2.gz UNCFMFIJWNLRPQ-GOSISDBHSA-N 0 1 323.440 3.463 20 30 DGEDMN C#CCCCC(=O)Nc1ccc2nc(-c3ccncc3)[nH]c2c1 ZINC001306892075 1111247337 /nfs/dbraw/zinc/24/73/37/1111247337.db2.gz BCADIVREPQXGBS-UHFFFAOYSA-N 0 1 304.353 3.367 20 30 DGEDMN C[C@H]1CCCC[C@@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001306906834 1111248680 /nfs/dbraw/zinc/24/86/80/1111248680.db2.gz HFKXDVFWRHJJSP-GXTWGEPZSA-N 0 1 323.400 3.498 20 30 DGEDMN CN(Cc1cc(Cl)cc(C#N)c1)[C@@H](CO)c1ccccc1 ZINC001307453527 1111301059 /nfs/dbraw/zinc/30/10/59/1111301059.db2.gz ZUKIYDSGZDXZQC-KRWDZBQOSA-N 0 1 300.789 3.377 20 30 DGEDMN C[C@H]1CCN(CC#Cc2ccccc2)C[C@@H]1C(=O)OC(C)(C)C ZINC001308836517 1111481644 /nfs/dbraw/zinc/48/16/44/1111481644.db2.gz NVKQWQMDJZHZSB-WMZOPIPTSA-N 0 1 313.441 3.338 20 30 DGEDMN C[C@@H]1CCN(CC#Cc2ccccc2)C[C@H]1C(=O)OC(C)(C)C ZINC001308836516 1111481748 /nfs/dbraw/zinc/48/17/48/1111481748.db2.gz NVKQWQMDJZHZSB-SJLPKXTDSA-N 0 1 313.441 3.338 20 30 DGEDMN CCCCN(C(=O)NCC#CCN(C)C)[C@H](C)c1ccc(C)o1 ZINC001313439077 1111716452 /nfs/dbraw/zinc/71/64/52/1111716452.db2.gz SHOYIPODCWUHJS-MRXNPFEDSA-N 0 1 319.449 3.026 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1nc(C)cs1 ZINC001316873206 1111787275 /nfs/dbraw/zinc/78/72/75/1111787275.db2.gz OCXCAILUBCFLGP-GJZGRUSLSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000272853626 210250017 /nfs/dbraw/zinc/25/00/17/210250017.db2.gz LMBVGAXCNYCCLG-JTQLQIEISA-N 0 1 322.257 3.245 20 30 DGEDMN CCn1nccc1C1CCN(Cc2ccc(OC)c(C#N)c2)CC1 ZINC000278758986 214381019 /nfs/dbraw/zinc/38/10/19/214381019.db2.gz BLWCHFCGPUGZET-UHFFFAOYSA-N 0 1 324.428 3.163 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000092731605 185336360 /nfs/dbraw/zinc/33/63/60/185336360.db2.gz DGHRTGIMHINVDW-DZGCQCFKSA-N 0 1 319.474 3.137 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000092731608 185336467 /nfs/dbraw/zinc/33/64/67/185336467.db2.gz DGHRTGIMHINVDW-ZFWWWQNUSA-N 0 1 319.474 3.137 20 30 DGEDMN CN(CC#Cc1ccc(Cl)cc1)C[C@@H](O)Cc1ccccc1 ZINC000433060613 529823027 /nfs/dbraw/zinc/82/30/27/529823027.db2.gz ZIRGXVYMNNNPKT-IBGZPJMESA-N 0 1 313.828 3.227 20 30 DGEDMN CN(CC(=O)N(CCC#N)c1cccc(Cl)c1)C1CCC1 ZINC000173913664 529826231 /nfs/dbraw/zinc/82/62/31/529826231.db2.gz WVQJJSSIWWBGFT-UHFFFAOYSA-N 0 1 305.809 3.071 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000353259931 282362187 /nfs/dbraw/zinc/36/21/87/282362187.db2.gz HWPDXEKFTIMQRD-KRWDZBQOSA-N 0 1 313.445 3.049 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)NC)cc1)[C@@H]1CCc2ccccc21 ZINC000066871918 432023948 /nfs/dbraw/zinc/02/39/48/432023948.db2.gz IWJAJRCWZWNLCW-HXUWFJFHSA-N 0 1 318.420 3.169 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)C=Cc2ccc(C#N)cc2)n[nH]1 ZINC000277174492 213344633 /nfs/dbraw/zinc/34/46/33/213344633.db2.gz YHKQFMQKKZZZBU-CCJARXIYSA-N 0 1 323.400 3.080 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000172748581 198144661 /nfs/dbraw/zinc/14/46/61/198144661.db2.gz QUEMVALCSSLCCC-GOSISDBHSA-N 0 1 321.380 3.015 20 30 DGEDMN CC(C)C[C@@H](CNC(=O)OC(C)(C)C)NCc1ccc(C#N)o1 ZINC000174377237 198326709 /nfs/dbraw/zinc/32/67/09/198326709.db2.gz FAIPTLXUNKMRPH-ZDUSSCGKSA-N 0 1 321.421 3.180 20 30 DGEDMN N#Cc1ccc(NCCN2CCCCC2)c(Br)c1 ZINC000168548755 432069168 /nfs/dbraw/zinc/06/91/68/432069168.db2.gz CGQDIVGLVWIFGM-UHFFFAOYSA-N 0 1 308.223 3.219 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)s1 ZINC000180603722 199183047 /nfs/dbraw/zinc/18/30/47/199183047.db2.gz HYCXMFPOBSTOQH-SWLSCSKDSA-N 0 1 302.399 3.301 20 30 DGEDMN Cc1cccc(CN(C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)c1C ZINC000428721959 238029410 /nfs/dbraw/zinc/02/94/10/238029410.db2.gz VGVNKMXKVFQVQF-LPHOPBHVSA-N 0 1 315.461 3.178 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3ccccc3Cl)C2)nc1 ZINC000428780461 238031223 /nfs/dbraw/zinc/03/12/23/238031223.db2.gz MQRLZQNQHQUITP-OAHLLOKOSA-N 0 1 313.788 3.260 20 30 DGEDMN COc1cc(Cl)c(C)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000189794387 432091327 /nfs/dbraw/zinc/09/13/27/432091327.db2.gz AAWAVFGBHPAVGL-UHFFFAOYSA-N 0 1 316.744 3.487 20 30 DGEDMN COCCN(Cc1ccccc1)Cc1ccc(OC)c(C#N)c1 ZINC000134966115 432092381 /nfs/dbraw/zinc/09/23/81/432092381.db2.gz LEUYTTNYUZYPSJ-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN CC(C)(C)OC(=O)[C@]12CCC[C@H]1CN(Cc1ccc(C#N)o1)C2 ZINC000281404528 216276492 /nfs/dbraw/zinc/27/64/92/216276492.db2.gz XSPXGTKTKYGYGF-UGSOOPFHSA-N 0 1 316.401 3.095 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(C#N)nc2)cc(C)c1Cl ZINC000442246641 239088881 /nfs/dbraw/zinc/08/88/81/239088881.db2.gz DBNUAQMSHTVTAY-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN N#C[C@H]1CC[C@H](Nc2ccccc2CN2CCSCC2)C1 ZINC000442863548 239139560 /nfs/dbraw/zinc/13/95/60/239139560.db2.gz XQRBIHOVOVVQBS-HOCLYGCPSA-N 0 1 301.459 3.340 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CNCc1ccc(C#N)c(C)c1 ZINC000443973743 239244932 /nfs/dbraw/zinc/24/49/32/239244932.db2.gz ZVLDKTGLWWQSTO-UHFFFAOYSA-N 0 1 307.397 3.212 20 30 DGEDMN C[C@H](N[C@H]1CCN(Cc2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000271832327 209252847 /nfs/dbraw/zinc/25/28/47/209252847.db2.gz RAQUHWTUKURQDS-KXBFYZLASA-N 0 1 319.408 3.010 20 30 DGEDMN COc1cc(CNCc2ccc3c(n2)CCCC3)ccc1C#N ZINC000281759195 216525578 /nfs/dbraw/zinc/52/55/78/216525578.db2.gz RNVCEGWAASSEPF-UHFFFAOYSA-N 0 1 307.397 3.130 20 30 DGEDMN CC(C)OCCOC1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000449729731 240387396 /nfs/dbraw/zinc/38/73/96/240387396.db2.gz OAPSQQBGTCPYEH-UHFFFAOYSA-N 0 1 320.408 3.103 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CCc3cc(F)ccc3C2)cc1 ZINC000091891286 193123512 /nfs/dbraw/zinc/12/35/12/193123512.db2.gz VWSYTASXSZLJJM-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1scnc1C(C)C ZINC000451102665 241006776 /nfs/dbraw/zinc/00/67/76/241006776.db2.gz KNMUUBSTMRPKCI-UHFFFAOYSA-N 0 1 321.427 3.247 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2Br)C[C@@H]1C ZINC000451561578 241174285 /nfs/dbraw/zinc/17/42/85/241174285.db2.gz IUGCEKRIFHDJFB-XHDPSFHLSA-N 0 1 323.234 3.178 20 30 DGEDMN CC(C)OCCOC1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000451949535 241280500 /nfs/dbraw/zinc/28/05/00/241280500.db2.gz CSPCNDBZRIGRFE-UHFFFAOYSA-N 0 1 320.408 3.103 20 30 DGEDMN Cc1oc(NC(=O)CN[C@@H](C)c2cccc(C)c2)c(C#N)c1C ZINC000452075509 241309402 /nfs/dbraw/zinc/30/94/02/241309402.db2.gz CAYWDEBLUPJEAS-ZDUSSCGKSA-N 0 1 311.385 3.366 20 30 DGEDMN C=C(Cl)CNCc1cn(CCC#N)nc1-c1ccccc1 ZINC000268636195 432113453 /nfs/dbraw/zinc/11/34/53/432113453.db2.gz GEDRYTTVSPXFBC-UHFFFAOYSA-N 0 1 300.793 3.306 20 30 DGEDMN CC[C@](C)(NC[C@@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000268636147 432113004 /nfs/dbraw/zinc/11/30/04/432113004.db2.gz GBDXTMPHMZUUDP-WBVHZDCISA-N 0 1 315.442 3.272 20 30 DGEDMN CC1(C)[C@@H]2CN(Cc3ccc(C#N)cc3Br)C[C@@H]21 ZINC000181120597 432113987 /nfs/dbraw/zinc/11/39/87/432113987.db2.gz BBHQLXIIPMWFQI-BETUJISGSA-N 0 1 305.219 3.409 20 30 DGEDMN CC[C@@](C)(NC[C@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000268636144 432114132 /nfs/dbraw/zinc/11/41/32/432114132.db2.gz GBDXTMPHMZUUDP-DOTOQJQBSA-N 0 1 315.442 3.272 20 30 DGEDMN C=CCOCCC[N@@H+]1C[C@H](C2CCCCC2)C[C@@H]1C(=O)OC ZINC000470766555 244185618 /nfs/dbraw/zinc/18/56/18/244185618.db2.gz RIJLEDIXQZHEDD-IAGOWNOFSA-N 0 1 309.450 3.023 20 30 DGEDMN COc1cc(C#N)ccc1CN(Cc1ccccc1)[C@H](C)CCO ZINC000093304267 193227109 /nfs/dbraw/zinc/22/71/09/193227109.db2.gz VMRKHPOXIFDXSQ-MRXNPFEDSA-N 0 1 324.424 3.340 20 30 DGEDMN C[C@H]1C[C@H](Nc2ccc(C#N)cc2Br)CN1C1CC1 ZINC000122869066 284227699 /nfs/dbraw/zinc/22/76/99/284227699.db2.gz IEUAQEYGAOPRLU-JQWIXIFHSA-N 0 1 320.234 3.358 20 30 DGEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CC[C@@H](c3cccc(F)c3)C2)cc1 ZINC000268704576 432123646 /nfs/dbraw/zinc/12/36/46/432123646.db2.gz RYHCGYVNYVGSKL-MJGOQNOKSA-N 0 1 310.372 3.220 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2[nH]nc3c2CCCCC3)cc1 ZINC000489948712 245365323 /nfs/dbraw/zinc/36/53/23/245365323.db2.gz BUACWCRNKYVMSP-KRWDZBQOSA-N 0 1 322.412 3.236 20 30 DGEDMN CC[C@H]1CC[N@H+]([C@@H](C)C(=O)Nc2sc3c(c2C#N)CCC3)C1 ZINC000125653961 284280148 /nfs/dbraw/zinc/28/01/48/284280148.db2.gz SOTKTFAMCPAZKZ-RYUDHWBXSA-N 0 1 317.458 3.167 20 30 DGEDMN CC[C@H]1CCN([C@@H](C)C(=O)Nc2sc3c(c2C#N)CCC3)C1 ZINC000125653961 284280152 /nfs/dbraw/zinc/28/01/52/284280152.db2.gz SOTKTFAMCPAZKZ-RYUDHWBXSA-N 0 1 317.458 3.167 20 30 DGEDMN C[C@@H](NCC(=O)N(C1CC1)C1CCCC1)c1ccc(C#N)cc1 ZINC000271722019 209156635 /nfs/dbraw/zinc/15/66/35/209156635.db2.gz XZZHFEMLXQFYPC-CQSZACIVSA-N 0 1 311.429 3.142 20 30 DGEDMN COc1cc(CN(Cc2cccc(C#N)c2)C2CC2)ccc1O ZINC000171374351 432126979 /nfs/dbraw/zinc/12/69/79/432126979.db2.gz UFSCCZOAICDDDI-UHFFFAOYSA-N 0 1 308.381 3.437 20 30 DGEDMN Cc1c(Cl)cccc1NS(=O)(=O)c1ccccc1C#N ZINC000016101617 182034380 /nfs/dbraw/zinc/03/43/80/182034380.db2.gz OBAWLCQGJBAXFJ-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN CC#CCCN(CCOC)Cc1ccc(Br)s1 ZINC000494097728 246112585 /nfs/dbraw/zinc/11/25/85/246112585.db2.gz ISLPOUYFZBIGCH-UHFFFAOYSA-N 0 1 316.264 3.372 20 30 DGEDMN C=CCC[C@H](O)CN1CCc2c(Br)cc(C)cc2C1 ZINC000494423980 246146882 /nfs/dbraw/zinc/14/68/82/246146882.db2.gz GZBYZNZUEDHBDB-AWEZNQCLSA-N 0 1 324.262 3.443 20 30 DGEDMN CN(CC1(C#N)CC1)C1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000494398846 246143346 /nfs/dbraw/zinc/14/33/46/246143346.db2.gz HYZDKYIFPBOVDY-YIONKMFJSA-N 0 1 319.449 3.152 20 30 DGEDMN C[C@H](NCCN(C)C(=O)OC(C)(C)C)c1ccc(C#N)cc1F ZINC000495222804 246248160 /nfs/dbraw/zinc/24/81/60/246248160.db2.gz QZSFMIDNYOSBNE-LBPRGKRZSA-N 0 1 321.396 3.215 20 30 DGEDMN C[C@@H](C#N)CN(C)CC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000496411719 246392366 /nfs/dbraw/zinc/39/23/66/246392366.db2.gz RAHHHHHJBBYZJI-GJZGRUSLSA-N 0 1 309.454 3.115 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2sccc2C#N)C1=O)c1cccs1 ZINC000267691756 206221612 /nfs/dbraw/zinc/22/16/12/206221612.db2.gz ONUBWUZQEQYDDV-PWSUYJOCSA-N 0 1 317.439 3.137 20 30 DGEDMN CC1CCN(c2ccc(CNCc3cccc(C#N)c3)cn2)CC1 ZINC000061075366 184161506 /nfs/dbraw/zinc/16/15/06/184161506.db2.gz VPOKHJMJDYNRMA-UHFFFAOYSA-N 0 1 320.440 3.479 20 30 DGEDMN Cc1ccc2cccc(NS(=O)(=O)c3ccc(C#N)cc3)c2n1 ZINC000066235517 184398331 /nfs/dbraw/zinc/39/83/31/184398331.db2.gz UCWMNXJLGHUVCE-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CC[C@H](c2ccccc2)C1 ZINC000120161836 195109217 /nfs/dbraw/zinc/10/92/17/195109217.db2.gz ZBSIUCGULPQLQX-QAPCUYQASA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccc(C#N)cc1 ZINC000338357716 253271730 /nfs/dbraw/zinc/27/17/30/253271730.db2.gz KZPZOBMWXHGAIA-CVEARBPZSA-N 0 1 321.424 3.428 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc(F)c(F)c1)c1ccc(C#N)cc1 ZINC000338365324 253274337 /nfs/dbraw/zinc/27/43/37/253274337.db2.gz MUGQFVFBJQDAGH-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN Cc1nc2c(cccc2C(=O)N(C)Cc2ccc(C#N)cc2)[nH]1 ZINC000338504668 253300258 /nfs/dbraw/zinc/30/02/58/253300258.db2.gz GBOONDJYRMDMDY-UHFFFAOYSA-N 0 1 304.353 3.015 20 30 DGEDMN COCC1(C#N)CCN(Cc2cc(F)cc3cccnc32)CC1 ZINC000341988603 533111016 /nfs/dbraw/zinc/11/10/16/533111016.db2.gz JDWGZSDARFYZTD-UHFFFAOYSA-N 0 1 313.376 3.126 20 30 DGEDMN COCC1(C#N)CCN(CCOc2ccc3ccccc3c2)CC1 ZINC000341999902 533111830 /nfs/dbraw/zinc/11/18/30/533111830.db2.gz VRKDBCDPORLOTD-UHFFFAOYSA-N 0 1 324.424 3.471 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CCc2cccc(F)c2C1 ZINC000157872379 197222218 /nfs/dbraw/zinc/22/22/18/197222218.db2.gz FKTOYOSACLNOMX-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN CC[C@H](CO)N(Cc1ccccc1)Cc1ccc(C#N)cc1OC ZINC000342634125 254022485 /nfs/dbraw/zinc/02/24/85/254022485.db2.gz OOTDFHOFTRIEHC-LJQANCHMSA-N 0 1 324.424 3.340 20 30 DGEDMN CC[C@@H](CO)N(Cc1ccccc1)Cc1cc(C#N)ccc1OC ZINC000342650675 254025993 /nfs/dbraw/zinc/02/59/93/254025993.db2.gz YFZZNHNNJKLNDF-IBGZPJMESA-N 0 1 324.424 3.340 20 30 DGEDMN C[C@H]1CCC[C@H](C)N1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000342684493 254032485 /nfs/dbraw/zinc/03/24/85/254032485.db2.gz GCFASBOFHCIMBZ-RYUDHWBXSA-N 0 1 305.809 3.413 20 30 DGEDMN N#Cc1ccnc(N2CCN(C3CCCCC3)CC2)c1Cl ZINC000342703464 254035621 /nfs/dbraw/zinc/03/56/21/254035621.db2.gz KAUCZHYNBYVVNE-UHFFFAOYSA-N 0 1 304.825 3.061 20 30 DGEDMN N#Cc1cccc(CCN[C@@H](c2ccccc2)c2ccncn2)c1 ZINC000343601636 254165931 /nfs/dbraw/zinc/16/59/31/254165931.db2.gz MKSUCKHTDVXBRP-FQEVSTJZSA-N 0 1 314.392 3.270 20 30 DGEDMN CCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000343561511 254160763 /nfs/dbraw/zinc/16/07/63/254160763.db2.gz YTCZEIGSYZXYKU-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN CCCN(CCN1CCCCC1)c1nccc(C#N)c1Cl ZINC000343363735 254132301 /nfs/dbraw/zinc/13/23/01/254132301.db2.gz WQNNUPFLAABPAQ-UHFFFAOYSA-N 0 1 306.841 3.309 20 30 DGEDMN N#Cc1ccc(CNC[C@H]2CCC[N@@H+]2Cc2ccccc2)c(F)c1 ZINC000344406140 254266902 /nfs/dbraw/zinc/26/69/02/254266902.db2.gz BJGZTNJMZQOEFQ-LJQANCHMSA-N 0 1 323.415 3.452 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC000156615273 197127273 /nfs/dbraw/zinc/12/72/73/197127273.db2.gz MCJPMXKGFVBCCU-MRXNPFEDSA-N 0 1 300.402 3.112 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c(C)c1 ZINC000271646817 209091506 /nfs/dbraw/zinc/09/15/06/209091506.db2.gz IFWGXKXOKXYFNA-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN COc1ccc(-n2ccc(CN[C@@H]3CCC[C@@H](C#N)C3)n2)cc1 ZINC000185821445 533214846 /nfs/dbraw/zinc/21/48/46/533214846.db2.gz GZODQUGDPAGPFU-HUUCEWRRSA-N 0 1 310.401 3.053 20 30 DGEDMN COc1ccc([N+](=O)[O-])cc1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000353430890 533222281 /nfs/dbraw/zinc/22/22/81/533222281.db2.gz WWRKYXRWQXWMIV-QGZVFWFLSA-N 0 1 323.352 3.252 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@H](c2ccccc2)C1 ZINC000324241565 533333713 /nfs/dbraw/zinc/33/37/13/533333713.db2.gz AWWPKUWORUGEAJ-YJBOKZPZSA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1cc(F)c(N[C@H]2CCN(C3CC3)C2)cc1Br ZINC000311463229 400134615 /nfs/dbraw/zinc/13/46/15/400134615.db2.gz GRKZGLILJIJJTQ-JTQLQIEISA-N 0 1 324.197 3.108 20 30 DGEDMN Cc1nc2ccc(NC(=O)[C@H](C)Oc3ccc(C#N)cc3)cc2[nH]1 ZINC000010895883 400138214 /nfs/dbraw/zinc/13/82/14/400138214.db2.gz YLHHOKOUXWDEMY-NSHDSACASA-N 0 1 320.352 3.149 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cccc2c1CCCC2 ZINC000016130721 400170992 /nfs/dbraw/zinc/17/09/92/400170992.db2.gz LOMQSAVHVHRKMX-UHFFFAOYSA-N 0 1 312.394 3.238 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000595658623 400221004 /nfs/dbraw/zinc/22/10/04/400221004.db2.gz GETGQWHGKFXTNR-KRWDZBQOSA-N 0 1 311.429 3.221 20 30 DGEDMN C[C@@H](CO)[C@@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000595680374 400225541 /nfs/dbraw/zinc/22/55/41/400225541.db2.gz ZYROTWFLJIJBBY-WFASDCNBSA-N 0 1 324.371 3.143 20 30 DGEDMN C[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)[C@H]1CCO ZINC000595695325 400231256 /nfs/dbraw/zinc/23/12/56/400231256.db2.gz GRMZBYKREGRXJZ-DOMZBBRYSA-N 0 1 324.371 3.143 20 30 DGEDMN C=CCCSCCNCc1ccncc1Br ZINC000601131192 400243210 /nfs/dbraw/zinc/24/32/10/400243210.db2.gz GIXBAIRPRDNPTI-UHFFFAOYSA-N 0 1 301.253 3.243 20 30 DGEDMN COC(=O)[C@@H]1CCN(Cc2ccccc2C#N)[C@@H]2CCCC[C@H]12 ZINC000595732953 400245635 /nfs/dbraw/zinc/24/56/35/400245635.db2.gz KPXJWODFLSRKPU-KZNAEPCWSA-N 0 1 312.413 3.112 20 30 DGEDMN N#Cc1ccsc1NC(=O)CCN1CCc2sccc2C1 ZINC000021418965 400194034 /nfs/dbraw/zinc/19/40/34/400194034.db2.gz RVXWJHQSLNKPLM-UHFFFAOYSA-N 0 1 317.439 3.068 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1C#N)[C@H](CO)Cc1ccccc1 ZINC000595592798 400196173 /nfs/dbraw/zinc/19/61/73/400196173.db2.gz QPHNKLXVBTZRSI-SFHVURJKSA-N 0 1 314.816 3.247 20 30 DGEDMN CN(Cc1ccc(Cl)cc1C#N)[C@H](CO)Cc1ccccc1 ZINC000595592798 400196174 /nfs/dbraw/zinc/19/61/74/400196174.db2.gz QPHNKLXVBTZRSI-SFHVURJKSA-N 0 1 314.816 3.247 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc2c(c1)OCCO2 ZINC000022775162 400204891 /nfs/dbraw/zinc/20/48/91/400204891.db2.gz CJUQYUCMQPFMJD-OAHLLOKOSA-N 0 1 323.392 3.321 20 30 DGEDMN C=CCC[C@H](Nc1cc(-n2cccn2)nc(N)n1)c1ccccc1 ZINC000092923668 400212178 /nfs/dbraw/zinc/21/21/78/400212178.db2.gz BPQPRDUFGJTWJQ-HNNXBMFYSA-N 0 1 320.400 3.364 20 30 DGEDMN COC(=O)c1cc(CNC(C)(C)c2cccc(C#N)c2)cs1 ZINC000595632553 400212510 /nfs/dbraw/zinc/21/25/10/400212510.db2.gz FOTRWZIKQVSEFW-UHFFFAOYSA-N 0 1 314.410 3.431 20 30 DGEDMN C=CCN(Cc1ccc([N+](=O)[O-])c(OCC)c1)[C@@H](C)COC ZINC000595639308 400215057 /nfs/dbraw/zinc/21/50/57/400215057.db2.gz SFRFJMAMHUIYNF-ZDUSSCGKSA-N 0 1 308.378 3.016 20 30 DGEDMN CN(Cc1ccccc1)C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595639021 400215212 /nfs/dbraw/zinc/21/52/12/400215212.db2.gz DOTFFZCZLQZEHB-UHFFFAOYSA-N 0 1 321.424 3.042 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@@H](C#N)C2CCCCC2)o1 ZINC000610502051 400265120 /nfs/dbraw/zinc/26/51/20/400265120.db2.gz KSESOSZHTZHHDT-INIZCTEOSA-N 0 1 317.433 3.324 20 30 DGEDMN C[C@H](NCc1c(C#N)cccc1[N+](=O)[O-])C1CCC(F)CC1 ZINC000595822672 400271357 /nfs/dbraw/zinc/27/13/57/400271357.db2.gz ZWVGCERPJRSXAA-DCBWTQNWSA-N 0 1 305.353 3.473 20 30 DGEDMN CCC(CC)CCCN(C)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000595835822 400275573 /nfs/dbraw/zinc/27/55/73/400275573.db2.gz VSWVXWVUUCPDRB-KRWDZBQOSA-N 0 1 301.434 3.233 20 30 DGEDMN C[C@]1(C#N)CCN(CCC(=O)Nc2cccc3ccccc32)C1 ZINC000595841217 400279651 /nfs/dbraw/zinc/27/96/51/400279651.db2.gz TVCMDUQXQPZMFI-LJQANCHMSA-N 0 1 307.397 3.404 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@]1(C)CO ZINC000595859901 400282148 /nfs/dbraw/zinc/28/21/48/400282148.db2.gz CRAXSRCABZXHSM-XHDPSFHLSA-N 0 1 312.335 3.027 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N(C)C[C@H]2CC2(C)C)c(C#N)c1C ZINC000595909067 400291982 /nfs/dbraw/zinc/29/19/82/400291982.db2.gz LQHFBIIJZVTYDD-WCQYABFASA-N 0 1 303.406 3.073 20 30 DGEDMN CN(CC(=O)Nc1cc(Cl)ccc1C#N)C[C@H]1CC1(C)C ZINC000595912395 400293884 /nfs/dbraw/zinc/29/38/84/400293884.db2.gz LCDUWNHUVKOVRB-GFCCVEGCSA-N 0 1 305.809 3.128 20 30 DGEDMN Cc1nccc([C@H]2CCCN(Cc3ccc(F)c(C#N)c3)C2)n1 ZINC000610719335 400296183 /nfs/dbraw/zinc/29/61/83/400296183.db2.gz VWBIGCCGAOVXSU-HNNXBMFYSA-N 0 1 310.376 3.175 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCc2ccccc2[C@H]1C(C)C ZINC000610788123 400306294 /nfs/dbraw/zinc/30/62/94/400306294.db2.gz XSFXHBSAASLIOU-LJQANCHMSA-N 0 1 313.445 3.004 20 30 DGEDMN C=C(C)CN(CC)C(=O)NCCC[N@@H+](C)Cc1ccccc1 ZINC000072482352 400381068 /nfs/dbraw/zinc/38/10/68/400381068.db2.gz GXNNNSJVZUDSEJ-UHFFFAOYSA-N 0 1 303.450 3.116 20 30 DGEDMN C[C@@H]1CCc2c1[nH]n(-c1ccc(C#N)c(C(F)(F)F)c1)c2=O ZINC000601938793 400381273 /nfs/dbraw/zinc/38/12/73/400381273.db2.gz JMPWEKCLBIVFSL-LDYMZIIASA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1ncc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C#N)s1 ZINC000601938133 400381292 /nfs/dbraw/zinc/38/12/92/400381292.db2.gz XBNQXKDRCIWWSL-WDEREUQCSA-N 0 1 314.414 3.001 20 30 DGEDMN C[C@H]1CCc2[nH]n(-c3ccc(C#N)c(C(F)(F)F)c3)c(=O)c21 ZINC000601939535 400381681 /nfs/dbraw/zinc/38/16/81/400381681.db2.gz LUUMWUFCQFQYRE-ISVAXAHUSA-N 0 1 307.275 3.326 20 30 DGEDMN C[C@H]1CCc2[nH]n(-c3cc(C#N)ccc3Br)c(=O)c21 ZINC000601948588 400384953 /nfs/dbraw/zinc/38/49/53/400384953.db2.gz PRLBTYFMEJJSPO-ISVAXAHUSA-N 0 1 318.174 3.069 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)cc1C ZINC000611387453 400389617 /nfs/dbraw/zinc/38/96/17/400389617.db2.gz OOPWVLUMBFTJRQ-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN CCN(C(=O)CN[C@@H](C)c1cccc(C#N)c1)C1CCCCC1 ZINC000611388994 400389916 /nfs/dbraw/zinc/38/99/16/400389916.db2.gz ORRATNSZNNMWBX-HNNXBMFYSA-N 0 1 313.445 3.390 20 30 DGEDMN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611387381 400390245 /nfs/dbraw/zinc/39/02/45/400390245.db2.gz MOYVQLDCAOHOEH-GJZGRUSLSA-N 0 1 301.434 3.102 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2ccc(C#N)c(F)c2)o1 ZINC000589799775 400330394 /nfs/dbraw/zinc/33/03/94/400330394.db2.gz SJYQBSQWDPYFPA-UHFFFAOYSA-N 0 1 315.348 3.384 20 30 DGEDMN C=CCC1(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCCC1 ZINC000578599413 400330645 /nfs/dbraw/zinc/33/06/45/400330645.db2.gz RKSYDLXRVFQQCX-UHFFFAOYSA-N 0 1 310.401 3.481 20 30 DGEDMN COc1ccc(C)cc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC000581263052 400352325 /nfs/dbraw/zinc/35/23/25/400352325.db2.gz ZKLGXFSBZDRQPE-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N[C@H](C#N)CC(C)C)c1ccccc1 ZINC000611251535 400365211 /nfs/dbraw/zinc/36/52/11/400365211.db2.gz VRTMCLSGCFLPJG-DLBZAZTESA-N 0 1 301.434 3.124 20 30 DGEDMN CCN(CC)[C@H](C(=O)N[C@H](C#N)CC(C)C)c1ccccc1 ZINC000611251537 400365542 /nfs/dbraw/zinc/36/55/42/400365542.db2.gz VRTMCLSGCFLPJG-IRXDYDNUSA-N 0 1 301.434 3.124 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000032616937 400370754 /nfs/dbraw/zinc/37/07/54/400370754.db2.gz HROBIKBITXSNNZ-UHFFFAOYSA-N 0 1 316.364 3.221 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)C(=O)[C@@H]1CCCCN1C ZINC000602083986 400409925 /nfs/dbraw/zinc/40/99/25/400409925.db2.gz CQKVTNDWCFXPKI-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN COc1cccc2c1CN(CCOc1cccc(C#N)c1)CC2 ZINC000590759947 400430066 /nfs/dbraw/zinc/43/00/66/400430066.db2.gz VWRCDKYGASNKKV-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC[C@H](C)C1 ZINC000073600632 400458581 /nfs/dbraw/zinc/45/85/81/400458581.db2.gz WBXJNTRKZITYSZ-GJZGRUSLSA-N 0 1 317.408 3.193 20 30 DGEDMN C=C[C@](C)(O)C(=O)Nc1ccc2nc(C3CCCCC3)[nH]c2c1 ZINC000611832046 400456665 /nfs/dbraw/zinc/45/66/65/400456665.db2.gz MCCBSIPPBAWGEJ-SFHVURJKSA-N 0 1 313.401 3.486 20 30 DGEDMN CCOC(=O)c1ccc(CN[C@@H](CCC#N)c2ccccc2)[nH]1 ZINC000602580387 400503215 /nfs/dbraw/zinc/50/32/15/400503215.db2.gz GDDPHPPBVXZWIX-INIZCTEOSA-N 0 1 311.385 3.326 20 30 DGEDMN C[C@H](CCC#N)CN[C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000602593137 400507677 /nfs/dbraw/zinc/50/76/77/400507677.db2.gz LFWZIDFACHVUKU-CZUORRHYSA-N 0 1 300.381 3.178 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H](c3nc4ccccc4o3)C2)n1 ZINC000602438111 400462802 /nfs/dbraw/zinc/46/28/02/400462802.db2.gz NSIFAKQDWQSCNJ-AWEZNQCLSA-N 0 1 318.380 3.474 20 30 DGEDMN COc1cccc(C2CCN(Cc3cccc(C#N)n3)CC2)c1 ZINC000602450874 400466814 /nfs/dbraw/zinc/46/68/14/400466814.db2.gz BVBSJFPDAXWXDY-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(C(=O)CCC2CCCCC2)CC1 ZINC000602450365 400467078 /nfs/dbraw/zinc/46/70/78/400467078.db2.gz UXZDJWODKKBAJC-QGZVFWFLSA-N 0 1 319.493 3.431 20 30 DGEDMN Cc1cccc(NC(=O)N2CCN(C[C@@H](C)CCC#N)CC2)c1 ZINC000602455586 400467995 /nfs/dbraw/zinc/46/79/95/400467995.db2.gz XCPCBHGNAMVQFO-INIZCTEOSA-N 0 1 314.433 3.084 20 30 DGEDMN CN(C)Cc1cc(-c2cccc(C[C@@H](C#N)CO)c2)ccc1F ZINC000596646830 400470862 /nfs/dbraw/zinc/47/08/62/400470862.db2.gz OYHNBVMCRPHKNV-HNNXBMFYSA-N 0 1 312.388 3.229 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)N(C)c1ccccc1 ZINC000591022926 400476848 /nfs/dbraw/zinc/47/68/48/400476848.db2.gz AUCVLEQKXDCVMG-HUUCEWRRSA-N 0 1 307.397 3.260 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N(C)c1ccccc1 ZINC000591022925 400476971 /nfs/dbraw/zinc/47/69/71/400476971.db2.gz AUCVLEQKXDCVMG-GJZGRUSLSA-N 0 1 307.397 3.260 20 30 DGEDMN COc1ccccc1[C@H](CO)NCCC1(C#N)CCCCC1 ZINC000591026453 400477821 /nfs/dbraw/zinc/47/78/21/400477821.db2.gz RRBPMRGBTZADFZ-INIZCTEOSA-N 0 1 302.418 3.182 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000602631067 400517921 /nfs/dbraw/zinc/51/79/21/400517921.db2.gz DFBMHVJRRKTXAU-AWEZNQCLSA-N 0 1 316.836 3.274 20 30 DGEDMN COc1cccc(C)c1CN1CCC(C#N)(c2ccccn2)CC1 ZINC000602655104 400525994 /nfs/dbraw/zinc/52/59/94/400525994.db2.gz YJLVYTRRNQTSQI-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN Cc1cc(CN2CCSCC2)ccc1NC(=O)[C@H](C)CC#N ZINC000597032471 400543385 /nfs/dbraw/zinc/54/33/85/400543385.db2.gz QHBUYPDUOARPJZ-CYBMUJFWSA-N 0 1 317.458 3.032 20 30 DGEDMN COC[C@@H](C)Oc1ccc(CN2CCC(C)(C#N)CC2)cc1 ZINC000597085577 400555893 /nfs/dbraw/zinc/55/58/93/400555893.db2.gz WMYIWPZXGCVDOA-OAHLLOKOSA-N 0 1 302.418 3.226 20 30 DGEDMN CSC1(CN2CCN(c3ccsc3C#N)CC2)CCC1 ZINC000597184665 400580336 /nfs/dbraw/zinc/58/03/36/400580336.db2.gz XZBWYTWRHIYUKL-UHFFFAOYSA-N 0 1 307.488 3.027 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000618313844 400564976 /nfs/dbraw/zinc/56/49/76/400564976.db2.gz SXGJJIWCDVDBTH-CABCVRRESA-N 0 1 312.335 3.002 20 30 DGEDMN C[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1cccc(C#N)c1 ZINC000075276232 400568679 /nfs/dbraw/zinc/56/86/79/400568679.db2.gz JINVYEYIHOXBSY-JTQLQIEISA-N 0 1 308.316 3.065 20 30 DGEDMN CC(C)C1CCN(Cc2nnc(-c3cccc(C#N)c3)o2)CC1 ZINC000075833337 400613084 /nfs/dbraw/zinc/61/30/84/400613084.db2.gz PTFRSJWWTMHDJV-UHFFFAOYSA-N 0 1 310.401 3.476 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC000592150211 400615069 /nfs/dbraw/zinc/61/50/69/400615069.db2.gz AZJKRHQPYVMJEC-IBGZPJMESA-N 0 1 308.381 3.329 20 30 DGEDMN N#Cc1ccc(N2CCC[C@H]2c2nc(C3(N)CCCC3)no2)cc1 ZINC000592354003 400636658 /nfs/dbraw/zinc/63/66/58/400636658.db2.gz FINOPDVKCVVYPV-HNNXBMFYSA-N 0 1 323.400 3.011 20 30 DGEDMN N#CCC1(CN2CCC(Oc3cncc(Cl)c3)CC2)CC1 ZINC000602840282 400587760 /nfs/dbraw/zinc/58/77/60/400587760.db2.gz ZBOKYNGJROEPSM-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CN(CC1(CC#N)CC1)C2 ZINC000602846628 400589862 /nfs/dbraw/zinc/58/98/62/400589862.db2.gz PQHBCVSHDNYOTI-UHFFFAOYSA-N 0 1 300.402 3.362 20 30 DGEDMN COc1cc(CN2CC[C@@H](Oc3ccccc3)C2)ccc1C#N ZINC000597227466 400592988 /nfs/dbraw/zinc/59/29/88/400592988.db2.gz DOGUGXRDGXMXRE-GOSISDBHSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1oc(NC(=O)CNC2(c3ccccc3C)CC2)c(C#N)c1C ZINC000592139988 400612633 /nfs/dbraw/zinc/61/26/33/400612633.db2.gz RGWWZYONIGFWKC-UHFFFAOYSA-N 0 1 323.396 3.294 20 30 DGEDMN Cc1cc(Cn2cc(CN(C)C3CCCCC3)nn2)ccc1C#N ZINC000603236057 400658673 /nfs/dbraw/zinc/65/86/73/400658673.db2.gz MIQASDLSPDFEGB-UHFFFAOYSA-N 0 1 323.444 3.271 20 30 DGEDMN N#CCC[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccccc1 ZINC000153403346 400686793 /nfs/dbraw/zinc/68/67/93/400686793.db2.gz JWXLZCMBUPTESN-HNNXBMFYSA-N 0 1 308.385 3.063 20 30 DGEDMN Cc1nccc([C@H]2CCCN(Cc3ccc(C#N)cc3F)C2)n1 ZINC000603834099 400721033 /nfs/dbraw/zinc/72/10/33/400721033.db2.gz HKJGZGSXVFHWQW-INIZCTEOSA-N 0 1 310.376 3.175 20 30 DGEDMN CC/C=C/CCN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC000613477123 400730998 /nfs/dbraw/zinc/73/09/98/400730998.db2.gz CUUODWZJPIMRIT-OAJJDEHYSA-N 0 1 301.409 3.364 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N(C)CCCc1ccccc1 ZINC000052802557 400747703 /nfs/dbraw/zinc/74/77/03/400747703.db2.gz QTWNJTFCMUQQED-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N([C@H](C)C#N)C3CC3)n[nH]2)cc1C ZINC000598138057 400749813 /nfs/dbraw/zinc/74/98/13/400749813.db2.gz QFESPSXELFBREH-CYBMUJFWSA-N 0 1 308.385 3.210 20 30 DGEDMN CCC1CCN([C@H](C)C(=O)N(CCC#N)c2ccccc2)CC1 ZINC000077212130 400696245 /nfs/dbraw/zinc/69/62/45/400696245.db2.gz DUACKVWGSOYPCQ-MRXNPFEDSA-N 0 1 313.445 3.444 20 30 DGEDMN CCN(CCOc1ccc(C#N)cc1OC)Cc1ccoc1 ZINC000077276952 400703922 /nfs/dbraw/zinc/70/39/22/400703922.db2.gz GBPBZGGGRZNLNB-UHFFFAOYSA-N 0 1 300.358 3.061 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(Cc1ccco1)CC(F)(F)F ZINC000052890433 400753158 /nfs/dbraw/zinc/75/31/58/400753158.db2.gz MRHIWGNULNXFOQ-UHFFFAOYSA-N 0 1 318.339 3.069 20 30 DGEDMN N#Cc1cnc2ccccc2c1N1CCN([C@H]2C=CCCC2)CC1 ZINC000171735664 400805307 /nfs/dbraw/zinc/80/53/07/400805307.db2.gz SDVAWYKKHPOWJC-KRWDZBQOSA-N 0 1 318.424 3.337 20 30 DGEDMN CC(C)Oc1ccccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000055590390 400878615 /nfs/dbraw/zinc/87/86/15/400878615.db2.gz DENQOPHYFQYTOB-UHFFFAOYSA-N 0 1 316.382 3.146 20 30 DGEDMN C[C@H](NC[C@H](O)CC(C)(C)C#N)c1ncc(-c2ccccc2)o1 ZINC000598612397 400842524 /nfs/dbraw/zinc/84/25/24/400842524.db2.gz OGKRUDGMCNCQBH-DZGCQCFKSA-N 0 1 313.401 3.293 20 30 DGEDMN CN(C)c1ccnc(NC(=O)C[C@H](CC#N)c2ccccc2)c1 ZINC000619442613 400849365 /nfs/dbraw/zinc/84/93/65/400849365.db2.gz YHAPKLHEBZECMO-HNNXBMFYSA-N 0 1 308.385 3.174 20 30 DGEDMN C[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccc(C#N)cc1 ZINC000080334382 400941379 /nfs/dbraw/zinc/94/13/79/400941379.db2.gz ZYPNUMBBFWVUFI-SNVBAGLBSA-N 0 1 308.316 3.065 20 30 DGEDMN C[C@@H](NCc1c(C(F)(F)F)cnn1C)c1cccc(C#N)c1 ZINC000599057713 400943347 /nfs/dbraw/zinc/94/33/47/400943347.db2.gz AVIAVTCVDWXKNG-SNVBAGLBSA-N 0 1 308.307 3.161 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccsc1 ZINC000619746370 400897185 /nfs/dbraw/zinc/89/71/85/400897185.db2.gz QAOKMBLBGHEOJL-MLGOLLRUSA-N 0 1 311.410 3.076 20 30 DGEDMN N#CC1(c2ccccc2)CCC(N2CCc3n[nH]cc3C2)CC1 ZINC000598940087 400910445 /nfs/dbraw/zinc/91/04/45/400910445.db2.gz LRGZWUZCUTXMHY-UHFFFAOYSA-N 0 1 306.413 3.172 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1cccc2cn[nH]c21 ZINC000056213048 400914262 /nfs/dbraw/zinc/91/42/62/400914262.db2.gz PDQFKDIFLAJNAZ-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN C[C@@H](C#N)CN(C)C(=O)c1cc(Cl)c2ccccc2c1O ZINC000080002837 400914719 /nfs/dbraw/zinc/91/47/19/400914719.db2.gz UVAIZHXYHCOPGL-JTQLQIEISA-N 0 1 302.761 3.430 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@H]2CCC[C@H]21 ZINC000614600978 400921572 /nfs/dbraw/zinc/92/15/72/400921572.db2.gz ZNQIWBCUAVTBLX-IAQYHMDHSA-N 0 1 303.793 3.025 20 30 DGEDMN C[C@]1(C#N)CCCN(C(=O)c2cccc(C(F)(F)F)c2O)C1 ZINC000594338847 401048784 /nfs/dbraw/zinc/04/87/84/401048784.db2.gz HRPIDKVIVUYIBX-CQSZACIVSA-N 0 1 312.291 3.177 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC3(CCCC3)[C@H]2c2ccco2)CC1 ZINC000599465247 401055648 /nfs/dbraw/zinc/05/56/48/401055648.db2.gz DJRABINPMWKMFC-GOEBONIOSA-N 0 1 300.402 3.251 20 30 DGEDMN C=CC[C@H]1CCN(Cc2nc(-c3cccc([N+](=O)[O-])c3)no2)C1 ZINC000599526489 401068906 /nfs/dbraw/zinc/06/89/06/401068906.db2.gz MYUXQRSDBHRQQI-LBPRGKRZSA-N 0 1 314.345 3.043 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCCC[C@H]2C)c1 ZINC000058875195 401076861 /nfs/dbraw/zinc/07/68/61/401076861.db2.gz VKPORUKDXVABRE-QGZVFWFLSA-N 0 1 313.445 3.425 20 30 DGEDMN Cc1ccc(CNC(C)(C)C(=O)NCC2CCCC2)cc1C#N ZINC000599343078 401025764 /nfs/dbraw/zinc/02/57/64/401025764.db2.gz XBYBIWYHFAXRIT-UHFFFAOYSA-N 0 1 313.445 3.041 20 30 DGEDMN Cc1noc(C2(C)CCN(Cc3ccc(C)c(C#N)c3)CC2)n1 ZINC000599356230 401033090 /nfs/dbraw/zinc/03/30/90/401033090.db2.gz CCAZSUVYCCZQPP-UHFFFAOYSA-N 0 1 310.401 3.112 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H]([C@H](O)C(F)(F)F)C2)cc1C#N ZINC000599386414 401039219 /nfs/dbraw/zinc/03/92/19/401039219.db2.gz SNAOMNVEQOPPHM-HIFRSBDPSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccc(CN2CCC[C@H]([C@H](O)C(F)(F)F)C2)cc1C#N ZINC000599386416 401039900 /nfs/dbraw/zinc/03/99/00/401039900.db2.gz SNAOMNVEQOPPHM-ZFWWWQNUSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1nc2ccccn2c1CN1CCc2c(CC#N)cccc2C1 ZINC000599678632 401113174 /nfs/dbraw/zinc/11/31/74/401113174.db2.gz KCHSNQFYOZGCFP-UHFFFAOYSA-N 0 1 316.408 3.267 20 30 DGEDMN CC(C)(C)OC(=O)CCCN1CCc2c(CC#N)cccc2C1 ZINC000599678692 401113294 /nfs/dbraw/zinc/11/32/94/401113294.db2.gz XRCPRGNVJZJUEC-UHFFFAOYSA-N 0 1 314.429 3.233 20 30 DGEDMN COC(=O)C1C[C@H](C)N(CC#Cc2ccc(Cl)cc2)[C@@H](C)C1 ZINC000599689954 401116381 /nfs/dbraw/zinc/11/63/81/401116381.db2.gz UQYQNWFEJCGLGK-KBPBESRZSA-N 0 1 319.832 3.354 20 30 DGEDMN COc1cc(CN2CCC[C@@H](OC3CCC3)C2)ccc1C#N ZINC000594612833 401135549 /nfs/dbraw/zinc/13/55/49/401135549.db2.gz MNIJVALRISAYRT-QGZVFWFLSA-N 0 1 300.402 3.100 20 30 DGEDMN N#CC(C(=O)c1ccnn1CC1CCC1)c1nc2ccccc2o1 ZINC000633900006 401141118 /nfs/dbraw/zinc/14/11/18/401141118.db2.gz KSPGGAMBQKSMNU-ZDUSSCGKSA-N 0 1 320.352 3.314 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@H](C)c1ccc(C)cc1C ZINC000615463708 401087025 /nfs/dbraw/zinc/08/70/25/401087025.db2.gz JJJUJCQBDOHQLQ-QGZVFWFLSA-N 0 1 300.446 3.497 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(C(F)F)c2)n1 ZINC000633925825 401148314 /nfs/dbraw/zinc/14/83/14/401148314.db2.gz UCDXVSBQCKUKIB-SECBINFHSA-N 0 1 310.329 3.348 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2CC2CC2)cc1Cl ZINC000594939689 401200200 /nfs/dbraw/zinc/20/02/00/401200200.db2.gz COJNWDDSEKQZPB-UHFFFAOYSA-N 0 1 300.793 3.108 20 30 DGEDMN C=CCCSCCNCc1ncc(Br)s1 ZINC000594960611 401208391 /nfs/dbraw/zinc/20/83/91/401208391.db2.gz WDMSRVRMTCXIMM-UHFFFAOYSA-N 0 1 307.282 3.305 20 30 DGEDMN N#Cc1ccccc1N1CCCN(Cc2cnc(C3CC3)o2)CC1 ZINC000600111094 401182306 /nfs/dbraw/zinc/18/23/06/401182306.db2.gz KUKUHRCZYYPHPP-UHFFFAOYSA-N 0 1 322.412 3.136 20 30 DGEDMN N#Cc1ccccc1CSCCNCc1cnc(C2CC2)o1 ZINC000600126629 401187451 /nfs/dbraw/zinc/18/74/51/401187451.db2.gz PJHPNMRZPSHLCV-UHFFFAOYSA-N 0 1 313.426 3.447 20 30 DGEDMN C[C@@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@H]1CO ZINC000621366145 401187817 /nfs/dbraw/zinc/18/78/17/401187817.db2.gz GULVLSBGNOANGJ-HIFRSBDPSA-N 0 1 311.347 3.007 20 30 DGEDMN C=CCOCCCC(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000608359942 401232137 /nfs/dbraw/zinc/23/21/37/401232137.db2.gz QTVAEKIEMNGGDT-UHFFFAOYSA-N 0 1 320.780 3.046 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC000622212976 401364019 /nfs/dbraw/zinc/36/40/19/401364019.db2.gz NHEGYEHFSZEKNN-QMMMGPOBSA-N 0 1 311.744 3.275 20 30 DGEDMN C[C@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@@H](O)C(F)(F)F ZINC000631238611 401434168 /nfs/dbraw/zinc/43/41/68/401434168.db2.gz ZTTAVJGBLOIPSX-QUBYGPBYSA-N 0 1 319.220 3.246 20 30 DGEDMN Cn1cc(CN2CCC(Oc3ccc(F)cc3)CC2)cc1C#N ZINC000191285496 165239512 /nfs/dbraw/zinc/23/95/12/165239512.db2.gz JSMXHQMMUIOVQF-UHFFFAOYSA-N 0 1 313.376 3.079 20 30 DGEDMN COC[C@H](Cc1ccccc1)N(C)Cc1ccc(F)cc1C#N ZINC000191482398 165252538 /nfs/dbraw/zinc/25/25/38/165252538.db2.gz VKHBZKHRARJANE-IBGZPJMESA-N 0 1 312.388 3.387 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000192147742 165283419 /nfs/dbraw/zinc/28/34/19/165283419.db2.gz YHQOSNYCMHUVGP-GFCCVEGCSA-N 0 1 312.344 3.319 20 30 DGEDMN CN1CCCc2cc(CNCc3ccc(C#N)cc3F)ccc21 ZINC000269412756 432171432 /nfs/dbraw/zinc/17/14/32/432171432.db2.gz JNKCVTVGTHPXGP-UHFFFAOYSA-N 0 1 309.388 3.370 20 30 DGEDMN C=CCCCCCN(C)C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000269531853 432182521 /nfs/dbraw/zinc/18/25/21/432182521.db2.gz SMEJWCQUTQAGQY-UHFFFAOYSA-N 0 1 308.382 3.260 20 30 DGEDMN N#Cc1ccc(CN2CCCN(c3ccc(F)cc3)CC2)s1 ZINC000130712378 196103176 /nfs/dbraw/zinc/10/31/76/196103176.db2.gz JLUOURCMHUCYOB-UHFFFAOYSA-N 0 1 315.417 3.471 20 30 DGEDMN CC[C@H](O)CN(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000131277801 196156188 /nfs/dbraw/zinc/15/61/88/196156188.db2.gz HDEAHNNWTLYFAJ-HNNXBMFYSA-N 0 1 300.427 3.393 20 30 DGEDMN COc1ccc(NC(=O)c2ccc(C#N)c(O)c2)c2cccnc12 ZINC000190990420 432209040 /nfs/dbraw/zinc/20/90/40/432209040.db2.gz AYSPIDNEOSPGDH-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C[C@H](NC(=O)CN[C@@H](C)c1ccc(C#N)cc1)c1cccs1 ZINC000271638339 209083169 /nfs/dbraw/zinc/08/31/69/209083169.db2.gz GNOPCYMYMBXNMJ-STQMWFEESA-N 0 1 313.426 3.148 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccc(F)cc2)c(Cl)c1 ZINC000169248355 228267203 /nfs/dbraw/zinc/26/72/03/228267203.db2.gz DINZUKVPYZHINX-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN Cc1cccc2nc(CN[C@@H](C)c3ccc(OCC#N)cc3)cn21 ZINC000182006997 228365058 /nfs/dbraw/zinc/36/50/58/228365058.db2.gz FLNRCKVXOLFXHY-HNNXBMFYSA-N 0 1 320.396 3.396 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)N(C)C2(C#N)CCCCC2)c(C)o1 ZINC000183784016 228375185 /nfs/dbraw/zinc/37/51/85/228375185.db2.gz PXWMOHMMBFQVKP-CQSZACIVSA-N 0 1 317.433 3.232 20 30 DGEDMN C[C@]1(c2ccccc2)CCCN(CC(=O)NC(=O)NC2CC2)C1 ZINC000329565332 228949173 /nfs/dbraw/zinc/94/91/73/228949173.db2.gz SNBQCPGTSNLFBN-SFHVURJKSA-N 0 1 315.417 3.073 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(C)c2-c2ccccc2)n1 ZINC000341507917 229099339 /nfs/dbraw/zinc/09/93/39/229099339.db2.gz IYEDXCLYNBJBGL-CYBMUJFWSA-N 0 1 322.393 3.342 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(OCC(C)C)cc2)CC1 ZINC000342837215 229119409 /nfs/dbraw/zinc/11/94/09/229119409.db2.gz LZBCCGONDFGXBA-UHFFFAOYSA-N 0 1 316.445 3.474 20 30 DGEDMN CSc1ccc([C@@H](C)NCC(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000337221763 229046584 /nfs/dbraw/zinc/04/65/84/229046584.db2.gz MPJAARBVRXWEFT-CXAGYDPISA-N 0 1 319.474 3.114 20 30 DGEDMN C[C@@H](CC#N)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000352431183 229252314 /nfs/dbraw/zinc/25/23/14/229252314.db2.gz JKSNXPICLQEHPE-JTQLQIEISA-N 0 1 310.382 3.323 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c(C)nn(CC(F)F)c2C)n1 ZINC000355305877 229307618 /nfs/dbraw/zinc/30/76/18/229307618.db2.gz JIVVOOZLCMZBMT-JTQLQIEISA-N 0 1 324.356 3.020 20 30 DGEDMN Cc1ccncc1C(=O)C(C#N)c1ccc(C(F)(F)F)cn1 ZINC000356990699 229344025 /nfs/dbraw/zinc/34/40/25/229344025.db2.gz BNPDPBJKYSVIMQ-NSHDSACASA-N 0 1 305.259 3.294 20 30 DGEDMN Cc1c[nH]cc1C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000358651685 229363730 /nfs/dbraw/zinc/36/37/30/229363730.db2.gz NVRFPENJCHTKFP-LBPRGKRZSA-N 0 1 308.366 3.332 20 30 DGEDMN Cc1c[nH]cc1C(=O)[C@H](C#N)c1nc(-c2ccncc2)cs1 ZINC000358651685 229363733 /nfs/dbraw/zinc/36/37/33/229363733.db2.gz NVRFPENJCHTKFP-LBPRGKRZSA-N 0 1 308.366 3.332 20 30 DGEDMN N#CCCCC(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC000360185304 229392425 /nfs/dbraw/zinc/39/24/25/229392425.db2.gz XXBKUONIPUUYOM-UHFFFAOYSA-N 0 1 305.341 3.257 20 30 DGEDMN CSc1ccccc1S(=O)(=O)Nc1ccc(C#N)c(F)c1 ZINC000357472290 269811233 /nfs/dbraw/zinc/81/12/33/269811233.db2.gz PGDBZAFAHBGNKC-UHFFFAOYSA-N 0 1 322.386 3.220 20 30 DGEDMN CO[C@@H]1CCCC[C@H]1NCc1ccc(Br)cc1C#N ZINC000347114798 264158830 /nfs/dbraw/zinc/15/88/30/264158830.db2.gz AHDPBRPLPZRHCC-HUUCEWRRSA-N 0 1 323.234 3.368 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000347641164 264257413 /nfs/dbraw/zinc/25/74/13/264257413.db2.gz LQYLFHFMHUCXCO-KRWDZBQOSA-N 0 1 300.789 3.425 20 30 DGEDMN COc1ccc(OC)c(CN[C@H]2CCc3ccc(C#N)cc32)c1 ZINC000353389442 265413677 /nfs/dbraw/zinc/41/36/77/265413677.db2.gz RXDFSVVUBGUNND-SFHVURJKSA-N 0 1 308.381 3.353 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)c1ccc(C#N)s1)c1ccco1 ZINC000362989588 266119260 /nfs/dbraw/zinc/11/92/60/266119260.db2.gz JJLRKHKWKAWDMD-CYBMUJFWSA-N 0 1 317.414 3.026 20 30 DGEDMN CCN(Cc1cccc(C#N)c1)[C@H]1CCN(c2ccccc2)C1=O ZINC000353553005 266135483 /nfs/dbraw/zinc/13/54/83/266135483.db2.gz AMVPAQPFJARBTK-IBGZPJMESA-N 0 1 319.408 3.186 20 30 DGEDMN CCO[C@H]1C[C@H](N(C)Cc2cn3ccccc3c2C#N)C1(C)C ZINC000354458452 266302674 /nfs/dbraw/zinc/30/26/74/266302674.db2.gz PUJRVLITAISKAA-ROUUACIJSA-N 0 1 311.429 3.446 20 30 DGEDMN C[C@@H](c1nc(-c2ccn3cncc3c2)no1)c1cccc(C#N)c1 ZINC000361260930 267006170 /nfs/dbraw/zinc/00/61/70/267006170.db2.gz IFDUVNXNYMXKMZ-GFCCVEGCSA-N 0 1 315.336 3.408 20 30 DGEDMN Cc1cc(CN[C@@H](c2cccnc2)C(C)(C)CO)ccc1C#N ZINC000354072936 267326468 /nfs/dbraw/zinc/32/64/68/267326468.db2.gz LDHYWTZBLLQOAQ-SFHVURJKSA-N 0 1 309.413 3.111 20 30 DGEDMN N#Cc1ccc(CN(CCO)[C@@H]2CCc3ccccc32)c(F)c1 ZINC000353488933 268062341 /nfs/dbraw/zinc/06/23/41/268062341.db2.gz AFKZVEDYQSGWLT-LJQANCHMSA-N 0 1 310.372 3.179 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2cccc3n[nH]cc32)C2CC2)cc1 ZINC000358579844 268063003 /nfs/dbraw/zinc/06/30/03/268063003.db2.gz LXOJLFOTGVBGKA-UHFFFAOYSA-N 0 1 316.364 3.239 20 30 DGEDMN N#Cc1cc(CN2CCC[C@]3(CCc4ccccc4O3)C2)ccn1 ZINC000528727212 268179481 /nfs/dbraw/zinc/17/94/81/268179481.db2.gz FTGDNHJYHGUZJT-FQEVSTJZSA-N 0 1 319.408 3.313 20 30 DGEDMN N#Cc1ccc(N2CCN(C/C=C\c3ccccc3)CC2)cc1 ZINC000505780185 268234342 /nfs/dbraw/zinc/23/43/42/268234342.db2.gz XIAAPIHIJAZMFA-DAXSKMNVSA-N 0 1 303.409 3.394 20 30 DGEDMN N#Cc1cccc(CNC(=O)CN2CCC[C@@H]2c2ccccc2)c1 ZINC000362997290 268250354 /nfs/dbraw/zinc/25/03/54/268250354.db2.gz KPKPMOUEQJDYKE-LJQANCHMSA-N 0 1 319.408 3.012 20 30 DGEDMN COCCN(CC#Cc1ccc(F)cc1)[C@@H]1CCCSC1 ZINC000433187612 274023812 /nfs/dbraw/zinc/02/38/12/274023812.db2.gz OSZWWVKMKZMNCE-QGZVFWFLSA-N 0 1 307.434 3.021 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1cn2ccccc2n1 ZINC000341679692 274926638 /nfs/dbraw/zinc/92/66/38/274926638.db2.gz BJVPLEJPDOYJLY-GOSISDBHSA-N 0 1 302.381 3.373 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1cccc2c1OCCO2 ZINC000341685117 274926667 /nfs/dbraw/zinc/92/66/67/274926667.db2.gz QQXZNLYRZVFYOC-SFHVURJKSA-N 0 1 320.392 3.497 20 30 DGEDMN O[C@@H]1C[C@H](c2ccccc2)N(CC#Cc2cccc(Cl)c2)C1 ZINC000411952900 275055037 /nfs/dbraw/zinc/05/50/37/275055037.db2.gz TVVLVKQBFCRIRQ-RTBURBONSA-N 0 1 311.812 3.499 20 30 DGEDMN Cc1nc(NC[C@@H](C)N2CCc3sccc3C2)ccc1C#N ZINC000487933085 429174681 /nfs/dbraw/zinc/17/46/81/429174681.db2.gz SXMYYNPAUYQWAT-GFCCVEGCSA-N 0 1 312.442 3.182 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)CC[C@@H]2c2ccccc2)CC1 ZINC000288284380 275381829 /nfs/dbraw/zinc/38/18/29/275381829.db2.gz HKOCLLDMSRLIFC-FXAWDEMLSA-N 0 1 324.468 3.331 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H](c1cccs1)N(C)C ZINC000491963336 275436125 /nfs/dbraw/zinc/43/61/25/275436125.db2.gz YCUCEDBQZFIUKJ-ZIAGYGMSSA-N 0 1 307.463 3.101 20 30 DGEDMN CC(C)(C)c1cc(C(=O)NC[C@H]2CCCCN2C2CC2)no1 ZINC000329957725 277263515 /nfs/dbraw/zinc/26/35/15/277263515.db2.gz PNTMNXCXDCTFEG-CYBMUJFWSA-N 0 1 305.422 3.294 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC[C@@H]2c2ccccc2)CC1 ZINC000299316700 277687335 /nfs/dbraw/zinc/68/73/35/277687335.db2.gz HHDOPZNMEACNPU-HXUWFJFHSA-N 0 1 324.468 3.476 20 30 DGEDMN CCCN(C)CC(=O)Nc1c(C#N)c(C)c(C)n1-c1ccccc1 ZINC000069091712 431159933 /nfs/dbraw/zinc/15/99/33/431159933.db2.gz KWIIVMXTAYBBPM-UHFFFAOYSA-N 0 1 324.428 3.246 20 30 DGEDMN C=CC1CCN(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)CC1 ZINC000111256914 431203195 /nfs/dbraw/zinc/20/31/95/431203195.db2.gz GNQKTAHGNCQRGZ-UHFFFAOYSA-N 0 1 314.345 3.043 20 30 DGEDMN CN(CC(=O)N(CCC#N)c1cccc(Cl)c1)CC1CCC1 ZINC000111696483 431233842 /nfs/dbraw/zinc/23/38/42/431233842.db2.gz FKIUVVSEYSXTRB-UHFFFAOYSA-N 0 1 319.836 3.319 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000112198004 431254962 /nfs/dbraw/zinc/25/49/62/431254962.db2.gz VERUTFBPXTVDRV-SFHVURJKSA-N 0 1 324.509 3.308 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3Cc4ccccc4O3)n2)cc1 ZINC000070724699 431257007 /nfs/dbraw/zinc/25/70/07/431257007.db2.gz QKLRORYWEJVEIZ-OAHLLOKOSA-N 0 1 320.352 3.372 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1=O)c1ccc(C#N)cc1 ZINC000112366672 431269278 /nfs/dbraw/zinc/26/92/78/431269278.db2.gz ZBRJMBVPGOZRCJ-CXAGYDPISA-N 0 1 323.371 3.153 20 30 DGEDMN N#CCCn1cc(CNCc2ccco2)c(-c2ccccc2)n1 ZINC000072712602 431345430 /nfs/dbraw/zinc/34/54/30/431345430.db2.gz ZYSDABSFBKLHHQ-UHFFFAOYSA-N 0 1 306.369 3.347 20 30 DGEDMN CCS[C@H]1CC[C@H](N(C)CC(=O)Nc2cccc(C#N)c2)C1 ZINC000072838028 431351737 /nfs/dbraw/zinc/35/17/37/431351737.db2.gz OTAJYXDFJUYGLN-HOTGVXAUSA-N 0 1 317.458 3.103 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCCc1ccc(OC(C)C)cc1 ZINC000114712541 431405463 /nfs/dbraw/zinc/40/54/63/431405463.db2.gz GLJNTBZVKNEKMU-UHFFFAOYSA-N 0 1 318.461 3.031 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](C(C)(C)C)CC2)CC1 ZINC000116089950 431485625 /nfs/dbraw/zinc/48/56/25/431485625.db2.gz JGWKWFQNUQCVJN-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000077266763 431579731 /nfs/dbraw/zinc/57/97/31/431579731.db2.gz HKDOFXNYTLAZNF-MRXNPFEDSA-N 0 1 311.385 3.111 20 30 DGEDMN Cc1csc(C(C)(C)NCCOc2cccc(C#N)c2)n1 ZINC000118849060 431624840 /nfs/dbraw/zinc/62/48/40/431624840.db2.gz RWPIKEBTSVSBQU-UHFFFAOYSA-N 0 1 301.415 3.227 20 30 DGEDMN C[C@@H](NCc1cccnc1OCC(F)F)c1ccc(C#N)cc1 ZINC000118969379 431628875 /nfs/dbraw/zinc/62/88/75/431628875.db2.gz QKUBKOMILNCHIF-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CCc3sccc3C2)c1 ZINC000026343784 431673226 /nfs/dbraw/zinc/67/32/26/431673226.db2.gz ICLKAQFPBZHZCD-UHFFFAOYSA-N 0 1 311.410 3.007 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000119486321 431698748 /nfs/dbraw/zinc/69/87/48/431698748.db2.gz MTYJQVSLTJSXDC-MRXNPFEDSA-N 0 1 302.418 3.116 20 30 DGEDMN C[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)CC(C)(C)O1 ZINC000119539316 431703817 /nfs/dbraw/zinc/70/38/17/431703817.db2.gz OGCIHROZWPTQKR-HNNXBMFYSA-N 0 1 301.434 3.036 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000120917952 431738096 /nfs/dbraw/zinc/73/80/96/431738096.db2.gz FTPRUUHJEDLLIH-SJORKVTESA-N 0 1 317.477 3.490 20 30 DGEDMN C=CCC[C@H](O)CN[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000123180270 431857448 /nfs/dbraw/zinc/85/74/48/431857448.db2.gz ZIGVESBPVIIUFQ-OALUTQOASA-N 0 1 324.424 3.283 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nnc3n2CCCCC3)sc1C ZINC000041510286 431859725 /nfs/dbraw/zinc/85/97/25/431859725.db2.gz ACQTUODPYOKGNO-LBPRGKRZSA-N 0 1 314.414 3.173 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2nnc3n2CCCCC3)sc1C ZINC000041510286 431859727 /nfs/dbraw/zinc/85/97/27/431859727.db2.gz ACQTUODPYOKGNO-LBPRGKRZSA-N 0 1 314.414 3.173 20 30 DGEDMN N#CCC1(CNCc2cc(Br)cc3c2OCC3)CC1 ZINC000122422867 431833200 /nfs/dbraw/zinc/83/32/00/431833200.db2.gz DFKNTRVHMPPDGO-UHFFFAOYSA-N 0 1 321.218 3.167 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cccc(NC(C)=O)c2)cc1C#N ZINC000124937806 431909260 /nfs/dbraw/zinc/90/92/60/431909260.db2.gz LVCDLTAZSFIFJA-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(C(=O)C2CCCCC2)CC1 ZINC000092539869 431909618 /nfs/dbraw/zinc/90/96/18/431909618.db2.gz PLGKFOUDAMMIIK-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(C(=O)CC2CCCCC2)CC1 ZINC000092787285 431912157 /nfs/dbraw/zinc/91/21/57/431912157.db2.gz RMXGXOYZATWQPU-UHFFFAOYSA-N 0 1 319.493 3.431 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1ccc(-n2cncn2)cc1 ZINC000093101041 431913569 /nfs/dbraw/zinc/91/35/69/431913569.db2.gz JJPXPOYXPOSOKX-UHFFFAOYSA-N 0 1 311.433 3.419 20 30 DGEDMN CC[C@H]1CC[N@@H+](CC(=O)N(CCC#N)c2cc(C)cc(C)c2)C1 ZINC000125308012 431920993 /nfs/dbraw/zinc/92/09/93/431920993.db2.gz LVWRSLQQBRFMGP-KRWDZBQOSA-N 0 1 313.445 3.282 20 30 DGEDMN CC[C@H]1CCN(CC(=O)N(CCC#N)c2cc(C)cc(C)c2)C1 ZINC000125308012 431920995 /nfs/dbraw/zinc/92/09/95/431920995.db2.gz LVWRSLQQBRFMGP-KRWDZBQOSA-N 0 1 313.445 3.282 20 30 DGEDMN CCCN1CCC[C@@H]1C(=O)Nc1cccc(OCCCC#N)c1 ZINC000124409816 431893566 /nfs/dbraw/zinc/89/35/66/431893566.db2.gz NQKQBLWPABZRLY-QGZVFWFLSA-N 0 1 315.417 3.182 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@H]1c1c(C)n[nH]c1C ZINC000091081655 431901069 /nfs/dbraw/zinc/90/10/69/431901069.db2.gz HQHOYSCMBGKRGR-INIZCTEOSA-N 0 1 310.401 3.244 20 30 DGEDMN C=CCOc1ccc(CN(C)CCNC(=O)C(C)C)cc1Cl ZINC000092103655 431907376 /nfs/dbraw/zinc/90/73/76/431907376.db2.gz ILTRHIWLXRPRTA-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN CC[C@@H](C)NC(=O)c1cccc(CNCc2ccc(C#N)cc2)c1 ZINC000048715181 431931479 /nfs/dbraw/zinc/93/14/79/431931479.db2.gz CZEZSQFBAPDRPV-OAHLLOKOSA-N 0 1 321.424 3.376 20 30 DGEDMN Cc1cnn(-c2ccc(C([O-])=C(C#N)c3nc(C)cs3)cc2)c1 ZINC000048789373 431931887 /nfs/dbraw/zinc/93/18/87/431931887.db2.gz CIWFSVFVNLMFOU-HNNXBMFYSA-N 0 1 322.393 3.436 20 30 DGEDMN Cc1cnn(-c2ccc(C(=O)C(C#N)c3nc(C)cs3)cc2)c1 ZINC000048789373 431931891 /nfs/dbraw/zinc/93/18/91/431931891.db2.gz CIWFSVFVNLMFOU-HNNXBMFYSA-N 0 1 322.393 3.436 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)Cc1cccc2ccccc21 ZINC000053017438 431959127 /nfs/dbraw/zinc/95/91/27/431959127.db2.gz XRYWDHSNEQSVLU-INIZCTEOSA-N 0 1 323.440 3.280 20 30 DGEDMN CN(CC(=O)Nc1sc2c(c1C#N)CCCCC2)CC1CC1 ZINC000053183843 431961305 /nfs/dbraw/zinc/96/13/05/431961305.db2.gz AEJXWYYCBJTAKG-UHFFFAOYSA-N 0 1 317.458 3.169 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H]1c1ccc(OC)cc1 ZINC000248206170 432275480 /nfs/dbraw/zinc/27/54/80/432275480.db2.gz AZKJTPIVWNAQOD-GOSISDBHSA-N 0 1 316.445 3.257 20 30 DGEDMN COC[C@H]1CCCN(Cc2cc(C#N)ccc2Br)C1 ZINC000191790781 432303290 /nfs/dbraw/zinc/30/32/90/432303290.db2.gz ZNTOKNAMSSZMLQ-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1sccc1C#N ZINC000173707653 432295287 /nfs/dbraw/zinc/29/52/87/432295287.db2.gz LNTLNGITJLKMFI-GXTWGEPZSA-N 0 1 305.447 3.459 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1ccccc1OCc1cccnc1 ZINC000191761410 432299005 /nfs/dbraw/zinc/29/90/05/432299005.db2.gz NGASJBUMOPTKCN-UHFFFAOYSA-N 0 1 312.413 3.295 20 30 DGEDMN C=CCN(CCOC)Cc1ccccc1OCc1cccnc1 ZINC000191761410 432299008 /nfs/dbraw/zinc/29/90/08/432299008.db2.gz NGASJBUMOPTKCN-UHFFFAOYSA-N 0 1 312.413 3.295 20 30 DGEDMN CCCC1CCC([N@@H+](C)CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000191418364 432263358 /nfs/dbraw/zinc/26/33/58/432263358.db2.gz LCFFDZRKFYEKOW-PVARCSIZSA-N 0 1 305.466 3.086 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Oc3cccc(F)c3)C2)s1 ZINC000183212353 432268525 /nfs/dbraw/zinc/26/85/25/432268525.db2.gz SLUYFHVUTHXNJE-CQSZACIVSA-N 0 1 302.374 3.412 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1=O)c1cccc(C#N)c1 ZINC000174358936 432339243 /nfs/dbraw/zinc/33/92/43/432339243.db2.gz XFKUVTHVDKEONH-DYVFJYSZSA-N 0 1 323.371 3.153 20 30 DGEDMN CO[C@H]1CC[N@@H+](Cc2cccc(OCc3cccc(C#N)c3)c2)C1 ZINC000271210580 432355744 /nfs/dbraw/zinc/35/57/44/432355744.db2.gz YDFSVTZGPIOLDG-FQEVSTJZSA-N 0 1 322.408 3.358 20 30 DGEDMN CC[C@H](NCCOc1cccc(C#N)c1)c1nc(C)cs1 ZINC000184341647 432381133 /nfs/dbraw/zinc/38/11/33/432381133.db2.gz SYJODKCKNITPAA-HNNXBMFYSA-N 0 1 301.415 3.443 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000174671241 432363417 /nfs/dbraw/zinc/36/34/17/432363417.db2.gz HTKXMWWZFBGCQA-AEFFLSMTSA-N 0 1 313.445 3.049 20 30 DGEDMN CCc1ccc([C@@H](COC)NCCOc2cccc(C#N)c2)o1 ZINC000184777640 432431424 /nfs/dbraw/zinc/43/14/24/432431424.db2.gz ZFTLMGABTSOLOW-QGZVFWFLSA-N 0 1 314.385 3.070 20 30 DGEDMN CCc1ccc([C@H](COC)NCCOc2ccccc2C#N)o1 ZINC000184827908 432438514 /nfs/dbraw/zinc/43/85/14/432438514.db2.gz DCFMFWIMOYCHMO-INIZCTEOSA-N 0 1 314.385 3.070 20 30 DGEDMN COCCOCC[N@@H+](Cc1ccccc1)Cc1ccc(C#N)cc1 ZINC000175609654 432452407 /nfs/dbraw/zinc/45/24/07/432452407.db2.gz FUSCKORNGKFAQI-UHFFFAOYSA-N 0 1 324.424 3.223 20 30 DGEDMN CC(C)c1nc([C@H](C)N[C@@H](C)c2ccc(OCC#N)cc2)n[nH]1 ZINC000271879037 432426654 /nfs/dbraw/zinc/42/66/54/432426654.db2.gz PAFBEPLCNQUPBN-STQMWFEESA-N 0 1 313.405 3.242 20 30 DGEDMN Cc1c(C(=O)[C@H](C#N)c2ccccn2)cnn1Cc1ccccc1 ZINC000193389914 432471290 /nfs/dbraw/zinc/47/12/90/432471290.db2.gz XTTKIGRNIMVMGA-MRXNPFEDSA-N 0 1 316.364 3.125 20 30 DGEDMN COc1ccccc1-c1nc(CON=C(N)C(C)C)cs1 ZINC000193709557 432492853 /nfs/dbraw/zinc/49/28/53/432492853.db2.gz MRRJUSDYRVDPLW-UHFFFAOYSA-N 0 1 305.403 3.264 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccccc2CC#N)c(C)s1 ZINC000193360958 432467960 /nfs/dbraw/zinc/46/79/60/432467960.db2.gz SANMFORWELVPJZ-UHFFFAOYSA-N 0 1 306.412 3.232 20 30 DGEDMN N#CCCN(Cc1ccco1)C(=O)c1ccc(O)c(Cl)c1 ZINC000194044978 432518652 /nfs/dbraw/zinc/51/86/52/432518652.db2.gz XDCHLMURGVYQKR-UHFFFAOYSA-N 0 1 304.733 3.195 20 30 DGEDMN CC(C)c1ccnc(N2CCN(CC(C)(C)CCC#N)CC2)n1 ZINC000185658342 432520797 /nfs/dbraw/zinc/52/07/97/432520797.db2.gz JIZFLPUDNCIZEZ-UHFFFAOYSA-N 0 1 315.465 3.052 20 30 DGEDMN CC(=O)c1ccc(C#N)c(NC[C@@H](c2ccccc2)N(C)C)c1 ZINC000272592944 432530255 /nfs/dbraw/zinc/53/02/55/432530255.db2.gz WPWLXIQVAGNFHY-IBGZPJMESA-N 0 1 307.397 3.476 20 30 DGEDMN Cc1nn(Cc2ccc(F)cc2)c(Cl)c1CNCCCC#N ZINC000273080534 432547522 /nfs/dbraw/zinc/54/75/22/432547522.db2.gz QNCULKNZTGKOCZ-UHFFFAOYSA-N 0 1 320.799 3.426 20 30 DGEDMN Cc1cc(C2CCN(c3ncc(C#N)cc3Cl)CC2)[nH]n1 ZINC000273499621 432605280 /nfs/dbraw/zinc/60/52/80/432605280.db2.gz NVSCHIFULHMTDD-UHFFFAOYSA-N 0 1 301.781 3.022 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)cc1Cl ZINC000157100993 432614127 /nfs/dbraw/zinc/61/41/27/432614127.db2.gz ANEGIQNNHJVNFB-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](CC)c1c(C)noc1C ZINC000155911118 432580570 /nfs/dbraw/zinc/58/05/70/432580570.db2.gz WHKHBYPBSWWOJB-HIFRSBDPSA-N 0 1 307.438 3.145 20 30 DGEDMN CCOC(=O)[C@@]1(C(C)C)CCN(Cc2ccc(F)cc2C#N)C1 ZINC000194874280 432583326 /nfs/dbraw/zinc/58/33/26/432583326.db2.gz DGQTXTWWUKVPTB-SFHVURJKSA-N 0 1 318.392 3.109 20 30 DGEDMN N#C[C@@H](NC(=O)c1c(O)cccc1F)c1ccccc1Cl ZINC000186349394 432584177 /nfs/dbraw/zinc/58/41/77/432584177.db2.gz WDUVKTUBFHOSOY-GFCCVEGCSA-N 0 1 304.708 3.179 20 30 DGEDMN C=CCC[C@H]([NH2+]Cc1nnc(COc2ccccc2)[n-]1)C1CC1 ZINC000186432357 432594725 /nfs/dbraw/zinc/59/47/25/432594725.db2.gz DXJZGVMMFLRGMB-INIZCTEOSA-N 0 1 312.417 3.218 20 30 DGEDMN C=CC[C@H](C)[C@@H](C)[NH2+]Cc1nnc(COc2ccccc2)[n-]1 ZINC000186431098 432595255 /nfs/dbraw/zinc/59/52/55/432595255.db2.gz KBACMCXXGALHTI-UONOGXRCSA-N 0 1 300.406 3.074 20 30 DGEDMN C[C@H]1CCN(Cc2nnc(-c3ccc(C#N)cc3)o2)CC1(C)C ZINC000273661735 432623287 /nfs/dbraw/zinc/62/32/87/432623287.db2.gz HYZUTOIHGAQQIP-ZDUSSCGKSA-N 0 1 310.401 3.476 20 30 DGEDMN C#CCN(Cc1cn(CCC#N)nc1-c1ccccc1)C1CC1 ZINC000274054386 432634365 /nfs/dbraw/zinc/63/43/65/432634365.db2.gz CPCWGZNMKXQGHH-UHFFFAOYSA-N 0 1 304.397 3.061 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)c(C#N)c1C ZINC000177556916 432636065 /nfs/dbraw/zinc/63/60/65/432636065.db2.gz ONMJVMVAOIAXOL-YUELXQCFSA-N 0 1 315.417 3.217 20 30 DGEDMN N#CCOc1ccc(CNCc2cccc3c2OCCCO3)cc1 ZINC000264358506 432638868 /nfs/dbraw/zinc/63/88/68/432638868.db2.gz HJLJSKHYQLKAAM-UHFFFAOYSA-N 0 1 324.380 3.040 20 30 DGEDMN Cc1ccc(CN2CCC(Oc3cccc(C#N)c3)CC2)nc1 ZINC000159861479 432699052 /nfs/dbraw/zinc/69/90/52/432699052.db2.gz SQEQXDFEBPKRMV-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN CC[C@H](CC#N)NC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000188141251 432707036 /nfs/dbraw/zinc/70/70/36/432707036.db2.gz VKRIMKCAIJOERY-MRVPVSSYSA-N 0 1 308.794 3.214 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000188275527 432716447 /nfs/dbraw/zinc/71/64/47/432716447.db2.gz NYKIMFSTBYOWDE-UHFFFAOYSA-N 0 1 305.337 3.317 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(-c3cnco3)c2)cc1O ZINC000188339731 432720798 /nfs/dbraw/zinc/72/07/98/432720798.db2.gz WOWIRPPFOFWKFX-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN C=CCCCCNC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000274524293 432678107 /nfs/dbraw/zinc/67/81/07/432678107.db2.gz PTSJEGODXMYRJD-OAHLLOKOSA-N 0 1 305.422 3.072 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(CC)[C@@H](C)Cc1ccsc1 ZINC000187795067 432685603 /nfs/dbraw/zinc/68/56/03/432685603.db2.gz VABCFYQXSISNDM-CABCVRRESA-N 0 1 321.490 3.009 20 30 DGEDMN N#CCOc1ccc(CN2CCOC3(CCCCC3)C2)cc1 ZINC000266530198 432762470 /nfs/dbraw/zinc/76/24/70/432762470.db2.gz LWCCCLWCWOGMFV-UHFFFAOYSA-N 0 1 300.402 3.124 20 30 DGEDMN CC[C@]1(C)Oc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2O1 ZINC000189297028 432776390 /nfs/dbraw/zinc/77/63/90/432776390.db2.gz XSEZIJRIRJZNHM-GOSISDBHSA-N 0 1 324.336 3.414 20 30 DGEDMN C[C@H](CN1CCN(c2cc(C#N)ccn2)CC1)c1ccc(F)cc1 ZINC000275739035 432745394 /nfs/dbraw/zinc/74/53/94/432745394.db2.gz QPAZBTFJLABOAC-OAHLLOKOSA-N 0 1 324.403 3.018 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1 ZINC000162524207 432826453 /nfs/dbraw/zinc/82/64/53/432826453.db2.gz DQJUINRMHSZONF-UHFFFAOYSA-N 0 1 313.357 3.056 20 30 DGEDMN CCc1noc(C)c1CN1CCCN(c2ccccc2C#N)CC1 ZINC000162848898 432836999 /nfs/dbraw/zinc/83/69/99/432836999.db2.gz OQTOCVUDHJDZOE-UHFFFAOYSA-N 0 1 324.428 3.129 20 30 DGEDMN C[C@H](CCC#N)N(C)Cc1cc2c(cc1OC(F)F)OCO2 ZINC000276295189 432792737 /nfs/dbraw/zinc/79/27/37/432792737.db2.gz ISDWHSWLXDYTAZ-SNVBAGLBSA-N 0 1 312.316 3.141 20 30 DGEDMN CN(Cc1ccc(OCC#N)cc1)Cc1ccc(Cl)nc1 ZINC000266882451 432793152 /nfs/dbraw/zinc/79/31/52/432793152.db2.gz AKZSZFVCEODFCB-UHFFFAOYSA-N 0 1 301.777 3.269 20 30 DGEDMN CC[C@]1(CO)CCCN1Cc1ccc(C#N)cc1Br ZINC000189607085 432803041 /nfs/dbraw/zinc/80/30/41/432803041.db2.gz HISKNCHEXHVCTF-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc4ncccc4c3)no2)CC1 ZINC000277801511 432876574 /nfs/dbraw/zinc/87/65/74/432876574.db2.gz GLOWFAKMGWXWNO-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN CC[C@H](C)n1ncc(C(=O)N[C@H](C)C2=CC[N@@H+](C)CC2)c1C ZINC000330034736 432889639 /nfs/dbraw/zinc/88/96/39/432889639.db2.gz BFGCFBAPANRKIO-QWHCGFSZSA-N 0 1 304.438 3.118 20 30 DGEDMN Cc1ccc2c(c1)CCN(C(=O)N[C@@H]1CCCc3cn[nH]c31)C2 ZINC000330042404 432890156 /nfs/dbraw/zinc/89/01/56/432890156.db2.gz GRTLYZTWUCIMHT-MRXNPFEDSA-N 0 1 310.401 3.068 20 30 DGEDMN CCCN1CCC[C@H]1c1nc(CC(=O)NC2CCCCC2)no1 ZINC000330100759 432893653 /nfs/dbraw/zinc/89/36/53/432893653.db2.gz FBIVMDXIEWAXSN-AWEZNQCLSA-N 0 1 320.437 3.448 20 30 DGEDMN O=C(NC[C@@H]1CCc2ccccc21)N[C@H]1CCCc2cn[nH]c21 ZINC000330127760 432894645 /nfs/dbraw/zinc/89/46/45/432894645.db2.gz NCLPNONCFKIXAJ-BBRMVZONSA-N 0 1 310.401 3.021 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2ccc(Cl)c(C#N)n2)n[nH]1 ZINC000277386236 432844608 /nfs/dbraw/zinc/84/46/08/432844608.db2.gz JBLANHPVOXJXTI-IUCAKERBSA-N 0 1 304.785 3.411 20 30 DGEDMN CC1(C)CCCN(C(=O)c2cnc(-c3ccccc3)[nH]2)[C@@H]1C#N ZINC000277522784 432857522 /nfs/dbraw/zinc/85/75/22/432857522.db2.gz LWGPJKIVXVAFME-OAHLLOKOSA-N 0 1 308.385 3.231 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)CN2[C@H](C)CC[C@H]2C)cc1C#N ZINC000331235696 432935911 /nfs/dbraw/zinc/93/59/11/432935911.db2.gz SYGFHJOPVPPFSU-ZIAGYGMSSA-N 0 1 315.417 3.157 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)n[nH]1 ZINC000330193835 432896602 /nfs/dbraw/zinc/89/66/02/432896602.db2.gz OKNSZLKXOBOGPM-FIQHERPVSA-N 0 1 306.410 3.341 20 30 DGEDMN Cc1ccc2c(c1)[C@H](NC(=O)N[C@H]1CCc3nc[nH]c3C1)CCC2 ZINC000330221288 432898787 /nfs/dbraw/zinc/89/87/87/432898787.db2.gz QYMGLNYDJFZOBY-GOEBONIOSA-N 0 1 324.428 3.157 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CCC[C@@H](C)C2)cn1 ZINC000330250199 432899533 /nfs/dbraw/zinc/89/95/33/432899533.db2.gz GSNDKKOHMJMPAD-DGCLKSJQSA-N 0 1 301.394 3.176 20 30 DGEDMN CC(C)C[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330243645 432899635 /nfs/dbraw/zinc/89/96/35/432899635.db2.gz CTLSONAKXMCYOW-CABCVRRESA-N 0 1 304.438 3.013 20 30 DGEDMN C=C[C@@](C)(CC)c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000288988782 432911995 /nfs/dbraw/zinc/91/19/95/432911995.db2.gz SEKZOLXAVRKEFB-KRWDZBQOSA-N 0 1 309.373 3.299 20 30 DGEDMN C=CC[N@H+](Cc1ccc(Cl)s1)C[C@@H]1CCNC(=O)CC1 ZINC000296479826 432913404 /nfs/dbraw/zinc/91/34/04/432913404.db2.gz KJRGTFXBZMJZAX-LBPRGKRZSA-N 0 1 312.866 3.306 20 30 DGEDMN Cc1oc(C(C)C)cc1C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000330572582 432918261 /nfs/dbraw/zinc/91/82/61/432918261.db2.gz GJAJHHHWFXKZNU-HNNXBMFYSA-N 0 1 322.449 3.373 20 30 DGEDMN C=C[C@H](CO)N[C@H]1CCSc2ccc(Br)cc21 ZINC000289632176 432971131 /nfs/dbraw/zinc/97/11/31/432971131.db2.gz FEQARBBDLQSMEN-PWSUYJOCSA-N 0 1 314.248 3.123 20 30 DGEDMN CC(C)n1nccc1CN1CCCN(c2ccccc2C#N)CC1 ZINC000279578589 432985215 /nfs/dbraw/zinc/98/52/15/432985215.db2.gz GOZKJOKJJHIROD-UHFFFAOYSA-N 0 1 323.444 3.048 20 30 DGEDMN C[S@@](=O)c1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000341218525 432995272 /nfs/dbraw/zinc/99/52/72/432995272.db2.gz YCUYMRUTPHBSTP-JOCHJYFZSA-N 0 1 310.422 3.075 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H]2CCC[C@@H](C#N)C2)c(Cl)c1 ZINC000425368258 433208933 /nfs/dbraw/zinc/20/89/33/433208933.db2.gz JVYJEAKGNMIJCW-CHWSQXEVSA-N 0 1 305.809 3.259 20 30 DGEDMN N#Cc1ccc(CN[C@]2(CO)CCCc3ccccc32)c(F)c1 ZINC000374136947 433175960 /nfs/dbraw/zinc/17/59/60/433175960.db2.gz PRSTYPZHOOQVMU-IBGZPJMESA-N 0 1 310.372 3.011 20 30 DGEDMN COc1cc(CNc2cnccc2-n2cc(C)cn2)ccc1C#N ZINC000374136648 433176883 /nfs/dbraw/zinc/17/68/83/433176883.db2.gz MLWDLSPOTXSSGP-UHFFFAOYSA-N 0 1 319.368 3.068 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1ccc2c(c1)NC(=O)CO2 ZINC000425365643 433200786 /nfs/dbraw/zinc/20/07/86/433200786.db2.gz MHRZTTBIZYOJPF-ZDUSSCGKSA-N 0 1 321.380 3.082 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(CC(C)C)cc2)C1=O ZINC000337198766 433213755 /nfs/dbraw/zinc/21/37/55/433213755.db2.gz AKRHGAHLKPJJCJ-GOSISDBHSA-N 0 1 300.446 3.104 20 30 DGEDMN Cc1cc(NC[C@H](C)N2CCc3sccc3C2)cc(C#N)n1 ZINC000341740227 433246548 /nfs/dbraw/zinc/24/65/48/433246548.db2.gz DTYZWTOGGFLUSO-ZDUSSCGKSA-N 0 1 312.442 3.182 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC[C@@H](n3ncc4ccccc43)C2)c1 ZINC000374738407 433267078 /nfs/dbraw/zinc/26/70/78/433267078.db2.gz OZDWAVZEWFFHOW-QGZVFWFLSA-N 0 1 320.371 3.494 20 30 DGEDMN CCC(C)(C)CCN1C[C@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000338251562 433288007 /nfs/dbraw/zinc/28/80/07/433288007.db2.gz KMJUTFWJOBYIAQ-IFXJQAMLSA-N 0 1 311.429 3.158 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)NCc2cccc(C#N)c2)cc1 ZINC000339084419 433348736 /nfs/dbraw/zinc/34/87/36/433348736.db2.gz IJDDRVYMELQSMV-MRXNPFEDSA-N 0 1 321.424 3.176 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)c2ccc(C)cc2C)CC1 ZINC000299565661 433372786 /nfs/dbraw/zinc/37/27/86/433372786.db2.gz SWXWEVUUVNYLKB-KRWDZBQOSA-N 0 1 312.457 3.168 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)Cc2cccc(Cl)c2)CC1 ZINC000299162581 433337637 /nfs/dbraw/zinc/33/76/37/433337637.db2.gz RYPRJNZJUZFWLD-UHFFFAOYSA-N 0 1 318.848 3.034 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CN([C@H]1CC[C@H](C#N)C1)C2 ZINC000421042057 433407997 /nfs/dbraw/zinc/40/79/97/433407997.db2.gz PEKIXZUQSKVTQD-ZFWWWQNUSA-N 0 1 300.402 3.360 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](CC(C)C)c2ccccc2OC)C1=O ZINC000281978726 433454457 /nfs/dbraw/zinc/45/44/57/433454457.db2.gz LTSBWNREAIHAOS-DLBZAZTESA-N 0 1 316.445 3.159 20 30 DGEDMN CCCCCCCCCCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000362101255 433527432 /nfs/dbraw/zinc/52/74/32/433527432.db2.gz BPLKEHIWGOCPER-HNNXBMFYSA-N 0 1 321.469 3.437 20 30 DGEDMN CCN(C)[C@@H](C(=O)NCC1CCC(C#N)CC1)c1ccccc1 ZINC000282584766 433617117 /nfs/dbraw/zinc/61/71/17/433617117.db2.gz DXSWFFNHBBJNHQ-LEOMRAHMSA-N 0 1 313.445 3.126 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CC)C[C@H]1CC)c1ccccc1 ZINC000456368913 433621182 /nfs/dbraw/zinc/62/11/82/433621182.db2.gz FPNOAQQGRJAORD-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Oc3ccc(F)cc3)C2)s1 ZINC000368165872 293098510 /nfs/dbraw/zinc/09/85/10/293098510.db2.gz HVLSMMHQKQUMOF-CQSZACIVSA-N 0 1 302.374 3.412 20 30 DGEDMN CC(C)[C@H]1N(Cc2ccc(C#N)cc2F)CC12CCOCC2 ZINC000414543929 433706452 /nfs/dbraw/zinc/70/64/52/433706452.db2.gz UBHIMLJPSWCVQW-QGZVFWFLSA-N 0 1 302.393 3.334 20 30 DGEDMN CC[C@H](C)N1CCN(C(=O)C[C@H](CC#N)c2ccccc2)CC1 ZINC000456530647 433710207 /nfs/dbraw/zinc/71/02/07/433710207.db2.gz MYVGHGBFNZMNIX-WMZOPIPTSA-N 0 1 313.445 3.017 20 30 DGEDMN CC[C@@H](C)N1CCN(C(=O)C[C@H](CC#N)c2ccccc2)CC1 ZINC000456530644 433711122 /nfs/dbraw/zinc/71/11/22/433711122.db2.gz MYVGHGBFNZMNIX-AEFFLSMTSA-N 0 1 313.445 3.017 20 30 DGEDMN CC(C)C[C@@H](N)c1noc(-c2cc(-c3cccc(C#N)c3)no2)n1 ZINC000414618578 433746745 /nfs/dbraw/zinc/74/67/45/433746745.db2.gz JYKYOMLNTJFCTB-CYBMUJFWSA-N 0 1 323.356 3.309 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cccc4ncccc43)no2)CC1 ZINC000283772989 433772999 /nfs/dbraw/zinc/77/29/99/433772999.db2.gz DDGCYZHMKUYKCZ-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(C#N)cc1 ZINC000283779980 433774940 /nfs/dbraw/zinc/77/49/40/433774940.db2.gz RAKOWCURRBFNCL-MSOLQXFVSA-N 0 1 304.397 3.112 20 30 DGEDMN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccc(C#N)cc1 ZINC000283779980 433774953 /nfs/dbraw/zinc/77/49/53/433774953.db2.gz RAKOWCURRBFNCL-MSOLQXFVSA-N 0 1 304.397 3.112 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCc4ccc(C#N)cc43)[nH]n2)o1 ZINC000339810897 433796568 /nfs/dbraw/zinc/79/65/68/433796568.db2.gz FCXHBBBCXRZMNI-UHFFFAOYSA-N 0 1 318.336 3.053 20 30 DGEDMN C[C@@H]1CCC[C@H](CN2C[C@@H](C#N)[C@]3(C2)c2ccccc2NC3=O)C1 ZINC000356976221 433796877 /nfs/dbraw/zinc/79/68/77/433796877.db2.gz GBQGSGHUVNPAIC-UIVXKWKOSA-N 0 1 323.440 3.158 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](NCc1ccc(C#N)s1)CC2 ZINC000421891568 433797243 /nfs/dbraw/zinc/79/72/43/433797243.db2.gz WAERVNBGINZRLY-HNNXBMFYSA-N 0 1 314.410 3.414 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1cccc(C#Cc2ccccc2)c1 ZINC000303081592 433919318 /nfs/dbraw/zinc/91/93/18/433919318.db2.gz VIPYGGTUWBYCFE-UHFFFAOYSA-N 0 1 306.409 3.365 20 30 DGEDMN C[C@H](CNc1c(C#N)cccc1C#N)N1CCc2sccc2C1 ZINC000302842338 433883313 /nfs/dbraw/zinc/88/33/13/433883313.db2.gz MKYOXOVXYZEJFV-CYBMUJFWSA-N 0 1 322.437 3.350 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000457061809 433959136 /nfs/dbraw/zinc/95/91/36/433959136.db2.gz BYPFKVXVMLVCEK-UHFFFAOYSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000457067370 433962292 /nfs/dbraw/zinc/96/22/92/433962292.db2.gz KURZZRXSIJWJJO-OAHLLOKOSA-N 0 1 320.399 3.464 20 30 DGEDMN N#Cc1ccc(OC2CCN(Cc3ccncc3)CC2)c(F)c1 ZINC000285595687 434008785 /nfs/dbraw/zinc/00/87/85/434008785.db2.gz WHWQMAYULJURBS-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2c(O)cccc2F)c1 ZINC000436680911 434063512 /nfs/dbraw/zinc/06/35/12/434063512.db2.gz LTYNAFVWJZMDQY-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCN(c3cncc4ccccc43)CC2)C1 ZINC000294685043 434125855 /nfs/dbraw/zinc/12/58/55/434125855.db2.gz STVZMPCQOVZMLE-DOTOQJQBSA-N 0 1 306.413 3.049 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000378272541 434129672 /nfs/dbraw/zinc/12/96/72/434129672.db2.gz OXKMKBBPISKUQT-MRXNPFEDSA-N 0 1 308.341 3.065 20 30 DGEDMN N#Cc1cccc(F)c1Cc1nc(-c2ccc(O)c(F)c2)no1 ZINC000436877242 434148702 /nfs/dbraw/zinc/14/87/02/434148702.db2.gz FJUNZRKPVXRGKK-UHFFFAOYSA-N 0 1 313.263 3.183 20 30 DGEDMN C=CCOc1ccc(CNCc2n[nH]c(C(C)C)n2)cc1Cl ZINC000294871682 434151706 /nfs/dbraw/zinc/15/17/06/434151706.db2.gz USTSZTYGGRVYKM-UHFFFAOYSA-N 0 1 320.824 3.436 20 30 DGEDMN C=CCSCCNCc1cccc(O)c1Br ZINC000315234665 434160391 /nfs/dbraw/zinc/16/03/91/434160391.db2.gz KPZTWCJSUVLYQB-UHFFFAOYSA-N 0 1 302.237 3.164 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CC[C@H](C)N(Cc2ccccc2)CC1 ZINC000286091335 434161388 /nfs/dbraw/zinc/16/13/88/434161388.db2.gz MTAMXGQEZBOINY-QFBILLFUSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CC[C@H](C)N(Cc2ccccc2)CC1 ZINC000286091327 434161525 /nfs/dbraw/zinc/16/15/25/434161525.db2.gz MTAMXGQEZBOINY-LPHOPBHVSA-N 0 1 313.445 3.049 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1cccc(C#N)c1[N+](=O)[O-] ZINC000450490302 434220687 /nfs/dbraw/zinc/22/06/87/434220687.db2.gz ZLWRGJBGVUMHQX-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)CCc1ccc(C#N)cc1 ZINC000353547119 434214570 /nfs/dbraw/zinc/21/45/70/434214570.db2.gz RJGFIUGZPBSJNG-UHFFFAOYSA-N 0 1 304.300 3.099 20 30 DGEDMN N#CCc1cccc(C(=O)Nc2cccc3c2C[N@H+](C2CC2)C3)c1 ZINC000378528210 434215044 /nfs/dbraw/zinc/21/50/44/434215044.db2.gz ZQLIDPDQEGZFLO-UHFFFAOYSA-N 0 1 317.392 3.483 20 30 DGEDMN N#CCc1cccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)c1 ZINC000378528210 434215052 /nfs/dbraw/zinc/21/50/52/434215052.db2.gz ZQLIDPDQEGZFLO-UHFFFAOYSA-N 0 1 317.392 3.483 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3ccc(C#N)c(F)c3)[nH]c2c1 ZINC000353548332 434215210 /nfs/dbraw/zinc/21/52/10/434215210.db2.gz MAKPEFRZCHHBBK-UHFFFAOYSA-N 0 1 323.331 3.204 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000416961885 434262208 /nfs/dbraw/zinc/26/22/08/434262208.db2.gz SRTNSRFOEFKNIA-NWDGAFQWSA-N 0 1 323.443 3.125 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@@H]3CCC[C@H](C)C3)C2)n[nH]1 ZINC000328666852 434296261 /nfs/dbraw/zinc/29/62/61/434296261.db2.gz CVSLEIUNHGBINU-NWANDNLSSA-N 0 1 304.438 3.390 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)N(C)CCCN(C)Cc1ccco1 ZINC000286921690 434298477 /nfs/dbraw/zinc/29/84/77/434298477.db2.gz ILIXPKKIVWUYME-OAHLLOKOSA-N 0 1 307.438 3.098 20 30 DGEDMN C[C@@H]1CCc2c(C(=O)N[C@@H]3CCc4nc[nH]c4C3)csc2C1 ZINC000328694988 434302902 /nfs/dbraw/zinc/30/29/02/434302902.db2.gz GGKSJNUWALNTRW-GHMZBOCLSA-N 0 1 315.442 3.458 20 30 DGEDMN C[C@H](C1CCCCC1)N(C)C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000328759296 434310798 /nfs/dbraw/zinc/31/07/98/434310798.db2.gz WHSDTCGKDUNPGJ-UKRRQHHQSA-N 0 1 304.438 3.013 20 30 DGEDMN CC(C)(CNC([O-])=[NH+][C@H]1CCc2c[nH]nc2C1)C1CCCCC1 ZINC000328976246 434334136 /nfs/dbraw/zinc/33/41/36/434334136.db2.gz QDZAEQRLCDHCQU-HNNXBMFYSA-N 0 1 318.465 3.377 20 30 DGEDMN C[C@@H](C[NH+]=C([O-])N1CC=C(C(C)(C)C)CC1)Cn1cccn1 ZINC000328890911 434323344 /nfs/dbraw/zinc/32/33/44/434323344.db2.gz SCEXNMKCQRRMGE-AWEZNQCLSA-N 0 1 304.438 3.111 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)[C@H](C)NC(=O)C2CCCCC2)n[nH]1 ZINC000328913379 434328502 /nfs/dbraw/zinc/32/85/02/434328502.db2.gz IBCOHZSDYMWMNE-QWRGUYRKSA-N 0 1 321.425 3.310 20 30 DGEDMN CC(C)c1nc([C@@H](C)NC(=O)NC2CCC(F)(F)CC2)n[nH]1 ZINC000328938874 434332312 /nfs/dbraw/zinc/33/23/12/434332312.db2.gz RTUAHOCZLXQJRM-SECBINFHSA-N 0 1 315.368 3.071 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cccc2ccncc21 ZINC000391092092 434373732 /nfs/dbraw/zinc/37/37/32/434373732.db2.gz ZNKBPDCPUOCVAC-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CCCNC(=O)C1(NCc2cccc(C#N)c2F)CCCCC1 ZINC000340829009 434375882 /nfs/dbraw/zinc/37/58/82/434375882.db2.gz BPMJLNMJVIGQIU-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN CC1(C)C[C@@H](NC(=O)c2cc3[nH]cnc3cc2F)C(C)(C)O1 ZINC000329213388 434375923 /nfs/dbraw/zinc/37/59/23/434375923.db2.gz JILXSWQSLWGLOD-CYBMUJFWSA-N 0 1 305.353 3.353 20 30 DGEDMN Cc1nc([C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCCC[C@H]3C2)n[nH]1 ZINC000329256597 434383124 /nfs/dbraw/zinc/38/31/24/434383124.db2.gz AYQZBYDZASEADB-DOERSZECSA-N 0 1 305.426 3.037 20 30 DGEDMN COc1cc(C#N)ccc1OCC[N@H+]1CC[C@@H]1c1ccccc1 ZINC000348540884 434384832 /nfs/dbraw/zinc/38/48/32/434384832.db2.gz MYVNJARJOIXSIO-QGZVFWFLSA-N 0 1 308.381 3.393 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CC[C@@H]1c1ccccc1 ZINC000348540884 434384840 /nfs/dbraw/zinc/38/48/40/434384840.db2.gz MYVNJARJOIXSIO-QGZVFWFLSA-N 0 1 308.381 3.393 20 30 DGEDMN COc1ccc(-c2n[nH]c(S[C@@H]3CC[C@H](C#N)C3)n2)cc1 ZINC000450692824 434344074 /nfs/dbraw/zinc/34/40/74/434344074.db2.gz AQDBPRNYSDQUGO-GXFFZTMASA-N 0 1 300.387 3.265 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@H]1Cc1ccccc1 ZINC000437827553 434349348 /nfs/dbraw/zinc/34/93/48/434349348.db2.gz FNOQWBDQDHAAOR-AEFFLSMTSA-N 0 1 300.446 3.117 20 30 DGEDMN O=C(N[C@@H]1CC12CCCCC2)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000329611020 434443003 /nfs/dbraw/zinc/44/30/03/434443003.db2.gz NXMRZDBEULUODC-UONOGXRCSA-N 0 1 322.840 3.420 20 30 DGEDMN C[C@]1(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)CCOC1 ZINC000329620793 434444504 /nfs/dbraw/zinc/44/45/04/434444504.db2.gz UHPWVHNOLGSADI-YOEHRIQHSA-N 0 1 315.373 3.429 20 30 DGEDMN C[C@H](C1CCCCC1)N(C)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329634689 434448974 /nfs/dbraw/zinc/44/89/74/434448974.db2.gz XGQUHAAEJGNFJA-TZMCWYRMSA-N 0 1 304.438 3.082 20 30 DGEDMN C=C[C@@H](CC(=O)NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000458015370 434446825 /nfs/dbraw/zinc/44/68/25/434446825.db2.gz CXOJCVKFVCSRAF-ZDUSSCGKSA-N 0 1 312.417 3.078 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H](NC(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000329705764 434462747 /nfs/dbraw/zinc/46/27/47/434462747.db2.gz JOEFEXRGJWDHRE-ILXRZTDVSA-N 0 1 318.465 3.376 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@H](C)C3CCCCC3)C2)c1 ZINC000329733277 434467099 /nfs/dbraw/zinc/46/70/99/434467099.db2.gz QSDCEMPZSGGBPB-GDBMZVCRSA-N 0 1 304.438 3.321 20 30 DGEDMN Cc1nc([C@H](C)NC(=O)N[C@@H]2CCCCC23CCCC3)n[nH]1 ZINC000329823957 434489225 /nfs/dbraw/zinc/48/92/25/434489225.db2.gz QMXSGCZADKQVBF-WCQYABFASA-N 0 1 305.426 3.181 20 30 DGEDMN Cc1nc(CCNC([O-])=[NH+][C@@H]2C[C@@H]2C2CCCCC2)cs1 ZINC000329841712 434490939 /nfs/dbraw/zinc/49/09/39/434490939.db2.gz WWHRKDJQYWKDMT-HUUCEWRRSA-N 0 1 307.463 3.466 20 30 DGEDMN CCC[C@]1(C)CCCN(C([O-])=[NH+][C@H]2CCc3c[nH]nc3C2)C1 ZINC000329859090 434494476 /nfs/dbraw/zinc/49/44/76/434494476.db2.gz ONGZLQGUBLAINV-WMLDXEAASA-N 0 1 304.438 3.083 20 30 DGEDMN C[C@@H](NCc1ccc(F)c(C#N)c1)c1ccc([S@](C)=O)cc1 ZINC000360207195 434547052 /nfs/dbraw/zinc/54/70/52/434547052.db2.gz FPTIERPJVRADTB-IPQOISQHSA-N 0 1 316.401 3.286 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)N1CCC(c2cn[nH]c2C)CC1 ZINC000367408922 434547804 /nfs/dbraw/zinc/54/78/04/434547804.db2.gz AOVNITVINYCSGK-CYBMUJFWSA-N 0 1 304.438 3.352 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@@H]1c1ccsc1 ZINC000329545315 295384265 /nfs/dbraw/zinc/38/42/65/295384265.db2.gz GMZXHPRGZYITHH-IUODEOHRSA-N 0 1 316.430 3.080 20 30 DGEDMN CCc1nnc(SCc2ccc(C#N)cc2OC(F)F)[nH]1 ZINC000439535612 434623535 /nfs/dbraw/zinc/62/35/35/434623535.db2.gz IRCJLRWGKGFVMI-UHFFFAOYSA-N 0 1 310.329 3.132 20 30 DGEDMN CCc1n[nH]c(SCc2ccc(C#N)cc2OC(F)F)n1 ZINC000439535612 434623545 /nfs/dbraw/zinc/62/35/45/434623545.db2.gz IRCJLRWGKGFVMI-UHFFFAOYSA-N 0 1 310.329 3.132 20 30 DGEDMN CC(C)CN(Cc1cnn(C)c1)Cc1ccc(C#N)c(F)c1 ZINC000459595374 434624793 /nfs/dbraw/zinc/62/47/93/434624793.db2.gz MMKAMDMOZHBMBL-UHFFFAOYSA-N 0 1 300.381 3.089 20 30 DGEDMN C[C@@H](NCCOc1ccccc1C#N)c1nc2c(s1)CCC2 ZINC000459633146 434642858 /nfs/dbraw/zinc/64/28/58/434642858.db2.gz KSPDOTCOQVCITD-GFCCVEGCSA-N 0 1 313.426 3.233 20 30 DGEDMN N#Cc1ccc(CN[C@H](CCO)c2ccccc2)c(Cl)c1 ZINC000439431811 434601666 /nfs/dbraw/zinc/60/16/66/434601666.db2.gz RNTQAKFRKDABOU-QGZVFWFLSA-N 0 1 300.789 3.425 20 30 DGEDMN N#Cc1ccc(CN2CCSC3(CCOCC3)C2)c(Cl)c1 ZINC000439438403 434603501 /nfs/dbraw/zinc/60/35/01/434603501.db2.gz KUYARHAIXRSTLS-UHFFFAOYSA-N 0 1 322.861 3.310 20 30 DGEDMN C=CCC1(C(=O)Nc2ccc(F)c(-c3nc[nH]n3)c2)CCC1 ZINC000410793117 434673787 /nfs/dbraw/zinc/67/37/87/434673787.db2.gz QLXIWTGUMPLVLU-UHFFFAOYSA-N 0 1 300.337 3.296 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@H](C)c1cccc(C#N)c1 ZINC000360771989 434686270 /nfs/dbraw/zinc/68/62/70/434686270.db2.gz ZMLJAFZRDNWVEC-MRVPVSSYSA-N 0 1 304.300 3.270 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCSC[C@@H]1C1CCC1 ZINC000419364264 434779395 /nfs/dbraw/zinc/77/93/95/434779395.db2.gz DVWFQEROZVKUKB-MRXNPFEDSA-N 0 1 302.443 3.284 20 30 DGEDMN O[C@H]1C[C@@H](c2ccccc2)N(CC#Cc2cccc(Cl)c2)C1 ZINC000411952898 434848701 /nfs/dbraw/zinc/84/87/01/434848701.db2.gz TVVLVKQBFCRIRQ-OALUTQOASA-N 0 1 311.812 3.499 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000372859357 434858837 /nfs/dbraw/zinc/85/88/37/434858837.db2.gz QZFSNLXYINXGIC-HNNXBMFYSA-N 0 1 310.401 3.492 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000372859357 434858839 /nfs/dbraw/zinc/85/88/39/434858839.db2.gz QZFSNLXYINXGIC-HNNXBMFYSA-N 0 1 310.401 3.492 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](C(C)(C)C)C[C@H]1C ZINC000553990741 434909343 /nfs/dbraw/zinc/90/93/43/434909343.db2.gz FPSWXSZILUBUEJ-IIDMSEBBSA-N 0 1 307.482 3.187 20 30 DGEDMN COc1ccc([C@H](CCC#N)NCc2ccccc2CO)cc1 ZINC000573053104 434870210 /nfs/dbraw/zinc/87/02/10/434870210.db2.gz FCPOIBAFMHVLKC-IBGZPJMESA-N 0 1 310.397 3.322 20 30 DGEDMN N#CC1(c2ccccn2)CC[NH+](Cc2ccc3nc[nH]c3c2)CC1 ZINC000554559761 434937153 /nfs/dbraw/zinc/93/71/53/434937153.db2.gz DCJROILOVWNXCM-UHFFFAOYSA-N 0 1 317.396 3.015 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2ccc3[nH]cnc3c2)CC1 ZINC000554559761 434937157 /nfs/dbraw/zinc/93/71/57/434937157.db2.gz DCJROILOVWNXCM-UHFFFAOYSA-N 0 1 317.396 3.015 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2ccc3nc[nH]c3c2)CC1 ZINC000554559761 434937160 /nfs/dbraw/zinc/93/71/60/434937160.db2.gz DCJROILOVWNXCM-UHFFFAOYSA-N 0 1 317.396 3.015 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000523950214 434966346 /nfs/dbraw/zinc/96/63/46/434966346.db2.gz DSGKMXUQZUOYNK-UHFFFAOYSA-N 0 1 308.425 3.450 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000554544446 434934251 /nfs/dbraw/zinc/93/42/51/434934251.db2.gz NTMDULJNPQQBJN-CQSZACIVSA-N 0 1 303.753 3.003 20 30 DGEDMN COc1ccc([C@H](NCc2cccc(C#N)c2F)C2CC2)cn1 ZINC000528854517 435029739 /nfs/dbraw/zinc/02/97/39/435029739.db2.gz WJYGNQDZAGCTCV-GOSISDBHSA-N 0 1 311.360 3.342 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccccc2C)nc(C)n1 ZINC000528918701 435030592 /nfs/dbraw/zinc/03/05/92/435030592.db2.gz PWWKXIZFMARGTG-SUMWQHHRSA-N 0 1 307.397 3.457 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3[C@H](C)O[C@H](C)[C@H]3C)[nH]c21 ZINC000528952442 435031070 /nfs/dbraw/zinc/03/10/70/435031070.db2.gz AHEAWYOKJGRJRQ-VVSAWPALSA-N 0 1 311.385 3.107 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cnn(C3CC3)c2)s1 ZINC000529009231 435032376 /nfs/dbraw/zinc/03/23/76/435032376.db2.gz MXBJEKALCYRPPM-GFCCVEGCSA-N 0 1 314.414 3.462 20 30 DGEDMN CC[C@@H](C(=O)NCC#Cc1ccccc1)N(C)Cc1ccccc1 ZINC000555548519 434978289 /nfs/dbraw/zinc/97/82/89/434978289.db2.gz CVBKEINERRWWIG-FQEVSTJZSA-N 0 1 320.436 3.065 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@H](C)CN(CC)C[C@@H]1C)c1ccccc1 ZINC000574482691 434981654 /nfs/dbraw/zinc/98/16/54/434981654.db2.gz UFFWKRYFIGJJHQ-IXDOHACOSA-N 0 1 300.446 3.287 20 30 DGEDMN CC[C@@H]1CN(C)CCN1C(=O)Nc1ccc(C(C)(C)C#N)cc1 ZINC000556542952 435012078 /nfs/dbraw/zinc/01/20/78/435012078.db2.gz XKWODMRZRYMLME-MRXNPFEDSA-N 0 1 314.433 3.046 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1ccc(C(C)(C)C)cc1 ZINC000577409490 435061286 /nfs/dbraw/zinc/06/12/86/435061286.db2.gz YUSOXKRLYGURRC-HZPDHXFCSA-N 0 1 304.434 3.006 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@H](CO)C[C@@H]2C)cc1Cl ZINC000577857896 435062630 /nfs/dbraw/zinc/06/26/30/435062630.db2.gz RXSUQGVJRTWNGG-ZFWWWQNUSA-N 0 1 309.837 3.498 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)CCCC#N)CCN1Cc1ccccc1 ZINC000529799771 435040873 /nfs/dbraw/zinc/04/08/73/435040873.db2.gz GIUZCNXALQYRFD-SFHVURJKSA-N 0 1 313.445 3.049 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000494687419 435197217 /nfs/dbraw/zinc/19/72/17/435197217.db2.gz CNRKPFIWXHZZHV-SJORKVTESA-N 0 1 319.424 3.179 20 30 DGEDMN N#Cc1ccc(NC(=O)CC[N@H+]2CC[C@H]2Cc2ccccc2)cc1 ZINC000533024050 435205820 /nfs/dbraw/zinc/20/58/20/435205820.db2.gz XDSCTXXJJBYIRA-IBGZPJMESA-N 0 1 319.408 3.204 20 30 DGEDMN C=CCC[C@@H](O)CN1CCCC[C@@H]1c1nc2ccccc2n1C ZINC000533065331 435208371 /nfs/dbraw/zinc/20/83/71/435208371.db2.gz KHSGYUDOKNOIEX-CRAIPNDOSA-N 0 1 313.445 3.427 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000562046878 435249124 /nfs/dbraw/zinc/24/91/24/435249124.db2.gz ZQQWGQQRCORFIS-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN N#CC(C(=O)c1conc1C1CC1)c1ccc(C(F)(F)F)cn1 ZINC000562166798 435255824 /nfs/dbraw/zinc/25/58/24/435255824.db2.gz MAWGBODQWDFQFM-SNVBAGLBSA-N 0 1 321.258 3.456 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCNC[C@@H]1c1ccc(CC)cc1 ZINC000565151160 435277053 /nfs/dbraw/zinc/27/70/53/435277053.db2.gz JGZUCFNYTGZWQC-MAUKXSAKSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN(C)[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC000481268339 435417342 /nfs/dbraw/zinc/41/73/42/435417342.db2.gz JPLMBOPCZPMANX-AWEZNQCLSA-N 0 1 312.335 3.319 20 30 DGEDMN C=C(C)CN(C)[C@@H]1CCCN(c2ccc(OC(F)F)cc2)C1=O ZINC000481299158 435418809 /nfs/dbraw/zinc/41/88/09/435418809.db2.gz DTOINQWOSVCNHG-OAHLLOKOSA-N 0 1 324.371 3.291 20 30 DGEDMN COc1ccc2c(c1)CCN(CC#Cc1ccc(F)cc1)CC2 ZINC000536344374 435378296 /nfs/dbraw/zinc/37/82/96/435378296.db2.gz HWHQSOUIVVHRCD-UHFFFAOYSA-N 0 1 309.384 3.287 20 30 DGEDMN CN(CCOc1cccc(C#N)c1)Cc1cc(F)c(F)c(F)c1 ZINC000513502486 435392851 /nfs/dbraw/zinc/39/28/51/435392851.db2.gz ACQXVHZLTSSMMT-UHFFFAOYSA-N 0 1 320.314 3.486 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC=C(c2ccccn2)C1 ZINC000515200498 435467158 /nfs/dbraw/zinc/46/71/58/435467158.db2.gz GJWCSJOMHSGNJS-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000539390321 435551834 /nfs/dbraw/zinc/55/18/34/435551834.db2.gz ZZWWWKKXHZGBGK-OAHLLOKOSA-N 0 1 321.440 3.302 20 30 DGEDMN CN1CCN(CC#Cc2ccc(F)cc2)[C@@H](c2ccccc2)C1 ZINC000539482625 435555351 /nfs/dbraw/zinc/55/53/51/435555351.db2.gz SAZFITMNNUOVJV-HXUWFJFHSA-N 0 1 308.400 3.166 20 30 DGEDMN C=CCCCCN(CC)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000649237537 435544690 /nfs/dbraw/zinc/54/46/90/435544690.db2.gz KMIHZFLGFBOKKY-UHFFFAOYSA-N 0 1 318.417 3.075 20 30 DGEDMN N#Cc1cccc(OCC[N@@H+]2Cc3ccc(O)cc3C3(CC3)C2)c1 ZINC000570375868 435615193 /nfs/dbraw/zinc/61/51/93/435615193.db2.gz DECSILFIKZEHFO-UHFFFAOYSA-N 0 1 320.392 3.190 20 30 DGEDMN N#Cc1cccc(OCCN2Cc3ccc(O)cc3C3(CC3)C2)c1 ZINC000570375868 435615198 /nfs/dbraw/zinc/61/51/98/435615198.db2.gz DECSILFIKZEHFO-UHFFFAOYSA-N 0 1 320.392 3.190 20 30 DGEDMN CC(C)[C@@H](NC(=O)CN1CCC(CC#N)CC1)c1ccccc1 ZINC000541952583 435645093 /nfs/dbraw/zinc/64/50/93/435645093.db2.gz RRGNDYGUIUOPQV-LJQANCHMSA-N 0 1 313.445 3.126 20 30 DGEDMN C#CCN1CCC(C(=O)N(C2CC2)[C@@H]2CCc3ccccc32)CC1 ZINC000490594674 435666383 /nfs/dbraw/zinc/66/63/83/435666383.db2.gz UUDAPJCLGVHOTE-HXUWFJFHSA-N 0 1 322.452 3.010 20 30 DGEDMN Cc1ccc2cccc(NS(=O)(=O)CCC(C)(C)C#N)c2n1 ZINC000571364086 435698256 /nfs/dbraw/zinc/69/82/56/435698256.db2.gz XEXXMYNUVXSZKG-UHFFFAOYSA-N 0 1 317.414 3.225 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cnccc3C(C)(C)C)no2)CC1 ZINC000491404704 435742189 /nfs/dbraw/zinc/74/21/89/435742189.db2.gz DNUMPBAQLGDCEZ-UHFFFAOYSA-N 0 1 324.428 3.242 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](c3ccccc3)C2(C)C)CC1 ZINC000491414634 435745101 /nfs/dbraw/zinc/74/51/01/435745101.db2.gz DRDOGWNCKXJYFN-IBGZPJMESA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2oc3c(cccc3C)c2C)C1=O ZINC000649820090 435715205 /nfs/dbraw/zinc/71/52/05/435715205.db2.gz QROVKEPRYRNSDS-INIZCTEOSA-N 0 1 312.413 3.268 20 30 DGEDMN C#C[C@@H](CC)NCc1c(C)nn(Cc2ccc(OC)cc2)c1C ZINC000491665513 435788704 /nfs/dbraw/zinc/78/87/04/435788704.db2.gz JBBVWLQXUIWMOG-KRWDZBQOSA-N 0 1 311.429 3.058 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cc(Br)c(O)c(OCC)c1 ZINC000491716210 435798702 /nfs/dbraw/zinc/79/87/02/435798702.db2.gz MJOZFEXHHPIVBQ-SNVBAGLBSA-N 0 1 312.207 3.007 20 30 DGEDMN C#CCN(C[C@H](C)c1ccc(S(C)(=O)=O)cc1)C1CCCC1 ZINC000491764981 435807490 /nfs/dbraw/zinc/80/74/90/435807490.db2.gz CLIAPHQNOZRIAY-HNNXBMFYSA-N 0 1 319.470 3.071 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)[C@]1(C#N)CC12CCCC2 ZINC000572091117 435779965 /nfs/dbraw/zinc/77/99/65/435779965.db2.gz UIMGKWWMDLAJCI-GOSISDBHSA-N 0 1 314.433 3.140 20 30 DGEDMN C=C(C)[C@@H](CO)N1CC[C@@H](c2cccc(Br)c2)C1 ZINC000650009864 435785387 /nfs/dbraw/zinc/78/53/87/435785387.db2.gz QPMRNWUHVODWHP-UKRRQHHQSA-N 0 1 310.235 3.175 20 30 DGEDMN CC[C@H](N[C@@H](CO)c1ccccc1OC)c1ccc(C#N)cc1 ZINC000572469010 435827967 /nfs/dbraw/zinc/82/79/67/435827967.db2.gz HDUOIORXVIECBS-ROUUACIJSA-N 0 1 310.397 3.341 20 30 DGEDMN COC(=O)[C@H](Cc1ccccc1)[C@@H](C)NCc1ccccc1C#N ZINC000551718147 435850384 /nfs/dbraw/zinc/85/03/84/435850384.db2.gz QIYABRUDYBUIIZ-DNVCBOLYSA-N 0 1 322.408 3.068 20 30 DGEDMN C=CCc1cc(C[N@@H+](CC(=O)[O-])CC(C)(C)C)cc(OC)c1O ZINC000665350267 435953222 /nfs/dbraw/zinc/95/32/22/435953222.db2.gz JLERGUSJAQLXSJ-UHFFFAOYSA-N 0 1 321.417 3.062 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@H](C)c2n[nH]c(CC)n2)CCCC1 ZINC000665588841 436012772 /nfs/dbraw/zinc/01/27/72/436012772.db2.gz FLDJXPDVALDZFK-CYBMUJFWSA-N 0 1 319.453 3.254 20 30 DGEDMN N#CC(C(=O)c1cccc2c1OCO2)c1nc(C2CC2)cs1 ZINC000647377592 436035744 /nfs/dbraw/zinc/03/57/44/436035744.db2.gz XHWRZKACMAFYHK-NSHDSACASA-N 0 1 312.350 3.239 20 30 DGEDMN N#C[C@@H](C(=O)c1cccc2c1OCO2)c1nc(C2CC2)cs1 ZINC000647377592 436035748 /nfs/dbraw/zinc/03/57/48/436035748.db2.gz XHWRZKACMAFYHK-NSHDSACASA-N 0 1 312.350 3.239 20 30 DGEDMN C=CC[C@H]1CCCN(CCCS(=O)(=O)c2cccc(C)c2)C1 ZINC000661386930 436049684 /nfs/dbraw/zinc/04/96/84/436049684.db2.gz WUSQLXDFMDIQRH-KRWDZBQOSA-N 0 1 321.486 3.447 20 30 DGEDMN C=CCCn1cc(CN[C@H](C)COc2c(C)cccc2C)nn1 ZINC000657327817 436139703 /nfs/dbraw/zinc/13/97/03/436139703.db2.gz PBOUGCPERPQTMW-MRXNPFEDSA-N 0 1 314.433 3.028 20 30 DGEDMN C=CCCNC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000661569441 436110212 /nfs/dbraw/zinc/11/02/12/436110212.db2.gz ACIGNZHOAONNNK-INIZCTEOSA-N 0 1 321.852 3.352 20 30 DGEDMN C=CCCn1cc(CNC[C@H](C)c2ccc(F)cc2F)nn1 ZINC000657381524 436168129 /nfs/dbraw/zinc/16/81/29/436168129.db2.gz GVWUYSKFRORLDJ-LBPRGKRZSA-N 0 1 306.360 3.026 20 30 DGEDMN C=CCN(CC(=O)Nc1cc(C)nn1C(C)(C)C)C(C)(C)C ZINC000661756295 436179405 /nfs/dbraw/zinc/17/94/05/436179405.db2.gz JGRCDPYXINIJCO-UHFFFAOYSA-N 0 1 306.454 3.172 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@@H]2CCc2ccccc2)nn1 ZINC000653498705 436184987 /nfs/dbraw/zinc/18/49/87/436184987.db2.gz IYTBREAGDRDOGR-LJQANCHMSA-N 0 1 310.445 3.451 20 30 DGEDMN C=CCCn1cc(CNCCCOc2cccc(C)c2C)nn1 ZINC000657451744 436208684 /nfs/dbraw/zinc/20/86/84/436208684.db2.gz HGBJCWWXANXXFK-UHFFFAOYSA-N 0 1 314.433 3.030 20 30 DGEDMN C=CCCn1cc(CNCCc2ccc(Cl)c(C)c2)nn1 ZINC000657470594 436216245 /nfs/dbraw/zinc/21/62/45/436216245.db2.gz RWPMEGYCKGFKHX-UHFFFAOYSA-N 0 1 304.825 3.148 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)N1CCSc2ccccc21 ZINC000662081669 436268335 /nfs/dbraw/zinc/26/83/35/436268335.db2.gz QPVHEBYEQMEQOZ-GASCZTMLSA-N 0 1 314.454 3.308 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000663242754 436429074 /nfs/dbraw/zinc/42/90/74/436429074.db2.gz SBINLXCXIYWJMQ-HNNXBMFYSA-N 0 1 319.449 3.461 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)[C@@H](C)c1n[nH]c(CC)n1 ZINC000659848917 436543269 /nfs/dbraw/zinc/54/32/69/436543269.db2.gz JWNNDALKBWJAKO-ZDUSSCGKSA-N 0 1 300.406 3.125 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCCc1c(F)cccc1F ZINC000655491664 436555789 /nfs/dbraw/zinc/55/57/89/436555789.db2.gz JRJYTRIFPBXOPE-UHFFFAOYSA-N 0 1 311.357 3.173 20 30 DGEDMN Cc1ccccc1[C@@H]1CCCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330199324 302147091 /nfs/dbraw/zinc/14/70/91/302147091.db2.gz VGSWIPKCIRVZII-RDJZCZTQSA-N 0 1 324.428 3.257 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1c2ccccc2CC12CCOCC2 ZINC000290090714 303154633 /nfs/dbraw/zinc/15/46/33/303154633.db2.gz HSZXMBGZAHPYQV-CRAIPNDOSA-N 0 1 309.413 3.114 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000172528623 312750463 /nfs/dbraw/zinc/75/04/63/312750463.db2.gz ISAFCDSRKAPUGE-GOSISDBHSA-N 0 1 324.403 3.366 20 30 DGEDMN CCOc1cc(CNCc2cc(C#N)cs2)ccc1OC ZINC000177701722 312783457 /nfs/dbraw/zinc/78/34/57/312783457.db2.gz NJZUZDYGRFUOFJ-UHFFFAOYSA-N 0 1 302.399 3.317 20 30 DGEDMN C[C@H]1CC(F)(F)CCN1CCC(=O)Nc1sccc1C#N ZINC000528770575 313079254 /nfs/dbraw/zinc/07/92/54/313079254.db2.gz UJDBDZKESPGDDR-JTQLQIEISA-N 0 1 313.373 3.068 20 30 DGEDMN COc1ccc2c(c1)[C@@H](NCc1cc(C#N)cs1)CCO2 ZINC000546634811 314316852 /nfs/dbraw/zinc/31/68/52/314316852.db2.gz GPHGAHRYVPCJOZ-HNNXBMFYSA-N 0 1 300.383 3.242 20 30 DGEDMN CCC(=O)Nc1cccc(CNCc2ccc(C#N)c(OC)c2)c1 ZINC000555926845 314739002 /nfs/dbraw/zinc/73/90/02/314739002.db2.gz GBSWTTUQJHKVNH-UHFFFAOYSA-N 0 1 323.396 3.205 20 30 DGEDMN N#Cc1nccnc1S[C@H]1CCCN(Cc2ccccc2)C1 ZINC000575742950 316057752 /nfs/dbraw/zinc/05/77/52/316057752.db2.gz MEQIRZZGLKUQNZ-HNNXBMFYSA-N 0 1 310.426 3.105 20 30 DGEDMN C[C@@H](O)C[C@@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000576222212 316107734 /nfs/dbraw/zinc/10/77/34/316107734.db2.gz RTLUXKXQKVFXLQ-DOMZBBRYSA-N 0 1 324.371 3.285 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2cccc(C#N)c2)c1Cl ZINC000179952957 323218020 /nfs/dbraw/zinc/21/80/20/323218020.db2.gz IBRHZTQKRLVSJF-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cnc([C@H](NCCOc2ccc(C#N)cc2)C2CC2)s1 ZINC000185315615 323386757 /nfs/dbraw/zinc/38/67/57/323386757.db2.gz RFBZHVFMGCQKQU-MRXNPFEDSA-N 0 1 313.426 3.443 20 30 DGEDMN C[C@@H]1CCC[C@@H](CO)N1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000181392654 332193048 /nfs/dbraw/zinc/19/30/48/332193048.db2.gz MQUANYIBXFQMRT-CJNGLKHVSA-N 0 1 311.347 3.292 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cc(C(C)C)[nH]n3)[nH]c21 ZINC000345369996 335321014 /nfs/dbraw/zinc/32/10/14/335321014.db2.gz HZODRGOOPGNEGA-LLVKDONJSA-N 0 1 307.357 3.208 20 30 DGEDMN COC(=O)[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1ccc(CC#N)cc1 ZINC000331932848 336153588 /nfs/dbraw/zinc/15/35/88/336153588.db2.gz DSJHOBSHSVAYHW-SQNIBIBYSA-N 0 1 312.413 3.059 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H]1CCCc2ccccc21 ZINC000532355539 336243052 /nfs/dbraw/zinc/24/30/52/336243052.db2.gz KRCPYIBBFMVPBI-QAPCUYQASA-N 0 1 313.445 3.004 20 30 DGEDMN CC1(C)[C@H](NC[C@H](C#N)CCC#N)C[C@@H]1OCc1ccccc1 ZINC000582819939 337200852 /nfs/dbraw/zinc/20/08/52/337200852.db2.gz INAYRIZZMNTMER-KSZLIROESA-N 0 1 311.429 3.403 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N(C)Cc2cnc3c(C#N)cnn3c2)c1 ZINC000584470576 337345208 /nfs/dbraw/zinc/34/52/08/337345208.db2.gz QSVDMSFJCRKRFM-OAHLLOKOSA-N 0 1 319.412 3.411 20 30 DGEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC000516084398 338004193 /nfs/dbraw/zinc/00/41/93/338004193.db2.gz AAGDPUQMPNRFFN-WRSVWVKZSA-N 0 1 316.449 3.203 20 30 DGEDMN C=CCNC(=O)CN[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000498688171 340021829 /nfs/dbraw/zinc/02/18/29/340021829.db2.gz MSLKYYFLVRQFKD-QGZVFWFLSA-N 0 1 300.402 3.412 20 30 DGEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)c1ccc(F)cc1 ZINC000507686945 340228128 /nfs/dbraw/zinc/22/81/28/340228128.db2.gz IIPQPLNTPCVUPY-KDOFPFPSSA-N 0 1 319.424 3.263 20 30 DGEDMN CC(C)(O)[C@H]1CCC[N@H+]1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000305742612 340388066 /nfs/dbraw/zinc/38/80/66/340388066.db2.gz NYRWUJWZWGKLKG-OAHLLOKOSA-N 0 1 311.347 3.292 20 30 DGEDMN C=CCN(CC=C)Cc1cc2c(c(Br)c1)OCO2 ZINC000513785382 340424880 /nfs/dbraw/zinc/42/48/80/340424880.db2.gz LHUFYEYUGWPLJS-UHFFFAOYSA-N 0 1 310.191 3.352 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(OCCC(C)C)CC1 ZINC000193115501 340572641 /nfs/dbraw/zinc/57/26/41/340572641.db2.gz UGOHDRFPQFYWDY-KRWDZBQOSA-N 0 1 324.509 3.327 20 30 DGEDMN C[C@@H](C(=O)NCCC1=CCCCC1)N1CCC(CC#N)CC1 ZINC000542879220 341024915 /nfs/dbraw/zinc/02/49/15/341024915.db2.gz PBBRWZBOGVYITC-HNNXBMFYSA-N 0 1 303.450 3.007 20 30 DGEDMN N#CCC1CCN([C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000542882601 341025025 /nfs/dbraw/zinc/02/50/25/341025025.db2.gz AYXUGMXOEMBMAC-INIZCTEOSA-N 0 1 317.820 3.071 20 30 DGEDMN C[C@H](C#N)CSc1ccccc1NC(=O)CN(C)C1CCC1 ZINC000072722340 341101540 /nfs/dbraw/zinc/10/15/40/341101540.db2.gz VKPAYLWUNFIRMH-CYBMUJFWSA-N 0 1 317.458 3.361 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CCC(C)CC1 ZINC000073602709 341118785 /nfs/dbraw/zinc/11/87/85/341118785.db2.gz LDONBMTUBDOOHO-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN CCCCN(C)[C@H](C)C(=O)N(CCC#N)c1ccccc1OC ZINC000073601566 341118834 /nfs/dbraw/zinc/11/88/34/341118834.db2.gz ZPMBHCHUSYMPNT-OAHLLOKOSA-N 0 1 317.433 3.062 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-c3n[nH]c(C)n3)cc2)n1 ZINC000134110200 341206649 /nfs/dbraw/zinc/20/66/49/341206649.db2.gz VQTLXZMGUOURIE-CYBMUJFWSA-N 0 1 323.381 3.035 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc(-c4ccccn4)[nH]c3c2)CCC1 ZINC000134912751 341226691 /nfs/dbraw/zinc/22/66/91/341226691.db2.gz NDBAAZJETCRXLL-UHFFFAOYSA-N 0 1 317.352 3.257 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1 ZINC000552053719 341365447 /nfs/dbraw/zinc/36/54/47/341365447.db2.gz TVMHKZZNCAIBLE-AFAVFJNCSA-N 0 1 319.355 3.298 20 30 DGEDMN Cc1cc(Cl)ccc1OCCCNC[C@H](C#N)CCC#N ZINC000566108809 341547853 /nfs/dbraw/zinc/54/78/53/341547853.db2.gz HPQIBWAYWYLXMB-AWEZNQCLSA-N 0 1 305.809 3.450 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](CC)c1c(C)noc1C ZINC000155911223 341614799 /nfs/dbraw/zinc/61/47/99/341614799.db2.gz WHKHBYPBSWWOJB-UKRRQHHQSA-N 0 1 307.438 3.145 20 30 DGEDMN Cc1cc(CN[C@@H]2C[C@H](C)N(c3ccccc3)C2=O)ccc1C#N ZINC000444002256 533726137 /nfs/dbraw/zinc/72/61/37/533726137.db2.gz NCUZDJXZJFGGCB-HNAYVOBHSA-N 0 1 319.408 3.150 20 30 DGEDMN C[C@H](NCC#Cc1ccc(F)cc1)c1ccc([S@@](C)=O)cc1 ZINC000360207428 533814477 /nfs/dbraw/zinc/81/44/77/533814477.db2.gz HGNHUDFFUWFSLD-RCDICMHDSA-N 0 1 315.413 3.265 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1 ZINC000357796950 534286024 /nfs/dbraw/zinc/28/60/24/534286024.db2.gz FQVDWOTVROZVRR-GFCCVEGCSA-N 0 1 317.352 3.237 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(CC)s1 ZINC001507097974 1186359602 /nfs/dbraw/zinc/35/96/02/1186359602.db2.gz IUHDYVXNXUDEMV-NSHDSACASA-N 0 1 300.855 3.113 20 30 DGEDMN Cc1noc(C[C@H](NCc2ccc(C#N)cc2)c2ccccc2)n1 ZINC000428639227 534423176 /nfs/dbraw/zinc/42/31/76/534423176.db2.gz HSQCQNCYDAZZPG-SFHVURJKSA-N 0 1 318.380 3.323 20 30 DGEDMN C[C@@H]1CN(CCOCC(F)(F)C(F)(F)F)CCC1(F)F ZINC000352026709 534471109 /nfs/dbraw/zinc/47/11/09/534471109.db2.gz HQPPKSGAIQVTGE-MRVPVSSYSA-N 0 1 311.241 3.178 20 30 DGEDMN C=C[C@@H]1CCCCN1CCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000489481151 534559625 /nfs/dbraw/zinc/55/96/25/534559625.db2.gz OIOGJCPCYWYFOV-CYBMUJFWSA-N 0 1 306.362 3.023 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000451679416 534575442 /nfs/dbraw/zinc/57/54/42/534575442.db2.gz GTXOAOCIAGXDID-CABCVRRESA-N 0 1 320.339 3.443 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H](O)COC(C)C ZINC000096990340 526336926 /nfs/dbraw/zinc/33/69/26/526336926.db2.gz XJPVSVLYDHGUPY-GFCCVEGCSA-N 0 1 303.855 3.175 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](c2ccc(F)cc2F)C(C)C)C1=O ZINC000337177249 526483852 /nfs/dbraw/zinc/48/38/52/526483852.db2.gz JKDILGQTWNXFNM-HZPDHXFCSA-N 0 1 308.372 3.038 20 30 DGEDMN C=C(C)CN(CCCc1nc(C)no1)Cc1cnn(C(C)C)c1 ZINC000352899860 526551894 /nfs/dbraw/zinc/55/18/94/526551894.db2.gz FVANUOJFFKJMMR-UHFFFAOYSA-N 0 1 317.437 3.166 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(Oc2cccc(F)c2)cc1 ZINC000444002676 526570059 /nfs/dbraw/zinc/57/00/59/526570059.db2.gz PETCWNPNBNXBPX-UHFFFAOYSA-N 0 1 314.360 3.010 20 30 DGEDMN C=CCNC(=O)CN[C@@H](c1ccc(Cl)c(Cl)c1)C1CC1 ZINC000180028568 526571442 /nfs/dbraw/zinc/57/14/42/526571442.db2.gz MVSUXIAEKMPEEP-OAHLLOKOSA-N 0 1 313.228 3.336 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(OCc2ccccc2)cc1 ZINC000180028161 526571667 /nfs/dbraw/zinc/57/16/67/526571667.db2.gz UUHSNXSNZRYBQJ-INIZCTEOSA-N 0 1 324.424 3.218 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000185490694 526669153 /nfs/dbraw/zinc/66/91/53/526669153.db2.gz JLGLSXMURBNSTE-HNNXBMFYSA-N 0 1 311.385 3.402 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000192187586 526679172 /nfs/dbraw/zinc/67/91/72/526679172.db2.gz HXBFHKJIZMIOSH-OAHLLOKOSA-N 0 1 309.413 3.381 20 30 DGEDMN C=CCOCCCN1CCN(Cc2ccc(CC)s2)CC1 ZINC000348047250 526755338 /nfs/dbraw/zinc/75/53/38/526755338.db2.gz JLYXLJASDKEBDO-UHFFFAOYSA-N 0 1 308.491 3.021 20 30 DGEDMN C=CCOCCCN1CCN(Cc2ccccc2Cl)CC1 ZINC000348040302 526755437 /nfs/dbraw/zinc/75/54/37/526755437.db2.gz VAKAUZDWCUXERZ-UHFFFAOYSA-N 0 1 308.853 3.050 20 30 DGEDMN C=CCOCCCN1CCN(C(=O)CCC2CCCCC2)CC1 ZINC000347966539 526755628 /nfs/dbraw/zinc/75/56/28/526755628.db2.gz NVVOHPRPEGXJMJ-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCOCCN(C)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O ZINC000338926104 526768534 /nfs/dbraw/zinc/76/85/34/526768534.db2.gz PEVYWUQDPIIVTP-CABCVRRESA-N 0 1 316.228 3.086 20 30 DGEDMN C=CCOCCSc1nc(-c2ccc3c(c2)CCC3)n[nH]1 ZINC000338553884 526783156 /nfs/dbraw/zinc/78/31/56/526783156.db2.gz QBRYTVRRQAUAKY-UHFFFAOYSA-N 0 1 301.415 3.255 20 30 DGEDMN C=CCOc1ccc(CNCc2cnn3ccccc23)cc1OC ZINC000353022649 526887945 /nfs/dbraw/zinc/88/79/45/526887945.db2.gz VZQKTCAOTRVOSN-UHFFFAOYSA-N 0 1 323.396 3.198 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2cccc(C(F)(F)F)c2)CC1 ZINC000341997744 526902743 /nfs/dbraw/zinc/90/27/43/526902743.db2.gz IFGXUEWIAALZHL-CQSZACIVSA-N 0 1 315.335 3.097 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2c2ccc(C)cc2)CC1 ZINC000126848761 526919379 /nfs/dbraw/zinc/91/93/79/526919379.db2.gz NQHCEWOSWFLIFJ-HXUWFJFHSA-N 0 1 324.468 3.394 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC(c3ccccc3C)CC2)CC1 ZINC000106662147 526919666 /nfs/dbraw/zinc/91/96/66/526919666.db2.gz YZBXKULKFAMLHL-UHFFFAOYSA-N 0 1 324.468 3.046 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000432087805 527008521 /nfs/dbraw/zinc/00/85/21/527008521.db2.gz GFACDIDVIOSTDQ-UHFFFAOYSA-N 0 1 300.406 3.273 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000432081066 527008577 /nfs/dbraw/zinc/00/85/77/527008577.db2.gz QHRXAVZNBMPXTI-OAHLLOKOSA-N 0 1 319.449 3.318 20 30 DGEDMN C=CC[C@@H](C)NCc1cn(-c2ccccc2Br)nn1 ZINC000352843091 527031454 /nfs/dbraw/zinc/03/14/54/527031454.db2.gz QNBIKGXNHLAEOO-LLVKDONJSA-N 0 1 321.222 3.084 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000347745324 527044143 /nfs/dbraw/zinc/04/41/43/527044143.db2.gz NLXYYIXPSQZYBB-MAUKXSAKSA-N 0 1 315.461 3.087 20 30 DGEDMN C=CCC1(C(=O)Nc2nc(C(=O)OCC)c[nH]2)CCCCC1 ZINC000415633884 527062062 /nfs/dbraw/zinc/06/20/62/527062062.db2.gz VZLMTZSSGPNOFO-UHFFFAOYSA-N 0 1 305.378 3.052 20 30 DGEDMN C=CCCC1(C(=O)N(C)CCCN(C)Cc2ccco2)CC1 ZINC000344069509 527080781 /nfs/dbraw/zinc/08/07/81/527080781.db2.gz WKXDRFAAVLVKEG-UHFFFAOYSA-N 0 1 304.434 3.306 20 30 DGEDMN C=CCCCC(=O)Nc1ccccc1N1CCN(CC)CC1 ZINC000177500893 527112187 /nfs/dbraw/zinc/11/21/87/527112187.db2.gz QHEAZEMACGLITJ-UHFFFAOYSA-N 0 1 301.434 3.123 20 30 DGEDMN C=CCCCCCCCCNC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000288045567 527114036 /nfs/dbraw/zinc/11/40/36/527114036.db2.gz RMMYDXAVSCGJPT-ZDUSSCGKSA-N 0 1 307.442 3.390 20 30 DGEDMN C=CCn1c(S[C@H](C(=O)c2ccccc2)C(C)C)n[nH]c1=O ZINC000348140666 527333944 /nfs/dbraw/zinc/33/39/44/527333944.db2.gz BNAAWBWQQYCJON-AWEZNQCLSA-N 0 1 317.414 3.169 20 30 DGEDMN C=C[C@@H](C)NC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000128469371 527404421 /nfs/dbraw/zinc/40/44/21/527404421.db2.gz HOWCWHUACIHHNL-CZUORRHYSA-N 0 1 321.852 3.351 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(Cl)ccc1OCCC ZINC000431201974 527420941 /nfs/dbraw/zinc/42/09/41/527420941.db2.gz GLBTZVRWLYJLEJ-UHFFFAOYSA-N 0 1 303.811 3.447 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)[C@H]2CCCc3[nH]ncc32)cc1 ZINC000134474014 527497149 /nfs/dbraw/zinc/49/71/49/527497149.db2.gz NNIRHDKRUDVQMW-AWEZNQCLSA-N 0 1 308.385 3.269 20 30 DGEDMN CC(=Cc1ccc(OC(C)C)cc1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435337285 527500550 /nfs/dbraw/zinc/50/05/50/527500550.db2.gz VNBVIBYPEZOISK-XFXZXTDPSA-N 0 1 309.369 3.298 20 30 DGEDMN CC(=Cc1cc2cc(F)ccc2o1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435334388 527523988 /nfs/dbraw/zinc/52/39/88/527523988.db2.gz AIEVPPJIZJTKPP-WEVVVXLNSA-N 0 1 309.300 3.396 20 30 DGEDMN C=CCN(C)C(=O)c1cc(-c2ccc(Br)cc2)[nH]n1 ZINC000427956067 527569478 /nfs/dbraw/zinc/56/94/78/527569478.db2.gz BXHWAQNBBVDWLH-UHFFFAOYSA-N 0 1 320.190 3.097 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@H](CC)c1cccc(OC)c1 ZINC000343956383 527605691 /nfs/dbraw/zinc/60/56/91/527605691.db2.gz DJAQOCUOEUVAPO-GOSISDBHSA-N 0 1 316.445 3.279 20 30 DGEDMN C=CCN(CC=C)C(=O)CNC1(c2ccc(Cl)cc2)CC1 ZINC000341873843 527614650 /nfs/dbraw/zinc/61/46/50/527614650.db2.gz HDALVZGTAODHBP-UHFFFAOYSA-N 0 1 304.821 3.119 20 30 DGEDMN CC(C)CCC1CCN([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000346436415 527700186 /nfs/dbraw/zinc/70/01/86/527700186.db2.gz QRPJOFGRXNRITR-OAHLLOKOSA-N 0 1 305.466 3.086 20 30 DGEDMN CC(C)[C@H]1CCCN(Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000444751410 527777438 /nfs/dbraw/zinc/77/74/38/527777438.db2.gz OYBOLYUXFDCTPG-INIZCTEOSA-N 0 1 310.401 3.476 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H](C)c1cc2ccccc2o1 ZINC000181761490 527931409 /nfs/dbraw/zinc/93/14/09/527931409.db2.gz FQIAOCIRXUSATG-ACJLOTCBSA-N 0 1 313.401 3.138 20 30 DGEDMN CCNC(=O)C(C)(C)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000157189873 528008011 /nfs/dbraw/zinc/00/80/11/528008011.db2.gz UKXIEUBLIKFVOB-REZTVBANSA-N 0 1 317.216 3.280 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccc(N(C)C)cn2)n1 ZINC000358779635 528241560 /nfs/dbraw/zinc/24/15/60/528241560.db2.gz LIQJTPSSIGPZBC-GFCCVEGCSA-N 0 1 314.414 3.218 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)c2ccc(N(C)C)cn2)n1 ZINC000358779635 528241562 /nfs/dbraw/zinc/24/15/62/528241562.db2.gz LIQJTPSSIGPZBC-GFCCVEGCSA-N 0 1 314.414 3.218 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1c(O)cccc1F ZINC000185117945 528381102 /nfs/dbraw/zinc/38/11/02/528381102.db2.gz RILBWWQOLCCJFK-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN CCN(CCC(=O)N[C@H]1CCCc2ccccc21)C[C@@H](C)C#N ZINC000356471144 528398506 /nfs/dbraw/zinc/39/85/06/528398506.db2.gz HMMBAOSLBNCVNQ-YJBOKZPZSA-N 0 1 313.445 3.052 20 30 DGEDMN CC(C)c1nc(CNc2ccc(C#N)cc2Br)n[nH]1 ZINC000295013214 528446849 /nfs/dbraw/zinc/44/68/49/528446849.db2.gz SUGGWOMNYCGQAT-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)C2(C#N)CCCCC2)c1 ZINC000331245752 528459106 /nfs/dbraw/zinc/45/91/06/528459106.db2.gz UFZUADDDJPDXHA-UHFFFAOYSA-N 0 1 300.358 3.397 20 30 DGEDMN CC(C)C[C@H](C)n1nccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000426884451 528490996 /nfs/dbraw/zinc/49/09/96/528490996.db2.gz YEXLADVYQQYRKI-LBPRGKRZSA-N 0 1 312.373 3.320 20 30 DGEDMN CCCc1cc(C(=O)C(C#N)c2cnc3ccccc3n2)ccn1 ZINC000355404268 528599456 /nfs/dbraw/zinc/59/94/56/528599456.db2.gz PJBPZXIGONYOHC-OAHLLOKOSA-N 0 1 316.364 3.467 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](C)c1ccc(SC)cc1 ZINC000337218555 528626452 /nfs/dbraw/zinc/62/64/52/528626452.db2.gz KGTRUUAUUKJXHQ-KBPBESRZSA-N 0 1 319.474 3.067 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](C)c1cc(C)sc1C ZINC000182980509 528695895 /nfs/dbraw/zinc/69/58/95/528695895.db2.gz VGTSILUHSVXJOU-YPMHNXCESA-N 0 1 307.463 3.024 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(OC[C@H]3CCCO3)cc2)CC1 ZINC000356457163 528841465 /nfs/dbraw/zinc/84/14/65/528841465.db2.gz NWJSERFUBJIHPH-GOSISDBHSA-N 0 1 314.429 3.370 20 30 DGEDMN CCO[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@H]1C ZINC000451523857 535631756 /nfs/dbraw/zinc/63/17/56/535631756.db2.gz DLSCLKRYMYPMRS-DOMZBBRYSA-N 0 1 324.371 3.407 20 30 DGEDMN CCc1c(-c2ccncc2)n[nH]c1NC(=O)c1ccc(C#N)s1 ZINC000487331117 536014567 /nfs/dbraw/zinc/01/45/67/536014567.db2.gz FBOCUEPVYNVBSW-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN COCCN(CCCC#N)Cc1cc(Br)cs1 ZINC000494068480 536834892 /nfs/dbraw/zinc/83/48/92/536834892.db2.gz HUTWEXDAGNWAJN-UHFFFAOYSA-N 0 1 317.252 3.263 20 30 DGEDMN COc1ncccc1C(=O)C(C#N)c1ccc2ccccc2n1 ZINC000093243622 545854823 /nfs/dbraw/zinc/85/48/23/545854823.db2.gz CUPYGJXWMBRWAM-CQSZACIVSA-N 0 1 303.321 3.128 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)C[C@H](C)CO ZINC000120755189 545889708 /nfs/dbraw/zinc/88/97/08/545889708.db2.gz UMKHQLXCYZMJKK-NSHDSACASA-N 0 1 316.214 3.205 20 30 DGEDMN COC[C@@H]1CCCN1CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000154492037 546018057 /nfs/dbraw/zinc/01/80/57/546018057.db2.gz PQHZDMGWYTVRNX-AWEZNQCLSA-N 0 1 313.319 3.048 20 30 DGEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000328658351 546480947 /nfs/dbraw/zinc/48/09/47/546480947.db2.gz QWQHDIZLVKZYPO-QKPAOTATSA-N 0 1 304.438 3.134 20 30 DGEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000328658351 546480953 /nfs/dbraw/zinc/48/09/53/546480953.db2.gz QWQHDIZLVKZYPO-QKPAOTATSA-N 0 1 304.438 3.134 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C[C@@H]3CCCC[C@H]3C)C2)c1 ZINC000329537791 546487190 /nfs/dbraw/zinc/48/71/90/546487190.db2.gz BRNYWTAIGUDTGY-OWCLPIDISA-N 0 1 304.438 3.179 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)[C@@H]1CCCN1c1ccccc1 ZINC000330212778 546496799 /nfs/dbraw/zinc/49/67/99/546496799.db2.gz DWMYFRHWNXVDIF-CVEARBPZSA-N 0 1 310.401 3.413 20 30 DGEDMN CC(C)[C@@H]1N(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC12CCC2 ZINC000330220336 546496832 /nfs/dbraw/zinc/49/68/32/546496832.db2.gz QDOWBQVRKRSAEJ-HIFRSBDPSA-N 0 1 302.422 3.212 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cccc(N(C)C)c1 ZINC000671721110 547815635 /nfs/dbraw/zinc/81/56/35/547815635.db2.gz YSMYJXBPOCOIJK-UHFFFAOYSA-N 0 1 314.429 3.416 20 30 DGEDMN COCC#CCN1CCC(c2nc3cc(Cl)ccc3o2)CC1 ZINC000677480199 548506283 /nfs/dbraw/zinc/50/62/83/548506283.db2.gz VCSCDCAZHFSFBO-UHFFFAOYSA-N 0 1 318.804 3.310 20 30 DGEDMN C=C(C)[C@@H](NCCn1ccccc1=O)c1ccc(F)c(F)c1 ZINC000681462301 549208137 /nfs/dbraw/zinc/20/81/37/549208137.db2.gz CRBYGAIJNHPOEJ-QGZVFWFLSA-N 0 1 304.340 3.034 20 30 DGEDMN CCc1cc(NN=Cc2cnc(C)s2)nc(-c2ccccn2)n1 ZINC000730463383 574529840 /nfs/dbraw/zinc/52/98/40/574529840.db2.gz DYGHBBZALDGRTK-UHFFFAOYSA-N 0 1 324.413 3.312 20 30 DGEDMN N#CCCSc1ccccc1NC(=S)NC1CCOCC1 ZINC000730953089 574539311 /nfs/dbraw/zinc/53/93/11/574539311.db2.gz MUGWRBGVDJXQKM-UHFFFAOYSA-N 0 1 321.471 3.158 20 30 DGEDMN CCOCCNC(=S)Nc1ccccc1SC[C@@H](C)C#N ZINC000730955284 574540126 /nfs/dbraw/zinc/54/01/26/574540126.db2.gz XGJBIGPVXADXSE-LBPRGKRZSA-N 0 1 323.487 3.261 20 30 DGEDMN Cc1nn(-c2ccccc2)c(C)c1CN=Nc1ccc(F)cn1 ZINC000731317688 574546794 /nfs/dbraw/zinc/54/67/94/574546794.db2.gz CFHIFUSSOXIMTF-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN COc1cccc(C=NNc2ccc(F)cn2)c1OC(C)C ZINC000731318618 574546975 /nfs/dbraw/zinc/54/69/75/574546975.db2.gz JLVVEWZKZHQGRL-UHFFFAOYSA-N 0 1 303.337 3.463 20 30 DGEDMN CCCCn1nc(C)c(CN=Nc2cc(C)ncn2)c1Cl ZINC000731934798 574562794 /nfs/dbraw/zinc/56/27/94/574562794.db2.gz KSENPHRSIHRTLA-UHFFFAOYSA-N 0 1 306.801 3.189 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)cn1 ZINC000732546248 574575788 /nfs/dbraw/zinc/57/57/88/574575788.db2.gz INIKKSKBQYQGNN-SNVBAGLBSA-N 0 1 324.771 3.084 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000732547407 574575863 /nfs/dbraw/zinc/57/58/63/574575863.db2.gz SKMLCZALDDPIFD-MNOVXSKESA-N 0 1 321.808 3.375 20 30 DGEDMN CC(C)n1cc(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)cn1 ZINC000732712671 574579683 /nfs/dbraw/zinc/57/96/83/574579683.db2.gz NJAMXHSGURBPDB-UHFFFAOYSA-N 0 1 304.304 3.065 20 30 DGEDMN C#CCCOc1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000733748063 574636524 /nfs/dbraw/zinc/63/65/24/574636524.db2.gz DZHHSJZQNBSITE-UHFFFAOYSA-N 0 1 316.364 3.478 20 30 DGEDMN CC(=O)Nc1cccc(C(C)=NNc2cnnc3ccccc32)c1 ZINC000733748104 574636539 /nfs/dbraw/zinc/63/65/39/574636539.db2.gz HNILZJFANHMRTF-UHFFFAOYSA-N 0 1 319.368 3.424 20 30 DGEDMN CCOc1cc(CN=Nc2cnnc3ccccc23)ccc1OC ZINC000733750074 574636902 /nfs/dbraw/zinc/63/69/02/574636902.db2.gz LOLZFLYVPRULNJ-UHFFFAOYSA-N 0 1 322.368 3.483 20 30 DGEDMN CCCCOCC(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000735767465 574713477 /nfs/dbraw/zinc/71/34/77/574713477.db2.gz KSLUMMQSSUIURL-CYBMUJFWSA-N 0 1 315.398 3.198 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735788625 574715078 /nfs/dbraw/zinc/71/50/78/574715078.db2.gz GGUXIMNAWFSUED-ZWNOBZJWSA-N 0 1 322.368 3.456 20 30 DGEDMN CC(C)[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000736394919 574747401 /nfs/dbraw/zinc/74/74/01/574747401.db2.gz LIGXLBNEJRYLKE-RDJZCZTQSA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@@H](C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1)c1ccccc1 ZINC000736574474 574759640 /nfs/dbraw/zinc/75/96/40/574759640.db2.gz DLKLPEFNSUONBJ-KSSFIOAISA-N 0 1 308.381 3.419 20 30 DGEDMN C[C@H]1c2ccccc2OCC[N@H+]1[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000736839545 574808562 /nfs/dbraw/zinc/80/85/62/574808562.db2.gz BOERRMFEKDUZRO-UGSOOPFHSA-N 0 1 322.364 3.140 20 30 DGEDMN C[C@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)Cc2ccccc21 ZINC000736809690 574807070 /nfs/dbraw/zinc/80/70/70/574807070.db2.gz QYQRATLZBXMENI-SCLBCKFNSA-N 0 1 306.365 3.303 20 30 DGEDMN CCS[C@@H]1CCCC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000737232123 574828132 /nfs/dbraw/zinc/82/81/32/574828132.db2.gz ZCGWFKSPDCCXOY-CVEARBPZSA-N 0 1 318.442 3.292 20 30 DGEDMN C[N@@H+](CCCC#N)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000737415946 574842173 /nfs/dbraw/zinc/84/21/73/574842173.db2.gz WLFGDRXLJOKMHP-GFCCVEGCSA-N 0 1 301.173 3.355 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)NCc1cc(CC)[nH]n1 ZINC000739020270 574889191 /nfs/dbraw/zinc/88/91/91/574889191.db2.gz QAGRHTPQSIJQJH-UHFFFAOYSA-N 0 1 304.438 3.250 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CCCc3ccccc3C2)cc1 ZINC000739105739 574892186 /nfs/dbraw/zinc/89/21/86/574892186.db2.gz OAHFCWOCBTZEAN-SFHVURJKSA-N 0 1 306.365 3.132 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccccc2F)nc(C)n1 ZINC000739144726 574892939 /nfs/dbraw/zinc/89/29/39/574892939.db2.gz WIDOHOBTRJFCKW-IAQYHMDHSA-N 0 1 311.360 3.288 20 30 DGEDMN COc1cccc(O)c1C=NNc1cccc(C(F)(F)F)n1 ZINC000739427032 574902838 /nfs/dbraw/zinc/90/28/38/574902838.db2.gz PYBHHDMNSHQBOW-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN CCC(=NN=c1cc[nH]c(N(C)C)n1)c1cccc(Cl)c1 ZINC000739417852 574902386 /nfs/dbraw/zinc/90/23/86/574902386.db2.gz DZSNAQIHLCVKON-UHFFFAOYSA-N 0 1 303.797 3.422 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c[nH]c3c2cccc3C)nc(C)n1 ZINC000741431984 574957850 /nfs/dbraw/zinc/95/78/50/574957850.db2.gz VXVGAQAZGOOZTO-MRXNPFEDSA-N 0 1 318.380 3.302 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)CN(C)CCCCCC ZINC000741729232 574968234 /nfs/dbraw/zinc/96/82/34/574968234.db2.gz GWZGHBHHRUZARW-UHFFFAOYSA-N 0 1 320.408 3.288 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000743442822 575051729 /nfs/dbraw/zinc/05/17/29/575051729.db2.gz IDEKBSMEMBOXHX-UHFFFAOYSA-N 0 1 302.284 3.383 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1ccc2c(c1)NC(=O)CO2 ZINC000743442593 575052083 /nfs/dbraw/zinc/05/20/83/575052083.db2.gz AWKDRMQFZSMTMN-UHFFFAOYSA-N 0 1 317.295 3.132 20 30 DGEDMN Clc1ccc2nc(CN=Nc3ccc(Cl)nc3)cn2c1 ZINC000743450569 575052319 /nfs/dbraw/zinc/05/23/19/575052319.db2.gz MWVCELSMUIWTSM-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN Clc1ccc(N=NC2CCN(Cc3ccccc3)C2)cn1 ZINC000743451077 575052341 /nfs/dbraw/zinc/05/23/41/575052341.db2.gz ZCLVSCXOLNDNHL-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC[C@@H]3CC[C@H](C)O3)[nH]c21 ZINC000744159749 575075361 /nfs/dbraw/zinc/07/53/61/575075361.db2.gz DUTBGLLXONOXBV-MELADBBJSA-N 0 1 311.385 3.395 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000730084664 575274378 /nfs/dbraw/zinc/27/43/78/575274378.db2.gz HZFUHVXZLGEFNY-QZTJIDSGSA-N 0 1 324.509 3.185 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc([S@@](C)=O)cc1 ZINC000746372505 575449199 /nfs/dbraw/zinc/44/91/99/575449199.db2.gz PVRKAMBEAGIQTC-HSZRJFAPSA-N 0 1 324.409 3.203 20 30 DGEDMN C#CCNCC(=O)N[C@H](CCCc1ccccc1)c1ccccc1 ZINC000746513478 575457337 /nfs/dbraw/zinc/45/73/37/575457337.db2.gz JCAGRRHGSSJCCR-HXUWFJFHSA-N 0 1 320.436 3.090 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2c(C)n[nH]c2C(F)(F)F)cc1 ZINC000746601542 575463875 /nfs/dbraw/zinc/46/38/75/575463875.db2.gz OHCYIBVEBXFTLJ-UHFFFAOYSA-N 0 1 323.274 3.001 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)CC(C)(C)C ZINC000747020939 575490570 /nfs/dbraw/zinc/49/05/70/575490570.db2.gz KCWAQUHTVSBLQF-CHWSQXEVSA-N 0 1 301.390 3.191 20 30 DGEDMN COc1cc(NC(C)=O)ccc1C(C)=NNc1ccc(C)cn1 ZINC000747750635 575538568 /nfs/dbraw/zinc/53/85/68/575538568.db2.gz CRWRJLGJSNPQFY-UHFFFAOYSA-N 0 1 312.373 3.193 20 30 DGEDMN CN(C)c1nccc(N=NCc2cccc(-c3ccccn3)c2)n1 ZINC000747764070 575539560 /nfs/dbraw/zinc/53/95/60/575539560.db2.gz LPHXLPDMAUJOCQ-UHFFFAOYSA-N 0 1 318.384 3.051 20 30 DGEDMN Cc1cc(C#N)ccc1C(=O)Nc1ccc(CN(C)C)c(F)c1 ZINC000747915891 575550904 /nfs/dbraw/zinc/55/09/04/575550904.db2.gz OOFOCLKXLWTPFZ-UHFFFAOYSA-N 0 1 311.360 3.320 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCn2cnc3ccccc32)c1 ZINC000748412248 575585309 /nfs/dbraw/zinc/58/53/09/575585309.db2.gz OEGWZOBJVVHMDK-UHFFFAOYSA-N 0 1 320.352 3.032 20 30 DGEDMN C[C@H](CC(=O)Nc1cc(C#N)ccc1O)C(=O)c1ccccc1 ZINC000748410865 575585350 /nfs/dbraw/zinc/58/53/50/575585350.db2.gz RVKAHOHFCXFNRE-GFCCVEGCSA-N 0 1 308.337 3.111 20 30 DGEDMN C[C@H](OC(=O)c1cc(-c2ccco2)n[nH]1)c1ccc(C#N)cc1 ZINC000749062322 575624465 /nfs/dbraw/zinc/62/44/65/575624465.db2.gz MRUKAHUKJYKXEJ-NSHDSACASA-N 0 1 307.309 3.459 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2)CC1N=Nc1ccccc1C(=O)[O-] ZINC000751520207 575788333 /nfs/dbraw/zinc/78/83/33/575788333.db2.gz FBZPHIBEPJFKOH-CQSZACIVSA-N 0 1 323.396 3.305 20 30 DGEDMN CN(C)c1nccc(N=NCc2c[nH]c3cc(Cl)ccc23)n1 ZINC000739418504 575914377 /nfs/dbraw/zinc/91/43/77/575914377.db2.gz UWZQWIDRFJDOQF-UHFFFAOYSA-N 0 1 314.780 3.123 20 30 DGEDMN N#Cc1cccc(C[N@@H+](CCCC(=O)[O-])Cc2ccccc2)c1 ZINC000739132855 575960079 /nfs/dbraw/zinc/96/00/79/575960079.db2.gz VGZIGTSZOIMPFN-UHFFFAOYSA-N 0 1 308.381 3.425 20 30 DGEDMN CCCCCCCC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000726775948 576041261 /nfs/dbraw/zinc/04/12/61/576041261.db2.gz WYUXLJKYIKSMEY-UHFFFAOYSA-N 0 1 300.406 3.448 20 30 DGEDMN CCCCCCCC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000726775948 576041263 /nfs/dbraw/zinc/04/12/63/576041263.db2.gz WYUXLJKYIKSMEY-UHFFFAOYSA-N 0 1 300.406 3.448 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1ccc(O)c(F)c1 ZINC000728430016 576181159 /nfs/dbraw/zinc/18/11/59/576181159.db2.gz WZGBSSPSCBQCAH-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2C[C@H]2c2ccc(F)cc2)nc(C)n1 ZINC000916880136 620648971 /nfs/dbraw/zinc/64/89/71/620648971.db2.gz LDLOHDSFVCZVQO-JYJNAYRXSA-N 0 1 309.344 3.213 20 30 DGEDMN CC(C)[C@H](C)[N@H+](C)CC(=O)[C@H](C#N)c1nc2ccccc2s1 ZINC000917102108 620656954 /nfs/dbraw/zinc/65/69/54/620656954.db2.gz XMZCDBXVIWBDKM-STQMWFEESA-N 0 1 315.442 3.449 20 30 DGEDMN CCn1ccnc1C(C)=NNc1cccc2c1OC(F)(F)O2 ZINC000853385115 620691260 /nfs/dbraw/zinc/69/12/60/620691260.db2.gz YQBFIAXLBKKKTA-UHFFFAOYSA-N 0 1 308.288 3.061 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)OC[C@H]1Cc1ccccc1 ZINC000853598908 620714187 /nfs/dbraw/zinc/71/41/87/620714187.db2.gz YEAOFUPAFBGZNT-FGTMMUONSA-N 0 1 312.413 3.190 20 30 DGEDMN CN1CCN(c2snc(Cl)c2C#N)CC12CCCCC2 ZINC000853656212 620720997 /nfs/dbraw/zinc/72/09/97/620720997.db2.gz KUKXMZMKILSEJV-UHFFFAOYSA-N 0 1 310.854 3.123 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000853779547 620737311 /nfs/dbraw/zinc/73/73/11/620737311.db2.gz LSLSPKIRWMDBLO-UHFFFAOYSA-N 0 1 313.405 3.016 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000853779547 620737314 /nfs/dbraw/zinc/73/73/14/620737314.db2.gz LSLSPKIRWMDBLO-UHFFFAOYSA-N 0 1 313.405 3.016 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cccc(OC)c2OC)c(O)c1 ZINC000033712147 620740444 /nfs/dbraw/zinc/74/04/44/620740444.db2.gz ZPBDKGAFNCLNTD-YFHOEESVSA-N 0 1 314.337 3.314 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NCCc1ccc2cn[nH]c2c1 ZINC000804705670 617655094 /nfs/dbraw/zinc/65/50/94/617655094.db2.gz ZOKXMBIBXSCWSA-UHFFFAOYSA-N 0 1 304.353 3.292 20 30 DGEDMN N#Cc1sc(NCCc2ccc3cn[nH]c3c2)nc1Cl ZINC000804707197 617655409 /nfs/dbraw/zinc/65/54/09/617655409.db2.gz XRUHANRJVYXBSK-UHFFFAOYSA-N 0 1 303.778 3.199 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000804832198 617680746 /nfs/dbraw/zinc/68/07/46/617680746.db2.gz YQXURZJBZBUAHQ-UHFFFAOYSA-N 0 1 315.376 3.352 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000804835495 617681963 /nfs/dbraw/zinc/68/19/63/617681963.db2.gz WTESFOJHJBJVHB-UHFFFAOYSA-N 0 1 321.405 3.414 20 30 DGEDMN C=C(C)c1cccc(NC(=O)CCSc2nnc(C)[nH]2)c1 ZINC000890891162 617698187 /nfs/dbraw/zinc/69/81/87/617698187.db2.gz ODGCOZWSWHKSBD-UHFFFAOYSA-N 0 1 302.403 3.267 20 30 DGEDMN C=C[C@H](C)ONC(=O)[C@@H](C)c1ccc(Br)s1 ZINC000846546111 617769627 /nfs/dbraw/zinc/76/96/27/617769627.db2.gz LLKZBBCEOPRQSZ-YUMQZZPRSA-N 0 1 304.209 3.236 20 30 DGEDMN C=CC[S@@](=O)(=NC(=O)C1SCCOC1=C)c1ccccc1 ZINC000891379741 617786591 /nfs/dbraw/zinc/78/65/91/617786591.db2.gz JPYVSYCKZBTESG-NRFANRHFSA-N 0 1 323.439 3.221 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000891521353 617824324 /nfs/dbraw/zinc/82/43/24/617824324.db2.gz RPGZZSPJZXHBPC-MRXNPFEDSA-N 0 1 319.449 3.414 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000891521352 617824503 /nfs/dbraw/zinc/82/45/03/617824503.db2.gz RPGZZSPJZXHBPC-INIZCTEOSA-N 0 1 319.449 3.414 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H](c3nnc4ccccn43)C2)cs1 ZINC000891531314 617828653 /nfs/dbraw/zinc/82/86/53/617828653.db2.gz DXFGECHCQAJZSU-AWEZNQCLSA-N 0 1 323.425 3.042 20 30 DGEDMN N#Cc1cc(CN(CCCO)[C@H]2CCc3ccccc32)cs1 ZINC000892042261 617950086 /nfs/dbraw/zinc/95/00/86/617950086.db2.gz NCXPAXWSUJOIEK-SFHVURJKSA-N 0 1 312.438 3.492 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)cs1 ZINC000892224438 617989024 /nfs/dbraw/zinc/98/90/24/617989024.db2.gz VMTKGTDNWCUEFT-UKRRQHHQSA-N 0 1 302.399 3.301 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCC3(CCC3)CO2)nc(C)n1 ZINC000892475266 618075803 /nfs/dbraw/zinc/07/58/03/618075803.db2.gz IROBHZUDKVJEAY-HUUCEWRRSA-N 0 1 313.401 3.009 20 30 DGEDMN N#CC1(COC(=O)c2cccc3nc(C(F)F)[nH]c32)CCC1 ZINC000924085768 618313081 /nfs/dbraw/zinc/31/30/81/618313081.db2.gz RLVNNEWHUAJPDB-UHFFFAOYSA-N 0 1 305.284 3.351 20 30 DGEDMN O=[N+]([O-])c1cccc(N=NCc2cn3cc(Cl)ccc3n2)c1 ZINC000920361460 620797331 /nfs/dbraw/zinc/79/73/31/620797331.db2.gz ORYKGBSMMOFBFQ-UHFFFAOYSA-N 0 1 315.720 3.342 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cccc(C)c2Cl)c1 ZINC000775670103 618510126 /nfs/dbraw/zinc/51/01/26/618510126.db2.gz IXGLLVRORLBAKM-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000909474217 618554519 /nfs/dbraw/zinc/55/45/19/618554519.db2.gz FUPFCEGLVUEDIP-MRXNPFEDSA-N 0 1 310.401 3.099 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC000893441033 618557811 /nfs/dbraw/zinc/55/78/11/618557811.db2.gz PMGKBULWJBFZPK-CYBMUJFWSA-N 0 1 306.431 3.031 20 30 DGEDMN C=CCC1(O)CCN(c2ccnc(C)c2Br)CC1 ZINC000895230772 618578357 /nfs/dbraw/zinc/57/83/57/618578357.db2.gz TUQOEXCRCZULDD-UHFFFAOYSA-N 0 1 311.223 3.060 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)Nc1n[nH]c2cc(O)ccc21 ZINC000854581555 620819799 /nfs/dbraw/zinc/81/97/99/620819799.db2.gz PAOASWVLOZQCLA-AWEZNQCLSA-N 0 1 318.377 3.178 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc3[nH]c(=O)[nH]c3c2)C2CC2)cc1 ZINC000893929140 618677840 /nfs/dbraw/zinc/67/78/40/618677840.db2.gz NWCHQSYEXLZFDP-UHFFFAOYSA-N 0 1 318.380 3.305 20 30 DGEDMN COc1ccc(CN2CCC(F)CC2)cc1OCCCC#N ZINC000895549608 618795955 /nfs/dbraw/zinc/79/59/55/618795955.db2.gz MREOCUFXNMXXJT-UHFFFAOYSA-N 0 1 306.381 3.312 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@@H]2CCC3(CCC3)CO2)c1 ZINC000895806863 618833982 /nfs/dbraw/zinc/83/39/82/618833982.db2.gz LJPBGIQGKNJYRV-AWEZNQCLSA-N 0 1 305.809 3.046 20 30 DGEDMN C=CCC1(O)CCN(Cc2cnc(C)n2-c2ccccc2)CC1 ZINC000895835622 618839546 /nfs/dbraw/zinc/83/95/46/618839546.db2.gz IXXRDLFJIHDFPN-UHFFFAOYSA-N 0 1 311.429 3.084 20 30 DGEDMN CCN(C(=O)[C@@H]1CCN1C(C)(C)C)[C@H](C)c1ccc(C#N)cc1 ZINC000896671569 618942555 /nfs/dbraw/zinc/94/25/55/618942555.db2.gz FICGZKXCLQJOPV-PBHICJAKSA-N 0 1 313.445 3.341 20 30 DGEDMN Cc1ccc(C(F)(F)C(=O)N[C@@H](CC#N)C(F)(F)F)cc1 ZINC000928806016 619071828 /nfs/dbraw/zinc/07/18/28/619071828.db2.gz GKCXRRCCLBYXAS-JTQLQIEISA-N 0 1 306.234 3.048 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H]1CCN1C(=O)OC(C)(C)C ZINC000897406416 619105416 /nfs/dbraw/zinc/10/54/16/619105416.db2.gz ZNMRGDDFVCVADR-ZDUSSCGKSA-N 0 1 321.446 3.061 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2cnn(CC3CCC3)c2)cc1 ZINC000897433317 619119113 /nfs/dbraw/zinc/11/91/13/619119113.db2.gz VDDPVOJNXACRPB-HNNXBMFYSA-N 0 1 324.428 3.264 20 30 DGEDMN Cn1c(CN2CCC3(CC2)CC(=O)C=CO3)cc2ccccc21 ZINC000897599252 619165293 /nfs/dbraw/zinc/16/52/93/619165293.db2.gz HEMDPDXLKCYELK-UHFFFAOYSA-N 0 1 310.397 3.016 20 30 DGEDMN COc1cc(OC)c(CN[C@H](C)CC(C)(C)C#N)c(OC)c1 ZINC000924709835 619262517 /nfs/dbraw/zinc/26/25/17/619262517.db2.gz XRSWQWOZFUOUHK-GFCCVEGCSA-N 0 1 306.406 3.130 20 30 DGEDMN C[C@@H]1CN(CC(C)(C)CCC#N)C[C@H](C)N1CC(F)(F)F ZINC000898472082 619278077 /nfs/dbraw/zinc/27/80/77/619278077.db2.gz YGNGISBOYTVZRJ-BETUJISGSA-N 0 1 305.388 3.273 20 30 DGEDMN Cc1cc(CC/N=C/c2cc(Cl)cc(Cl)c2O)nn1C ZINC000899226420 619319653 /nfs/dbraw/zinc/31/96/53/619319653.db2.gz RJGPVJBRKOKAHW-CAOOACKPSA-N 0 1 312.200 3.403 20 30 DGEDMN CCOCCOCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000899228130 619320160 /nfs/dbraw/zinc/32/01/60/619320160.db2.gz ZJKIKXNTEYNPLH-CXUHLZMHSA-N 0 1 306.189 3.171 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccnn1C1CCC1 ZINC000899397135 619335406 /nfs/dbraw/zinc/33/54/06/619335406.db2.gz LRSUAHUZLMYQEN-DAXSKMNVSA-N 0 1 313.313 3.118 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2ccnn2C2CCC2)nc1 ZINC000901131316 619473966 /nfs/dbraw/zinc/47/39/66/619473966.db2.gz ZYWZVNSXFSZSEU-UHFFFAOYSA-N 0 1 309.295 3.468 20 30 DGEDMN CCn1cc(CN=Nc2ncc(Br)cc2C)c(C)n1 ZINC000901254095 619481318 /nfs/dbraw/zinc/48/13/18/619481318.db2.gz HGXJBKBLZBHXPK-UHFFFAOYSA-N 0 1 322.210 3.123 20 30 DGEDMN CC(=NNc1nc2ccncc2s1)c1cnn(C2CCC2)c1 ZINC000901271580 619482832 /nfs/dbraw/zinc/48/28/32/619482832.db2.gz OYIKCGFOUSKMRS-UHFFFAOYSA-N 0 1 312.402 3.449 20 30 DGEDMN C=CCOc1ccccc1C[N@H+]1CC2(CCC2)[C@](F)(C(=O)[O-])C1 ZINC000901914548 619560145 /nfs/dbraw/zinc/56/01/45/619560145.db2.gz IHFOCGQXIJEPTA-GOSISDBHSA-N 0 1 319.376 3.030 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1C[C@@H]([C@@H]2CCCO2)CC1=O ZINC000902132461 619594349 /nfs/dbraw/zinc/59/43/49/619594349.db2.gz YDYGYEUAGHMEOE-ROUUACIJSA-N 0 1 320.477 3.040 20 30 DGEDMN N#Cc1ccc2nc(NCc3cnn(CC4CCC4)c3)[nH]c2c1 ZINC000902157097 619596664 /nfs/dbraw/zinc/59/66/64/619596664.db2.gz NDNXXSVOBGUEFE-UHFFFAOYSA-N 0 1 306.373 3.043 20 30 DGEDMN Cc1cc(C)c(O)c(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)c1 ZINC000902596561 619655227 /nfs/dbraw/zinc/65/52/27/619655227.db2.gz OPMKPMUUDLXYNR-LJQANCHMSA-N 0 1 322.408 3.454 20 30 DGEDMN C=CCCCN(CC)CN1C(=O)C2(CCC2)[C@H]1c1cccnc1 ZINC000902668434 619662821 /nfs/dbraw/zinc/66/28/21/619662821.db2.gz AJOUCIAGPHUPKQ-QGZVFWFLSA-N 0 1 313.445 3.381 20 30 DGEDMN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1cc(C#N)ccn1 ZINC000902848831 619683870 /nfs/dbraw/zinc/68/38/70/619683870.db2.gz BBXGUDJRRDAURL-FNHZYXHNSA-N 0 1 307.397 3.382 20 30 DGEDMN C[C@H](NC[C@@H](O)c1c(F)cccc1Cl)c1cc(C#N)ccn1 ZINC000902873098 619685279 /nfs/dbraw/zinc/68/52/79/619685279.db2.gz VPNGCERKEJOTMX-ZUZCIYMTSA-N 0 1 319.767 3.130 20 30 DGEDMN C[C@H](NC[C@@H](O)c1ccc(Cl)c(F)c1)c1cc(C#N)ccn1 ZINC000902890119 619689388 /nfs/dbraw/zinc/68/93/88/619689388.db2.gz XCFFJOWVQHSTFU-MGPLVRAMSA-N 0 1 319.767 3.130 20 30 DGEDMN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NCc1ccc(C#N)cn1 ZINC000902965074 619698060 /nfs/dbraw/zinc/69/80/60/619698060.db2.gz QCUAPCLOCCCQOE-YJYMSZOUSA-N 0 1 307.397 3.375 20 30 DGEDMN C=CCC[C@H](NCc1nnc(CC)s1)[C@@]1(C)CCCCO1 ZINC000902995754 619702723 /nfs/dbraw/zinc/70/27/23/619702723.db2.gz GRYJLYJGFIVCCY-XJKSGUPXSA-N 0 1 309.479 3.484 20 30 DGEDMN COc1ccc([C@H](N[C@H](C)c2cc(C#N)ccn2)C2CC2)cn1 ZINC000903074805 619711238 /nfs/dbraw/zinc/71/12/38/619711238.db2.gz YEYJMRXUSVMCHK-KZULUSFZSA-N 0 1 308.385 3.159 20 30 DGEDMN C[C@H](NCC1(C#N)CCCC1)c1cccc(N2CCOC2=O)c1 ZINC000903108820 619718411 /nfs/dbraw/zinc/71/84/11/619718411.db2.gz WCDLLMDLXLDSHC-AWEZNQCLSA-N 0 1 313.401 3.378 20 30 DGEDMN C[C@@H](NCC1(C#N)CCCC1)C1(NC(=O)OC(C)(C)C)CC1 ZINC000903218354 619740567 /nfs/dbraw/zinc/74/05/67/619740567.db2.gz BKFVSIUVTCSVQG-CYBMUJFWSA-N 0 1 307.438 3.106 20 30 DGEDMN CC(C)[C@@H](COCc1ccccc1)NCc1nc(C#N)cs1 ZINC000903263916 619747359 /nfs/dbraw/zinc/74/73/59/619747359.db2.gz PFZOEXUAXFFLIK-MRXNPFEDSA-N 0 1 315.442 3.346 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc(C(=O)[O-])s2)C2CC2)cc1 ZINC000903683454 619798188 /nfs/dbraw/zinc/79/81/88/619798188.db2.gz OGYNNMDRFDBPDP-UHFFFAOYSA-N 0 1 312.394 3.483 20 30 DGEDMN C#CCOc1ccccc1-c1noc(CN(C)CC(C)(C)C)n1 ZINC000904001377 619850892 /nfs/dbraw/zinc/85/08/92/619850892.db2.gz URSDSFCGUHUTEU-UHFFFAOYSA-N 0 1 313.401 3.227 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@](CC)(C(=O)[O-])C2)cc1 ZINC000904402739 619919995 /nfs/dbraw/zinc/91/99/95/619919995.db2.gz ZLJZGLQFTFXINA-SFHVURJKSA-N 0 1 303.402 3.328 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cnn(C)c2Cl)c1 ZINC000905077678 619967598 /nfs/dbraw/zinc/96/75/98/619967598.db2.gz BCGDIKCDNDJXEP-FPLPWBNLSA-N 0 1 303.793 3.031 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2c3c(nn2C)CCCC3)c1 ZINC000905078844 619967955 /nfs/dbraw/zinc/96/79/55/619967955.db2.gz XGLJQASOZSUBEG-VAWYXSNFSA-N 0 1 323.440 3.257 20 30 DGEDMN COC(=O)c1cccc(NN=Cc2nc(C(C)(C)C)co2)c1F ZINC000905477143 619999974 /nfs/dbraw/zinc/99/99/74/619999974.db2.gz WQCYDBQKVVOBDM-UHFFFAOYSA-N 0 1 319.336 3.344 20 30 DGEDMN CCOCc1nc(C)cc(N=NCc2cc(F)c(F)c(F)c2)n1 ZINC000905506842 620002983 /nfs/dbraw/zinc/00/29/83/620002983.db2.gz ODMVHNRFVDZKRD-UHFFFAOYSA-N 0 1 324.306 3.185 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cc(C)sc2C)n1 ZINC000905505346 620003051 /nfs/dbraw/zinc/00/30/51/620003051.db2.gz VINGZWSMAZAWDT-UHFFFAOYSA-N 0 1 304.419 3.446 20 30 DGEDMN COc1cc(C(=O)Nc2sc3c(c2C#N)C(C)(C)CC3)on1 ZINC000906261876 620081563 /nfs/dbraw/zinc/08/15/63/620081563.db2.gz CDKOJEUPJHZUMI-UHFFFAOYSA-N 0 1 317.370 3.092 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000881466854 625394657 /nfs/dbraw/zinc/39/46/57/625394657.db2.gz LFDQFEZPEXLZTJ-JDNHERCYSA-N 0 1 312.348 3.034 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000881466856 625395133 /nfs/dbraw/zinc/39/51/33/625395133.db2.gz LFDQFEZPEXLZTJ-XXFAHNHDSA-N 0 1 312.348 3.034 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC000881467123 625395561 /nfs/dbraw/zinc/39/55/61/625395561.db2.gz RGGPJOOJIUEPCI-ZANVPECISA-N 0 1 312.348 3.034 20 30 DGEDMN CCc1nc[nH]c1C(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000906621815 620109329 /nfs/dbraw/zinc/10/93/29/620109329.db2.gz FTRNDFYVNLACNI-NSHDSACASA-N 0 1 314.414 3.476 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1ccccc1[N+](=O)[O-])CCO2 ZINC000912774587 620405473 /nfs/dbraw/zinc/40/54/73/620405473.db2.gz LWTINOFRFMRPHF-UHFFFAOYSA-N 0 1 313.313 3.202 20 30 DGEDMN C#CCCCCCC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000913510402 620446526 /nfs/dbraw/zinc/44/65/26/620446526.db2.gz CSEQVOUIFIHRCX-HXUWFJFHSA-N 0 1 321.424 3.468 20 30 DGEDMN CC1(C)CCC(CC(=O)[C@@H](C#N)C(=O)NC2CCCC2)CC1 ZINC000152454497 620507944 /nfs/dbraw/zinc/50/79/44/620507944.db2.gz FRWQCYJWIOAMNN-OAHLLOKOSA-N 0 1 304.434 3.361 20 30 DGEDMN Fc1ccc(C(C[C@H]2CCCO2)=NNc2ccncn2)cc1 ZINC000835000816 620549543 /nfs/dbraw/zinc/54/95/43/620549543.db2.gz HKTILIJLKDONSB-CQSZACIVSA-N 0 1 300.337 3.001 20 30 DGEDMN C=CCn1c(SCc2[nH]ncc2C)nnc1-c1ccoc1C ZINC000915830672 620600866 /nfs/dbraw/zinc/60/08/66/620600866.db2.gz ULBCLRCMIMUGFE-UHFFFAOYSA-N 0 1 315.402 3.356 20 30 DGEDMN COc1ccc(O)c(CN=Nc2ccc(C(F)(F)F)cn2)c1 ZINC000915917646 620606886 /nfs/dbraw/zinc/60/68/86/620606886.db2.gz JROFOCIFXRIMEY-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN CC(=O)Nc1cccc(C(C)=NN=c2[nH]c3ccccc3n2C)c1 ZINC000915968084 620609612 /nfs/dbraw/zinc/60/96/12/620609612.db2.gz ROELENKUJRVJJQ-UHFFFAOYSA-N 0 1 321.384 3.368 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc2c(c1)CCO2 ZINC000915971131 620609824 /nfs/dbraw/zinc/60/98/24/620609824.db2.gz FSCJNGNPHZDDSJ-UHFFFAOYSA-N 0 1 306.369 3.344 20 30 DGEDMN COc1cc(C=NNc2nccc3sccc32)cc(O)c1O ZINC000915980418 620610696 /nfs/dbraw/zinc/61/06/96/620610696.db2.gz RCPROVGBIPBVGH-UHFFFAOYSA-N 0 1 315.354 3.162 20 30 DGEDMN CCOc1ccc(C=NNc2ccccc2[N+](=O)[O-])c(O)c1 ZINC000916249577 620622621 /nfs/dbraw/zinc/62/26/21/620622621.db2.gz ZYYKQTRWXNUQIL-UHFFFAOYSA-N 0 1 301.302 3.145 20 30 DGEDMN CCCC(=O)Nc1ccc(O)c(C(C)=NNc2ccccn2)c1 ZINC000916276004 620623767 /nfs/dbraw/zinc/62/37/67/620623767.db2.gz GGPFABKCOZNJQX-UHFFFAOYSA-N 0 1 312.373 3.362 20 30 DGEDMN CC(C)CNN=Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000916366502 620626825 /nfs/dbraw/zinc/62/68/25/620626825.db2.gz VGNVBDZZMBLZMW-UHFFFAOYSA-N 0 1 304.394 3.165 20 30 DGEDMN CSc1ccc(C(=O)C(C#N)C(=O)Nc2cccc(C)c2)o1 ZINC000112483891 620828306 /nfs/dbraw/zinc/82/83/06/620828306.db2.gz HNFIALNJYOTMOX-LBPRGKRZSA-N 0 1 314.366 3.271 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000788211711 620872337 /nfs/dbraw/zinc/87/23/37/620872337.db2.gz FZOHGYWOFZSJAN-UHFFFAOYSA-N 0 1 313.345 3.141 20 30 DGEDMN C[C@H](N[C@@H]1CC[C@H](C#N)C1)c1cc(Br)ncc1F ZINC000818356627 620899875 /nfs/dbraw/zinc/89/98/75/620899875.db2.gz OUQFBFJYWKWBTK-LPEHRKFASA-N 0 1 312.186 3.326 20 30 DGEDMN Cc1ccnc(NN=C2C(=O)Nc3c2c(Cl)ccc3C)c1 ZINC000755904567 620901927 /nfs/dbraw/zinc/90/19/27/620901927.db2.gz FLHYUBFPPKPWIG-UHFFFAOYSA-N 0 1 300.749 3.120 20 30 DGEDMN C#CCCOc1ccc(CNCc2ccc(Cl)nc2)cc1 ZINC000113324537 620904412 /nfs/dbraw/zinc/90/44/12/620904412.db2.gz NMXWDTJYVDOGPK-UHFFFAOYSA-N 0 1 300.789 3.427 20 30 DGEDMN C=CCCONC(=O)[C@H](C)c1ccc(Br)s1 ZINC000856032543 620955207 /nfs/dbraw/zinc/95/52/07/620955207.db2.gz MDYUOVVGOSAZCQ-MRVPVSSYSA-N 0 1 304.209 3.238 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H]2CCc3cc(C#N)ccc32)c(F)c1 ZINC000922073889 620986023 /nfs/dbraw/zinc/98/60/23/620986023.db2.gz VFVCTKMQPVYXBP-GOSISDBHSA-N 0 1 324.355 3.261 20 30 DGEDMN CCC[C@H](CNCc1cc(C#N)ccc1Br)OC ZINC000922151443 620995971 /nfs/dbraw/zinc/99/59/71/620995971.db2.gz KDKRNSOWBHEYEO-CYBMUJFWSA-N 0 1 311.223 3.225 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1Cc2ccccc2[C@H](C)C1 ZINC000857202151 621067102 /nfs/dbraw/zinc/06/71/02/621067102.db2.gz YWCOIKXVNVTUDU-HZPDHXFCSA-N 0 1 300.446 3.419 20 30 DGEDMN CC(C)(C)c1n[nH]cc1C=[NH+][N-]c1nc2cccnc2s1 ZINC000857220061 621070039 /nfs/dbraw/zinc/07/00/39/621070039.db2.gz ZOWLLSALPMZFNK-UHFFFAOYSA-N 0 1 300.391 3.158 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H]2CCCc3c[nH]nc32)c1 ZINC000857858631 621123715 /nfs/dbraw/zinc/12/37/15/621123715.db2.gz LSJLWGFZVZKTAG-NVXWUHKLSA-N 0 1 310.401 3.258 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000922943978 621134679 /nfs/dbraw/zinc/13/46/79/621134679.db2.gz HWGDAJHXCVJITJ-ADLMAVQZSA-N 0 1 323.396 3.308 20 30 DGEDMN Cn1nc(C2CC2)cc1CNCc1cccc(OCCCC#N)c1 ZINC000922948371 621136030 /nfs/dbraw/zinc/13/60/30/621136030.db2.gz PNXHJRXVQCCMGO-UHFFFAOYSA-N 0 1 324.428 3.270 20 30 DGEDMN C#CCC1(NCc2csc(N(CC)C(C)=O)n2)CCCCC1 ZINC000922983952 621142532 /nfs/dbraw/zinc/14/25/32/621142532.db2.gz PAHYJYGXQWCHLT-UHFFFAOYSA-N 0 1 319.474 3.332 20 30 DGEDMN C=CCOCCNCc1cc(C)c(F)cc1Br ZINC000858157396 621159890 /nfs/dbraw/zinc/15/98/90/621159890.db2.gz GLDFLLAFVOKAIN-UHFFFAOYSA-N 0 1 302.187 3.189 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H](C)C1CCC1)c1ccccc1 ZINC000838866767 621293079 /nfs/dbraw/zinc/29/30/79/621293079.db2.gz RYOCPKNRUFHGNH-XKQJLSEDSA-N 0 1 312.413 3.399 20 30 DGEDMN C#Cc1ccc(CN[C@@H]2c3sccc3OC(C)(C)[C@H]2O)cc1 ZINC000839172904 621342668 /nfs/dbraw/zinc/34/26/68/621342668.db2.gz RQHCEESAAFGOOD-WBVHZDCISA-N 0 1 313.422 3.092 20 30 DGEDMN O=C([O-])c1ccccc1N=NC1CC[N@@H+](Cc2ccccc2)C1 ZINC000839403488 621368698 /nfs/dbraw/zinc/36/86/98/621368698.db2.gz MDUFDXFRNQYYLJ-UHFFFAOYSA-N 0 1 309.369 3.059 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCc3cccc(C(C)C)c32)CC1 ZINC000839435015 621373564 /nfs/dbraw/zinc/37/35/64/621373564.db2.gz CDWTVQVNZDBFMS-UHFFFAOYSA-N 0 1 324.468 3.434 20 30 DGEDMN CC[C@H](C)OCC(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000752496281 621395812 /nfs/dbraw/zinc/39/58/12/621395812.db2.gz RKGNUXYFIZEMRS-ONGXEEELSA-N 0 1 306.362 3.427 20 30 DGEDMN N#Cc1ccc(CNCc2cnc(-c3ccncc3)s2)cc1 ZINC000753367715 621438332 /nfs/dbraw/zinc/43/83/32/621438332.db2.gz XJTOSLMGMILFKR-UHFFFAOYSA-N 0 1 306.394 3.367 20 30 DGEDMN CC(C)(C)c1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)s1 ZINC000753929059 621472126 /nfs/dbraw/zinc/47/21/26/621472126.db2.gz NLJZXKOTLQPZMY-BETUJISGSA-N 0 1 304.463 3.240 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc2ccccc2c1 ZINC000753938929 621472569 /nfs/dbraw/zinc/47/25/69/621472569.db2.gz NAUTYIXTQMEBTR-HDICACEKSA-N 0 1 306.413 3.424 20 30 DGEDMN CCSc1ccccc1C(C)=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000753941112 621473172 /nfs/dbraw/zinc/47/31/72/621473172.db2.gz WYUQWPSMDSESBZ-GASCZTMLSA-N 0 1 316.474 3.383 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(Cl)c(C(=O)[O-])c2)C[N@H+]1C1CC1 ZINC000753954736 621473842 /nfs/dbraw/zinc/47/38/42/621473842.db2.gz GXUSSWRCPQCIEM-VIFPVBQESA-N 0 1 307.781 3.063 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cc(OCC)c(OC)cc2F)C1 ZINC000862399853 621585019 /nfs/dbraw/zinc/58/50/19/621585019.db2.gz FHALAIJHNKFHLD-CQSZACIVSA-N 0 1 305.393 3.468 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cc(OCC)c(OC)cc2F)C1 ZINC000862399852 621585087 /nfs/dbraw/zinc/58/50/87/621585087.db2.gz FHALAIJHNKFHLD-AWEZNQCLSA-N 0 1 305.393 3.468 20 30 DGEDMN N#Cc1ccccc1CN=Nc1ccc(Br)cn1 ZINC000755689660 621585842 /nfs/dbraw/zinc/58/58/42/621585842.db2.gz RKVIFXICTXMYRU-UHFFFAOYSA-N 0 1 301.147 3.162 20 30 DGEDMN C#C[C@@H](NCc1ccsc1Br)C1CCOCC1 ZINC000862420222 621588128 /nfs/dbraw/zinc/58/81/28/621588128.db2.gz XCUJCYLBHSMBFX-GFCCVEGCSA-N 0 1 314.248 3.029 20 30 DGEDMN CC(=O)CC(C)(C)CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000755786318 621593782 /nfs/dbraw/zinc/59/37/82/621593782.db2.gz PXFGSQXMKFRZCE-CYBMUJFWSA-N 0 1 311.385 3.443 20 30 DGEDMN C=C(C)[C@@H](CC(=O)Nc1ccc(CN(C)C)c(F)c1)OCC ZINC000755950351 621605878 /nfs/dbraw/zinc/60/58/78/621605878.db2.gz IKTQKHCCLOFFCC-MRXNPFEDSA-N 0 1 308.397 3.197 20 30 DGEDMN N#Cc1ccc(N=NC2C[N@H+]3C[C@@H]2CCC3)c(C(F)(F)F)c1 ZINC000863111265 621639735 /nfs/dbraw/zinc/63/97/35/621639735.db2.gz ULXNMVYLWCNILC-NSHDSACASA-N 0 1 308.307 3.071 20 30 DGEDMN N#Cc1ccc(N=NC2CN3C[C@@H]2CCC3)c(C(F)(F)F)c1 ZINC000863111265 621639740 /nfs/dbraw/zinc/63/97/40/621639740.db2.gz ULXNMVYLWCNILC-NSHDSACASA-N 0 1 308.307 3.071 20 30 DGEDMN CCc1[nH]nc(Cl)c1CN=Nc1cc(C(F)(F)F)ccn1 ZINC000863116044 621640053 /nfs/dbraw/zinc/64/00/53/621640053.db2.gz HCKAIAXGCFAIQN-UHFFFAOYSA-N 0 1 317.702 3.485 20 30 DGEDMN CC(=NNc1ccncc1C)c1nc(C(F)(F)F)cs1 ZINC000863158275 621643067 /nfs/dbraw/zinc/64/30/67/621643067.db2.gz VBLGZMBDWSEIDV-UHFFFAOYSA-N 0 1 300.309 3.123 20 30 DGEDMN C[C@H]([NH2+]C1CCN(CC#N)CC1)c1ccc2ccccc2c1[O-] ZINC000756554616 621647935 /nfs/dbraw/zinc/64/79/35/621647935.db2.gz OXBGCCRVCYWHEN-AWEZNQCLSA-N 0 1 309.413 3.184 20 30 DGEDMN COc1cc(C(=O)[O-])ccc1N[NH+]=Cc1c(C)cc(N)cc1C ZINC000756905565 621671984 /nfs/dbraw/zinc/67/19/84/621671984.db2.gz DFLQLNLARLBTQT-UHFFFAOYSA-N 0 1 313.357 3.038 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@H]2CCCC[C@@H]21)C1CCCC1 ZINC000756910909 621672232 /nfs/dbraw/zinc/67/22/32/621672232.db2.gz DWQNJFCKKOGGBW-AEFFLSMTSA-N 0 1 302.462 3.045 20 30 DGEDMN CCN(c1ccc(NC[C@@H](O)CC2(C#N)CC2)cc1)C(C)C ZINC000863555215 621682907 /nfs/dbraw/zinc/68/29/07/621682907.db2.gz AMMZBXKUMQKWHQ-KRWDZBQOSA-N 0 1 301.434 3.388 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1/C=C\C(=O)c1ccc(O)cc1O ZINC000757472120 621714967 /nfs/dbraw/zinc/71/49/67/621714967.db2.gz LKIIZSNQMFPQOT-PLNGDYQASA-N 0 1 313.140 3.034 20 30 DGEDMN COc1ccc(C(=O)/C=C2\COc3ccc(F)cc32)c(O)c1 ZINC000757472562 621715049 /nfs/dbraw/zinc/71/50/49/621715049.db2.gz NZVWRPVFQFDIRG-UXBLZVDNSA-N 0 1 300.285 3.199 20 30 DGEDMN COc1ccccc1CC=CN=Nc1nc2ccccc2c(=O)[nH]1 ZINC000757582462 621726225 /nfs/dbraw/zinc/72/62/25/621726225.db2.gz APJHKODPNKYBAZ-GBCIQUAQSA-N 0 1 320.352 3.455 20 30 DGEDMN C[C@@H]1CC(N=Nc2nccnc2Cl)CN1Cc1ccccc1 ZINC000757584157 621726693 /nfs/dbraw/zinc/72/66/93/621726693.db2.gz LPMDDBUOFPFYBP-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc([N+](=O)[O-])cc1C ZINC000757847712 621742981 /nfs/dbraw/zinc/74/29/81/621742981.db2.gz NDPJOGIJZQAIEN-UHFFFAOYSA-N 0 1 310.353 3.205 20 30 DGEDMN COC(=O)c1ccc(N=NCc2cc(F)cc3cccnc32)nc1 ZINC000758146185 621761510 /nfs/dbraw/zinc/76/15/10/621761510.db2.gz QUKMSEDJQTWMOR-UHFFFAOYSA-N 0 1 324.315 3.002 20 30 DGEDMN CCCN(Cn1cccc(C#N)c1=O)[C@H]1CCc2ccccc21 ZINC000758203233 621765683 /nfs/dbraw/zinc/76/56/83/621765683.db2.gz LIYLFNCYSQZIIU-SFHVURJKSA-N 0 1 307.397 3.077 20 30 DGEDMN Cc1cccc([C@H]2CCCN2Cn2cccc(C#N)c2=O)c1C ZINC000758226584 621768422 /nfs/dbraw/zinc/76/84/22/621768422.db2.gz YLVHFBLKRMFWJA-GOSISDBHSA-N 0 1 307.397 3.131 20 30 DGEDMN COc1cc2c(cc1CN=Nc1cc(F)c(F)cc1F)OCO2 ZINC000758879674 621807485 /nfs/dbraw/zinc/80/74/85/621807485.db2.gz PVCNGAFXLYMTPA-UHFFFAOYSA-N 0 1 324.258 3.287 20 30 DGEDMN COc1cc2c(cc1/C=C/C(=O)c1ccc(O)c(F)c1)OCO2 ZINC000758932151 621812486 /nfs/dbraw/zinc/81/24/86/621812486.db2.gz RNDBWWZJPCKNAH-ONEGZZNKSA-N 0 1 316.284 3.165 20 30 DGEDMN CC(=[NH+][N-]c1cc(Cl)nc(C)n1)c1ccc(F)cc1N(C)C ZINC000759195604 621835466 /nfs/dbraw/zinc/83/54/66/621835466.db2.gz GRRUDLPCBOZXID-UHFFFAOYSA-N 0 1 321.787 3.480 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccn2Cc2ccccc2)c1 ZINC000759718440 621885841 /nfs/dbraw/zinc/88/58/41/621885841.db2.gz XHODEEQXJXWOTR-UHFFFAOYSA-N 0 1 317.348 3.366 20 30 DGEDMN C=CCCC[C@H](C)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000759992427 621909082 /nfs/dbraw/zinc/90/90/82/621909082.db2.gz ILUMOJDDKGJRRD-LBPRGKRZSA-N 0 1 313.367 3.366 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1nc2ccccc2o1)c1cccnc1 ZINC000760197399 621920428 /nfs/dbraw/zinc/92/04/28/621920428.db2.gz WXRMZBDOCPGECS-ZDUSSCGKSA-N 0 1 305.337 3.377 20 30 DGEDMN Cn1c2ccccc2[nH]c1=NN=Cc1c[nH]c2ccc(C#N)cc12 ZINC000760243938 621924168 /nfs/dbraw/zinc/92/41/68/621924168.db2.gz SYFBTIXWGAJWEW-UHFFFAOYSA-N 0 1 314.352 3.372 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(F)(F)F)NC(=O)OC(C)(C)C ZINC000865470973 621928335 /nfs/dbraw/zinc/92/83/35/621928335.db2.gz HYELJINYIMIQFV-SECBINFHSA-N 0 1 316.751 3.174 20 30 DGEDMN CC(C)c1cccc(O[C@@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760332085 621932927 /nfs/dbraw/zinc/93/29/27/621932927.db2.gz FFWKTYXPIODQAO-BBRMVZONSA-N 0 1 309.369 3.244 20 30 DGEDMN C[C@H](Oc1cccc(C(C)(C)C)c1)C(=O)C(C#N)c1ccncn1 ZINC000760339576 621933041 /nfs/dbraw/zinc/93/30/41/621933041.db2.gz KFYWIDQNDISRMR-BBRMVZONSA-N 0 1 323.396 3.418 20 30 DGEDMN N#CC(C(=O)CCc1ccc2ccccc2c1)c1ccncn1 ZINC000760339683 621933445 /nfs/dbraw/zinc/93/34/45/621933445.db2.gz KMFUWVVYFXYWKV-KRWDZBQOSA-N 0 1 301.349 3.439 20 30 DGEDMN Cn1c(C(O)=C(C#N)c2ccncn2)ccc1-c1ccc(F)cc1 ZINC000760345706 621933808 /nfs/dbraw/zinc/93/38/08/621933808.db2.gz MQYIZTLYHJUXIO-CQSZACIVSA-N 0 1 320.327 3.111 20 30 DGEDMN Cc1cc(O[C@@H](C)C(=O)C(C#N)c2ccncn2)ccc1Cl ZINC000760352819 621934823 /nfs/dbraw/zinc/93/48/23/621934823.db2.gz NVPHPBZSDZIGHE-AAEUAGOBSA-N 0 1 315.760 3.082 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2cc(C(F)(F)F)cc(C#N)n2)[nH]n1 ZINC000865622008 621964043 /nfs/dbraw/zinc/96/40/43/621964043.db2.gz ITMAXCPPYOKYCW-MRVPVSSYSA-N 0 1 309.295 3.047 20 30 DGEDMN CCCC[C@@H](/N=C/c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000761015004 621979654 /nfs/dbraw/zinc/97/96/54/621979654.db2.gz JBRMDOFVXQNQGO-CXDFQFJYSA-N 0 1 320.433 3.389 20 30 DGEDMN Clc1oc2ccccc2c1C=NNc1ncnc2[nH]cnc21 ZINC000761261783 621997663 /nfs/dbraw/zinc/99/76/63/621997663.db2.gz QJHBBTBVMOOPTF-UHFFFAOYSA-N 0 1 312.720 3.199 20 30 DGEDMN Clc1oc2ccccc2c1C=NNc1ncnc2nc[nH]c21 ZINC000761261783 621997664 /nfs/dbraw/zinc/99/76/64/621997664.db2.gz QJHBBTBVMOOPTF-UHFFFAOYSA-N 0 1 312.720 3.199 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000761493505 622012235 /nfs/dbraw/zinc/01/22/35/622012235.db2.gz MXJLAYSYPNEROR-WCQYABFASA-N 0 1 322.430 3.243 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000761493505 622012237 /nfs/dbraw/zinc/01/22/37/622012237.db2.gz MXJLAYSYPNEROR-WCQYABFASA-N 0 1 322.430 3.243 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(Br)cc2F)CN1C ZINC000761808835 622030367 /nfs/dbraw/zinc/03/03/67/622030367.db2.gz FYEDBYNMSGINTF-MRVPVSSYSA-N 0 1 300.175 3.080 20 30 DGEDMN CC(C)CN1CCC([NH2+][C@@H](C)c2cccc(C#N)c2[O-])CC1 ZINC000866227394 622070496 /nfs/dbraw/zinc/07/04/96/622070496.db2.gz ZPLKPVKCQDWXRR-AWEZNQCLSA-N 0 1 301.434 3.035 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc2c(ccnc2Cl)o1)[C@H]1CCCO1 ZINC000866341569 622098135 /nfs/dbraw/zinc/09/81/35/622098135.db2.gz OFTWIZDUHZPMJP-VHRBIJSZSA-N 0 1 304.777 3.313 20 30 DGEDMN N#CC(C(=O)Cc1csc(-c2ccoc2)n1)c1ccccn1 ZINC000121652578 622170324 /nfs/dbraw/zinc/17/03/24/622170324.db2.gz WRJXXVARDXQVQP-CYBMUJFWSA-N 0 1 309.350 3.217 20 30 DGEDMN CCCCNN=C(C)c1ccc(N2CCOCC2)cc1Cl ZINC000764680545 622212712 /nfs/dbraw/zinc/21/27/12/622212712.db2.gz DRBOKCYEISREBZ-UHFFFAOYSA-N 0 1 309.841 3.290 20 30 DGEDMN Clc1ccc(CNN=Cc2cc(-c3cccnc3)[nH]n2)cc1 ZINC000764718632 622215760 /nfs/dbraw/zinc/21/57/60/622215760.db2.gz PIRPOIXPOZHJSJ-UHFFFAOYSA-N 0 1 311.776 3.249 20 30 DGEDMN Cc1ccc(C(=O)c2ccccc2C(=O)NC2=NO[C@@H](C)C2)cc1 ZINC000765376820 622261660 /nfs/dbraw/zinc/26/16/60/622261660.db2.gz QQTXWKTUBVLAHV-ZDUSSCGKSA-N 0 1 322.364 3.078 20 30 DGEDMN CN1CCC(C#N)(NC(=O)C=C2CCC(C(C)(C)C)CC2)CC1 ZINC000765435876 622264981 /nfs/dbraw/zinc/26/49/81/622264981.db2.gz ARILHLOQYQCHRW-UHFFFAOYSA-N 0 1 317.477 3.253 20 30 DGEDMN CC[C@@H](C(=O)OC[C@H](C#N)Cc1cccs1)N(CC)CC ZINC000765965280 622296811 /nfs/dbraw/zinc/29/68/11/622296811.db2.gz KPEDROHETHIHKD-ZFWWWQNUSA-N 0 1 308.447 3.094 20 30 DGEDMN CC[C@@H](C(=O)OC[C@@H](C#N)Cc1cccs1)N(CC)CC ZINC000765965276 622297106 /nfs/dbraw/zinc/29/71/06/622297106.db2.gz KPEDROHETHIHKD-HIFRSBDPSA-N 0 1 308.447 3.094 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766635844 622343987 /nfs/dbraw/zinc/34/39/87/622343987.db2.gz CMAMGZVAJVKQTA-KSSFIOAISA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCC(C)(C)C(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000767113632 622376159 /nfs/dbraw/zinc/37/61/59/622376159.db2.gz ATYQERFPTAZSGU-IRXDYDNUSA-N 0 1 312.457 3.157 20 30 DGEDMN Cc1cc(N=NC2C[C@@H](C)CN(Cc3ccccc3)C2)nc(C)n1 ZINC000767371106 622393907 /nfs/dbraw/zinc/39/39/07/622393907.db2.gz RJRLYSMHPZVXNP-CQSZACIVSA-N 0 1 323.444 3.403 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)CC ZINC000767658654 622412337 /nfs/dbraw/zinc/41/23/37/622412337.db2.gz IPJFZXJQURIWHB-PRRWYGTESA-N 0 1 318.398 3.084 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)CC ZINC000767658654 622412338 /nfs/dbraw/zinc/41/23/38/622412338.db2.gz IPJFZXJQURIWHB-PRRWYGTESA-N 0 1 318.398 3.084 20 30 DGEDMN COCc1ccc(C(=O)C(C#N)c2nc(C)cs2)cc1F ZINC000870116823 622434576 /nfs/dbraw/zinc/43/45/76/622434576.db2.gz HVMNUKDENQERHK-GFCCVEGCSA-N 0 1 304.346 3.227 20 30 DGEDMN COCc1ccc(C(=O)[C@@H](C#N)c2nc(C)cs2)cc1F ZINC000870116823 622434578 /nfs/dbraw/zinc/43/45/78/622434578.db2.gz HVMNUKDENQERHK-GFCCVEGCSA-N 0 1 304.346 3.227 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(CC3CCCC3)c2)n1 ZINC000870117208 622434649 /nfs/dbraw/zinc/43/46/49/622434649.db2.gz LAWAALDBSNZCPD-AWEZNQCLSA-N 0 1 314.414 3.328 20 30 DGEDMN N#CC(C(=O)CCc1ccc(F)c2c1CCCC2)c1ccncn1 ZINC000870288258 622452293 /nfs/dbraw/zinc/45/22/93/622452293.db2.gz OUCQHPDLMCYLGK-INIZCTEOSA-N 0 1 323.371 3.304 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NCc2cnc(-c3ccccc3)[nH]2)c1 ZINC000768376854 622453146 /nfs/dbraw/zinc/45/31/46/622453146.db2.gz WNWSZICYWDCBCD-UHFFFAOYSA-N 0 1 322.759 3.443 20 30 DGEDMN CN(C)c1nccc(N=NCc2cccc(-c3cccnc3)c2)n1 ZINC000768486178 622460002 /nfs/dbraw/zinc/46/00/02/622460002.db2.gz YSDSRUWZOFQVOZ-UHFFFAOYSA-N 0 1 318.384 3.051 20 30 DGEDMN C#CCN(CC)CC1=Cc2cc(Br)ccc2OC1 ZINC000769112120 622499523 /nfs/dbraw/zinc/49/95/23/622499523.db2.gz YVSZYSZRHGZSIT-UHFFFAOYSA-N 0 1 306.203 3.180 20 30 DGEDMN C#CCN(CC)Cc1ccc(OCC(F)(F)F)c(OC)c1 ZINC000769112074 622499691 /nfs/dbraw/zinc/49/96/91/622499691.db2.gz XEGIVCNTLGVYEU-UHFFFAOYSA-N 0 1 301.308 3.091 20 30 DGEDMN C#CCN(Cc1cc(OC)c(OC)cc1OC)C1CCCCC1 ZINC000769146191 622502398 /nfs/dbraw/zinc/50/23/98/622502398.db2.gz OLFHJRLMQJODSY-UHFFFAOYSA-N 0 1 317.429 3.480 20 30 DGEDMN CN(CCCNC(=O)OC(C)(C)C)Cc1cccc(C#N)c1F ZINC000769162758 622503921 /nfs/dbraw/zinc/50/39/21/622503921.db2.gz LXYHSYWXNHTZHP-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(C(F)(F)F)CCC3)[nH]c2c1 ZINC000871072538 622543316 /nfs/dbraw/zinc/54/33/16/622543316.db2.gz MKTPKXZGTNDLSG-UHFFFAOYSA-N 0 1 308.263 3.106 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1sccc1OC ZINC000769798043 622561446 /nfs/dbraw/zinc/56/14/46/622561446.db2.gz IQYVXAWGOJFOGQ-UHFFFAOYSA-N 0 1 304.371 3.379 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cc(=O)oc2cc(CC)c(Cl)cc12 ZINC000769978379 622584738 /nfs/dbraw/zinc/58/47/38/622584738.db2.gz XLXSNFMSSRWXLW-NSHDSACASA-N 0 1 303.789 3.462 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCC(=O)[C@H](C#N)c2ccccc2)n1 ZINC000871644757 622656752 /nfs/dbraw/zinc/65/67/52/622656752.db2.gz MDAXPYQBSDKBME-GFCCVEGCSA-N 0 1 314.414 3.071 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2cccc3nccn32)c(Cl)c1 ZINC000771099211 622714171 /nfs/dbraw/zinc/71/41/71/622714171.db2.gz DYMYICAGGXZNBT-UHFFFAOYSA-N 0 1 315.720 3.342 20 30 DGEDMN CC1(C)CC[C@@H]2C(=O)N(c3cc(C#N)cc(Cl)n3)N=C2C1 ZINC000871955689 622744719 /nfs/dbraw/zinc/74/47/19/622744719.db2.gz XNRVGHXGMFNWPV-JTQLQIEISA-N 0 1 302.765 3.136 20 30 DGEDMN CC1(C)COc2c1cccc2C(=O)Nc1cc(C#N)ccc1O ZINC000790006069 625592646 /nfs/dbraw/zinc/59/26/46/625592646.db2.gz FNTIERBYCGANCK-UHFFFAOYSA-N 0 1 308.337 3.186 20 30 DGEDMN CN1CCN(c2ccc(/C=C/C(=O)c3ccccc3)cc2)CC1 ZINC000771512853 622769944 /nfs/dbraw/zinc/76/99/44/622769944.db2.gz GFEKJDGDAIAWKL-FMIVXFBMSA-N 0 1 306.409 3.335 20 30 DGEDMN CN1CCN(c2ccc(/C=C3\Cc4ccccc4C3=O)cc2)CC1 ZINC000771521057 622771498 /nfs/dbraw/zinc/77/14/98/622771498.db2.gz OYTFXQMGEHBWNV-NBVRZTHBSA-N 0 1 318.420 3.261 20 30 DGEDMN Cc1ccnc(NN=Cc2ccc(C(=O)N3CCCC3)cc2)c1 ZINC000872356152 622894743 /nfs/dbraw/zinc/89/47/43/622894743.db2.gz BEOQABYXGKZHOS-UHFFFAOYSA-N 0 1 308.385 3.072 20 30 DGEDMN Cc1cc(C=NNc2cc(Cl)nc3c2c(C)nn3C)c(C)[nH]1 ZINC000872423492 622912446 /nfs/dbraw/zinc/91/24/46/622912446.db2.gz TVMXCPMLZXBYQJ-UHFFFAOYSA-N 0 1 316.796 3.321 20 30 DGEDMN Brc1cc(NN=Cc2cnc(C3CC3)s2)ncn1 ZINC000872435821 622915113 /nfs/dbraw/zinc/91/51/13/622915113.db2.gz IFWMJHKZHDOFGY-UHFFFAOYSA-N 0 1 324.207 3.019 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1cc(F)ccc1F ZINC000772797751 622941380 /nfs/dbraw/zinc/94/13/80/622941380.db2.gz PNESQXCCIYBSSS-BHQIHCQQSA-N 0 1 305.236 3.475 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2cn(C)nc2C)c1 ZINC000772983996 622960916 /nfs/dbraw/zinc/96/09/16/622960916.db2.gz LWRPCTHEEGVAKC-XJKSGUPXSA-N 0 1 312.417 3.260 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2cccc3c2OCCO3)cc1 ZINC000772987006 622961553 /nfs/dbraw/zinc/96/15/53/622961553.db2.gz XWQWRWYPKQRQTC-OAHLLOKOSA-N 0 1 323.392 3.321 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc2c(c1)CCO2 ZINC000772988497 622961972 /nfs/dbraw/zinc/96/19/72/622961972.db2.gz QMIFCKQPZSAKBY-OAHLLOKOSA-N 0 1 307.393 3.484 20 30 DGEDMN Cc1cccnc1N=NC1CCN(Cc2ccccn2)C[C@@H]1C ZINC000790193871 625615243 /nfs/dbraw/zinc/61/52/43/625615243.db2.gz QHOYZJNVDROTBY-HNNXBMFYSA-N 0 1 309.417 3.095 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@@H](CO)c2ccc(F)cc2)cc1 ZINC000773008381 622965405 /nfs/dbraw/zinc/96/54/05/622965405.db2.gz LDIBOOAPUHQMMF-KUHUBIRLSA-N 0 1 313.372 3.222 20 30 DGEDMN C[C@H](O)c1ncc(CN=Nc2nccc3sccc32)s1 ZINC000773055285 622973795 /nfs/dbraw/zinc/97/37/95/622973795.db2.gz PSRZHYVULHXCIY-QMMMGPOBSA-N 0 1 304.400 3.252 20 30 DGEDMN Cc1cc2ccccc2nc1NN=Cc1cnc([C@@H](C)O)s1 ZINC000773080263 622979158 /nfs/dbraw/zinc/97/91/58/622979158.db2.gz SUGJVFWWBKVCSH-LLVKDONJSA-N 0 1 312.398 3.499 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(Cl)nc2)cc1C#N ZINC000773082287 622980618 /nfs/dbraw/zinc/98/06/18/622980618.db2.gz HOWPNHWORLLHSN-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN CC(C)c1nccnc1NN=Cc1cnc([C@@H]2CCCO2)s1 ZINC000773090636 622981763 /nfs/dbraw/zinc/98/17/63/622981763.db2.gz JNMRSJQWMMFBTE-LBPRGKRZSA-N 0 1 317.418 3.354 20 30 DGEDMN CC(C)c1nccnc1NN=Cc1cnc([C@H]2CCCO2)s1 ZINC000773090633 622981920 /nfs/dbraw/zinc/98/19/20/622981920.db2.gz JNMRSJQWMMFBTE-GFCCVEGCSA-N 0 1 317.418 3.354 20 30 DGEDMN COC(=O)c1cc(CN=Nc2cccc(Cl)c2F)cn1C ZINC000790159240 625610866 /nfs/dbraw/zinc/61/08/66/625610866.db2.gz DSTCZPQBPMPOQW-UHFFFAOYSA-N 0 1 309.728 3.050 20 30 DGEDMN CC[C@@H](CSC)N(C)Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000773255607 623005265 /nfs/dbraw/zinc/00/52/65/623005265.db2.gz MNHRSIGKIFGORY-INIZCTEOSA-N 0 1 314.458 3.453 20 30 DGEDMN Cc1ccc(Cl)c2c1NC(=O)C2=NNc1ncccc1C ZINC000790187601 625613794 /nfs/dbraw/zinc/61/37/94/625613794.db2.gz YKCQRTAXBJEOTR-UHFFFAOYSA-N 0 1 300.749 3.120 20 30 DGEDMN C[C@@H](C[C@@H](O)c1ccc(F)cc1)NCc1cccc(F)c1C#N ZINC000873097199 623036004 /nfs/dbraw/zinc/03/60/04/623036004.db2.gz JWIUAKZBMXFNAT-KPZWWZAWSA-N 0 1 316.351 3.438 20 30 DGEDMN Cn1c2ccccc2c(Br)c1CNCCCC#N ZINC000873104784 623036717 /nfs/dbraw/zinc/03/67/17/623036717.db2.gz QWXYKYFUHZTCLJ-UHFFFAOYSA-N 0 1 306.207 3.334 20 30 DGEDMN CC(C)[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000773980686 623095927 /nfs/dbraw/zinc/09/59/27/623095927.db2.gz UJRFOOMSYVBDIT-TUAOUCFPSA-N 0 1 304.777 3.279 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)CC(C)(C)C ZINC000773980188 623095945 /nfs/dbraw/zinc/09/59/45/623095945.db2.gz OEJQJYCRXYLFDS-QWHCGFSZSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)C1(F)CCCC1 ZINC000773981515 623096011 /nfs/dbraw/zinc/09/60/11/623096011.db2.gz ZJKKNJIBQUOKKW-SNVBAGLBSA-N 0 1 308.740 3.270 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C2=CCCCCC2)n1 ZINC000774022692 623099605 /nfs/dbraw/zinc/09/96/05/623099605.db2.gz HHHUZBWTKRDJNP-LBPRGKRZSA-N 0 1 318.398 3.387 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1coc(C(C)(C)C)n1 ZINC000873495199 623159833 /nfs/dbraw/zinc/15/98/33/623159833.db2.gz FTMNCUMWZRLZLV-NSHDSACASA-N 0 1 322.368 3.349 20 30 DGEDMN CCn1ccc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1C ZINC000873504775 623162061 /nfs/dbraw/zinc/16/20/61/623162061.db2.gz LTNPFOSUJFLVAD-CQSZACIVSA-N 0 1 306.369 3.491 20 30 DGEDMN COC(=O)[C@H](C)n1cccc1C=NNc1cccc(Cl)c1F ZINC000774586905 623163766 /nfs/dbraw/zinc/16/37/66/623163766.db2.gz MQHQTSGGNABQBA-JTQLQIEISA-N 0 1 323.755 3.461 20 30 DGEDMN CCCCCCCC[N@H+]1C[C@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC000873643260 623203677 /nfs/dbraw/zinc/20/36/77/623203677.db2.gz GLGYSXQKJSPQCT-HZPDHXFCSA-N 0 1 321.465 3.271 20 30 DGEDMN C=CCc1cc(CNCc2cnn(C=C)c2)cc(OC)c1OC ZINC000774989586 623214441 /nfs/dbraw/zinc/21/44/41/623214441.db2.gz HOOMVUMNMWTNAX-UHFFFAOYSA-N 0 1 313.401 3.019 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1cc(Cl)ccc1Br ZINC000790300529 625630906 /nfs/dbraw/zinc/63/09/06/625630906.db2.gz ZWIISQUCABOMCS-UHFFFAOYSA-N 0 1 313.586 3.282 20 30 DGEDMN CC(=NNc1nccn1C)c1ccc(OCC(F)(F)F)cc1 ZINC000790303060 625632200 /nfs/dbraw/zinc/63/22/00/625632200.db2.gz PVXWAYKBJQEVQH-UHFFFAOYSA-N 0 1 312.295 3.197 20 30 DGEDMN CC(N=Nc1nccn1C)c1ccc(F)c(Br)c1 ZINC000790307609 625633313 /nfs/dbraw/zinc/63/33/13/625633313.db2.gz ZXYBSGJEQBDDGV-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN C#C[C@@H](NCc1ccc(Oc2cccnc2)c(C)c1)[C@H]1CCCO1 ZINC000775340262 623256164 /nfs/dbraw/zinc/25/61/64/623256164.db2.gz GJSCSBQSGXGHOS-UYAOXDASSA-N 0 1 322.408 3.453 20 30 DGEDMN C#C[C@@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@@H]1CCCO1 ZINC000775342233 623256421 /nfs/dbraw/zinc/25/64/21/623256421.db2.gz PRHCGPZBHUOIAH-KGLIPLIRSA-N 0 1 301.283 3.115 20 30 DGEDMN C#C[C@@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@H]1CCCO1 ZINC000775342237 623256533 /nfs/dbraw/zinc/25/65/33/623256533.db2.gz PRHCGPZBHUOIAH-ZIAGYGMSSA-N 0 1 301.283 3.115 20 30 DGEDMN C#C[C@H](NCc1ccc(OCCC)c(OCC)c1)[C@H]1CCCO1 ZINC000775341314 623256650 /nfs/dbraw/zinc/25/66/50/623256650.db2.gz LKELMEGDGXTALS-DLBZAZTESA-N 0 1 317.429 3.145 20 30 DGEDMN C#C[C@@H](NCc1ccc(C)c(Br)c1)[C@@H]1CCCO1 ZINC000775343943 623256896 /nfs/dbraw/zinc/25/68/96/623256896.db2.gz AQLRDFWJYQLQFS-CABCVRRESA-N 0 1 308.219 3.028 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@H](C)CCCCC)c1ccccc1 ZINC000775398520 623267473 /nfs/dbraw/zinc/26/74/73/623267473.db2.gz JDNYSLHSMHYLKT-SJLPKXTDSA-N 0 1 300.446 3.426 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)C1(C#N)CCCCC1)c1ccccc1 ZINC000775400594 623268655 /nfs/dbraw/zinc/26/86/55/623268655.db2.gz QCTVEOJMGVLVOJ-GOSISDBHSA-N 0 1 323.440 3.025 20 30 DGEDMN C[C@H](N[C@H](CO)c1cccc(F)c1)c1ccc(OCC#N)cc1 ZINC000776165197 623387082 /nfs/dbraw/zinc/38/70/82/623387082.db2.gz OFQBKFULODDOJC-SCLBCKFNSA-N 0 1 314.360 3.112 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1cccc(F)c1F ZINC000776268442 623404347 /nfs/dbraw/zinc/40/43/47/623404347.db2.gz OGFQITZMQKCKGW-QPJJXVBHSA-N 0 1 305.236 3.475 20 30 DGEDMN C[C@@H](NCc1ccc(-n2ccnc2)nc1)c1ccc(C#N)c(F)c1 ZINC000776727777 623456278 /nfs/dbraw/zinc/45/62/78/623456278.db2.gz NGENAWPHJCMGPK-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN CC[C@H](C(=O)OCc1ccc(C2(C#N)CC2)cc1)N(CC)CC ZINC000777145956 623500238 /nfs/dbraw/zinc/50/02/38/623500238.db2.gz LDJHBZPQCXCGGO-QGZVFWFLSA-N 0 1 314.429 3.405 20 30 DGEDMN C#C[C@H](NCc1ccc(OC(C)C)c(Cl)c1)[C@@H]1CCCO1 ZINC000778149331 623615956 /nfs/dbraw/zinc/61/59/56/623615956.db2.gz DHCFJUFBJAFVAH-RDJZCZTQSA-N 0 1 307.821 3.398 20 30 DGEDMN Nc1nc(=NN=Cc2cnc(C3CCCC3)s2)cc(Cl)[nH]1 ZINC000778548740 623667636 /nfs/dbraw/zinc/66/76/36/623667636.db2.gz HUAZZYIAWPNWPL-UHFFFAOYSA-N 0 1 322.825 3.272 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2cnc(C3CCCC3)s2)n1 ZINC000778548740 623667639 /nfs/dbraw/zinc/66/76/39/623667639.db2.gz HUAZZYIAWPNWPL-UHFFFAOYSA-N 0 1 322.825 3.272 20 30 DGEDMN C[C@@H](CC#N)N(C)C[C@@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876690971 623684139 /nfs/dbraw/zinc/68/41/39/623684139.db2.gz WSBJYVDWZZXWMC-GJZGRUSLSA-N 0 1 309.454 3.258 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000126137380 623695217 /nfs/dbraw/zinc/69/52/17/623695217.db2.gz KALNIFLVLJRDSE-VHSXEESVSA-N 0 1 308.740 3.173 20 30 DGEDMN C=C(C)CN(C)Cc1cc2ccccc2nc1N1CCOCC1 ZINC000779149067 623735386 /nfs/dbraw/zinc/73/53/86/623735386.db2.gz KHXMTCHJPDKUTC-UHFFFAOYSA-N 0 1 311.429 3.079 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(CCCO)CC1 ZINC000779165172 623737292 /nfs/dbraw/zinc/73/72/92/623737292.db2.gz ABLDAKHQAYKBFC-UHFFFAOYSA-N 0 1 321.848 3.337 20 30 DGEDMN C[C@@H](COc1ccccc1)NCc1cc(C#N)cnc1Cl ZINC000876976484 623759076 /nfs/dbraw/zinc/75/90/76/623759076.db2.gz OUBYGCDIMBLZAZ-LBPRGKRZSA-N 0 1 301.777 3.164 20 30 DGEDMN N#CCCCCNCc1nc(Cl)ccc1Br ZINC000877016592 623766698 /nfs/dbraw/zinc/76/66/98/623766698.db2.gz ADRNOOBJRGFSJZ-UHFFFAOYSA-N 0 1 302.603 3.281 20 30 DGEDMN C[C@H](CNCc1cc(C#N)cnc1Cl)Oc1ccc(F)cc1 ZINC000877067151 623782826 /nfs/dbraw/zinc/78/28/26/623782826.db2.gz BNQVHDGPJQKYDO-LLVKDONJSA-N 0 1 319.767 3.303 20 30 DGEDMN C=C[C@@H](C)NCc1cc(C(C)(C)NC(=O)OC(C)(C)C)no1 ZINC000877087388 623788198 /nfs/dbraw/zinc/78/81/98/623788198.db2.gz KNXNPFXHYPHNCI-LLVKDONJSA-N 0 1 309.410 3.099 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000779741286 623794872 /nfs/dbraw/zinc/79/48/72/623794872.db2.gz YNAVBWAAOUXPPA-INIZCTEOSA-N 0 1 302.418 3.116 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000779741288 623794938 /nfs/dbraw/zinc/79/49/38/623794938.db2.gz YNAVBWAAOUXPPA-MRXNPFEDSA-N 0 1 302.418 3.116 20 30 DGEDMN CC(=NNC1=NC[C@H](C)N1)c1ccc(SC2CCCC2)cc1 ZINC000779800505 623809826 /nfs/dbraw/zinc/80/98/26/623809826.db2.gz NVAMQPNYTSXHMW-LBPRGKRZSA-N 0 1 316.474 3.383 20 30 DGEDMN CC(=NNc1cc[nH+]cc1)c1ccc(-c2ccc(C(=O)[O-])o2)cc1 ZINC000779809290 623811726 /nfs/dbraw/zinc/81/17/26/623811726.db2.gz NOJCRMKSQRRBAM-UHFFFAOYSA-N 0 1 321.336 3.298 20 30 DGEDMN COc1cccc(NN=Cc2c[nH]nc2-c2ccc(F)cc2)n1 ZINC000779818465 623813419 /nfs/dbraw/zinc/81/34/19/623813419.db2.gz ZHOWOZSHDJNWPS-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN COc1ccc(OC(F)F)c(C=NNc2cccc(OC)n2)c1 ZINC000779821391 623815438 /nfs/dbraw/zinc/81/54/38/623815438.db2.gz ZYTGMOUBYXSTQN-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000877303461 623845194 /nfs/dbraw/zinc/84/51/94/623845194.db2.gz ICCHYJANBFIGCH-INIZCTEOSA-N 0 1 313.445 3.146 20 30 DGEDMN CCC1CCC(N(CCO)Cc2cc(C#N)cnc2Cl)CC1 ZINC000877475085 623899748 /nfs/dbraw/zinc/89/97/48/623899748.db2.gz LTKHIAFWSPKMGE-UHFFFAOYSA-N 0 1 321.852 3.370 20 30 DGEDMN COc1ccc(N=NCc2cnc(C)n2-c2ccccc2)nc1 ZINC000780268143 623900552 /nfs/dbraw/zinc/90/05/52/623900552.db2.gz FRCWCLBHYGRCPE-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN COc1ccc(N=NCc2ccc(OCC(F)F)cc2)nc1 ZINC000780270712 623901658 /nfs/dbraw/zinc/90/16/58/623901658.db2.gz LCYODQGUIVCIPA-UHFFFAOYSA-N 0 1 307.300 3.180 20 30 DGEDMN COc1ccc(N=NCc2ccc(OC(C)C)c(OC)c2)nc1 ZINC000780270003 623901752 /nfs/dbraw/zinc/90/17/52/623901752.db2.gz BOXHTVSHFBNSSI-UHFFFAOYSA-N 0 1 315.373 3.332 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@@H]1c1cccnc1 ZINC000877522926 623923075 /nfs/dbraw/zinc/92/30/75/623923075.db2.gz FJJGTEKTHGTEFF-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(OCC(F)(F)F)cc2)nc1 ZINC000780555792 623950407 /nfs/dbraw/zinc/95/04/07/623950407.db2.gz SHOFRWZQZBJMCY-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@H]2CCC3(CCCC3)O2)c1 ZINC000877621825 623965894 /nfs/dbraw/zinc/96/58/94/623965894.db2.gz XCOOVCCMXDWXPP-CQSZACIVSA-N 0 1 305.809 3.188 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2ccc(F)cc2F)CC1 ZINC000877748199 624018635 /nfs/dbraw/zinc/01/86/35/624018635.db2.gz VYMITJMCUXNLLZ-UHFFFAOYSA-N 0 1 307.388 3.417 20 30 DGEDMN N#Cc1ccc(CN2CCC(N=Nc3ccnc(F)c3)CC2)cc1 ZINC000781126495 624025628 /nfs/dbraw/zinc/02/56/28/624025628.db2.gz BTEJTRZXNLJNPR-UHFFFAOYSA-N 0 1 323.375 3.156 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)C[C@H](O)c1ccccc1 ZINC000877907396 624087633 /nfs/dbraw/zinc/08/76/33/624087633.db2.gz YZJXFZJILJTEEJ-AEFFLSMTSA-N 0 1 317.454 3.221 20 30 DGEDMN FC(F)Sc1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cc1 ZINC000781597130 624101323 /nfs/dbraw/zinc/10/13/23/624101323.db2.gz RCAJTNXZNDSNFN-CHWSQXEVSA-N 0 1 324.400 3.195 20 30 DGEDMN O=C(C#Cc1ccc(C(F)(F)F)cc1)Nc1cc(Cl)[nH]n1 ZINC000790807918 625701026 /nfs/dbraw/zinc/70/10/26/625701026.db2.gz DJHPHBWVAJYEKZ-UHFFFAOYSA-N 0 1 313.666 3.072 20 30 DGEDMN C#CCCCCCN(C)CC(=O)Nc1ccccc1SC ZINC000877947785 624105794 /nfs/dbraw/zinc/10/57/94/624105794.db2.gz SBJYOSCKBFQTBO-UHFFFAOYSA-N 0 1 304.459 3.472 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCO[C@H](C(C)C)C2)nc(C)n1 ZINC000781759473 624139242 /nfs/dbraw/zinc/13/92/42/624139242.db2.gz GTRYSUBTDCBKSR-VKJFTORMSA-N 0 1 315.417 3.111 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCC(C)(C)C1 ZINC000781767471 624142072 /nfs/dbraw/zinc/14/20/72/624142072.db2.gz OWXMTKKOGFJXKZ-QWHCGFSZSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCC(C)(C)C2)cc1 ZINC000781769839 624143313 /nfs/dbraw/zinc/14/33/13/624143313.db2.gz XDUCAYITLSHDQH-WFASDCNBSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(C)[C@H]1CN(CCCCC#N)CCN1C(=O)OC(C)(C)C ZINC000781988312 624184169 /nfs/dbraw/zinc/18/41/69/624184169.db2.gz HWPIOTATOXVGRM-OAHLLOKOSA-N 0 1 309.454 3.258 20 30 DGEDMN CC[C@H]1CN(CC[C@](C#N)(C(C)=O)c2ccccc2)CCS1 ZINC000878152034 624190392 /nfs/dbraw/zinc/19/03/92/624190392.db2.gz UGWSOWCKHCJPRR-ROUUACIJSA-N 0 1 316.470 3.254 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN(C)Cc1ccccc1O)c1ccccc1 ZINC000878242779 624224986 /nfs/dbraw/zinc/22/49/86/624224986.db2.gz VLRQLGCFAPNLGR-FQEVSTJZSA-N 0 1 322.408 3.265 20 30 DGEDMN CC1(C)CCC[C@H]1NN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000782286390 624232702 /nfs/dbraw/zinc/23/27/02/624232702.db2.gz JIEHKCRAAXMYQA-QGZVFWFLSA-N 0 1 319.424 3.165 20 30 DGEDMN Cc1cc(NN=Cc2cc(Cl)cc3c2OCC3)nc(C)n1 ZINC000782902009 624288664 /nfs/dbraw/zinc/28/86/64/624288664.db2.gz UDPILYBYSLQLEI-UHFFFAOYSA-N 0 1 302.765 3.128 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CCC2(CCC2)O1 ZINC000878605817 624320026 /nfs/dbraw/zinc/32/00/26/624320026.db2.gz DUBQMPVLDZVYJH-INIZCTEOSA-N 0 1 305.418 3.252 20 30 DGEDMN N#CC(C(=O)CCCc1ccsc1)C(=O)NC1CCCCC1 ZINC000783351453 624339625 /nfs/dbraw/zinc/33/96/25/624339625.db2.gz WVAYOCZORKSMGX-HNNXBMFYSA-N 0 1 318.442 3.229 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC2(CC1)c1ccccc1N=C2C ZINC000878797125 624361854 /nfs/dbraw/zinc/36/18/54/624361854.db2.gz HSFJYLUMPRQSQG-QGZVFWFLSA-N 0 1 312.413 3.244 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](c1cc2ccccc2o1)C(C)C ZINC000783533375 624362683 /nfs/dbraw/zinc/36/26/83/624362683.db2.gz ZASVBNBCKXFLDX-QGZVFWFLSA-N 0 1 321.442 3.320 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccccc1SCC ZINC000783537522 624363401 /nfs/dbraw/zinc/36/34/01/624363401.db2.gz VKCTYTACSRDKSY-CYBMUJFWSA-N 0 1 313.488 3.050 20 30 DGEDMN C#CCCN1CCN(Cc2cc3ccccc3nc2Cl)CC1 ZINC000127353296 624363525 /nfs/dbraw/zinc/36/35/25/624363525.db2.gz BQCJVCMDUSWZGI-UHFFFAOYSA-N 0 1 313.832 3.029 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)Nc1ccc(CN(C)C)c(F)c1 ZINC000783657098 624380164 /nfs/dbraw/zinc/38/01/64/624380164.db2.gz QLUKPGSKULYCCK-KRWDZBQOSA-N 0 1 308.397 3.055 20 30 DGEDMN Cc1cc(NN=Cc2cn(C)nc2C2CCCCC2)nc(C)n1 ZINC000783826291 624398529 /nfs/dbraw/zinc/39/85/29/624398529.db2.gz DDNBURVHPMICTD-UHFFFAOYSA-N 0 1 312.421 3.321 20 30 DGEDMN C#CCCOc1ccc(CN2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000790930609 625717136 /nfs/dbraw/zinc/71/71/36/625717136.db2.gz FXYJDAMPHXUTPM-GOSISDBHSA-N 0 1 301.430 3.336 20 30 DGEDMN CCn1nc(C)c(C[N@@H+](C)Cc2ccc(OCC#N)cc2)c1C ZINC000879118907 624431102 /nfs/dbraw/zinc/43/11/02/624431102.db2.gz SVCJEULXXPEQRH-UHFFFAOYSA-N 0 1 312.417 3.054 20 30 DGEDMN CCn1nc(C)c(CN(C)Cc2ccc(OCC#N)cc2)c1C ZINC000879118907 624431105 /nfs/dbraw/zinc/43/11/05/624431105.db2.gz SVCJEULXXPEQRH-UHFFFAOYSA-N 0 1 312.417 3.054 20 30 DGEDMN C[C@@H](NCc1ccc2c(c1)ncn2C)c1ccc(C#N)cc1F ZINC000784680085 624571342 /nfs/dbraw/zinc/57/13/42/624571342.db2.gz JDNLNDHLDARZMT-GFCCVEGCSA-N 0 1 308.360 3.435 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000879714715 624650483 /nfs/dbraw/zinc/65/04/83/624650483.db2.gz XVVKPNLCZITUEQ-KGLIPLIRSA-N 0 1 315.417 3.292 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000879714716 624650731 /nfs/dbraw/zinc/65/07/31/624650731.db2.gz XVVKPNLCZITUEQ-UONOGXRCSA-N 0 1 315.417 3.292 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1ccc2cc[nH]c2c1 ZINC000879728801 624653610 /nfs/dbraw/zinc/65/36/10/624653610.db2.gz WWYLJDTYCKZROW-OAHLLOKOSA-N 0 1 311.429 3.189 20 30 DGEDMN CCc1cc(NN=Cc2cc(C)ns2)nc(-c2ccccn2)n1 ZINC000785453368 624668459 /nfs/dbraw/zinc/66/84/59/624668459.db2.gz HYICFIILWHSCHX-UHFFFAOYSA-N 0 1 324.413 3.312 20 30 DGEDMN CC(C)CC(=O)N1CCCN([C@@H](C)c2cccc(C#N)c2)CC1 ZINC000879760849 624669093 /nfs/dbraw/zinc/66/90/93/624669093.db2.gz OMWDOXULTOPLGL-INIZCTEOSA-N 0 1 313.445 3.200 20 30 DGEDMN C[C@@H](NC[C@H](C)NC(=O)OC(C)(C)C)c1ccc(C#N)cc1F ZINC000785488188 624676407 /nfs/dbraw/zinc/67/64/07/624676407.db2.gz IPQKUKNVFAEZBZ-NWDGAFQWSA-N 0 1 321.396 3.261 20 30 DGEDMN Cc1csc([C@@H]2CCN(CC#Cc3ccc(F)cc3)C2)n1 ZINC000880010045 624752526 /nfs/dbraw/zinc/75/25/26/624752526.db2.gz SFHHYCMNPCJSKF-OAHLLOKOSA-N 0 1 300.402 3.432 20 30 DGEDMN C=CCCC[C@H](C(=O)NCc1n[nH]c(CC)n1)c1ccccc1 ZINC000880152121 624809923 /nfs/dbraw/zinc/80/99/23/624809923.db2.gz UCCFEZVVUVFMHP-HNNXBMFYSA-N 0 1 312.417 3.123 20 30 DGEDMN C=CCC1(CNC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CCCC1 ZINC000880229868 624854946 /nfs/dbraw/zinc/85/49/46/624854946.db2.gz AKFNMKKOUHTGKW-CJNGLKHVSA-N 0 1 301.434 3.328 20 30 DGEDMN COc1ccc(C#N)cc1COC(=O)c1c(C)n[nH]c1C(C)C ZINC000786342798 624858676 /nfs/dbraw/zinc/85/86/76/624858676.db2.gz RIUQKUDNMPIRKH-UHFFFAOYSA-N 0 1 313.357 3.079 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1cc(C#N)ccc1F ZINC000786343506 624858996 /nfs/dbraw/zinc/85/89/96/624858996.db2.gz UVDDPLUFNAPDLS-UHFFFAOYSA-N 0 1 301.321 3.209 20 30 DGEDMN N#CC(C(=O)NCc1cccc(Cl)c1)C(=O)C1CCCCC1 ZINC000786536088 624913205 /nfs/dbraw/zinc/91/32/05/624913205.db2.gz XDMKJKUKZIQGFJ-OAHLLOKOSA-N 0 1 318.804 3.245 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786554260 624920317 /nfs/dbraw/zinc/92/03/17/624920317.db2.gz LXMPUIRYQYFAQH-AWEZNQCLSA-N 0 1 322.355 3.260 20 30 DGEDMN C#C[C@H](N[C@H]1CCSc2ccc(OC)cc21)[C@H]1CCCO1 ZINC000786580593 624925241 /nfs/dbraw/zinc/92/52/41/624925241.db2.gz BWBIVMCOWUTAHX-HRCADAONSA-N 0 1 303.427 3.003 20 30 DGEDMN COC(C)(C)CCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000786746103 624950237 /nfs/dbraw/zinc/95/02/37/624950237.db2.gz BYXGVKXXRLBQKB-UHFFFAOYSA-N 0 1 319.449 3.129 20 30 DGEDMN CC(C)C(N)=NOCc1ccc(C(=O)Nc2ccccc2)cc1 ZINC000128436136 624957198 /nfs/dbraw/zinc/95/71/98/624957198.db2.gz IHFMYMSDPYTDNE-UHFFFAOYSA-N 0 1 311.385 3.384 20 30 DGEDMN C#CCC1(O)CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC000880478200 624965501 /nfs/dbraw/zinc/96/55/01/624965501.db2.gz XANPZDHVZWSIBJ-UHFFFAOYSA-N 0 1 312.438 3.160 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CC[C@@H](C)C1)c1ccccc1 ZINC000787040237 624973259 /nfs/dbraw/zinc/97/32/59/624973259.db2.gz UWIRGTVUBJFSOC-DZUCGIPZSA-N 0 1 312.413 3.399 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@H]1CCCN1C1CC1 ZINC000787569690 625019662 /nfs/dbraw/zinc/01/96/62/625019662.db2.gz JTLYFRDFJURZLY-GOSISDBHSA-N 0 1 314.429 3.150 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc(Br)[nH]n1 ZINC000788295184 625119358 /nfs/dbraw/zinc/11/93/58/625119358.db2.gz AWALEYKAHBDHGR-UHFFFAOYSA-N 0 1 320.190 3.001 20 30 DGEDMN C[C@H](NC(=O)Nc1ccc(C2CC2)c(C#N)c1)C1=CC[N@@H+](C)CC1 ZINC000881123300 625249797 /nfs/dbraw/zinc/24/97/97/625249797.db2.gz XXVAAYFDQXLGKK-ZDUSSCGKSA-N 0 1 324.428 3.208 20 30 DGEDMN COCc1cc(C#N)ccc1NC[C@@H]1c2ccccc2CN1C ZINC000882701051 625790687 /nfs/dbraw/zinc/79/06/87/625790687.db2.gz VTEYJOWBPCJNML-LJQANCHMSA-N 0 1 307.397 3.303 20 30 DGEDMN N#CC(C(=O)CCn1cc(Cl)cn1)c1nccc2ccccc21 ZINC000792107191 625910054 /nfs/dbraw/zinc/91/00/54/625910054.db2.gz VBPLAMITZIPPGT-HNNXBMFYSA-N 0 1 324.771 3.351 20 30 DGEDMN C[C@@H]1CCCC[C@H]1OCC(=O)C(C#N)c1cnc2ccccc2n1 ZINC000792111287 625911046 /nfs/dbraw/zinc/91/10/46/625911046.db2.gz ZOXXZTXTAIFWHP-PJIJBLCYSA-N 0 1 323.396 3.401 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(C#N)c(F)c2)cc1F ZINC000792199544 625924309 /nfs/dbraw/zinc/92/43/09/625924309.db2.gz UVNIPEVVOKFIIW-UHFFFAOYSA-N 0 1 302.324 3.477 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(O)c3ccccc3c2)c1 ZINC000792275754 625932493 /nfs/dbraw/zinc/93/24/93/625932493.db2.gz ISPRRCQNBDGPSK-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN C[C@H](NCCCOc1ccc(C#N)cc1)c1c(F)cncc1F ZINC000796623270 626066731 /nfs/dbraw/zinc/06/67/31/626066731.db2.gz GYCIHOLHYCREDB-LBPRGKRZSA-N 0 1 317.339 3.351 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@H](F)CC1CCCCC1 ZINC000796631205 626067887 /nfs/dbraw/zinc/06/78/87/626067887.db2.gz NXVCSMUWVGENPZ-LSDHHAIUSA-N 0 1 310.413 3.310 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000796786124 626082963 /nfs/dbraw/zinc/08/29/63/626082963.db2.gz BRPPKFDAOZSMTG-DOMZBBRYSA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccccc1-n1ccnn1 ZINC000796828591 626087355 /nfs/dbraw/zinc/08/73/55/626087355.db2.gz QWHOCANFBMXXQG-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN C#CCCCOC(=O)[C@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000796852010 626089947 /nfs/dbraw/zinc/08/99/47/626089947.db2.gz FYZDMJXJAHQVCY-MRXNPFEDSA-N 0 1 321.367 3.100 20 30 DGEDMN CC1CCC(OCC(=O)C(C#N)c2cnc3ccccc3n2)CC1 ZINC000796899726 626093922 /nfs/dbraw/zinc/09/39/22/626093922.db2.gz KMBDRFVAHNSPAG-YMAMQOFZSA-N 0 1 323.396 3.401 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC1C[C@H](C)N(C2CC2)C1 ZINC000797403925 626140205 /nfs/dbraw/zinc/14/02/05/626140205.db2.gz CNAYSTPLQSTMNM-JTQLQIEISA-N 0 1 307.829 3.278 20 30 DGEDMN Cc1nn(C(C)C)cc1C=NN=c1[nH]c2cc(F)c(F)cc2[nH]1 ZINC000799109130 626272534 /nfs/dbraw/zinc/27/25/34/626272534.db2.gz WGMGHBHCDAAMHD-UHFFFAOYSA-N 0 1 318.331 3.373 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1cc(C(C)(C)C)[nH]n1 ZINC000799638684 626316326 /nfs/dbraw/zinc/31/63/26/626316326.db2.gz SMMZUJTXRAQRLN-UHFFFAOYSA-N 0 1 301.456 3.419 20 30 DGEDMN CC(=NN=c1nc[nH]c2sc(C)c(C)c21)c1cc(C)n(C)n1 ZINC000799867239 626332257 /nfs/dbraw/zinc/33/22/57/626332257.db2.gz HUQYARIHGWBDQE-UHFFFAOYSA-N 0 1 314.418 3.186 20 30 DGEDMN Cc1cc(C(C)N=Nc2ccccc2OC(F)(F)F)nn1C ZINC000799885347 626333490 /nfs/dbraw/zinc/33/34/90/626333490.db2.gz FDXNUGRSSIMFPC-UHFFFAOYSA-N 0 1 312.295 3.463 20 30 DGEDMN COc1ccc(CNCc2cccc(OC)c2F)cc1C#N ZINC000800252910 626345054 /nfs/dbraw/zinc/34/50/54/626345054.db2.gz RXCSKRLXXKMLSK-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000800383853 626355290 /nfs/dbraw/zinc/35/52/90/626355290.db2.gz GCFAXEQXFLUAKY-SQYUCLKFSA-N 0 1 300.383 3.286 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)c2ncc(C(C)(C)C)s2)O1 ZINC000800411955 626357553 /nfs/dbraw/zinc/35/75/53/626357553.db2.gz QUMPJICKXXUONM-BBGACYKPSA-N 0 1 306.431 3.430 20 30 DGEDMN CCc1oc(C=NNc2cc(Cl)ccn2)cc1C(=O)OC ZINC000800815821 626376479 /nfs/dbraw/zinc/37/64/79/626376479.db2.gz OYNGJNZGLYNUDW-UHFFFAOYSA-N 0 1 307.737 3.123 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=Cc1ccc(OC)c(C)c1 ZINC000800818043 626376875 /nfs/dbraw/zinc/37/68/75/626376875.db2.gz DSAHLJWZABWYOX-UHFFFAOYSA-N 0 1 316.332 3.375 20 30 DGEDMN CCOc1cccc(CN=Nc2cccc(F)c2C(=O)OC)c1 ZINC000800817752 626377114 /nfs/dbraw/zinc/37/71/14/626377114.db2.gz UGFYHPHQKWJNSL-UHFFFAOYSA-N 0 1 316.332 3.457 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1/C=C/C(=O)c1ccccc1O ZINC000802705238 626566901 /nfs/dbraw/zinc/56/69/01/626566901.db2.gz DFXOXXHYYNPYNK-ZHACJKMWSA-N 0 1 319.364 3.486 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H]2CCc3c2cccc3Cl)CC1 ZINC000803352483 626605810 /nfs/dbraw/zinc/60/58/10/626605810.db2.gz KPAOPTLKYCEKLH-KRWDZBQOSA-N 0 1 317.816 3.216 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C2CC2)[nH]1)[C@@H]1Cc2ccccc2O1 ZINC000883225495 626615267 /nfs/dbraw/zinc/61/52/67/626615267.db2.gz IFNSYIAFQFGRSS-WBVHZDCISA-N 0 1 324.428 3.110 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000883234466 626618375 /nfs/dbraw/zinc/61/83/75/626618375.db2.gz GOEUQCMYTCFZDJ-BFYDXBDKSA-N 0 1 312.417 3.287 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1csc(-c2ccc(OC)cc2)n1 ZINC000883401430 626673772 /nfs/dbraw/zinc/67/37/72/626673772.db2.gz MPTIKCIMOCWEEC-CQSZACIVSA-N 0 1 318.442 3.235 20 30 DGEDMN C=CCCC[C@@H](NC(=O)N(C)Cc1nnc[nH]1)c1ccccc1 ZINC000883858445 626758869 /nfs/dbraw/zinc/75/88/69/626758869.db2.gz LBDFZMNZLVBBDO-OAHLLOKOSA-N 0 1 313.405 3.044 20 30 DGEDMN CC(N=Nc1ccc([N+](=O)[O-])cc1)c1cccc(-n2ccnn2)c1 ZINC000807940859 626779197 /nfs/dbraw/zinc/77/91/97/626779197.db2.gz OFEGSCARCNFANR-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN Clc1nc(Nc2ccccc2)sc1C=[NH+][N-]c1nnc[nH]1 ZINC000807996231 626784463 /nfs/dbraw/zinc/78/44/63/626784463.db2.gz NXEIKYUCPLSRKP-UHFFFAOYSA-N 0 1 319.781 3.104 20 30 DGEDMN COc1cccc2c(C(=O)O[C@H](C#N)C3CCCCC3)[nH]nc21 ZINC000808672153 626847934 /nfs/dbraw/zinc/84/79/34/626847934.db2.gz FIDGOYTXPNQWLL-CQSZACIVSA-N 0 1 313.357 3.201 20 30 DGEDMN C#Cc1ccc(CNc2ccccc2N2CCN(C)CC2)cc1 ZINC000809597747 626924229 /nfs/dbraw/zinc/92/42/29/626924229.db2.gz WQTUAGARLNPFTQ-UHFFFAOYSA-N 0 1 305.425 3.032 20 30 DGEDMN CC(C)C[C@H](C)CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000809639098 626930921 /nfs/dbraw/zinc/93/09/21/626930921.db2.gz GYXRLSCUAXPXPT-RRFJBIMHSA-N 0 1 309.454 3.017 20 30 DGEDMN Cc1nc(C2CCN(c3ncc(C#N)cc3Cl)CC2)[nH]c1C ZINC000884708364 626932264 /nfs/dbraw/zinc/93/22/64/626932264.db2.gz WSBPPKGAVRMWMI-UHFFFAOYSA-N 0 1 315.808 3.331 20 30 DGEDMN C#Cc1ccc(CNCc2ccncc2OCC(F)(F)F)cc1 ZINC000809660636 626933727 /nfs/dbraw/zinc/93/37/27/626933727.db2.gz LMUGNSRNBPGPDO-UHFFFAOYSA-N 0 1 320.314 3.294 20 30 DGEDMN C[C@@H](O)c1ncc(CNCc2ccc(C#N)c(Cl)c2)s1 ZINC000809663596 626934622 /nfs/dbraw/zinc/93/46/22/626934622.db2.gz WNEPPDAWXIHISG-SECBINFHSA-N 0 1 307.806 3.011 20 30 DGEDMN C#C[C@@H](CC)NCc1cnn(-c2ccc(Br)cc2)c1 ZINC000809685816 626935783 /nfs/dbraw/zinc/93/57/83/626935783.db2.gz SZOJDDIBRFIXDO-AWEZNQCLSA-N 0 1 318.218 3.136 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cc(F)ccc1O ZINC000809701444 626938892 /nfs/dbraw/zinc/93/88/92/626938892.db2.gz FSECTRZIEFRNTF-UHFFFAOYSA-N 0 1 305.349 3.195 20 30 DGEDMN Cc1ccccc1[C@@H](O)C1CCN(Cc2ccc(C#N)o2)CC1 ZINC000809704131 626939153 /nfs/dbraw/zinc/93/91/53/626939153.db2.gz YJOLDCYLTYUNEB-IBGZPJMESA-N 0 1 310.397 3.405 20 30 DGEDMN C[C@H](OC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C)c1ccc(C#N)cc1 ZINC000885258343 626994610 /nfs/dbraw/zinc/99/46/10/626994610.db2.gz GZDQAMNUSDPFFG-PEBVRCNWSA-N 0 1 309.369 3.252 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OCC2CCC(C#N)CC2)C1 ZINC000810718199 627040763 /nfs/dbraw/zinc/04/07/63/627040763.db2.gz BZBVZERJYCYHSP-KVULBXGLSA-N 0 1 310.413 3.072 20 30 DGEDMN CC[C@H](C#N)Oc1cc(CNCc2cncs2)ccc1OC ZINC000136128485 627046433 /nfs/dbraw/zinc/04/64/33/627046433.db2.gz PFGMGPQFJZVNTF-CYBMUJFWSA-N 0 1 317.414 3.122 20 30 DGEDMN COc1ccc(Cl)cc1CN(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885928473 627062273 /nfs/dbraw/zinc/06/22/73/627062273.db2.gz AJRKCIAVSDCYTO-OAHLLOKOSA-N 0 1 322.836 3.225 20 30 DGEDMN N#CC1(C[C@H](O)CN(Cc2ccc(F)cc2F)C2CC2)CCC1 ZINC000885958798 627069977 /nfs/dbraw/zinc/06/99/77/627069977.db2.gz LLWVVFVKEFMYGN-INIZCTEOSA-N 0 1 320.383 3.374 20 30 DGEDMN Cc1ccccc1[C@]1(F)CC[N@@H+](C[C@@H](O)CC2(C#N)CCC2)C1 ZINC000886190641 627119435 /nfs/dbraw/zinc/11/94/35/627119435.db2.gz YRPGDWWXTRXHDV-LPHOPBHVSA-N 0 1 316.420 3.310 20 30 DGEDMN Cc1ccccc1[C@]1(F)CCN(C[C@@H](O)CC2(C#N)CCC2)C1 ZINC000886190641 627119437 /nfs/dbraw/zinc/11/94/37/627119437.db2.gz YRPGDWWXTRXHDV-LPHOPBHVSA-N 0 1 316.420 3.310 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccccc2C(F)(F)F)C1 ZINC000886355348 627141857 /nfs/dbraw/zinc/14/18/57/627141857.db2.gz HCLZLOMQAQUZAN-STQMWFEESA-N 0 1 324.346 3.378 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)c2nc3sc(C)c(C)c3c(N)n2)C1 ZINC000886363022 627142918 /nfs/dbraw/zinc/14/29/18/627142918.db2.gz XPUDKKNGKHCIHJ-WCQYABFASA-N 0 1 314.458 3.297 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nc3cc(Br)ccc3[nH]2)C1 ZINC000886364441 627143781 /nfs/dbraw/zinc/14/37/81/627143781.db2.gz ZRRJMXAFRFIDHM-NSHDSACASA-N 0 1 318.218 3.171 20 30 DGEDMN COC(=O)c1cncc([C@H](C)NC2(c3cccc(C#N)c3)CC2)c1 ZINC000886578073 627166663 /nfs/dbraw/zinc/16/66/63/627166663.db2.gz INNAOKPVFPZZHN-ZDUSSCGKSA-N 0 1 321.380 3.080 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H]2CCC[C@]2(C(F)(F)F)C1 ZINC000886608718 627172182 /nfs/dbraw/zinc/17/21/82/627172182.db2.gz FFJZIWXNCDKTHU-ZFWWWQNUSA-N 0 1 318.383 3.075 20 30 DGEDMN CC(C)OC(=O)C1CCN([C@H](C)c2cccc(C#N)c2)CC1 ZINC000886730520 627198288 /nfs/dbraw/zinc/19/82/88/627198288.db2.gz FRMYTZBWVQDDEZ-CQSZACIVSA-N 0 1 300.402 3.283 20 30 DGEDMN C#CCOc1ccccc1CN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000811519585 627287095 /nfs/dbraw/zinc/28/70/95/627287095.db2.gz YQHQVBDTWHWFPS-RBUKOAKNSA-N 0 1 311.425 3.232 20 30 DGEDMN C(=NNCCN1CCCCC1)c1ncc(-c2ccccc2)s1 ZINC000811639123 627298233 /nfs/dbraw/zinc/29/82/33/627298233.db2.gz PABGIYWFBXRIPP-UHFFFAOYSA-N 0 1 314.458 3.220 20 30 DGEDMN C=C(C)CNN=Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000811650869 627300274 /nfs/dbraw/zinc/30/02/74/627300274.db2.gz UMOCAHANRMLKFG-UHFFFAOYSA-N 0 1 302.378 3.085 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cc(F)c(O)c(F)c2)cc1O ZINC000811698936 627307112 /nfs/dbraw/zinc/30/71/12/627307112.db2.gz OQVSNNOLQCMBCZ-RQOWECAXSA-N 0 1 306.264 3.281 20 30 DGEDMN Cc1nc(NCc2n[nH]c(-c3ccccc3)n2)c(Cl)cc1C#N ZINC000812457327 627388118 /nfs/dbraw/zinc/38/81/18/627388118.db2.gz JHBLZBOUBGLHFJ-UHFFFAOYSA-N 0 1 324.775 3.312 20 30 DGEDMN Cc1nc(NCc2nc(-c3ccccc3)n[nH]2)c(Cl)cc1C#N ZINC000812457327 627388123 /nfs/dbraw/zinc/38/81/23/627388123.db2.gz JHBLZBOUBGLHFJ-UHFFFAOYSA-N 0 1 324.775 3.312 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H](c1ccccc1)N(C)CC)c1ccc(F)cc1 ZINC000812750194 627416268 /nfs/dbraw/zinc/41/62/68/627416268.db2.gz NQHHLXJDXOUKBA-RTBURBONSA-N 0 1 324.399 3.309 20 30 DGEDMN C#C[C@H](NC1CC(NC(=O)OC(C)(C)C)C1)c1ccc(F)cc1 ZINC000812793898 627421329 /nfs/dbraw/zinc/42/13/29/627421329.db2.gz JKWOPVOUBPJYQJ-GPANFISMSA-N 0 1 318.392 3.145 20 30 DGEDMN C#C[C@@H](NCc1cc(OC)c(OC)cc1O)c1ccc(F)cc1 ZINC000812792361 627421411 /nfs/dbraw/zinc/42/14/11/627421411.db2.gz WOTZOPRBOSQTNQ-OAHLLOKOSA-N 0 1 315.344 3.013 20 30 DGEDMN CO[C@@](C)(C(=O)[C@@H](C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000813067349 627445052 /nfs/dbraw/zinc/44/50/52/627445052.db2.gz OMTWIGMYBAMHFK-BDJLRTHQSA-N 0 1 318.373 3.427 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813216747 627468356 /nfs/dbraw/zinc/46/83/56/627468356.db2.gz YDRVKYFVOLNJKJ-PWSUYJOCSA-N 0 1 312.291 3.093 20 30 DGEDMN CC(=[NH+]Nc1cccc(C(=O)[O-])c1)c1ccc(Cl)c(N)c1 ZINC000814687171 627583636 /nfs/dbraw/zinc/58/36/36/627583636.db2.gz ATDSMEVPCLYTMU-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2nc3c(s2)CCC3)nc1 ZINC000814710785 627585016 /nfs/dbraw/zinc/58/50/16/627585016.db2.gz XNVJUQKNZJQXIO-UHFFFAOYSA-N 0 1 312.320 3.492 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1C=NNc1nc2ccccc2n1C ZINC000814807061 627593406 /nfs/dbraw/zinc/59/34/06/627593406.db2.gz DINBMDZTKBTBFX-UHFFFAOYSA-N 0 1 323.187 3.060 20 30 DGEDMN Fc1cc2c(c(F)c1)C(N=Nc1cnc3ccccc3n1)CO2 ZINC000814829582 627596252 /nfs/dbraw/zinc/59/62/52/627596252.db2.gz AQIUMMQWQNGIST-UHFFFAOYSA-N 0 1 312.279 3.117 20 30 DGEDMN COc1cccc(-c2n[nH]cc2CN=Nc2ccc(F)cn2)c1 ZINC000814842563 627597460 /nfs/dbraw/zinc/59/74/60/627597460.db2.gz LOBCTZLAOUSKBQ-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN CCCC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(OC)cc1 ZINC000814885925 627602706 /nfs/dbraw/zinc/60/27/06/627602706.db2.gz MZQQAMIDTDZMAV-CALCHBBNSA-N 0 1 314.433 3.059 20 30 DGEDMN CC(C)c1nccnc1N=NC1COc2cc(F)cc(F)c21 ZINC000814917079 627607353 /nfs/dbraw/zinc/60/73/53/627607353.db2.gz PCRYFSUKDUFSCT-UHFFFAOYSA-N 0 1 304.300 3.087 20 30 DGEDMN CC(C)c1nccnc1N=NC(C)c1cc(F)c(N)c(F)c1 ZINC000814916350 627607443 /nfs/dbraw/zinc/60/74/43/627607443.db2.gz GOMWKUFKDYLXPY-UHFFFAOYSA-N 0 1 305.332 3.297 20 30 DGEDMN Cn1nncc1N=NC1CCCc2nc(-c3ccccc3)sc21 ZINC000814981224 627615221 /nfs/dbraw/zinc/61/52/21/627615221.db2.gz YVAQHPGQLSGKSD-UHFFFAOYSA-N 0 1 324.413 3.091 20 30 DGEDMN N#Cc1cccc(COC(=O)[C@@H]2CCCCN2C2CCCC2)c1 ZINC000815445600 627674475 /nfs/dbraw/zinc/67/44/75/627674475.db2.gz CUWHXQRMPPIVMQ-SFHVURJKSA-N 0 1 312.413 3.399 20 30 DGEDMN C[C@@H](NC(=O)CC1(C#N)CC1)c1nc2ccc(Cl)cc2[nH]1 ZINC000815570638 627685670 /nfs/dbraw/zinc/68/56/70/627685670.db2.gz ITKOKNMTYLYJMY-SECBINFHSA-N 0 1 302.765 3.087 20 30 DGEDMN C=CCC(F)(F)C(=O)N(C)[C@H]1CCCN(Cc2ccccc2)C1 ZINC000815739062 627701564 /nfs/dbraw/zinc/70/15/64/627701564.db2.gz NRLMEAJGYQJJNP-INIZCTEOSA-N 0 1 322.399 3.321 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)c1ccc(C(C)C)nc1CC ZINC000815940179 627715748 /nfs/dbraw/zinc/71/57/48/627715748.db2.gz MRMPQHFCPAACLQ-OAHLLOKOSA-N 0 1 314.429 3.022 20 30 DGEDMN CO[C@@H]1CCC[C@H](C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000815954503 627717381 /nfs/dbraw/zinc/71/73/81/627717381.db2.gz DPTCWKDOGBEXSN-MJBXVCDLSA-N 0 1 311.385 3.253 20 30 DGEDMN N#Cc1ccc(CNN=C2CCCCc3ccc(N)cc32)cc1 ZINC000817326195 627882767 /nfs/dbraw/zinc/88/27/67/627882767.db2.gz TWDXTCBRQCIMNQ-UHFFFAOYSA-N 0 1 304.397 3.361 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@H](CC)O1 ZINC000817412105 627895419 /nfs/dbraw/zinc/89/54/19/627895419.db2.gz CZCBVVMVFWBNBR-KDURUIRLSA-N 0 1 315.461 3.426 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@@H](C)C#N)cc2)C[C@@H](CC)O1 ZINC000817412228 627895675 /nfs/dbraw/zinc/89/56/75/627895675.db2.gz GRCSLHDXRUGMFB-USXIJHARSA-N 0 1 302.418 3.367 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@H]1c2sccc2OC(C)(C)[C@@H]1O ZINC000926569393 627915753 /nfs/dbraw/zinc/91/57/53/627915753.db2.gz GTTFENCWJMRIAW-SCDSUCTJSA-N 0 1 308.447 3.239 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](NCC2(C#N)CCC2)C12CCC2 ZINC000926626972 627923326 /nfs/dbraw/zinc/92/33/26/627923326.db2.gz MOVHJRVDVILRSC-AWEZNQCLSA-N 0 1 319.449 3.202 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000817714277 627937999 /nfs/dbraw/zinc/93/79/99/627937999.db2.gz OXVWRQPAUZVUSM-BLTAXRJOSA-N 0 1 316.788 3.424 20 30 DGEDMN C[C@@H](NCC1(C#N)CCCCC1)c1cccc(-n2cnnc2)c1 ZINC000926713541 627938518 /nfs/dbraw/zinc/93/85/18/627938518.db2.gz YFNONNUAUCHVCB-OAHLLOKOSA-N 0 1 309.417 3.392 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cccc2cccnc12 ZINC000818222329 628006281 /nfs/dbraw/zinc/00/62/81/628006281.db2.gz WZYDJLMCTVMAIG-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2cc(C3CC3)nn2C)cc1 ZINC000927098392 628013117 /nfs/dbraw/zinc/01/31/17/628013117.db2.gz IIJZSWYGZUBTLE-AWEZNQCLSA-N 0 1 309.413 3.160 20 30 DGEDMN C[C@@H]([NH2+]CCNc1ccc(C#N)cn1)c1cc(Cl)ccc1[O-] ZINC000927164654 628030650 /nfs/dbraw/zinc/03/06/50/628030650.db2.gz OYTGHVRKYFSYLF-LLVKDONJSA-N 0 1 316.792 3.075 20 30 DGEDMN C=C[C@@H](CC(=O)NCc1cc(N(C)C)ccn1)c1ccccc1 ZINC000927703730 628093443 /nfs/dbraw/zinc/09/34/43/628093443.db2.gz WRTSYZNSYXFNAR-HNNXBMFYSA-N 0 1 309.413 3.124 20 30 DGEDMN C#CCN1CCC(NC(=O)c2coc3ccc(C(C)C)cc23)CC1 ZINC000928653288 628172861 /nfs/dbraw/zinc/17/28/61/628172861.db2.gz HCLNESKULCYSGI-UHFFFAOYSA-N 0 1 324.424 3.384 20 30 DGEDMN CCN(CC)c1ccc(C=NN[C@H](C)c2ccncc2)c(O)c1 ZINC000819814553 628192546 /nfs/dbraw/zinc/19/25/46/628192546.db2.gz BLXPREPRZQFHIV-CQSZACIVSA-N 0 1 312.417 3.318 20 30 DGEDMN Cc1nc(C(C)N=Nc2ncc(Br)cc2C)co1 ZINC000819828743 628194414 /nfs/dbraw/zinc/19/44/14/628194414.db2.gz OOZKFFHLJJPECR-UHFFFAOYSA-N 0 1 309.167 3.285 20 30 DGEDMN N#CC1(CCOC(=O)c2cccc(-c3nnc[nH]3)c2)CCCCC1 ZINC000840399553 628196000 /nfs/dbraw/zinc/19/60/00/628196000.db2.gz OQWYZSAHLRHQLW-UHFFFAOYSA-N 0 1 324.384 3.493 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)C=C[C@@H]2CCCO2)s1 ZINC000820961091 628320072 /nfs/dbraw/zinc/32/00/72/628320072.db2.gz FEASEXHOIFQKIL-FNSAGKMKSA-N 0 1 304.415 3.352 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@@H]2CCCO2)s1 ZINC000820961091 628320075 /nfs/dbraw/zinc/32/00/75/628320075.db2.gz FEASEXHOIFQKIL-FNSAGKMKSA-N 0 1 304.415 3.352 20 30 DGEDMN CNC(=O)c1ccc(/C=C/C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000821021431 628327330 /nfs/dbraw/zinc/32/73/30/628327330.db2.gz FWLLNRBMLHTEEI-FMIVXFBMSA-N 0 1 322.408 3.004 20 30 DGEDMN CN1CCCN(C(=O)Nc2scc(C(C)(C)C)c2C#N)CC1 ZINC000821488642 628375621 /nfs/dbraw/zinc/37/56/21/628375621.db2.gz OADCGCUNPJYGGU-UHFFFAOYSA-N 0 1 320.462 3.087 20 30 DGEDMN CN(Cc1cn2ccccc2c1C#N)C[C@@](C)(O)c1ccccc1 ZINC000823590597 628577954 /nfs/dbraw/zinc/57/79/54/628577954.db2.gz FLIQEWRWIVYYBI-HXUWFJFHSA-N 0 1 319.408 3.150 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCCC[C@H]1CNC(=O)[O-] ZINC000823737751 628592272 /nfs/dbraw/zinc/59/22/72/628592272.db2.gz KWLVSIQILDNMLY-HNNXBMFYSA-N 0 1 315.417 3.110 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCO[C@](C)(C3CC3)C2)cc1 ZINC000826877939 628898920 /nfs/dbraw/zinc/89/89/20/628898920.db2.gz OSNODDNLJIMMCV-IBGZPJMESA-N 0 1 313.445 3.037 20 30 DGEDMN C#C[C@@H](NCc1cscc1Br)C1CCOCC1 ZINC000827560982 628970574 /nfs/dbraw/zinc/97/05/74/628970574.db2.gz WGPVTGBFAHIFGE-CYBMUJFWSA-N 0 1 314.248 3.029 20 30 DGEDMN C[C@H]([NH2+][C@@H](C(=O)[O-])c1cccc(C#N)c1)c1c(F)cccc1F ZINC000827717692 628985107 /nfs/dbraw/zinc/98/51/07/628985107.db2.gz KTUXTNPRPQXVER-MGPLVRAMSA-N 0 1 316.307 3.313 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1cc(-c2ccncc2)ccc1OC ZINC000827823983 628994647 /nfs/dbraw/zinc/99/46/47/628994647.db2.gz YXLGULYAKKOOLB-UHFFFAOYSA-N 0 1 324.424 3.229 20 30 DGEDMN C#CCCN(CCOC)Cc1cc(-c2ccncc2)ccc1OC ZINC000827823983 628994649 /nfs/dbraw/zinc/99/46/49/628994649.db2.gz YXLGULYAKKOOLB-UHFFFAOYSA-N 0 1 324.424 3.229 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)[C@@H]1CCC[C@@H]1C#N ZINC000828141059 629026934 /nfs/dbraw/zinc/02/69/34/629026934.db2.gz JOWTXXYKDXUTAO-GDBMZVCRSA-N 0 1 315.417 3.030 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)Cc2ccccc21 ZINC000828411135 629051720 /nfs/dbraw/zinc/05/17/20/629051720.db2.gz FEUZQXJAPCOTAU-ACJLOTCBSA-N 0 1 306.365 3.303 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000829773365 629190337 /nfs/dbraw/zinc/19/03/37/629190337.db2.gz DKQANIQBMQCBOI-HUUCEWRRSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2cccc(Cl)c2C)C1 ZINC000829779087 629191735 /nfs/dbraw/zinc/19/17/35/629191735.db2.gz MMNMNXJSILPVBJ-AWEZNQCLSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nc(-c3ccc(F)c(C)c3)no2)C1 ZINC000829788825 629192788 /nfs/dbraw/zinc/19/27/88/629192788.db2.gz HQPGOVGZKYPQPZ-CQSZACIVSA-N 0 1 313.376 3.419 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](CCCC)C(=O)Nc2cc(C)on2)C1 ZINC000829799492 629194912 /nfs/dbraw/zinc/19/49/12/629194912.db2.gz POMKCMAWCSSIST-HOTGVXAUSA-N 0 1 317.433 3.216 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)N2CCC[C@H]2c2cccs2)CC1 ZINC000830338137 629255197 /nfs/dbraw/zinc/25/51/97/629255197.db2.gz SXYOERPWKJKSEN-ZBFHGGJFSA-N 0 1 316.470 3.145 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)OC(C)(C)C ZINC000830463373 629272514 /nfs/dbraw/zinc/27/25/14/629272514.db2.gz REIATVRKFGFRFD-KRWDZBQOSA-N 0 1 314.429 3.134 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830825120 629309062 /nfs/dbraw/zinc/30/90/62/629309062.db2.gz AOVCFVGLHURWRP-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000830906404 629318898 /nfs/dbraw/zinc/31/88/98/629318898.db2.gz LCOQJTKYHYBKEG-GJZGRUSLSA-N 0 1 321.469 3.292 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)c3cccc(C#N)c3)C2)n[nH]1 ZINC000831919163 629428437 /nfs/dbraw/zinc/42/84/37/629428437.db2.gz LVFUAAYCPPSLSM-MRXNPFEDSA-N 0 1 322.412 3.425 20 30 DGEDMN Cc1cccc(OCC[N@H+](C)[C@H](C(=O)[O-])c2cccc(C#N)c2)c1 ZINC000832972079 629542015 /nfs/dbraw/zinc/54/20/15/629542015.db2.gz IPXYKVJMFABMMW-SFHVURJKSA-N 0 1 324.380 3.003 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063792 629554239 /nfs/dbraw/zinc/55/42/39/629554239.db2.gz ATEXIPHSPYRQSK-HOTGVXAUSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063792 629554241 /nfs/dbraw/zinc/55/42/41/629554241.db2.gz ATEXIPHSPYRQSK-HOTGVXAUSA-N 0 1 310.353 3.250 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](Cc3ccccc3)C2)c1 ZINC000833074482 629555272 /nfs/dbraw/zinc/55/52/72/629555272.db2.gz YSXHIBXIDOPGGC-PKOBYXMFSA-N 0 1 320.392 3.249 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2C[C@H](C(=O)[O-])[C@H](C)C2)cc1Cl ZINC000833377562 629594802 /nfs/dbraw/zinc/59/48/02/629594802.db2.gz BQWHLQKOBNXMKE-YPMHNXCESA-N 0 1 309.793 3.057 20 30 DGEDMN C#CCNC(=O)[C@@H](C)N[C@@H](C)c1ccccc1Oc1ccccc1 ZINC000101583422 629743121 /nfs/dbraw/zinc/74/31/21/629743121.db2.gz AWUAXFFPBMYRGW-JKSUJKDBSA-N 0 1 322.408 3.267 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000835066589 629842320 /nfs/dbraw/zinc/84/23/20/629842320.db2.gz YOFWMNXRQFUKEZ-IEBDPFPHSA-N 0 1 308.740 3.173 20 30 DGEDMN C#CCO[C@@H](C)C(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000835071935 629843581 /nfs/dbraw/zinc/84/35/81/629843581.db2.gz ABRCCMABHXEEDP-GXSJLCMTSA-N 0 1 318.785 3.164 20 30 DGEDMN CC(C)CC(CC(C)C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000835076008 629845418 /nfs/dbraw/zinc/84/54/18/629845418.db2.gz CKHNDIVAQQNQRA-OAHLLOKOSA-N 0 1 315.417 3.437 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CC12CCSCC2)c1cnc2ccccc2n1 ZINC000835348253 629912030 /nfs/dbraw/zinc/91/20/30/629912030.db2.gz METRHNHNLJBTOJ-QWHCGFSZSA-N 0 1 323.421 3.339 20 30 DGEDMN N#CC(C(=O)CCCC(=O)C1CC1)c1cc(C(F)(F)F)ccn1 ZINC000835455898 629936562 /nfs/dbraw/zinc/93/65/62/629936562.db2.gz POJDWZRXOJYLNW-GFCCVEGCSA-N 0 1 324.302 3.426 20 30 DGEDMN C[C@@]1(C#N)CC[C@H](OC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000836153165 630074437 /nfs/dbraw/zinc/07/44/37/630074437.db2.gz FAUSOYFMZCEDPU-KDYLLFBJSA-N 0 1 310.357 3.101 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000837378038 630250057 /nfs/dbraw/zinc/25/00/57/630250057.db2.gz OWBVOFGXUYBEOH-UHFFFAOYSA-N 0 1 316.470 3.223 20 30 DGEDMN N#CCc1ccccc1C(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000837403741 630253162 /nfs/dbraw/zinc/25/31/62/630253162.db2.gz VFJGBCQLZWWJEP-GOSISDBHSA-N 0 1 320.392 3.184 20 30 DGEDMN CN(C)[C@@H](CNC(=O)C#CC(C)(C)C)c1ccc(Cl)cc1 ZINC000837448050 630259295 /nfs/dbraw/zinc/25/92/95/630259295.db2.gz JTPSJQKEDRRPIQ-HNNXBMFYSA-N 0 1 306.837 3.108 20 30 DGEDMN C[C@@H]1CCN(Cc2ccccc2)CCN1C(=O)C#CC(C)(C)C ZINC000837450030 630259451 /nfs/dbraw/zinc/25/94/51/630259451.db2.gz YFUKITCNKNEROU-QGZVFWFLSA-N 0 1 312.457 3.159 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCO[C@@H](C3CCC3)C2)cc1 ZINC000840954168 630384227 /nfs/dbraw/zinc/38/42/27/630384227.db2.gz HXPRNSVYJNHSRQ-LJQANCHMSA-N 0 1 313.445 3.037 20 30 DGEDMN NC(=NOCC1CC(F)(F)C1)c1ccc(N2CCCCC2)cc1 ZINC000842032388 630480549 /nfs/dbraw/zinc/48/05/49/630480549.db2.gz BRGPYJZQYOVAPV-UHFFFAOYSA-N 0 1 323.387 3.359 20 30 DGEDMN CO[C@H](CC(C)C)Cn1[nH]c(C(C)(C)C)c(CCC#N)c1=O ZINC000842034621 630480641 /nfs/dbraw/zinc/48/06/41/630480641.db2.gz OZVDRZMUKJTQDW-CYBMUJFWSA-N 0 1 307.438 3.403 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@H](c3ccccc3C)CC2=O)C1 ZINC000842629200 630556069 /nfs/dbraw/zinc/55/60/69/630556069.db2.gz LFAKOXLIDHWHFI-QZTJIDSGSA-N 0 1 310.441 3.004 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=CC2CCCC2)n1 ZINC000842699837 630565362 /nfs/dbraw/zinc/56/53/62/630565362.db2.gz FHCOUISFJBXRQD-GUOLPTJISA-N 0 1 318.398 3.242 20 30 DGEDMN N#C[C@H](C(=O)CCC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842941434 630596474 /nfs/dbraw/zinc/59/64/74/630596474.db2.gz PQVJMVNVAIRFLS-CYBMUJFWSA-N 0 1 304.415 3.404 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCOC[C@@H]2C2CCC2)cc1 ZINC000843429662 630657461 /nfs/dbraw/zinc/65/74/61/630657461.db2.gz ZCCCNWRJPGDEIO-LJQANCHMSA-N 0 1 313.445 3.037 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC000844325146 630719033 /nfs/dbraw/zinc/71/90/33/630719033.db2.gz PRXAICXFVGKGLS-QGZVFWFLSA-N 0 1 300.402 3.048 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]2C2CCCC2)c1 ZINC000845442152 631175434 /nfs/dbraw/zinc/17/54/34/631175434.db2.gz WHKUKWPGLGNEIG-BRWVUGGUSA-N 0 1 310.397 3.469 20 30 DGEDMN C[C@H](CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1)n1cccc1 ZINC000845839442 631198315 /nfs/dbraw/zinc/19/83/15/631198315.db2.gz UMEZXWMMSPZBNF-NVXWUHKLSA-N 0 1 320.392 3.449 20 30 DGEDMN N#CC(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)=C(O)C1(c2ccccc2)CC1 ZINC000845842562 631198587 /nfs/dbraw/zinc/19/85/87/631198587.db2.gz VIEZHHQXKHQCBS-TWMKSMIVSA-N 0 1 307.393 3.432 20 30 DGEDMN C[C@@H](C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1)c1ccco1 ZINC000845841230 631198597 /nfs/dbraw/zinc/19/85/97/631198597.db2.gz LUAVMOMYUWVFPT-HIFRSBDPSA-N 0 1 307.349 3.393 20 30 DGEDMN COCCC[C@H](C)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845042 631198772 /nfs/dbraw/zinc/19/87/72/631198772.db2.gz LWPUMZFFCSVJFF-GOEBONIOSA-N 0 1 313.397 3.059 20 30 DGEDMN C[C@@H]1CC(=O)CC[C@@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845920 631199105 /nfs/dbraw/zinc/19/91/05/631199105.db2.gz QMGIKWUIAUXVFZ-XOKHGSTOSA-N 0 1 323.392 3.001 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1cc(Cl)cc(Br)c1O ZINC000845893137 631201192 /nfs/dbraw/zinc/20/11/92/631201192.db2.gz PCDPJYASOGGAOR-ZCFIWIBFSA-N 0 1 317.570 3.296 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCC[C@H]1NCC1(C#N)CCCC1 ZINC000846011434 631205727 /nfs/dbraw/zinc/20/57/27/631205727.db2.gz UUTQAQJAIMJGDX-ZIAGYGMSSA-N 0 1 307.438 3.106 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCC[C@H]1NCC1(C#N)CCCC1 ZINC000846011432 631205779 /nfs/dbraw/zinc/20/57/79/631205779.db2.gz UUTQAQJAIMJGDX-KGLIPLIRSA-N 0 1 307.438 3.106 20 30 DGEDMN CC[C@@H]1COC(C)(C)CN1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000846025988 631206730 /nfs/dbraw/zinc/20/67/30/631206730.db2.gz BGXCOTGMVWWEIB-GDBMZVCRSA-N 0 1 302.418 3.367 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@H](C)CO1 ZINC000846045357 631208023 /nfs/dbraw/zinc/20/80/23/631208023.db2.gz WSPJFTGMQVAELE-CRAIPNDOSA-N 0 1 301.434 3.036 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(Cl)cc2)C1 ZINC000847024287 631383512 /nfs/dbraw/zinc/38/35/12/631383512.db2.gz QFLCNGGAWZZYON-SOUVJXGZSA-N 0 1 318.848 3.251 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000847022429 631383714 /nfs/dbraw/zinc/38/37/14/631383714.db2.gz HQUAFVWFIQVVOY-ZIAGYGMSSA-N 0 1 302.443 3.081 20 30 DGEDMN C[C@@H]1CN(C[C@@H](O)c2ccc(C#N)cc2)CC2(CCCCC2)O1 ZINC000847101672 631405292 /nfs/dbraw/zinc/40/52/92/631405292.db2.gz WITYJJTUTSGYHF-CRAIPNDOSA-N 0 1 314.429 3.015 20 30 DGEDMN CO[C@@](C)(C(=O)[C@@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000106982304 631496165 /nfs/dbraw/zinc/49/61/65/631496165.db2.gz PBEMBOAIFJGWHX-CZUORRHYSA-N 0 1 300.383 3.190 20 30 DGEDMN N#CCCCCC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000847624886 631498128 /nfs/dbraw/zinc/49/81/28/631498128.db2.gz YGKLXHBQGPJNMH-LLVKDONJSA-N 0 1 303.749 3.071 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000848278036 631637485 /nfs/dbraw/zinc/63/74/85/631637485.db2.gz AEMQYXJAUSRFFE-JTQLQIEISA-N 0 1 319.817 3.277 20 30 DGEDMN C=CCCCCCNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000848391042 631652652 /nfs/dbraw/zinc/65/26/52/631652652.db2.gz RGEAXHYKYHEFBJ-UHFFFAOYSA-N 0 1 317.414 3.100 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2cc3n(n2)CCCC3)nc1 ZINC000848400341 631654796 /nfs/dbraw/zinc/65/47/96/631654796.db2.gz HWXKRRJESBOKSZ-UHFFFAOYSA-N 0 1 309.295 3.079 20 30 DGEDMN Cn1c(Cl)ncc1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000848556252 631690670 /nfs/dbraw/zinc/69/06/70/631690670.db2.gz REGJJTCXUIRQJS-OAHLLOKOSA-N 0 1 300.793 3.112 20 30 DGEDMN C#CCOc1ccc(NS(=O)(=O)c2ccccc2Cl)cc1 ZINC000108250509 631835634 /nfs/dbraw/zinc/83/56/34/631835634.db2.gz WGHNUMCOWUTSIK-UHFFFAOYSA-N 0 1 321.785 3.153 20 30 DGEDMN C=CC[C@@H](NCc1cc(C(F)(F)F)nn1C)c1ccncc1 ZINC000850137492 632063787 /nfs/dbraw/zinc/06/37/87/632063787.db2.gz PXUYUYLCBUZRQD-CYBMUJFWSA-N 0 1 310.323 3.241 20 30 DGEDMN C=CCOCCC[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000850531055 632182233 /nfs/dbraw/zinc/18/22/33/632182233.db2.gz GUQQRTCWNXRPIR-LLVKDONJSA-N 0 1 314.223 3.398 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCC[C@H]1NCC1(C#N)CCCCC1 ZINC000850551272 632190075 /nfs/dbraw/zinc/19/00/75/632190075.db2.gz UONSSZSZHOBCGQ-CABCVRRESA-N 0 1 321.465 3.496 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCC2(CC2)C1 ZINC000850752315 632215128 /nfs/dbraw/zinc/21/51/28/632215128.db2.gz MYODJAJNYBBIFD-HUUCEWRRSA-N 0 1 304.434 3.362 20 30 DGEDMN CC1(C)CC(C(=O)C(C#N)C(=O)N2CCCC2)CC(C)(C)C1 ZINC000850753376 632216116 /nfs/dbraw/zinc/21/61/16/632216116.db2.gz YITYFYUQZADQFD-AWEZNQCLSA-N 0 1 304.434 3.170 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)cc1 ZINC000850759798 632217691 /nfs/dbraw/zinc/21/76/91/632217691.db2.gz NTHXEBYPSMUAPA-MXASKKJJSA-N 0 1 310.397 3.333 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)C1CC2(CC2)C1 ZINC000850760765 632218282 /nfs/dbraw/zinc/21/82/82/632218282.db2.gz WYLZQTHAUAKEDL-NSHDSACASA-N 0 1 302.761 3.178 20 30 DGEDMN C[C@H](CC#N)C(=O)OC[C@@]1(F)CCN([C@H](C)c2ccccc2)C1 ZINC000850948185 632265274 /nfs/dbraw/zinc/26/52/74/632265274.db2.gz PMWSNFNWIDOAQO-IIDMSEBBSA-N 0 1 318.392 3.255 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CCC[C@H]2C[C@H]21)c1ccccc1 ZINC000850977650 632275229 /nfs/dbraw/zinc/27/52/29/632275229.db2.gz AXVUIOKJLFOGSY-TZNCUMHOSA-N 0 1 324.424 3.399 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccccc1 ZINC000850977649 632275336 /nfs/dbraw/zinc/27/53/36/632275336.db2.gz AXVUIOKJLFOGSY-SFFUCWETSA-N 0 1 324.424 3.399 20 30 DGEDMN CC1(C)OC[C@H](CCN2CCC[C@H]2[C@@H](C#N)c2ccccc2)O1 ZINC000851794408 632496431 /nfs/dbraw/zinc/49/64/31/632496431.db2.gz SLUKNUMCEBOHLO-BZSNNMDCSA-N 0 1 314.429 3.300 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000852101888 632554961 /nfs/dbraw/zinc/55/49/61/632554961.db2.gz UCPWTRKHGPXEBR-IBGZPJMESA-N 0 1 313.445 3.007 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000852103034 632555016 /nfs/dbraw/zinc/55/50/16/632555016.db2.gz WEEUKSSDPHREBX-APWZRJJASA-N 0 1 311.429 3.022 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1nc2ccccc2s1 ZINC000852102075 632555095 /nfs/dbraw/zinc/55/50/95/632555095.db2.gz VIYKMGGAOIIPIJ-BZNIZROVSA-N 0 1 301.415 3.015 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccc(SC(F)F)cc1 ZINC000852102503 632555657 /nfs/dbraw/zinc/55/56/57/632555657.db2.gz YONZGPMPKMQHEN-HNNXBMFYSA-N 0 1 312.385 3.331 20 30 DGEDMN C[C@H](C#N)OCCN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000852127194 632560319 /nfs/dbraw/zinc/56/03/19/632560319.db2.gz OFCWYVYWDBCDBP-GHMZBOCLSA-N 0 1 306.797 3.138 20 30 DGEDMN C[C@@H](CO)[C@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000852422907 632601780 /nfs/dbraw/zinc/60/17/80/632601780.db2.gz MZDKZNYIRWOHBW-XJKSGUPXSA-N 0 1 322.836 3.225 20 30 DGEDMN C[C@@H](CO)[C@@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000852422904 632601827 /nfs/dbraw/zinc/60/18/27/632601827.db2.gz MZDKZNYIRWOHBW-BBRMVZONSA-N 0 1 322.836 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2C)CC1(C)C ZINC000995348790 660187439 /nfs/dbraw/zinc/18/74/39/660187439.db2.gz BQRMMLWUICMZLW-GFCCVEGCSA-N 0 1 312.866 3.249 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1ccc([N+](=O)[O-])c(C)n1 ZINC000194804502 660202383 /nfs/dbraw/zinc/20/23/83/660202383.db2.gz PKIAVTUYFDFUBQ-UHFFFAOYSA-N 0 1 315.395 3.010 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001032132563 660206802 /nfs/dbraw/zinc/20/68/02/660206802.db2.gz CSGCDOQEHNZAID-UHFFFAOYSA-N 0 1 312.413 3.287 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc(Cl)c2Cl)C1 ZINC001032149030 660207921 /nfs/dbraw/zinc/20/79/21/660207921.db2.gz ORWJYUUQBWVFBR-UHFFFAOYSA-N 0 1 313.228 3.231 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)NC1CCCC1)c1ccc(Cl)s1 ZINC000175118782 659915931 /nfs/dbraw/zinc/91/59/31/659915931.db2.gz PABMBAIKPVHTDR-KOLCDFICSA-N 0 1 324.833 3.273 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2oc3ccccc3c2CC)C1 ZINC001032217574 660231311 /nfs/dbraw/zinc/23/13/11/660231311.db2.gz JBASDCXHBRTUMC-UHFFFAOYSA-N 0 1 312.413 3.233 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001032234027 660240968 /nfs/dbraw/zinc/24/09/68/660240968.db2.gz FNBZLWCAGTWIFP-UHFFFAOYSA-N 0 1 308.372 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(F)F)CCC2)CC1(C)C ZINC000996186456 660276908 /nfs/dbraw/zinc/27/69/08/660276908.db2.gz YFIVHQLWOMDTAX-LLVKDONJSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)cs2)CC1(C)C ZINC000995782098 660271101 /nfs/dbraw/zinc/27/11/01/660271101.db2.gz NGPJHTJIEYXQTB-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c[nH]cc2C2CC2)CC1(C)C ZINC000996472498 660283247 /nfs/dbraw/zinc/28/32/47/660283247.db2.gz SQIBTGDMAMATMJ-HNNXBMFYSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CC23CCCC3)CC1(C)C ZINC000996483367 660283565 /nfs/dbraw/zinc/28/35/65/660283565.db2.gz FPTYUJYGAHIYBY-KGLIPLIRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](C)c2ccccc2)CC1(C)C ZINC000996537606 660285206 /nfs/dbraw/zinc/28/52/06/660285206.db2.gz XSQALAQYXLLGGZ-ZBFHGGJFSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2ccccc2C)CC1(C)C ZINC000996587273 660287223 /nfs/dbraw/zinc/28/72/23/660287223.db2.gz DOCMUONMXYUGQU-INIZCTEOSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CC3CCC2CC3)CC1(C)C ZINC000996679866 660290366 /nfs/dbraw/zinc/29/03/66/660290366.db2.gz NPYFMQPEBNFCOJ-FJBKBRRZSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(CF)cc2)CC1(C)C ZINC000996689732 660290580 /nfs/dbraw/zinc/29/05/80/660290580.db2.gz PNFSXOHKHXQLOW-HNNXBMFYSA-N 0 1 324.827 3.349 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)c1 ZINC001032397062 660311707 /nfs/dbraw/zinc/31/17/07/660311707.db2.gz KWALNQHXSBWJJG-NEWSRXKRSA-N 0 1 322.452 3.063 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc(C(F)F)cc1C ZINC001032457112 660322322 /nfs/dbraw/zinc/32/23/22/660322322.db2.gz JUTFMYQEJOEZAP-STQMWFEESA-N 0 1 324.371 3.391 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc2c1cccc2C ZINC001032447985 660321886 /nfs/dbraw/zinc/32/18/86/660321886.db2.gz HKBYWQKGLSHSCK-GJZGRUSLSA-N 0 1 310.397 3.216 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(C)(C)CC)cc1 ZINC001032466633 660322829 /nfs/dbraw/zinc/32/28/29/660322829.db2.gz QJENGHPREQWTFS-OALUTQOASA-N 0 1 324.468 3.296 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc2cc(F)ccc21 ZINC001032489952 660330878 /nfs/dbraw/zinc/33/08/78/660330878.db2.gz XETNSMVEDUFUAE-KBPBESRZSA-N 0 1 314.360 3.047 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1CC(C)(C)C ZINC001032466312 660322620 /nfs/dbraw/zinc/32/26/20/660322620.db2.gz RFOGKDGKOCVKRU-ROUUACIJSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001032518636 660336577 /nfs/dbraw/zinc/33/65/77/660336577.db2.gz PPAMGXOEVURYAD-FHWLQOOXSA-N 0 1 310.441 3.041 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)c2ccc[nH]2)CC1 ZINC000997914237 660353689 /nfs/dbraw/zinc/35/36/89/660353689.db2.gz LZYAIKHXQCZSET-CQSZACIVSA-N 0 1 309.841 3.084 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(CN[C@@H](C)c2ncc(C)o2)CC1 ZINC000997967686 660360709 /nfs/dbraw/zinc/36/07/09/660360709.db2.gz QQWGRBNLRIUKAJ-AWEZNQCLSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@@H]2CC2(C)C)CC1 ZINC000997975605 660361256 /nfs/dbraw/zinc/36/12/56/660361256.db2.gz JTEXRCKKTAYXDC-CABCVRRESA-N 0 1 312.885 3.488 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000998200265 660376061 /nfs/dbraw/zinc/37/60/61/660376061.db2.gz ZIESGTIEZDWDAI-KRWDZBQOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001016088709 660368896 /nfs/dbraw/zinc/36/88/96/660368896.db2.gz SLCUQGVEKPXBBP-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2occc2s1 ZINC001032623658 660381963 /nfs/dbraw/zinc/38/19/63/660381963.db2.gz RRBUIOOUWYDVBZ-STQMWFEESA-N 0 1 316.426 3.359 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(-c2ccccc2)o1 ZINC001032644223 660405075 /nfs/dbraw/zinc/40/50/75/660405075.db2.gz YNTYZIUGMCVTST-IRXDYDNUSA-N 0 1 322.408 3.421 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(F)c1F ZINC001032643569 660405282 /nfs/dbraw/zinc/40/52/82/660405282.db2.gz GNHOUEZFTYKMBP-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001032670070 660409827 /nfs/dbraw/zinc/40/98/27/660409827.db2.gz LDGQDXKUAJLEPR-LWYYNNOASA-N 0 1 324.468 3.268 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2CCCCC2)cc1 ZINC000131659735 660418075 /nfs/dbraw/zinc/41/80/75/660418075.db2.gz MGRFXDWVZJOTFM-MRXNPFEDSA-N 0 1 314.385 3.313 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cscc2Cl)C1 ZINC000999433714 660418173 /nfs/dbraw/zinc/41/81/73/660418173.db2.gz MGKZNXZREIULFA-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(CC)s2)C1 ZINC000999631277 660423884 /nfs/dbraw/zinc/42/38/84/660423884.db2.gz MUNBVWUYQYJOLC-LBPRGKRZSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2ccc(C)cc2)C1 ZINC000999653804 660424161 /nfs/dbraw/zinc/42/41/61/660424161.db2.gz PTLOPJVMMRTFGN-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC000999780932 660426788 /nfs/dbraw/zinc/42/67/88/660426788.db2.gz WNVXUCITFAMGAE-JONQDZQNSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccn(C(C)C)c2C)C1 ZINC000999966980 660433651 /nfs/dbraw/zinc/43/36/51/660433651.db2.gz FCKCVDAVALDCSC-HNNXBMFYSA-N 0 1 323.868 3.324 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)C1CCCCC1 ZINC001032733248 660435328 /nfs/dbraw/zinc/43/53/28/660435328.db2.gz NWRHRBWHJDAKDH-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2ccccc2)C1 ZINC001000712095 660448700 /nfs/dbraw/zinc/44/87/00/660448700.db2.gz BVTOMNBXGUGTTQ-HOCLYGCPSA-N 0 1 306.837 3.123 20 30 DGEDMN CN(Cc1nc2ccccc2c(=O)[nH]1)CC1CCC(C#N)CC1 ZINC000929245033 660448754 /nfs/dbraw/zinc/44/87/54/660448754.db2.gz SFFRPOMDLLOVMP-UHFFFAOYSA-N 0 1 310.401 3.097 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3ccccc3s2)CC1 ZINC001003257749 660490297 /nfs/dbraw/zinc/49/02/97/660490297.db2.gz WEZMSVHASNTGQS-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CCCCCCCC(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@H](C)C1 ZINC000123681336 660531378 /nfs/dbraw/zinc/53/13/78/660531378.db2.gz BHAIYIFAASBNPH-KZNAEPCWSA-N 0 1 324.509 3.447 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2nsc3ccccc32)C1 ZINC001033102604 660541560 /nfs/dbraw/zinc/54/15/60/660541560.db2.gz IGTTWVXGKYYSNA-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001033148168 660560383 /nfs/dbraw/zinc/56/03/83/660560383.db2.gz BKEPMTBCHLWOKQ-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3ccncc3s2)C1 ZINC001033148168 660560384 /nfs/dbraw/zinc/56/03/84/660560384.db2.gz BKEPMTBCHLWOKQ-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001033166561 660571075 /nfs/dbraw/zinc/57/10/75/660571075.db2.gz TVWUMXQTHYWBAI-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@H]1[C@@H](C)NCc1ccccc1C#N ZINC001004743911 660571832 /nfs/dbraw/zinc/57/18/32/660571832.db2.gz PEVQRWRKMVSDQS-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033242528 660598439 /nfs/dbraw/zinc/59/84/39/660598439.db2.gz LBXTWAQYXFYWBA-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033254097 660600121 /nfs/dbraw/zinc/60/01/21/660600121.db2.gz QIVSFWQZGOQAQA-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C(C)(C)C)CCN1Cc1ccc(C#N)s1 ZINC000947962414 660606262 /nfs/dbraw/zinc/60/62/62/660606262.db2.gz MRIVUZMGWZJRAX-CHWSQXEVSA-N 0 1 319.474 3.135 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3ccsc3)C2)cc1 ZINC001033318811 660608151 /nfs/dbraw/zinc/60/81/51/660608151.db2.gz QCMQVWKILNJXNS-GOSISDBHSA-N 0 1 324.449 3.076 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001033325737 660609020 /nfs/dbraw/zinc/60/90/20/660609020.db2.gz ZBDIQBXAYSYOHP-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2csc(C(C)(C)C)n2)C1 ZINC001033502503 660627812 /nfs/dbraw/zinc/62/78/12/660627812.db2.gz CMRCQZYXQLZQOT-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001033504019 660628041 /nfs/dbraw/zinc/62/80/41/660628041.db2.gz ZBLZKTKKCZQYLU-YESZJQIVSA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001033512112 660628960 /nfs/dbraw/zinc/62/89/60/660628960.db2.gz FPTCMXOTJWFVCA-CRAIPNDOSA-N 0 1 300.446 3.071 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc3sccc3c2)C1 ZINC001033549114 660631312 /nfs/dbraw/zinc/63/13/12/660631312.db2.gz WGAUHZKYQDNWDP-INIZCTEOSA-N 0 1 312.438 3.071 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ccc(C3CC3)s2)C1 ZINC001033586538 660634915 /nfs/dbraw/zinc/63/49/15/660634915.db2.gz XUBZBDWTXLIKOS-AWEZNQCLSA-N 0 1 304.459 3.348 20 30 DGEDMN CN(C(=O)C=C1CCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005238505 660640482 /nfs/dbraw/zinc/64/04/82/660640482.db2.gz QFMUZXAQVUXFMY-UHFFFAOYSA-N 0 1 322.452 3.071 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2sc(C(C)C)nc2C)C1 ZINC001033657537 660646173 /nfs/dbraw/zinc/64/61/73/660646173.db2.gz VQDNODVQGUVRME-AWEZNQCLSA-N 0 1 321.490 3.297 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001033699383 660651891 /nfs/dbraw/zinc/65/18/91/660651891.db2.gz FGSGQLNLCIUIGR-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001033712298 660654177 /nfs/dbraw/zinc/65/41/77/660654177.db2.gz XBMJHWWSGFYNEH-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(CCC)s2)C1 ZINC001033850935 660670279 /nfs/dbraw/zinc/67/02/79/660670279.db2.gz WQFGIXDUBFEUHR-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2coc3c2cccc3C)C1 ZINC001033855421 660671410 /nfs/dbraw/zinc/67/14/10/660671410.db2.gz KCFVPEVFSBXGAO-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001033875356 660672947 /nfs/dbraw/zinc/67/29/47/660672947.db2.gz RJHNHCCPUYCYET-HKUYNNGSSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001033943112 660685090 /nfs/dbraw/zinc/68/50/90/660685090.db2.gz JGIHVTYPZBCNJA-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001034021304 660695159 /nfs/dbraw/zinc/69/51/59/660695159.db2.gz WKIWZCXVRGPAFU-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(F)ccc2Cl)C1 ZINC001033964021 660689153 /nfs/dbraw/zinc/68/91/53/660689153.db2.gz HAJPQAVPMGBNRX-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001034047675 660701232 /nfs/dbraw/zinc/70/12/32/660701232.db2.gz JWLRNMOKJGPMII-KRWDZBQOSA-N 0 1 324.468 3.043 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001034047063 660701235 /nfs/dbraw/zinc/70/12/35/660701235.db2.gz XZCZQWVDGXREPP-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc3ccccc32)C1 ZINC001034032639 660696869 /nfs/dbraw/zinc/69/68/69/660696869.db2.gz UQCOAUGBQXPZFX-SFHVURJKSA-N 0 1 320.436 3.400 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(CC(C)C)s2)CC1 ZINC001005512896 660717895 /nfs/dbraw/zinc/71/78/95/660717895.db2.gz PLUUPIZDFHYVDT-UHFFFAOYSA-N 0 1 318.486 3.116 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC001034229031 660725623 /nfs/dbraw/zinc/72/56/23/660725623.db2.gz MBZLLQIYQYVFPT-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001034298884 660733655 /nfs/dbraw/zinc/73/36/55/660733655.db2.gz OHZKKPYLAXQAML-MRXNPFEDSA-N 0 1 323.440 3.084 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC001034301126 660734459 /nfs/dbraw/zinc/73/44/59/660734459.db2.gz YUICPKUWFIYQFR-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2CCCCCCC2)C1 ZINC001034304798 660735044 /nfs/dbraw/zinc/73/50/44/660735044.db2.gz YBLSLGPOXGCUKR-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC001034313245 660735864 /nfs/dbraw/zinc/73/58/64/660735864.db2.gz AERXRRYNCWDUNC-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001034327295 660737389 /nfs/dbraw/zinc/73/73/89/660737389.db2.gz GXHWNEISYHQVQL-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCCCN(C/C=C\Cl)C2)CC1 ZINC001034381121 660745387 /nfs/dbraw/zinc/74/53/87/660745387.db2.gz NCHUGGCVJFBVKE-AGHZKPIHSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2oc(C(C)C)nc2C)C1 ZINC001034433858 660753438 /nfs/dbraw/zinc/75/34/38/660753438.db2.gz TXSXLFKISNQESG-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCc3ccccc32)C1 ZINC001034455393 660756769 /nfs/dbraw/zinc/75/67/69/660756769.db2.gz RJRYKRPUUUWBID-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001034480357 660757873 /nfs/dbraw/zinc/75/78/73/660757873.db2.gz JDKVTKMIIXJTPW-IBGZPJMESA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001034497655 660760509 /nfs/dbraw/zinc/76/05/09/660760509.db2.gz ZNEJJZHNOJGFBW-BZSNNMDCSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001034497165 660760749 /nfs/dbraw/zinc/76/07/49/660760749.db2.gz OWSBWBQXLNLYCU-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001034483130 660761232 /nfs/dbraw/zinc/76/12/32/660761232.db2.gz ACGYBCWNEZJJES-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001034518032 660763024 /nfs/dbraw/zinc/76/30/24/660763024.db2.gz FXETWTRHRQPIPO-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2sccc2C(F)F)C1 ZINC001034505880 660763160 /nfs/dbraw/zinc/76/31/60/660763160.db2.gz NKCNJIANYKFLCX-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001034511850 660764719 /nfs/dbraw/zinc/76/47/19/660764719.db2.gz ROLHPKMZCGNMCV-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001034527332 660766995 /nfs/dbraw/zinc/76/69/95/660766995.db2.gz HDKKLVHIQLLPRD-CQSZACIVSA-N 0 1 321.490 3.345 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC001034554012 660773629 /nfs/dbraw/zinc/77/36/29/660773629.db2.gz MYXUDLSGWFLNDT-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC2CC(c3ccccc3)C2)CC1 ZINC001005627646 660783693 /nfs/dbraw/zinc/78/36/93/660783693.db2.gz BAFFHVIZVUCXJL-UHFFFAOYSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CC[C@H](NCc3cscn3)CC2)C1 ZINC001034728448 660787217 /nfs/dbraw/zinc/78/72/17/660787217.db2.gz CYCSPYJNXVHAMR-HDJSIYSDSA-N 0 1 319.474 3.016 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(Cl)cc2F)CC1 ZINC001005678646 660788704 /nfs/dbraw/zinc/78/87/04/660788704.db2.gz AIYKWUSLBJMXOO-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001035035247 660810914 /nfs/dbraw/zinc/81/09/14/660810914.db2.gz HSYBGLJLXCZFTO-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@@H]1C[C@H]1C)CC2 ZINC001035222168 660827883 /nfs/dbraw/zinc/82/78/83/660827883.db2.gz ZYEKSSKBNIMHTG-HIFRSBDPSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1C[C@@H]1C(C)C)CC2 ZINC001035245467 660832876 /nfs/dbraw/zinc/83/28/76/660832876.db2.gz RCWZFWYWSFVSIE-CVEARBPZSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC[C@@H]1C1CC1)CC2 ZINC001035757192 660849136 /nfs/dbraw/zinc/84/91/36/660849136.db2.gz HDQNUFDKNMARBP-QZTJIDSGSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1cccc(C3CC3)c1)CC2 ZINC001035756099 660849161 /nfs/dbraw/zinc/84/91/61/660849161.db2.gz XKHARGTXECJORH-UHFFFAOYSA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1cc3ccccc3o1)CC2 ZINC001035763286 660849618 /nfs/dbraw/zinc/84/96/18/660849618.db2.gz QKSSZNPYHHTADS-UHFFFAOYSA-N 0 1 324.424 3.086 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC2(CN(Cc3ccsc3)C2)CC1 ZINC001035767666 660849809 /nfs/dbraw/zinc/84/98/09/660849809.db2.gz SIZPNXNITBFJTI-UHFFFAOYSA-N 0 1 318.486 3.385 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(CCC)cc1)CC2 ZINC001035779716 660850957 /nfs/dbraw/zinc/85/09/57/660850957.db2.gz RIJHJBUUEQJBSQ-UHFFFAOYSA-N 0 1 312.457 3.363 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](c1ccccc1)C1CC1)CC2 ZINC001035749038 660851032 /nfs/dbraw/zinc/85/10/32/660851032.db2.gz LPFMIPQYRKBNNH-IBGZPJMESA-N 0 1 324.468 3.291 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1cc(C)oc1C)CC2 ZINC001035817238 660854052 /nfs/dbraw/zinc/85/40/52/660854052.db2.gz CGEMMRXLOUPXHT-OAHLLOKOSA-N 0 1 316.445 3.110 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC[C@H]1CC)CC2 ZINC001035826526 660854259 /nfs/dbraw/zinc/85/42/59/660854259.db2.gz HGTSJVYYIULBRN-MSOLQXFVSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC[C@@H]1CC)CC2 ZINC001035826572 660854402 /nfs/dbraw/zinc/85/44/02/660854402.db2.gz HGTSJVYYIULBRN-ZWKOTPCHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1[C@@H]3CCCCCC[C@@H]13)CC2 ZINC001035804737 660854663 /nfs/dbraw/zinc/85/46/63/660854663.db2.gz WDMKYOFPAGCJGX-IAGOWNOFSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1cccc(C)c1)CC2 ZINC001035808682 660855018 /nfs/dbraw/zinc/85/50/18/660855018.db2.gz VQNVWBHTMDTYLC-KRWDZBQOSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](C)c1ccccc1C)CC2 ZINC001035812347 660855488 /nfs/dbraw/zinc/85/54/88/660855488.db2.gz PBERNBDYAJHVPQ-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1oc3ccccc3c1C)CC2 ZINC001035847635 660855792 /nfs/dbraw/zinc/85/57/92/660855792.db2.gz PDRYHNXXQSDYES-UHFFFAOYSA-N 0 1 324.424 3.465 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1ccc(C)s1)CC2 ZINC001035839529 660857702 /nfs/dbraw/zinc/85/77/02/660857702.db2.gz VCDFVQXTMHQFDZ-HNNXBMFYSA-N 0 1 318.486 3.270 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CC=C(C)CC1)CC2 ZINC001035856629 660860140 /nfs/dbraw/zinc/86/01/40/660860140.db2.gz QYAQDWZDNKDSNU-LJQANCHMSA-N 0 1 314.473 3.071 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CC=C(C)CC1)CC2 ZINC001035856945 660860388 /nfs/dbraw/zinc/86/03/88/660860388.db2.gz XZSWZEOMAOSWFO-GOSISDBHSA-N 0 1 302.462 3.233 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1C[C@H](C)C[C@@H](C)C1)CC2 ZINC001035859636 660860643 /nfs/dbraw/zinc/86/06/43/660860643.db2.gz XVIDCMKZHZXMHA-IAGOWNOFSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1coc3ccccc13)CC2 ZINC001035862717 660861226 /nfs/dbraw/zinc/86/12/26/660861226.db2.gz LGFJOESSFOLYJI-UHFFFAOYSA-N 0 1 310.397 3.157 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3oc(C)cc3C)CC[C@@H]21 ZINC001036680795 660945403 /nfs/dbraw/zinc/94/54/03/660945403.db2.gz CNVLYFYXDGMNNE-CABCVRRESA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(Cl)c(C)c2)CC1 ZINC001005924997 660949620 /nfs/dbraw/zinc/94/96/20/660949620.db2.gz WRRXTUXMSVTJBC-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(C)cccc2Cl)CC1 ZINC001005938698 660950907 /nfs/dbraw/zinc/95/09/07/660950907.db2.gz VKNBVNXMXZITMT-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC001006156306 660966684 /nfs/dbraw/zinc/96/66/84/660966684.db2.gz QBFZYGYWEWFQGR-UHFFFAOYSA-N 0 1 312.457 3.154 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3c(C)coc3C)CC[C@@H]21 ZINC001036703363 660956691 /nfs/dbraw/zinc/95/66/91/660956691.db2.gz BGWCCHXHVSNDFO-CABCVRRESA-N 0 1 322.836 3.185 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2coc3ccc(F)cc23)C[C@H]1C ZINC000947975798 660959644 /nfs/dbraw/zinc/95/96/44/660959644.db2.gz QCHQFOWOKKVMPV-OCCSQVGLSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(C(C)C)oc2C)CC1 ZINC001006232354 660970772 /nfs/dbraw/zinc/97/07/72/660970772.db2.gz LSMVEANRROEECT-UHFFFAOYSA-N 0 1 316.445 3.271 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cscc2C(F)(F)F)C1 ZINC001016426035 660971304 /nfs/dbraw/zinc/97/13/04/660971304.db2.gz DYFCURWHXSCTGW-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2-c2ccc(F)cc2)cc1 ZINC000792919520 660993643 /nfs/dbraw/zinc/99/36/43/660993643.db2.gz KHOPNBAPAICPHL-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN CSCCON=C(C)c1cc(Br)cc(F)c1O ZINC000794573897 661092210 /nfs/dbraw/zinc/09/22/10/661092210.db2.gz OMGPGVSBDXZTMX-UHFFFAOYSA-N 0 1 322.199 3.397 20 30 DGEDMN CSCCON=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000794574518 661092422 /nfs/dbraw/zinc/09/24/22/661092422.db2.gz HJQHZARDOSSUCJ-UHFFFAOYSA-N 0 1 322.474 3.482 20 30 DGEDMN COC(=O)c1csc(C(=O)/C=C/c2cc(F)c(O)c(F)c2)c1 ZINC000794724359 661101856 /nfs/dbraw/zinc/10/18/56/661101856.db2.gz PSEQRZAOUXGQHK-NSCUHMNNSA-N 0 1 324.304 3.415 20 30 DGEDMN CCOC(=O)c1scnc1/C=C\C(=O)c1ccc(O)c(F)c1 ZINC000794942394 661115692 /nfs/dbraw/zinc/11/56/92/661115692.db2.gz MHQGTNYXCRXGLP-XQRVVYSFSA-N 0 1 321.329 3.061 20 30 DGEDMN CCCOc1ccc(C=NNc2cncnc2)cc1OCC ZINC000794976495 661118071 /nfs/dbraw/zinc/11/80/71/661118071.db2.gz LLTAQLKLKPSYPO-UHFFFAOYSA-N 0 1 300.362 3.110 20 30 DGEDMN CCOc1cc(C=NNc2cccc(F)n2)cc(Cl)c1O ZINC000795006061 661120944 /nfs/dbraw/zinc/12/09/44/661120944.db2.gz CNCFHIKQKJCHPJ-UHFFFAOYSA-N 0 1 309.728 3.424 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)c(CC)o1 ZINC000969110747 655523180 /nfs/dbraw/zinc/52/31/80/655523180.db2.gz AMJNACAADONJBX-INIZCTEOSA-N 0 1 316.445 3.148 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969130312 655526771 /nfs/dbraw/zinc/52/67/71/655526771.db2.gz JSMGFGWPRLOLFH-LBPRGKRZSA-N 0 1 312.360 3.378 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969130312 655526772 /nfs/dbraw/zinc/52/67/72/655526772.db2.gz JSMGFGWPRLOLFH-LBPRGKRZSA-N 0 1 312.360 3.378 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc2cccc(C)c2o1 ZINC000969156219 655529097 /nfs/dbraw/zinc/52/90/97/655529097.db2.gz MUSALJZUHUBJAG-QGZVFWFLSA-N 0 1 324.424 3.349 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC000969570364 655551041 /nfs/dbraw/zinc/55/10/41/655551041.db2.gz OWDAMCXUUPQBDR-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2cccc(Cl)c2F)C1 ZINC000969587014 655551780 /nfs/dbraw/zinc/55/17/80/655551780.db2.gz POZOLFXEKSQBFJ-CYBMUJFWSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)c(F)c2)C1 ZINC000970073723 655571902 /nfs/dbraw/zinc/57/19/02/655571902.db2.gz VZVDSIVNOIASPH-LLVKDONJSA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC000970084935 655573419 /nfs/dbraw/zinc/57/34/19/655573419.db2.gz FELPHCTUDFRYHW-RBSFLKMASA-N 0 1 310.869 3.146 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)/C=C\SCc2ccco2)c1 ZINC000255049353 655577464 /nfs/dbraw/zinc/57/74/64/655577464.db2.gz OIAIGXPKJNCOCR-ALCCZGGFSA-N 0 1 300.339 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC000970123554 655577572 /nfs/dbraw/zinc/57/75/72/655577572.db2.gz LQPFADINEHHSRQ-GDBMZVCRSA-N 0 1 306.837 3.042 20 30 DGEDMN CCOC(=O)c1ccc(/C=C\C(=O)c2ccc(OC)cc2O)o1 ZINC000255060959 655588567 /nfs/dbraw/zinc/58/85/67/655588567.db2.gz OUVDTZFTAXUPED-YVMONPNESA-N 0 1 316.309 3.067 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C2CCC=CCC2)C1 ZINC001021063242 655588668 /nfs/dbraw/zinc/58/86/68/655588668.db2.gz CHTWQQFPNYRPHN-WGSAOQKQSA-N 0 1 323.440 3.041 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000970214277 655588735 /nfs/dbraw/zinc/58/87/35/655588735.db2.gz RVYAHDAQEMPUEB-OAHLLOKOSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000970215961 655589026 /nfs/dbraw/zinc/58/90/26/655589026.db2.gz DJFCKUHZURKGBL-AWEZNQCLSA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000970465857 655601311 /nfs/dbraw/zinc/60/13/11/655601311.db2.gz XVSLCZISXXBLJU-CYBMUJFWSA-N 0 1 312.388 3.215 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2C(F)F)C1 ZINC000970597671 655608668 /nfs/dbraw/zinc/60/86/68/655608668.db2.gz JTQLTLYGGBZVTR-SECBINFHSA-N 0 1 320.792 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)cc2Cl)C1 ZINC000970627129 655610072 /nfs/dbraw/zinc/61/00/72/655610072.db2.gz VCXIUQDLLHXRON-LLVKDONJSA-N 0 1 317.191 3.036 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC000970741180 655615633 /nfs/dbraw/zinc/61/56/33/655615633.db2.gz OQXFJXARVKMKOJ-ZDUSSCGKSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2C(C)C)C1 ZINC000970782348 655620729 /nfs/dbraw/zinc/62/07/29/655620729.db2.gz FTFACHAHEDVCDA-GFCCVEGCSA-N 0 1 312.866 3.428 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC000970787738 655620878 /nfs/dbraw/zinc/62/08/78/655620878.db2.gz DJRPXXWJBKYTPI-JTQLQIEISA-N 0 1 313.228 3.230 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC000970810133 655623918 /nfs/dbraw/zinc/62/39/18/655623918.db2.gz JSLLRKZECXMGLC-LLVKDONJSA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC000970824326 655626806 /nfs/dbraw/zinc/62/68/06/655626806.db2.gz SSFYTXMCJXQBEZ-GFCCVEGCSA-N 0 1 316.426 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC000970825947 655627191 /nfs/dbraw/zinc/62/71/91/655627191.db2.gz XYWFPYFQZXJLNF-CVEARBPZSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3c(cccc3C)o2)C1 ZINC000970830742 655627669 /nfs/dbraw/zinc/62/76/69/655627669.db2.gz LOSOEWLBYPBBGK-CYBMUJFWSA-N 0 1 318.804 3.298 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC000970835998 655628121 /nfs/dbraw/zinc/62/81/21/655628121.db2.gz MGMALLONMPPLPD-CHWSQXEVSA-N 0 1 318.486 3.109 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CC(c3ccccc3)C2)C1 ZINC000970849094 655629770 /nfs/dbraw/zinc/62/97/70/655629770.db2.gz KXXFVTPQIGZGFA-OFLPRAFFSA-N 0 1 318.848 3.123 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC000970858443 655630455 /nfs/dbraw/zinc/63/04/55/655630455.db2.gz PKBKSRIWPDUALS-ZDUSSCGKSA-N 0 1 320.502 3.499 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC000970857910 655630511 /nfs/dbraw/zinc/63/05/11/655630511.db2.gz JXXIRIVOOVHFQS-LLVKDONJSA-N 0 1 318.417 3.277 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC000970928100 655638243 /nfs/dbraw/zinc/63/82/43/655638243.db2.gz IUBWWIWQRORTEY-GJZGRUSLSA-N 0 1 300.446 3.436 20 30 DGEDMN C#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)C2CCCCCCC2)C1 ZINC001021631766 655646094 /nfs/dbraw/zinc/64/60/94/655646094.db2.gz ZXJDPRAHSGIOJO-RBUKOAKNSA-N 0 1 316.489 3.293 20 30 DGEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)s1 ZINC001039401354 655696575 /nfs/dbraw/zinc/69/65/75/655696575.db2.gz XLOXUAOGWOWXKT-CABCVRRESA-N 0 1 316.470 3.013 20 30 DGEDMN Cc1cn2c(/C=C\C(=O)c3ccc(O)cc3O)c(C)nc2s1 ZINC000255359868 655707945 /nfs/dbraw/zinc/70/79/45/655707945.db2.gz NAXDBSRVDPSCPJ-WAYWQWQTSA-N 0 1 314.366 3.320 20 30 DGEDMN N#CC1(CCN2CCOC[C@@H]2C[C@@H]2CCCO2)CCCCC1 ZINC000933683063 655729982 /nfs/dbraw/zinc/72/99/82/655729982.db2.gz GRHKZWANRMYJEN-IRXDYDNUSA-N 0 1 306.450 3.120 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001039476106 655745779 /nfs/dbraw/zinc/74/57/79/655745779.db2.gz ZUPGQGFWSLEBGU-HBFSDRIKSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)Cc2sc(C)nc2C)C1 ZINC000972082929 655763808 /nfs/dbraw/zinc/76/38/08/655763808.db2.gz UEVYSGFOXBNVAA-AWEZNQCLSA-N 0 1 321.490 3.005 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N(C)Cc1ccc(SC)cc1 ZINC000934473645 655778389 /nfs/dbraw/zinc/77/83/89/655778389.db2.gz ZIPAWOKOECLUOW-HNNXBMFYSA-N 0 1 304.459 3.017 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC000972247747 655782411 /nfs/dbraw/zinc/78/24/11/655782411.db2.gz VTRZWWIUNGUUAQ-QFBILLFUSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC000972246549 655782452 /nfs/dbraw/zinc/78/24/52/655782452.db2.gz PNJOXHPTVNXFQT-YOEHRIQHSA-N 0 1 321.490 3.087 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC000972383801 655792561 /nfs/dbraw/zinc/79/25/61/655792561.db2.gz YUHQLXGSCDXXOA-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC000972472460 655803959 /nfs/dbraw/zinc/80/39/59/655803959.db2.gz OHIMOXBBFOVFCH-MOPGFXCFSA-N 0 1 318.505 3.395 20 30 DGEDMN CC(C)c1ncc(Cl)c(C(=O)Nc2cc(C#N)ccc2O)n1 ZINC000176820262 655847928 /nfs/dbraw/zinc/84/79/28/655847928.db2.gz ITGUPEYDHCSJJV-UHFFFAOYSA-N 0 1 316.748 3.083 20 30 DGEDMN C#CCN1CCC[C@@H](CNC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001023705979 655856574 /nfs/dbraw/zinc/85/65/74/655856574.db2.gz ZJVZBEAZQXKKEJ-INIZCTEOSA-N 0 1 324.424 3.125 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](CNC(=O)c2oc3ccccc3c2C)C1 ZINC001023738120 655860306 /nfs/dbraw/zinc/86/03/06/655860306.db2.gz YTPLFPZZBACGFK-OAHLLOKOSA-N 0 1 312.413 3.369 20 30 DGEDMN COc1ccc(OC)c(/C=C\C(=O)c2cc(F)ccc2O)c1 ZINC000095909054 655861196 /nfs/dbraw/zinc/86/11/96/655861196.db2.gz VLHXJPAPLGACMR-UTCJRWHESA-N 0 1 302.301 3.445 20 30 DGEDMN Cc1ccc2nc(CNC(=O)C=Cc3ccccc3C#N)[nH]c2c1 ZINC000255614740 655871965 /nfs/dbraw/zinc/87/19/65/655871965.db2.gz AGIYLGWDADOGCD-CLFYSBASSA-N 0 1 316.364 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001024137202 655889389 /nfs/dbraw/zinc/88/93/89/655889389.db2.gz KOUZACWGOXZWLB-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(C)noc1CCC ZINC001024433805 655905751 /nfs/dbraw/zinc/90/57/51/655905751.db2.gz NWSVIYPGWHCZKY-OAHLLOKOSA-N 0 1 319.449 3.096 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001024488430 655910238 /nfs/dbraw/zinc/91/02/38/655910238.db2.gz YUXMPTDJUXCKDV-FHWLQOOXSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)c(F)cc1F ZINC001024504171 655911253 /nfs/dbraw/zinc/91/12/53/655911253.db2.gz IHXOBMVYYMQTTA-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC000255846988 655911899 /nfs/dbraw/zinc/91/18/99/655911899.db2.gz TVRJNINHJOWSRN-JHJVBQTASA-N 0 1 305.337 3.146 20 30 DGEDMN CCOCCC1(C(=O)Nc2cc(C#N)ccc2O)CCCC1 ZINC000179741294 655912625 /nfs/dbraw/zinc/91/26/25/655912625.db2.gz ZOTUKZGNQPQEBU-UHFFFAOYSA-N 0 1 302.374 3.189 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc(COC)cs1 ZINC001024535027 655913826 /nfs/dbraw/zinc/91/38/26/655913826.db2.gz OXGKHGDKPBQQQA-HNNXBMFYSA-N 0 1 322.474 3.055 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)cc(OC)c1C ZINC001024534038 655914052 /nfs/dbraw/zinc/91/40/52/655914052.db2.gz DAKNJAIESJADTG-MRXNPFEDSA-N 0 1 316.445 3.082 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545762 655915573 /nfs/dbraw/zinc/91/55/73/655915573.db2.gz NKGPEDMCDTVOHY-CQSZACIVSA-N 0 1 322.399 3.434 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545762 655915575 /nfs/dbraw/zinc/91/55/75/655915575.db2.gz NKGPEDMCDTVOHY-CQSZACIVSA-N 0 1 322.399 3.434 20 30 DGEDMN COc1cc(/C=C/C(=O)c2cccs2)cc([N+](=O)[O-])c1O ZINC000036799160 661202810 /nfs/dbraw/zinc/20/28/10/661202810.db2.gz SWGPMVINQGXUCT-SNAWJCMRSA-N 0 1 305.311 3.267 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(C)onc1C1CC1 ZINC001024558990 655916537 /nfs/dbraw/zinc/91/65/37/655916537.db2.gz QGZRTUNYJNYVRR-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C[C@H]1C ZINC000947619052 655916942 /nfs/dbraw/zinc/91/69/42/655916942.db2.gz CFRJEABICMJFJK-CABCVRRESA-N 0 1 318.848 3.173 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1coc(C(F)F)c1 ZINC001024624338 655925075 /nfs/dbraw/zinc/92/50/75/655925075.db2.gz PXHPAGHAQRSVSZ-ZDUSSCGKSA-N 0 1 312.360 3.378 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCCCN2C[C@@H](F)CC)CC1 ZINC001024634934 655926434 /nfs/dbraw/zinc/92/64/34/655926434.db2.gz ZCEKRNCDEOWHEW-DLBZAZTESA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(F)ccc1Cl ZINC001024676587 655929569 /nfs/dbraw/zinc/92/95/69/655929569.db2.gz WVHMMMHVMNSSKQ-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001024687761 655930753 /nfs/dbraw/zinc/93/07/53/655930753.db2.gz VNASYVGQKMJYSW-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)c(OC)c1 ZINC001024690840 655931156 /nfs/dbraw/zinc/93/11/56/655931156.db2.gz LQWQTXQGTUPQND-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc([C@H](C)CC)no1 ZINC001024697181 655931590 /nfs/dbraw/zinc/93/15/90/655931590.db2.gz RQSIOHNCBFVZSO-HUUCEWRRSA-N 0 1 319.449 3.349 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001024698855 655931804 /nfs/dbraw/zinc/93/18/04/655931804.db2.gz LWPXSHUSRWYSGI-HSALFYBXSA-N 0 1 324.468 3.011 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(F)F)cc1 ZINC001024709862 655932696 /nfs/dbraw/zinc/93/26/96/655932696.db2.gz NIBRSMLANDTYSQ-OAHLLOKOSA-N 0 1 308.372 3.395 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2cccnc2c1 ZINC001024716256 655932838 /nfs/dbraw/zinc/93/28/38/655932838.db2.gz RBPRHWKWUPZNKL-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(Cl)cc(OC)c1 ZINC001024720322 655933210 /nfs/dbraw/zinc/93/32/10/655933210.db2.gz IKBYDFVTNZSUDY-HNNXBMFYSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc2ccncc21 ZINC001024737256 655934616 /nfs/dbraw/zinc/93/46/16/655934616.db2.gz HBIIQDKKLLONEV-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001024792609 655939083 /nfs/dbraw/zinc/93/90/83/655939083.db2.gz LAPDEXYLICZNAN-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024804120 655940349 /nfs/dbraw/zinc/94/03/49/655940349.db2.gz AGSIHMOUACQHOK-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024805030 655940842 /nfs/dbraw/zinc/94/08/42/655940842.db2.gz MWGMVRINWCHWNG-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCCc2ccccc21 ZINC001024814208 655941287 /nfs/dbraw/zinc/94/12/87/655941287.db2.gz PHNSRUTVAFTFCF-QUCCMNQESA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001024822060 655943367 /nfs/dbraw/zinc/94/33/67/655943367.db2.gz XMIRQQDABZXHQH-DZIBYMRMSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)(F)F)cc1 ZINC001024830758 655943833 /nfs/dbraw/zinc/94/38/33/655943833.db2.gz ZCANCMQTNGKTQV-MRXNPFEDSA-N 0 1 320.383 3.016 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3occc3CC)CC[C@H]21 ZINC001036812258 661206432 /nfs/dbraw/zinc/20/64/32/661206432.db2.gz DWSXTZWRKZRPBN-LSDHHAIUSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CCC(=C)CC3)CC[C@H]21 ZINC001036811504 661206714 /nfs/dbraw/zinc/20/67/14/661206714.db2.gz RLZYPCRDDOROIW-IAGOWNOFSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001025070073 655963545 /nfs/dbraw/zinc/96/35/45/655963545.db2.gz TWLCOMLNBNXVLO-SJLPKXTDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@@H]1CCCCN1C(=O)CC1CC1 ZINC001025188922 655974262 /nfs/dbraw/zinc/97/42/62/655974262.db2.gz LZOBVYPQJDADOE-HOTGVXAUSA-N 0 1 310.869 3.385 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)C(F)=C1CCCC1 ZINC001025220805 655980632 /nfs/dbraw/zinc/98/06/32/655980632.db2.gz JGJSMAAKFNMBCR-IAGOWNOFSA-N 0 1 318.436 3.263 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2ccccc2)C1 ZINC001025325587 655994136 /nfs/dbraw/zinc/99/41/36/655994136.db2.gz IPDSIKHTASPMDE-GDBMZVCRSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2ccccc2)C1 ZINC001025325589 655994230 /nfs/dbraw/zinc/99/42/30/655994230.db2.gz IPDSIKHTASPMDE-HOCLYGCPSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2ccoc2CC)C1 ZINC001025405523 656006098 /nfs/dbraw/zinc/00/60/98/656006098.db2.gz URWUDLPRUJTEPM-KBPBESRZSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2CC(C)(C)C2)C1 ZINC001025411035 656007057 /nfs/dbraw/zinc/00/70/57/656007057.db2.gz FSULDCQJMCQFLB-KGLIPLIRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)[C@H]3CC[C@H](C)CC3)CC[C@H]21 ZINC001036874749 661219466 /nfs/dbraw/zinc/21/94/66/661219466.db2.gz OKDRQGPOFZXXQU-MWQQHZPXSA-N 0 1 324.896 3.488 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)Cc1ccccc1F ZINC000122702556 656079898 /nfs/dbraw/zinc/07/98/98/656079898.db2.gz AUVMWYMQVJPJKG-ZDUSSCGKSA-N 0 1 307.328 3.131 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@@H](C)C2)CC1 ZINC000947685107 656143866 /nfs/dbraw/zinc/14/38/66/656143866.db2.gz LMZJZEUMYKLCJO-ASZCMSIISA-N 0 1 310.869 3.454 20 30 DGEDMN C[C@](O)(CN1CCC2(CC2(F)F)CC1)C(F)(F)C(F)(F)F ZINC000930852141 656144368 /nfs/dbraw/zinc/14/43/68/656144368.db2.gz AOUBHTKYJKDXRI-QMMMGPOBSA-N 0 1 323.252 3.056 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2scc(C)c2Cl)C(C)(C)C1 ZINC000974626214 656218207 /nfs/dbraw/zinc/21/82/07/656218207.db2.gz YBZGSVKWNVWDDF-GFCCVEGCSA-N 0 1 324.877 3.173 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2C(C)C)C(C)(C)C1 ZINC000974645960 656218493 /nfs/dbraw/zinc/21/84/93/656218493.db2.gz RAFNRCWHKOLXSL-QGZVFWFLSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)C(C)(C)C1 ZINC000974694685 656223335 /nfs/dbraw/zinc/22/33/35/656223335.db2.gz SCUQWELEPAWXQV-GFCCVEGCSA-N 0 1 312.360 3.152 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)oc(C)c2C)C(C)(C)C1 ZINC000974788226 656230213 /nfs/dbraw/zinc/23/02/13/656230213.db2.gz FUDGFUQYFGPFAI-OAHLLOKOSA-N 0 1 304.434 3.221 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@H](NC(=O)c2ccc(C)c(OC)c2)C(C)(C)C1 ZINC000974803833 656230765 /nfs/dbraw/zinc/23/07/65/656230765.db2.gz BLALOZAYECCYQT-KRWDZBQOSA-N 0 1 316.445 3.020 20 30 DGEDMN CC#CC[N@@H+]1C[C@@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808419 656231259 /nfs/dbraw/zinc/23/12/59/656231259.db2.gz GBACTFAAYUXWEJ-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2CCC)C(C)(C)C1 ZINC000974808419 656231260 /nfs/dbraw/zinc/23/12/60/656231260.db2.gz GBACTFAAYUXWEJ-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2cccs2)C(C)(C)C1 ZINC000974903581 656240632 /nfs/dbraw/zinc/24/06/32/656240632.db2.gz XZNJSRPHALDQSI-CYBMUJFWSA-N 0 1 306.475 3.038 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C2CCC2)C2CCC2)C(C)(C)C1 ZINC000974978121 656246001 /nfs/dbraw/zinc/24/60/01/656246001.db2.gz YHMBYYLWMDUCDB-INIZCTEOSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000974997926 656247499 /nfs/dbraw/zinc/24/74/99/656247499.db2.gz SSEIXLKANLSIKM-AWEZNQCLSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000974997926 656247501 /nfs/dbraw/zinc/24/75/01/656247501.db2.gz SSEIXLKANLSIKM-AWEZNQCLSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(F)ccc2Cl)C(C)(C)C1 ZINC000975105164 656256550 /nfs/dbraw/zinc/25/65/50/656256550.db2.gz KNNYPJKUQQUEPI-OAHLLOKOSA-N 0 1 324.827 3.495 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2CCCCCC2)C(C)(C)C1 ZINC000975094402 656257537 /nfs/dbraw/zinc/25/75/37/656257537.db2.gz LDODPZNXDODUDM-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C(C)(C)C1 ZINC000977312345 656321705 /nfs/dbraw/zinc/32/17/05/656321705.db2.gz VUHMRQZEPMLIMW-HLIPFELVSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C(C)(C)C1 ZINC000977326389 656322854 /nfs/dbraw/zinc/32/28/54/656322854.db2.gz LKNXZSKVMOZFCM-RCCFBDPRSA-N 0 1 312.457 3.111 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C(C)(C)C1 ZINC000977398236 656326479 /nfs/dbraw/zinc/32/64/79/656326479.db2.gz XHFDSHCCFOQRTG-BZSNNMDCSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc3ccccc3n2)C(C)(C)C1 ZINC000977507109 656336986 /nfs/dbraw/zinc/33/69/86/656336986.db2.gz CJMFMTRYVWTHEE-SFHVURJKSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc3c2CCCC3)C(C)(C)C1 ZINC000977575348 656344269 /nfs/dbraw/zinc/34/42/69/656344269.db2.gz LWFMPJCRDATTPW-MRXNPFEDSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(C)c(CC)s2)C(C)(C)C1 ZINC000977576768 656344449 /nfs/dbraw/zinc/34/44/49/656344449.db2.gz OCKFJSCRYVRLBF-INIZCTEOSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(F)c(F)c2)C(C)(C)C1 ZINC000977604423 656347235 /nfs/dbraw/zinc/34/72/35/656347235.db2.gz NOUVHTOCVVHKKQ-MLGOLLRUSA-N 0 1 322.399 3.081 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C3CC3)c2)C(C)(C)C1 ZINC000977643088 656351692 /nfs/dbraw/zinc/35/16/92/656351692.db2.gz FCONYMKPTMLHLU-GOSISDBHSA-N 0 1 310.441 3.028 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977646685 656352033 /nfs/dbraw/zinc/35/20/33/656352033.db2.gz VIWCQINZRMWVDL-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977659569 656353777 /nfs/dbraw/zinc/35/37/77/656353777.db2.gz CDVLVPWNBLVMNX-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C(C)C)s2)C(C)(C)C1 ZINC000977675288 656355489 /nfs/dbraw/zinc/35/54/89/656355489.db2.gz VUHQIXJTZDADJP-CQSZACIVSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cccc(F)c2C)CC1 ZINC001052400216 656433166 /nfs/dbraw/zinc/43/31/66/656433166.db2.gz RVFBLDWMEVDQGI-CQSZACIVSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc3occc3[nH]2)CC1 ZINC001052425055 656435105 /nfs/dbraw/zinc/43/51/05/656435105.db2.gz UIFIZBZMCYCBSS-LBPRGKRZSA-N 0 1 321.808 3.098 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CCCC23CC3)CC1 ZINC001052491328 656439048 /nfs/dbraw/zinc/43/90/48/656439048.db2.gz BLUJDWPETWQOHG-GJZGRUSLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CCCC23CC3)CC1 ZINC001052491329 656439062 /nfs/dbraw/zinc/43/90/62/656439062.db2.gz BLUJDWPETWQOHG-HUUCEWRRSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)coc1C ZINC001039369866 656488908 /nfs/dbraw/zinc/48/89/08/656488908.db2.gz JTHSVYGKNXKFCH-CVEARBPZSA-N 0 1 302.418 3.151 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001039394880 656493214 /nfs/dbraw/zinc/49/32/14/656493214.db2.gz MQTIHUSLGIZSJH-LTIDMASMSA-N 0 1 316.470 3.103 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(c2ccccc2)CCC1 ZINC001039402489 656493648 /nfs/dbraw/zinc/49/36/48/656493648.db2.gz ZOBJAVGEDJEABY-MOPGFXCFSA-N 0 1 324.468 3.360 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(F)(F)C1 ZINC001039434983 656501541 /nfs/dbraw/zinc/50/15/41/656501541.db2.gz DWQAZXTZRWHBFV-ZNMIVQPWSA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1[nH]ccc1C)C2 ZINC001048522244 656506480 /nfs/dbraw/zinc/50/64/80/656506480.db2.gz VVBROLDJPLLOMA-QDMKHBRRSA-N 0 1 321.852 3.048 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)(C)C)cc1 ZINC001039462057 656507272 /nfs/dbraw/zinc/50/72/72/656507272.db2.gz XDSHLFGYELDDQQ-MOPGFXCFSA-N 0 1 324.468 3.296 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1ccccc1 ZINC001039473663 656510935 /nfs/dbraw/zinc/51/09/35/656510935.db2.gz XKJSKMCDEHSJEU-QRQLOZEOSA-N 0 1 312.457 3.117 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001027942480 656517208 /nfs/dbraw/zinc/51/72/08/656517208.db2.gz CVGORZDKYQWPAM-GUDVDZBRSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001027957354 656534292 /nfs/dbraw/zinc/53/42/92/656534292.db2.gz VXSYAUKIMKPDMG-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(C4CCC4)CCC3)C[C@@H]2C1 ZINC001048965337 656549768 /nfs/dbraw/zinc/54/97/68/656549768.db2.gz LSVNACCCVGMRMA-GASCZTMLSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3C[C@]3(CC)C(C)C)C[C@@H]2C1 ZINC001049141343 656564204 /nfs/dbraw/zinc/56/42/04/656564204.db2.gz OELLETBLUOHMDW-KYHPRHEASA-N 0 1 324.896 3.201 20 30 DGEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)CC(C)(C)C3CCCCC3)C[C@H]2C1 ZINC001049171706 656567775 /nfs/dbraw/zinc/56/77/75/656567775.db2.gz PWGINLJJUOIOBJ-CALCHBBNSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3C[C@H](C)C[C@@H](C)C3)C[C@@H]2C1 ZINC001049241745 656576637 /nfs/dbraw/zinc/57/66/37/656576637.db2.gz GUYIEWKBROLSLN-KFZJALRRSA-N 0 1 324.896 3.201 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049292916 656592903 /nfs/dbraw/zinc/59/29/03/656592903.db2.gz PSLQVKMCBYZUJP-VQTJNVASSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CC3CCC3)C2)CC1 ZINC001040653113 656600391 /nfs/dbraw/zinc/60/03/91/656600391.db2.gz QLFZCAJFPFOKOP-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@]34C[C@H]3CCC4)C2)CC1 ZINC001040780206 656606741 /nfs/dbraw/zinc/60/67/41/656606741.db2.gz VHJIPULTFKTWOE-CRAIPNDOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3CC[C@H](C)C3)C2)CC1 ZINC001040875164 656613003 /nfs/dbraw/zinc/61/30/03/656613003.db2.gz UNJLSQAXWCQBJZ-GOEBONIOSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(C2CCC2)cc1 ZINC001049512078 656634525 /nfs/dbraw/zinc/63/45/25/656634525.db2.gz QVXMEVQLMRFLGN-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CCCN(C(=O)c2coc3ccc(CC)cc32)CC1 ZINC000981169077 656635914 /nfs/dbraw/zinc/63/59/14/656635914.db2.gz NCVFVAUHEFIYMT-UHFFFAOYSA-N 0 1 312.413 3.329 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cscc2C(F)F)CC1 ZINC000981176597 656639070 /nfs/dbraw/zinc/63/90/70/656639070.db2.gz HLOXOVCWBRWKQC-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(CCCC)cc1 ZINC001049581605 656645274 /nfs/dbraw/zinc/64/52/74/656645274.db2.gz IXEFKTIKUOLGDG-VQTJNVASSA-N 0 1 324.468 3.341 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1CCCCCCC1 ZINC001049586385 656645796 /nfs/dbraw/zinc/64/57/96/656645796.db2.gz SHMSKGJUMMCXFF-QZTJIDSGSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662119 656659948 /nfs/dbraw/zinc/65/99/48/656659948.db2.gz DRPGRNRVYHOSDZ-IRXDYDNUSA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662119 656659951 /nfs/dbraw/zinc/65/99/51/656659951.db2.gz DRPGRNRVYHOSDZ-IRXDYDNUSA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662121 656660073 /nfs/dbraw/zinc/66/00/73/656660073.db2.gz DRPGRNRVYHOSDZ-SJORKVTESA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662121 656660075 /nfs/dbraw/zinc/66/00/75/656660075.db2.gz DRPGRNRVYHOSDZ-SJORKVTESA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C)CCCCC1 ZINC001049689625 656665387 /nfs/dbraw/zinc/66/53/87/656665387.db2.gz AXSQJYKTUCZXGW-DLBZAZTESA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1csc(Cl)c1 ZINC001049763982 656683288 /nfs/dbraw/zinc/68/32/88/656683288.db2.gz ZROKXPCFNQJURI-UONOGXRCSA-N 0 1 322.861 3.104 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)CC1 ZINC000981376475 656688767 /nfs/dbraw/zinc/68/87/67/656688767.db2.gz IPMSUDQQDPOUIA-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)CC1 ZINC000981454009 656699109 /nfs/dbraw/zinc/69/91/09/656699109.db2.gz IPMSUDQQDPOUIA-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1c(C)csc1Cl ZINC001049866019 656705100 /nfs/dbraw/zinc/70/51/00/656705100.db2.gz AYFTZYBLHMFHHK-QWHCGFSZSA-N 0 1 322.861 3.022 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2ccccc21 ZINC001049872149 656707368 /nfs/dbraw/zinc/70/73/68/656707368.db2.gz QFAUPQGJDKFCSZ-WOJBJXKFSA-N 0 1 318.420 3.152 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912959 656721337 /nfs/dbraw/zinc/72/13/37/656721337.db2.gz RKFZTYAJJQKJTF-ROUUACIJSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(C(C)C)s1 ZINC001049917244 656722272 /nfs/dbraw/zinc/72/22/72/656722272.db2.gz KXMLRNHTLXOJPO-GJZGRUSLSA-N 0 1 316.470 3.184 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sccc1CC ZINC001049918843 656722964 /nfs/dbraw/zinc/72/29/64/656722964.db2.gz MZGUHASMVWNTRJ-HOTGVXAUSA-N 0 1 316.470 3.013 20 30 DGEDMN C=C(C)CN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(F)s1 ZINC001049927767 656726159 /nfs/dbraw/zinc/72/61/59/656726159.db2.gz YHHPJGRXJSCFNL-OLZOCXBDSA-N 0 1 308.422 3.142 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(CC)c(CC)c1 ZINC001049935790 656727688 /nfs/dbraw/zinc/72/76/88/656727688.db2.gz GXMWVKUEKVIZMV-PMACEKPBSA-N 0 1 324.468 3.124 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC000981595817 656731692 /nfs/dbraw/zinc/73/16/92/656731692.db2.gz UXGCZZIZMBBFEX-MOPGFXCFSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3CC(C)(C)C3)cccc2C1 ZINC001051288942 656742185 /nfs/dbraw/zinc/74/21/85/656742185.db2.gz ZVKGVTWZWAIDQQ-UHFFFAOYSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc3c(cc(C)cc3C)[nH]2)CC1 ZINC000981708493 656753007 /nfs/dbraw/zinc/75/30/07/656753007.db2.gz VJWPGXDCEMYLEG-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3sccc3C3CC3)C[C@@H]21 ZINC001042340891 656760118 /nfs/dbraw/zinc/76/01/18/656760118.db2.gz JECBLXWSLFHKNR-HOCLYGCPSA-N 0 1 316.470 3.348 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3sccc3C(C)C)C[C@H]21 ZINC001042367319 656764680 /nfs/dbraw/zinc/76/46/80/656764680.db2.gz FQJQDGUTIUOUQD-GDBMZVCRSA-N 0 1 316.470 3.041 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3sccc3CC)C[C@@H]21 ZINC001042369480 656766639 /nfs/dbraw/zinc/76/66/39/656766639.db2.gz YSIBSAQXSWCEGK-CABCVRRESA-N 0 1 304.459 3.033 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccccc2CC)CC1 ZINC000981836648 656780031 /nfs/dbraw/zinc/78/00/31/656780031.db2.gz JISWVVAVDJDTKN-RBUKOAKNSA-N 0 1 312.457 3.073 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2occc2s1 ZINC001028133747 656781049 /nfs/dbraw/zinc/78/10/49/656781049.db2.gz YCPNNMJOZRVBBS-LBPRGKRZSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(F)F)c(F)c1 ZINC001028134622 656782959 /nfs/dbraw/zinc/78/29/59/656782959.db2.gz CVEZOANBLTZFLO-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2ccccc2C)CC1 ZINC001052925478 656792543 /nfs/dbraw/zinc/79/25/43/656792543.db2.gz QNEXUFGXZAMFFO-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCN(Cc3csc(C)c3)CC2)C1 ZINC000981909314 656802084 /nfs/dbraw/zinc/80/20/84/656802084.db2.gz JZXSWSFXMKGLBQ-UHFFFAOYSA-N 0 1 318.486 3.447 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC001043060650 656809652 /nfs/dbraw/zinc/80/96/52/656809652.db2.gz UVOJOAQAWFPLLD-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC001053000491 656810931 /nfs/dbraw/zinc/81/09/31/656810931.db2.gz SLGYSYQCDJVMMH-DLBZAZTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001028162426 656822791 /nfs/dbraw/zinc/82/27/91/656822791.db2.gz HVNSXEXSLYRRLI-BRWVUGGUSA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C)c2ccccc12 ZINC001028165483 656827195 /nfs/dbraw/zinc/82/71/95/656827195.db2.gz WVOWRZPTWXPOJE-QGZVFWFLSA-N 0 1 320.436 3.366 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001043491478 656833248 /nfs/dbraw/zinc/83/32/48/656833248.db2.gz OYSJTIQKGAZJTA-UHFFFAOYSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccccc2-n2cccc2)C1 ZINC001043729353 656850772 /nfs/dbraw/zinc/85/07/72/656850772.db2.gz MHJCNSXIAUIRTA-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(CC3CC(C)(C)C3)C2)CC1 ZINC001043760103 656854613 /nfs/dbraw/zinc/85/46/13/656854613.db2.gz PWOMVQVPRKJNES-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001043854750 656862714 /nfs/dbraw/zinc/86/27/14/656862714.db2.gz COAWHEOTNCCGOQ-UHFFFAOYSA-N 0 1 318.436 3.212 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cccc(Cl)c2F)C1 ZINC001043972477 656867111 /nfs/dbraw/zinc/86/71/11/656867111.db2.gz AQULQWDPNMUZCS-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2nc(C)ccc2c1 ZINC001028213783 656873470 /nfs/dbraw/zinc/87/34/70/656873470.db2.gz LNOCJXJUIVEFOV-GOSISDBHSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(C)CC[C@H](C)C3(C)C)C2)C1 ZINC000982055294 656876375 /nfs/dbraw/zinc/87/63/75/656876375.db2.gz QONHNPBULCEEHV-LPHOPBHVSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001054036573 656888229 /nfs/dbraw/zinc/88/82/29/656888229.db2.gz OBQGHXZHOVTJMW-ZRNYENFQSA-N 0 1 324.468 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C1=CCCC1 ZINC001054037814 656889414 /nfs/dbraw/zinc/88/94/14/656889414.db2.gz OATAQRRJLCOFOR-IBGZPJMESA-N 0 1 310.441 3.216 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001054056678 656894273 /nfs/dbraw/zinc/89/42/73/656894273.db2.gz OSBMJGUYTGYOBC-SLFFLAALSA-N 0 1 324.468 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC12CCC2 ZINC001054055560 656894393 /nfs/dbraw/zinc/89/43/93/656894393.db2.gz OCRIZLWLBYFCIX-RTBURBONSA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2c(F)cccc2Cl)C1 ZINC001044225653 656900227 /nfs/dbraw/zinc/90/02/27/656900227.db2.gz XRKGLIFDGZGVKH-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001044278551 656905979 /nfs/dbraw/zinc/90/59/79/656905979.db2.gz PAHSEBKQWPPOKG-UHFFFAOYSA-N 0 1 317.477 3.408 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001044319018 656908660 /nfs/dbraw/zinc/90/86/60/656908660.db2.gz QXHCPAPCGFCAIB-CYBMUJFWSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C=C(/C)C1CC1)C2 ZINC001054092282 656909288 /nfs/dbraw/zinc/90/92/88/656909288.db2.gz IAYWUDFHELOTKO-RXEWJAMOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001044338006 656909367 /nfs/dbraw/zinc/90/93/67/656909367.db2.gz AAOUBAHEPYCTRV-UHFFFAOYSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001044344856 656909915 /nfs/dbraw/zinc/90/99/15/656909915.db2.gz KALGYFGKSVDPKC-UHFFFAOYSA-N 0 1 312.457 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H](C)C1CCC1)C2 ZINC001054151430 656920165 /nfs/dbraw/zinc/92/01/65/656920165.db2.gz JUDINCPYBAABHJ-YJBOKZPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000982227342 656921537 /nfs/dbraw/zinc/92/15/37/656921537.db2.gz PZHMVMYCZWWIID-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC000982227219 656921594 /nfs/dbraw/zinc/92/15/94/656921594.db2.gz LSEFNPDZYBTAOQ-MRXNPFEDSA-N 0 1 300.446 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@]13C[C@H]1CCC3)C2 ZINC001054168230 656923586 /nfs/dbraw/zinc/92/35/86/656923586.db2.gz UPGLSQDRBJSGGN-BPQIPLTHSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1CCC1(C)C)C2 ZINC001054179786 656926824 /nfs/dbraw/zinc/92/68/24/656926824.db2.gz GQLJTPNDXRIVJU-YJBOKZPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H](F)C(C)C)C2 ZINC001054180200 656926869 /nfs/dbraw/zinc/92/68/69/656926869.db2.gz OMVMFHYSLIBSNV-GOEBONIOSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3[nH]ccc3C)cc2C1 ZINC001054263622 656955164 /nfs/dbraw/zinc/95/51/64/656955164.db2.gz WVPDBDGCGUFIEH-UHFFFAOYSA-N 0 1 309.413 3.145 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccoc3CC)cc2C1 ZINC001054263323 656955438 /nfs/dbraw/zinc/95/54/38/656955438.db2.gz LLKVOSCIFIZEAS-UHFFFAOYSA-N 0 1 322.408 3.111 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1coc2ccc(Cl)cc12 ZINC001028290034 656974641 /nfs/dbraw/zinc/97/46/41/656974641.db2.gz HNXHMPKIKOAFEH-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccccc1C1CCC1 ZINC001028290778 656975293 /nfs/dbraw/zinc/97/52/93/656975293.db2.gz XKGMVFNIJJPJBU-QGZVFWFLSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNCc1cccc(F)c1F ZINC001045149371 656995580 /nfs/dbraw/zinc/99/55/80/656995580.db2.gz ANFLVHCXGMMDDI-AWEZNQCLSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCCc2ccccc21 ZINC001028339450 656997590 /nfs/dbraw/zinc/99/75/90/656997590.db2.gz UPQNHIRIWQNDFC-AZUAARDMSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cscc1C(F)(F)F ZINC001028355377 657004917 /nfs/dbraw/zinc/00/49/17/657004917.db2.gz KVXUEZSZHGACCQ-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C(C)C)n2)C[C@H]1C ZINC001054867387 657016694 /nfs/dbraw/zinc/01/66/94/657016694.db2.gz WLJIBFATJFXBCV-MLGOLLRUSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCc2ccc(C)cc2)C[C@@H]1C ZINC001054883610 657018838 /nfs/dbraw/zinc/01/88/38/657018838.db2.gz FYZZGJHMQAJIRM-YOEHRIQHSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2CCC)C[C@@H]1C ZINC001054889401 657018894 /nfs/dbraw/zinc/01/88/94/657018894.db2.gz XZJQPYVCNBVNFI-GUYCJALGSA-N 0 1 320.864 3.442 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2coc3ccc(CC)cc23)CC1 ZINC001045442417 657023111 /nfs/dbraw/zinc/02/31/11/657023111.db2.gz UDAOZFNVYAEFDW-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=CCn1cccc1C(=O)NC1(C)CCN(C/C=C\Cl)CC1 ZINC001045461466 657025692 /nfs/dbraw/zinc/02/56/92/657025692.db2.gz AXSIBMBXQKIFAQ-UITAMQMPSA-N 0 1 321.852 3.011 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C3CCC3)cc2)CC1 ZINC001045460341 657025722 /nfs/dbraw/zinc/02/57/22/657025722.db2.gz PIOBNYSHHKXNPG-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C#Cc1ccc(C(=O)NC2(C)CCN(C[C@H](F)CC)CC2)cc1 ZINC001045520690 657032329 /nfs/dbraw/zinc/03/23/29/657032329.db2.gz PRWBKFZMWZXOTC-QGZVFWFLSA-N 0 1 316.420 3.000 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC001045592830 657041211 /nfs/dbraw/zinc/04/12/11/657041211.db2.gz AWVGQEKPIJJGAF-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2oc3ccccc3c2CC)CC1 ZINC001045650947 657050280 /nfs/dbraw/zinc/05/02/80/657050280.db2.gz VJAPDTJDHWVYSM-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C/C=C(/C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045747332 657060688 /nfs/dbraw/zinc/06/06/88/657060688.db2.gz LTRFNVWLEBJHRJ-KFKGKEPQSA-N 0 1 322.452 3.070 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2cc(C)cc(C)c2)C1 ZINC001000071093 657091103 /nfs/dbraw/zinc/09/11/03/657091103.db2.gz DTYSSBVQHASLBK-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H](C)CC)C2 ZINC001045918751 657092273 /nfs/dbraw/zinc/09/22/73/657092273.db2.gz MKNWCPZHEGCSJO-YOEHRIQHSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2CCC(F)(F)CC2)C1 ZINC001000300051 657109963 /nfs/dbraw/zinc/10/99/63/657109963.db2.gz VFPXLLPBZLOSEE-CYBMUJFWSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001000328440 657114149 /nfs/dbraw/zinc/11/41/49/657114149.db2.gz KRZDRHBELCXYGE-WBVHZDCISA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001000328433 657114482 /nfs/dbraw/zinc/11/44/82/657114482.db2.gz KRZDRHBELCXYGE-RDJZCZTQSA-N 0 1 320.864 3.432 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccccc2CC(C)(C)C)CC1 ZINC001000826010 657155295 /nfs/dbraw/zinc/15/52/95/657155295.db2.gz SHOSTPWJUOYSPD-UHFFFAOYSA-N 0 1 324.468 3.270 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C)c(C)c2)C1 ZINC001000828148 657155793 /nfs/dbraw/zinc/15/57/93/657155793.db2.gz UDAAHEODWBDTMO-MRXNPFEDSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cc3ccsc3[nH]2)C1 ZINC001028727862 657159627 /nfs/dbraw/zinc/15/96/27/657159627.db2.gz YNGGUXIIYDOSIY-NSHDSACASA-N 0 1 323.849 3.034 20 30 DGEDMN C=C[C@@H](C(=O)N1CCC[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001000971651 657170513 /nfs/dbraw/zinc/17/05/13/657170513.db2.gz KQXKXKPSCMVOOI-DLBZAZTESA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001000981055 657171471 /nfs/dbraw/zinc/17/14/71/657171471.db2.gz MYWKOMDQOHRPQL-HOTGVXAUSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2cccc3ncccc32)C1 ZINC001046520791 657172584 /nfs/dbraw/zinc/17/25/84/657172584.db2.gz GLQBNSYHBRMSQB-LJQANCHMSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524050 657172893 /nfs/dbraw/zinc/17/28/93/657172893.db2.gz MTDBWWKJVBXGRU-SFHVURJKSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524050 657172894 /nfs/dbraw/zinc/17/28/94/657172894.db2.gz MTDBWWKJVBXGRU-SFHVURJKSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001046662037 657200102 /nfs/dbraw/zinc/20/01/02/657200102.db2.gz CFCVORJUGLLXDY-LJQANCHMSA-N 0 1 316.445 3.103 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C(F)F)cc2)CC1 ZINC001001268570 657202384 /nfs/dbraw/zinc/20/23/84/657202384.db2.gz JCIUJEZJCZVFOS-UHFFFAOYSA-N 0 1 318.367 3.009 20 30 DGEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001046688191 657204071 /nfs/dbraw/zinc/20/40/71/657204071.db2.gz NWWDOOWFMVEDRT-ZVDOUQERSA-N 0 1 324.468 3.011 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccccc2CC(C)C)CC1 ZINC001001483876 657225994 /nfs/dbraw/zinc/22/59/94/657225994.db2.gz JZNBOZSINHXGEI-UHFFFAOYSA-N 0 1 324.468 3.270 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc(C3=CCCC3)cc2)CC1 ZINC001001496584 657227956 /nfs/dbraw/zinc/22/79/56/657227956.db2.gz JZBWCRQKRHIGJA-UHFFFAOYSA-N 0 1 320.436 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001046817958 657228788 /nfs/dbraw/zinc/22/87/88/657228788.db2.gz GYEXKJNETAWKBH-GOSISDBHSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001046819435 657229260 /nfs/dbraw/zinc/22/92/60/657229260.db2.gz XCDQAGWFPVXINM-KRWDZBQOSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001046825223 657231124 /nfs/dbraw/zinc/23/11/24/657231124.db2.gz UYMZMHRASINFTK-HXUWFJFHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C2CCCCC2)C1 ZINC001046901847 657244456 /nfs/dbraw/zinc/24/44/56/657244456.db2.gz KAVYDZGGHRAOAX-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2ccc(CC)cc2)C1 ZINC001046994009 657250042 /nfs/dbraw/zinc/25/00/42/657250042.db2.gz BEUULWSSLXCNEL-INIZCTEOSA-N 0 1 322.399 3.122 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(CC(C)C)cc3)[C@@H]2C1 ZINC001050198980 657269828 /nfs/dbraw/zinc/26/98/28/657269828.db2.gz XDTKSLPDIAOWLE-VQTJNVASSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)CCC)C2)CC1 ZINC001047219701 657280186 /nfs/dbraw/zinc/28/01/86/657280186.db2.gz PVXRLOYSCLJQJZ-INIZCTEOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccc(F)cc3)C2)CC1 ZINC001050408633 657300510 /nfs/dbraw/zinc/30/05/10/657300510.db2.gz OXSGQWXKQSAEJB-UHFFFAOYSA-N 0 1 322.811 3.116 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CCC3CCC3)C2)CC1 ZINC001050476383 657314081 /nfs/dbraw/zinc/31/40/81/657314081.db2.gz HTLOZCSJRFGTQX-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CCC[C@@H]3C)C2)CC1 ZINC001050530936 657320923 /nfs/dbraw/zinc/32/09/23/657320923.db2.gz GBWSMXTXONSWQJ-HOCLYGCPSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)(C)C3CCC3)C2)CC1 ZINC001050607096 657329772 /nfs/dbraw/zinc/32/97/72/657329772.db2.gz NYASSNNECIQDKZ-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)C3CCCC3)C2)CC1 ZINC001050726384 657348916 /nfs/dbraw/zinc/34/89/16/657348916.db2.gz APDPAWAHYOXKMA-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(N(C)C)c(F)c1 ZINC000058474935 657366781 /nfs/dbraw/zinc/36/67/81/657366781.db2.gz HITZMSGJHCTMKH-UHFFFAOYSA-N 0 1 312.388 3.194 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC34CCCC4)cccc2C1 ZINC001051306102 657385180 /nfs/dbraw/zinc/38/51/80/657385180.db2.gz LPGOCWVUILBSEH-IBGZPJMESA-N 0 1 324.468 3.427 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968355161 657392728 /nfs/dbraw/zinc/39/27/28/657392728.db2.gz YRNLXPKUHUMTMP-MLGOLLRUSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC000968355203 657393968 /nfs/dbraw/zinc/39/39/68/657393968.db2.gz ZEDVRPSWHLJMNT-LRDDRELGSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2sc(C)cc2OC)C1 ZINC000968387119 657402189 /nfs/dbraw/zinc/40/21/89/657402189.db2.gz VLFKWZDZDPYKFW-GXTWGEPZSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccsc2C(F)F)CC1 ZINC000957497114 657422921 /nfs/dbraw/zinc/42/29/21/657422921.db2.gz CKRKHWTZPCUZDD-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC000968535379 657422967 /nfs/dbraw/zinc/42/29/67/657422967.db2.gz YFNZFQICTBINEP-QAPCUYQASA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cccc3ccccc32)C1 ZINC000968574978 657430912 /nfs/dbraw/zinc/43/09/12/657430912.db2.gz PYVVUOVBMHTEEE-OXJNMPFZSA-N 0 1 322.452 3.395 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cccc3ccccc32)C1 ZINC000968574980 657430972 /nfs/dbraw/zinc/43/09/72/657430972.db2.gz PYVVUOVBMHTEEE-UZLBHIALSA-N 0 1 322.452 3.395 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC000968598560 657437475 /nfs/dbraw/zinc/43/74/75/657437475.db2.gz HZVWTZMWQFEVCJ-UZLBHIALSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(F)F)o2)C1 ZINC000968598491 657437837 /nfs/dbraw/zinc/43/78/37/657437837.db2.gz GOHZQBCSSNJBFP-RYUDHWBXSA-N 0 1 312.360 3.234 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(C)c2C)C1 ZINC000968607147 657441057 /nfs/dbraw/zinc/44/10/57/657441057.db2.gz OZUBZZVRKUFBAR-YJBOKZPZSA-N 0 1 300.446 3.320 20 30 DGEDMN C=CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622705 657446039 /nfs/dbraw/zinc/44/60/39/657446039.db2.gz BGFGOCRXKJFBMZ-GXTWGEPZSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622705 657446042 /nfs/dbraw/zinc/44/60/42/657446042.db2.gz BGFGOCRXKJFBMZ-GXTWGEPZSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968627949 657448604 /nfs/dbraw/zinc/44/86/04/657448604.db2.gz QFWZHXBLHYVOJO-IUODEOHRSA-N 0 1 306.475 3.245 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC000968685370 657457246 /nfs/dbraw/zinc/45/72/46/657457246.db2.gz RPKCWXWCMXAOED-HUUCEWRRSA-N 0 1 318.486 3.335 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2c(C)cccc2F)C1 ZINC000968695034 657462296 /nfs/dbraw/zinc/46/22/96/657462296.db2.gz GFQJLKVHBSFWRD-BBRMVZONSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC000968708517 657465760 /nfs/dbraw/zinc/46/57/60/657465760.db2.gz BWUIAMKCUOAWNC-ZIAGYGMSSA-N 0 1 321.490 3.283 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(Cl)c1C ZINC000968869776 657506332 /nfs/dbraw/zinc/50/63/32/657506332.db2.gz ZYRCBVAGBBSOOS-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2occc2c1 ZINC000968868924 657506805 /nfs/dbraw/zinc/50/68/05/657506805.db2.gz FMLMABUOLAXLNO-QGZVFWFLSA-N 0 1 310.397 3.040 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)ccc1F ZINC000969046247 657541416 /nfs/dbraw/zinc/54/14/16/657541416.db2.gz FSZRENNGBUKAHE-MRXNPFEDSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1csc(Cl)c1 ZINC000969060064 657547500 /nfs/dbraw/zinc/54/75/00/657547500.db2.gz WKOHPCMYVGKAME-AWEZNQCLSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1csc(Cl)c1 ZINC000969060064 657547504 /nfs/dbraw/zinc/54/75/04/657547504.db2.gz WKOHPCMYVGKAME-AWEZNQCLSA-N 0 1 324.877 3.399 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC001007347593 657597077 /nfs/dbraw/zinc/59/70/77/657597077.db2.gz UAJQEEONEODCNM-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001007399755 657603956 /nfs/dbraw/zinc/60/39/56/657603956.db2.gz IKAPDZJQLLKLOM-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001007438513 657608544 /nfs/dbraw/zinc/60/85/44/657608544.db2.gz ROBOFKGLYMLYMT-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(OCCC)c2)C1 ZINC001007445553 657610655 /nfs/dbraw/zinc/61/06/55/657610655.db2.gz COWQKJYPFBCCSJ-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2oc(C)cc2C)CC1 ZINC000985363865 657610840 /nfs/dbraw/zinc/61/08/40/657610840.db2.gz YDKCBGWZFGQHTC-UHFFFAOYSA-N 0 1 310.825 3.185 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nccc3ccsc32)C1 ZINC001007530638 657617656 /nfs/dbraw/zinc/61/76/56/657617656.db2.gz BMROQFHOJSBUKD-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001007881485 657643382 /nfs/dbraw/zinc/64/33/82/657643382.db2.gz JNGCLTXYGWHUFP-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001008087710 657659535 /nfs/dbraw/zinc/65/95/35/657659535.db2.gz JKFHKUZPTRQZJF-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(F)c3)CCC2)C1 ZINC001008134484 657664021 /nfs/dbraw/zinc/66/40/21/657664021.db2.gz DSKYFQFMNUEHAQ-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001008324360 657681497 /nfs/dbraw/zinc/68/14/97/657681497.db2.gz VDFZZVUBMIGMOT-QGZVFWFLSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@]2(C)CC=CCC2)CC1 ZINC000985451473 657682843 /nfs/dbraw/zinc/68/28/43/657682843.db2.gz UIXOOLAAFREXDJ-QGZVFWFLSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC001008626692 657711779 /nfs/dbraw/zinc/71/17/79/657711779.db2.gz GIOXTXZNJJUHLE-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001008762616 657726776 /nfs/dbraw/zinc/72/67/76/657726776.db2.gz VFBRJHXCRBCILM-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC000985512137 657727471 /nfs/dbraw/zinc/72/74/71/657727471.db2.gz QWCLMUCKXUFVHH-NUEKZKHPSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001008826059 657733697 /nfs/dbraw/zinc/73/36/97/657733697.db2.gz GEPCFAYVICZABN-NSHGMRRFSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001008872813 657736663 /nfs/dbraw/zinc/73/66/63/657736663.db2.gz ZACBIXHVRBDKHR-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3scnc3c2)C1 ZINC001009058160 657744583 /nfs/dbraw/zinc/74/45/83/657744583.db2.gz LHIZUJVYUUSWDW-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)c2ccc(C)s2)C1 ZINC001009196795 657756257 /nfs/dbraw/zinc/75/62/57/657756257.db2.gz SHUTZLSQOXHYEO-CABCVRRESA-N 0 1 306.475 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC001009280954 657761218 /nfs/dbraw/zinc/76/12/18/657761218.db2.gz IRBCLDVFYHSDBP-HNNXBMFYSA-N 0 1 308.372 3.395 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@]1(C)CC=C(C)CC1 ZINC000960331034 657779754 /nfs/dbraw/zinc/77/97/54/657779754.db2.gz ZXBCBCFFFOUUGI-SFHLNBCPSA-N 0 1 322.452 3.128 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCCCC1(C)C ZINC000960334438 657779995 /nfs/dbraw/zinc/77/99/95/657779995.db2.gz OZWIXKOIRCECIV-IPMKNSEASA-N 0 1 324.468 3.208 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001009413128 657780466 /nfs/dbraw/zinc/78/04/66/657780466.db2.gz FGMBPOLRLADLFI-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCCC[C@@H]2C)CC1 ZINC000985613098 657784558 /nfs/dbraw/zinc/78/45/58/657784558.db2.gz OZDYPYHTDZKZJN-BBRMVZONSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC000985621979 657785804 /nfs/dbraw/zinc/78/58/04/657785804.db2.gz WZTGNGMPRQSGNU-QGZVFWFLSA-N 0 1 321.490 3.168 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001009430260 657785898 /nfs/dbraw/zinc/78/58/98/657785898.db2.gz GBZJQFOVXWDEFB-SFHVURJKSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001009470529 657790612 /nfs/dbraw/zinc/79/06/12/657790612.db2.gz LJYAODHRPJZXPH-GOSISDBHSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001009570141 657794590 /nfs/dbraw/zinc/79/45/90/657794590.db2.gz ODVAMJKRTHOUNQ-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001009586429 657796251 /nfs/dbraw/zinc/79/62/51/657796251.db2.gz KHSVACABITVBHD-HIFRSBDPSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(C(F)(F)F)CC2)CC1 ZINC000985668531 657798747 /nfs/dbraw/zinc/79/87/47/657798747.db2.gz PWMRYIHUXWKJLG-UHFFFAOYSA-N 0 1 324.774 3.004 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(C)ccc2F)CC1 ZINC000985676028 657801984 /nfs/dbraw/zinc/80/19/84/657801984.db2.gz AOXJIBHGJPTXKB-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2CC(c3ccccc3)C2)C1 ZINC001009698114 657810224 /nfs/dbraw/zinc/81/02/24/657810224.db2.gz QFJRMKSGDFSYCG-CTWPCTMYSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1cncc(C)c1 ZINC000960787564 657875255 /nfs/dbraw/zinc/87/52/55/657875255.db2.gz IGFIUVMWUOSORI-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](NC(=O)C=C3CCCCC3)C2)cc1 ZINC001010334703 657887810 /nfs/dbraw/zinc/88/78/10/657887810.db2.gz SXNLOYJPGPQROR-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC000960840142 657895177 /nfs/dbraw/zinc/89/51/77/657895177.db2.gz WKRSLPYJTSYJSY-IEBWSBKVSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC000961079825 657940455 /nfs/dbraw/zinc/94/04/55/657940455.db2.gz LKUZVHIZKMWWQY-JKSUJKDBSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CC3(C)CC3)C2)C1 ZINC000961210925 657977084 /nfs/dbraw/zinc/97/70/84/657977084.db2.gz KCPFOORRGSOMPU-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001014458264 658016918 /nfs/dbraw/zinc/01/69/18/658016918.db2.gz REEYULMKDDVVEV-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cscc2Cl)[C@@H]1C ZINC000986396847 658045099 /nfs/dbraw/zinc/04/50/99/658045099.db2.gz QECVHHNDHOXQCG-SKDRFNHKSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C(C)C)n2)[C@H]1C ZINC000986481677 658054628 /nfs/dbraw/zinc/05/46/28/658054628.db2.gz MRQABVCIMIXZMD-DZGCQCFKSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c3ccccc23)[C@H]1C ZINC000986501706 658056897 /nfs/dbraw/zinc/05/68/97/658056897.db2.gz RCWVKAMHXXGWGL-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cccc(C)c2)[C@@H]1C ZINC000986607419 658079792 /nfs/dbraw/zinc/07/97/92/658079792.db2.gz ATXROKWNIGPJDM-WBVHZDCISA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccn(C(C)C)c2C)[C@H]1C ZINC000986694426 658090049 /nfs/dbraw/zinc/09/00/49/658090049.db2.gz PDWKZRRNVQFXRW-GOEBONIOSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc(C(F)F)c2)[C@@H]1C ZINC000986733864 658094504 /nfs/dbraw/zinc/09/45/04/658094504.db2.gz JCBGQYDRROCMSI-MWLCHTKSSA-N 0 1 318.751 3.162 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)C1CCCC1 ZINC000130113339 658185518 /nfs/dbraw/zinc/18/55/18/658185518.db2.gz MLNSWEVKLZIRLF-NSHDSACASA-N 0 1 308.740 3.317 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(CC)o2)[C@H]1C ZINC000987343843 658192141 /nfs/dbraw/zinc/19/21/41/658192141.db2.gz XVZQTXPKVOXZFM-STQMWFEESA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001015894386 658200657 /nfs/dbraw/zinc/20/06/57/658200657.db2.gz PPVVAHPTCILOME-PXNSSMCTSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)ccc2C)[C@@H]1C ZINC000987410926 658205157 /nfs/dbraw/zinc/20/51/57/658205157.db2.gz UADRNXIEKZEDQT-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001015955549 658211372 /nfs/dbraw/zinc/21/13/72/658211372.db2.gz KFHOPNGSTFCEHH-NVXWUHKLSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cccs2)[C@H]1C ZINC000987490452 658219740 /nfs/dbraw/zinc/21/97/40/658219740.db2.gz AZTQVPNXPAAFGQ-GXTWGEPZSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccsc2C(F)(F)F)C1 ZINC001016106606 658239495 /nfs/dbraw/zinc/23/94/95/658239495.db2.gz ANXLAAGDWXNTTI-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(CCCC)CC2)C1 ZINC001016186347 658250896 /nfs/dbraw/zinc/25/08/96/658250896.db2.gz DZQGHHRQTAMWCO-ABHNRTSZSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001016187835 658252329 /nfs/dbraw/zinc/25/23/29/658252329.db2.gz OGSGHQAPHAHIKZ-INIZCTEOSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001016374201 658274138 /nfs/dbraw/zinc/27/41/38/658274138.db2.gz IPWAGLVZLZHKGH-AWEZNQCLSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2CCCCC2)CC1 ZINC001016480841 658291041 /nfs/dbraw/zinc/29/10/41/658291041.db2.gz UTUZBDFIUPPQIN-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@H]2C=CCC2)CC1 ZINC001016497337 658294539 /nfs/dbraw/zinc/29/45/39/658294539.db2.gz FSWCNOSVOPIHQY-GJZGRUSLSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001016560805 658301108 /nfs/dbraw/zinc/30/11/08/658301108.db2.gz WAQWJJMZOIZULM-QXSJWSMHSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H](C)C2CCC2)CC1 ZINC001016691790 658318662 /nfs/dbraw/zinc/31/86/62/658318662.db2.gz XDMSLZQWTUAOLM-HIFRSBDPSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@]2(C)C=CCC2)CC1 ZINC001016776514 658327307 /nfs/dbraw/zinc/32/73/07/658327307.db2.gz WRRBPIJTFCOHTH-GOEBONIOSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2(C)CC(=C)C2)CC1 ZINC001016812973 658334862 /nfs/dbraw/zinc/33/48/62/658334862.db2.gz WNXPNAYKSMVVDH-AWEZNQCLSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001016817718 658336634 /nfs/dbraw/zinc/33/66/34/658336634.db2.gz OTJHRHHDYSTDBT-KFWWJZLASA-N 0 1 310.869 3.146 20 30 DGEDMN CC(C(=O)N1C[C@H](NCc2ccccc2C#N)C[C@@H]1C)=C1CCC1 ZINC000988818926 658408019 /nfs/dbraw/zinc/40/80/19/658408019.db2.gz KUZRPMHRHPAYOZ-IFXJQAMLSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2c(C)cccc2C)C1 ZINC000988907387 658415439 /nfs/dbraw/zinc/41/54/39/658415439.db2.gz VWRADCGEHKNNJZ-CVEARBPZSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1C ZINC001017309754 658423521 /nfs/dbraw/zinc/42/35/21/658423521.db2.gz QTBVESPEHJSVNO-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([C@@H]2C[C@@H]2C)o1 ZINC001017554353 658439804 /nfs/dbraw/zinc/43/98/04/658439804.db2.gz XQTVJOYBBWIYBS-CAOSSQGBSA-N 0 1 314.429 3.268 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2c[nH]c3ccccc23)C1 ZINC000989124092 658439951 /nfs/dbraw/zinc/43/99/51/658439951.db2.gz JDJFJNNDQKMDLK-STQMWFEESA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)sc2C)C1 ZINC000989144313 658443235 /nfs/dbraw/zinc/44/32/35/658443235.db2.gz YKFWPCVJZOSYIO-MFKMUULPSA-N 0 1 312.866 3.310 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C1CCCC1 ZINC001017625290 658446051 /nfs/dbraw/zinc/44/60/51/658446051.db2.gz WXXOAGXNRYRVKP-CALCHBBNSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC000966166851 658451631 /nfs/dbraw/zinc/45/16/31/658451631.db2.gz KULXKRUQIMGLTN-MFKMUULPSA-N 0 1 314.401 3.312 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966326486 658466881 /nfs/dbraw/zinc/46/68/81/658466881.db2.gz OZRAIZJVCSREOF-WMLDXEAASA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccoc2C2CC2)C1 ZINC000989357019 658468686 /nfs/dbraw/zinc/46/86/86/658468686.db2.gz MHQBRGLHIDXERX-YPMHNXCESA-N 0 1 308.809 3.102 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ncccc2c1 ZINC001017881694 658472380 /nfs/dbraw/zinc/47/23/80/658472380.db2.gz OATQGBIKLRKAEQ-HDICACEKSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)cc(Cl)c1 ZINC001017991293 658486296 /nfs/dbraw/zinc/48/62/96/658486296.db2.gz POUVNBORLUGTTN-IYBDPMFKSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)c2cc3ccccc3o2)CC1 ZINC000989445457 658487303 /nfs/dbraw/zinc/48/73/03/658487303.db2.gz ABZJZDVGVVTMMO-OAHLLOKOSA-N 0 1 312.413 3.257 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc3c(o2)CCCC3)C1 ZINC000966660908 658489547 /nfs/dbraw/zinc/48/95/47/658489547.db2.gz FAPYPOAJFUTINF-GDBMZVCRSA-N 0 1 316.445 3.175 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966723035 658492969 /nfs/dbraw/zinc/49/29/69/658492969.db2.gz NDZHBZBWIMMNLI-KSSFIOAISA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccn(C)c2c1 ZINC001018141626 658506581 /nfs/dbraw/zinc/50/65/81/658506581.db2.gz FHAMVIDCWQYTBI-HDICACEKSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccsc2Cl)C1 ZINC000989520038 658508060 /nfs/dbraw/zinc/50/80/60/658508060.db2.gz AYVVQQFLKKWJEQ-ZJUUUORDSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc3ccc(C)nc32)C1 ZINC000966900082 658510230 /nfs/dbraw/zinc/51/02/30/658510230.db2.gz PXSIREWAIUFAQU-KSSFIOAISA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cccc1F ZINC001018212134 658518071 /nfs/dbraw/zinc/51/80/71/658518071.db2.gz UITBKPDNBJJCAY-OKILXGFUSA-N 0 1 320.383 3.220 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2sccc2C(F)F)CC1 ZINC000989574737 658520582 /nfs/dbraw/zinc/52/05/82/658520582.db2.gz SCDGBIWTJRDXEJ-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(C)cc2Cl)C1 ZINC000966980292 658525745 /nfs/dbraw/zinc/52/57/45/658525745.db2.gz RKLDTXAUJIEAPS-WMLDXEAASA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3ccc(Cl)cc3)CC2)CC1 ZINC000989610591 658528077 /nfs/dbraw/zinc/52/80/77/658528077.db2.gz BPYZCYOIUKLINL-UHFFFAOYSA-N 0 1 318.848 3.092 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(CC(C)C)cc2)CC1 ZINC000989636730 658530921 /nfs/dbraw/zinc/53/09/21/658530921.db2.gz PQSWLHAKHYKFGX-UHFFFAOYSA-N 0 1 300.446 3.219 20 30 DGEDMN C=CCN1CCCN(C(=O)CC(C)(C)c2ccc(C)cc2)CC1 ZINC000989626344 658531192 /nfs/dbraw/zinc/53/11/92/658531192.db2.gz ZIRAGDIQVLNUMY-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)cc(C)c2)C1 ZINC000989724141 658549681 /nfs/dbraw/zinc/54/96/81/658549681.db2.gz WKCXVFCNQIWAPU-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2c(C)cccc2Cl)C1 ZINC000967231318 658552082 /nfs/dbraw/zinc/55/20/82/658552082.db2.gz PQQMUKCQHLORNN-WMLDXEAASA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)ccc2C)C1 ZINC000989722739 658556173 /nfs/dbraw/zinc/55/61/73/658556173.db2.gz LNAQRUZQPBAYBY-GJZGRUSLSA-N 0 1 306.837 3.249 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC000967269219 658556354 /nfs/dbraw/zinc/55/63/54/658556354.db2.gz UFRNILLNHFGGEF-APWZRJJASA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(C)sc2C)C1 ZINC000967269088 658556830 /nfs/dbraw/zinc/55/68/30/658556830.db2.gz RMWIZWPZEOSWPM-BLLLJJGKSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2ccc(C)c(C)c2)C1 ZINC000989763927 658566271 /nfs/dbraw/zinc/56/62/71/658566271.db2.gz VWXOPRQPSZQDAZ-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)c(C)s2)C1 ZINC000989765479 658566723 /nfs/dbraw/zinc/56/67/23/658566723.db2.gz IFYOVNDZFSZUQL-DGCLKSJQSA-N 0 1 312.866 3.310 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424115 658580271 /nfs/dbraw/zinc/58/02/71/658580271.db2.gz PAVJPAUMJSFDER-JXFKEZNVSA-N 0 1 324.468 3.336 20 30 DGEDMN C=CCN1CCCN(C(=O)C2CC(c3cccc(F)c3)C2)CC1 ZINC000989798255 658581592 /nfs/dbraw/zinc/58/15/92/658581592.db2.gz QJWTVGTUXRHFBB-UHFFFAOYSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(CF)cc2)C1 ZINC000989838032 658587906 /nfs/dbraw/zinc/58/79/06/658587906.db2.gz JAWSAFHJSYQRAE-SWLSCSKDSA-N 0 1 310.800 3.101 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)C2=CCCCCC2)C1 ZINC001018761799 658589513 /nfs/dbraw/zinc/58/95/13/658589513.db2.gz BUFLFDOXQKEJQW-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(OCCC)c2)C1 ZINC001018933243 658597981 /nfs/dbraw/zinc/59/79/81/658597981.db2.gz XFZNIUZSCPSCJH-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(F)cc3ccoc32)C1 ZINC001019064534 658606879 /nfs/dbraw/zinc/60/68/79/658606879.db2.gz VIEIDQDBWLXJSY-ZDUSSCGKSA-N 0 1 322.767 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2(c3ccccc3)CCC2)C1 ZINC001019114979 658608761 /nfs/dbraw/zinc/60/87/61/658608761.db2.gz OAVQXMRQYZXHTG-INIZCTEOSA-N 0 1 318.848 3.051 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001019332263 658633873 /nfs/dbraw/zinc/63/38/73/658633873.db2.gz NKPAFYZHMGGBAZ-YOEHRIQHSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@]2(NC(=O)c3ccccc3O)CCC[C@@H]12 ZINC000989960180 658650801 /nfs/dbraw/zinc/65/08/01/658650801.db2.gz DPGGWEMIARDSAV-MJGOQNOKSA-N 0 1 314.429 3.085 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968038141 658657361 /nfs/dbraw/zinc/65/73/61/658657361.db2.gz YPCKGYVEYNUESB-PBHICJAKSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968156125 658674138 /nfs/dbraw/zinc/67/41/38/658674138.db2.gz SPBZNTRWCZIFPO-RISCZKNCSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ncsc2C2CC2)C1 ZINC000968327757 658699161 /nfs/dbraw/zinc/69/91/61/658699161.db2.gz RUQZHEIUGDNYRX-OCCSQVGLSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC000968350483 658706578 /nfs/dbraw/zinc/70/65/78/658706578.db2.gz ZBIZDRCSZLXKPJ-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc(Cl)c2C)C1 ZINC001042762610 658728314 /nfs/dbraw/zinc/72/83/14/658728314.db2.gz MHVVJRNOGDTXKG-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN CCCC(=O)C(C#N)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000128323343 658732696 /nfs/dbraw/zinc/73/26/96/658732696.db2.gz QILDTZKDGZZCPR-SNVBAGLBSA-N 0 1 308.765 3.105 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1ccoc1C(F)(F)F ZINC001038292441 658740928 /nfs/dbraw/zinc/74/09/28/658740928.db2.gz IVSADSHXPXYGDF-LLVKDONJSA-N 0 1 316.323 3.069 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1ccc(-c2ccco2)o1 ZINC001038495840 658757132 /nfs/dbraw/zinc/75/71/32/658757132.db2.gz FCFFOVPNFQGODO-AWEZNQCLSA-N 0 1 314.385 3.310 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccccc1O[C@@H](C)CC ZINC001038758500 658795492 /nfs/dbraw/zinc/79/54/92/658795492.db2.gz YEMIQKFVDPCMNG-JKSUJKDBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001038793315 658800526 /nfs/dbraw/zinc/80/05/26/658800526.db2.gz WTGMQPOOKOKOAX-CEXWTWQISA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3coc4c3cccc4C)CCC[C@@H]12 ZINC000991045611 658802244 /nfs/dbraw/zinc/80/22/44/658802244.db2.gz TZNLPRHTMFRGRQ-XLIONFOSSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC001038802924 658809740 /nfs/dbraw/zinc/80/97/40/658809740.db2.gz XPBOKXIHJLBAML-IXDOHACOSA-N 0 1 318.848 3.210 20 30 DGEDMN COc1ccc(-c2nc(SC(C)C)[nH]c(=O)c2C#N)cc1 ZINC000054749244 658894248 /nfs/dbraw/zinc/89/42/48/658894248.db2.gz IDRNSLYWNQQUBD-UHFFFAOYSA-N 0 1 301.371 3.230 20 30 DGEDMN O=C(/C=C/c1ccc(N2CCOCC2)cc1)c1ccccc1O ZINC000156596350 658927529 /nfs/dbraw/zinc/92/75/29/658927529.db2.gz PGXRMDQASIHZAU-JXMROGBWSA-N 0 1 309.365 3.125 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000156607823 658928090 /nfs/dbraw/zinc/92/80/90/658928090.db2.gz NDZCQPXWBPXTDL-AWEZNQCLSA-N 0 1 318.392 3.041 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](CC)O[C@@H](C)C2)c1O ZINC000164318775 658929089 /nfs/dbraw/zinc/92/90/89/658929089.db2.gz TWZYSHNGXPUGMD-XJKSGUPXSA-N 0 1 305.418 3.129 20 30 DGEDMN COc1ccc(O)c(C(=O)/C=C/c2csc([N+](=O)[O-])c2)c1 ZINC000156685327 658933742 /nfs/dbraw/zinc/93/37/42/658933742.db2.gz WTMWAHJGBFETIA-DUXPYHPUSA-N 0 1 305.311 3.267 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cccc2ccsc21 ZINC001038879225 658951132 /nfs/dbraw/zinc/95/11/32/658951132.db2.gz JWPJEMYUDMLPTN-CQSZACIVSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001038990732 658972913 /nfs/dbraw/zinc/97/29/13/658972913.db2.gz COWFGYQMVXNVDE-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC001038998192 658978151 /nfs/dbraw/zinc/97/81/51/658978151.db2.gz UNRSBWSRDKPUFV-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cscc1C(F)(F)F ZINC001039092041 658997232 /nfs/dbraw/zinc/99/72/32/658997232.db2.gz KEZKHNWWHDHSSJ-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(-c2ccccc2)co1 ZINC001039100122 658998887 /nfs/dbraw/zinc/99/88/87/658998887.db2.gz XGXPUPKNIUIIMA-QGZVFWFLSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CNC(=S)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000057814864 659032850 /nfs/dbraw/zinc/03/28/50/659032850.db2.gz DHFSUJMRUJWUNT-HNNXBMFYSA-N 0 1 314.458 3.459 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCN(c3ccccc3)CC2)cc1 ZINC000157522727 659067347 /nfs/dbraw/zinc/06/73/47/659067347.db2.gz GPSGKAWLQOILEM-QGZVFWFLSA-N 0 1 321.424 3.300 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cn1cnc2cc(F)c(F)cc21 ZINC000046477418 659121881 /nfs/dbraw/zinc/12/18/81/659121881.db2.gz FMTIXWIEAGTOLY-UHFFFAOYSA-N 0 1 312.323 3.276 20 30 DGEDMN Cc1cc(O)ccc1NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169254825 659162316 /nfs/dbraw/zinc/16/23/16/659162316.db2.gz UQJQYTSXCMFLFG-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccn(C)c2C)CC1 ZINC000948759419 659169282 /nfs/dbraw/zinc/16/92/82/659169282.db2.gz ZIAOMLUZWCDVLY-HNNXBMFYSA-N 0 1 323.868 3.013 20 30 DGEDMN C=CCn1cccc1C(=O)NC1CN(CCC2CCCCC2)C1 ZINC001030447264 659178871 /nfs/dbraw/zinc/17/88/71/659178871.db2.gz OOPNYBWNFFBSOD-UHFFFAOYSA-N 0 1 315.461 3.059 20 30 DGEDMN CCCN(CC(=O)Nc1ccc(C#N)cc1)Cc1ccccc1O ZINC000170779317 659236614 /nfs/dbraw/zinc/23/66/14/659236614.db2.gz ILYOCLBVVIYUOO-UHFFFAOYSA-N 0 1 323.396 3.115 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(C)cc(Cl)c3)CCC[C@@H]12 ZINC000991863687 659245026 /nfs/dbraw/zinc/24/50/26/659245026.db2.gz SMWNVBFVUHEJRG-AEFFLSMTSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc(C)cc(Cl)c3)CCC[C@H]12 ZINC000991863698 659245087 /nfs/dbraw/zinc/24/50/87/659245087.db2.gz SMWNVBFVUHEJRG-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN O=C(/C=C/c1nccn1CC(F)(F)F)c1ccc(O)c(F)c1 ZINC000171542105 659286675 /nfs/dbraw/zinc/28/66/75/659286675.db2.gz RVBCEIFTSIFPSH-ONEGZZNKSA-N 0 1 314.238 3.186 20 30 DGEDMN N#CC(C(=O)Cc1ccccc1Br)c1ccccn1 ZINC000171566279 659287856 /nfs/dbraw/zinc/28/78/56/659287856.db2.gz XIUMACSXBFMFSH-GFCCVEGCSA-N 0 1 315.170 3.263 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)CC1 ZINC000948862506 659337961 /nfs/dbraw/zinc/33/79/61/659337961.db2.gz WCJHNEIGSBDPHC-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H]1CNCc1ccc(C#N)o1 ZINC000230648635 659343938 /nfs/dbraw/zinc/34/39/38/659343938.db2.gz XHLAFUYGUQVDKU-ZDUSSCGKSA-N 0 1 319.405 3.030 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC[C@@H](C)C2)cc1 ZINC000173986088 659408381 /nfs/dbraw/zinc/40/83/81/659408381.db2.gz GOPGVIQKTGQEGR-XJKCOSOUSA-N 0 1 314.385 3.169 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ccc(F)c(C)c3)CCC[C@@H]12 ZINC000992151894 659474461 /nfs/dbraw/zinc/47/44/61/659474461.db2.gz IHFARCZWTASZDZ-AEFFLSMTSA-N 0 1 302.393 3.047 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc(Cl)cs3)CCC[C@H]12 ZINC000992292144 659514078 /nfs/dbraw/zinc/51/40/78/659514078.db2.gz CNKFYZGVLGOCBK-DZGCQCFKSA-N 0 1 310.850 3.314 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C)c3Cl)CCC[C@H]12 ZINC000992330604 659526050 /nfs/dbraw/zinc/52/60/50/659526050.db2.gz LDDUXVZHIDOKCZ-YJBOKZPZSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4ccccc4c3)CCC[C@@H]12 ZINC000992443750 659551007 /nfs/dbraw/zinc/55/10/07/659551007.db2.gz YCYMQALVIOGJSU-CTNGQTDRSA-N 0 1 318.420 3.200 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccsc3C(F)F)CCC[C@@H]12 ZINC000992452222 659553079 /nfs/dbraw/zinc/55/30/79/659553079.db2.gz ZKKSQGUNZVSTHW-WBMJQRKESA-N 0 1 324.396 3.046 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cc(C)c(F)c(C)c3)CCC[C@@H]12 ZINC000992508609 659567122 /nfs/dbraw/zinc/56/71/22/659567122.db2.gz JWNNSQFUXMJYSU-VQIMIIECSA-N 0 1 316.420 3.355 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cnc(C(C)C)s3)CCC[C@@H]12 ZINC000992572956 659579382 /nfs/dbraw/zinc/57/93/82/659579382.db2.gz LZYVMCUDXDTZBZ-RHSMWYFYSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cnc(C(C)C)s3)CCC[C@@H]12 ZINC000992572952 659579519 /nfs/dbraw/zinc/57/95/19/659579519.db2.gz LZYVMCUDXDTZBZ-PBHICJAKSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001031018163 659597998 /nfs/dbraw/zinc/59/79/98/659597998.db2.gz INRLFBYWWSCGBZ-UHFFFAOYSA-N 0 1 314.473 3.293 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@@H]1C ZINC000993370196 659723269 /nfs/dbraw/zinc/72/32/69/659723269.db2.gz VSGFDYPKKKAXNA-HOCLYGCPSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nsc3ccccc32)[C@H]1C ZINC000993386061 659724866 /nfs/dbraw/zinc/72/48/66/659724866.db2.gz UCBQURJRVYTDHU-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccnn2C(CC)CC)[C@H]1C ZINC000993410798 659729261 /nfs/dbraw/zinc/72/92/61/659729261.db2.gz GZVAKDSFGCKWSS-ZBFHGGJFSA-N 0 1 318.465 3.013 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnn2C(CC)CC)[C@@H]1C ZINC000993410795 659729382 /nfs/dbraw/zinc/72/93/82/659729382.db2.gz GZVAKDSFGCKWSS-GOEBONIOSA-N 0 1 318.465 3.013 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cc(C)cn3c2)[C@H]1C ZINC000993414369 659730064 /nfs/dbraw/zinc/73/00/64/659730064.db2.gz WJIFQNKYIBWGRR-QAPCUYQASA-N 0 1 311.429 3.016 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccccc2C(C)C)[C@@H]1C ZINC000993411794 659730502 /nfs/dbraw/zinc/73/05/02/659730502.db2.gz ITDIVQDJPNYWBL-LPHOPBHVSA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2sccc2C(F)F)C1 ZINC001046804016 659735481 /nfs/dbraw/zinc/73/54/81/659735481.db2.gz BHXYFATZVRJLIQ-OAHLLOKOSA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2sccc2C(F)F)C1 ZINC001046804016 659735484 /nfs/dbraw/zinc/73/54/84/659735484.db2.gz BHXYFATZVRJLIQ-OAHLLOKOSA-N 0 1 314.401 3.456 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@H]1C ZINC000993475856 659746343 /nfs/dbraw/zinc/74/63/43/659746343.db2.gz KUJJMGUAILCYCF-OWYHZJEWSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)noc2C(C)C)[C@H]1C ZINC000993463445 659749106 /nfs/dbraw/zinc/74/91/06/659749106.db2.gz FTVWYMPCNNBXOD-HUUCEWRRSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@@H]1C ZINC000993462386 659749748 /nfs/dbraw/zinc/74/97/48/659749748.db2.gz FDFRJONZIAPAQG-RYUDHWBXSA-N 0 1 312.360 3.294 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)[C@H]1C ZINC000993486443 659753674 /nfs/dbraw/zinc/75/36/74/659753674.db2.gz MTCHPFMWDYZSCK-QAPCUYQASA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)[C@H]1C ZINC000993493180 659753903 /nfs/dbraw/zinc/75/39/03/659753903.db2.gz ANKRYILUHMIESJ-ZIAGYGMSSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-n3cccc3)cc2)[C@H]1C ZINC000993561904 659762925 /nfs/dbraw/zinc/76/29/25/659762925.db2.gz ZSYRASJVZWUGAG-APWZRJJASA-N 0 1 323.440 3.246 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)noc2C2CC2)[C@@H]1C ZINC000993608021 659765320 /nfs/dbraw/zinc/76/53/20/659765320.db2.gz VROYZAHDVCCBHB-ZFWWWQNUSA-N 0 1 317.433 3.019 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1C ZINC000993618200 659766039 /nfs/dbraw/zinc/76/60/39/659766039.db2.gz DPQRWYZDDSRGCJ-DNVCBOLYSA-N 0 1 310.441 3.088 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)[C@H]1C ZINC000993594371 659768245 /nfs/dbraw/zinc/76/82/45/659768245.db2.gz ZPEHDSHBJZGZFM-NVXWUHKLSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@@H]1C ZINC000993666200 659772916 /nfs/dbraw/zinc/77/29/16/659772916.db2.gz WYACBEGLWBUVGC-HOCLYGCPSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@@H]1C ZINC000993666784 659773769 /nfs/dbraw/zinc/77/37/69/659773769.db2.gz OIJZIORUSBETDS-GOEBONIOSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)nc2C(C)C)[C@@H]1C ZINC000993658456 659777535 /nfs/dbraw/zinc/77/75/35/659777535.db2.gz SJJRWKKODNWRME-DOTOQJQBSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3ccsc3c2)[C@H]1C ZINC000993690054 659781489 /nfs/dbraw/zinc/78/14/89/659781489.db2.gz PZIUWWMFBWEQRE-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H]1C ZINC000993729134 659786551 /nfs/dbraw/zinc/78/65/51/659786551.db2.gz JKBLUAKQPTXAQV-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)ccc(C)c2F)[C@@H]1C ZINC000993778253 659789664 /nfs/dbraw/zinc/78/96/64/659789664.db2.gz RUEGSMMMRWZLBI-ZFWWWQNUSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H]1C ZINC000993880332 659796851 /nfs/dbraw/zinc/79/68/51/659796851.db2.gz SWSTYDBVGYIJAZ-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2scnc2C2CC2)[C@H]1C ZINC000993966289 659803855 /nfs/dbraw/zinc/80/38/55/659803855.db2.gz VMXRDPBRBGIVBU-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2scnc2C(C)C)[C@@H]1C ZINC000993951102 659806383 /nfs/dbraw/zinc/80/63/83/659806383.db2.gz NERWSWOBLIPPOL-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C)c2Cl)[C@@H]1C ZINC000994025847 659809555 /nfs/dbraw/zinc/80/95/55/659809555.db2.gz WFDBZKCZWNVXNR-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C)c2Cl)[C@@H]1C ZINC000994025828 659809710 /nfs/dbraw/zinc/80/97/10/659809710.db2.gz WFDBZKCZWNVXNR-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@H]1C ZINC000994067630 659812465 /nfs/dbraw/zinc/81/24/65/659812465.db2.gz GIEFZVSZDWLHRK-WBMJQRKESA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3F)CC2)[C@H]1C ZINC000994135182 659814100 /nfs/dbraw/zinc/81/41/00/659814100.db2.gz QMANHIJJCBEESU-RHSMWYFYSA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc(C3CC3)s2)[C@H]1C ZINC000994271168 659829656 /nfs/dbraw/zinc/82/96/56/659829656.db2.gz JAEGQCAJYZDSFZ-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3ccc(F)cc23)[C@H]1C ZINC000994297204 659834101 /nfs/dbraw/zinc/83/41/01/659834101.db2.gz DNQCMQTXQVOMNI-WBMJQRKESA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2OC)[C@H]1C ZINC000994341412 659841763 /nfs/dbraw/zinc/84/17/63/659841763.db2.gz WXFPDOIZRYQMAL-DOMZBBRYSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2C(C)C)[C@@H]1C ZINC000994486971 659860918 /nfs/dbraw/zinc/86/09/18/659860918.db2.gz NPHOVTRGIHBAQK-GOEBONIOSA-N 0 1 318.486 3.478 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@@H]1C ZINC000994496534 659862639 /nfs/dbraw/zinc/86/26/39/659862639.db2.gz HXOVUBXAJOYIQC-FUHWJXTLSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(Cl)cccc2OC)[C@H]1C ZINC000994504435 659864285 /nfs/dbraw/zinc/86/42/85/659864285.db2.gz WXDNERJBHLPBMA-OCCSQVGLSA-N 0 1 322.836 3.117 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2SCCc3ccccc32)c1 ZINC000179730900 659939609 /nfs/dbraw/zinc/93/96/09/659939609.db2.gz NDSYKEFTHRNJIB-INIZCTEOSA-N 0 1 310.378 3.233 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3c(s2)CCC3)C[C@H]1C ZINC000939675444 660009905 /nfs/dbraw/zinc/00/99/05/660009905.db2.gz QUPSGGXVXZWYMG-ZWNOBZJWSA-N 0 1 324.877 3.039 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C)C(C)(C)C2(C)C)C[C@@H]1C ZINC000939874105 660017553 /nfs/dbraw/zinc/01/75/53/660017553.db2.gz NLTBEVNTMXDJEK-AAEUAGOBSA-N 0 1 312.885 3.248 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2sccc2Cl)C(C)(C)C1 ZINC000940765823 660062634 /nfs/dbraw/zinc/06/26/34/660062634.db2.gz WQONNMZLBSIJPJ-ZDUSSCGKSA-N 0 1 324.877 3.255 20 30 DGEDMN CC1(C(=O)N[C@@H]2CCN(CC#Cc3ccccc3)CC2(C)C)CC1 ZINC000940768796 660062638 /nfs/dbraw/zinc/06/26/38/660062638.db2.gz OEZHHRNAYANWKM-GOSISDBHSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(C)CCCCCC2)C(C)(C)C1 ZINC000940806818 660065281 /nfs/dbraw/zinc/06/52/81/660065281.db2.gz BQFBSEFSXPODPL-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccccc2CC)C(C)(C)C1 ZINC000940987456 660078317 /nfs/dbraw/zinc/07/83/17/660078317.db2.gz OOUPGHXNABKQJI-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001031920627 660079599 /nfs/dbraw/zinc/07/95/99/660079599.db2.gz OLGZQIGISWQXTE-CHWSQXEVSA-N 0 1 324.877 3.129 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)[C@H](F)c2ccccc2)C(C)(C)C1 ZINC000941063547 660083911 /nfs/dbraw/zinc/08/39/11/660083911.db2.gz HAEBDVSBRQRZPC-JKSUJKDBSA-N 0 1 304.409 3.100 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2oc(CC)cc2C)C(C)(C)C1 ZINC000941163629 660089883 /nfs/dbraw/zinc/08/98/83/660089883.db2.gz NPAIMTVNGHCMOG-MRXNPFEDSA-N 0 1 316.445 3.004 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941163676 660089967 /nfs/dbraw/zinc/08/99/67/660089967.db2.gz OBQYIFUKGCRNRG-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)cn2CC)C(C)(C)C1 ZINC000941223545 660096856 /nfs/dbraw/zinc/09/68/56/660096856.db2.gz GYBMEMDPUOBSER-OAHLLOKOSA-N 0 1 323.868 3.178 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941255600 660098901 /nfs/dbraw/zinc/09/89/01/660098901.db2.gz GUEDLBNSOLCTAY-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941255601 660098931 /nfs/dbraw/zinc/09/89/31/660098931.db2.gz GUEDLBNSOLCTAY-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)CC1CCC1 ZINC000941921079 660126833 /nfs/dbraw/zinc/12/68/33/660126833.db2.gz MOZLQEHIHYWKRI-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@H](C)C1 ZINC000942529080 660154938 /nfs/dbraw/zinc/15/49/38/660154938.db2.gz FIBHYNVYMXCIPW-QAPCUYQASA-N 0 1 324.424 3.123 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)C1(C)CCC1 ZINC000942559753 660158546 /nfs/dbraw/zinc/15/85/46/660158546.db2.gz GLAIAAIOVSQPOF-IEBWSBKVSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](C)C1 ZINC000942940901 660173539 /nfs/dbraw/zinc/17/35/39/660173539.db2.gz TYNWCUUSCUKPOF-RDJZCZTQSA-N 0 1 322.408 3.020 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](C)C1 ZINC000942940901 660173541 /nfs/dbraw/zinc/17/35/41/660173541.db2.gz TYNWCUUSCUKPOF-RDJZCZTQSA-N 0 1 322.408 3.020 20 30 DGEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@H](C)C1 ZINC000942940902 660173575 /nfs/dbraw/zinc/17/35/75/660173575.db2.gz TYNWCUUSCUKPOF-WBVHZDCISA-N 0 1 322.408 3.020 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@H](C)C1 ZINC000942940902 660173576 /nfs/dbraw/zinc/17/35/76/660173576.db2.gz TYNWCUUSCUKPOF-WBVHZDCISA-N 0 1 322.408 3.020 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001032062305 660177026 /nfs/dbraw/zinc/17/70/26/660177026.db2.gz QMAKNVZPJYIRDV-UHFFFAOYSA-N 0 1 300.446 3.222 20 30 DGEDMN N#Cc1cncc(CN2CCC(c3nc4ccccc4[nH]3)CC2)c1 ZINC000929971539 661354769 /nfs/dbraw/zinc/35/47/69/661354769.db2.gz OMHLBIUCSVJULV-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN C[C@@H](C#N)CN(C[C@@H]1CC[C@H](NC(=O)OC(C)(C)C)C1)C1CC1 ZINC000930087835 661364820 /nfs/dbraw/zinc/36/48/20/661364820.db2.gz OBBLGWMDCFYQJX-ZNMIVQPWSA-N 0 1 321.465 3.304 20 30 DGEDMN N#CC[C@@H](O)C[N@H+]1CCc2ccccc2[C@H]1Cc1ccccc1 ZINC000930089255 661365404 /nfs/dbraw/zinc/36/54/04/661365404.db2.gz LJCRYLNBDIMGHV-UYAOXDASSA-N 0 1 306.409 3.103 20 30 DGEDMN CC1(C)CN(CC2CCC(C#N)CC2)C[C@H](C(F)(F)F)O1 ZINC000930551401 661409747 /nfs/dbraw/zinc/40/97/47/661409747.db2.gz BOOOGUFSBWBTFQ-WXRRBKDZSA-N 0 1 304.356 3.358 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCOC[C@@H](C3CCC3)C2)c1 ZINC000930556716 661410101 /nfs/dbraw/zinc/41/01/01/661410101.db2.gz YZWTYSBKMFMMTI-INIZCTEOSA-N 0 1 304.821 3.460 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cc(C)c(F)cc2Cl)CC1 ZINC000931374637 661472338 /nfs/dbraw/zinc/47/23/38/661472338.db2.gz ODVYMTWOZYWSNC-UHFFFAOYSA-N 0 1 323.799 3.007 20 30 DGEDMN CO[C@@]1(C(F)(F)F)CCN(Cc2c(C)cc(C#N)cc2C)C1 ZINC000931687357 661498391 /nfs/dbraw/zinc/49/83/91/661498391.db2.gz WFZAKRMYBSOLIE-HNNXBMFYSA-N 0 1 312.335 3.328 20 30 DGEDMN CN(Cc1ncc(Br)cn1)CC1CCC(C#N)CC1 ZINC000931863239 661517064 /nfs/dbraw/zinc/51/70/64/661517064.db2.gz VVGGPMWYMQXEKB-UHFFFAOYSA-N 0 1 323.238 3.001 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCC(CO)(C(F)(F)F)CC1 ZINC000932825296 661599386 /nfs/dbraw/zinc/59/93/86/661599386.db2.gz MRHOEQMGANBDMD-GFCCVEGCSA-N 0 1 312.335 3.256 20 30 DGEDMN CCCOc1cccc(CN2CCC3(CC2)CC(=O)C=CO3)c1 ZINC000933646461 661647845 /nfs/dbraw/zinc/64/78/45/661647845.db2.gz KWDLVPVFLTWMNG-UHFFFAOYSA-N 0 1 315.413 3.313 20 30 DGEDMN O=C1C=COC2(CCN([C@@H]3CCc4ccc(F)cc43)CC2)C1 ZINC000933651209 661648090 /nfs/dbraw/zinc/64/80/90/661648090.db2.gz GFWYCEWNBZZLHN-QGZVFWFLSA-N 0 1 301.361 3.151 20 30 DGEDMN C[C@H](CN(C)Cc1cc(C#N)cs1)C(=O)c1ccc(O)cc1 ZINC000933771590 661657091 /nfs/dbraw/zinc/65/70/91/661657091.db2.gz YRBCPUGVVKBDLU-GFCCVEGCSA-N 0 1 314.410 3.276 20 30 DGEDMN Cc1cc(C[C@@H](C)NC(=O)Nc2ccc(C#N)cc2C2CC2)n[nH]1 ZINC000933849368 661662188 /nfs/dbraw/zinc/66/21/88/661662188.db2.gz YATNGXRVNDUICK-LLVKDONJSA-N 0 1 323.400 3.220 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cccc(F)c1O ZINC000933856648 661663222 /nfs/dbraw/zinc/66/32/22/661663222.db2.gz COKSOEPVBHTLQP-RDJZCZTQSA-N 0 1 324.355 3.443 20 30 DGEDMN Cc1cc(C#N)ccc1CNC1(c2ccc3c(c2)OCCO3)CC1 ZINC000933999593 661672628 /nfs/dbraw/zinc/67/26/28/661672628.db2.gz RUGAQRHNLSBPII-UHFFFAOYSA-N 0 1 320.392 3.417 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](c1ncccc1C)C(C)(C)C ZINC000934291631 661694114 /nfs/dbraw/zinc/69/41/14/661694114.db2.gz DVSDYYASJAQTIN-SFHVURJKSA-N 0 1 317.477 3.491 20 30 DGEDMN C=CC1(CC(=O)N2CCC(c3nc[nH]n3)CC2)CCCCC1 ZINC000934336693 661698740 /nfs/dbraw/zinc/69/87/40/661698740.db2.gz BNPPQRARFJPDNI-UHFFFAOYSA-N 0 1 302.422 3.037 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934420986 661705709 /nfs/dbraw/zinc/70/57/09/661705709.db2.gz ISXXWUIUSAOLQY-MRXNPFEDSA-N 0 1 307.821 3.172 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC000934646576 661721923 /nfs/dbraw/zinc/72/19/23/661721923.db2.gz QKILCZYGYOQMHU-UHFFFAOYSA-N 0 1 305.378 3.130 20 30 DGEDMN CC(C)(CCC#N)CNC(=O)c1ccc(CN2CCCCC2)o1 ZINC000935993252 661823939 /nfs/dbraw/zinc/82/39/39/661823939.db2.gz PXTMYUFQUQEAOE-UHFFFAOYSA-N 0 1 317.433 3.325 20 30 DGEDMN CC[C@H](CC#N)OC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C ZINC000187919655 661907891 /nfs/dbraw/zinc/90/78/91/661907891.db2.gz OBTRUENMVFUZNK-CYBMUJFWSA-N 0 1 313.357 3.243 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2ccccc2SC)c1 ZINC000188318759 661925878 /nfs/dbraw/zinc/92/58/78/661925878.db2.gz PLSAIAPRWHOGTG-UHFFFAOYSA-N 0 1 303.408 3.191 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(CC)oc3ccccc32)C[C@@H]1C ZINC000948075217 661948355 /nfs/dbraw/zinc/94/83/55/661948355.db2.gz XIBWHXPBAGXCIC-GJZGRUSLSA-N 0 1 324.424 3.211 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccc(C)n2C)CC1 ZINC000948185126 661958219 /nfs/dbraw/zinc/95/82/19/661958219.db2.gz RUZUAMXMQDNAAA-HNNXBMFYSA-N 0 1 323.868 3.013 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC000948631141 661987162 /nfs/dbraw/zinc/98/71/62/661987162.db2.gz LDSJCSCQUXKJDD-BBWFWOEESA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CC2(c3ccccc3)CC2)CC1 ZINC000948682648 661990220 /nfs/dbraw/zinc/99/02/20/661990220.db2.gz BYOKSHGRPCVYED-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H](N(C)C(=O)[C@H](C)c2ccco2)CC1 ZINC000948772285 661997360 /nfs/dbraw/zinc/99/73/60/661997360.db2.gz VIXBNVBCJRCJPE-CABCVRRESA-N 0 1 324.852 3.449 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H](C)c2ccco2)CC1 ZINC000948772285 661997362 /nfs/dbraw/zinc/99/73/62/661997362.db2.gz VIXBNVBCJRCJPE-CABCVRRESA-N 0 1 324.852 3.449 20 30 DGEDMN C=CCCN1CCN(C(=O)CC(C)(C)c2ccc(F)cc2)CC1 ZINC000948773055 661997607 /nfs/dbraw/zinc/99/76/07/661997607.db2.gz VTHFAQRDURFGQR-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(CCCC2CCC2)CC1 ZINC000948776448 661997711 /nfs/dbraw/zinc/99/77/11/661997711.db2.gz VPEIYIJEEKLYJK-UHFFFAOYSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1ccoc1 ZINC000948890772 662005824 /nfs/dbraw/zinc/00/58/24/662005824.db2.gz RYAYKGQWTYYQMF-SFHVURJKSA-N 0 1 310.397 3.185 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1occc1C ZINC000948893617 662005832 /nfs/dbraw/zinc/00/58/32/662005832.db2.gz BFPJHWLVUMHFFG-GOSISDBHSA-N 0 1 324.424 3.493 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC=CCC1 ZINC000948893591 662006278 /nfs/dbraw/zinc/00/62/78/662006278.db2.gz AVEOTAIXYQXZHM-ICSRJNTNSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@H]1c2ccccc2CCN1CC ZINC000948923344 662007798 /nfs/dbraw/zinc/00/77/98/662007798.db2.gz GPBUHPBBMSECCN-IBGZPJMESA-N 0 1 323.440 3.023 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1C[C@H]1CCC ZINC000948958525 662009360 /nfs/dbraw/zinc/00/93/60/662009360.db2.gz OBUZVHQUQXHTQX-MISYRCLQSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(F)ccc2CC)CC1 ZINC000949093969 662011647 /nfs/dbraw/zinc/01/16/47/662011647.db2.gz NMKUXKIPTMOAIJ-UHFFFAOYSA-N 0 1 304.409 3.112 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CC2CCC3(CC3)CC2)CC1 ZINC000949666808 662024001 /nfs/dbraw/zinc/02/40/01/662024001.db2.gz DWUMPAXTKPHVNH-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2c(CC)oc3ccccc32)CC1 ZINC001006447159 662029771 /nfs/dbraw/zinc/02/97/71/662029771.db2.gz KXCIUIJIXKENNK-UHFFFAOYSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc([C@H](C)CC)cc2)CC1 ZINC001006447223 662030203 /nfs/dbraw/zinc/03/02/03/662030203.db2.gz PXVHIHAHQHOESD-MRXNPFEDSA-N 0 1 312.457 3.370 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(CCC)cc2)CC1 ZINC000949939098 662038374 /nfs/dbraw/zinc/03/83/74/662038374.db2.gz BLYUFRBDLMHIKM-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)Cc2ccccc2F)CC1 ZINC000950465954 662067930 /nfs/dbraw/zinc/06/79/30/662067930.db2.gz AMEQJZLHNUHZRG-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCn1cccc1C(=O)N(CC)C1CN(CC(CC)CC)C1 ZINC000950512452 662069389 /nfs/dbraw/zinc/06/93/89/662069389.db2.gz IWZWSPILOWKPDE-UHFFFAOYSA-N 0 1 317.477 3.257 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(Cl)c(F)c2)CC1 ZINC000950718745 662079842 /nfs/dbraw/zinc/07/98/42/662079842.db2.gz TXXFGEBYRCCGDY-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(C)c(OC)c2)C1 ZINC000950815297 662089743 /nfs/dbraw/zinc/08/97/43/662089743.db2.gz VGDJFRWPLSUNIT-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](c2ccccc2)C(C)C)CC1 ZINC000950821868 662090051 /nfs/dbraw/zinc/09/00/51/662090051.db2.gz VCLGXKYFMBXCHU-SFHVURJKSA-N 0 1 300.446 3.147 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)CC1 ZINC000951712094 662148467 /nfs/dbraw/zinc/14/84/67/662148467.db2.gz OQTJUDGDCSIDTO-DLBZAZTESA-N 0 1 318.848 3.164 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@]2(C)CCCc3ccccc32)CC1 ZINC000951762621 662150575 /nfs/dbraw/zinc/15/05/75/662150575.db2.gz GGCGEBRIJXDDCF-HXUWFJFHSA-N 0 1 312.457 3.001 20 30 DGEDMN C[C@H](NCc1ccccc1[N+](=O)[O-])c1ccc(OCC#N)cc1 ZINC000194619921 662170026 /nfs/dbraw/zinc/17/00/26/662170026.db2.gz IFOPECZGKYYOGW-ZDUSSCGKSA-N 0 1 311.341 3.348 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OCC(C)(C)CCC#N)[nH]n2)o1 ZINC000195041027 662176976 /nfs/dbraw/zinc/17/69/76/662176976.db2.gz OVORCZBSNSNNHX-UHFFFAOYSA-N 0 1 301.346 3.465 20 30 DGEDMN C[C@@H](ON=C(N)CN1CCCCCC1)c1ncc(C(C)(C)C)o1 ZINC000089126834 662237781 /nfs/dbraw/zinc/23/77/81/662237781.db2.gz TXLDSICOMRZTLI-CYBMUJFWSA-N 0 1 322.453 3.198 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000245944568 662245256 /nfs/dbraw/zinc/24/52/56/662245256.db2.gz UJNQNXLTRUXJCO-HWMZRRJGSA-N 0 1 316.445 3.361 20 30 DGEDMN COc1cccc(-c2nc(C)c(C(=O)O[C@H](C#N)C(C)C)[nH]2)c1 ZINC000091442668 662303071 /nfs/dbraw/zinc/30/30/71/662303071.db2.gz KRUQPFKZMRBZRX-CQSZACIVSA-N 0 1 313.357 3.099 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2C[C@H]2C2CCCC2)C1 ZINC000957059922 662346696 /nfs/dbraw/zinc/34/66/96/662346696.db2.gz WLFZGBBKOXBTGT-ZWKOTPCHSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)c2cc3ccccc3o2)CC1 ZINC000957125405 662352297 /nfs/dbraw/zinc/35/22/97/662352297.db2.gz TZALYHIPXQTYNT-OAHLLOKOSA-N 0 1 312.413 3.257 20 30 DGEDMN C=CCOc1ccc(CN2CCC(OC(C)=O)CC2)cc1Cl ZINC000093453743 662355221 /nfs/dbraw/zinc/35/52/21/662355221.db2.gz IZIVZRSPLUYDMR-UHFFFAOYSA-N 0 1 323.820 3.432 20 30 DGEDMN C#CCCOc1ccc(CN2CCc3ccccc3[C@@H]2CO)cc1 ZINC000093455502 662355257 /nfs/dbraw/zinc/35/52/57/662355257.db2.gz DYFHIBYOVHDOGA-NRFANRHFSA-N 0 1 321.420 3.180 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc[nH]c2C(C)C)[C@H]1C ZINC000986688773 685349784 /nfs/dbraw/zinc/34/97/84/685349784.db2.gz DEJNQVWQDPVZFS-JSGCOSHPSA-N 0 1 309.841 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)c(C)c2)[C@H]1C ZINC000986752379 685350363 /nfs/dbraw/zinc/35/03/63/685350363.db2.gz DYJDNUIQQBCYDR-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc3occc3c2)C1 ZINC000988873802 685356645 /nfs/dbraw/zinc/35/66/45/685356645.db2.gz CZJZIYYWZRSCSW-IUODEOHRSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc3occc3c2)C1 ZINC000988873801 685356796 /nfs/dbraw/zinc/35/67/96/685356796.db2.gz CZJZIYYWZRSCSW-DOMZBBRYSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)[C@H]1C ZINC000994239848 685359487 /nfs/dbraw/zinc/35/94/87/685359487.db2.gz LKRQEBBLZMYZOF-PBHICJAKSA-N 0 1 318.436 3.258 20 30 DGEDMN Cc1cc(O)ccc1NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160715776 685100038 /nfs/dbraw/zinc/10/00/38/685100038.db2.gz IADPUPBTNUXIGD-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C#C[C@](C)(CC)NCc1ccc(Br)c([N+](=O)[O-])c1 ZINC000384195465 685170359 /nfs/dbraw/zinc/17/03/59/685170359.db2.gz JCNZKCQYJKVBGW-CYBMUJFWSA-N 0 1 311.179 3.249 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C2=CCCC2)CN1Cc1cccc(C#N)c1 ZINC001071417522 686658374 /nfs/dbraw/zinc/65/83/74/686658374.db2.gz VVCVPANKHLOECO-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C2=CCCC2)CN1Cc1ccc(C#N)cc1 ZINC001071417419 686658420 /nfs/dbraw/zinc/65/84/20/686658420.db2.gz UIODUGMNSHLFNC-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cscc2C(F)F)CC[C@@H]1C ZINC001071510497 686672021 /nfs/dbraw/zinc/67/20/21/686672021.db2.gz CPLGHHCQYVVMCG-QWRGUYRKSA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)CC[C@H]1C ZINC001071513300 686672587 /nfs/dbraw/zinc/67/25/87/686672587.db2.gz DFKKTZOVSQDQLZ-GDBMZVCRSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3nccc(C)c3c2)CC[C@H]1C ZINC001071531138 686681105 /nfs/dbraw/zinc/68/11/05/686681105.db2.gz GWCOWCGKHGOWEQ-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(CCC)s2)CC[C@@H]1C ZINC001071542167 686684719 /nfs/dbraw/zinc/68/47/19/686684719.db2.gz DJQPMOSWEVPGEK-KBPBESRZSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccc(F)cc3)CC2)CC[C@@H]1C ZINC001071548817 686686797 /nfs/dbraw/zinc/68/67/97/686686797.db2.gz MBVJSWMTRFYEKP-WMLDXEAASA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cc(C)ccc3n2)CC[C@H]1C ZINC001071670376 686719351 /nfs/dbraw/zinc/71/93/51/686719351.db2.gz JSVJQAGUIHOQBI-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)[nH]c3ccccc32)CC[C@@H]1C ZINC001071670008 686719616 /nfs/dbraw/zinc/71/96/16/686719616.db2.gz DNJWREJFSOYCEA-GOEBONIOSA-N 0 1 323.440 3.082 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)onc2C2CC2)CC[C@H]1C ZINC001071695252 686724114 /nfs/dbraw/zinc/72/41/14/686724114.db2.gz OAZQNOSXMUHPRR-IUODEOHRSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@@H]1C ZINC001071701396 686727343 /nfs/dbraw/zinc/72/73/43/686727343.db2.gz IEZVGPNKEYNFLL-FUHWJXTLSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@H]1C ZINC001071735358 686737449 /nfs/dbraw/zinc/73/74/49/686737449.db2.gz KUWAKOIYVAWXPD-ZBFHGGJFSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)CC[C@@H]1C ZINC001071739864 686738104 /nfs/dbraw/zinc/73/81/04/686738104.db2.gz ZIQAJWKRNDRDQJ-GOEBONIOSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cccc(F)c2F)CC[C@@H]1C ZINC001071769789 686746653 /nfs/dbraw/zinc/74/66/53/686746653.db2.gz YUNLSXKUUKOGJM-QWHCGFSZSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(Cl)c2F)CC[C@H]1C ZINC001071783626 686753270 /nfs/dbraw/zinc/75/32/70/686753270.db2.gz RCAOPORVGGPYEW-VXGBXAGGSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2C)CC[C@H]1C ZINC001071792325 686754209 /nfs/dbraw/zinc/75/42/09/686754209.db2.gz HSASGKGZKDJTBE-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)cc2C)CC[C@H]1C ZINC001071789599 686754813 /nfs/dbraw/zinc/75/48/13/686754813.db2.gz WFHXQJHEJABKEL-GDBMZVCRSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@H]1C ZINC001071796203 686757045 /nfs/dbraw/zinc/75/70/45/686757045.db2.gz QKIUKABCTBAHPE-CHWSQXEVSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)CC[C@@H]1C ZINC001071824794 686762080 /nfs/dbraw/zinc/76/20/80/686762080.db2.gz LZNMWOOZDUXDAA-YOEHRIQHSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)cc2Cl)CC[C@H]1C ZINC001071836748 686766336 /nfs/dbraw/zinc/76/63/36/686766336.db2.gz WYURREIAQKEYHI-ZIAGYGMSSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)CC[C@H]1C ZINC001071867535 686775607 /nfs/dbraw/zinc/77/56/07/686775607.db2.gz BVEGQCAOJRLKBW-DOMZBBRYSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cccnc3c2)CC[C@@H]1C ZINC001071913858 686790574 /nfs/dbraw/zinc/79/05/74/686790574.db2.gz JNARSWXMQVXDQT-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc3sccc3c2)CC[C@@H]1C ZINC001071949938 686795466 /nfs/dbraw/zinc/79/54/66/686795466.db2.gz AXQXCLJPPOIBLZ-XJKSGUPXSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncsc2C(C)C)CC[C@@H]1C ZINC001071985037 686805602 /nfs/dbraw/zinc/80/56/02/686805602.db2.gz IVRPWAGRUCYVPT-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc(C3CCC3)c2)CC[C@H]1C ZINC001071988330 686807006 /nfs/dbraw/zinc/80/70/06/686807006.db2.gz IKEAQSRMWSRTBB-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)CC[C@@H]1C ZINC001072004817 686812519 /nfs/dbraw/zinc/81/25/19/686812519.db2.gz GMQNQSRGBAFFHA-WMLDXEAASA-N 0 1 318.436 3.258 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C(C)C)cc2)CC[C@@H]1C ZINC001072020425 686827988 /nfs/dbraw/zinc/82/79/88/686827988.db2.gz ZOXZHUULWOUDTP-QFBILLFUSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccsc2C(F)F)CC[C@H]1C ZINC001072033563 686833856 /nfs/dbraw/zinc/83/38/56/686833856.db2.gz NJWFZPKTLBLBIO-GHMZBOCLSA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(s2)CCC3)CC[C@@H]1C ZINC001072043984 686840704 /nfs/dbraw/zinc/84/07/04/686840704.db2.gz HUOIOMNALORDLH-JSGCOSHPSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)CC[C@H]1C ZINC001072045832 686841493 /nfs/dbraw/zinc/84/14/93/686841493.db2.gz UTWQVACEYZGVIL-HIFRSBDPSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c(s2)CCC3)CC[C@H]1C ZINC001072049793 686845303 /nfs/dbraw/zinc/84/53/03/686845303.db2.gz RATPKWSWMWARQT-HIFRSBDPSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(CC(C)C)cc2)CC[C@H]1C ZINC001072063634 686853122 /nfs/dbraw/zinc/85/31/22/686853122.db2.gz VPIFHOOEAFMCEO-VQIMIIECSA-N 0 1 312.457 3.101 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2C(C)C)CC[C@H]1C ZINC001072092677 686862164 /nfs/dbraw/zinc/86/21/64/686862164.db2.gz VTUROIFFUGFTEQ-HUUCEWRRSA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)CC[C@H]1C ZINC001072101151 686866903 /nfs/dbraw/zinc/86/69/03/686866903.db2.gz FBHURPZKCQMFIY-CVEARBPZSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)CC[C@H]1C ZINC001072113002 686873028 /nfs/dbraw/zinc/87/30/28/686873028.db2.gz LQVBTIMLVGSTBJ-WBVHZDCISA-N 0 1 323.440 3.450 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(C3CC3)n2)CC[C@@H]1C ZINC001072112555 686873332 /nfs/dbraw/zinc/87/33/32/686873332.db2.gz BQTRDQLVRIIRHW-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2coc3ccccc23)CC[C@@H]1C ZINC001072118934 686874922 /nfs/dbraw/zinc/87/49/22/686874922.db2.gz BHMFRMNJAOLZIO-LSDHHAIUSA-N 0 1 310.397 3.039 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCN(C[C@H](F)CC)C3)CC1 ZINC001072947174 686989563 /nfs/dbraw/zinc/98/95/63/686989563.db2.gz YAWUUOXHNJNDFU-MRXNPFEDSA-N 0 1 308.441 3.015 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3C[C@H](C)CC(C)(C)C3)C2)C1 ZINC001073062592 687007239 /nfs/dbraw/zinc/00/72/39/687007239.db2.gz HZTMPSAVEPXXJP-IRXDYDNUSA-N 0 1 316.489 3.006 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)CC(C)(C)C3CCCCC3)C2)C1 ZINC001073125938 687014582 /nfs/dbraw/zinc/01/45/82/687014582.db2.gz OWPJBJPXQVBSKE-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C(F)F)c(F)c3)[C@@H]2C1 ZINC001076238009 687498831 /nfs/dbraw/zinc/49/88/31/687498831.db2.gz LKHUAYGNBQFUKW-SWLSCSKDSA-N 0 1 324.346 3.096 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3ccc(Cl)s3)[C@@H]2C1 ZINC001076531445 687534470 /nfs/dbraw/zinc/53/44/70/687534470.db2.gz LRMJKDWOXHWRLF-NWDGAFQWSA-N 0 1 310.850 3.124 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3oc4ccccc4c3C)[C@@H]2C1 ZINC001076535233 687536289 /nfs/dbraw/zinc/53/62/89/687536289.db2.gz ASTWLRPEQXIRGY-GOEBONIOSA-N 0 1 310.397 3.074 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CCC(CCCC)CC3)[C@@H]2C1 ZINC001076537895 687537173 /nfs/dbraw/zinc/53/71/73/687537173.db2.gz LMWJEFSHYIYSQO-WZOJCFFYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc(CC)c(C)s3)[C@@H]2C1 ZINC001076547565 687537944 /nfs/dbraw/zinc/53/79/44/687537944.db2.gz AFUVUOKZDNZSDI-JKSUJKDBSA-N 0 1 318.486 3.341 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001076644824 687546708 /nfs/dbraw/zinc/54/67/08/687546708.db2.gz WZMKIXATYXCVLC-OKZBNKHCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001079813665 687891920 /nfs/dbraw/zinc/89/19/20/687891920.db2.gz XILWDUSDCDIDMG-FZKQIMNGSA-N 0 1 312.388 3.215 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001080238966 687934955 /nfs/dbraw/zinc/93/49/55/687934955.db2.gz GIZVTEFKHOUWLS-BDJLRTHQSA-N 0 1 317.820 3.057 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001080731917 688009677 /nfs/dbraw/zinc/00/96/77/688009677.db2.gz OZKVOQZCALBCRC-IIDMSEBBSA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2-c2ccccc2)C1 ZINC001080890597 688023799 /nfs/dbraw/zinc/02/37/99/688023799.db2.gz VBECPSIETRPVHQ-OXQOHEQNSA-N 0 1 318.420 3.037 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001080909723 688026701 /nfs/dbraw/zinc/02/67/01/688026701.db2.gz VPLRQKNWJIXEGU-IUODEOHRSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cscc2C(F)(F)F)C1 ZINC001081058527 688044165 /nfs/dbraw/zinc/04/41/65/688044165.db2.gz FTPJJVVMPAUFFN-BXKDBHETSA-N 0 1 318.364 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC=CCCC2)C[C@H]1C ZINC001082588147 688120190 /nfs/dbraw/zinc/12/01/90/688120190.db2.gz NFPQPUJABDFKMM-FVQBIDKESA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCC1(C(=O)NCCCN(C)C/C=C/Cl)CCCCC1 ZINC001660414293 1196481303 /nfs/dbraw/zinc/48/13/03/1196481303.db2.gz UPVCOMMVIGXMFB-KPKJPENVSA-N 0 1 310.869 3.151 20 30 DGEDMN CN(CCC#N)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001583558212 1192150504 /nfs/dbraw/zinc/15/05/04/1192150504.db2.gz FITAHYIBRAAXED-UHFFFAOYSA-N 0 1 304.353 3.216 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3conc3C3CCCC3)[nH]c2c1 ZINC001586346287 1192323886 /nfs/dbraw/zinc/32/38/86/1192323886.db2.gz RFWNKILSVXITLK-UHFFFAOYSA-N 0 1 321.340 3.332 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ccc(-c3nn[nH]n3)cc2Cl)C1 ZINC001588561046 1192449972 /nfs/dbraw/zinc/44/99/72/1192449972.db2.gz WWQKPEARKVABKV-GFCCVEGCSA-N 0 1 317.824 3.308 20 30 DGEDMN CC(C)Cc1nc(-c2nn[nH]n2)c(N=NCC2CCCCC2)o1 ZINC001589664823 1192470776 /nfs/dbraw/zinc/47/07/76/1192470776.db2.gz XZANCDXPHLMSEK-UHFFFAOYSA-N 0 1 317.397 3.031 20 30 DGEDMN CC[C@H](NCC1(C#N)CCCCC1)c1ccc(-c2nn[nH]n2)cc1 ZINC001590464886 1192510137 /nfs/dbraw/zinc/51/01/37/1192510137.db2.gz QZRBNSRQAGERHX-INIZCTEOSA-N 0 1 324.432 3.381 20 30 DGEDMN C#CC[C@@H](CO)NCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC001620948311 1192643299 /nfs/dbraw/zinc/64/32/99/1192643299.db2.gz BMQYPZCLWCSETQ-AWEZNQCLSA-N 0 1 323.314 3.439 20 30 DGEDMN CCc1ccccc1OCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595733520 1192667017 /nfs/dbraw/zinc/66/70/17/1192667017.db2.gz DGPLMWPYCRYPIV-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C#Cc1cccc(CNCc2c(C)nn(-c3ccccn3)c2C)c1 ZINC001620970227 1192706764 /nfs/dbraw/zinc/70/67/64/1192706764.db2.gz GJENWRWQTZQIAS-UHFFFAOYSA-N 0 1 316.408 3.155 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccsc1Cl ZINC001691794025 1176059933 /nfs/dbraw/zinc/05/99/33/1176059933.db2.gz MKYACXSBWZNTGR-ZDUSSCGKSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1coc2cc(C)ccc12 ZINC001670720044 1176232741 /nfs/dbraw/zinc/23/27/41/1176232741.db2.gz YQHNZOZTYWXXJJ-INIZCTEOSA-N 0 1 312.413 3.050 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001211509022 1176249616 /nfs/dbraw/zinc/24/96/16/1176249616.db2.gz VIWSYDOXBCASPD-SJLPKXTDSA-N 0 1 312.457 3.022 20 30 DGEDMN C=CCCCN1CC[C@@H]1CN(C)C(=O)c1cc(COC)cs1 ZINC001691050970 1176277453 /nfs/dbraw/zinc/27/74/53/1176277453.db2.gz TVMLJIOOQDELIL-OAHLLOKOSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](CC)c1ccccc1 ZINC001691068708 1176291588 /nfs/dbraw/zinc/29/15/88/1176291588.db2.gz PRPGSCIEZVMNGS-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001691082340 1176300644 /nfs/dbraw/zinc/30/06/44/1176300644.db2.gz IHYZSPFSWMSSEA-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cccc(O)c1F ZINC001597432782 1192733124 /nfs/dbraw/zinc/73/31/24/1192733124.db2.gz RHYVRLVCOJGRDR-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001691177948 1176363200 /nfs/dbraw/zinc/36/32/00/1176363200.db2.gz UMDXDSLAPIFKFO-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN C#Cc1ccc(C(=O)NCCN(Cc2ccsc2)C2CC2)cc1 ZINC001691219544 1176396258 /nfs/dbraw/zinc/39/62/58/1176396258.db2.gz JJOQYETURHJZMN-UHFFFAOYSA-N 0 1 324.449 3.124 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CCCC)C2)C1 ZINC001725059850 1176417535 /nfs/dbraw/zinc/41/75/35/1176417535.db2.gz PSIUEZVLRBGGQS-JKSUJKDBSA-N 0 1 312.885 3.490 20 30 DGEDMN CC[C@H](F)CN(C)C[C@@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001691784601 1176441054 /nfs/dbraw/zinc/44/10/54/1176441054.db2.gz IEBRUVBZLFSFMW-HOTGVXAUSA-N 0 1 310.457 3.097 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001691416365 1176475619 /nfs/dbraw/zinc/47/56/19/1176475619.db2.gz ILABGFOJKNFMNR-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCc1ccc(C)s1 ZINC001691420154 1176477299 /nfs/dbraw/zinc/47/72/99/1176477299.db2.gz RRGMGROORPKTQT-HNNXBMFYSA-N 0 1 306.475 3.098 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1[C@@H]2CCCN(CCF)[C@@H]2C1(C)C ZINC001691427502 1176512068 /nfs/dbraw/zinc/51/20/68/1176512068.db2.gz ATGWKOGNIMOXAZ-JQHSSLGASA-N 0 1 322.468 3.145 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc(C)cc2C)C1 ZINC001692216965 1176538042 /nfs/dbraw/zinc/53/80/42/1176538042.db2.gz CSYBSVDHOVBTQK-UHFFFAOYSA-N 0 1 300.446 3.003 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001754567154 1176607314 /nfs/dbraw/zinc/60/73/14/1176607314.db2.gz HAYVOJKBCWUUSX-OOYWPUHTSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(Cl)[nH]1)C(C)(C)C ZINC001725745329 1176607910 /nfs/dbraw/zinc/60/79/10/1176607910.db2.gz APRAODDJUFNJOM-LLVKDONJSA-N 0 1 318.248 3.155 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H]1CCC[C@H]1C ZINC001731309519 1176612846 /nfs/dbraw/zinc/61/28/46/1176612846.db2.gz RARQPCRKTLNBEG-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1ccc(C)c(C)c1 ZINC001671379663 1176838514 /nfs/dbraw/zinc/83/85/14/1176838514.db2.gz WFIAZFVXISQWMT-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1ccc(C)c(C)c1 ZINC001671379664 1176838581 /nfs/dbraw/zinc/83/85/81/1176838581.db2.gz WFIAZFVXISQWMT-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c(C)cccc1Cl ZINC001755197021 1176848151 /nfs/dbraw/zinc/84/81/51/1176848151.db2.gz FCJGJMKITGSYBU-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CCC)c2ccccc2)[C@H]1CC ZINC001691513141 1177047955 /nfs/dbraw/zinc/04/79/55/1177047955.db2.gz DTYOKSYDIGFLQQ-CEXWTWQISA-N 0 1 312.457 3.173 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@@H]21 ZINC001600075184 1192787229 /nfs/dbraw/zinc/78/72/29/1192787229.db2.gz LHMPIURALIMIKJ-BZSNNMDCSA-N 0 1 312.413 3.332 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC(C1CCC1)C1CCC1 ZINC001752822265 1177204328 /nfs/dbraw/zinc/20/43/28/1177204328.db2.gz CVPKWTYDYIZMOW-SFHVURJKSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCCCC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001670836799 1177218952 /nfs/dbraw/zinc/21/89/52/1177218952.db2.gz ISQGERLDIKDYBD-UHFFFAOYSA-N 0 1 316.420 3.066 20 30 DGEDMN C=CCCC[C@@H](NC(=O)NC[C@H]1CCN1CC)c1ccccc1 ZINC001687421538 1177268008 /nfs/dbraw/zinc/26/80/08/1177268008.db2.gz MFBWQGKTGJJLJU-QZTJIDSGSA-N 0 1 315.461 3.477 20 30 DGEDMN CC/C=C(/C)C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001671398613 1177543535 /nfs/dbraw/zinc/54/35/35/1177543535.db2.gz NNUKDBZGJYZRER-NVMNQCDNSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCCc2ccccc21 ZINC001691674873 1177674471 /nfs/dbraw/zinc/67/44/71/1177674471.db2.gz NTOQSMVWPJOOEQ-ZWKOTPCHSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2C[C@H](C)OC)CCCCC1 ZINC001691677294 1177678697 /nfs/dbraw/zinc/67/86/97/1177678697.db2.gz APWOSRYNJLRUTL-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001691712503 1177879613 /nfs/dbraw/zinc/87/96/13/1177879613.db2.gz XYCUFWQQBFXVFG-LDPPBKJKSA-N 0 1 323.440 3.160 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cccc(F)c2F)C1 ZINC001691860649 1177889032 /nfs/dbraw/zinc/88/90/32/1177889032.db2.gz JJDHLGCCCSVGAF-CQSZACIVSA-N 0 1 322.399 3.467 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCN(CC#CC)[C@@H]2C)CCCCC1 ZINC001691735738 1177961516 /nfs/dbraw/zinc/96/15/16/1177961516.db2.gz VWEDJOPJNYEPBM-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3cccnc32)C1 ZINC001671144967 1178047566 /nfs/dbraw/zinc/04/75/66/1178047566.db2.gz QYKDMPHFWBUERF-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001331407521 1178205773 /nfs/dbraw/zinc/20/57/73/1178205773.db2.gz RSKUVSUVYWOJNT-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cc(O)ccc1Cl ZINC001331530979 1178235346 /nfs/dbraw/zinc/23/53/46/1178235346.db2.gz CCCSSAJIPFORKK-GFCCVEGCSA-N 0 1 324.852 3.194 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001331545045 1178240757 /nfs/dbraw/zinc/24/07/57/1178240757.db2.gz DKEGLGZHOOFWNV-DNVCBOLYSA-N 0 1 313.445 3.097 20 30 DGEDMN C[C@@H](NC[C@@H](O)CC1(C#N)CC1)c1nc(C(C)(C)C)cs1 ZINC001331730165 1178275148 /nfs/dbraw/zinc/27/51/48/1178275148.db2.gz KRAKZIDKPJYXSZ-NEPJUHHUSA-N 0 1 307.463 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCC(F)(F)F)[C@H]1C ZINC001331918012 1178307393 /nfs/dbraw/zinc/30/73/93/1178307393.db2.gz JZHMPKADTIJZCX-WDEREUQCSA-N 0 1 312.763 3.051 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2oc(C(C)C)nc2C)C[C@@H]1C ZINC001331962075 1178320489 /nfs/dbraw/zinc/32/04/89/1178320489.db2.gz ZVUHKUYMYCBZIN-DZGCQCFKSA-N 0 1 319.449 3.152 20 30 DGEDMN Cc1cccc2c1C[N@H+]([C@@H](C(=O)[O-])c1ccc(C#N)cc1)CC2 ZINC001600556742 1192873620 /nfs/dbraw/zinc/87/36/20/1192873620.db2.gz OJLIAXLRGJAGEK-GOSISDBHSA-N 0 1 306.365 3.051 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C\Cc2ccccc2)[C@H]1C ZINC001332184528 1178385278 /nfs/dbraw/zinc/38/52/78/1178385278.db2.gz JAKVWXNRXWTKPV-VUNJVDIESA-N 0 1 324.468 3.168 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001332200492 1178390317 /nfs/dbraw/zinc/39/03/17/1178390317.db2.gz UDLGNFRMPPKZNE-NVXWUHKLSA-N 0 1 318.486 3.411 20 30 DGEDMN C#C[C@H](NCc1cc2ccnc(Cl)c2s1)[C@@H]1CCCO1 ZINC001332737877 1178488516 /nfs/dbraw/zinc/48/85/16/1178488516.db2.gz WWSWEWJJNVLTIJ-STQMWFEESA-N 0 1 306.818 3.220 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CN[C@H](C)c1cnccn1)C(C)(C)C ZINC001332811769 1178501807 /nfs/dbraw/zinc/50/18/07/1178501807.db2.gz WVPUUCJZYIZAHL-GDBMZVCRSA-N 0 1 318.465 3.014 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)c1nc([C@H]2CN3CCC2CC3)no1 ZINC001332944108 1178526610 /nfs/dbraw/zinc/52/66/10/1178526610.db2.gz CRPIGEYMWFVXSZ-GUYCJALGSA-N 0 1 322.412 3.097 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)c1nc([C@H]2CN3CCC2CC3)no1 ZINC001332944105 1178526640 /nfs/dbraw/zinc/52/66/40/1178526640.db2.gz CRPIGEYMWFVXSZ-DYVFJYSZSA-N 0 1 322.412 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001333053059 1178547274 /nfs/dbraw/zinc/54/72/74/1178547274.db2.gz DBTUGZZFIKTZCW-NVXWUHKLSA-N 0 1 304.409 3.289 20 30 DGEDMN C#CC[C@@H]1CCC[N@@H+](Cc2ccc(Br)cc2[O-])C1 ZINC001333944488 1178699068 /nfs/dbraw/zinc/69/90/68/1178699068.db2.gz GXBVGTVADCHIBO-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2ccc(Br)cc2[O-])C1 ZINC001333944488 1178699073 /nfs/dbraw/zinc/69/90/73/1178699073.db2.gz GXBVGTVADCHIBO-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN Cc1cccc(NC[C@H]2CCCN2CC#Cc2ccccc2)n1 ZINC001334097673 1178720036 /nfs/dbraw/zinc/72/00/36/1178720036.db2.gz OQAGTFKYKNEKHC-LJQANCHMSA-N 0 1 305.425 3.318 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1cnn(C2CCC2)c1 ZINC001334376409 1178755452 /nfs/dbraw/zinc/75/54/52/1178755452.db2.gz ANXPQRZSNXYWHG-CQSZACIVSA-N 0 1 310.401 3.361 20 30 DGEDMN N#CC1(CCCC[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@H]32)CCC1 ZINC001601054827 1192914341 /nfs/dbraw/zinc/91/43/41/1192914341.db2.gz SUXBECQBKMBPRM-OWCLPIDISA-N 0 1 304.434 3.426 20 30 DGEDMN N#C[C@@H]1CCC[N@@H+]([C@@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059583 1192915111 /nfs/dbraw/zinc/91/51/11/1192915111.db2.gz HTPKUFNVRYLEMS-GXFFZTMASA-N 0 1 312.291 3.067 20 30 DGEDMN N#C[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059583 1192915113 /nfs/dbraw/zinc/91/51/13/1192915113.db2.gz HTPKUFNVRYLEMS-GXFFZTMASA-N 0 1 312.291 3.067 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](C[C@H]1CCCC[C@H]1C(=O)[O-])C2 ZINC001601077554 1192916704 /nfs/dbraw/zinc/91/67/04/1192916704.db2.gz FSOSCTAGQUEZOA-SJLPKXTDSA-N 0 1 312.413 3.002 20 30 DGEDMN N#Cc1ccc(C2CCN(c3cc(C(=O)[O-])cc[nH+]3)CC2)cc1 ZINC001601098721 1192918319 /nfs/dbraw/zinc/91/83/19/1192918319.db2.gz XMTJXIZUQJQYQZ-UHFFFAOYSA-N 0 1 307.353 3.035 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)c(F)c1 ZINC001601100193 1192918459 /nfs/dbraw/zinc/91/84/59/1192918459.db2.gz HZPGYHRHONFRFE-YQQAZPJKSA-N 0 1 316.376 3.163 20 30 DGEDMN C#C[C@H](NCCC(=O)Nc1ccc(N(C)C)cc1)c1ccccc1 ZINC001335442939 1178911435 /nfs/dbraw/zinc/91/14/35/1178911435.db2.gz BUAAMMOVQREDEN-IBGZPJMESA-N 0 1 321.424 3.045 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)c1 ZINC001341940082 1179083654 /nfs/dbraw/zinc/08/36/54/1179083654.db2.gz BRAYXCHSKMOZQM-NJNCZSALSA-N 0 1 310.397 3.325 20 30 DGEDMN CCCCCCCNC(=O)[C@H](N)Cc1ccc2cc[nH]c2c1 ZINC001342198806 1179115625 /nfs/dbraw/zinc/11/56/25/1179115625.db2.gz GQTMKYPKOKUZFC-MRXNPFEDSA-N 0 1 301.434 3.124 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N(C)CC1CC1 ZINC001342529179 1179150226 /nfs/dbraw/zinc/15/02/26/1179150226.db2.gz OIFSJSVXKYWOBM-UHFFFAOYSA-N 0 1 322.416 3.244 20 30 DGEDMN N#CC(C(=O)c1sccc1OC(F)F)c1cccc(F)n1 ZINC001342558878 1179153712 /nfs/dbraw/zinc/15/37/12/1179153712.db2.gz QXSACBMQAGVDRU-ZETCQYMHSA-N 0 1 312.272 3.374 20 30 DGEDMN N#C[C@H](C(=O)c1sccc1OC(F)F)c1cccc(F)n1 ZINC001342558878 1179153714 /nfs/dbraw/zinc/15/37/14/1179153714.db2.gz QXSACBMQAGVDRU-ZETCQYMHSA-N 0 1 312.272 3.374 20 30 DGEDMN N#CC(C(=O)Cc1ccc(-n2cccc2)cc1)c1cccc(F)n1 ZINC001342639311 1179164940 /nfs/dbraw/zinc/16/49/40/1179164940.db2.gz FOFVKNGIEHBNQJ-MRXNPFEDSA-N 0 1 319.339 3.430 20 30 DGEDMN N#CC(C(=O)Cc1cccc(Cl)c1F)c1cccc(F)n1 ZINC001342694156 1179175054 /nfs/dbraw/zinc/17/50/54/1179175054.db2.gz OZPSHKYZALRRPX-JTQLQIEISA-N 0 1 306.699 3.432 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC2(CCC2)CO1)c1cccc(F)n1 ZINC001343407211 1179268865 /nfs/dbraw/zinc/26/88/65/1179268865.db2.gz ZFOJDZQRTYLSKP-CHWSQXEVSA-N 0 1 302.349 3.136 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2ccccc2F)CCCC1 ZINC001343716962 1179322160 /nfs/dbraw/zinc/32/21/60/1179322160.db2.gz MRQJKJZOENMIOE-CYBMUJFWSA-N 0 1 311.360 3.247 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)[C@H]2CCC[C@H]21 ZINC001601827664 1192947796 /nfs/dbraw/zinc/94/77/96/1192947796.db2.gz YQCIFQWNIYIBFN-BBWFWOEESA-N 0 1 317.816 3.267 20 30 DGEDMN N#Cc1cc([N+](=O)[O-])cnc1Nc1ccn(C2CCCCC2)n1 ZINC001343782316 1179331307 /nfs/dbraw/zinc/33/13/07/1179331307.db2.gz CRSCMOUAPJSEJQ-UHFFFAOYSA-N 0 1 312.333 3.307 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c[nH]c2cc(C)ccc12 ZINC001344325812 1179414191 /nfs/dbraw/zinc/41/41/91/1179414191.db2.gz NDJDWGRGWBEVSY-OAHLLOKOSA-N 0 1 306.369 3.112 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1CCC(C)C ZINC001344597509 1179456753 /nfs/dbraw/zinc/45/67/53/1179456753.db2.gz WBVPSBVNGABWLR-CYBMUJFWSA-N 0 1 314.364 3.262 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1CCC(C)C ZINC001344597510 1179456798 /nfs/dbraw/zinc/45/67/98/1179456798.db2.gz WBVPSBVNGABWLR-ZDUSSCGKSA-N 0 1 314.364 3.262 20 30 DGEDMN N#C[C@H]1CC[C@@H](OC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)C1 ZINC001344623461 1179460342 /nfs/dbraw/zinc/46/03/42/1179460342.db2.gz ZBGBFKQCVWNBAU-XHDPSFHLSA-N 0 1 322.368 3.198 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)c1 ZINC001344870183 1179488671 /nfs/dbraw/zinc/48/86/71/1179488671.db2.gz UHSLZMUFPKAPEP-WNRNVDISSA-N 0 1 324.424 3.146 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1cnn(CC2CCC2)c1 ZINC001345119563 1179528586 /nfs/dbraw/zinc/52/85/86/1179528586.db2.gz ATLWBAMMZAKICK-HNNXBMFYSA-N 0 1 324.428 3.436 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccc(Cl)cc2)CC1 ZINC001347337332 1179797650 /nfs/dbraw/zinc/79/76/50/1179797650.db2.gz HBBQEZBAEQLIIX-CQSZACIVSA-N 0 1 313.788 3.464 20 30 DGEDMN CC(C)C#CC(=O)Nc1ccc2nc(CN3CCCCC3)[nH]c2c1 ZINC001347394566 1179803231 /nfs/dbraw/zinc/80/32/31/1179803231.db2.gz KESNVNOTRKESAI-UHFFFAOYSA-N 0 1 324.428 3.147 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CCCc2ccccc21 ZINC001349702407 1179935472 /nfs/dbraw/zinc/93/54/72/1179935472.db2.gz OWODSUKKWBPYLM-ZIAGYGMSSA-N 0 1 313.788 3.370 20 30 DGEDMN C#CCCCCC[N@@H+]1CCC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001602705077 1192995079 /nfs/dbraw/zinc/99/50/79/1192995079.db2.gz FJCCCQGBIWIDIS-CYBMUJFWSA-N 0 1 306.431 3.214 20 30 DGEDMN C#CCCCCCC[N@H+](C)[C@@H](C)c1cccc(-c2nn[nH]n2)c1 ZINC001602711406 1192995215 /nfs/dbraw/zinc/99/52/15/1192995215.db2.gz STKVHSOAXZNRFX-HNNXBMFYSA-N 0 1 311.433 3.443 20 30 DGEDMN C=CC[C@@H]1CC[N@@H+]1Cc1cc(C(=O)[O-])ccc1Br ZINC001602855467 1192999996 /nfs/dbraw/zinc/99/99/96/1192999996.db2.gz RGINNLARMARGFX-GFCCVEGCSA-N 0 1 310.191 3.298 20 30 DGEDMN Cc1nccn1-c1ccncc1NC(=O)CC1(C#N)CCCCC1 ZINC001350722690 1180111081 /nfs/dbraw/zinc/11/10/81/1180111081.db2.gz ZLNDBEBXQDAYKZ-UHFFFAOYSA-N 0 1 323.400 3.378 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H](c3nc4ccccc4[nH]3)C2)c1 ZINC001351151631 1180179567 /nfs/dbraw/zinc/17/95/67/1180179567.db2.gz VEPOQZSOIFKXGL-INIZCTEOSA-N 0 1 317.396 3.209 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1sccc1Cl ZINC001480459965 1180517060 /nfs/dbraw/zinc/51/70/60/1180517060.db2.gz RUOQJOFDUUKMTB-NSHDSACASA-N 0 1 312.866 3.045 20 30 DGEDMN CC(C)[N@H+](Cc1nc(C(=O)[O-])cs1)Cc1ccc(C#N)cc1 ZINC001603883191 1193035760 /nfs/dbraw/zinc/03/57/60/1193035760.db2.gz PFLDMJSEHBACBD-UHFFFAOYSA-N 0 1 315.398 3.124 20 30 DGEDMN CC(C)[N@@H+](Cc1nc(C(=O)[O-])cs1)Cc1ccc(C#N)cc1 ZINC001603883191 1193035762 /nfs/dbraw/zinc/03/57/62/1193035762.db2.gz PFLDMJSEHBACBD-UHFFFAOYSA-N 0 1 315.398 3.124 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1cc(C#N)cs1)Cc1ccccc1)C(=O)[O-] ZINC001604165477 1193056049 /nfs/dbraw/zinc/05/60/49/1193056049.db2.gz FQWXZNKJUXNWRS-ZDUSSCGKSA-N 0 1 314.410 3.343 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100623613 1180860626 /nfs/dbraw/zinc/86/06/26/1180860626.db2.gz XHDGPOHCZLYDDC-WQLSENKSSA-N 0 1 305.249 3.146 20 30 DGEDMN CCCCCCC[C@H](C)CNc1cc(C)[nH+]cc1-c1nnn[n-]1 ZINC001604175224 1193058131 /nfs/dbraw/zinc/05/81/31/1193058131.db2.gz CTPBPOUXLHYEFI-ZDUSSCGKSA-N 0 1 316.453 3.401 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001200794698 1180914166 /nfs/dbraw/zinc/91/41/66/1180914166.db2.gz MLGKVYUMQYRAPK-AWEZNQCLSA-N 0 1 319.449 3.227 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001604980988 1193102908 /nfs/dbraw/zinc/10/29/08/1193102908.db2.gz QUAMVAKLXIZVFV-JOYOIKCWSA-N 0 1 301.173 3.401 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NCc1n[nH]cc1Br ZINC001474576111 1181592483 /nfs/dbraw/zinc/59/24/83/1181592483.db2.gz IZUGRXHKVRIMDR-ZDUSSCGKSA-N 0 1 320.234 3.449 20 30 DGEDMN Cc1cc(C(=O)Nc2ccn(-c3ccncc3)n2)cc(C)c1C#N ZINC001360384388 1181620276 /nfs/dbraw/zinc/62/02/76/1181620276.db2.gz VRKJSPQBHCVTBM-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2c(F)ccc3ccncc32)c1 ZINC001447055884 1182182864 /nfs/dbraw/zinc/18/28/64/1182182864.db2.gz BPELBUJHZCXAIR-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1cccc(O)c1Br ZINC001449931016 1182237129 /nfs/dbraw/zinc/23/71/29/1182237129.db2.gz ASYXSAIKCWSUDL-UHFFFAOYSA-N 0 1 321.130 3.180 20 30 DGEDMN C=CCCONC(=O)Cc1coc(-c2ccc(Cl)cc2)n1 ZINC001454240091 1182333711 /nfs/dbraw/zinc/33/37/11/1182333711.db2.gz OKPOCPVLPAFIGB-UHFFFAOYSA-N 0 1 306.749 3.161 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@@H]2c2cccc(F)c2F)c1 ZINC001454682562 1182350501 /nfs/dbraw/zinc/35/05/01/1182350501.db2.gz MBHXVUIIBHXYOW-KGLIPLIRSA-N 0 1 314.291 3.050 20 30 DGEDMN CC[N@@H+](CCc1cccs1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001605453134 1193152301 /nfs/dbraw/zinc/15/23/01/1193152301.db2.gz DRKVKCQVVYNYOH-MRXNPFEDSA-N 0 1 314.410 3.310 20 30 DGEDMN C=C(C)CN(CCCC(=O)OCC)Cc1cnn(C(C)C)c1 ZINC001460089996 1182649521 /nfs/dbraw/zinc/64/95/21/1182649521.db2.gz KUVFAMZIAOOFQX-UHFFFAOYSA-N 0 1 307.438 3.185 20 30 DGEDMN N#Cc1ccc2c(c1)CCCN2Cc1cc(O)ccc1[N+](=O)[O-] ZINC001460170176 1182658480 /nfs/dbraw/zinc/65/84/80/1182658480.db2.gz HFIRMYRGZJBUHI-UHFFFAOYSA-N 0 1 309.325 3.125 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2ccc(C(F)(F)F)cc2)CC1 ZINC001460289556 1182673168 /nfs/dbraw/zinc/67/31/68/1182673168.db2.gz LFKLRHVWWRVJTA-GFCCVEGCSA-N 0 1 324.346 3.378 20 30 DGEDMN C[C@H]1CN(CCOc2cccc(C#N)c2)CC2(CCCCC2)O1 ZINC001460319895 1182677480 /nfs/dbraw/zinc/67/74/80/1182677480.db2.gz ZCUVSCHCEWGWOI-INIZCTEOSA-N 0 1 314.429 3.361 20 30 DGEDMN N#Cc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001460697859 1182710093 /nfs/dbraw/zinc/71/00/93/1182710093.db2.gz XTSMVGSJMULIJG-LJQANCHMSA-N 0 1 302.381 3.286 20 30 DGEDMN CCC#C[C@H](C)N1CC[C@H](c2nc(C)c(C(=O)OCC)s2)C1 ZINC001460719537 1182712910 /nfs/dbraw/zinc/71/29/10/1182712910.db2.gz QUBDZYLBWQIRIH-JSGCOSHPSA-N 0 1 320.458 3.219 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)c2nc(Cc3ccc(F)cc3)no2)C1 ZINC001460755698 1182718422 /nfs/dbraw/zinc/71/84/22/1182718422.db2.gz DDMBSJZUBXTWJT-DZGCQCFKSA-N 0 1 313.376 3.206 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001460886692 1182735817 /nfs/dbraw/zinc/73/58/17/1182735817.db2.gz PEASVLXDUBRMMS-AWEZNQCLSA-N 0 1 318.417 3.031 20 30 DGEDMN Cc1nn(-c2cccc(F)c2)cc1CNCc1cccc(C#N)c1 ZINC001461029413 1182758117 /nfs/dbraw/zinc/75/81/17/1182758117.db2.gz GNHCNGRBLRTWPB-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC001461303028 1182793793 /nfs/dbraw/zinc/79/37/93/1182793793.db2.gz ZTBBHRDWHKBWFY-GVDBMIGSSA-N 0 1 300.446 3.463 20 30 DGEDMN N#Cc1ccc(CCNC(=O)c2n[nH]c3ccc(Cl)cc32)cc1 ZINC001461526138 1182823483 /nfs/dbraw/zinc/82/34/83/1182823483.db2.gz CMSFDIHKZJEZOM-UHFFFAOYSA-N 0 1 324.771 3.060 20 30 DGEDMN C[C@@H]1CC[C@@](CO)(NCc2cc(C#N)cs2)c2ccccc21 ZINC001461819797 1182861861 /nfs/dbraw/zinc/86/18/61/1182861861.db2.gz KYSOWDLHDGXXOC-ACJLOTCBSA-N 0 1 312.438 3.494 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccnc4ccccc43)[nH]c2c1 ZINC001461916785 1182876560 /nfs/dbraw/zinc/87/65/60/1182876560.db2.gz HMZMJIQILZEIES-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN Cc1cc(C)cc(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001461920360 1182876824 /nfs/dbraw/zinc/87/68/24/1182876824.db2.gz MCQXCKPKWPKWLB-UHFFFAOYSA-N 0 1 304.353 3.233 20 30 DGEDMN COc1nc(CN(Cc2ccc(C#N)cc2)C2CC2)ccc1C ZINC001461995023 1182892262 /nfs/dbraw/zinc/89/22/62/1182892262.db2.gz DOHIBBXXMSLEMA-UHFFFAOYSA-N 0 1 307.397 3.435 20 30 DGEDMN C[N@@H+](Cc1cc(F)cc(F)c1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001606147147 1193191593 /nfs/dbraw/zinc/19/15/93/1193191593.db2.gz NEFYKPZRDNZBCO-MRXNPFEDSA-N 0 1 316.307 3.094 20 30 DGEDMN C=CC(C)(C)CCNS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC001464142416 1183072638 /nfs/dbraw/zinc/07/26/38/1183072638.db2.gz HDCBEERVBWBPFF-UHFFFAOYSA-N 0 1 323.792 3.499 20 30 DGEDMN C=CCC[C@@H](NC(=O)NC[C@H]1CCN1CC)c1ccccc1 ZINC001469470271 1183411965 /nfs/dbraw/zinc/41/19/65/1183411965.db2.gz XCNKEIDTTYSOGE-IAGOWNOFSA-N 0 1 301.434 3.087 20 30 DGEDMN N#Cc1ccc2[nH]c(NC(=O)c3ccc(O)c(Cl)c3)nc2c1 ZINC001470718746 1183507503 /nfs/dbraw/zinc/50/75/03/1183507503.db2.gz CORWEMSZSBPOHZ-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC001470877097 1183520538 /nfs/dbraw/zinc/52/05/38/1183520538.db2.gz APDAUJJJYJLHGA-KRWDZBQOSA-N 0 1 315.461 3.305 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cc(-c2ccccc2OCC)[nH]n1 ZINC001472290329 1183631940 /nfs/dbraw/zinc/63/19/40/1183631940.db2.gz JDUGSVCTAJQKHI-CQSZACIVSA-N 0 1 323.396 3.103 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)c1n[nH]c2ccccc21 ZINC001472324448 1183635290 /nfs/dbraw/zinc/63/52/90/1183635290.db2.gz MISDPCFDFSZRNU-CQSZACIVSA-N 0 1 317.433 3.404 20 30 DGEDMN CC[C@@H]1CCCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635721 1183659341 /nfs/dbraw/zinc/65/93/41/1183659341.db2.gz NWNRZQNBIFMCTC-HIFRSBDPSA-N 0 1 323.400 3.498 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2cc(Br)ccc2C#N)[nH]n1 ZINC001472730963 1183673513 /nfs/dbraw/zinc/67/35/13/1183673513.db2.gz MQMPDDYUKJJHCV-VIFPVBQESA-N 0 1 319.206 3.395 20 30 DGEDMN CN1CCN(c2cc(F)ccc2C#N)C[C@@H]1Cc1ccccc1 ZINC001472779547 1183680615 /nfs/dbraw/zinc/68/06/15/1183680615.db2.gz GHFLHHQQCVFSKO-SFHVURJKSA-N 0 1 309.388 3.060 20 30 DGEDMN Cc1cc(NCc2cc(Cl)cc(Cl)c2O)c(C#N)cn1 ZINC001472798761 1183682477 /nfs/dbraw/zinc/68/24/77/1183682477.db2.gz WLDSAIZCXSVZPM-UHFFFAOYSA-N 0 1 308.168 3.308 20 30 DGEDMN C#C[C@@H](Nc1nc(Cl)nc2[nH]cnc21)c1ccc(Cl)cc1 ZINC001472800716 1183683141 /nfs/dbraw/zinc/68/31/41/1183683141.db2.gz UNMLYGPIRGYWBD-SNVBAGLBSA-N 0 1 318.167 3.446 20 30 DGEDMN CN1CCN(c2cccc(F)c2C#N)[C@H](Cc2ccccc2)C1 ZINC001472823654 1183686321 /nfs/dbraw/zinc/68/63/21/1183686321.db2.gz HPGQHOZCFVGYDC-MRXNPFEDSA-N 0 1 309.388 3.060 20 30 DGEDMN CCC#C[C@@H](C)Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC001473010154 1183707224 /nfs/dbraw/zinc/70/72/24/1183707224.db2.gz NGPVTHMWKRQKFC-LLVKDONJSA-N 0 1 315.398 3.137 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)CCCc2ccccc2)CC1 ZINC001473144731 1183721945 /nfs/dbraw/zinc/72/19/45/1183721945.db2.gz YHAVCYUKNAESBA-QGZVFWFLSA-N 0 1 300.446 3.118 20 30 DGEDMN Cc1cc(C)cc([C@H]([NH2+]CC#Cc2ccc(F)cc2)C(=O)[O-])c1 ZINC001607625918 1193242367 /nfs/dbraw/zinc/24/23/67/1193242367.db2.gz BSTDUTDCUSSJRW-SFHVURJKSA-N 0 1 311.356 3.210 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]2CN(C(=O)OC(C)(C)C)CC[C@@H]21 ZINC001473738708 1183795826 /nfs/dbraw/zinc/79/58/26/1183795826.db2.gz JQXJQBOWHPPYRB-KBPBESRZSA-N 0 1 314.857 3.460 20 30 DGEDMN C=CC[C@H](NCc1cnnn1-c1ccccc1)c1ccncc1 ZINC001474007960 1183848537 /nfs/dbraw/zinc/84/85/37/1183848537.db2.gz QYWLAWOWXNQWRI-SFHVURJKSA-N 0 1 305.385 3.069 20 30 DGEDMN C=CCOc1ccc(CNCc2cnc3ccccc3n2)cc1 ZINC001474516917 1183933742 /nfs/dbraw/zinc/93/37/42/1183933742.db2.gz MEOKNQARTKKVGN-UHFFFAOYSA-N 0 1 305.381 3.484 20 30 DGEDMN N#Cc1cccc(CNC[C@@H](O)c2c(Cl)cccc2Cl)n1 ZINC001474547767 1183938805 /nfs/dbraw/zinc/93/88/05/1183938805.db2.gz KHSMJNLKHMNELL-CQSZACIVSA-N 0 1 322.195 3.083 20 30 DGEDMN C[C@@H](CNCc1cccc(F)c1C#N)c1ccccc1[N+](=O)[O-] ZINC001474727817 1183969081 /nfs/dbraw/zinc/96/90/81/1183969081.db2.gz LZOXFKNPXLRIIT-LBPRGKRZSA-N 0 1 313.332 3.499 20 30 DGEDMN N#CCc1ccc(S(=O)(=O)Nc2c[nH]c3ccccc23)cc1 ZINC001475721771 1184015154 /nfs/dbraw/zinc/01/51/54/1184015154.db2.gz DPILBSDSIPODBS-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](CC)CC(F)(F)F ZINC001479260202 1184220341 /nfs/dbraw/zinc/22/03/41/1184220341.db2.gz HVRYZMQICNLYDJ-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN CC(C)=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C#CC(C)C)C1 ZINC001479423421 1184250422 /nfs/dbraw/zinc/25/04/22/1184250422.db2.gz PDAQSBZEPNPJPX-VQTJNVASSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2CCCN(CCF)[C@H]2C1(C)C ZINC001479612884 1184276698 /nfs/dbraw/zinc/27/66/98/1184276698.db2.gz LEJNXQPZMBRWML-BRWVUGGUSA-N 0 1 322.468 3.330 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H]1C ZINC001479707437 1184296770 /nfs/dbraw/zinc/29/67/70/1184296770.db2.gz WSVUCEHRVQJIAQ-DOMZBBRYSA-N 0 1 321.490 3.133 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173066 1193281221 /nfs/dbraw/zinc/28/12/21/1193281221.db2.gz AXGZIEMQLGUZHO-QZTJIDSGSA-N 0 1 321.380 3.052 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173066 1193281226 /nfs/dbraw/zinc/28/12/26/1193281226.db2.gz AXGZIEMQLGUZHO-QZTJIDSGSA-N 0 1 321.380 3.052 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1C[C@@]1(C)C(C)C ZINC001479858676 1184354431 /nfs/dbraw/zinc/35/44/31/1184354431.db2.gz QPHZQLSOWFKWEB-ZBFHGGJFSA-N 0 1 300.874 3.249 20 30 DGEDMN CCN(CCCNC(=O)C(C)(C)C)Cc1cc(C#N)ccc1F ZINC001479859336 1184354469 /nfs/dbraw/zinc/35/44/69/1184354469.db2.gz CTBBKTKQCNFCKE-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1cncs1)C1CC1 ZINC001479874645 1184360836 /nfs/dbraw/zinc/36/08/36/1184360836.db2.gz YOMJDHLUMYLCDP-UHFFFAOYSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001479954627 1184387806 /nfs/dbraw/zinc/38/78/06/1184387806.db2.gz SHWCESYKEXJZMS-INIZCTEOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001479985104 1184403693 /nfs/dbraw/zinc/40/36/93/1184403693.db2.gz XWUYYISCIMMVRY-WQPBDQAGSA-N 0 1 308.853 3.232 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cc(C)co2)C1 ZINC001480082896 1184428365 /nfs/dbraw/zinc/42/83/65/1184428365.db2.gz WINGOBOUCVERGA-AWEZNQCLSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2Cl)C1 ZINC001480218295 1184461893 /nfs/dbraw/zinc/46/18/93/1184461893.db2.gz ILMNSLSEXZUZOF-TXEJJXNPSA-N 0 1 324.827 3.428 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001480407016 1184524599 /nfs/dbraw/zinc/52/45/99/1184524599.db2.gz MLKYXYWHGPXOCN-FHERZECASA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@H](CNC(=O)CC(C)(C)C)NCC#Cc1ccccc1Cl ZINC001480426036 1184528833 /nfs/dbraw/zinc/52/88/33/1184528833.db2.gz PZCCPIKCHHBWGH-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1cccc(C)c1Cl ZINC001480502789 1184538411 /nfs/dbraw/zinc/53/84/11/1184538411.db2.gz HDBFRCOFVMWSIM-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(-c2ccco2)s1 ZINC001480562250 1184549287 /nfs/dbraw/zinc/54/92/87/1184549287.db2.gz NTMVOZRBTQULJI-NSHDSACASA-N 0 1 324.833 3.469 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2ccccc2n1CC ZINC001480598292 1184556367 /nfs/dbraw/zinc/55/63/67/1184556367.db2.gz LVCCGHKDWJSROW-CYBMUJFWSA-N 0 1 319.836 3.122 20 30 DGEDMN CC/C=C(/C)C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001480720178 1184586170 /nfs/dbraw/zinc/58/61/70/1184586170.db2.gz QGBMJNLEPGTFNZ-JZVSFUAJSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001493229181 1184671693 /nfs/dbraw/zinc/67/16/93/1184671693.db2.gz YUTMCEGELVVRJN-ZDUSSCGKSA-N 0 1 322.399 3.115 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1scnc1C)C(C)C ZINC001493851493 1184749172 /nfs/dbraw/zinc/74/91/72/1184749172.db2.gz KICNAGXZEVGDHJ-UHFFFAOYSA-N 0 1 315.870 3.034 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CC[C@@H](C)C1)C(C)C ZINC001493870641 1184754281 /nfs/dbraw/zinc/75/42/81/1184754281.db2.gz AWCZOOPHFZITND-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)CCCC)c1ccccc1 ZINC001493888981 1184759248 /nfs/dbraw/zinc/75/92/48/1184759248.db2.gz JIMBYXVOIWNISJ-WMZOPIPTSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCCCC2(F)F)C1 ZINC001493964024 1184778311 /nfs/dbraw/zinc/77/83/11/1184778311.db2.gz IQBCKCPOXLWKKK-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001493977554 1184782386 /nfs/dbraw/zinc/78/23/86/1184782386.db2.gz NKKJSFZBDMQYSI-MOPGFXCFSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001493977554 1184782390 /nfs/dbraw/zinc/78/23/90/1184782390.db2.gz NKKJSFZBDMQYSI-MOPGFXCFSA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CN(C)Cc2nc(C)cs2)C1 ZINC001494136478 1184815075 /nfs/dbraw/zinc/81/50/75/1184815075.db2.gz DPXUAQOYMYRIKI-HNNXBMFYSA-N 0 1 321.490 3.088 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN([C@@H](C)c2ccccc2F)C1 ZINC001494315899 1184852529 /nfs/dbraw/zinc/85/25/29/1184852529.db2.gz TWOVGJSQCPFQEX-HOTGVXAUSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCc2ccccc2Cl)C1 ZINC001494397124 1184866823 /nfs/dbraw/zinc/86/68/23/1184866823.db2.gz MUAVJTYVMNAVHO-UHFFFAOYSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCC1(C(=O)NC2CN(CC3CC(C)C3)C2)CCCCC1 ZINC001494503260 1184877293 /nfs/dbraw/zinc/87/72/93/1184877293.db2.gz VVGDMIHZTMEJDM-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001494875876 1184950868 /nfs/dbraw/zinc/95/08/68/1184950868.db2.gz LAQAQFLFYMSYPN-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CC2(C)CCCCC2)C1 ZINC001494902679 1184959183 /nfs/dbraw/zinc/95/91/83/1184959183.db2.gz ZKKCRROCENMUAO-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001494911542 1184960137 /nfs/dbraw/zinc/96/01/37/1184960137.db2.gz VKMBOWGXSGBQOM-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC[C@@H](CNC(=O)CC(C)(C)C)NCc1cc(F)ccc1C#N ZINC001494938601 1184967323 /nfs/dbraw/zinc/96/73/23/1184967323.db2.gz CEAUDJDDBLSEJP-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1nc(C)sc1C ZINC001494991781 1184977199 /nfs/dbraw/zinc/97/71/99/1184977199.db2.gz RWLGEUGBPYAYGA-CQSZACIVSA-N 0 1 309.479 3.101 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1c(C)noc1CC ZINC001495036694 1184984079 /nfs/dbraw/zinc/98/40/79/1184984079.db2.gz GGMGIJUXCRIFEN-CQSZACIVSA-N 0 1 321.465 3.132 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cncc2ccccc21 ZINC001495138322 1185003359 /nfs/dbraw/zinc/00/33/59/1185003359.db2.gz MSHJBTFJVXRLFA-CQSZACIVSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccccc1CC ZINC001495574319 1185075034 /nfs/dbraw/zinc/07/50/34/1185075034.db2.gz XDZQUZOAOCUREV-OAHLLOKOSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001495940982 1185115644 /nfs/dbraw/zinc/11/56/44/1185115644.db2.gz DBKXMKKMSWRWJO-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)C1(C)CCCC1 ZINC001496125421 1185137371 /nfs/dbraw/zinc/13/73/71/1185137371.db2.gz LXTNGTZDQKXBHV-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1csc(C)n1)C(C)C ZINC001496588854 1185217316 /nfs/dbraw/zinc/21/73/16/1185217316.db2.gz CCJDBAHGRCKDHH-OAHLLOKOSA-N 0 1 323.506 3.284 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001496780650 1185245831 /nfs/dbraw/zinc/24/58/31/1185245831.db2.gz PBOJGMUBVZGKGS-QGZVFWFLSA-N 0 1 314.473 3.156 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2ccon2)CCCCC1 ZINC001497329464 1185315844 /nfs/dbraw/zinc/31/58/44/1185315844.db2.gz BLVULAVYELLJDT-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2coc(C)n2)CCCC1 ZINC001497338494 1185317924 /nfs/dbraw/zinc/31/79/24/1185317924.db2.gz BZNLYRZARPGGQG-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(CC(C)C)CCC1 ZINC001497423441 1185330318 /nfs/dbraw/zinc/33/03/18/1185330318.db2.gz NXKNPQIXYDWSJJ-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(CC)CCNCc1ccccc1C#N ZINC001497433758 1185331673 /nfs/dbraw/zinc/33/16/73/1185331673.db2.gz CFUJPMZMBUFISW-YBEGLDIGSA-N 0 1 311.429 3.019 20 30 DGEDMN CCCC(C)(C)C(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001497670149 1185373316 /nfs/dbraw/zinc/37/33/16/1185373316.db2.gz RADYNQAORQHBQC-AATRIKPKSA-N 0 1 319.474 3.208 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1ccc(Cl)nc1 ZINC001497671473 1185373464 /nfs/dbraw/zinc/37/34/64/1185373464.db2.gz LPEHCPFQQRDBBD-DKRLNXSXSA-N 0 1 321.852 3.099 20 30 DGEDMN CC(C)CCCC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001497686593 1185376657 /nfs/dbraw/zinc/37/66/57/1185376657.db2.gz RAXZTIPRKIXXJH-SREVYHEPSA-N 0 1 312.457 3.126 20 30 DGEDMN C[C@@](CC(=O)[O-])([NH2+]Cc1ccccc1C#N)c1cccc(F)c1 ZINC001609731201 1193352441 /nfs/dbraw/zinc/35/24/41/1193352441.db2.gz UQYQWRBICQCYNQ-SFHVURJKSA-N 0 1 312.344 3.177 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCC=C(Cl)Cl)CCC1 ZINC001497905136 1185407838 /nfs/dbraw/zinc/40/78/38/1185407838.db2.gz GUQHDTGUFJHVEG-LLVKDONJSA-N 0 1 305.249 3.146 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001498380007 1185483241 /nfs/dbraw/zinc/48/32/41/1185483241.db2.gz SGGPECQSMSXHIB-MSOLQXFVSA-N 0 1 314.473 3.339 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c(C)cc(F)cc1C)C1CC1 ZINC001498800154 1185548038 /nfs/dbraw/zinc/54/80/38/1185548038.db2.gz VRZPLXUHRLCWCS-HNNXBMFYSA-N 0 1 324.827 3.293 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCCC(F)(F)C1)C1CC1 ZINC001498822550 1185551392 /nfs/dbraw/zinc/55/13/92/1185551392.db2.gz QASIPXJZCMAGOQ-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ncc(C)o2)[C@H](CC)C1 ZINC001498890789 1185563835 /nfs/dbraw/zinc/56/38/35/1185563835.db2.gz VHBRYVZYXMBVEB-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc2ncccc2c1 ZINC001498984939 1185586061 /nfs/dbraw/zinc/58/60/61/1185586061.db2.gz AKENUGPGRHUFKG-SFHVURJKSA-N 0 1 323.440 3.282 20 30 DGEDMN CC[C@H](F)CN1CCC[C@@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001499569651 1185670249 /nfs/dbraw/zinc/67/02/49/1185670249.db2.gz FSAUSQAWHPAPMO-QFBILLFUSA-N 0 1 322.468 3.099 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ncsc2C2CC2)C1 ZINC001499747350 1185691471 /nfs/dbraw/zinc/69/14/71/1185691471.db2.gz NTLGGROJXPTBGN-GFCCVEGCSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccc(C)s2)C1 ZINC001499795378 1185695872 /nfs/dbraw/zinc/69/58/72/1185695872.db2.gz MHZFYWQNKUKLMP-CYBMUJFWSA-N 0 1 306.475 3.096 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1(C)CCN(C[C@H](F)CC)CC1 ZINC001499987089 1185727615 /nfs/dbraw/zinc/72/76/15/1185727615.db2.gz YQEISMZFEJUMKG-MRXNPFEDSA-N 0 1 310.457 3.474 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CCC2CCCCCC2)CC1 ZINC001499991373 1185729123 /nfs/dbraw/zinc/72/91/23/1185729123.db2.gz ZMZFHRZZGIXGER-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2scnc2C)C1 ZINC001500250964 1185764786 /nfs/dbraw/zinc/76/47/86/1185764786.db2.gz HRPAQYIKHHLXDD-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)/C=C\C(C)(C)C)C1 ZINC001500607244 1185827874 /nfs/dbraw/zinc/82/78/74/1185827874.db2.gz LNPDMFSBWVCDQA-AFNCTOJWSA-N 0 1 314.420 3.238 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCC[C@@H](C)CC)[C@@H]2C1 ZINC001501418314 1185902362 /nfs/dbraw/zinc/90/23/62/1185902362.db2.gz SOARGQRPRKKOJT-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@@H]2C[C@H]2C)cc1 ZINC001501679730 1185921440 /nfs/dbraw/zinc/92/14/40/1185921440.db2.gz UCRICMFXXSORES-PVXIVEMSSA-N 0 1 306.837 3.362 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@](C)(C=C)CC)cccc2C1 ZINC001501853831 1185931885 /nfs/dbraw/zinc/93/18/85/1185931885.db2.gz FZPUOPPQCZLWNG-FQEVSTJZSA-N 0 1 312.457 3.449 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001502369149 1185974379 /nfs/dbraw/zinc/97/43/79/1185974379.db2.gz ANWCSHUUAHBZBC-UHFFFAOYSA-N 0 1 314.473 3.255 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)CC[C@H](C)CC)O2 ZINC001502440956 1185983028 /nfs/dbraw/zinc/98/30/28/1185983028.db2.gz OGPRHGAXSOTBQJ-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCN1Cc2ccccc2C[C@H]1CNC(=O)C(C)(F)F ZINC001502518817 1185991200 /nfs/dbraw/zinc/99/12/00/1185991200.db2.gz BRZGRNZJVBTKMG-INIZCTEOSA-N 0 1 322.399 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)CC1(C)C ZINC001502830548 1186020152 /nfs/dbraw/zinc/02/01/52/1186020152.db2.gz JFHYJEYZKISIFC-INIZCTEOSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC001502986303 1186034189 /nfs/dbraw/zinc/03/41/89/1186034189.db2.gz KJPROGHZMUQWDN-ZIAGYGMSSA-N 0 1 321.490 3.215 20 30 DGEDMN CC1CC(C(=O)N2CCC[C@@H]2CN(C)CC#Cc2ccccc2)C1 ZINC001505426536 1186074804 /nfs/dbraw/zinc/07/48/04/1186074804.db2.gz UFHSSAJZLXCFMG-LYBXBRPPSA-N 0 1 324.468 3.007 20 30 DGEDMN Cc1cccnc1[C@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)C(C)C ZINC001610534407 1193396776 /nfs/dbraw/zinc/39/67/76/1193396776.db2.gz OWZBWZOGYLHTHK-QGZVFWFLSA-N 0 1 323.396 3.447 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1Cl ZINC001505573983 1186106793 /nfs/dbraw/zinc/10/67/93/1186106793.db2.gz GBHPPXJXHUFHHW-VXGBXAGGSA-N 0 1 312.816 3.428 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001505697707 1186126652 /nfs/dbraw/zinc/12/66/52/1186126652.db2.gz KRYKORTWYLEJCA-RYUDHWBXSA-N 0 1 323.506 3.345 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001506004128 1186179458 /nfs/dbraw/zinc/17/94/58/1186179458.db2.gz SYAUOEATHNGLEQ-QXAKKESOSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(CC=C)CCCC1 ZINC001506581003 1186255549 /nfs/dbraw/zinc/25/55/49/1186255549.db2.gz IMHKVJCYALJZTH-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001506639651 1186266127 /nfs/dbraw/zinc/26/61/27/1186266127.db2.gz KOWUPJRCWKBYQI-INIZCTEOSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001506719218 1186277504 /nfs/dbraw/zinc/27/75/04/1186277504.db2.gz BUFNVEULTKCRCQ-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2ccc(C)c(F)c2)CC1(C)C ZINC001506729583 1186281142 /nfs/dbraw/zinc/28/11/42/1186281142.db2.gz NJDKVHWNVBVDTA-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CN(Cc2ccsc2)CC1(C)C ZINC001506739357 1186284899 /nfs/dbraw/zinc/28/48/99/1186284899.db2.gz DUJXSWUJOXDEPA-OAHLLOKOSA-N 0 1 318.486 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cccc(F)c1F ZINC001507110671 1186363177 /nfs/dbraw/zinc/36/31/77/1186363177.db2.gz OUJLUPXEBZUSHN-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](CNCc2nc(C)oc2C)C1 ZINC001507397083 1186417031 /nfs/dbraw/zinc/41/70/31/1186417031.db2.gz GFGMBGPVDSOKNI-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)c1ccccc1F)C(C)C ZINC001507841524 1186449250 /nfs/dbraw/zinc/44/92/50/1186449250.db2.gz KZBDOCXJEYRTGJ-MRXNPFEDSA-N 0 1 318.436 3.121 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C(CC(C)C)CC(C)C)CC1 ZINC001508228285 1186491770 /nfs/dbraw/zinc/49/17/70/1186491770.db2.gz GAERKLCYVBFOPK-UHFFFAOYSA-N 0 1 304.478 3.076 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2ccc(C=C)cc2)c(F)c1 ZINC001508238487 1186492965 /nfs/dbraw/zinc/49/29/65/1186492965.db2.gz BDCFCXSRMNUSIT-UHFFFAOYSA-N 0 1 322.383 3.122 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CCCC(C)C)c(F)c1 ZINC001508241291 1186493332 /nfs/dbraw/zinc/49/33/32/1186493332.db2.gz RBSAAZIOMQBMRP-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CC2(C(F)(F)F)CC2)c1 ZINC001515144122 1186745911 /nfs/dbraw/zinc/74/59/11/1186745911.db2.gz AXDWNYPLKMERCW-GFCCVEGCSA-N 0 1 324.302 3.375 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2cccc(F)c2)CCCC1 ZINC001515837672 1186772342 /nfs/dbraw/zinc/77/23/42/1186772342.db2.gz QCPJEFNHTJWWPS-OAHLLOKOSA-N 0 1 311.360 3.247 20 30 DGEDMN N#CC(C(=O)[C@H]1CCC(=O)c2ccccc21)c1cccc(F)n1 ZINC001516821522 1186793215 /nfs/dbraw/zinc/79/32/15/1186793215.db2.gz SQVNNZZPGKGNRB-UONOGXRCSA-N 0 1 308.312 3.157 20 30 DGEDMN N#C[C@@H](C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1)c1cccc(F)n1 ZINC001516904179 1186795598 /nfs/dbraw/zinc/79/55/98/1186795598.db2.gz JQUGTFDYCBHZIN-HBUWYVDXSA-N 0 1 312.319 3.467 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCO[C@H]1C1CC1)c1cc(C(F)(F)F)ccn1 ZINC001518935615 1186858015 /nfs/dbraw/zinc/85/80/15/1186858015.db2.gz MQBSNIJUFXPQKB-ZOWXZIJZSA-N 0 1 324.302 3.092 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2cccc(F)c2O)C2CC2)cc1 ZINC001520449312 1186944788 /nfs/dbraw/zinc/94/47/88/1186944788.db2.gz SNHMQVWILPIQRU-UHFFFAOYSA-N 0 1 310.328 3.208 20 30 DGEDMN C=CC(C)(C)CCNC(=O)C[C@H](N)c1ccccc1OCC ZINC001522149502 1187066428 /nfs/dbraw/zinc/06/64/28/1187066428.db2.gz JCDHRUCRGZXMHO-HNNXBMFYSA-N 0 1 304.434 3.194 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001525462851 1187315649 /nfs/dbraw/zinc/31/56/49/1187315649.db2.gz VAJHXXDFFPCONE-FJIDUMEYSA-N 0 1 324.424 3.146 20 30 DGEDMN C[C@]1(C(=O)C(C#N)c2ncc(F)cc2F)CCc2ccccc21 ZINC001527020819 1187406617 /nfs/dbraw/zinc/40/66/17/1187406617.db2.gz WRUJZACKVLFNRT-UGSOOPFHSA-N 0 1 312.319 3.440 20 30 DGEDMN C[C@]1(C(=O)C(C#N)c2ncc(F)cc2F)CCc2ccccc21 ZINC001527020816 1187406879 /nfs/dbraw/zinc/40/68/79/1187406879.db2.gz WRUJZACKVLFNRT-ACJLOTCBSA-N 0 1 312.319 3.440 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(Cl)s3)[nH]c2c1 ZINC001534068141 1187872881 /nfs/dbraw/zinc/87/28/81/1187872881.db2.gz WOYPZGMGLOCTFQ-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN C#CCSCC(=O)Nc1nc2cc(Cl)cc(Cl)c2[nH]1 ZINC001534067502 1187873452 /nfs/dbraw/zinc/87/34/52/1187873452.db2.gz XSWCUNQLEGHDNL-UHFFFAOYSA-N 0 1 314.197 3.175 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H](O)CC1(O)CCCCC1 ZINC001615744638 1193527533 /nfs/dbraw/zinc/52/75/33/1193527533.db2.gz VDYVWEXBCIATOM-QGZVFWFLSA-N 0 1 310.482 3.084 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001535493348 1187963920 /nfs/dbraw/zinc/96/39/20/1187963920.db2.gz UMTPBEHDYXAXSG-OALUTQOASA-N 0 1 312.457 3.268 20 30 DGEDMN CCCCCCCCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001543347130 1188328471 /nfs/dbraw/zinc/32/84/71/1188328471.db2.gz VYUFQKMCNSQARC-UHFFFAOYSA-N 0 1 324.387 3.310 20 30 DGEDMN c1cc2sc(N=NC3CCCN4CCCC[C@@H]34)nc2cn1 ZINC001552234980 1188826552 /nfs/dbraw/zinc/82/65/52/1188826552.db2.gz LOLMARKOQIOIIS-ZDUSSCGKSA-N 0 1 301.419 3.108 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)Nc2sccc2C#N)n[nH]1 ZINC001563025040 1188876835 /nfs/dbraw/zinc/87/68/35/1188876835.db2.gz YJUMXJZWIHDBMK-RKDXNWHRSA-N 0 1 318.406 3.134 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1ccc(CN2CCCC2)o1 ZINC001556243084 1188991779 /nfs/dbraw/zinc/99/17/79/1188991779.db2.gz HYUKRIZGWZOYTM-UHFFFAOYSA-N 0 1 324.380 3.140 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1cccc(-n2cccc2)c1 ZINC001556465911 1189002010 /nfs/dbraw/zinc/00/20/10/1189002010.db2.gz BHUWAFMIEYISDZ-UHFFFAOYSA-N 0 1 311.429 3.057 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)Nc1ccc(F)c(F)c1O ZINC001556935423 1189029694 /nfs/dbraw/zinc/02/96/94/1189029694.db2.gz ZRXVHAGPCLEKGW-JTQLQIEISA-N 0 1 316.307 3.359 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)Nc1ccc(F)c(F)c1O ZINC001556935424 1189029946 /nfs/dbraw/zinc/02/99/46/1189029946.db2.gz ZRXVHAGPCLEKGW-SNVBAGLBSA-N 0 1 316.307 3.359 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)NC(C)(C)c3cccc(C#N)c3)c2C1 ZINC001557469156 1189065932 /nfs/dbraw/zinc/06/59/32/1189065932.db2.gz ZAWCQFKYWKFVKY-GFCCVEGCSA-N 0 1 322.412 3.071 20 30 DGEDMN C=C[C@H](CC(=O)NCCCCc1ccnc(N)c1)c1ccccc1 ZINC001558885088 1189155200 /nfs/dbraw/zinc/15/52/00/1189155200.db2.gz PSMXEQYFGHWLEZ-QGZVFWFLSA-N 0 1 323.440 3.463 20 30 DGEDMN C=CC1CCN([C@@H](CCc2ccccc2)C(=O)OCC)CC1 ZINC001559542364 1189214154 /nfs/dbraw/zinc/21/41/54/1189214154.db2.gz TTZVNOPLBTYNLG-SFHVURJKSA-N 0 1 301.430 3.449 20 30 DGEDMN C=C(C)[C@H](CO)N[C@@H](C)c1ccc(OC)cc1Br ZINC001559709064 1189233020 /nfs/dbraw/zinc/23/30/20/1189233020.db2.gz OZZWQTFITJNUBF-HZMBPMFUSA-N 0 1 314.223 3.045 20 30 DGEDMN C[C@@H]1c2ccc(F)cc2CCN1C[C@H](O)c1cccc(C#N)c1 ZINC001560119114 1189281005 /nfs/dbraw/zinc/28/10/05/1189281005.db2.gz NXBXNZALBZOKBX-YJYMSZOUSA-N 0 1 310.372 3.350 20 30 DGEDMN C[C@H](NC[C@@H](O)c1cccc(C#N)c1)c1c(F)cccc1F ZINC001560160465 1189285621 /nfs/dbraw/zinc/28/56/21/1189285621.db2.gz XJYDCDLAWHZMEW-MEDUHNTESA-N 0 1 302.324 3.221 20 30 DGEDMN C=CCN(Cc1ccc2[nH]c(=O)[nH]c2c1)Cc1cccc(C#N)c1 ZINC001560699363 1189362832 /nfs/dbraw/zinc/36/28/32/1189362832.db2.gz RHMJXLVFQCDWNG-UHFFFAOYSA-N 0 1 318.380 3.328 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@H](C)c2nccc3ccccc32)C1=O ZINC001560788972 1189382208 /nfs/dbraw/zinc/38/22/08/1189382208.db2.gz JASYMOBWUSFZGB-RHSMWYFYSA-N 0 1 309.413 3.015 20 30 DGEDMN CCCc1cccc(CN2CCN(c3ccc(C#N)nc3)CC2)c1 ZINC001560996865 1189417387 /nfs/dbraw/zinc/41/73/87/1189417387.db2.gz FBBDVTNHUCCUMX-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN COC(=O)[C@H](CNCc1cccc(F)c1C#N)C1CCCCC1 ZINC001561002842 1189418038 /nfs/dbraw/zinc/41/80/38/1189418038.db2.gz ZEFNPPCJBBCAFT-MRXNPFEDSA-N 0 1 318.392 3.156 20 30 DGEDMN C#CCCOC(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC001561081566 1189430738 /nfs/dbraw/zinc/43/07/38/1189430738.db2.gz HZPGEVKOKTUCSC-MRXNPFEDSA-N 0 1 322.836 3.472 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCN(C)C(C)(C)C1)c1ccccc1 ZINC001564396629 1189573289 /nfs/dbraw/zinc/57/32/89/1189573289.db2.gz NLJHSIGMSYDPEF-KRWDZBQOSA-N 0 1 315.461 3.430 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001566050106 1189720312 /nfs/dbraw/zinc/72/03/12/1189720312.db2.gz DIKDTGCBLGZRRT-PNPSXAPQSA-N 0 1 310.869 3.122 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@H]1CN(C)C/C=C/Cl ZINC001566119558 1189738370 /nfs/dbraw/zinc/73/83/70/1189738370.db2.gz MAUOSDRMHDQFGF-YHVDPYDOSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1cc(C)no1 ZINC001566533853 1189794218 /nfs/dbraw/zinc/79/42/18/1189794218.db2.gz PQBSPCFFCSCQBF-RDJZCZTQSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001566617197 1189825339 /nfs/dbraw/zinc/82/53/39/1189825339.db2.gz YBNBIGZREDHGII-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@H](C)c2ccc(F)cc2)C1 ZINC001566617194 1189825908 /nfs/dbraw/zinc/82/59/08/1189825908.db2.gz YBNBIGZREDHGII-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001566664465 1189846574 /nfs/dbraw/zinc/84/65/74/1189846574.db2.gz OKKDKFCKSSVPEJ-WMZOPIPTSA-N 0 1 316.420 3.360 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1cccs1)C1CC1 ZINC001566724696 1189875704 /nfs/dbraw/zinc/87/57/04/1189875704.db2.gz OGVYBQFLNIXDBY-DGCLKSJQSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2CCCCC2)C1 ZINC001566732985 1189880886 /nfs/dbraw/zinc/88/08/86/1189880886.db2.gz YSESDKWHSBFCSB-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCCN1C/C=C/c1ccccc1 ZINC001566919482 1189952127 /nfs/dbraw/zinc/95/21/27/1189952127.db2.gz AHXBKGGQMUHDSB-OJLWIZQOSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001566927663 1189956265 /nfs/dbraw/zinc/95/62/65/1189956265.db2.gz UMQVNCIYIIPVOP-NSHGMRRFSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)CCc1ccccc1 ZINC001566951987 1189964354 /nfs/dbraw/zinc/96/43/54/1189964354.db2.gz VPJXATIZWOGTFE-QGZVFWFLSA-N 0 1 320.864 3.342 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(CF)CCC2)C1 ZINC001566964816 1189974151 /nfs/dbraw/zinc/97/41/51/1189974151.db2.gz BVKSFZSEMGGKEB-ZIAGYGMSSA-N 0 1 316.848 3.095 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1ccccc1Cl ZINC001567046229 1190007300 /nfs/dbraw/zinc/00/73/00/1190007300.db2.gz SCMQNDQSUUMTFE-AWEZNQCLSA-N 0 1 306.837 3.128 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001567066461 1190017714 /nfs/dbraw/zinc/01/77/14/1190017714.db2.gz XRRXTOMHWCKWHO-XLIONFOSSA-N 0 1 314.473 3.349 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cncs2)CCCCC1 ZINC001567079407 1190024321 /nfs/dbraw/zinc/02/43/21/1190024321.db2.gz ARJCRAQOENDSJU-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@@H](N(C)Cc2ccccc2F)C1 ZINC001567189373 1190059060 /nfs/dbraw/zinc/05/90/60/1190059060.db2.gz GNPVVCDCOIAQTI-QGZVFWFLSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@H](N(C)CCF)C2)CCCCC1 ZINC001567198934 1190064845 /nfs/dbraw/zinc/06/48/45/1190064845.db2.gz APYSYHDOLOMDQP-INIZCTEOSA-N 0 1 310.457 3.405 20 30 DGEDMN C[C@@H](NCCN(C)C(=O)C#CC1CC1)c1cccc(Cl)c1F ZINC001567491545 1190209962 /nfs/dbraw/zinc/20/99/62/1190209962.db2.gz DVKMJSMFNHWZQU-GFCCVEGCSA-N 0 1 322.811 3.002 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001567579892 1190221194 /nfs/dbraw/zinc/22/11/94/1190221194.db2.gz BKQIMSUBFVSCLP-SHTZXODSSA-N 0 1 300.874 3.249 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)C1CCCC1)c1ccccc1 ZINC001567663833 1190242057 /nfs/dbraw/zinc/24/20/57/1190242057.db2.gz LOSPZPJOZWLENH-VQIMIIECSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cccc(F)c2F)C1 ZINC001567689349 1190254524 /nfs/dbraw/zinc/25/45/24/1190254524.db2.gz UQOCGCWSACRPIO-OAHLLOKOSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc[nH]c2CCC)C1 ZINC001567704808 1190264006 /nfs/dbraw/zinc/26/40/06/1190264006.db2.gz DXSYKPZNTBDGOW-CQSZACIVSA-N 0 1 323.868 3.162 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001567798011 1190300396 /nfs/dbraw/zinc/30/03/96/1190300396.db2.gz FAHAAETVYPNCJI-PKYBCLHXSA-N 0 1 316.420 3.384 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(c3ccccc3C)CC2)C1 ZINC001567820568 1190309254 /nfs/dbraw/zinc/30/92/54/1190309254.db2.gz ONKKSBFUPIWWJG-MRXNPFEDSA-N 0 1 312.457 3.182 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@H]2CCc3ccc(F)cc32)C1 ZINC001567831638 1190313167 /nfs/dbraw/zinc/31/31/67/1190313167.db2.gz ZSLMTQPFQWZTMO-SFHVURJKSA-N 0 1 316.420 3.217 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001567871866 1190329137 /nfs/dbraw/zinc/32/91/37/1190329137.db2.gz GOKBDDYLHIMZPL-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001567896281 1190338608 /nfs/dbraw/zinc/33/86/08/1190338608.db2.gz GKIADFNEUMRBSS-FUHWJXTLSA-N 0 1 300.446 3.255 20 30 DGEDMN CCCCCCCN1CC[C@@H](N(CC)C(=O)c2cnns2)C1 ZINC001567931294 1190361537 /nfs/dbraw/zinc/36/15/37/1190361537.db2.gz ACPNQAMWXCMTDL-CQSZACIVSA-N 0 1 324.494 3.045 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001567971152 1190381609 /nfs/dbraw/zinc/38/16/09/1190381609.db2.gz ZOWJGXLKJSGHRF-QMMMGPOBSA-N 0 1 324.639 3.172 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CCNCc2coc(C)n2)C1 ZINC001568066148 1190412623 /nfs/dbraw/zinc/41/26/23/1190412623.db2.gz JPUSSQNYZYAJNL-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2c(C)coc2C)CC1 ZINC001568126028 1190429150 /nfs/dbraw/zinc/42/91/50/1190429150.db2.gz NFDGWKKNKCJORU-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)/C=C/C(C)(C)C)C1 ZINC001568214252 1190453621 /nfs/dbraw/zinc/45/36/21/1190453621.db2.gz IPTYDFUUDIYCDF-DVQDXYAYSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001568287086 1190472942 /nfs/dbraw/zinc/47/29/42/1190472942.db2.gz DPLGHBNKYXIULJ-MLGOLLRUSA-N 0 1 324.827 3.045 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001568302517 1190479798 /nfs/dbraw/zinc/47/97/98/1190479798.db2.gz BIHOCJHDRIQJGA-WBVHZDCISA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)CC[C@H](C)CC ZINC001568306729 1190480471 /nfs/dbraw/zinc/48/04/71/1190480471.db2.gz KDUFBJQIOBIJAG-DGCLKSJQSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](F)CC)C1CCCCC1 ZINC001568345202 1190498681 /nfs/dbraw/zinc/49/86/81/1190498681.db2.gz FBYYDEPQGBUSPT-KGLIPLIRSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)[C@H]1CCc2c1cc(F)cc2F ZINC001568475712 1190535826 /nfs/dbraw/zinc/53/58/26/1190535826.db2.gz DJSIHXUBBCFVQU-KRWDZBQOSA-N 0 1 322.399 3.309 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)C/C=C/c1ccccc1 ZINC001568493042 1190544755 /nfs/dbraw/zinc/54/47/55/1190544755.db2.gz GKCNUSMZBSJQGV-SDNWHVSQSA-N 0 1 312.457 3.284 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CCC(C)(C)c1ccccc1 ZINC001568506609 1190554777 /nfs/dbraw/zinc/55/47/77/1190554777.db2.gz MFESGQWVSIZDOV-UHFFFAOYSA-N 0 1 314.473 3.158 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001568602299 1190566670 /nfs/dbraw/zinc/56/66/70/1190566670.db2.gz GSTQXACTGHKILD-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C/C=C/c1ccc(F)cc1 ZINC001568751839 1190585614 /nfs/dbraw/zinc/58/56/14/1190585614.db2.gz VEIVPYVFZCXMPR-SNAWJCMRSA-N 0 1 324.827 3.420 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(Cl)cs1 ZINC001568781521 1190588357 /nfs/dbraw/zinc/58/83/57/1190588357.db2.gz GFOYLGVVLACTGC-UHFFFAOYSA-N 0 1 307.246 3.206 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(c2ccccc2)CCC1 ZINC001568882510 1190597115 /nfs/dbraw/zinc/59/71/15/1190597115.db2.gz DGINDBFFGLHDLQ-WAYWQWQTSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)CCC(C)(C)C ZINC001568952242 1190602225 /nfs/dbraw/zinc/60/22/25/1190602225.db2.gz VTRUHUBHVPANMC-FMFIFOJESA-N 0 1 300.874 3.463 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001569313437 1190663790 /nfs/dbraw/zinc/66/37/90/1190663790.db2.gz YCZWTQQQFCLLDM-JONQDZQNSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)C1CC1 ZINC001569313438 1190664060 /nfs/dbraw/zinc/66/40/60/1190664060.db2.gz YCZWTQQQFCLLDM-LVQVYYBASA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1)C1CC1 ZINC001569327322 1190667570 /nfs/dbraw/zinc/66/75/70/1190667570.db2.gz JKMZFFBYYGTKRF-BBWFWOEESA-N 0 1 318.848 3.027 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1ncc(C)cc1C ZINC001569365889 1190678553 /nfs/dbraw/zinc/67/85/53/1190678553.db2.gz KLRPVVLCKSYASF-QGZVFWFLSA-N 0 1 317.477 3.473 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1ncc(C)cc1C ZINC001569365884 1190678688 /nfs/dbraw/zinc/67/86/88/1190678688.db2.gz KLRPVVLCKSYASF-KRWDZBQOSA-N 0 1 317.477 3.473 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)CCc1cccc(F)c1 ZINC001569383508 1190688106 /nfs/dbraw/zinc/68/81/06/1190688106.db2.gz RRJGEVDBNRFNKO-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CCC(=O)NCC1(N[C@@H](C)c2ccccc2F)CC1 ZINC001569401680 1190691755 /nfs/dbraw/zinc/69/17/55/1190691755.db2.gz AESXFACABZPLKI-AWEZNQCLSA-N 0 1 304.409 3.481 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C)c2Cl)CC1 ZINC001569423181 1190695336 /nfs/dbraw/zinc/69/53/36/1190695336.db2.gz MUHZDEGZRFZRDV-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001569477116 1190705430 /nfs/dbraw/zinc/70/54/30/1190705430.db2.gz BASQUVCQDIMQHH-CUGXLUPKSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001569499585 1190708735 /nfs/dbraw/zinc/70/87/35/1190708735.db2.gz ISTBWOPDSSLFTI-OAHLLOKOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(OC)c(C)c2)C1 ZINC001569502656 1190709671 /nfs/dbraw/zinc/70/96/71/1190709671.db2.gz LRRSGVVFOLEGEY-HNNXBMFYSA-N 0 1 316.445 3.115 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CC(C)(C)C(F)(F)F)C1 ZINC001569504412 1190709820 /nfs/dbraw/zinc/70/98/20/1190709820.db2.gz LDGCGLFWOKTWMH-LBPRGKRZSA-N 0 1 320.399 3.462 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccccc2)C1 ZINC001569505066 1190710020 /nfs/dbraw/zinc/71/00/20/1190710020.db2.gz YLFJLWPTPAZXLA-HZPDHXFCSA-N 0 1 300.446 3.287 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(C[C@@H](C)CC)C2)CCCCC1 ZINC001569508225 1190710320 /nfs/dbraw/zinc/71/03/20/1190710320.db2.gz VBEJKUFSGAMNFP-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001569505704 1190710400 /nfs/dbraw/zinc/71/04/00/1190710400.db2.gz GHEKOVASANSUGD-UHFFFAOYSA-N 0 1 317.477 3.408 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C1CN(CCC(=C)C)C1)c1ccccc1 ZINC001569507537 1190710636 /nfs/dbraw/zinc/71/06/36/1190710636.db2.gz VGDPHKZVUOEXFZ-QGZVFWFLSA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc2ccsc2[nH]1 ZINC001569550297 1190715508 /nfs/dbraw/zinc/71/55/08/1190715508.db2.gz VNVXIZIPDWURQE-GFCCVEGCSA-N 0 1 323.849 3.176 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001569549448 1190715553 /nfs/dbraw/zinc/71/55/53/1190715553.db2.gz WXHDYDSXEDFBNS-FZKCQIBNSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)C1CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001569589091 1190720474 /nfs/dbraw/zinc/72/04/74/1190720474.db2.gz ZBFYJBCHWPCSIV-DOTOQJQBSA-N 0 1 322.468 3.097 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001569638845 1190730035 /nfs/dbraw/zinc/73/00/35/1190730035.db2.gz IHQTZKSGUUJUBQ-SFHVURJKSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(C)CCN(Cc2c(C)nsc2C)C1 ZINC001569646899 1190731771 /nfs/dbraw/zinc/73/17/71/1190731771.db2.gz UWGVBPKUAOTOFF-KRWDZBQOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2CC3(CC3)C2)CC1 ZINC001569705487 1190743925 /nfs/dbraw/zinc/74/39/25/1190743925.db2.gz QLZNFUWVSZQAOE-UHFFFAOYSA-N 0 1 310.869 3.147 20 30 DGEDMN C=CCCCC(=O)NCC1(C)CCN(Cc2cnc(C)o2)CC1 ZINC001569704166 1190743953 /nfs/dbraw/zinc/74/39/53/1190743953.db2.gz FGLNHXRHBXEMTD-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc(C)c2Cl)C1 ZINC001569722567 1190749114 /nfs/dbraw/zinc/74/91/14/1190749114.db2.gz LLTMRFGEIDYUAF-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCCCCC(C)C)C1 ZINC001569723716 1190750193 /nfs/dbraw/zinc/75/01/93/1190750193.db2.gz YNYFHODICFKYKX-IBGZPJMESA-N 0 1 306.494 3.444 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CCCCCC(C)C)C1 ZINC001569723717 1190750479 /nfs/dbraw/zinc/75/04/79/1190750479.db2.gz YNYFHODICFKYKX-LJQANCHMSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C=C2CCCCC2)C1 ZINC001569736872 1190752108 /nfs/dbraw/zinc/75/21/08/1190752108.db2.gz HARAKEMMPNSHAB-HNNXBMFYSA-N 0 1 312.404 3.136 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@]1(C)CC=CCC1)c1ccccc1CC ZINC001569800224 1190755693 /nfs/dbraw/zinc/75/56/93/1190755693.db2.gz OCDWXDLNFZLYMI-PZJWPPBQSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@]1(C)C=CCC1)c1ccccc1CC ZINC001569802719 1190756214 /nfs/dbraw/zinc/75/62/14/1190756214.db2.gz ZLWVYZPORYQBJD-PZJWPPBQSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1CC)c1ccccc1CC ZINC001569802151 1190756403 /nfs/dbraw/zinc/75/64/03/1190756403.db2.gz PELMYALRTISHKV-BHIYHBOVSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H](F)CC ZINC001569870374 1190768089 /nfs/dbraw/zinc/76/80/89/1190768089.db2.gz USMHQXCRBCPVOY-HNNXBMFYSA-N 0 1 312.816 3.105 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](CC)CCCCC)[C@@H]2C1 ZINC001569921152 1190775376 /nfs/dbraw/zinc/77/53/76/1190775376.db2.gz CCCBQQKDPOXQRU-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CCC[C@@H]1C ZINC001570026925 1190784087 /nfs/dbraw/zinc/78/40/87/1190784087.db2.gz OHMCFCTXAOWZRZ-IRXDYDNUSA-N 0 1 312.457 3.194 20 30 DGEDMN Cc1coc(C(=O)N(C)CCCN(C)CC#Cc2ccccc2)c1 ZINC001570206584 1190820631 /nfs/dbraw/zinc/82/06/31/1190820631.db2.gz XRLBDBDCHLWIEK-UHFFFAOYSA-N 0 1 324.424 3.034 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)CC(C)(C)CC)O2 ZINC001570231999 1190827519 /nfs/dbraw/zinc/82/75/19/1190827519.db2.gz JPSSKGJOIMAZCA-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)c2ccccc2)C(C)(C)C1 ZINC001570399187 1190853035 /nfs/dbraw/zinc/85/30/35/1190853035.db2.gz AFMQZYGLABKYEL-WMZOPIPTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[C@H](C)NC/C(Cl)=C\Cl ZINC001570466765 1190865311 /nfs/dbraw/zinc/86/53/11/1190865311.db2.gz JNZSPZCBUCRWHJ-QYSAYTBLSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CCCC ZINC001570477743 1190867111 /nfs/dbraw/zinc/86/71/11/1190867111.db2.gz YRCUAYZLNAOWFH-SFHVURJKSA-N 0 1 300.446 3.468 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CNCc1ncc(C)s1 ZINC001570510682 1190872560 /nfs/dbraw/zinc/87/25/60/1190872560.db2.gz MTUUAMDJGRFVAU-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)C1CCCCC1 ZINC001570541750 1190881929 /nfs/dbraw/zinc/88/19/29/1190881929.db2.gz KFEQFSWYMRCHJF-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001570543068 1190882435 /nfs/dbraw/zinc/88/24/35/1190882435.db2.gz BLZCGQJSZUKAFJ-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1sccc1C(C)C ZINC001570549587 1190886308 /nfs/dbraw/zinc/88/63/08/1190886308.db2.gz ZWIUXYUVJBPOTF-CQSZACIVSA-N 0 1 304.459 3.041 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1Cl ZINC001570597969 1190897288 /nfs/dbraw/zinc/89/72/88/1190897288.db2.gz FZBMYLPEIVYZJZ-QWHCGFSZSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(C)cc(F)cc1C ZINC001570623774 1190903814 /nfs/dbraw/zinc/90/38/14/1190903814.db2.gz FMMLHHWGGPKIRN-OLZOCXBDSA-N 0 1 312.816 3.291 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001570631673 1190906383 /nfs/dbraw/zinc/90/63/83/1190906383.db2.gz YBACVZKXOCPFPM-UONOGXRCSA-N 0 1 317.408 3.036 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccccc1C(C)(C)CC ZINC001570717392 1190928113 /nfs/dbraw/zinc/92/81/13/1190928113.db2.gz BUDHYSGVHFJJHI-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2sccc2s1 ZINC001570720607 1190929712 /nfs/dbraw/zinc/92/97/12/1190929712.db2.gz WJFJRRYUJOCNDU-LLVKDONJSA-N 0 1 306.456 3.036 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccncc1C ZINC001570810602 1190953506 /nfs/dbraw/zinc/95/35/06/1190953506.db2.gz SFPSJDARAATUNA-AWEZNQCLSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCCN1Cc1cc(C)ns1 ZINC001570818160 1190956666 /nfs/dbraw/zinc/95/66/66/1190956666.db2.gz MVFCRPDZPLETGI-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001570852090 1190965515 /nfs/dbraw/zinc/96/55/15/1190965515.db2.gz NYIKNDKGNGXPFG-CHWSQXEVSA-N 0 1 306.837 3.066 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CCCCCC)C2)C1 ZINC001570886063 1190969754 /nfs/dbraw/zinc/96/97/54/1190969754.db2.gz ASIFZLSABFVOBQ-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H](C)C(C)(C)C ZINC001571362391 1191002806 /nfs/dbraw/zinc/00/28/06/1191002806.db2.gz IZKMLCVPRAPELC-GXTWGEPZSA-N 0 1 300.874 3.248 20 30 DGEDMN CC(C)=CC(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001571376670 1191006793 /nfs/dbraw/zinc/00/67/93/1191006793.db2.gz WGRVOMXSRRWBHS-OAHLLOKOSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(F)c(C)cc1F ZINC001571392388 1191012250 /nfs/dbraw/zinc/01/22/50/1191012250.db2.gz VQMRHAZJNFQUNO-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](c1cccnc1)C(C)C ZINC001571411082 1191018251 /nfs/dbraw/zinc/01/82/51/1191018251.db2.gz WXVCSVOQNUPMAD-GOEBONIOSA-N 0 1 323.868 3.010 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cccc(C(C)C)n2)C[C@@H]1C ZINC001571473272 1191026638 /nfs/dbraw/zinc/02/66/38/1191026638.db2.gz OHAIGDWKIMJDGB-RDJZCZTQSA-N 0 1 315.461 3.250 20 30 DGEDMN CC#CC[N@H+](C)Cc1ccccc1OCc1ccc(C(=O)[O-])cc1 ZINC001573384039 1191430552 /nfs/dbraw/zinc/43/05/52/1191430552.db2.gz CFHZOZPXNXRUGA-UHFFFAOYSA-N 0 1 323.392 3.419 20 30 DGEDMN CC#CC[N@@H+](C)Cc1ccccc1OCc1ccc(C(=O)[O-])cc1 ZINC001573384039 1191430554 /nfs/dbraw/zinc/43/05/54/1191430554.db2.gz CFHZOZPXNXRUGA-UHFFFAOYSA-N 0 1 323.392 3.419 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@@H+]1[C@H](C(=O)[O-])C[C@@H]2CCC[C@@H]21 ZINC001573647173 1191436969 /nfs/dbraw/zinc/43/69/69/1191436969.db2.gz PZAPHNCPWAPGEP-JQFCIGGWSA-N 0 1 312.413 3.315 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)[C@H]1CNc2ccccc2[C@@H]1O ZINC001574933347 1191458932 /nfs/dbraw/zinc/45/89/32/1191458932.db2.gz QNFGEFAXZVZYMW-PMACEKPBSA-N 0 1 319.408 3.074 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)Cc1cccc(C2CCCC2)c1 ZINC001575117843 1191466876 /nfs/dbraw/zinc/46/68/76/1191466876.db2.gz FUWZRMUHURAGTK-UHFFFAOYSA-N 0 1 308.429 3.488 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](Cc2ccc(OC)cc2)C1 ZINC001618069163 1193723775 /nfs/dbraw/zinc/72/37/75/1193723775.db2.gz GHENLQGVMXEFMB-SJLPKXTDSA-N 0 1 317.429 3.067 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC001618790635 1193752563 /nfs/dbraw/zinc/75/25/63/1193752563.db2.gz BJNWCGSBZZMPTG-CQSZACIVSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CCN(CCc1ccccc1Br)C1CSC1 ZINC001620321235 1193766108 /nfs/dbraw/zinc/76/61/08/1193766108.db2.gz SLVOALAFHFBLPF-UHFFFAOYSA-N 0 1 310.260 3.042 20 30 DGEDMN N#CC1(CNCc2ccc(N3CCCCC3)cc2)CCOCC1 ZINC001621102532 1193802106 /nfs/dbraw/zinc/80/21/06/1193802106.db2.gz QBPGEJYOMKUJDU-UHFFFAOYSA-N 0 1 313.445 3.087 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3Cc4ccccc4S3)[nH]c2c1 ZINC001623605173 1193940788 /nfs/dbraw/zinc/94/07/88/1193940788.db2.gz SVZZYNUTVOTJRT-HNNXBMFYSA-N 0 1 320.377 3.090 20 30 DGEDMN COc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC001623608813 1193940920 /nfs/dbraw/zinc/94/09/20/1193940920.db2.gz CUPMKWPNQCPENQ-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CCONC(=O)Cc1csc(-c2ccc(Cl)cc2)n1 ZINC001624256398 1193988615 /nfs/dbraw/zinc/98/86/15/1193988615.db2.gz VILDTZKIBVBNEN-UHFFFAOYSA-N 0 1 308.790 3.240 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc3cccc(O)c32)c1 ZINC001624288193 1193990584 /nfs/dbraw/zinc/99/05/84/1193990584.db2.gz WOVSTJCDPSZSTR-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccsc2Br)c1 ZINC001624287616 1193990798 /nfs/dbraw/zinc/99/07/98/1193990798.db2.gz JRTWBQUGMWKQPA-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2cccc(C#Cc3ccccc3)c2)C1 ZINC001624898031 1194020738 /nfs/dbraw/zinc/02/07/38/1194020738.db2.gz ZJVNSHQYFAQZRB-QGZVFWFLSA-N 0 1 318.420 3.367 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1cccc2n[nH]cc21 ZINC001627129796 1194113304 /nfs/dbraw/zinc/11/33/04/1194113304.db2.gz ILKQWCHNORJHPH-GFCCVEGCSA-N 0 1 304.353 3.268 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2cc(-c3ccccc3O)n[nH]2)c1 ZINC001628218674 1194167432 /nfs/dbraw/zinc/16/74/32/1194167432.db2.gz LACOUIDPJMXGCQ-UHFFFAOYSA-N 0 1 317.348 3.040 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(Nc3ccncc3)cc2)cs1 ZINC001628293635 1194171669 /nfs/dbraw/zinc/17/16/69/1194171669.db2.gz DEFUKCDIPYXAKO-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@@](C)(C#N)C3CCCCC3)c2C1 ZINC001629385386 1194230475 /nfs/dbraw/zinc/23/04/75/1194230475.db2.gz UGTLTSSAFFVBTK-XIKOKIGWSA-N 0 1 314.433 3.127 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3c[nH]c4cccc(F)c43)[nH]c2c1 ZINC001631012005 1194314291 /nfs/dbraw/zinc/31/42/91/1194314291.db2.gz BNZLPRUNLPTHSF-UHFFFAOYSA-N 0 1 319.299 3.307 20 30 DGEDMN N#CCOc1cc(F)ccc1NC(=O)c1ccc(Cl)c(O)c1 ZINC001631635027 1194346831 /nfs/dbraw/zinc/34/68/31/1194346831.db2.gz BLRPOMNGONIWKO-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@H]2c2c(F)cccc2F)c1 ZINC001631983182 1194365452 /nfs/dbraw/zinc/36/54/52/1194365452.db2.gz OPXBRVRQUFFHEC-OLZOCXBDSA-N 0 1 314.291 3.050 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc(-c3ccc4ccccc4c3)n[nH]2)NO1 ZINC001633317129 1194431798 /nfs/dbraw/zinc/43/17/98/1194431798.db2.gz NQAANJOQQUQNKK-LLVKDONJSA-N 0 1 320.352 3.082 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001635072209 1194530908 /nfs/dbraw/zinc/53/09/08/1194530908.db2.gz HNMLUOJZSMKGPC-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc(C3CCC3)cc2)c1 ZINC001635510359 1194555664 /nfs/dbraw/zinc/55/56/64/1194555664.db2.gz DYTNBJNXXGQBEG-UHFFFAOYSA-N 0 1 306.365 3.478 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc21 ZINC001636358276 1194608528 /nfs/dbraw/zinc/60/85/28/1194608528.db2.gz RPHJEJHCBIQRBJ-UHFFFAOYSA-N 0 1 318.336 3.052 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC001637384203 1194667169 /nfs/dbraw/zinc/66/71/69/1194667169.db2.gz MTKAQGPHNPJUNC-NSHDSACASA-N 0 1 305.341 3.113 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@@H](CC#N)c2ccccc2)c1 ZINC001637579118 1194677112 /nfs/dbraw/zinc/67/71/12/1194677112.db2.gz ZCAACGVUPXYPLA-HNNXBMFYSA-N 0 1 308.337 3.231 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cscc3C#N)c2C)o1 ZINC001638525274 1194722595 /nfs/dbraw/zinc/72/25/95/1194722595.db2.gz VHQSWTJVJBUQFP-UHFFFAOYSA-N 0 1 312.354 3.472 20 30 DGEDMN CCCCCCCNC(=O)c1c[nH]c2cc(OC)ccc2c1=O ZINC001638948380 1194744870 /nfs/dbraw/zinc/74/48/70/1194744870.db2.gz UYINKQJBDISDLR-UHFFFAOYSA-N 0 1 316.401 3.237 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC001639223981 1194756113 /nfs/dbraw/zinc/75/61/13/1194756113.db2.gz GQCNSWZUWZBPHA-ZIAGYGMSSA-N 0 1 319.424 3.306 20 30 DGEDMN CN(Cc1cnc[nH]1)C(=O)c1ccccc1-c1ccccc1C#N ZINC001640345168 1194807825 /nfs/dbraw/zinc/80/78/25/1194807825.db2.gz MEPQEXGVPIBFFR-UHFFFAOYSA-N 0 1 316.364 3.221 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)c(C)c2)C1 ZINC001671193466 1194813742 /nfs/dbraw/zinc/81/37/42/1194813742.db2.gz SYCQLNYVZOCCGN-MRXNPFEDSA-N 0 1 300.446 3.414 20 30 DGEDMN N#Cc1ccnc(CSc2nc(-c3ccccc3F)n[nH]2)c1 ZINC001640598781 1194820870 /nfs/dbraw/zinc/82/08/70/1194820870.db2.gz OHVDQJRUHASQQG-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN COc1cccc(CCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001641796752 1194871803 /nfs/dbraw/zinc/87/18/03/1194871803.db2.gz LUPGVAWUXOQGBU-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cn[nH]c3C3CC3)cc2n1 ZINC001641884811 1194877110 /nfs/dbraw/zinc/87/71/10/1194877110.db2.gz BUIZKADPZINOIP-UHFFFAOYSA-N 0 1 307.357 3.075 20 30 DGEDMN C#CCN(CC#CC)CCCCCCNC(=O)OC(C)(C)C ZINC001641883644 1194877642 /nfs/dbraw/zinc/87/76/42/1194877642.db2.gz OQNKQJLYKVMNRR-UHFFFAOYSA-N 0 1 306.450 3.030 20 30 DGEDMN C=C[C@H](C(=O)N(C)C1CN(CCCCC)C1)c1ccccc1 ZINC001671201537 1194883117 /nfs/dbraw/zinc/88/31/17/1194883117.db2.gz ALZURIBIUPDDDI-SFHVURJKSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC(C)(C)c2ccccc2)C1 ZINC001671202395 1194891869 /nfs/dbraw/zinc/89/18/69/1194891869.db2.gz SWXYPNFEKNWPJN-UHFFFAOYSA-N 0 1 300.446 3.073 20 30 DGEDMN CC[C@H]1CN(CC)CCN1c1nc(C(C)(C)C)ccc1C#N ZINC001642686609 1194928632 /nfs/dbraw/zinc/92/86/32/1194928632.db2.gz SUFCRSKTBJGAJG-HNNXBMFYSA-N 0 1 300.450 3.171 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H](C3CCC3)C2)cc1Cl ZINC001643421817 1195022162 /nfs/dbraw/zinc/02/21/62/1195022162.db2.gz OOAIBEKEYPWQEH-CQSZACIVSA-N 0 1 317.820 3.272 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)N(CC(C)C)CC(F)(F)F)C1 ZINC001643758755 1195052606 /nfs/dbraw/zinc/05/26/06/1195052606.db2.gz WIDSTCJGTOWYRP-CQSZACIVSA-N 0 1 320.399 3.321 20 30 DGEDMN CCC1(CC)CCCN1CC(=O)Nc1oc(C)c(C)c1C#N ZINC001644395763 1195105624 /nfs/dbraw/zinc/10/56/24/1195105624.db2.gz XEGZWLCSXGDCEF-UHFFFAOYSA-N 0 1 303.406 3.361 20 30 DGEDMN CCC#C[C@@H](C)N1CCN([C@H](C)c2cccc(OC)c2)CC1 ZINC001644578828 1195118061 /nfs/dbraw/zinc/11/80/61/1195118061.db2.gz FXEPJSHLTDPNQJ-IAGOWNOFSA-N 0 1 300.446 3.176 20 30 DGEDMN C#CCCCCCCN1CCN(C2=NCC(C)(C)S2)CC1 ZINC001644958688 1195146468 /nfs/dbraw/zinc/14/64/68/1195146468.db2.gz WCMVDQMOJPFNCP-UHFFFAOYSA-N 0 1 307.507 3.069 20 30 DGEDMN CC[C@](C)(O)CN(Cc1ccccc1)Cc1cc(C#N)ccn1 ZINC001645323094 1195176101 /nfs/dbraw/zinc/17/61/01/1195176101.db2.gz LXUGBIQCGAKLJX-IBGZPJMESA-N 0 1 309.413 3.116 20 30 DGEDMN CCCCCCCCCN1CCN(c2cc(F)ncn2)CC1 ZINC001645719802 1195199369 /nfs/dbraw/zinc/19/93/69/1195199369.db2.gz MNLUYZLVLSNVBU-UHFFFAOYSA-N 0 1 308.445 3.488 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)Cc2ccccc2CC#N)n[nH]1 ZINC001647862593 1195260498 /nfs/dbraw/zinc/26/04/98/1195260498.db2.gz LBFHGSRZHZRGPI-GOSISDBHSA-N 0 1 322.412 3.081 20 30 DGEDMN C#CC[C@@H](CO)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001651123165 1195416899 /nfs/dbraw/zinc/41/68/99/1195416899.db2.gz YWOLMVSAJBYONF-HNNXBMFYSA-N 0 1 307.821 3.135 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)CCCC(F)(F)F)n2)c1 ZINC001651270670 1195435872 /nfs/dbraw/zinc/43/58/72/1195435872.db2.gz ILMAYMFMFFBNBV-UHFFFAOYSA-N 0 1 323.278 3.014 20 30 DGEDMN Cc1cc(NC[C@H](C)N2CCc3sccc3C2)ncc1C#N ZINC001651459551 1195465078 /nfs/dbraw/zinc/46/50/78/1195465078.db2.gz ISOBODOGVYLGLX-ZDUSSCGKSA-N 0 1 312.442 3.182 20 30 DGEDMN CCNc1ccccc1CN1CCN(c2ccccc2C#N)CC1 ZINC001652098631 1195547877 /nfs/dbraw/zinc/54/78/77/1195547877.db2.gz UPSUQXICUWIVRK-UHFFFAOYSA-N 0 1 320.440 3.312 20 30 DGEDMN C[C@H](C#N)CNC[C@@H](CCC(C)(C)C)NC(=O)OC(C)(C)C ZINC001652170426 1195557333 /nfs/dbraw/zinc/55/73/33/1195557333.db2.gz ZGRVSHPKKFUDFE-ZIAGYGMSSA-N 0 1 311.470 3.455 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1nccc(Cl)c1Cl ZINC001652723140 1195640623 /nfs/dbraw/zinc/64/06/23/1195640623.db2.gz FHOUATXQCCTDKM-UHFFFAOYSA-N 0 1 317.201 3.164 20 30 DGEDMN N#CC[C@H](CC(=O)Nc1ccc2cncn2c1)c1ccccc1 ZINC001652862347 1195662025 /nfs/dbraw/zinc/66/20/25/1195662025.db2.gz QHNLORCYIVJKSX-OAHLLOKOSA-N 0 1 304.353 3.360 20 30 DGEDMN C(#Cc1ccccc1)CN[C@@H](Cn1ccnc1)c1ccccc1 ZINC001653014712 1195680134 /nfs/dbraw/zinc/68/01/34/1195680134.db2.gz OISWDMRDZCWQQF-FQEVSTJZSA-N 0 1 301.393 3.266 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1ccc(C(F)(F)F)nc1Cl ZINC001653016740 1195680639 /nfs/dbraw/zinc/68/06/39/1195680639.db2.gz HKULQHUPAYVFED-SNVBAGLBSA-N 0 1 308.731 3.171 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001654675899 1195829733 /nfs/dbraw/zinc/82/97/33/1195829733.db2.gz ROVVAWHBXGUPDA-SFHVURJKSA-N 0 1 317.396 3.206 20 30 DGEDMN CC[C@H](C)[C@H](C(=O)OC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001655734215 1195958132 /nfs/dbraw/zinc/95/81/32/1195958132.db2.gz ZYGAAHRSWIXNKI-KBXCAEBGSA-N 0 1 314.429 3.325 20 30 DGEDMN C#CCCOC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC001655868928 1195971348 /nfs/dbraw/zinc/97/13/48/1195971348.db2.gz UGLMIUKXNAMSAJ-BBWFWOEESA-N 0 1 314.429 3.130 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCc2ccccc21 ZINC001656096520 1196000646 /nfs/dbraw/zinc/00/06/46/1196000646.db2.gz BULHURSTIZFVJI-QZTJIDSGSA-N 0 1 312.457 3.215 20 30 DGEDMN C#C[C@H](NCc1ccc(OCCC(C)C)cc1)[C@H]1CCCO1 ZINC001656122229 1196007354 /nfs/dbraw/zinc/00/73/54/1196007354.db2.gz ZNNZVNCUZXVMFX-RBUKOAKNSA-N 0 1 301.430 3.382 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@H](C=C)CC)C1 ZINC001656254209 1196024323 /nfs/dbraw/zinc/02/43/23/1196024323.db2.gz QZMIITNLPRVYPA-NZSAHSFTSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC001656377665 1196034431 /nfs/dbraw/zinc/03/44/31/1196034431.db2.gz ZLPBJIGNHLVSMP-CQSZACIVSA-N 0 1 317.389 3.272 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1sc(C)c(C)c1C#N ZINC001656401990 1196036131 /nfs/dbraw/zinc/03/61/31/1196036131.db2.gz LMZRJXRREGIMRV-UHFFFAOYSA-N 0 1 305.447 3.463 20 30 DGEDMN C=C(CC)CNCc1ccccc1I ZINC001656436947 1196040613 /nfs/dbraw/zinc/04/06/13/1196040613.db2.gz WJELLOCKKNPZDW-UHFFFAOYSA-N 0 1 301.171 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](CC)SC ZINC001656531249 1196047130 /nfs/dbraw/zinc/04/71/30/1196047130.db2.gz HIDKYYCCUSSGKL-XBFCOCLRSA-N 0 1 318.914 3.097 20 30 DGEDMN C=CCCCCN1CCO[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001656605125 1196053954 /nfs/dbraw/zinc/05/39/54/1196053954.db2.gz YYXLYRAYWDTSPE-GOSISDBHSA-N 0 1 324.465 3.055 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CCC(F)F)C1 ZINC001656665233 1196060220 /nfs/dbraw/zinc/06/02/20/1196060220.db2.gz QZGMYXIOVDQMBJ-HZPDHXFCSA-N 0 1 322.399 3.192 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001656954267 1196086334 /nfs/dbraw/zinc/08/63/34/1196086334.db2.gz XONBANXVBNNEAP-CVEARBPZSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCOc1cccc(CN[C@H](C)C(=O)N2CCCC[C@@H]2C)c1 ZINC001657061353 1196099454 /nfs/dbraw/zinc/09/94/54/1196099454.db2.gz YMSYQFRIXOMZLV-JKSUJKDBSA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](C)c1ccccc1 ZINC001657079577 1196101529 /nfs/dbraw/zinc/10/15/29/1196101529.db2.gz KGVUEVJVRNMZJK-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2ccccc2o1 ZINC001657079275 1196101691 /nfs/dbraw/zinc/10/16/91/1196101691.db2.gz BNLMFYNEXSSBCS-KRWDZBQOSA-N 0 1 324.424 3.383 20 30 DGEDMN Cc1noc(C[C@H](NCc2csc(C#N)c2)c2ccccc2)n1 ZINC001657162986 1196112973 /nfs/dbraw/zinc/11/29/73/1196112973.db2.gz CBOVJAMNMDQSLO-INIZCTEOSA-N 0 1 324.409 3.385 20 30 DGEDMN C#Cc1ccc(CNCc2cc(C)c(Br)cn2)cc1 ZINC001657396021 1196137468 /nfs/dbraw/zinc/13/74/68/1196137468.db2.gz XHMYPNKEZQEPIX-UHFFFAOYSA-N 0 1 315.214 3.424 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@@H](NCCC2CCCCC2)C1 ZINC001657689614 1196170083 /nfs/dbraw/zinc/17/00/83/1196170083.db2.gz ILVGZVNTHMOGKH-CVEARBPZSA-N 0 1 321.465 3.305 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC001657717748 1196172207 /nfs/dbraw/zinc/17/22/07/1196172207.db2.gz SPZQXIXWEDVNJP-LLVKDONJSA-N 0 1 322.748 3.145 20 30 DGEDMN C=C[C@@H](COC)NCc1cc(Cl)cc(Br)c1 ZINC001657828939 1196182146 /nfs/dbraw/zinc/18/21/46/1196182146.db2.gz GSLTZIQKAQIRRY-LBPRGKRZSA-N 0 1 304.615 3.393 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](N(C)c2ccc(C)cc2)C1 ZINC001657911568 1196192817 /nfs/dbraw/zinc/19/28/17/1196192817.db2.gz BMCMCEHKNWCMKR-ROUUACIJSA-N 0 1 316.445 3.013 20 30 DGEDMN C=CCOc1ccc(CN[C@H]2CCCN(CC)C2=O)cc1Cl ZINC001658041991 1196206592 /nfs/dbraw/zinc/20/65/92/1196206592.db2.gz MPRWFSXNYTUYIW-HNNXBMFYSA-N 0 1 322.836 3.005 20 30 DGEDMN C[C@H](C#N)CN(C[C@H]1CC[C@@H](NC(=O)OC(C)(C)C)C1)C1CC1 ZINC001658075066 1196209366 /nfs/dbraw/zinc/20/93/66/1196209366.db2.gz OBBLGWMDCFYQJX-QLFBSQMISA-N 0 1 321.465 3.304 20 30 DGEDMN Cc1ccc(CNCC2(C#N)CCOCC2)cc1Br ZINC001658147745 1196217556 /nfs/dbraw/zinc/21/75/56/1196217556.db2.gz IIQLUNXQHJBCHJ-UHFFFAOYSA-N 0 1 323.234 3.168 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C#N)s2)cc1Cl ZINC001658378886 1196249148 /nfs/dbraw/zinc/24/91/48/1196249148.db2.gz IITUSIVAPDAEAX-UHFFFAOYSA-N 0 1 320.801 3.350 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@@](C)(O)C(F)(F)C(F)(F)F ZINC001658571913 1196274330 /nfs/dbraw/zinc/27/43/30/1196274330.db2.gz NKDQVXVPGAHEJL-CYBMUJFWSA-N 0 1 321.289 3.070 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1cccc(Oc2ccccc2)c1 ZINC001658696472 1196289019 /nfs/dbraw/zinc/28/90/19/1196289019.db2.gz TZPQQUTUUXRGLM-UHFFFAOYSA-N 0 1 323.396 3.165 20 30 DGEDMN CCO[C@@H]1C[C@H](NCC#Cc2ccccc2)[C@@H]1Oc1ccccc1 ZINC001658921036 1196318712 /nfs/dbraw/zinc/31/87/12/1196318712.db2.gz AIFZGNXHJROYML-HBMCJLEFSA-N 0 1 321.420 3.253 20 30 DGEDMN COc1cc(CN2CCO[C@H](C(C)(C)C)CC2)ccc1C#N ZINC001659115053 1196347518 /nfs/dbraw/zinc/34/75/18/1196347518.db2.gz WNGBCILSXVCAQB-KRWDZBQOSA-N 0 1 302.418 3.204 20 30 DGEDMN C#CCOc1ccc(CNCc2nc3ccccc3nc2C)cc1 ZINC001659267554 1196365806 /nfs/dbraw/zinc/36/58/06/1196365806.db2.gz UMUSNWDTOKGTAE-UHFFFAOYSA-N 0 1 317.392 3.240 20 30 DGEDMN C(#Cc1ccccc1)CN[C@@H]1C[C@@]2(CCOC2)Oc2ccccc21 ZINC001660269448 1196464541 /nfs/dbraw/zinc/46/45/41/1196464541.db2.gz BRZTYCJEIINRNC-TZIWHRDSSA-N 0 1 319.404 3.311 20 30 DGEDMN C=CCCCCCN(C)S(=O)(=O)c1cc2cn[nH]c2cc1C ZINC001660369114 1196475957 /nfs/dbraw/zinc/47/59/57/1196475957.db2.gz YXYDJIBBUBXIEG-UHFFFAOYSA-N 0 1 321.446 3.238 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1cc(F)c(F)cc1[O-])C2 ZINC001660412795 1196481286 /nfs/dbraw/zinc/48/12/86/1196481286.db2.gz KICGOTDVRSSSLH-UHFFFAOYSA-N 0 1 314.335 3.295 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1cnc(C)o1 ZINC001660441867 1196484673 /nfs/dbraw/zinc/48/46/73/1196484673.db2.gz WKSPLVBLYYUSID-WMLDXEAASA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001660690856 1196513066 /nfs/dbraw/zinc/51/30/66/1196513066.db2.gz DPZXPSIEKWRDID-UHFFFAOYSA-N 0 1 324.468 3.365 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CCCC2CCCC2)CC1 ZINC001660798072 1196527108 /nfs/dbraw/zinc/52/71/08/1196527108.db2.gz IWKIWSMHSXXNPU-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CCC2CCC2)CC1 ZINC001661057683 1196559250 /nfs/dbraw/zinc/55/92/50/1196559250.db2.gz HYNUFWVZKQSZMJ-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)/C=C(\C)C2CC2)CC1 ZINC001661055171 1196559375 /nfs/dbraw/zinc/55/93/75/1196559375.db2.gz XOWDBVYCKRSJQA-RZXPCSSPSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CCC2CCC2)CC1 ZINC001661057685 1196559546 /nfs/dbraw/zinc/55/95/46/1196559546.db2.gz HYNUFWVZKQSZMJ-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)/C=C(/C)C2CC2)CC1 ZINC001661055170 1196559811 /nfs/dbraw/zinc/55/98/11/1196559811.db2.gz XOWDBVYCKRSJQA-LMRWQKIVSA-N 0 1 308.853 3.066 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1cc(F)ccc1F)C1CC1 ZINC001661157248 1196574052 /nfs/dbraw/zinc/57/40/52/1196574052.db2.gz QMGKHAHCTYQWOU-INIZCTEOSA-N 0 1 322.399 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1cc(F)ccc1F)C1CC1 ZINC001661157249 1196574148 /nfs/dbraw/zinc/57/41/48/1196574148.db2.gz QMGKHAHCTYQWOU-MRXNPFEDSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1ccsc1)C1CC1 ZINC001661166870 1196574802 /nfs/dbraw/zinc/57/48/02/1196574802.db2.gz AZBCVUPUJFETRK-BXUZGUMPSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(F)ccc1C ZINC001661938086 1196663882 /nfs/dbraw/zinc/66/38/82/1196663882.db2.gz KWDGVEBULDAWGX-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1[C@H]1CCCN1CCF ZINC001661977324 1196669077 /nfs/dbraw/zinc/66/90/77/1196669077.db2.gz KYZFAZJBNDISPV-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001662126428 1196683692 /nfs/dbraw/zinc/68/36/92/1196683692.db2.gz LEBCDKRXDREBHY-FHERZECASA-N 0 1 319.449 3.102 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1ccc(F)cc1Cl ZINC001662203317 1196694315 /nfs/dbraw/zinc/69/43/15/1196694315.db2.gz YYHRBCOSKXLLBR-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001662328713 1196708119 /nfs/dbraw/zinc/70/81/19/1196708119.db2.gz FKLFFVSPMIPYPZ-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1coc(C)n1 ZINC001663093884 1196791064 /nfs/dbraw/zinc/79/10/64/1196791064.db2.gz VJUHNWXBIOQNJR-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccccc1Cl ZINC001663488155 1196885459 /nfs/dbraw/zinc/88/54/59/1196885459.db2.gz VASHWCVURFEEGE-INIZCTEOSA-N 0 1 318.848 3.224 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001663553604 1196902941 /nfs/dbraw/zinc/90/29/41/1196902941.db2.gz VGSWAPSNROZLMO-WSSFADSRSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1sc(C)nc1C ZINC001663676256 1196926406 /nfs/dbraw/zinc/92/64/06/1196926406.db2.gz DWDLJUGGEVFDHY-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)Cc2cnccc2C)C1 ZINC001663680339 1196927685 /nfs/dbraw/zinc/92/76/85/1196927685.db2.gz ZSDQFNIHFFIBHZ-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001663957092 1196960576 /nfs/dbraw/zinc/96/05/76/1196960576.db2.gz LSQXZHKRHOUKJE-UHFFFAOYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1cc(F)ccc1F ZINC001664111228 1196972787 /nfs/dbraw/zinc/97/27/87/1196972787.db2.gz DQRIGEFCTCWLNI-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=CCCC(=O)N1CCC([C@H](C)N[C@H](C)c2ncc(C)o2)CC1 ZINC001664424683 1196997246 /nfs/dbraw/zinc/99/72/46/1196997246.db2.gz CYXXJHOXMSZYCA-LSDHHAIUSA-N 0 1 319.449 3.227 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CCCCCC1)c1ccccc1 ZINC001664572585 1197015618 /nfs/dbraw/zinc/01/56/18/1197015618.db2.gz AGHHNZPRALRDKY-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C2CC(C(C)(C)C)C2)C1 ZINC001664687182 1197036703 /nfs/dbraw/zinc/03/67/03/1197036703.db2.gz QHWXIYYYYZYHMJ-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001664754724 1197051666 /nfs/dbraw/zinc/05/16/66/1197051666.db2.gz HIUZIJLRNPUSHH-FVQBIDKESA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](C)c2ccccc2)C1 ZINC001664855038 1197069071 /nfs/dbraw/zinc/06/90/71/1197069071.db2.gz URHWZOGDYSVDRU-HZPDHXFCSA-N 0 1 320.864 3.323 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1CC ZINC001665387714 1197131503 /nfs/dbraw/zinc/13/15/03/1197131503.db2.gz VXHBOXAGQFMMOT-HOTGVXAUSA-N 0 1 316.445 3.487 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C1CC1 ZINC001665387488 1197131889 /nfs/dbraw/zinc/13/18/89/1197131889.db2.gz TVCAISJDNGKCCD-OALUTQOASA-N 0 1 322.452 3.009 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1sccc1Cl ZINC001665792578 1197198210 /nfs/dbraw/zinc/19/82/10/1197198210.db2.gz HLRGDMBZERGBMR-SECBINFHSA-N 0 1 307.246 3.252 20 30 DGEDMN CC[C@H](CNC(=O)C1(CC)CCC1)NCc1ccccc1C#N ZINC001665834279 1197204085 /nfs/dbraw/zinc/20/40/85/1197204085.db2.gz OVLVVIMWRQVCDJ-QGZVFWFLSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NCc1nc(C)cs1 ZINC001665839422 1197205042 /nfs/dbraw/zinc/20/50/42/1197205042.db2.gz OMNLGPSBLOIVNF-BBRMVZONSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2cc(C)no2)CCCC1 ZINC001665893384 1197213522 /nfs/dbraw/zinc/21/35/22/1197213522.db2.gz NWQYNSOPXGJNMC-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2CC23CCC3)cc1 ZINC001666344047 1197254049 /nfs/dbraw/zinc/25/40/49/1197254049.db2.gz BRDXPPGLPQNQDO-INIZCTEOSA-N 0 1 318.848 3.335 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)/C=C(/C)C2CC2)CC1 ZINC001666355248 1197254992 /nfs/dbraw/zinc/25/49/92/1197254992.db2.gz DVADPNSNVXVLLE-QBFSEMIESA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)/C=C(\C)C2CC2)CC1 ZINC001666355247 1197255130 /nfs/dbraw/zinc/25/51/30/1197255130.db2.gz DVADPNSNVXVLLE-ACCUITESSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCCC(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001666743158 1197281998 /nfs/dbraw/zinc/28/19/98/1197281998.db2.gz HHACSEQRZUSVCQ-UHFFFAOYSA-N 0 1 313.445 3.267 20 30 DGEDMN C[C@H](CNCc1cc(F)ccc1C#N)N(C)C(=O)CC(C)(C)C ZINC001666853855 1197291091 /nfs/dbraw/zinc/29/10/91/1197291091.db2.gz DPKXLYZVHIRGLJ-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C[C@@H](CNCc1cc(F)ccc1C#N)N(C)C(=O)CC(C)(C)C ZINC001666853856 1197291314 /nfs/dbraw/zinc/29/13/14/1197291314.db2.gz DPKXLYZVHIRGLJ-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001666894808 1197296609 /nfs/dbraw/zinc/29/66/09/1197296609.db2.gz YJMFLVUEDKQRFW-KBPBESRZSA-N 0 1 322.399 3.266 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001666982825 1197305083 /nfs/dbraw/zinc/30/50/83/1197305083.db2.gz NBJOPIVHEXDGGV-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(Cl)c(F)c1 ZINC001666997027 1197306596 /nfs/dbraw/zinc/30/65/96/1197306596.db2.gz ISFZHXGBBFALPO-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl ZINC001667003642 1197307789 /nfs/dbraw/zinc/30/77/89/1197307789.db2.gz ZTHRVXSAFFADCE-SERMCNLOSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](F)C(C)C)C1CCCCC1 ZINC001667140725 1197323455 /nfs/dbraw/zinc/32/34/55/1197323455.db2.gz XZJSWLQAAGGFOS-GJZGRUSLSA-N 0 1 318.864 3.388 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccsc1 ZINC001667358315 1197341174 /nfs/dbraw/zinc/34/11/74/1197341174.db2.gz GGLWUSFNIQSGIA-SMDDNHRTSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C1CCC(C(=O)N(CC)CCNCC#Cc2ccccc2)CC1 ZINC001668546308 1197419412 /nfs/dbraw/zinc/41/94/12/1197419412.db2.gz QCQNXTJSAXGQSS-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(F)ccc1Cl ZINC001668566044 1197419679 /nfs/dbraw/zinc/41/96/79/1197419679.db2.gz DTDGWCAAOWTYOJ-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001668601490 1197421458 /nfs/dbraw/zinc/42/14/58/1197421458.db2.gz QLJZIZIEMQNEJW-LJQANCHMSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001668619190 1197422038 /nfs/dbraw/zinc/42/20/38/1197422038.db2.gz IBLHTYFUBUQFLA-UHFFFAOYSA-N 0 1 322.880 3.463 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(F)cc(Cl)c1 ZINC001669057546 1197438833 /nfs/dbraw/zinc/43/88/33/1197438833.db2.gz LQYPJVBDISBEBF-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001669429719 1197454077 /nfs/dbraw/zinc/45/40/77/1197454077.db2.gz UKMPDNNVPGEIIS-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)C(C)(C)CC(C)C ZINC001669443224 1197454615 /nfs/dbraw/zinc/45/46/15/1197454615.db2.gz BORFWHHXYZRQIQ-GFCCVEGCSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1CNCc1ncc(C)o1 ZINC001669956693 1197511253 /nfs/dbraw/zinc/51/12/53/1197511253.db2.gz WLSFVZRJEOSVKQ-JKSUJKDBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1ncc(C2CC2)o1 ZINC001670201317 1197525142 /nfs/dbraw/zinc/52/51/42/1197525142.db2.gz RTUTZBXSQYGFHU-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001671683582 1197561038 /nfs/dbraw/zinc/56/10/38/1197561038.db2.gz CHMYVSUPTRSSJM-XHDPSFHLSA-N 0 1 312.866 3.272 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001671684694 1197562407 /nfs/dbraw/zinc/56/24/07/1197562407.db2.gz SDHZMFCGEYEEKW-LRDDRELGSA-N 0 1 324.877 3.109 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001671684696 1197562717 /nfs/dbraw/zinc/56/27/17/1197562717.db2.gz SDHZMFCGEYEEKW-WBMJQRKESA-N 0 1 324.877 3.109 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CCC2(F)F)CC1 ZINC001671842024 1197574968 /nfs/dbraw/zinc/57/49/68/1197574968.db2.gz AYSZOSVIFRBWHR-LBPRGKRZSA-N 0 1 320.811 3.003 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001671916585 1197585795 /nfs/dbraw/zinc/58/57/95/1197585795.db2.gz OUFKNFNRWCIJLK-APWZRJJASA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C[C@@H](C)C2CC2)C1 ZINC001671972460 1197589340 /nfs/dbraw/zinc/58/93/40/1197589340.db2.gz SGFNKLZJDUSHDU-HIFRSBDPSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001672854484 1197625643 /nfs/dbraw/zinc/62/56/43/1197625643.db2.gz XQOJKXFVIFKYPT-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(C3CCC3)CCC2)CCC1 ZINC001673193856 1197642527 /nfs/dbraw/zinc/64/25/27/1197642527.db2.gz RBNNLXUGEGAEDR-UHFFFAOYSA-N 0 1 310.869 3.338 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sccc2CC)CCC1 ZINC001673237871 1197646093 /nfs/dbraw/zinc/64/60/93/1197646093.db2.gz DMMYPYLVJJMHFF-UHFFFAOYSA-N 0 1 312.866 3.305 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001673420872 1197660461 /nfs/dbraw/zinc/66/04/61/1197660461.db2.gz LSHWZHOVKHYKER-CQSZACIVSA-N 0 1 321.490 3.336 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)CCCC)cc2C1 ZINC001673760061 1197690321 /nfs/dbraw/zinc/69/03/21/1197690321.db2.gz FCTFQQHSAIPMPT-MRXNPFEDSA-N 0 1 312.457 3.468 20 30 DGEDMN CC[C@H](F)CN1CC[C@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001674018408 1197722691 /nfs/dbraw/zinc/72/26/91/1197722691.db2.gz MKSNPFXPEFTXHC-GJZGRUSLSA-N 0 1 310.457 3.001 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CC=C)CCCCC2)C(C)(C)C1 ZINC001674034430 1197726752 /nfs/dbraw/zinc/72/67/52/1197726752.db2.gz UIDRLWCKWGYDQQ-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCN(CCF)[C@@H](C)C2)CCCCC1 ZINC001674146315 1197738474 /nfs/dbraw/zinc/73/84/74/1197738474.db2.gz CKFMVUHCMIUMMU-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC001674441318 1197775225 /nfs/dbraw/zinc/77/52/25/1197775225.db2.gz XHKHORWBXGPUHO-AWEZNQCLSA-N 0 1 317.458 3.009 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001674457771 1197779886 /nfs/dbraw/zinc/77/98/86/1197779886.db2.gz MQSMAQGSTPXPIQ-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(C)(C)C)cn1 ZINC001674667338 1197827742 /nfs/dbraw/zinc/82/77/42/1197827742.db2.gz RCZGTVKFPRKROQ-QWHCGFSZSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2cccnc21 ZINC001674693442 1197836122 /nfs/dbraw/zinc/83/61/22/1197836122.db2.gz GMQBMHULCCLEQY-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccncc1Cl ZINC001674733883 1197854780 /nfs/dbraw/zinc/85/47/80/1197854780.db2.gz DXZUKVVHEXLFJJ-STQMWFEESA-N 0 1 323.868 3.320 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)Cc2ccnc(Cl)c2)C1 ZINC001674991923 1197952631 /nfs/dbraw/zinc/95/26/31/1197952631.db2.gz RFMCUTJGUZBYTM-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(CCc2ccccc2Cl)C1 ZINC001675284365 1198035760 /nfs/dbraw/zinc/03/57/60/1198035760.db2.gz CUWHNKVIOKUUKL-CQSZACIVSA-N 0 1 320.864 3.285 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001675349671 1198050732 /nfs/dbraw/zinc/05/07/32/1198050732.db2.gz XTQXVWSXBDSDKX-IAGOWNOFSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CC(C)=C(C)C)C2)C1 ZINC001675423165 1198061098 /nfs/dbraw/zinc/06/10/98/1198061098.db2.gz UABDZQARBWQTSH-LJQANCHMSA-N 0 1 320.477 3.002 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC)CCCCC2)C(C)(C)C1 ZINC001675486811 1198080857 /nfs/dbraw/zinc/08/08/57/1198080857.db2.gz PMKIWMJMYGSJOF-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CN(C)CC#CCNC(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC001675566514 1198089338 /nfs/dbraw/zinc/08/93/38/1198089338.db2.gz LXTIGSXLBGPEOE-KRWDZBQOSA-N 0 1 315.461 3.028 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](CC)c3ccccc3)C2)C1 ZINC001675678377 1198112701 /nfs/dbraw/zinc/11/27/01/1198112701.db2.gz QTKVMLQFQZPDLB-SFHVURJKSA-N 0 1 324.468 3.128 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H](CC)C(C)(C)C)C2)C1 ZINC001675678656 1198115311 /nfs/dbraw/zinc/11/53/11/1198115311.db2.gz UXUYBHKWSGWXED-QGZVFWFLSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001675781933 1198140577 /nfs/dbraw/zinc/14/05/77/1198140577.db2.gz MXJGFXZDZNETHE-KLHDSHLOSA-N 0 1 319.449 3.131 20 30 DGEDMN C[C@@H](CN(C)C(=O)CCC1CCC1)NCc1ccccc1C#N ZINC001676331189 1198291752 /nfs/dbraw/zinc/29/17/52/1198291752.db2.gz UKKDOHWJZYIZBS-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2ccsc2[nH]1 ZINC001676397280 1198309937 /nfs/dbraw/zinc/30/99/37/1198309937.db2.gz ONARLRLUXCBQNR-SNVBAGLBSA-N 0 1 311.838 3.032 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2scnc2c1 ZINC001676453979 1198323666 /nfs/dbraw/zinc/32/36/66/1198323666.db2.gz AFRFPDPGWGNAKT-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C[C@@H]1C ZINC001676717572 1198370418 /nfs/dbraw/zinc/37/04/18/1198370418.db2.gz ZNGABAYNJCFIQG-JSGCOSHPSA-N 0 1 308.372 3.010 20 30 DGEDMN C/C=C(/C)C(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001676795749 1198391384 /nfs/dbraw/zinc/39/13/84/1198391384.db2.gz FCWMOTHCBGOVDW-GZQGDQONSA-N 0 1 322.452 3.118 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]12CCC[C@@H]1N(C/C=C/Cl)CC2 ZINC001676817317 1198399343 /nfs/dbraw/zinc/39/93/43/1198399343.db2.gz WZDJVSCWMJHPDU-VGPQBGGKSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](CNCc2cscn2)C1 ZINC001676945650 1198441411 /nfs/dbraw/zinc/44/14/11/1198441411.db2.gz ODAWMOIQNJBIEU-KBPBESRZSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CCC(=O)N(CC[N@@H+](C)C[C@@H]1CCCCO1)C(C)C ZINC001677201720 1198485884 /nfs/dbraw/zinc/48/58/84/1198485884.db2.gz OYROJDLMWDOBOZ-KRWDZBQOSA-N 0 1 310.482 3.081 20 30 DGEDMN C=CCCC(=O)N(C)C1CC(NCc2c(F)cccc2F)C1 ZINC001677385374 1198525689 /nfs/dbraw/zinc/52/56/89/1198525689.db2.gz AGDPPGQZFGCZHK-UHFFFAOYSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC001677621298 1198561624 /nfs/dbraw/zinc/56/16/24/1198561624.db2.gz OEXVRMUQNYKOTG-LBPRGKRZSA-N 0 1 324.877 3.439 20 30 DGEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC001677621296 1198561762 /nfs/dbraw/zinc/56/17/62/1198561762.db2.gz OEXVRMUQNYKOTG-GFCCVEGCSA-N 0 1 324.877 3.439 20 30 DGEDMN C=CC[C@H]1CCN(C(=O)NC[C@@H](c2ccco2)N(CC)CC)C1 ZINC001677953146 1198610368 /nfs/dbraw/zinc/61/03/68/1198610368.db2.gz YABGOSUJFFEQAY-HOTGVXAUSA-N 0 1 319.449 3.270 20 30 DGEDMN C=CC[C@H]1CCN(C(=O)NC[C@H](c2ccco2)N(CC)CC)C1 ZINC001677953148 1198610394 /nfs/dbraw/zinc/61/03/94/1198610394.db2.gz YABGOSUJFFEQAY-JKSUJKDBSA-N 0 1 319.449 3.270 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN(Cc1cccc(F)c1)C2 ZINC001678338483 1198671694 /nfs/dbraw/zinc/67/16/94/1198671694.db2.gz PPRUTCGFVDCKMU-UHFFFAOYSA-N 0 1 316.420 3.072 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCN(CC)C[C@@H]1C)c1ccccc1 ZINC001680810121 1198917555 /nfs/dbraw/zinc/91/75/55/1198917555.db2.gz TWCBOKWKRHBESC-WMZOPIPTSA-N 0 1 315.461 3.430 20 30 DGEDMN C=CCN(C(=O)NCc1ccc(Nc2ccncc2)cc1)C(C)C ZINC001683067121 1199231175 /nfs/dbraw/zinc/23/11/75/1199231175.db2.gz RHJFAGALHPAXDA-UHFFFAOYSA-N 0 1 324.428 3.353 20 30 DGEDMN C=CCCC1(CNC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)CCCC1 ZINC001683985331 1199325621 /nfs/dbraw/zinc/32/56/21/1199325621.db2.gz XTQFKDIXOKTSKE-IYBDPMFKSA-N 0 1 307.482 3.247 20 30 DGEDMN C=CCCCCn1c(C2CC2)nnc1N1CCN(C(C)C)CC1 ZINC001760425324 1199690437 /nfs/dbraw/zinc/69/04/37/1199690437.db2.gz JHHOHFBONSADBR-UHFFFAOYSA-N 0 1 317.481 3.042 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)CC(F)(F)F ZINC001688893270 1199946503 /nfs/dbraw/zinc/94/65/03/1199946503.db2.gz ADJOKWIUHLSVFT-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001688943980 1199966879 /nfs/dbraw/zinc/96/68/79/1199966879.db2.gz DQGKAFKDELEGTH-SHTZXODSSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(Cl)cc1 ZINC001753859577 1200007505 /nfs/dbraw/zinc/00/75/05/1200007505.db2.gz TXLAXLWRQOHHLO-UHFFFAOYSA-N 0 1 308.853 3.442 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CCCC)C(C)C)[C@H]1CC ZINC001689197722 1200056402 /nfs/dbraw/zinc/05/64/02/1200056402.db2.gz AXQNHLCVOWHTDY-OKZBNKHCSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CCCC(=O)N(CC)CCN[C@H]1CCc2c1c(F)ccc2F ZINC001754037202 1200080753 /nfs/dbraw/zinc/08/07/53/1200080753.db2.gz PABIENSZWUMDAJ-INIZCTEOSA-N 0 1 322.399 3.356 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001689282369 1200081804 /nfs/dbraw/zinc/08/18/04/1200081804.db2.gz DOUMURGMYBOTBC-DAYGRLMNSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCC=C(Cl)Cl ZINC001754065601 1200092883 /nfs/dbraw/zinc/09/28/83/1200092883.db2.gz KOLMSDTZCDWPGH-AWEZNQCLSA-N 0 1 307.265 3.346 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H](CC)c2ccccc2)[C@H]1C ZINC001689328262 1200096934 /nfs/dbraw/zinc/09/69/34/1200096934.db2.gz PCNPCGPNDPQZGG-AOIWGVFYSA-N 0 1 312.457 3.173 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H]1CCc2ccccc21 ZINC001689353998 1200108071 /nfs/dbraw/zinc/10/80/71/1200108071.db2.gz TYUSGOXLEJAUSW-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN O=C(NC/C=C/CNCC#Cc1ccccc1)C1=CCCCCC1 ZINC001754115626 1200108997 /nfs/dbraw/zinc/10/89/97/1200108997.db2.gz RRBWBYPWAKGBCH-CMDGGOBGSA-N 0 1 322.452 3.191 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1ccncc1Cl ZINC001754176274 1200123793 /nfs/dbraw/zinc/12/37/93/1200123793.db2.gz BHKRSYUKMFGWIO-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC(C)(C)c1ccccc1 ZINC001754255335 1200157344 /nfs/dbraw/zinc/15/73/44/1200157344.db2.gz IRKGWETXORERJS-CQSZACIVSA-N 0 1 308.853 3.201 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C)c1Cl ZINC001754287817 1200173899 /nfs/dbraw/zinc/17/38/99/1200173899.db2.gz QYOCVGIHUVGJLZ-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C2CCC2)c1 ZINC001754290428 1200175073 /nfs/dbraw/zinc/17/50/73/1200175073.db2.gz ARGYGXNSALCETI-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1ccncc1Cl ZINC001754495111 1200308397 /nfs/dbraw/zinc/30/83/97/1200308397.db2.gz FRJGSDCDCKAAST-AWEZNQCLSA-N 0 1 321.852 3.286 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(CC)Cc1cc(C#N)ccc1F ZINC001689918765 1200332442 /nfs/dbraw/zinc/33/24/42/1200332442.db2.gz PRRPSOYZHJEUPJ-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ccccc2F)CC1 ZINC001754522272 1200334888 /nfs/dbraw/zinc/33/48/88/1200334888.db2.gz HUWXYEXRGAANFR-AWEZNQCLSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3occc3s2)CC1 ZINC001754524833 1200337250 /nfs/dbraw/zinc/33/72/50/1200337250.db2.gz SIIZIXTVWAOFGD-UHFFFAOYSA-N 0 1 310.806 3.099 20 30 DGEDMN C=CCCC(=O)N(C)C1CCN(Cc2ccc(F)cc2)CC1 ZINC001690018447 1200368758 /nfs/dbraw/zinc/36/87/58/1200368758.db2.gz GEKGVSIBJMWTLA-UHFFFAOYSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCCC(=O)N(C)C1CN(CC[C@H]2CCc3ccccc32)C1 ZINC001754572019 1200371320 /nfs/dbraw/zinc/37/13/20/1200371320.db2.gz PJZNKLNSDFNURU-QGZVFWFLSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN([C@@H](CC)c2ccc(F)cc2)C1 ZINC001690085696 1200392837 /nfs/dbraw/zinc/39/28/37/1200392837.db2.gz YKBHLBSLLYBSRO-IRXDYDNUSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCC1(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001754639966 1200409451 /nfs/dbraw/zinc/40/94/51/1200409451.db2.gz CJKNFZZPVGZYLA-YKXBDCQTSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCC1(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001754639964 1200410493 /nfs/dbraw/zinc/41/04/93/1200410493.db2.gz CJKNFZZPVGZYLA-AVFOEOQDSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001690129024 1200410898 /nfs/dbraw/zinc/41/08/98/1200410898.db2.gz WEEIDRAJFKXMME-VXGBXAGGSA-N 0 1 320.811 3.001 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2CCC3(CC3)CC2)CC1 ZINC001690135810 1200413424 /nfs/dbraw/zinc/41/34/24/1200413424.db2.gz UKCQJXGAFCUKEI-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H]2CC=CCC2)CC1 ZINC001690135928 1200413477 /nfs/dbraw/zinc/41/34/77/1200413477.db2.gz YCKXQZJNNCTXPN-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccncc1C)C1CCCC1 ZINC001754647122 1200414830 /nfs/dbraw/zinc/41/48/30/1200414830.db2.gz LNZBUSBSRJDWIA-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001690137023 1200416205 /nfs/dbraw/zinc/41/62/05/1200416205.db2.gz YWPVQSXTWXFKKY-RQZCQDPDSA-N 0 1 302.462 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1coc(C(F)F)c1)C1CC1 ZINC001690164897 1200429027 /nfs/dbraw/zinc/42/90/27/1200429027.db2.gz OWOARRQMNWQKPC-NSHDSACASA-N 0 1 318.751 3.068 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2CC(C)(C)C2)C1 ZINC001690186764 1200441869 /nfs/dbraw/zinc/44/18/69/1200441869.db2.gz ITLNIEHSLYPVSZ-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001754686158 1200445492 /nfs/dbraw/zinc/44/54/92/1200445492.db2.gz ZTPWLAVMRYYZIW-PXDRNWIDSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](C)CCC)CC1 ZINC001754716269 1200459820 /nfs/dbraw/zinc/45/98/20/1200459820.db2.gz LVXMVDMQHVOLLC-CYBMUJFWSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001754916734 1200536688 /nfs/dbraw/zinc/53/66/88/1200536688.db2.gz BKFKTICEFCJDEX-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)cc(F)c1 ZINC001754946322 1200549421 /nfs/dbraw/zinc/54/94/21/1200549421.db2.gz DZXJYYRQQWQQQK-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2C[C@@H](C)C[C@H](C)C2)CCC1 ZINC001754993289 1200579344 /nfs/dbraw/zinc/57/93/44/1200579344.db2.gz JPSKCQPXYPRRTH-STQMWFEESA-N 0 1 312.885 3.440 20 30 DGEDMN C[C@@H](NC(=O)CC1CC1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001690490939 1200588361 /nfs/dbraw/zinc/58/83/61/1200588361.db2.gz GXRVZMOOCYPPKK-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN CN(CCCN(C)C(=O)CC1(C)CC1)Cc1ccccc1C#N ZINC001755039607 1200607502 /nfs/dbraw/zinc/60/75/02/1200607502.db2.gz WFIZARRKFKUXOE-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001690541497 1200614586 /nfs/dbraw/zinc/61/45/86/1200614586.db2.gz DEANUFYJJKPONL-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cccc(OC)c1Cl ZINC001690612665 1200648010 /nfs/dbraw/zinc/64/80/10/1200648010.db2.gz OULVMSNAAWTAPK-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN C=CCCC[N@H+]1CC[C@@H](NC(=O)c2ccccc2O)C(C)(C)C1 ZINC001755136616 1200653691 /nfs/dbraw/zinc/65/36/91/1200653691.db2.gz CLUSOTOXGMAGCQ-QGZVFWFLSA-N 0 1 316.445 3.189 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(F)c2ccccc12 ZINC001690625519 1200655470 /nfs/dbraw/zinc/65/54/70/1200655470.db2.gz TVAZOBCHUNKDPM-GFCCVEGCSA-N 0 1 320.795 3.439 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(F)c2occc21 ZINC001690648917 1200671362 /nfs/dbraw/zinc/67/13/62/1200671362.db2.gz MEJPTPVONXYKHL-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001690666319 1200676950 /nfs/dbraw/zinc/67/69/50/1200676950.db2.gz YMWRHCSYCPBQIN-AWEZNQCLSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1ccccc1F ZINC001755190474 1200689445 /nfs/dbraw/zinc/68/94/45/1200689445.db2.gz ZMRZBGVYJGJJBS-INIZCTEOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NCc2ncc(C(C)C)o2)C1 ZINC001690766979 1200705328 /nfs/dbraw/zinc/70/53/28/1200705328.db2.gz WXHKNIWPWUXUIE-LSDHHAIUSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N(C)Cc2cncc(C)c2)CC1 ZINC001755315059 1200801311 /nfs/dbraw/zinc/80/13/11/1200801311.db2.gz LBFBOBNGQFMKPO-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cscc1Cl ZINC001691787419 1200808585 /nfs/dbraw/zinc/80/85/85/1200808585.db2.gz MKOLPWDAGKRITF-ZDUSSCGKSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H](F)C(C)C)CC(C)(C)C1 ZINC001691818775 1200821937 /nfs/dbraw/zinc/82/19/37/1200821937.db2.gz JUTCGNKTFTXTAA-UONOGXRCSA-N 0 1 318.864 3.340 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC2(c3ccccc3)CC2)C1 ZINC001691853314 1200837740 /nfs/dbraw/zinc/83/77/40/1200837740.db2.gz UGTJBMLNHFTFTN-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001691852495 1200837777 /nfs/dbraw/zinc/83/77/77/1200837777.db2.gz HMNWVRCRFSJYDY-DLBZAZTESA-N 0 1 304.478 3.456 20 30 DGEDMN CCCC[C@H](CNCc1cc(F)ccc1C#N)NC(=O)C(C)C ZINC001755356639 1200839617 /nfs/dbraw/zinc/83/96/17/1200839617.db2.gz TVAHOGPSQVDCSF-QGZVFWFLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2cccs2)C1 ZINC001691860864 1200841298 /nfs/dbraw/zinc/84/12/98/1200841298.db2.gz MNCUHTHXQUTAQT-GJZGRUSLSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3cnccc3c2)C1 ZINC001691866641 1200846234 /nfs/dbraw/zinc/84/62/34/1200846234.db2.gz CLKXOTCPNVNUTA-LJQANCHMSA-N 0 1 323.440 3.347 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCCCCN1C/C=C/Cl ZINC001755364122 1200851055 /nfs/dbraw/zinc/85/10/55/1200851055.db2.gz QVIPOJAKNPLCEG-USYSOWRXSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001755385975 1200868268 /nfs/dbraw/zinc/86/82/68/1200868268.db2.gz HTVYQBFLBKQKIQ-APWZRJJASA-N 0 1 314.473 3.124 20 30 DGEDMN C=CCCCC(=O)N1CC[C@]2(C1)CN(CC/C=C/CC)CCO2 ZINC001755401553 1200875591 /nfs/dbraw/zinc/87/55/91/1200875591.db2.gz IQVDYNNMKPGJMQ-WSSFADSRSA-N 0 1 320.477 3.002 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC(C)C)CCCC2)C(C)(C)C1 ZINC001755411410 1200885179 /nfs/dbraw/zinc/88/51/79/1200885179.db2.gz KPDAIPAGOCMNMV-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001691980348 1200895946 /nfs/dbraw/zinc/89/59/46/1200895946.db2.gz LAZYHAGWUOBRMP-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(CC(C)C)CCC2)[C@H]1CC ZINC001691991094 1200900430 /nfs/dbraw/zinc/90/04/30/1200900430.db2.gz YUHXFOLSZNEUHE-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@@H]1CC ZINC001692001295 1200907108 /nfs/dbraw/zinc/90/71/08/1200907108.db2.gz LWOVEVFZWPYXAF-LSDHHAIUSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CC#CC)[C@@H]2CC)CCCC1 ZINC001692030676 1200917500 /nfs/dbraw/zinc/91/75/00/1200917500.db2.gz ALOODXPEVVQQKT-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001692185891 1200961183 /nfs/dbraw/zinc/96/11/83/1200961183.db2.gz PROCJZJGQJCRLM-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(Cl)c1C ZINC001692252313 1200986855 /nfs/dbraw/zinc/98/68/55/1200986855.db2.gz KBVOERCOZCCEIY-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C[C@@H](CC(=O)NC1CN(CCC(C)C)C1)c1ccccc1 ZINC001692267331 1200995643 /nfs/dbraw/zinc/99/56/43/1200995643.db2.gz XRIDATKIOLTDAI-INIZCTEOSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CC[C@H](C(=O)NC1CN(CCC(C)C)C1)c1ccccc1 ZINC001692264260 1200995687 /nfs/dbraw/zinc/99/56/87/1200995687.db2.gz VZIRKGHUAHROIQ-SFHVURJKSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H](C)SC)CC(C)(C)C1 ZINC001692272963 1200998085 /nfs/dbraw/zinc/99/80/85/1200998085.db2.gz OGXXHBNBLFOMQL-STQMWFEESA-N 0 1 318.914 3.097 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ccncc2Cl)[C@@H]1C ZINC001755659991 1201000679 /nfs/dbraw/zinc/00/06/79/1201000679.db2.gz WBZDFUGGGHLARF-XJKSGUPXSA-N 0 1 321.852 3.170 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C[C@H]1C ZINC001755672668 1201008652 /nfs/dbraw/zinc/00/86/52/1201008652.db2.gz KWPDRVDLFNHYGC-IUODEOHRSA-N 0 1 308.372 3.010 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc(C)nc1C ZINC001692359748 1201034573 /nfs/dbraw/zinc/03/45/73/1201034573.db2.gz BPQIEVUGIPYMMR-HOTGVXAUSA-N 0 1 319.449 3.161 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)c(C)s1 ZINC001692384659 1201047128 /nfs/dbraw/zinc/04/71/28/1201047128.db2.gz VKIMTPDHLRIPBB-UGFHNGPFSA-N 0 1 319.474 3.013 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](CNCc2cscn2)C1 ZINC001755736077 1201054741 /nfs/dbraw/zinc/05/47/41/1201054741.db2.gz QXBDRWUNFCSYKI-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN CC[C@H](C)C(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001755809634 1201070610 /nfs/dbraw/zinc/07/06/10/1201070610.db2.gz SQPPTDXDGBVVJM-INIZCTEOSA-N 0 1 315.461 3.273 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc2cccnc2c1)C(C)C ZINC001755814646 1201079773 /nfs/dbraw/zinc/07/97/73/1201079773.db2.gz JRMKHRVRNZBYCV-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1CCC)C(C)(C)C ZINC001755833221 1201096210 /nfs/dbraw/zinc/09/62/10/1201096210.db2.gz XCGSVNWDQDWYQE-MCIONIFRSA-N 0 1 300.874 3.296 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](C)C2CCCCC2)CC1 ZINC001755895235 1201122587 /nfs/dbraw/zinc/12/25/87/1201122587.db2.gz AUKCNNPUFLTFCO-KRWDZBQOSA-N 0 1 316.489 3.365 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C2(CC(C)C)CCCC2)CC1 ZINC001755895575 1201123524 /nfs/dbraw/zinc/12/35/24/1201123524.db2.gz MNOZAOBBFVZZHO-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC/C=C/c2ccccc2)C1 ZINC001698570546 1201241520 /nfs/dbraw/zinc/24/15/20/1201241520.db2.gz VQUZVZVTKUHIJP-BEBFYNPSSA-N 0 1 310.441 3.036 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001698571331 1201242000 /nfs/dbraw/zinc/24/20/00/1201242000.db2.gz OVXKILZXJAXUMK-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001698722006 1201361813 /nfs/dbraw/zinc/36/18/13/1201361813.db2.gz CDRQJCMJZRWSBD-YESZJQIVSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccsc2)CC1 ZINC001698722225 1201362930 /nfs/dbraw/zinc/36/29/30/1201362930.db2.gz PIETULLVJDVBGB-UHFFFAOYSA-N 0 1 312.866 3.333 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001698722003 1201363402 /nfs/dbraw/zinc/36/34/02/1201363402.db2.gz CDRQJCMJZRWSBD-IKGGRYGDSA-N 0 1 324.896 3.393 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001698821429 1201469331 /nfs/dbraw/zinc/46/93/31/1201469331.db2.gz GFTQETKIKZOKBD-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1ccn(C(C)C)n1 ZINC001698843095 1201495892 /nfs/dbraw/zinc/49/58/92/1201495892.db2.gz LZYHTGHCGSEHRO-CRAIPNDOSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001698843471 1201499622 /nfs/dbraw/zinc/49/96/22/1201499622.db2.gz PDZYNOUKGIUOHY-SJKOYZFVSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1ccc(C)cc1 ZINC001698876178 1201536808 /nfs/dbraw/zinc/53/68/08/1201536808.db2.gz ZCMOTLVOYFBRNM-KRWDZBQOSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cncc(CC)c1 ZINC001698876114 1201538378 /nfs/dbraw/zinc/53/83/78/1201538378.db2.gz XMXLIIIKJVHBLJ-INIZCTEOSA-N 0 1 323.868 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1cc(F)ccc1C ZINC001698994883 1201661332 /nfs/dbraw/zinc/66/13/32/1201661332.db2.gz IQLVISJCRBPHNY-UHFFFAOYSA-N 0 1 306.425 3.237 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)CCc1ccc(C)cc1C ZINC001699290315 1201778887 /nfs/dbraw/zinc/77/88/87/1201778887.db2.gz YEWJCWROYSHZNH-PLNGDYQASA-N 0 1 320.864 3.251 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1(C(C)(F)F)CC1 ZINC001752211844 1201801523 /nfs/dbraw/zinc/80/15/23/1201801523.db2.gz HPNGDNFMPVCQMZ-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1c(F)cccc1Cl ZINC001699402139 1201840585 /nfs/dbraw/zinc/84/05/85/1201840585.db2.gz NXLKQNOZWMVGEQ-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCC=C(Cl)Cl)CCC1 ZINC001699417317 1201850730 /nfs/dbraw/zinc/85/07/30/1201850730.db2.gz GUQHDTGUFJHVEG-NSHDSACASA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCc2ccco2)C1 ZINC001752497896 1201856763 /nfs/dbraw/zinc/85/67/63/1201856763.db2.gz PCHDTOWFTLOHOA-HNNXBMFYSA-N 0 1 324.852 3.135 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H](C)C1CCCCC1 ZINC001752824318 1201953016 /nfs/dbraw/zinc/95/30/16/1201953016.db2.gz UPCJIHQMHBNTRX-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC1CCC(C)(C)CC1 ZINC001752826263 1201955311 /nfs/dbraw/zinc/95/53/11/1201955311.db2.gz YPDMWURDNCIQSZ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c(F)ccc(F)c1Cl ZINC001752906088 1202005148 /nfs/dbraw/zinc/00/51/48/1202005148.db2.gz ZNJNOXHXOFDKTP-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1cccc(CC)c1 ZINC001699617206 1201982450 /nfs/dbraw/zinc/98/24/50/1201982450.db2.gz LRDIYCDOELGLRO-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C[C@@H](CNC(=O)C1(C2CCC2)CCC1)NCC#Cc1ccccc1 ZINC001752889829 1201997263 /nfs/dbraw/zinc/99/72/63/1201997263.db2.gz ATVXSRWMHNVRHK-KRWDZBQOSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001752915078 1202010850 /nfs/dbraw/zinc/01/08/50/1202010850.db2.gz ULMCBPJJKHLECA-CYBMUJFWSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)CCC(C)(C)C)CC1 ZINC001699669735 1202025876 /nfs/dbraw/zinc/02/58/76/1202025876.db2.gz JRXMHDZVDYHUIL-LBPRGKRZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(c3cccc(C)c3)CC2)CC1 ZINC001699675331 1202028452 /nfs/dbraw/zinc/02/84/52/1202028452.db2.gz IABAMXOIIMTJJI-UHFFFAOYSA-N 0 1 318.848 3.018 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001699690605 1202036410 /nfs/dbraw/zinc/03/64/10/1202036410.db2.gz GRQQUDXIJYRFKC-LUKYLMHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CCCCC(=O)N1CCC2(C1)CCN(CC(=C)Cl)CC2 ZINC001699694577 1202037347 /nfs/dbraw/zinc/03/73/47/1202037347.db2.gz KFNMFXUUFZKPDA-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@]3(C2)CCCN(CCF)C3)CCCC1 ZINC001699697080 1202038480 /nfs/dbraw/zinc/03/84/80/1202038480.db2.gz LYSSFVFKVCOMNB-SFHVURJKSA-N 0 1 322.468 3.407 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@]3(CCN(CCF)C3)C2)CCCCC1 ZINC001699702822 1202041933 /nfs/dbraw/zinc/04/19/33/1202041933.db2.gz CFXPLKQYYOEKOJ-SFHVURJKSA-N 0 1 322.468 3.407 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001699715535 1202048729 /nfs/dbraw/zinc/04/87/29/1202048729.db2.gz BSLCHDNIMKGXPB-QGZVFWFLSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001699717798 1202049952 /nfs/dbraw/zinc/04/99/52/1202049952.db2.gz KYZWHCIJODEEKZ-KBPBESRZSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(F)c2F)C1 ZINC001699718769 1202051089 /nfs/dbraw/zinc/05/10/89/1202051089.db2.gz VOJGJYWJNUZVJR-LBPRGKRZSA-N 0 1 308.372 3.076 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H](C)C2CCCCC2)CC1 ZINC001699773423 1202075425 /nfs/dbraw/zinc/07/54/25/1202075425.db2.gz RXRWCMFXSAFPHM-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(C(=O)CCC1CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001699780326 1202077455 /nfs/dbraw/zinc/07/74/55/1202077455.db2.gz RMYYMOZKDYBHRF-WCIBSUBMSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1ccco1)C1CCCC1 ZINC001699797338 1202082479 /nfs/dbraw/zinc/08/24/79/1202082479.db2.gz UJROCECQEXCRGY-ZFWWWQNUSA-N 0 1 324.852 3.400 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)C1CC1)C1CCCC1 ZINC001699798229 1202082726 /nfs/dbraw/zinc/08/27/26/1202082726.db2.gz DMOSMTCEMVRIRZ-BLLLJJGKSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)Cc1sc(C)nc1C ZINC001753086063 1202116208 /nfs/dbraw/zinc/11/62/08/1202116208.db2.gz BULBWCZHJLODGK-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](CCC)c1ccccc1 ZINC001753094067 1202124504 /nfs/dbraw/zinc/12/45/04/1202124504.db2.gz VAHGGDBVFOCNJT-SFHVURJKSA-N 0 1 300.446 3.032 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC1CCCC1)c1ccccc1CC ZINC001699933465 1202140806 /nfs/dbraw/zinc/14/08/06/1202140806.db2.gz MRERPODCIPUOIS-IBGZPJMESA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccoc1CC)c1ccccc1CC ZINC001699934789 1202141594 /nfs/dbraw/zinc/14/15/94/1202141594.db2.gz PZCGEJQIWXUWAB-SFHVURJKSA-N 0 1 324.424 3.098 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CCC)CCC1 ZINC001700010365 1202162030 /nfs/dbraw/zinc/16/20/30/1202162030.db2.gz IPUYEOLOWLLYPE-DLBZAZTESA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(CC(C)C)s1)C1CC1 ZINC001753155765 1202172631 /nfs/dbraw/zinc/17/26/31/1202172631.db2.gz JHALVWUXJFBLRU-UHFFFAOYSA-N 0 1 318.486 3.164 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H]1CC[C@H](C2CCC2)CC1)C1CC1 ZINC001753156386 1202173618 /nfs/dbraw/zinc/17/36/18/1202173618.db2.gz SHQFXVOWGXMRNY-IYARVYRRSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](CC)C1CCC(C)CC1)C1CC1 ZINC001753156182 1202173648 /nfs/dbraw/zinc/17/36/48/1202173648.db2.gz NVNMYKZHRXPETC-FAFZWHIHSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC[C@@H](C)C1 ZINC001700089680 1202176659 /nfs/dbraw/zinc/17/66/59/1202176659.db2.gz BGPZULVZNCGFQT-IAGOWNOFSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(CCNC(=O)C[C@@H]1C[C@H](C)CC(C)(C)C1)C1CC1 ZINC001753161039 1202176698 /nfs/dbraw/zinc/17/66/98/1202176698.db2.gz KMNFBKMSQGSYQI-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@@H](C)CC ZINC001700092543 1202178353 /nfs/dbraw/zinc/17/83/53/1202178353.db2.gz YHDJRWGDKXFDPR-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001753177220 1202181100 /nfs/dbraw/zinc/18/11/00/1202181100.db2.gz PPPGZTIOVCHHHG-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN CN(CCNCc1ccc(F)cc1Cl)C(=O)C#CC(C)(C)C ZINC001753187737 1202182241 /nfs/dbraw/zinc/18/22/41/1202182241.db2.gz SHOBGISVKUAKMS-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@]3(C)C=CCC3)cccc2C1 ZINC001700123342 1202184780 /nfs/dbraw/zinc/18/47/80/1202184780.db2.gz QRLILHBRCFFMRK-FQEVSTJZSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001700133247 1202188449 /nfs/dbraw/zinc/18/84/49/1202188449.db2.gz QKRXVBQGUBYJBP-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(F)c2C)CCC1 ZINC001700133674 1202188308 /nfs/dbraw/zinc/18/83/08/1202188308.db2.gz ZYNMELVQNDZUDB-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001700176004 1202195349 /nfs/dbraw/zinc/19/53/49/1202195349.db2.gz DYUBSGNKIVAESP-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@](C)(F)CCCC)c1ccccc1 ZINC001753299561 1202199865 /nfs/dbraw/zinc/19/98/65/1202199865.db2.gz DYVVFUBITYMDPN-MJGOQNOKSA-N 0 1 318.436 3.375 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)c1cccc(F)c1)c1ccccc1 ZINC001753303394 1202201151 /nfs/dbraw/zinc/20/11/51/1202201151.db2.gz XQMCQZARYFLWSC-KXBFYZLASA-N 0 1 324.399 3.010 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2coc(C3CC3)n2)C1 ZINC001753320170 1202208795 /nfs/dbraw/zinc/20/87/95/1202208795.db2.gz UTYGREGDSXAWOE-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1c(C)noc1C ZINC001700196131 1202208844 /nfs/dbraw/zinc/20/88/44/1202208844.db2.gz VZQBABNNYFPZMG-UHFFFAOYSA-N 0 1 321.465 3.174 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1Cc2ccccc2CN1CCCF ZINC001700226202 1202216002 /nfs/dbraw/zinc/21/60/02/1202216002.db2.gz GZRUJNBXKSMRHW-SFHVURJKSA-N 0 1 318.436 3.245 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001753349960 1202223424 /nfs/dbraw/zinc/22/34/24/1202223424.db2.gz ZMKHMGIFYXOZTK-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001753366932 1202231810 /nfs/dbraw/zinc/23/18/10/1202231810.db2.gz QASSZHPTDMHHCD-HOTGVXAUSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2oc(C)nc2C)[C@@H](C)C1 ZINC001700312878 1202231933 /nfs/dbraw/zinc/23/19/33/1202231933.db2.gz DMRMDFXMBLFCMV-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001753366934 1202232263 /nfs/dbraw/zinc/23/22/63/1202232263.db2.gz QASSZHPTDMHHCD-JKSUJKDBSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001753378396 1202234702 /nfs/dbraw/zinc/23/47/02/1202234702.db2.gz GSBDWJQVADDLKE-UHFFFAOYSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001753384286 1202236514 /nfs/dbraw/zinc/23/65/14/1202236514.db2.gz WFQUXOIUEARVTM-UHFFFAOYSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2ccc(CC(C)C)cc2)C1 ZINC001753396762 1202240849 /nfs/dbraw/zinc/24/08/49/1202240849.db2.gz APWOMYJNYHNCLY-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H]2CN(C)CCC(F)(F)F)C1 ZINC001700355698 1202246605 /nfs/dbraw/zinc/24/66/05/1202246605.db2.gz ADPBPNTZHKWXRH-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C)c1 ZINC001753420211 1202247681 /nfs/dbraw/zinc/24/76/81/1202247681.db2.gz OTFMWIBZLAFYRX-IRXDYDNUSA-N 0 1 302.418 3.233 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(CC)cc1 ZINC001753423211 1202249508 /nfs/dbraw/zinc/24/95/08/1202249508.db2.gz ZTDOCIRUBGVAJY-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001700360528 1202249495 /nfs/dbraw/zinc/24/94/95/1202249495.db2.gz LEZZLCHBHUITGB-SLFFLAALSA-N 0 1 324.468 3.045 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC001700389932 1202259796 /nfs/dbraw/zinc/25/97/96/1202259796.db2.gz HUTFYFPUWCFKGO-RYUDHWBXSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CC1(c2ccccc2)CC1 ZINC001700400411 1202262316 /nfs/dbraw/zinc/26/23/16/1202262316.db2.gz MJGALXBSJBUMSQ-LSDHHAIUSA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1ccc(C)cc1 ZINC001700406790 1202263911 /nfs/dbraw/zinc/26/39/11/1202263911.db2.gz AVYDDQLEYKFHAH-HUUCEWRRSA-N 0 1 308.853 3.163 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CCCC1CC1 ZINC001700412540 1202266121 /nfs/dbraw/zinc/26/61/21/1202266121.db2.gz FAOWVXLGBGRHLA-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@H]1CCC(F)(F)C1 ZINC001753475085 1202268954 /nfs/dbraw/zinc/26/89/54/1202268954.db2.gz JPHQOWGWWRCBSN-VXGBXAGGSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@H](C)NCc2oc(C)nc2C)CC1 ZINC001700424968 1202269660 /nfs/dbraw/zinc/26/96/60/1202269660.db2.gz ZFYFHZSBWQRFRQ-QWHCGFSZSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccsc1Cl ZINC001753488695 1202272886 /nfs/dbraw/zinc/27/28/86/1202272886.db2.gz RJEZVUBVFFRQNQ-VIFPVBQESA-N 0 1 307.246 3.252 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CC(C)(C)C1CCCCC1 ZINC001700483377 1202293446 /nfs/dbraw/zinc/29/34/46/1202293446.db2.gz NBBWLOHBMQPLFJ-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001700547446 1202310727 /nfs/dbraw/zinc/31/07/27/1202310727.db2.gz ZGNKUOFSSYAKAH-HSUWOCHWSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H](C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001700547445 1202310871 /nfs/dbraw/zinc/31/08/71/1202310871.db2.gz ZGNKUOFSSYAKAH-DNBBOTNYSA-N 0 1 323.440 3.017 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001700556370 1202312749 /nfs/dbraw/zinc/31/27/49/1202312749.db2.gz YSHNHZCQCNTHSZ-OAHLLOKOSA-N 0 1 314.473 3.149 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(CC(C)C)CCC3)[C@@H]2C1 ZINC001700590496 1202319928 /nfs/dbraw/zinc/31/99/28/1202319928.db2.gz XZDCDWGPMDOQPI-PHZGNYQRSA-N 0 1 324.896 3.249 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CCCC)C(C)C)C2)C1 ZINC001700622220 1202324187 /nfs/dbraw/zinc/32/41/87/1202324187.db2.gz ZKEIEIZOZURZAW-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)SC)C1CCCCC1 ZINC001753745124 1202327643 /nfs/dbraw/zinc/32/76/43/1202327643.db2.gz SQXFTIYJAPLXJN-OCCSQVGLSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1oc(CC)nc1C ZINC001700761115 1202349755 /nfs/dbraw/zinc/34/97/55/1202349755.db2.gz VIESGSXOSMQROQ-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CC[C@H](CNCc2cscn2)C1 ZINC001700905796 1202368246 /nfs/dbraw/zinc/36/82/46/1202368246.db2.gz VUFTVJRJHIEOKX-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H](NCC(=C)Cl)C(C)(C)C1 ZINC001700945210 1202371532 /nfs/dbraw/zinc/37/15/32/1202371532.db2.gz RKUZYDSLZQHWJH-HNNXBMFYSA-N 0 1 310.869 3.149 20 30 DGEDMN C#CCN1CC=C(CNC(=O)CCc2ccc(C(C)C)cc2)CC1 ZINC001701052071 1202384860 /nfs/dbraw/zinc/38/48/60/1202384860.db2.gz PJOJASYZFOPJQJ-UHFFFAOYSA-N 0 1 324.468 3.124 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCc2ccc(C)cc2)CC1 ZINC001701055579 1202385698 /nfs/dbraw/zinc/38/56/98/1202385698.db2.gz IZWYNAHAYFINSS-UHFFFAOYSA-N 0 1 324.468 3.089 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001707086081 1202572563 /nfs/dbraw/zinc/57/25/63/1202572563.db2.gz IRFUBABLTZVLHZ-KKUMJFAQSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1nccs1 ZINC001707158753 1202574846 /nfs/dbraw/zinc/57/48/46/1202574846.db2.gz SXDJTMOVAODVRJ-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001707198197 1202576134 /nfs/dbraw/zinc/57/61/34/1202576134.db2.gz KCYVOBUQJFLOMR-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001707259621 1202578953 /nfs/dbraw/zinc/57/89/53/1202578953.db2.gz BLIMXARTOLDVHS-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@H]1CCN(CC#CC)[C@@H]1C)c1ccccc1 ZINC001707270299 1202579341 /nfs/dbraw/zinc/57/93/41/1202579341.db2.gz OKPRULIFSSNLBK-RLLQIKCJSA-N 0 1 324.468 3.339 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1cccc(C)c1 ZINC001707274500 1202580024 /nfs/dbraw/zinc/58/00/24/1202580024.db2.gz DJVDJJAHDAIWEP-HKUYNNGSSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](CC)SC)C1 ZINC001713128611 1202619877 /nfs/dbraw/zinc/61/98/77/1202619877.db2.gz JRZAXJDTCTUFMJ-UONOGXRCSA-N 0 1 318.914 3.051 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H](CC)SC)C1 ZINC001713128612 1202619807 /nfs/dbraw/zinc/61/98/07/1202619807.db2.gz JRZAXJDTCTUFMJ-ZIAGYGMSSA-N 0 1 318.914 3.051 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CC(CC)CC ZINC001713271442 1202633705 /nfs/dbraw/zinc/63/37/05/1202633705.db2.gz JAYFPFYOPNEOCB-MSOLQXFVSA-N 0 1 304.478 3.291 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001713306686 1202637726 /nfs/dbraw/zinc/63/77/26/1202637726.db2.gz BPQYWLZHSWWUGD-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001713328286 1202639385 /nfs/dbraw/zinc/63/93/85/1202639385.db2.gz WEEHXXVWBUJRSH-CQSZACIVSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NCc1ncc(C2CC2)o1 ZINC001713414370 1202647195 /nfs/dbraw/zinc/64/71/95/1202647195.db2.gz YLKPKPAQEAXDEI-ZDUSSCGKSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001713414369 1202647209 /nfs/dbraw/zinc/64/72/09/1202647209.db2.gz YLKPKPAQEAXDEI-CYBMUJFWSA-N 0 1 319.449 3.139 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001713503219 1202661615 /nfs/dbraw/zinc/66/16/15/1202661615.db2.gz MZFPBSWMQCVCNT-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001713511814 1202662837 /nfs/dbraw/zinc/66/28/37/1202662837.db2.gz BPXTXURLOIEROM-QHVUTBCBSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(Cc1cccnc1C)C1CC1 ZINC001713560323 1202670678 /nfs/dbraw/zinc/67/06/78/1202670678.db2.gz DYZSSYXYNMZHEL-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1csc(C)c1C)c1ccccc1 ZINC001713702991 1202679024 /nfs/dbraw/zinc/67/90/24/1202679024.db2.gz UEDJVIFAUSKSIC-KRWDZBQOSA-N 0 1 312.438 3.059 20 30 DGEDMN CCCN(C(=O)[C@@H](C)C#N)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001713715118 1202680225 /nfs/dbraw/zinc/68/02/25/1202680225.db2.gz PKBPJPLWCNKFBU-BBRMVZONSA-N 0 1 319.474 3.029 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)C(F)(F)F)C1 ZINC001713719705 1202681139 /nfs/dbraw/zinc/68/11/39/1202681139.db2.gz GFXAWWBBFIMWHT-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](CC)CC(F)F)C1 ZINC001713731915 1202683707 /nfs/dbraw/zinc/68/37/07/1202683707.db2.gz VBVCANCRCLTCFJ-STQMWFEESA-N 0 1 322.827 3.249 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001713756239 1202686833 /nfs/dbraw/zinc/68/68/33/1202686833.db2.gz QUZDWHHXOXYGAH-ZOBUZTSGSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(F)cc3ccoc32)C1 ZINC001713828574 1202693469 /nfs/dbraw/zinc/69/34/69/1202693469.db2.gz MNMWGYHRTVMQHJ-LBPRGKRZSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C[C@H](C)c2ccc(CC)cc2)C1 ZINC001713830904 1202693723 /nfs/dbraw/zinc/69/37/23/1202693723.db2.gz DHUQJPAIYZXIII-YJBOKZPZSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCCc2ccc(C)cc2)C1 ZINC001713836075 1202694399 /nfs/dbraw/zinc/69/43/99/1202694399.db2.gz QAMIJKXHTKZENF-KRWDZBQOSA-N 0 1 314.473 3.473 20 30 DGEDMN CC(C(=O)NC[C@@H](NCc1ccccc1C#N)C1CC1)=C1CCC1 ZINC001713863820 1202697150 /nfs/dbraw/zinc/69/71/50/1202697150.db2.gz DAWLFLDJIFPNTC-LJQANCHMSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1(C(F)F)CCCC1)C1CC1 ZINC001713875023 1202697903 /nfs/dbraw/zinc/69/79/03/1202697903.db2.gz RGEYPAGAMBLEHD-LBPRGKRZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(Cc3ccsc3)C2)CCC1 ZINC001713917088 1202702010 /nfs/dbraw/zinc/70/20/10/1202702010.db2.gz CUJCVVZQAGOIDV-UHFFFAOYSA-N 0 1 304.459 3.043 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)cc(C)c1 ZINC001713933033 1202703263 /nfs/dbraw/zinc/70/32/63/1202703263.db2.gz GKAVPNVZISWDJR-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001714032913 1202741689 /nfs/dbraw/zinc/74/16/89/1202741689.db2.gz PDOAOLKWDQNVPO-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(C(C)C)n1 ZINC001714088623 1202768251 /nfs/dbraw/zinc/76/82/51/1202768251.db2.gz NBBQGALFTKGVHD-CYBMUJFWSA-N 0 1 309.841 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCCCC1(F)F ZINC001714090742 1202769330 /nfs/dbraw/zinc/76/93/30/1202769330.db2.gz KXWMZIRWJCGUFH-VXGBXAGGSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001753335710 1202780295 /nfs/dbraw/zinc/78/02/95/1202780295.db2.gz UFULUIUDLWPLJX-ARFHVFGLSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CSC(C)C)C1 ZINC001714148129 1202802555 /nfs/dbraw/zinc/80/25/55/1202802555.db2.gz QEIAWZZHYPTWAG-KGLIPLIRSA-N 0 1 318.914 3.097 20 30 DGEDMN CCC(C)(C)CC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001714237778 1202843571 /nfs/dbraw/zinc/84/35/71/1202843571.db2.gz ITGMJOYREDUPEV-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001714278959 1202860164 /nfs/dbraw/zinc/86/01/64/1202860164.db2.gz QJZVSKQZBCZEBY-LSDHHAIUSA-N 0 1 320.864 3.078 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(C)CC=CC2)CC1 ZINC001714329826 1202885381 /nfs/dbraw/zinc/88/53/81/1202885381.db2.gz MROURJUUFLTIHV-UHFFFAOYSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001714540338 1202988141 /nfs/dbraw/zinc/98/81/41/1202988141.db2.gz WWXZSWSIIWAPGA-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001714566204 1203008512 /nfs/dbraw/zinc/00/85/12/1203008512.db2.gz NGLQGONFQCYBFS-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN CCC[C@H](C)C(=O)NCCN(CC)Cc1ccc(C#N)c(F)c1 ZINC001714577854 1203019739 /nfs/dbraw/zinc/01/97/39/1203019739.db2.gz GHUWKFXUZXAAAN-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1csc(C)c1C ZINC001714629478 1203062030 /nfs/dbraw/zinc/06/20/30/1203062030.db2.gz BOUIFYGQIQBEGD-JTQLQIEISA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(Cc2ccccc2)CC1 ZINC001714655476 1203079437 /nfs/dbraw/zinc/07/94/37/1203079437.db2.gz FEBFSAMVAJNGIU-HNNXBMFYSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C/C=C\Cc1ccccc1 ZINC001714657893 1203080601 /nfs/dbraw/zinc/08/06/01/1203080601.db2.gz YDPQKEODMHTPAP-XITLMJRVSA-N 0 1 320.864 3.364 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1cccc(F)c1F ZINC001714711890 1203108142 /nfs/dbraw/zinc/10/81/42/1203108142.db2.gz RMSQDIWWJBCTLL-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CC1=C(C)C[C@H](C(=O)N(C)CCNCC#Cc2ccccc2)CC1 ZINC001714712231 1203108319 /nfs/dbraw/zinc/10/83/19/1203108319.db2.gz NMCFKMZZXJWJNO-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1cccc(Cl)c1 ZINC001714745275 1203115718 /nfs/dbraw/zinc/11/57/18/1203115718.db2.gz VUZYDMZOCJRRTH-GFCCVEGCSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(F)ccc1Cl ZINC001714774206 1203122695 /nfs/dbraw/zinc/12/26/95/1203122695.db2.gz CIOJHNIQNUYMKR-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001714798468 1203129215 /nfs/dbraw/zinc/12/92/15/1203129215.db2.gz UIRIHDHTAOUNAD-GFCCVEGCSA-N 0 1 312.816 3.120 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cscc1C)c1ccccc1 ZINC001714833354 1203143793 /nfs/dbraw/zinc/14/37/93/1203143793.db2.gz CMPFVVCSTPLQIC-KRWDZBQOSA-N 0 1 312.438 3.141 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCC2(CC2)CC1)c1ccccc1 ZINC001714835196 1203144711 /nfs/dbraw/zinc/14/47/11/1203144711.db2.gz BFOUKXRAZPSUDA-GOSISDBHSA-N 0 1 310.441 3.037 20 30 DGEDMN CC[C@H](F)CN[C@@H](CNC(=O)C#CC(C)(C)C)c1ccccc1 ZINC001714835425 1203145082 /nfs/dbraw/zinc/14/50/82/1203145082.db2.gz IETJSEFGGLYGMJ-IRXDYDNUSA-N 0 1 318.436 3.231 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1cccnc1)c1ccccc1 ZINC001714838272 1203147128 /nfs/dbraw/zinc/14/71/28/1203147128.db2.gz YHQNEOHBZDOROB-LJQANCHMSA-N 0 1 323.440 3.385 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001714853898 1203153572 /nfs/dbraw/zinc/15/35/72/1203153572.db2.gz ATVZSFKLUNBKOW-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccn2C(C)C)C1 ZINC001714859096 1203159490 /nfs/dbraw/zinc/15/94/90/1203159490.db2.gz BWHADOLGLAZQIG-MRXNPFEDSA-N 0 1 303.450 3.182 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)c2ccccc2)C1 ZINC001714863628 1203162252 /nfs/dbraw/zinc/16/22/52/1203162252.db2.gz USPUIBSUKYHUSW-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001714863622 1203162293 /nfs/dbraw/zinc/16/22/93/1203162293.db2.gz USPUIBSUKYHUSW-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(OC)c(C)c2)C1 ZINC001714862767 1203162459 /nfs/dbraw/zinc/16/24/59/1203162459.db2.gz TWXIKUPGWQXOBH-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2occc2Cl)C1 ZINC001714866683 1203164686 /nfs/dbraw/zinc/16/46/86/1203164686.db2.gz ALKYLTLDEQRKSB-CYBMUJFWSA-N 0 1 310.825 3.436 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccoc2Cl)C1 ZINC001714871009 1203167497 /nfs/dbraw/zinc/16/74/97/1203167497.db2.gz HYQZUTQLSZNOQC-NSHDSACASA-N 0 1 317.216 3.127 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)ccc2F)C1 ZINC001714874834 1203170666 /nfs/dbraw/zinc/17/06/66/1203170666.db2.gz SFCYCDTZNCMWRE-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC2=CCCCC2)C1 ZINC001714890764 1203179715 /nfs/dbraw/zinc/17/97/15/1203179715.db2.gz GCTPVZUOHLUXGO-INIZCTEOSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccoc2Cl)C1 ZINC001714893193 1203181729 /nfs/dbraw/zinc/18/17/29/1203181729.db2.gz ZAZVHDZAQJUJOU-LLVKDONJSA-N 0 1 317.216 3.079 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CN(C)Cc2ccc(F)cc2F)C1 ZINC001714893051 1203181758 /nfs/dbraw/zinc/18/17/58/1203181758.db2.gz PCPULFKIFJBXJQ-AWEZNQCLSA-N 0 1 322.399 3.211 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001714897112 1203184790 /nfs/dbraw/zinc/18/47/90/1203184790.db2.gz SHQNPZRKISQOID-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2CC(C)(C)C2)CC1 ZINC001714907591 1203192292 /nfs/dbraw/zinc/19/22/92/1203192292.db2.gz ITRJTOZNOKAEMJ-UHFFFAOYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cccnc2C)C1 ZINC001714920482 1203199868 /nfs/dbraw/zinc/19/98/68/1203199868.db2.gz RJNWFEPIOSUFNO-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001714923194 1203200642 /nfs/dbraw/zinc/20/06/42/1203200642.db2.gz HQAVNFVFLQPQIE-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001714971676 1203221471 /nfs/dbraw/zinc/22/14/71/1203221471.db2.gz XLQFBKOUUWVPAE-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@H]2CCc3ccc(F)cc32)C1 ZINC001714971503 1203221877 /nfs/dbraw/zinc/22/18/77/1203221877.db2.gz GQPDQSQYYTXYBW-SFHVURJKSA-N 0 1 316.420 3.217 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001715044557 1203251924 /nfs/dbraw/zinc/25/19/24/1203251924.db2.gz KUIPGIZXFMZYGI-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)[C@@H]1CCN(Cc2ccccn2)C1 ZINC001715045026 1203252106 /nfs/dbraw/zinc/25/21/06/1203252106.db2.gz PQXSKQPRYOHCEB-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN CC[C@@H](CNC(=O)C1CCCCC1)NCc1ccccc1C#N ZINC001715049171 1203254304 /nfs/dbraw/zinc/25/43/04/1203254304.db2.gz IZKUIOJZFPXKNT-SFHVURJKSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)c(F)cc1F ZINC001715061737 1203259212 /nfs/dbraw/zinc/25/92/12/1203259212.db2.gz CFPDWNGYUHGQMS-NSHDSACASA-N 0 1 316.779 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C(C)(C)C1CCCC1 ZINC001715064657 1203260486 /nfs/dbraw/zinc/26/04/86/1203260486.db2.gz RSCNAFAXMMRUFU-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN CC[C@H](CNC(=O)C(C)(C)CC)NCc1cc(C#N)ccc1F ZINC001715075342 1203264061 /nfs/dbraw/zinc/26/40/61/1203264061.db2.gz QIZXQCZEGIMEGW-OAHLLOKOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cc(C)on2)CCCC[C@@H]1C ZINC001715133313 1203282125 /nfs/dbraw/zinc/28/21/25/1203282125.db2.gz WAHKZTXHTUDRQZ-KSSFIOAISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001715205849 1203295890 /nfs/dbraw/zinc/29/58/90/1203295890.db2.gz SRAZLQLHEALSJO-IRXDYDNUSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)CN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001715220041 1203298462 /nfs/dbraw/zinc/29/84/62/1203298462.db2.gz IOHVHLMHOCJMKC-ZIAGYGMSSA-N 0 1 321.465 3.341 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNCc1csc(C2CC2)n1 ZINC001715247488 1203303082 /nfs/dbraw/zinc/30/30/82/1203303082.db2.gz VVKDTDIKUQIOHO-GFCCVEGCSA-N 0 1 321.490 3.075 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C2CCCCCCC2)C1 ZINC001715289634 1203307690 /nfs/dbraw/zinc/30/76/90/1203307690.db2.gz RVMYKVAKUPKDGQ-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001715290772 1203308124 /nfs/dbraw/zinc/30/81/24/1203308124.db2.gz HRBBQBCMTGSWMT-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@@H](C)NCc2coc(C)n2)C1 ZINC001715316123 1203314111 /nfs/dbraw/zinc/31/41/11/1203314111.db2.gz QQADZYSEOBZYIJ-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1c(C)noc1CC ZINC001715338473 1203318820 /nfs/dbraw/zinc/31/88/20/1203318820.db2.gz PVHGLIQNVBOYDE-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccsc1Cl ZINC001715358170 1203323219 /nfs/dbraw/zinc/32/32/19/1203323219.db2.gz CWQKODMITCNRQL-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2c(s1)CCC2 ZINC001715372035 1203326861 /nfs/dbraw/zinc/32/68/61/1203326861.db2.gz BGAIMCOIQKYIDI-LLVKDONJSA-N 0 1 312.866 3.039 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c[nH]c2ccc(C)cc21 ZINC001715377434 1203328500 /nfs/dbraw/zinc/32/85/00/1203328500.db2.gz NCFGAVLKZYWMIL-CYBMUJFWSA-N 0 1 319.836 3.279 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H](CNCc1ccccc1C#N)CC(C)C ZINC001715382739 1203330230 /nfs/dbraw/zinc/33/02/30/1203330230.db2.gz GTLFEQRYVWLGNL-LUFMRPCYSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1occc1C ZINC001715390185 1203332159 /nfs/dbraw/zinc/33/21/59/1203332159.db2.gz KORLFCSUNVILMV-AWEZNQCLSA-N 0 1 312.841 3.004 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccn1)C1CCCCC1 ZINC001715399968 1203335198 /nfs/dbraw/zinc/33/51/98/1203335198.db2.gz STAOXYKBPZZRLZ-INIZCTEOSA-N 0 1 321.852 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CCCC#CC ZINC001715474652 1203346969 /nfs/dbraw/zinc/34/69/69/1203346969.db2.gz GEWPBZBEDMGJMK-GOEBONIOSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1csc(C)n1)C(C)C ZINC001715503969 1203354301 /nfs/dbraw/zinc/35/43/01/1203354301.db2.gz SSMCDOJAJOSCJO-WBVHZDCISA-N 0 1 323.506 3.284 20 30 DGEDMN CN(CCN(C)C(=O)C#CC(C)(C)C)Cc1cccc(Cl)c1 ZINC001715518058 1203359090 /nfs/dbraw/zinc/35/90/90/1203359090.db2.gz MLTQCQWRXNJUAA-UHFFFAOYSA-N 0 1 320.864 3.280 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccccc1C(C)(C)C ZINC001715520771 1203359855 /nfs/dbraw/zinc/35/98/55/1203359855.db2.gz BZYZOZWJOPRLQY-UHFFFAOYSA-N 0 1 300.446 3.011 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715535546 1203365513 /nfs/dbraw/zinc/36/55/13/1203365513.db2.gz UEJBJZIXURDXDW-CVEARBPZSA-N 0 1 315.461 3.131 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001715620529 1203374608 /nfs/dbraw/zinc/37/46/08/1203374608.db2.gz MBWDVDKHBYCJTB-KRWDZBQOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCNCc2csc(C)n2)CC1 ZINC001715679734 1203380114 /nfs/dbraw/zinc/38/01/14/1203380114.db2.gz WOXYVZFDWOJFDT-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCc1ccccc1F ZINC001715756829 1203388777 /nfs/dbraw/zinc/38/87/77/1203388777.db2.gz URUWQIYTXUUDAF-SFHVURJKSA-N 0 1 306.425 3.366 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2cscn2)CCCC1 ZINC001716201824 1203448651 /nfs/dbraw/zinc/44/86/51/1203448651.db2.gz XRPGCUFXEPYWCL-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cscc1Cl ZINC001716306830 1203457913 /nfs/dbraw/zinc/45/79/13/1203457913.db2.gz MVGHBSFVFWOUFV-IHWYPQMZSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001716407478 1203467696 /nfs/dbraw/zinc/46/76/96/1203467696.db2.gz MOZSYHZIPUIMJZ-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)CC1CCCCC1 ZINC001716421745 1203470475 /nfs/dbraw/zinc/47/04/75/1203470475.db2.gz WSNADWQUIZRQQH-TZMCWYRMSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001716424503 1203471009 /nfs/dbraw/zinc/47/10/09/1203471009.db2.gz IMKBTQNFIPJIOS-GDBMZVCRSA-N 0 1 306.837 3.193 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001716459383 1203478121 /nfs/dbraw/zinc/47/81/21/1203478121.db2.gz HPCKVJZSGZTRGK-HOTGVXAUSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)Cc1ccccc1C ZINC001716461157 1203478559 /nfs/dbraw/zinc/47/85/59/1203478559.db2.gz ZWPFNDOSIYNNAF-JKSUJKDBSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2cnoc2C)CCCCC1 ZINC001716465428 1203479198 /nfs/dbraw/zinc/47/91/98/1203479198.db2.gz XCTRZYPYCREZJA-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001716465746 1203479371 /nfs/dbraw/zinc/47/93/71/1203479371.db2.gz IXQDCSVFXSCFSX-SWLSCSKDSA-N 0 1 312.816 3.166 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN(C)Cc1csc(C)c1 ZINC001716475665 1203483874 /nfs/dbraw/zinc/48/38/74/1203483874.db2.gz CPDBUNUDPCMRKN-AWEZNQCLSA-N 0 1 306.475 3.187 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)sc1C)C1CC1 ZINC001716614907 1203506799 /nfs/dbraw/zinc/50/67/99/1203506799.db2.gz GJVPCLXXSPGAAE-AWEZNQCLSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccc(C)c(C)c1)C1CC1 ZINC001716628298 1203508589 /nfs/dbraw/zinc/50/85/89/1203508589.db2.gz BUFDFKBVUKUAIZ-QGZVFWFLSA-N 0 1 320.864 3.083 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001716652056 1203513837 /nfs/dbraw/zinc/51/38/37/1203513837.db2.gz HLVBTXHYASGAMA-LJQANCHMSA-N 0 1 312.457 3.454 20 30 DGEDMN C[C@H]1CCCC[C@@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716691535 1203526219 /nfs/dbraw/zinc/52/62/19/1203526219.db2.gz MXIYTLSYLZJHKW-HKUYNNGSSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)sc2C)C1 ZINC001716744185 1203535952 /nfs/dbraw/zinc/53/59/52/1203535952.db2.gz UEMIUGVCCWVBAA-LBPRGKRZSA-N 0 1 306.475 3.476 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccc(C)cc2)C1 ZINC001716744152 1203536020 /nfs/dbraw/zinc/53/60/20/1203536020.db2.gz SGPLTSXBVASUAE-INIZCTEOSA-N 0 1 300.446 3.035 20 30 DGEDMN C#CCN(C(C)=O)C1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC001716801625 1203545131 /nfs/dbraw/zinc/54/51/31/1203545131.db2.gz OQTVIMNTLCZKAW-AWEZNQCLSA-N 0 1 318.848 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@]1(C)C=CCC1)C1CCCC1 ZINC001716821425 1203547986 /nfs/dbraw/zinc/54/79/86/1203547986.db2.gz PRDPBKKBKNFUKC-NVXWUHKLSA-N 0 1 310.869 3.360 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001716850214 1203555533 /nfs/dbraw/zinc/55/55/33/1203555533.db2.gz LEKFJVPKIZYPNH-PXNSSMCTSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2coc3c2cccc3C)C1 ZINC001716895077 1203564449 /nfs/dbraw/zinc/56/44/49/1203564449.db2.gz CTCFMJYGEHWBSB-FQEVSTJZSA-N 0 1 324.424 3.206 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)C2CC2)C1 ZINC001716912492 1203568262 /nfs/dbraw/zinc/56/82/62/1203568262.db2.gz JKXOIZVWPMLNCB-CQSZACIVSA-N 0 1 314.420 3.072 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001717085710 1203583206 /nfs/dbraw/zinc/58/32/06/1203583206.db2.gz QFHZDJYFADRCJG-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H](C)C3CCCC3)cccc2C1 ZINC001717144114 1203590332 /nfs/dbraw/zinc/59/03/32/1203590332.db2.gz RCQQEOZEZCMYEJ-MRXNPFEDSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2nc(C)c(C)s2)CCC1 ZINC001717152353 1203591949 /nfs/dbraw/zinc/59/19/49/1203591949.db2.gz YVXZYMTXQKJXGX-UHFFFAOYSA-N 0 1 321.490 3.245 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1c2ccccc2CCN1CC(=C)C ZINC001717344137 1203622957 /nfs/dbraw/zinc/62/29/57/1203622957.db2.gz JQQHVOXDKXPJKF-GOSISDBHSA-N 0 1 312.457 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(Cl)c1 ZINC001717434477 1203643569 /nfs/dbraw/zinc/64/35/69/1203643569.db2.gz GTGBKKKWQILCKJ-QWRGUYRKSA-N 0 1 301.217 3.189 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC(C)=C(C)C ZINC001717360573 1203625214 /nfs/dbraw/zinc/62/52/14/1203625214.db2.gz GGUMYQLBLQBBSF-UYAOXDASSA-N 0 1 310.441 3.128 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(CCCC)cc1 ZINC001717368614 1203627314 /nfs/dbraw/zinc/62/73/14/1203627314.db2.gz DQJYJNOXZIEBNF-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCC1CCCCCC1 ZINC001717368833 1203627317 /nfs/dbraw/zinc/62/73/17/1203627317.db2.gz GVZDOUKUPUSPDO-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(CCCC)cc1 ZINC001717368615 1203627550 /nfs/dbraw/zinc/62/75/50/1203627550.db2.gz DQJYJNOXZIEBNF-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C(C1CCC1)C1CCC1 ZINC001717370629 1203628072 /nfs/dbraw/zinc/62/80/72/1203628072.db2.gz JCRNBCIHSFOVPG-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C(C)C)c1 ZINC001717372144 1203628884 /nfs/dbraw/zinc/62/88/84/1203628884.db2.gz COQOMJQRABWYIQ-IBGZPJMESA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1CCC(C)(C)CC1 ZINC001717376402 1203631076 /nfs/dbraw/zinc/63/10/76/1203631076.db2.gz QEEJIHIKLVAJBY-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001717401388 1203636540 /nfs/dbraw/zinc/63/65/40/1203636540.db2.gz RFUTXPWOLWVFFV-GNZFURHMSA-N 0 1 317.408 3.036 20 30 DGEDMN C[C@@H](NC(=O)CCC1CCC1)[C@H](C)NCc1ccccc1C#N ZINC001717404594 1203637310 /nfs/dbraw/zinc/63/73/10/1203637310.db2.gz LOEATVCTEHOOBU-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(Cl)c(F)c1 ZINC001717426703 1203642165 /nfs/dbraw/zinc/64/21/65/1203642165.db2.gz JXNCTSRBBYGBSA-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001717489927 1203662943 /nfs/dbraw/zinc/66/29/43/1203662943.db2.gz CCZMENAFXMQXLY-AWEZNQCLSA-N 0 1 320.864 3.077 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2cc(C)ccc2s1 ZINC001717494870 1203665136 /nfs/dbraw/zinc/66/51/36/1203665136.db2.gz PMYHCKQLAQAKLZ-CQSZACIVSA-N 0 1 314.454 3.283 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1C[C@H](NC(=O)c2ccccc2O)C(C)(C)C1 ZINC001717575537 1203683805 /nfs/dbraw/zinc/68/38/05/1203683805.db2.gz GJTIADOJWMHOKS-YOEHRIQHSA-N 0 1 316.445 3.187 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC(C)C)CCC2)C(C)(C)C1 ZINC001717581987 1203685519 /nfs/dbraw/zinc/68/55/19/1203685519.db2.gz CBWJGEFMSIPIPG-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)[C@H]1C ZINC001717797629 1203713453 /nfs/dbraw/zinc/71/34/53/1203713453.db2.gz FPYOKDFJNMORKO-UKRRQHHQSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ccncc2Cl)C[C@@H]1C ZINC001717822673 1203716285 /nfs/dbraw/zinc/71/62/85/1203716285.db2.gz VOGSOHKWAHQYOM-DZGCQCFKSA-N 0 1 321.852 3.170 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)[C@H](F)CC3CCCCC3)CCC[C@H]12 ZINC001717840526 1203719689 /nfs/dbraw/zinc/71/96/89/1203719689.db2.gz JDUCUPAQPJYDCL-ZIFCJYIRSA-N 0 1 320.452 3.041 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CCCCc2ccccc2)[C@H]1C ZINC001717857628 1203723607 /nfs/dbraw/zinc/72/36/07/1203723607.db2.gz JNGTYXAFRAFVNK-IEBWSBKVSA-N 0 1 312.457 3.002 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC[C@@H](C)c1ccccc1)C(C)C ZINC001717951462 1203734219 /nfs/dbraw/zinc/73/42/19/1203734219.db2.gz VQIUCAVSRYSUHV-GOSISDBHSA-N 0 1 314.473 3.372 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCC1(C)C)C(C)(C)C ZINC001717965908 1203736986 /nfs/dbraw/zinc/73/69/86/1203736986.db2.gz YCHHBQMTYZPTTF-CHWSQXEVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001717965686 1203737141 /nfs/dbraw/zinc/73/71/41/1203737141.db2.gz ULBUDBXNWJWTRY-CYBMUJFWSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001723322909 1203963606 /nfs/dbraw/zinc/96/36/06/1203963606.db2.gz DOJQYIUCHUFUPZ-WHGQRRHOSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@H]1CNC(=O)c1cc(COC)cs1 ZINC001723437091 1203971734 /nfs/dbraw/zinc/97/17/34/1203971734.db2.gz CETCMOYWZOBFKV-ZFWWWQNUSA-N 0 1 322.474 3.053 20 30 DGEDMN CCC(C)(C)CC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001723521042 1203980185 /nfs/dbraw/zinc/98/01/85/1203980185.db2.gz OTHUOSSRHUIRND-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001723551437 1203981801 /nfs/dbraw/zinc/98/18/01/1203981801.db2.gz FQTWUTDJKYAHLD-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3ccc(F)c(F)c3)CC2)C1 ZINC001723554327 1203982117 /nfs/dbraw/zinc/98/21/17/1203982117.db2.gz QMBJCDWYUSVUDO-UHFFFAOYSA-N 0 1 320.383 3.060 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccc(C)cc2)C1 ZINC001723777376 1203992765 /nfs/dbraw/zinc/99/27/65/1203992765.db2.gz YAYPYIWFMZVWIS-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccoc2C2CC2)C1 ZINC001723791562 1203992971 /nfs/dbraw/zinc/99/29/71/1203992971.db2.gz NFXXMPNTOXSBMO-CYBMUJFWSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CC(C)(C)CC(F)F ZINC001723928538 1203998753 /nfs/dbraw/zinc/99/87/53/1203998753.db2.gz SUCZXKKSANEDNP-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1nc(C)c(C)s1 ZINC001723937890 1203999000 /nfs/dbraw/zinc/99/90/00/1203999000.db2.gz PWVWPJJXVJYRNK-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C\c1ccco1)C1CCCC1 ZINC001724071673 1204003154 /nfs/dbraw/zinc/00/31/54/1204003154.db2.gz HQCQIOAZBNUBJQ-QWGSZXSUSA-N 0 1 322.836 3.310 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001724139841 1204008414 /nfs/dbraw/zinc/00/84/14/1204008414.db2.gz GJXSNXWCFWWWIQ-KBXCAEBGSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001724176234 1204011755 /nfs/dbraw/zinc/01/17/55/1204011755.db2.gz UGQUVWLZEFVHHX-MJGOQNOKSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C(C)=C2CCC2)CC1 ZINC001724285529 1204018014 /nfs/dbraw/zinc/01/80/14/1204018014.db2.gz NCUWIFPXIZXGBP-UHFFFAOYSA-N 0 1 310.869 3.458 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CCCN(Cc2cnc(C)s2)C1 ZINC001724311510 1204020125 /nfs/dbraw/zinc/02/01/25/1204020125.db2.gz GGGCCNPGYOLAGZ-KRWDZBQOSA-N 0 1 321.490 3.136 20 30 DGEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2occc2C(C)C)C1 ZINC001724329556 1204022384 /nfs/dbraw/zinc/02/23/84/1204022384.db2.gz GZLLSCJCPBHLBC-LJQANCHMSA-N 0 1 316.445 3.258 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001724342240 1204023944 /nfs/dbraw/zinc/02/39/44/1204023944.db2.gz GDDFWCPDONFKMF-QFBILLFUSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC=C(Cl)Cl)C2 ZINC001724496724 1204026206 /nfs/dbraw/zinc/02/62/06/1204026206.db2.gz JXOVRHWJKCEXNR-AVGNSLFASA-N 0 1 317.260 3.241 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2F)CC1 ZINC001724833832 1204050863 /nfs/dbraw/zinc/05/08/63/1204050863.db2.gz ONUKDSQXOSAQKY-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001724840024 1204052728 /nfs/dbraw/zinc/05/27/28/1204052728.db2.gz DHXSFPAIUIMKCK-MAUKXSAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)CC(C)=C(C)C)CO2 ZINC001724878226 1204061318 /nfs/dbraw/zinc/06/13/18/1204061318.db2.gz ANABOVJYNZYTLL-IRXDYDNUSA-N 0 1 320.477 3.047 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCN(Cc2ccccc2C#N)[C@@H](C)C1 ZINC001724989667 1204074685 /nfs/dbraw/zinc/07/46/85/1204074685.db2.gz NQBSZMARFACSAJ-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ocnc2C)[C@@H](C)C1 ZINC001724990761 1204075661 /nfs/dbraw/zinc/07/56/61/1204075661.db2.gz FKJNMJHBYZSRMH-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCN(Cc2ccccc2C#N)[C@@H](C)C1 ZINC001724994455 1204076136 /nfs/dbraw/zinc/07/61/36/1204076136.db2.gz YCACAMQXUUDNJY-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(CC)CCCC1 ZINC001725033355 1204082417 /nfs/dbraw/zinc/08/24/17/1204082417.db2.gz VYRAYCLDACPQPO-RTBURBONSA-N 0 1 324.468 3.352 20 30 DGEDMN CC/C=C(/C)C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001725034773 1204082751 /nfs/dbraw/zinc/08/27/51/1204082751.db2.gz HGCHBPSFELVXSH-JZVSFUAJSA-N 0 1 324.468 3.317 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC(C1CCC1)C1CCC1 ZINC001725045328 1204084935 /nfs/dbraw/zinc/08/49/35/1204084935.db2.gz FMDQLOFAQLHSNR-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C(C)C)oc1C ZINC001725049869 1204085885 /nfs/dbraw/zinc/08/58/85/1204085885.db2.gz PFOXDIKINGNDKG-MRXNPFEDSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001725065139 1204088718 /nfs/dbraw/zinc/08/87/18/1204088718.db2.gz RJCDXBIQRYPPLB-XYOKQWHBSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)CCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001725105651 1204095911 /nfs/dbraw/zinc/09/59/11/1204095911.db2.gz HDQXLLGYZDUDAT-CVEARBPZSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cnc2ccccc2c1 ZINC001725106887 1204096372 /nfs/dbraw/zinc/09/63/72/1204096372.db2.gz JQAFHDRAOGELSF-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(Cl)ccc1C ZINC001725112879 1204097075 /nfs/dbraw/zinc/09/70/75/1204097075.db2.gz CUVDXYBUKMHSML-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1csc(Cl)c1 ZINC001725117494 1204097894 /nfs/dbraw/zinc/09/78/94/1204097894.db2.gz WWWHOCCETHJSRO-IUCAKERBSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1csc(Cl)c1 ZINC001725117499 1204097945 /nfs/dbraw/zinc/09/79/45/1204097945.db2.gz WWWHOCCETHJSRO-RKDXNWHRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(OC(C)C)cc1 ZINC001725128341 1204099812 /nfs/dbraw/zinc/09/98/12/1204099812.db2.gz KWTNANBBCLPNLG-ZIAGYGMSSA-N 0 1 324.852 3.323 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725260430 1204129258 /nfs/dbraw/zinc/12/92/58/1204129258.db2.gz QMSRKMMEBCPAME-CABCVRRESA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(Cc2cccnc2C)CC1(C)C ZINC001725285692 1204132896 /nfs/dbraw/zinc/13/28/96/1204132896.db2.gz DEAIINIGMTXYSZ-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](NCc1ncc(C)o1)C(C)C ZINC001725444521 1204150867 /nfs/dbraw/zinc/15/08/67/1204150867.db2.gz JWIMVYIGVQPZDV-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001725474907 1204155214 /nfs/dbraw/zinc/15/52/14/1204155214.db2.gz DETNSYLMWVPTPH-BLLLJJGKSA-N 0 1 322.399 3.355 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2nc(C)sc2C)C[C@H]1C ZINC001725582495 1204171570 /nfs/dbraw/zinc/17/15/70/1204171570.db2.gz BHWSRGKRQANAKW-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCCCCC(=O)N[C@]12CCC[C@H]1N(C/C=C\Cl)CC2 ZINC001725598752 1204175783 /nfs/dbraw/zinc/17/57/83/1204175783.db2.gz OBSGZBHMTZESET-DLCBVTIDSA-N 0 1 308.853 3.046 20 30 DGEDMN CCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1ccc(C#N)s1)CC2 ZINC001725602554 1204177296 /nfs/dbraw/zinc/17/72/96/1204177296.db2.gz XQCOCWIBHKAWCA-DOTOQJQBSA-N 0 1 317.458 3.033 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001725736945 1204206990 /nfs/dbraw/zinc/20/69/90/1204206990.db2.gz BKICBPQRPWNTST-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c[nH]cc1C1CC1)C(C)(C)C ZINC001725754148 1204213218 /nfs/dbraw/zinc/21/32/18/1204213218.db2.gz HIDGTQUFOMHBLN-OAHLLOKOSA-N 0 1 323.868 3.379 20 30 DGEDMN CC/C=C(\C)C(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001725813059 1204226109 /nfs/dbraw/zinc/22/61/09/1204226109.db2.gz UYVNKOISXXRJJF-FZSIALSZSA-N 0 1 323.440 3.163 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC[C@H](C)c1ccccc1 ZINC001753241566 1204340783 /nfs/dbraw/zinc/34/07/83/1204340783.db2.gz AUUGFLNOFIBAGM-AWEZNQCLSA-N 0 1 308.853 3.371 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1conc1CC ZINC001731289014 1204363318 /nfs/dbraw/zinc/36/33/18/1204363318.db2.gz SQDPNTJXOHZTBB-WMLDXEAASA-N 0 1 319.449 3.062 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC001731388012 1204392254 /nfs/dbraw/zinc/39/22/54/1204392254.db2.gz ZMSLDXVCQQTWTD-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C(F)F)o2)CC1 ZINC001731387173 1204392436 /nfs/dbraw/zinc/39/24/36/1204392436.db2.gz DNMSKLKGZBVEMR-UHFFFAOYSA-N 0 1 324.371 3.167 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001731418622 1204405836 /nfs/dbraw/zinc/40/58/36/1204405836.db2.gz XEVNKQYGRTYNAT-XIRDDKMYSA-N 0 1 324.896 3.346 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731589501 1204474763 /nfs/dbraw/zinc/47/47/63/1204474763.db2.gz QMSJNFGNCJQAMO-QKXCFHHRSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cc(F)ccc1Cl ZINC001731610162 1204481443 /nfs/dbraw/zinc/48/14/43/1204481443.db2.gz FHOUGDWGQLPMTA-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)c1ccccc1C ZINC001731623691 1204487613 /nfs/dbraw/zinc/48/76/13/1204487613.db2.gz QLJVIBQMQSZZPC-UKRRQHHQSA-N 0 1 308.853 3.335 20 30 DGEDMN CCCN(CCNC(=O)C#CC1CC1)[C@@H](C)c1ccccc1F ZINC001731865354 1204609229 /nfs/dbraw/zinc/60/92/29/1204609229.db2.gz ZPJGLKSNMRSTTH-HNNXBMFYSA-N 0 1 316.420 3.128 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C)c(Cl)c1F)C1CC1 ZINC001731881678 1204622234 /nfs/dbraw/zinc/62/22/34/1204622234.db2.gz UIRIYSWERAVTAN-UHFFFAOYSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1nsc2ccccc21 ZINC001731934447 1204631724 /nfs/dbraw/zinc/63/17/24/1204631724.db2.gz NEKLEMHJYBMHPO-UHFFFAOYSA-N 0 1 317.458 3.201 20 30 DGEDMN CCC[C@H](C(=O)N(C)CCNCc1ccccc1C#N)C(C)C ZINC001731958234 1204636819 /nfs/dbraw/zinc/63/68/19/1204636819.db2.gz CFLHRPONEQNQHW-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(F)F)cc1 ZINC001731963962 1204637557 /nfs/dbraw/zinc/63/75/57/1204637557.db2.gz DXHQHXDMGWUVIJ-UHFFFAOYSA-N 0 1 302.752 3.038 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(Cl)cs1)c1ccccc1 ZINC001732022715 1204652477 /nfs/dbraw/zinc/65/24/77/1204652477.db2.gz BZFCGFUMSGSOGM-AWEZNQCLSA-N 0 1 318.829 3.095 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](NC/C=C\Cl)c1ccccc1 ZINC001732021815 1204652537 /nfs/dbraw/zinc/65/25/37/1204652537.db2.gz IVEROWULSFAXLZ-HOFZKYPUSA-N 0 1 318.848 3.380 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccccc2F)C1 ZINC001732034146 1204656430 /nfs/dbraw/zinc/65/64/30/1204656430.db2.gz LCIXMKVSCPNRRS-OAHLLOKOSA-N 0 1 304.409 3.328 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CCc2cccs2)C1 ZINC001732041419 1204662627 /nfs/dbraw/zinc/66/26/27/1204662627.db2.gz IPIGGQKVVRBHNE-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(F)cc(F)c2)C1 ZINC001732042438 1204663527 /nfs/dbraw/zinc/66/35/27/1204663527.db2.gz UIZUJXIUEAXCGJ-KRWDZBQOSA-N 0 1 322.399 3.467 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)cc(F)c2)C1 ZINC001732070140 1204678632 /nfs/dbraw/zinc/67/86/32/1204678632.db2.gz BXTCJNUGBPMJBX-QGZVFWFLSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(C)CC(=C)C2)CC1 ZINC001732087601 1204689436 /nfs/dbraw/zinc/68/94/36/1204689436.db2.gz LRLRKDQLACQTQE-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001732089537 1204690029 /nfs/dbraw/zinc/69/00/29/1204690029.db2.gz CCFCEYQLLNBCEV-LBNDFQBJSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCOCCN1CCC[C@@H](NC(=O)C2CCCCCCC2)C1 ZINC001732090369 1204690528 /nfs/dbraw/zinc/69/05/28/1204690528.db2.gz XQTIDMJCYQRKKE-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN Cc1cccc([C@@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)c1 ZINC001732143364 1204711661 /nfs/dbraw/zinc/71/16/61/1204711661.db2.gz VHPGHOMBWOTUQE-NEWSRXKRSA-N 0 1 310.441 3.001 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001732158687 1204720331 /nfs/dbraw/zinc/72/03/31/1204720331.db2.gz OYFROOPCIIOLAC-WMLDXEAASA-N 0 1 304.409 3.386 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)[C@@H]1CCN(Cc2cccnc2)C1 ZINC001732183257 1204736012 /nfs/dbraw/zinc/73/60/12/1204736012.db2.gz VVHLLWIMAGPMSR-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001732189342 1204740753 /nfs/dbraw/zinc/74/07/53/1204740753.db2.gz ITAJWEXDSQRLMR-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](C)C(C)C)CC1 ZINC001732325901 1204792606 /nfs/dbraw/zinc/79/26/06/1204792606.db2.gz IRNDTVFOTAVYOS-AWEZNQCLSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2cccnc2c1 ZINC001732446341 1204844592 /nfs/dbraw/zinc/84/45/92/1204844592.db2.gz NNJNHKCIMMKMJS-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001732456352 1204850958 /nfs/dbraw/zinc/85/09/58/1204850958.db2.gz YUQQRCBFGLRWSD-OLZOCXBDSA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001732469957 1204861111 /nfs/dbraw/zinc/86/11/11/1204861111.db2.gz IXGKTBCLQYHFJB-RBSFLKMASA-N 0 1 324.852 3.256 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CCNCc1cc(C)on1 ZINC001732506510 1204874756 /nfs/dbraw/zinc/87/47/56/1204874756.db2.gz BNQWRFGMAAZWGI-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1cccc(F)c1 ZINC001732583403 1204909017 /nfs/dbraw/zinc/90/90/17/1204909017.db2.gz VYZBBDHEUXISBO-UHFFFAOYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001732610273 1204921598 /nfs/dbraw/zinc/92/15/98/1204921598.db2.gz RZYARQOSQSJKKM-CABCVRRESA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2nocc2C)CCCC1 ZINC001732757540 1204938842 /nfs/dbraw/zinc/93/88/42/1204938842.db2.gz QVCLLACECBOSCL-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CC(C)=C(C)C ZINC001732790779 1204944234 /nfs/dbraw/zinc/94/42/34/1204944234.db2.gz QNLAKRPLFYADOW-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1cccc(Cl)c1F ZINC001732845446 1204951263 /nfs/dbraw/zinc/95/12/63/1204951263.db2.gz SGIUYWUAUCNEHZ-WAYWQWQTSA-N 0 1 322.811 3.045 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CN[C@H](C)c1ccccc1F ZINC001732888599 1204955275 /nfs/dbraw/zinc/95/52/75/1204955275.db2.gz VSOYJEQAQOWXHM-QIAWRQBBSA-N 0 1 304.409 3.361 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001732948744 1204962650 /nfs/dbraw/zinc/96/26/50/1204962650.db2.gz XQTWGFYOFCJONC-IAQYHMDHSA-N 0 1 308.372 3.013 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001732950011 1204963523 /nfs/dbraw/zinc/96/35/23/1204963523.db2.gz FPIHWSKGAPFLAQ-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001732994214 1204976846 /nfs/dbraw/zinc/97/68/46/1204976846.db2.gz PTVOGPXGEPBSBP-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccccc1C(C)(C)C ZINC001733042874 1204997053 /nfs/dbraw/zinc/99/70/53/1204997053.db2.gz XDPMDQMPYRLQRM-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2ncccc2C)CCC1 ZINC001733054633 1205005825 /nfs/dbraw/zinc/00/58/25/1205005825.db2.gz IOWLQCXUFZWZSY-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001733062881 1205012339 /nfs/dbraw/zinc/01/23/39/1205012339.db2.gz QZHYKNRNSPICTG-HWWITLHISA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCC(C)(C)c1ccccc1 ZINC001733069275 1205016880 /nfs/dbraw/zinc/01/68/80/1205016880.db2.gz ZMPVAKLBIDHZGL-QGZVFWFLSA-N 0 1 314.473 3.204 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1c(F)cccc1F ZINC001733103754 1205024397 /nfs/dbraw/zinc/02/43/97/1205024397.db2.gz OGIHWYNRXVADNH-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CCC)cc1 ZINC001733211365 1205047007 /nfs/dbraw/zinc/04/70/07/1205047007.db2.gz JBZLAFJSRBUGPW-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccccc1C1CC1 ZINC001733218411 1205049328 /nfs/dbraw/zinc/04/93/28/1205049328.db2.gz BBZIZKGOAYSDIE-QGZVFWFLSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H](CC)c1ccccc1 ZINC001733231186 1205059518 /nfs/dbraw/zinc/05/95/18/1205059518.db2.gz SEJCHHMIVKHHTJ-FUHWJXTLSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C[C@@H](CC(=O)NCC1(NCC(=C)Cl)CC1)c1ccccc1 ZINC001733263721 1205074057 /nfs/dbraw/zinc/07/40/57/1205074057.db2.gz MRHXVVKRZVWLID-HNNXBMFYSA-N 0 1 318.848 3.337 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)n(C(C)C)c2C)CC1 ZINC001733267184 1205075421 /nfs/dbraw/zinc/07/54/21/1205075421.db2.gz ACZYZTVBEWMXOC-UHFFFAOYSA-N 0 1 323.868 3.290 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)CCCC ZINC001733273443 1205077590 /nfs/dbraw/zinc/07/75/90/1205077590.db2.gz OEDUCAWRWYLRBQ-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN CC[C@@H](C)C(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001733358066 1205104972 /nfs/dbraw/zinc/10/49/72/1205104972.db2.gz HWGNJEUACAIIMA-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1cnc(C)o1)C1CCCC1 ZINC001733382751 1205111740 /nfs/dbraw/zinc/11/17/40/1205111740.db2.gz ZHJGEDMQJWOQPP-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001733389121 1205114526 /nfs/dbraw/zinc/11/45/26/1205114526.db2.gz YDQIRDGPFVLRJY-MOPGFXCFSA-N 0 1 323.440 3.090 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cnc(C)s2)C1 ZINC001733411183 1205122638 /nfs/dbraw/zinc/12/26/38/1205122638.db2.gz LEWVPHMMQMKMKQ-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001733464874 1205145718 /nfs/dbraw/zinc/14/57/18/1205145718.db2.gz IOVCMKJRLRMOPT-APWZRJJASA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001733475881 1205149011 /nfs/dbraw/zinc/14/90/11/1205149011.db2.gz DEAJMGYJLSCTOS-CQSZACIVSA-N 0 1 314.420 3.238 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](CCCC)C(C)C)[C@@H]2C1 ZINC001733605017 1205172862 /nfs/dbraw/zinc/17/28/62/1205172862.db2.gz AXGOPLNXRHKHLU-RCCFBDPRSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCC1(C)C ZINC001733680676 1205182736 /nfs/dbraw/zinc/18/27/36/1205182736.db2.gz WQXVOXZMROTBLV-GOSISDBHSA-N 0 1 312.457 3.194 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(CC(=C)Cl)CC3)CCC1 ZINC001733695037 1205187822 /nfs/dbraw/zinc/18/78/22/1205187822.db2.gz OVPYNBPDFWCHLJ-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2CCC3(CC3)CC2)CCC1 ZINC001733717773 1205193002 /nfs/dbraw/zinc/19/30/02/1205193002.db2.gz ANIWSUKXYXIBMO-UHFFFAOYSA-N 0 1 310.869 3.338 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)ccc2F)CCC1 ZINC001733723524 1205194916 /nfs/dbraw/zinc/19/49/16/1205194916.db2.gz KKDBJKFHTRFXAP-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccccc2)CCC1 ZINC001733726471 1205196692 /nfs/dbraw/zinc/19/66/92/1205196692.db2.gz PAZKXMXAVARGQJ-CQSZACIVSA-N 0 1 306.837 3.171 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001733752931 1205198061 /nfs/dbraw/zinc/19/80/61/1205198061.db2.gz CEKJUTALAWYKBW-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1Cc2ccccc2CN1C(C)C ZINC001733811324 1205223904 /nfs/dbraw/zinc/22/39/04/1205223904.db2.gz PAVHJNQNEWIKJG-QGZVFWFLSA-N 0 1 300.446 3.150 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001733814165 1205225490 /nfs/dbraw/zinc/22/54/90/1205225490.db2.gz PFXXCUSRFMUBLQ-CXKPLTABSA-N 0 1 322.880 3.103 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CCCCC(C)(C)C)C(C)(C)C1 ZINC001733873916 1205239542 /nfs/dbraw/zinc/23/95/42/1205239542.db2.gz XKWOCAFINLFJAX-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@H](C)C1 ZINC001733900845 1205246507 /nfs/dbraw/zinc/24/65/07/1205246507.db2.gz QBWONRJMXSGDBE-HIFRSBDPSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc2cccc(C)c2o1 ZINC001733944934 1205268629 /nfs/dbraw/zinc/26/86/29/1205268629.db2.gz XWNHEUNMBKLVND-QGZVFWFLSA-N 0 1 324.424 3.301 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001733973145 1205275937 /nfs/dbraw/zinc/27/59/37/1205275937.db2.gz DFGURPKHXPYYGH-KBPBESRZSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)cc(OC)c1C ZINC001733982086 1205278599 /nfs/dbraw/zinc/27/85/99/1205278599.db2.gz DZWZRXNOTDMAFT-ZIAGYGMSSA-N 0 1 324.852 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(C2CC2)c1 ZINC001734003671 1205285551 /nfs/dbraw/zinc/28/55/51/1205285551.db2.gz GQPVXCFXZOUZFJ-CHWSQXEVSA-N 0 1 306.837 3.413 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(C)C(C)(C)C1(C)C ZINC001734005989 1205286399 /nfs/dbraw/zinc/28/63/99/1205286399.db2.gz OTIBYIIBUKRYKT-NEPJUHHUSA-N 0 1 300.874 3.294 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(c2ccccc2C)CC1 ZINC001734007016 1205286689 /nfs/dbraw/zinc/28/66/89/1205286689.db2.gz REFFHSZHGSAVFM-HUUCEWRRSA-N 0 1 320.864 3.262 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001734129320 1205331568 /nfs/dbraw/zinc/33/15/68/1205331568.db2.gz CUJSSXHUPWRQDA-INIZCTEOSA-N 0 1 306.494 3.155 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2cc(C)ccc2F)CC1(C)C ZINC001734144119 1205333264 /nfs/dbraw/zinc/33/32/64/1205333264.db2.gz GUEIICZHAVPSFN-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H](C)CC(C)(C)C)C2)C1 ZINC001734197230 1205342267 /nfs/dbraw/zinc/34/22/67/1205342267.db2.gz NWMMOYIXKQDSTN-QGZVFWFLSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001734338013 1205366806 /nfs/dbraw/zinc/36/68/06/1205366806.db2.gz ZHSOXWSHIRVDNX-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001734357033 1205371138 /nfs/dbraw/zinc/37/11/38/1205371138.db2.gz MTXVSSPGIXLOKQ-GOEBONIOSA-N 0 1 322.880 3.363 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C[C@H]1C ZINC001734411305 1205373936 /nfs/dbraw/zinc/37/39/36/1205373936.db2.gz QXZLWCCSRCJLNN-DOMZBBRYSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C[C@@H]1C ZINC001734421961 1205374840 /nfs/dbraw/zinc/37/48/40/1205374840.db2.gz HPSPJSCKXGETFF-BBRMVZONSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(Cc3ccccc3)CC2)[C@@H]1C ZINC001734459781 1205381214 /nfs/dbraw/zinc/38/12/14/1205381214.db2.gz OHLDSCIALZNDQP-PKOBYXMFSA-N 0 1 324.468 3.002 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)[C@H]1C ZINC001734463205 1205382171 /nfs/dbraw/zinc/38/21/71/1205382171.db2.gz WRNDMUCTAVMAMU-JVEIWDLLSA-N 0 1 310.441 3.001 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1C[C@@H](C)C[C@H](C)C1)C(C)C ZINC001734553426 1205392011 /nfs/dbraw/zinc/39/20/11/1205392011.db2.gz RNEGVHGAHJXCON-IRXDYDNUSA-N 0 1 306.494 3.251 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001734625842 1205406520 /nfs/dbraw/zinc/40/65/20/1205406520.db2.gz MGCLKACSNDZZOJ-UHFFFAOYSA-N 0 1 323.440 3.163 20 30 DGEDMN C[C@@H]1CN(c2nc(-c3cccs3)ccc2C#N)[C@H](C)CN1C ZINC001164636398 719239727 /nfs/dbraw/zinc/23/97/27/719239727.db2.gz SOCAPVXJOCZCPM-CHWSQXEVSA-N 0 1 312.442 3.211 20 30 DGEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](CC#Cc2ccccc2Cl)C1 ZINC001589604344 949221857 /nfs/dbraw/zinc/22/18/57/949221857.db2.gz BFFOHHPEOURFDN-KRWDZBQOSA-N 0 1 305.805 3.268 20 30 DGEDMN O=C([O-])CCC1CC[NH+](CC#Cc2ccccc2Cl)CC1 ZINC001595023298 951323813 /nfs/dbraw/zinc/32/38/13/951323813.db2.gz CONXOEAYDBRVKZ-UHFFFAOYSA-N 0 1 305.805 3.268 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+](C)Cc1ccccc1OCc1ccccc1 ZINC001573345602 962937268 /nfs/dbraw/zinc/93/72/68/962937268.db2.gz XKPZNRFSUIXUHM-QGZVFWFLSA-N 0 1 311.381 3.337 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)CCOc1ccc(C(F)(F)F)cc1 ZINC001573351519 962979806 /nfs/dbraw/zinc/97/98/06/962979806.db2.gz VIYQNZZSDAOWRJ-CYBMUJFWSA-N 0 1 317.307 3.045 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2CCc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000399062379 973190211 /nfs/dbraw/zinc/19/02/11/973190211.db2.gz ZIGNZMJPGWDHHL-UHFFFAOYSA-N 0 1 306.365 3.009 20 30 DGEDMN CCC1(CC)C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CCS1 ZINC001595375437 980895107 /nfs/dbraw/zinc/89/51/07/980895107.db2.gz KPFJPKQYCHJZNF-OAHLLOKOSA-N 0 1 318.442 3.292 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1ccc(C#N)c(C)c1)CC1CC1 ZINC001594788375 981835200 /nfs/dbraw/zinc/83/52/00/981835200.db2.gz WGBKVMLQHAVXDF-GOSISDBHSA-N 0 1 300.402 3.332 20 30 DGEDMN C#CC[N@@H+](Cc1ccc(F)cc1)Cc1ccc(C(=O)[O-])c(C)c1 ZINC001588469283 983501430 /nfs/dbraw/zinc/50/14/30/983501430.db2.gz AWPZDJROWQDJDN-UHFFFAOYSA-N 0 1 311.356 3.468 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](Cc2cc(Cl)ccc2OC)C1 ZINC001588662639 983791030 /nfs/dbraw/zinc/79/10/30/983791030.db2.gz DSAQDMGWDBCONE-SWLSCSKDSA-N 0 1 323.820 3.242 20 30 DGEDMN C=CC[N@@H+](Cc1snc(OC)c1C(=O)[O-])Cc1ccccc1 ZINC001588832685 984324894 /nfs/dbraw/zinc/32/48/94/984324894.db2.gz MVUIMMMHHNRUPW-UHFFFAOYSA-N 0 1 318.398 3.038 20 30 DGEDMN C=CC[N@H+](Cc1snc(OC)c1C(=O)[O-])Cc1ccccc1 ZINC001588832685 984324897 /nfs/dbraw/zinc/32/48/97/984324897.db2.gz MVUIMMMHHNRUPW-UHFFFAOYSA-N 0 1 318.398 3.038 20 30 DGEDMN C[N@@H+](C[C@H]1CCc2ccccc21)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001598468121 991982743 /nfs/dbraw/zinc/98/27/43/991982743.db2.gz SVWOEMWSDHVVFE-IEBWSBKVSA-N 0 1 320.392 3.346 20 30 DGEDMN CC(C)C[C@@H](C(=O)[O-])[N@@H+](C)Cc1cc(Cl)ccc1OCC#N ZINC001591428306 992515150 /nfs/dbraw/zinc/51/51/50/992515150.db2.gz BKPJBJWLXSREKP-AWEZNQCLSA-N 0 1 324.808 3.173 20 30 DGEDMN CC(C)C[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(C2(C#N)CC2)cc1 ZINC001591428545 992516210 /nfs/dbraw/zinc/51/62/10/992516210.db2.gz JHAVFIRWKMIOKB-INIZCTEOSA-N 0 1 300.402 3.173 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001593699282 996169352 /nfs/dbraw/zinc/16/93/52/996169352.db2.gz TURMAGDZSYWBNE-LJTDUEICSA-N 0 1 310.353 3.442 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C)c(Cl)c1 ZINC001715947066 1203408734 /nfs/dbraw/zinc/40/87/34/1203408734.db2.gz MVVXWPRMMRLCQA-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C(C)C)C1CCCC1 ZINC001484009003 1089092142 /nfs/dbraw/zinc/09/21/42/1089092142.db2.gz XWRAJRGWLKNPGM-HIFRSBDPSA-N 0 1 300.874 3.296 20 30 DGEDMN CCC#C[C@H](C)N(C)C[C@H](O)c1cccc(Br)c1 ZINC001171119442 1095434285 /nfs/dbraw/zinc/43/42/85/1095434285.db2.gz MZWGANQJNFAWJP-WFASDCNBSA-N 0 1 310.235 3.216 20 30 DGEDMN O/N=C(\OC1CC(F)(F)C1)c1ccccc1Br ZINC001223884088 1096084759 /nfs/dbraw/zinc/08/47/59/1096084759.db2.gz ALELSHQRAWPFHK-GDNBJRDFSA-N 0 1 306.106 3.399 20 30 DGEDMN Cc1c(Cl)cccc1S(=O)(=O)Nc1csc(C#N)c1 ZINC001257567572 1106607229 /nfs/dbraw/zinc/60/72/29/1106607229.db2.gz OENYYTFEDWZFTP-UHFFFAOYSA-N 0 1 312.803 3.382 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(Cl)s1)C1CC1 ZINC001317030290 1106920729 /nfs/dbraw/zinc/92/07/29/1106920729.db2.gz ZZDCHLAVVMFCLA-JTQLQIEISA-N 0 1 319.257 3.252 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001266262250 1081593468 /nfs/dbraw/zinc/59/34/68/1081593468.db2.gz KVQXGWHXGYLVPZ-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1nnc(C)s1 ZINC001169705285 1081634226 /nfs/dbraw/zinc/63/42/26/1081634226.db2.gz IBYQAJAPBIJATD-STQMWFEESA-N 0 1 324.494 3.138 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1ncc(C)o1 ZINC001169706048 1081635015 /nfs/dbraw/zinc/63/50/15/1081635015.db2.gz PQIHYUIGZDAXOT-HIFRSBDPSA-N 0 1 307.438 3.275 20 30 DGEDMN N#CCC1(N[C@@H]2CCCN(C(=O)c3ccccc3)C2)CCCC1 ZINC001169755928 1081648689 /nfs/dbraw/zinc/64/86/89/1081648689.db2.gz FGPMJBDQAIWITM-QGZVFWFLSA-N 0 1 311.429 3.107 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)Cc1cccc(OC)c1C ZINC001266329959 1081672542 /nfs/dbraw/zinc/67/25/42/1081672542.db2.gz OALAHOUTXINVEM-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN C=CCCCN1CCC(F)(F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001209163403 1081694668 /nfs/dbraw/zinc/69/46/68/1081694668.db2.gz DOBGAVHXIZNQDI-LBPRGKRZSA-N 0 1 304.381 3.187 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001266389943 1081734799 /nfs/dbraw/zinc/73/47/99/1081734799.db2.gz FNGPZCYDRABZOS-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)OC(C)(C)C ZINC001209422894 1081742540 /nfs/dbraw/zinc/74/25/40/1081742540.db2.gz JZFNQVNRPHRQPF-IYBDPMFKSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CCCCCCN1C[C@@H]2COC[C@H](C1)N2C(=O)OC(C)(C)C ZINC001209422894 1081742543 /nfs/dbraw/zinc/74/25/43/1081742543.db2.gz JZFNQVNRPHRQPF-IYBDPMFKSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1C ZINC001109055087 1081760883 /nfs/dbraw/zinc/76/08/83/1081760883.db2.gz NXAZRQRRTXJDOK-SQNIBIBYSA-N 0 1 316.420 3.322 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C(C1CCC1)C1CCC1)C1CC1 ZINC001266427034 1081789548 /nfs/dbraw/zinc/78/95/48/1081789548.db2.gz TUPHMFQMYPHACH-INIZCTEOSA-N 0 1 324.896 3.440 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001266429128 1081794777 /nfs/dbraw/zinc/79/47/77/1081794777.db2.gz YMTUFNIEHNFAMY-UHFFFAOYSA-N 0 1 312.413 3.125 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001109604393 1081885240 /nfs/dbraw/zinc/88/52/40/1081885240.db2.gz HJDAGSGXKMDPGC-ILXRZTDVSA-N 0 1 304.459 3.182 20 30 DGEDMN C[C@@H](c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001266486227 1081887937 /nfs/dbraw/zinc/88/79/37/1081887937.db2.gz IFCMSXYJHKISMT-YTQUADARSA-N 0 1 324.468 3.472 20 30 DGEDMN C[C@H](c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001266486225 1081888094 /nfs/dbraw/zinc/88/80/94/1081888094.db2.gz IFCMSXYJHKISMT-QRQLOZEOSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOCCCC ZINC001109634074 1081888698 /nfs/dbraw/zinc/88/86/98/1081888698.db2.gz BTQJWVORZNRLEE-BDXSIMOUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001109634074 1081888703 /nfs/dbraw/zinc/88/87/03/1081888703.db2.gz BTQJWVORZNRLEE-BDXSIMOUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)on1 ZINC001266491642 1081892108 /nfs/dbraw/zinc/89/21/08/1081892108.db2.gz WIYVTUCVYZYZCB-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001266495527 1081896531 /nfs/dbraw/zinc/89/65/31/1081896531.db2.gz ONJJYHFVFCBIME-IEBWSBKVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1cccc(F)c1)C2 ZINC001109700177 1081901081 /nfs/dbraw/zinc/90/10/81/1081901081.db2.gz AFBYHRQHCZNDCA-NBMRYCAZSA-N 0 1 316.420 3.227 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CCCCCC)C2 ZINC001109966315 1081941660 /nfs/dbraw/zinc/94/16/60/1081941660.db2.gz LOPBCORMINOKPC-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1ccco1)C2 ZINC001109989713 1081943957 /nfs/dbraw/zinc/94/39/57/1081943957.db2.gz VQXYJYBMYHFTAB-WCVJEAGWSA-N 0 1 302.418 3.071 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCCCCCC)C2 ZINC001110026975 1081949767 /nfs/dbraw/zinc/94/97/67/1081949767.db2.gz LKYGVHPNZTYFHM-SQNIBIBYSA-N 0 1 304.478 3.482 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001112610023 1081972585 /nfs/dbraw/zinc/97/25/85/1081972585.db2.gz IPHGKNLOJWMCGW-UHFFFAOYSA-N 0 1 311.857 3.396 20 30 DGEDMN C=CCCC(=O)N1CCN(CCCc2ccc(C)cc2)CC1 ZINC001112687508 1081989356 /nfs/dbraw/zinc/98/93/56/1081989356.db2.gz DAJRKSFSHDEQLN-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCOCCCC(=O)N1CCN(CCCC2CCCC2)CC1 ZINC001112755900 1081997088 /nfs/dbraw/zinc/99/70/88/1081997088.db2.gz SNCLXZSODGRHDE-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)[C@H]2CCCCO2)CC1 ZINC001112860216 1082025791 /nfs/dbraw/zinc/02/57/91/1082025791.db2.gz WCOFABOIMICVCX-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@@H](OCC)C2=CCCC2)CC1 ZINC001112857059 1082027375 /nfs/dbraw/zinc/02/73/75/1082027375.db2.gz VRQLXZZMJOBNFN-GOSISDBHSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CCN(CCCC(C)C)CC1 ZINC001112884984 1082034745 /nfs/dbraw/zinc/03/47/45/1082034745.db2.gz FSWLROPDJHVGMD-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001112895999 1082041465 /nfs/dbraw/zinc/04/14/65/1082041465.db2.gz AVEQHIRXZMRAIW-GOSISDBHSA-N 0 1 312.457 3.420 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CCCC(C)(C)C)CC1)OCC ZINC001112906839 1082047718 /nfs/dbraw/zinc/04/77/18/1082047718.db2.gz QFUKGLKOUQECMU-QGZVFWFLSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(CCCc2ccc(C)cc2)CC1 ZINC001112901659 1082048339 /nfs/dbraw/zinc/04/83/39/1082048339.db2.gz YSXAGXZWCOHTKB-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCCO[C@@H]2CC)CC1 ZINC001112940175 1082057975 /nfs/dbraw/zinc/05/79/75/1082057975.db2.gz XSAZTDHTSIKLLT-ZWKOTPCHSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)[C@@H](C)COC)CC1 ZINC001112954952 1082061042 /nfs/dbraw/zinc/06/10/42/1082061042.db2.gz MQOIABOYUPMDGM-IRXDYDNUSA-N 0 1 312.498 3.020 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCCC3CCCC3)CC2)CCC1 ZINC001112953079 1082065127 /nfs/dbraw/zinc/06/51/27/1082065127.db2.gz DBDPMCQZLDRANP-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C\Cc2ccccc2)CC1 ZINC001112986127 1082077363 /nfs/dbraw/zinc/07/73/63/1082077363.db2.gz ZSHWADJOVOBQIZ-FPLPWBNLSA-N 0 1 312.457 3.286 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ncccc2CC)CC1 ZINC001113052034 1082099517 /nfs/dbraw/zinc/09/95/17/1082099517.db2.gz JKCZTGRXOHTQDZ-UHFFFAOYSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001086573792 1082103504 /nfs/dbraw/zinc/10/35/04/1082103504.db2.gz MPZWCCVAQUSPTD-YTQUADARSA-N 0 1 310.441 3.113 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)ccc2OC)CC1 ZINC001113078550 1082110488 /nfs/dbraw/zinc/11/04/88/1082110488.db2.gz OCUDSSWJRCEKNN-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001266652109 1082116095 /nfs/dbraw/zinc/11/60/95/1082116095.db2.gz QYAPZEWFASVUER-BTFYYFJDSA-N 0 1 310.869 3.453 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC(C)(C)COC)CC1 ZINC001113144281 1082126051 /nfs/dbraw/zinc/12/60/51/1082126051.db2.gz CUKMIORLWCDTEW-UHFFFAOYSA-N 0 1 312.498 3.164 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001113228937 1082143268 /nfs/dbraw/zinc/14/32/68/1082143268.db2.gz AEVMKUUXDLEFAD-IAGOWNOFSA-N 0 1 304.478 3.479 20 30 DGEDMN CC#CCCCC(=O)N1CCN(CC/C=C\c2ccccc2)CC1 ZINC001113232199 1082144773 /nfs/dbraw/zinc/14/47/73/1082144773.db2.gz HKWZIIPWDLPRMN-LCYFTJDESA-N 0 1 324.468 3.428 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC2CC(OCC)C2)CC1 ZINC001113248115 1082147638 /nfs/dbraw/zinc/14/76/38/1082147638.db2.gz QXRGBWUJXFBPLZ-UHFFFAOYSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccccc2CC)CC1 ZINC001113268201 1082156718 /nfs/dbraw/zinc/15/67/18/1082156718.db2.gz RQSNCFVLDDYGMO-INIZCTEOSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC[C@H](OC)C2)CC1 ZINC001113270154 1082157642 /nfs/dbraw/zinc/15/76/42/1082157642.db2.gz DFTVRPKIJWKVPD-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C2CCC2)C2CCC2)CC1 ZINC001113263114 1082159190 /nfs/dbraw/zinc/15/91/90/1082159190.db2.gz HAIXLCWBDOXDID-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCC(=O)N1CCN(CCCCC2CCOCC2)CC1 ZINC001113282132 1082163410 /nfs/dbraw/zinc/16/34/10/1082163410.db2.gz MAXRHPVMLPNLRZ-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCC(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113284964 1082164497 /nfs/dbraw/zinc/16/44/97/1082164497.db2.gz MRBKMEZSGYFJLE-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001113339180 1082181107 /nfs/dbraw/zinc/18/11/07/1082181107.db2.gz PTEBEPIUBCTXAS-INIZCTEOSA-N 0 1 306.450 3.498 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC001113380882 1082190741 /nfs/dbraw/zinc/19/07/41/1082190741.db2.gz WBHZMHZLUDKWPN-IRXDYDNUSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)Cc2cccs2)CC1 ZINC001113391443 1082194766 /nfs/dbraw/zinc/19/47/66/1082194766.db2.gz DEWVGTUNLPZQKP-CVEARBPZSA-N 0 1 320.502 3.426 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001266790467 1082218712 /nfs/dbraw/zinc/21/87/12/1082218712.db2.gz HRKYRILQVYBYRV-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3ccncc3c2)CC1 ZINC001113495705 1082231290 /nfs/dbraw/zinc/23/12/90/1082231290.db2.gz UPNVSZGNBPQSER-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)CCCOC)CC1 ZINC001113509130 1082234355 /nfs/dbraw/zinc/23/43/55/1082234355.db2.gz YPPFKMUINHUBSF-QGZVFWFLSA-N 0 1 312.498 3.164 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3c([nH]2)CCCC3)CC1 ZINC001113504232 1082235236 /nfs/dbraw/zinc/23/52/36/1082235236.db2.gz DLMXJGANKDMXFM-OAHLLOKOSA-N 0 1 315.461 3.006 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2[nH]ccc2-c2ccccc2)CC1 ZINC001113528786 1082240332 /nfs/dbraw/zinc/24/03/32/1082240332.db2.gz QWQNGMICSVBKJI-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3ccccc3n2)CC1 ZINC001113574319 1082255352 /nfs/dbraw/zinc/25/53/52/1082255352.db2.gz WMABVYKORLLVHU-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2coc3ccc(F)cc32)CC1 ZINC001113587739 1082256852 /nfs/dbraw/zinc/25/68/52/1082256852.db2.gz IKGFXCXXHVWTHZ-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C3CC3)cn2)CC1 ZINC001113594796 1082257748 /nfs/dbraw/zinc/25/77/48/1082257748.db2.gz BQANNKJFHARPBC-HNNXBMFYSA-N 0 1 313.445 3.072 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(Cl)ccc2OC)CC1 ZINC001113606471 1082261043 /nfs/dbraw/zinc/26/10/43/1082261043.db2.gz OJCWSZSKDONKIG-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2cccs2)CC1 ZINC001113614728 1082265180 /nfs/dbraw/zinc/26/51/80/1082265180.db2.gz YYRKGAHZSKETTM-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(OCCCC)cc2)CC1 ZINC001113626922 1082268431 /nfs/dbraw/zinc/26/84/31/1082268431.db2.gz XFFVEGHTGLAHIR-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCc2ccccc21 ZINC001266855310 1082270128 /nfs/dbraw/zinc/27/01/28/1082270128.db2.gz AMNMZDNSBJDDCQ-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC001113656779 1082271819 /nfs/dbraw/zinc/27/18/19/1082271819.db2.gz UBUULOWZATWARO-HOTGVXAUSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)N1CCN(CCCC)CC1 ZINC001113662759 1082274718 /nfs/dbraw/zinc/27/47/18/1082274718.db2.gz HINUSDPFOJBXIS-LJQANCHMSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2cc(F)ccc2F)CC1 ZINC001113681411 1082277841 /nfs/dbraw/zinc/27/78/41/1082277841.db2.gz URUKUCWGVZTYNM-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)C[C@H]2CCCO2)CC1 ZINC001113699979 1082287834 /nfs/dbraw/zinc/28/78/34/1082287834.db2.gz FCQMEDRUTWVNKQ-ZWKOTPCHSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCO[C@H](C)C(=O)N1CCN(CCC2CCCCCC2)CC1 ZINC001113712722 1082288668 /nfs/dbraw/zinc/28/86/68/1082288668.db2.gz DGYRLBSZDXRPCE-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2cccc(F)c2F)CC1 ZINC001113733200 1082292756 /nfs/dbraw/zinc/29/27/56/1082292756.db2.gz RXTOCKDCPFETFQ-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1(C(C)(F)F)CC1 ZINC001266878760 1082296956 /nfs/dbraw/zinc/29/69/56/1082296956.db2.gz LYFPDYPLSVQVDU-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2conc2C)CCCCC1 ZINC001266882812 1082299983 /nfs/dbraw/zinc/29/99/83/1082299983.db2.gz PNZLVJWMBIZTAQ-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1ccccc1C ZINC001266885038 1082300485 /nfs/dbraw/zinc/30/04/85/1082300485.db2.gz HGMJEDGUNQINRB-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(CCC(F)(F)F)C1 ZINC001324641400 1082303501 /nfs/dbraw/zinc/30/35/01/1082303501.db2.gz KNNLUHYRBZODHG-TZMCWYRMSA-N 0 1 306.372 3.122 20 30 DGEDMN C[C@@H](CNC(=O)C1CCC2(CC2)CC1)NCC#Cc1ccccc1 ZINC001266954663 1082370798 /nfs/dbraw/zinc/37/07/98/1082370798.db2.gz VXVONXSSCXINDA-KRWDZBQOSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1nsc2ccccc12 ZINC001266961999 1082379870 /nfs/dbraw/zinc/37/98/70/1082379870.db2.gz NIMUGISDSBLGLI-LBPRGKRZSA-N 0 1 317.458 3.103 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001266965834 1082384397 /nfs/dbraw/zinc/38/43/97/1082384397.db2.gz CURYVERIJNFSLK-CYBMUJFWSA-N 0 1 320.820 3.337 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001266970921 1082389865 /nfs/dbraw/zinc/38/98/65/1082389865.db2.gz PLKBKYONLYPQPI-YPMHNXCESA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(Cl)cc3C)C[C@H]21 ZINC001114004406 1082395557 /nfs/dbraw/zinc/39/55/57/1082395557.db2.gz HXORTBJFJAHKAS-VQFNDLOPSA-N 0 1 318.848 3.161 20 30 DGEDMN CC1(C(=O)NCCNCC#Cc2ccccc2)CCCCCC1 ZINC001129647554 1082492786 /nfs/dbraw/zinc/49/27/86/1082492786.db2.gz LBQJDLFNSSNTQA-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001267099370 1082509660 /nfs/dbraw/zinc/50/96/60/1082509660.db2.gz AETAQXGZQWMEAR-PKOBYXMFSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001267112437 1082530462 /nfs/dbraw/zinc/53/04/62/1082530462.db2.gz YMARLLIMVYHGTF-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC/C(Cl)=C\Cl ZINC001267143034 1082560810 /nfs/dbraw/zinc/56/08/10/1082560810.db2.gz JNZSPZCBUCRWHJ-SBNHVRHQSA-N 0 1 307.265 3.392 20 30 DGEDMN C[C@H](C(=O)NCCNCC#Cc1cccc(Cl)c1)C(C)(C)C ZINC001130278555 1082562100 /nfs/dbraw/zinc/56/21/00/1082562100.db2.gz URBZLEQOROXAKR-CQSZACIVSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)C#CC(=O)NCCN(C)[C@@H](C)c1ccc(F)c(Cl)c1 ZINC001267195070 1082623500 /nfs/dbraw/zinc/62/35/00/1082623500.db2.gz MRNQUXAVIGTBFX-ZDUSSCGKSA-N 0 1 324.827 3.248 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@@H]2c2cc(F)ccc2F)c1 ZINC000176407086 1082656354 /nfs/dbraw/zinc/65/63/54/1082656354.db2.gz WMEOHJQJKBJPQX-DGCLKSJQSA-N 0 1 314.291 3.284 20 30 DGEDMN Cc1ccnc(N2CCN(Cc3c(C)cc(C#N)cc3C)CC2)c1 ZINC001167656549 1082661746 /nfs/dbraw/zinc/66/17/46/1082661746.db2.gz KKRCMXNBJBKNKV-UHFFFAOYSA-N 0 1 320.440 3.201 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001131573970 1082689810 /nfs/dbraw/zinc/68/98/10/1082689810.db2.gz NEAYZYNRGPFLIB-UHFFFAOYSA-N 0 1 308.809 3.106 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1c(C)coc1C)C(C)C ZINC001267248003 1082699198 /nfs/dbraw/zinc/69/91/98/1082699198.db2.gz IQCFCYIRRBDZIF-UHFFFAOYSA-N 0 1 312.841 3.479 20 30 DGEDMN CC#CCCCC(=O)NCCN(CC)Cc1cc(F)cc(F)c1 ZINC001267249358 1082700154 /nfs/dbraw/zinc/70/01/54/1082700154.db2.gz NKDYWDOOKHYAAY-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN Cc1ccc(OCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC001131674757 1082704553 /nfs/dbraw/zinc/70/45/53/1082704553.db2.gz VUZYBMWZVGGIHV-UHFFFAOYSA-N 0 1 320.352 3.069 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)O[C@@]2(CC[N@@H+](CC=C(C)C)C2)C1 ZINC001131677373 1082704889 /nfs/dbraw/zinc/70/48/89/1082704889.db2.gz MAZBOHTWCFEYCQ-PKOBYXMFSA-N 0 1 320.477 3.001 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3Cc4ccc(Cl)cc43)[nH]c2c1 ZINC001131674157 1082705066 /nfs/dbraw/zinc/70/50/66/1082705066.db2.gz KJXSBCPNQIOLRY-ZDUSSCGKSA-N 0 1 322.755 3.366 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CC[C@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131751996 1082718246 /nfs/dbraw/zinc/71/82/46/1082718246.db2.gz OEKCAFBEBUDDNT-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2sc(C)nc2C)C1 ZINC001131899813 1082758117 /nfs/dbraw/zinc/75/81/17/1082758117.db2.gz QBAQXBPSQYQPML-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccc(OC)cc2)C1 ZINC001131899510 1082758840 /nfs/dbraw/zinc/75/88/40/1082758840.db2.gz MYSQNVUBCKOQJF-RDJZCZTQSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccc(F)cc2)C1 ZINC001131896854 1082763184 /nfs/dbraw/zinc/76/31/84/1082763184.db2.gz WMYYJQQGIKEQBY-YOEHRIQHSA-N 0 1 304.409 3.261 20 30 DGEDMN Cc1cc(CN2C[C@@H](NC(=O)C#CC(C)C)CC[C@H]2C)cs1 ZINC001132021961 1082792599 /nfs/dbraw/zinc/79/25/99/1082792599.db2.gz SJBHXDNTRDHCKD-PBHICJAKSA-N 0 1 318.486 3.185 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(CCc2ccns2)C1 ZINC001132072569 1082807998 /nfs/dbraw/zinc/80/79/98/1082807998.db2.gz MBUGERBPJWUCIT-CABCVRRESA-N 0 1 321.490 3.011 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132072819 1082809078 /nfs/dbraw/zinc/80/90/78/1082809078.db2.gz PFSCKGMSAOJWAX-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CCC1)c1ccc(C(C)C)cc1 ZINC001267340628 1082818439 /nfs/dbraw/zinc/81/84/39/1082818439.db2.gz PAUNJICMEAGXJU-LJQANCHMSA-N 0 1 312.457 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC1CC1)c1ccc(C(C)C)cc1 ZINC001267346167 1082823286 /nfs/dbraw/zinc/82/32/86/1082823286.db2.gz MMQZPVYMGZZGJZ-IBGZPJMESA-N 0 1 312.457 3.380 20 30 DGEDMN C#CCCCC(=O)NC[C@H](NCc1ccccc1)c1ccccc1 ZINC001267356840 1082829413 /nfs/dbraw/zinc/82/94/13/1082829413.db2.gz IOAWJKWKCHIWBW-FQEVSTJZSA-N 0 1 320.436 3.437 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)CC1CCCC1 ZINC001158549801 1082832843 /nfs/dbraw/zinc/83/28/43/1082832843.db2.gz KFFRXYRWBCJAMP-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCC2CCCCCC2)CC[C@@H]1C ZINC001132195150 1082833635 /nfs/dbraw/zinc/83/36/35/1082833635.db2.gz KMQHVOUGZYGECC-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)c1ccccc1F)c1ccccc1 ZINC001267376155 1082844594 /nfs/dbraw/zinc/84/45/94/1082844594.db2.gz AMFUIXQSKIPSST-HNAYVOBHSA-N 0 1 324.399 3.010 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2cncc(C)c2)C1 ZINC001132218175 1082844775 /nfs/dbraw/zinc/84/47/75/1082844775.db2.gz NIBYVNDYFKMDFH-RDJZCZTQSA-N 0 1 315.461 3.071 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCCCc2ccccc2)CC[C@H]1C ZINC001132252681 1082854837 /nfs/dbraw/zinc/85/48/37/1082854837.db2.gz ILAAWRSMOYSXDO-IEBWSBKVSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2scnc2C)C1 ZINC001132256533 1082860084 /nfs/dbraw/zinc/86/00/84/1082860084.db2.gz OGTMNIMUODGRPR-HIFRSBDPSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@]1(C)CCCc2ccccc21 ZINC001267440473 1082883512 /nfs/dbraw/zinc/88/35/12/1082883512.db2.gz BGZWEJWTYPIERR-SFHVURJKSA-N 0 1 320.864 3.081 20 30 DGEDMN CCC(=O)N[C@@H]1[C@@H]2CCCN(CC#Cc3ccccc3)[C@@H]2C1(C)C ZINC001087263319 1082903884 /nfs/dbraw/zinc/90/38/84/1082903884.db2.gz PSVIBHPQGKELOL-SXLOBPIMSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001267476031 1082929894 /nfs/dbraw/zinc/92/98/94/1082929894.db2.gz SUDCMBLZEQHZEQ-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC1CCC(C)(C)CC1 ZINC001267477216 1082931695 /nfs/dbraw/zinc/93/16/95/1082931695.db2.gz AWDAMMJKMCLMDH-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2oc(CCC)nc2C)C1 ZINC001267488076 1082946144 /nfs/dbraw/zinc/94/61/44/1082946144.db2.gz IRRJATSAHNVGNU-OAHLLOKOSA-N 0 1 319.449 3.048 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132572788 1082949205 /nfs/dbraw/zinc/94/92/05/1082949205.db2.gz GFDNJCVFBAHQBK-CHWSQXEVSA-N 0 1 324.852 3.013 20 30 DGEDMN CC#CCCCC(=O)NCCN[C@@H](CC)c1ccc(F)cc1F ZINC001132593223 1082956168 /nfs/dbraw/zinc/95/61/68/1082956168.db2.gz WRSJUCSLOTXYTF-KRWDZBQOSA-N 0 1 322.399 3.315 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132652957 1082972877 /nfs/dbraw/zinc/97/28/77/1082972877.db2.gz ALZKSURDRYRYDO-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001132667466 1082975862 /nfs/dbraw/zinc/97/58/62/1082975862.db2.gz MFGNWUHTXNHKMN-CHWSQXEVSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cc(F)ccc1Cl ZINC001132667114 1082976132 /nfs/dbraw/zinc/97/61/32/1082976132.db2.gz DBMGLCFKIDPHNO-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132667860 1082976180 /nfs/dbraw/zinc/97/61/80/1082976180.db2.gz VAISVRBFZDLUNT-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](CC)CC(F)F)C1 ZINC001267541837 1083009022 /nfs/dbraw/zinc/00/90/22/1083009022.db2.gz JKMRHHXDCLIKQL-GJZGRUSLSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001267546781 1083016776 /nfs/dbraw/zinc/01/67/76/1083016776.db2.gz DVYAALADZDTXIG-IAGOWNOFSA-N 0 1 316.445 3.028 20 30 DGEDMN CCCc1ccc(C(=O)NCCNCc2ccccc2C#N)cc1 ZINC001133089487 1083045194 /nfs/dbraw/zinc/04/51/94/1083045194.db2.gz IKWVEPVKTQKUJQ-UHFFFAOYSA-N 0 1 321.424 3.030 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C)(C)CCC)C1 ZINC001267565034 1083045982 /nfs/dbraw/zinc/04/59/82/1083045982.db2.gz XLYMIBUZXUPIIQ-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@@H](C)n2cccc2)C1 ZINC001267567447 1083048534 /nfs/dbraw/zinc/04/85/34/1083048534.db2.gz PIXUMFLXEGAKQE-HZPDHXFCSA-N 0 1 323.868 3.020 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cccn2C(C)C)C1 ZINC001267571489 1083065365 /nfs/dbraw/zinc/06/53/65/1083065365.db2.gz NAMNDWZXXLNIRK-OAHLLOKOSA-N 0 1 323.868 3.263 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1nc2c(cc(Cl)cc2Cl)s1 ZINC001218201838 1083074195 /nfs/dbraw/zinc/07/41/95/1083074195.db2.gz VRUYHTQAMMRBMA-RCOVLWMOSA-N 0 1 314.197 3.093 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(Cl)cc1Cl ZINC001481013249 1083081917 /nfs/dbraw/zinc/08/19/17/1083081917.db2.gz OWFSTPVDWOWTBC-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](CC)CC(F)F)C1 ZINC001267585029 1083103281 /nfs/dbraw/zinc/10/32/81/1083103281.db2.gz NBLPNYJWXABPJY-QWHCGFSZSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2ccc(F)cc2Cl)CC1 ZINC001133362644 1083105888 /nfs/dbraw/zinc/10/58/88/1083105888.db2.gz OUWHIONVXGLXCY-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2ccc(F)cc2Cl)CC1 ZINC001133375719 1083108662 /nfs/dbraw/zinc/10/86/62/1083108662.db2.gz FVNUCCXYSVJXRL-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1sccc1Cl ZINC001133378536 1083109605 /nfs/dbraw/zinc/10/96/05/1083109605.db2.gz GHVUQEMDQBXLHY-AWEZNQCLSA-N 0 1 300.855 3.210 20 30 DGEDMN C=CCC1(C(=O)NCCN[C@H](C)c2cc(F)ccc2F)CCC1 ZINC001133398992 1083113032 /nfs/dbraw/zinc/11/30/32/1083113032.db2.gz XPBHOFLBDWRDLX-CYBMUJFWSA-N 0 1 322.399 3.478 20 30 DGEDMN C=CCC1(C(=O)NCCN[C@H](C)c2ccc(F)cc2F)CCC1 ZINC001133398661 1083113351 /nfs/dbraw/zinc/11/33/51/1083113351.db2.gz IEBZNFRUMKJBPH-CYBMUJFWSA-N 0 1 322.399 3.478 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cc[nH]c2CC)CC1 ZINC001267598483 1083131341 /nfs/dbraw/zinc/13/13/41/1083131341.db2.gz GLHPWIBFRLFZAR-UHFFFAOYSA-N 0 1 323.868 3.114 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001481057711 1083140994 /nfs/dbraw/zinc/14/09/94/1083140994.db2.gz BLLHMLDMPBCIOJ-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001481060422 1083141556 /nfs/dbraw/zinc/14/15/56/1083141556.db2.gz INIGRPRBQYIXGS-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CC[N@H+](Cc2cccc(C(C)C)n2)C1 ZINC001481083229 1083156237 /nfs/dbraw/zinc/15/62/37/1083156237.db2.gz LMHABJRRZKKDBH-MRXNPFEDSA-N 0 1 315.461 3.109 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001133888300 1083207470 /nfs/dbraw/zinc/20/74/70/1083207470.db2.gz HXZFVCMZZODSPN-STQMWFEESA-N 0 1 309.479 3.045 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001283715319 1083229844 /nfs/dbraw/zinc/22/98/44/1083229844.db2.gz UHSJSVXGHLBPEQ-STQMWFEESA-N 0 1 312.816 3.118 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CN(C)Cc2cc(F)ccc2F)C1 ZINC001267633240 1083236126 /nfs/dbraw/zinc/23/61/26/1083236126.db2.gz VUNTXSMNEFIRTJ-CQSZACIVSA-N 0 1 322.399 3.211 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)c1ccccc1C ZINC001283723865 1083244093 /nfs/dbraw/zinc/24/40/93/1083244093.db2.gz QJNRBRRBXRQRMB-LSDHHAIUSA-N 0 1 308.853 3.288 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cccc(C(F)F)c2)C1 ZINC001267652052 1083246948 /nfs/dbraw/zinc/24/69/48/1083246948.db2.gz FHMDZYRIBTXBAY-CYBMUJFWSA-N 0 1 322.399 3.322 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC001267657382 1083249171 /nfs/dbraw/zinc/24/91/71/1083249171.db2.gz SIWJBCRTENUFRP-GFCCVEGCSA-N 0 1 324.827 3.177 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(-c2ccccc2)o1 ZINC001134178662 1083251020 /nfs/dbraw/zinc/25/10/20/1083251020.db2.gz PEYSQYLBHSTGLX-UHFFFAOYSA-N 0 1 304.777 3.019 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(CC2CC3(CCC3)C2)C1)c1ccccc1 ZINC001267669214 1083255886 /nfs/dbraw/zinc/25/58/86/1083255886.db2.gz FABBFWFCPYOXCJ-LJQANCHMSA-N 0 1 324.468 3.337 20 30 DGEDMN C#CCCCC(=O)N(Cc1ccccc1)[C@@H]1CCCN(CC=C)C1 ZINC001267714392 1083278754 /nfs/dbraw/zinc/27/87/54/1083278754.db2.gz GBQXDCBGZYXZIC-HXUWFJFHSA-N 0 1 324.468 3.469 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001134410332 1083285295 /nfs/dbraw/zinc/28/52/95/1083285295.db2.gz MZBOLTODPDXLAW-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001134476012 1083298179 /nfs/dbraw/zinc/29/81/79/1083298179.db2.gz LNZXMNSWFUWIEP-STQMWFEESA-N 0 1 307.438 3.021 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001481227253 1083308070 /nfs/dbraw/zinc/30/80/70/1083308070.db2.gz SRAVQONKXTUJPE-PFONDFGASA-N 0 1 318.848 3.096 20 30 DGEDMN CCN(C(=O)C#CC1CC1)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001267783889 1083345217 /nfs/dbraw/zinc/34/52/17/1083345217.db2.gz MFSSEJNSYMFAIH-NSDNCLLMSA-N 0 1 322.452 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001267809825 1083359322 /nfs/dbraw/zinc/35/93/22/1083359322.db2.gz SXBSXYGSNWZXTQ-GFCCVEGCSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cncc2sccc21 ZINC001267814610 1083366370 /nfs/dbraw/zinc/36/63/70/1083366370.db2.gz HPTOIOHWRDKAMI-LLVKDONJSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2ccncc2Cl)C1 ZINC001267818768 1083378139 /nfs/dbraw/zinc/37/81/39/1083378139.db2.gz NZRHOAJWJVBADN-AWEZNQCLSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2c1ccn2C ZINC001267823572 1083387463 /nfs/dbraw/zinc/38/74/63/1083387463.db2.gz KUSBVLDUASQUFF-ZDUSSCGKSA-N 0 1 319.836 3.029 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2ncsc2c1 ZINC001267825285 1083392515 /nfs/dbraw/zinc/39/25/15/1083392515.db2.gz NJBSMXTUWSKAQS-GFCCVEGCSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001267827210 1083395812 /nfs/dbraw/zinc/39/58/12/1083395812.db2.gz GRIMTRNQOWCQPS-RBSFLKMASA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001267831267 1083405693 /nfs/dbraw/zinc/40/56/93/1083405693.db2.gz OFHHSGOYJGQLHY-HRCADAONSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(CNCc2ccon2)CCCC[C@H]1C ZINC001267865294 1083478630 /nfs/dbraw/zinc/47/86/30/1083478630.db2.gz AHHUJMRVPLLKIL-QAPCUYQASA-N 0 1 319.449 3.186 20 30 DGEDMN C#C[C@H](NCc1cc(OC)c(Br)cc1F)C(C)C ZINC001181576836 1083493816 /nfs/dbraw/zinc/49/38/16/1083493816.db2.gz POZHACRGZPHMRI-ZDUSSCGKSA-N 0 1 314.198 3.344 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1cc(C)ccc1F ZINC001481277723 1083511503 /nfs/dbraw/zinc/51/15/03/1083511503.db2.gz VLSJJHPBYIQMJS-SFHVURJKSA-N 0 1 306.425 3.284 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001267925508 1083578228 /nfs/dbraw/zinc/57/82/28/1083578228.db2.gz QUSIJBOLFVYABR-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)NCc2ccc(CNCCF)cc2)CCCC1 ZINC001267941534 1083609972 /nfs/dbraw/zinc/60/99/72/1083609972.db2.gz ZNIUUARKFXDSLC-UHFFFAOYSA-N 0 1 318.436 3.498 20 30 DGEDMN N#Cc1c[nH]c2cc(NC(=O)Cc3n[nH]c4ccccc34)ccc12 ZINC001182059948 1083617823 /nfs/dbraw/zinc/61/78/23/1083617823.db2.gz GOQZUPOHEPRREL-UHFFFAOYSA-N 0 1 315.336 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001267957076 1083648048 /nfs/dbraw/zinc/64/80/48/1083648048.db2.gz NQNYLVWIEBJISN-KIWPFMIBSA-N 0 1 319.276 3.488 20 30 DGEDMN Cc1nc2cc(CNc3c[nH]c4c(C#N)cnc-4c3)ccc2o1 ZINC001167758944 1083654269 /nfs/dbraw/zinc/65/42/69/1083654269.db2.gz XGYADDGGVQUBTI-UHFFFAOYSA-N 0 1 303.325 3.496 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1c(C)oc(C)c1C ZINC001267961376 1083654332 /nfs/dbraw/zinc/65/43/32/1083654332.db2.gz XWPQJUWLYCQCRK-CQSZACIVSA-N 0 1 310.825 3.152 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001211657362 1083655598 /nfs/dbraw/zinc/65/55/98/1083655598.db2.gz CNTUVNPSFJIJOR-BPQIPLTHSA-N 0 1 318.436 3.142 20 30 DGEDMN C=C[C@H](C(=O)N1CCC[C@@H]1CNCC(=C)Cl)c1ccccc1 ZINC001267970621 1083673669 /nfs/dbraw/zinc/67/36/69/1083673669.db2.gz WLAAUJDNFHEPLG-SJORKVTESA-N 0 1 318.848 3.289 20 30 DGEDMN CCCCCCC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001481310807 1083748363 /nfs/dbraw/zinc/74/83/63/1083748363.db2.gz AJSJHYJYEPRZLO-UHFFFAOYSA-N 0 1 318.436 3.196 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ccco2)C1 ZINC001087419442 1083801763 /nfs/dbraw/zinc/80/17/63/1083801763.db2.gz GAEWXQPXGRCREC-QZTJIDSGSA-N 0 1 324.424 3.444 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cncc(CC)c1)C(C)C ZINC001481351823 1083804894 /nfs/dbraw/zinc/80/48/94/1083804894.db2.gz MCJCOQDLXKLUJV-UHFFFAOYSA-N 0 1 323.868 3.227 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)CC)c1ccc(C(C)C)cc1 ZINC001481357475 1083817750 /nfs/dbraw/zinc/81/77/50/1083817750.db2.gz DVAGEFNVITUOCC-YJBOKZPZSA-N 0 1 300.446 3.236 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC001182898282 1083835492 /nfs/dbraw/zinc/83/54/92/1083835492.db2.gz UVISJTVRUDTFIX-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2sccc2Cl)C1 ZINC001481399650 1083867187 /nfs/dbraw/zinc/86/71/87/1083867187.db2.gz MTOULLYPEOSPAB-CYBMUJFWSA-N 0 1 324.877 3.351 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C#N)c(C)c2)c(F)c1 ZINC001183053827 1083868817 /nfs/dbraw/zinc/86/88/17/1083868817.db2.gz SDSAYLKTQUIVQT-UHFFFAOYSA-N 0 1 312.344 3.082 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccccc2)C1 ZINC001481410547 1083881110 /nfs/dbraw/zinc/88/11/10/1083881110.db2.gz JJBNAHJFUJUFLU-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)ccc2C)C1 ZINC001481455676 1083944359 /nfs/dbraw/zinc/94/43/59/1083944359.db2.gz ATAOSTDNUYOGMV-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C)(C)C(C)(F)F)C1 ZINC001481456420 1083947728 /nfs/dbraw/zinc/94/77/28/1083947728.db2.gz HOJJNIQIYVDHFL-LBPRGKRZSA-N 0 1 322.827 3.249 20 30 DGEDMN N#Cc1cccc(C(F)(F)F)c1NC(=O)C12CCCN1CCC2 ZINC001183557555 1083969827 /nfs/dbraw/zinc/96/98/27/1083969827.db2.gz SWVKQXIJQPLLAJ-UHFFFAOYSA-N 0 1 323.318 3.144 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C\c2ccccc2F)C1 ZINC001183577061 1083976600 /nfs/dbraw/zinc/97/66/00/1083976600.db2.gz WMQNISKYWGZDIA-ZDTYVGOBSA-N 0 1 322.811 3.172 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CCCCC)C(C)C)CC2 ZINC001268046894 1084002446 /nfs/dbraw/zinc/00/24/46/1084002446.db2.gz OAWNUMPSERFADB-GOSISDBHSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CCCCCC)C1 ZINC001481502036 1084024979 /nfs/dbraw/zinc/02/49/79/1084024979.db2.gz IWSWNCHBVMTRRD-HNNXBMFYSA-N 0 1 300.874 3.490 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)CC1CCCC1 ZINC001268050924 1084030278 /nfs/dbraw/zinc/03/02/78/1084030278.db2.gz OCXXMRKWKKQZIT-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001481528847 1084084297 /nfs/dbraw/zinc/08/42/97/1084084297.db2.gz NYPPONPULWJNEJ-QZTJIDSGSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC001481535052 1084103735 /nfs/dbraw/zinc/10/37/35/1084103735.db2.gz DIBZYKGKQKGPFG-INIZCTEOSA-N 0 1 306.475 3.493 20 30 DGEDMN CCCC#CC(=O)Nc1n[nH]c2nc(C(F)(F)F)cc(C)c12 ZINC001184269243 1084140472 /nfs/dbraw/zinc/14/04/72/1084140472.db2.gz OYVAOBYJQPMNOE-UHFFFAOYSA-N 0 1 310.279 3.027 20 30 DGEDMN CC1(C)CCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001184471494 1084191276 /nfs/dbraw/zinc/19/12/76/1084191276.db2.gz UQGYESGFGCYQNZ-CYBMUJFWSA-N 0 1 309.373 3.108 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3cnccc3c2)C1 ZINC001481592496 1084232139 /nfs/dbraw/zinc/23/21/39/1084232139.db2.gz DYPLFTISJZZZLW-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C[C@@H]1c2ccccc2OCCN1C[C@H](O)c1cccc(C#N)c1 ZINC001167866927 1084275818 /nfs/dbraw/zinc/27/58/18/1084275818.db2.gz BWXUIMGMHSSDMJ-KDOFPFPSSA-N 0 1 308.381 3.047 20 30 DGEDMN C[C@@H](Cc1ccccc1)c1cc(C(=O)N2CCCC[C@H]2C#N)n[nH]1 ZINC001184984126 1084314328 /nfs/dbraw/zinc/31/43/28/1084314328.db2.gz KLYGTJWHQDPFHX-HOCLYGCPSA-N 0 1 322.412 3.274 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](NCc2nccs2)C(C)C)CCC1 ZINC001268215902 1084340754 /nfs/dbraw/zinc/34/07/54/1084340754.db2.gz CSUJNCHXUMILSP-AWEZNQCLSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1cccc(Cl)c1 ZINC001282185830 1084357202 /nfs/dbraw/zinc/35/72/02/1084357202.db2.gz PWPATPGMSBIBHY-VXGBXAGGSA-N 0 1 315.244 3.118 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H](NCC=C(Cl)Cl)C2)CC1 ZINC001185424452 1084436261 /nfs/dbraw/zinc/43/62/61/1084436261.db2.gz QFAYXJJEIFYOOB-CYBMUJFWSA-N 0 1 317.260 3.242 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185430601 1084437990 /nfs/dbraw/zinc/43/79/90/1084437990.db2.gz OTQLCDODQSABDC-RISCZKNCSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1ccc(C)c(C)c1 ZINC001282226779 1084440800 /nfs/dbraw/zinc/44/08/00/1084440800.db2.gz FCXNKDWQDNLBND-HUUCEWRRSA-N 0 1 308.853 3.081 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cnc(C#N)c(C)c2)ccc1Cl ZINC001185496622 1084456008 /nfs/dbraw/zinc/45/60/08/1084456008.db2.gz LBZRFPBRGNGDPF-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C2CC2)cc1 ZINC001481670452 1084465706 /nfs/dbraw/zinc/46/57/06/1084465706.db2.gz BVQLBGMIGCVRGZ-PMACEKPBSA-N 0 1 322.452 3.153 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC/C=C\CC ZINC001481670830 1084466081 /nfs/dbraw/zinc/46/60/81/1084466081.db2.gz KWQRJCPJBZZEMP-OPDSQHBBSA-N 0 1 302.462 3.396 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1CN2CC/C=C\CC ZINC001481670830 1084466085 /nfs/dbraw/zinc/46/60/85/1084466085.db2.gz KWQRJCPJBZZEMP-OPDSQHBBSA-N 0 1 302.462 3.396 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001481692219 1084481722 /nfs/dbraw/zinc/48/17/22/1084481722.db2.gz BISWZFHKZWIEIL-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001481702203 1084490057 /nfs/dbraw/zinc/49/00/57/1084490057.db2.gz JPIISVPXTYAEAJ-WMLDXEAASA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)/C=C(/C)C1CC1 ZINC001496471150 1084493279 /nfs/dbraw/zinc/49/32/79/1084493279.db2.gz XUCCVHCATURMFR-XKROAPFSSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001481705441 1084494747 /nfs/dbraw/zinc/49/47/47/1084494747.db2.gz HTQMIXPKFOVOKN-UZRURVBFSA-N 0 1 310.441 3.084 20 30 DGEDMN Cc1cc(C#N)cc(C)c1NS(=O)(=O)c1ccc(Cl)nc1 ZINC001185680570 1084509002 /nfs/dbraw/zinc/50/90/02/1084509002.db2.gz WOQUTIWMDCGILP-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cc(F)ccc1C ZINC001110369012 1084521488 /nfs/dbraw/zinc/52/14/88/1084521488.db2.gz ULNVBWKMWZUPNQ-KURKYZTESA-N 0 1 316.420 3.322 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001185859712 1084544853 /nfs/dbraw/zinc/54/48/53/1084544853.db2.gz JGSNISUMDPBJOF-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C/C=C\Cc2ccccc2)C1 ZINC001481767661 1084618771 /nfs/dbraw/zinc/61/87/71/1084618771.db2.gz HYTASMDAIKBROM-QJRAZLAKSA-N 0 1 324.468 3.122 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001481775255 1084627652 /nfs/dbraw/zinc/62/76/52/1084627652.db2.gz MFHVWXDKXUPHCX-QZTJIDSGSA-N 0 1 304.478 3.315 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001481776017 1084628923 /nfs/dbraw/zinc/62/89/23/1084628923.db2.gz UOFNLWVYXSJKNR-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001481778232 1084629048 /nfs/dbraw/zinc/62/90/48/1084629048.db2.gz LXTMJQLJEULEOQ-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1C ZINC001110378917 1084629946 /nfs/dbraw/zinc/62/99/46/1084629946.db2.gz NXAZRQRRTXJDOK-KURKYZTESA-N 0 1 316.420 3.322 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(Cl)s1 ZINC001481877868 1084684901 /nfs/dbraw/zinc/68/49/01/1084684901.db2.gz VBNVVTOZPBMXMT-SECBINFHSA-N 0 1 307.246 3.252 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H](C)c2ccccc2)C1 ZINC001268482082 1084702951 /nfs/dbraw/zinc/70/29/51/1084702951.db2.gz NEPNYGHQKFLRBM-JKSUJKDBSA-N 0 1 300.446 3.335 20 30 DGEDMN Cc1ccc(CN2CC[C@@H](Nc3ncc(C#N)cc3F)C2)cc1 ZINC001187391643 1084802315 /nfs/dbraw/zinc/80/23/15/1084802315.db2.gz OMEZXWABOLORMF-MRXNPFEDSA-N 0 1 310.376 3.087 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C(C)(C)C(C)(F)F ZINC001482065458 1084816203 /nfs/dbraw/zinc/81/62/03/1084816203.db2.gz AZJOWQFYZJRXAT-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C[C@@H]1CC[C@H](CC(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001268578160 1084839849 /nfs/dbraw/zinc/83/98/49/1084839849.db2.gz HIJPXWUPVGSJTQ-OANMOWAGSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1ccncc1Cl ZINC001268588589 1084857735 /nfs/dbraw/zinc/85/77/35/1084857735.db2.gz SVUNXNCYMFQNHQ-TUYSUELWSA-N 0 1 321.852 3.099 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2ccc(F)cc2F)CCC1 ZINC001268661378 1084924571 /nfs/dbraw/zinc/92/45/71/1084924571.db2.gz SOOICLHBJWXFQJ-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CN(CCC2=CCCCC2)CCO1 ZINC001482188401 1084925392 /nfs/dbraw/zinc/92/53/92/1084925392.db2.gz IGSZINXIPINWGL-SFHVURJKSA-N 0 1 320.477 3.050 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001268666817 1084929118 /nfs/dbraw/zinc/92/91/18/1084929118.db2.gz GPJXPFVQHPFLRJ-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CNCc2cnoc2C)CCCCC1 ZINC001268664692 1084929140 /nfs/dbraw/zinc/92/91/40/1084929140.db2.gz IGJRBPKNZHNARF-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1sccc1C(C)C ZINC001268675431 1084935728 /nfs/dbraw/zinc/93/57/28/1084935728.db2.gz ZGHVAJFGWCRFFN-LLVKDONJSA-N 0 1 300.855 3.332 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(CC)c(CC)c1 ZINC001268676163 1084937594 /nfs/dbraw/zinc/93/75/94/1084937594.db2.gz PIQJOSXDQYZKPC-CYBMUJFWSA-N 0 1 308.853 3.272 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001268693544 1084948178 /nfs/dbraw/zinc/94/81/78/1084948178.db2.gz GRHQSANSPPGFJN-GJZGRUSLSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@@H](C)C(CC)CC ZINC001268693836 1084953364 /nfs/dbraw/zinc/95/33/64/1084953364.db2.gz NSFUDWAJFRCSIW-RYUDHWBXSA-N 0 1 319.287 3.062 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001268729759 1084992207 /nfs/dbraw/zinc/99/22/07/1084992207.db2.gz PRPUFVGRDDKZTJ-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@@H](C)c2cccc(OC)c2)C1 ZINC001268833064 1085064928 /nfs/dbraw/zinc/06/49/28/1085064928.db2.gz CIWCISDKWUERFX-HNNXBMFYSA-N 0 1 316.445 3.161 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)C1 ZINC001268887692 1085073706 /nfs/dbraw/zinc/07/37/06/1085073706.db2.gz DLTICOKEKWFHHT-HZPDHXFCSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC[C@H](C)CC)CC1(C)C ZINC001284332763 1085075568 /nfs/dbraw/zinc/07/55/68/1085075568.db2.gz PSPNRDODJORLGB-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCCC(F)(F)F ZINC001268967753 1085091437 /nfs/dbraw/zinc/09/14/37/1085091437.db2.gz DTIOYZXFZWTWQG-MCIONIFRSA-N 0 1 318.383 3.216 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001269056742 1085107493 /nfs/dbraw/zinc/10/74/93/1085107493.db2.gz JNUZNLBYOWGGNH-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@H](C)c2cccc(OC)c2)C1 ZINC001269061556 1085108729 /nfs/dbraw/zinc/10/87/29/1085108729.db2.gz LOBGWMYTNRSGJD-WBVHZDCISA-N 0 1 316.445 3.303 20 30 DGEDMN CCCCCCCC(=O)N1CC[C@H]2CN(Cc3ccc[nH]3)C[C@H]21 ZINC001269074849 1085114525 /nfs/dbraw/zinc/11/45/25/1085114525.db2.gz GQWBUTRSYUOCAG-FUHWJXTLSA-N 0 1 317.477 3.408 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1[nH]c(CCCC)nc1C(=O)OCC ZINC001269123495 1085139301 /nfs/dbraw/zinc/13/93/01/1085139301.db2.gz ACOHEFDRDNOYJT-UHFFFAOYSA-N 0 1 321.421 3.470 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001269129931 1085144757 /nfs/dbraw/zinc/14/47/57/1085144757.db2.gz VLJALUIHLZZOOP-IBWPDUFMSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001269177245 1085182819 /nfs/dbraw/zinc/18/28/19/1085182819.db2.gz BKSFNKUEMOWUQE-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001269228173 1085222310 /nfs/dbraw/zinc/22/23/10/1085222310.db2.gz ZHFGROFCMDLEQB-MAUKXSAKSA-N 0 1 313.445 3.027 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001269235388 1085226907 /nfs/dbraw/zinc/22/69/07/1085226907.db2.gz KHUOSCPGEGAFBG-SJORKVTESA-N 0 1 312.457 3.296 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001269273802 1085257505 /nfs/dbraw/zinc/25/75/05/1085257505.db2.gz BWRJJWQOTQTFSF-LPHOPBHVSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(F)ccc1CC ZINC001269432225 1085382427 /nfs/dbraw/zinc/38/24/27/1085382427.db2.gz KDVWAPIWVJVLEJ-CQSZACIVSA-N 0 1 312.816 3.239 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(Cl)c2F)CC1 ZINC001269480290 1085411055 /nfs/dbraw/zinc/41/10/55/1085411055.db2.gz GEBASCYOUJFYBX-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001269465137 1085400900 /nfs/dbraw/zinc/40/09/00/1085400900.db2.gz UXHBOVRPZIFBOP-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3cc(F)cc(F)c3)CC2)C1 ZINC001269488969 1085417090 /nfs/dbraw/zinc/41/70/90/1085417090.db2.gz CCYDUKOLLFTNSL-UHFFFAOYSA-N 0 1 320.383 3.060 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2coc3c2cccc3C)C1 ZINC001269800824 1085569788 /nfs/dbraw/zinc/56/97/88/1085569788.db2.gz QWPLUZMSQLZQEC-UHFFFAOYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2cccc(C)c2Cl)C1 ZINC001269820870 1085575180 /nfs/dbraw/zinc/57/51/80/1085575180.db2.gz SMZCGZDFTHAJGG-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccccc2)C1 ZINC001269881962 1085598676 /nfs/dbraw/zinc/59/86/76/1085598676.db2.gz SVBFYRPTFBEZTI-INIZCTEOSA-N 0 1 300.446 3.117 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(CC3CCCC3)C2)CCCCC1 ZINC001269898899 1085603533 /nfs/dbraw/zinc/60/35/33/1085603533.db2.gz DDGHDENENAVBTE-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)C1CN(CC2CC2)C1)c1ccccc1 ZINC001269907034 1085608432 /nfs/dbraw/zinc/60/84/32/1085608432.db2.gz MVIJTHDGZPRKOM-IBGZPJMESA-N 0 1 312.457 3.289 20 30 DGEDMN CCCCCC(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001482256602 1085641675 /nfs/dbraw/zinc/64/16/75/1085641675.db2.gz XNZMHIBFWPZINY-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cc(C)oc2C)CC1 ZINC001270094345 1085688189 /nfs/dbraw/zinc/68/81/89/1085688189.db2.gz AYMUEQIXWUDYDX-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001482266315 1085696560 /nfs/dbraw/zinc/69/65/60/1085696560.db2.gz VXKJLOQNDKMEPH-STQMWFEESA-N 0 1 310.388 3.429 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2ocnc2C)CC1 ZINC001270242377 1085744786 /nfs/dbraw/zinc/74/47/86/1085744786.db2.gz AWHYQCVGJLKLJB-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC[C@@H](C)C1)C1CCN(C/C=C/Cl)CC1 ZINC001270351313 1085781222 /nfs/dbraw/zinc/78/12/22/1085781222.db2.gz LCHIIWMFYNDRDO-HWYYHXQYSA-N 0 1 322.880 3.101 20 30 DGEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001270650920 1085920003 /nfs/dbraw/zinc/92/00/03/1085920003.db2.gz YVBNQJCEBWYPRQ-RXVVDRJESA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001270650920 1085920008 /nfs/dbraw/zinc/92/00/08/1085920008.db2.gz YVBNQJCEBWYPRQ-RXVVDRJESA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CCNC/C(Cl)=C/Cl)C1 ZINC001501612515 1086127642 /nfs/dbraw/zinc/12/76/42/1086127642.db2.gz RWXBRINMNWTZPK-JFBXQDQPSA-N 0 1 319.276 3.490 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2cccnc2)C1 ZINC001270982239 1086229975 /nfs/dbraw/zinc/22/99/75/1086229975.db2.gz GUJPFMVQEZQBPI-IBGZPJMESA-N 0 1 315.461 3.156 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001270998265 1086237954 /nfs/dbraw/zinc/23/79/54/1086237954.db2.gz APTNVZVKHBSJRB-VDGAXYAQSA-N 0 1 318.505 3.300 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@H](F)CC)cc1 ZINC001280354232 1086243967 /nfs/dbraw/zinc/24/39/67/1086243967.db2.gz LIPQXUKNKLCLTH-SWLSCSKDSA-N 0 1 312.816 3.454 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@](C)(CC)CCC)C1 ZINC001271099416 1086290967 /nfs/dbraw/zinc/29/09/67/1086290967.db2.gz CMQBYKNTQADMGZ-GDBMZVCRSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCN(C[C@H](F)CC)[C@@H]1CC ZINC001087603114 1086324437 /nfs/dbraw/zinc/32/44/37/1086324437.db2.gz YJVYSQSNXPLDCC-OWCLPIDISA-N 0 1 321.440 3.005 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)cc(F)cc2C)[C@H]1CC ZINC001087683060 1086357787 /nfs/dbraw/zinc/35/77/87/1086357787.db2.gz HEJFYBIYNIWWCP-DLBZAZTESA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)cc2C)[C@H]1CC ZINC001087711206 1086371977 /nfs/dbraw/zinc/37/19/77/1086371977.db2.gz QRAARAYUOAUNSW-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H]1CC ZINC001087824066 1086430435 /nfs/dbraw/zinc/43/04/35/1086430435.db2.gz IKEBYMUQWYXGLQ-RBUKOAKNSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1CC ZINC001087845017 1086443433 /nfs/dbraw/zinc/44/34/33/1086443433.db2.gz BCJAJDGBMBLQJU-WINGESFGSA-N 0 1 304.478 3.358 20 30 DGEDMN COCC[C@H]1CCCCN1Cc1ccc(C#N)c(SC)n1 ZINC001141939480 1086528531 /nfs/dbraw/zinc/52/85/31/1086528531.db2.gz QXFXALVGKRBACT-OAHLLOKOSA-N 0 1 305.447 3.066 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCCCC1(C)C ZINC001271228517 1086549628 /nfs/dbraw/zinc/54/96/28/1086549628.db2.gz SEIWKKNOONEAFM-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2nccs2)CCCC1 ZINC001271237869 1086553297 /nfs/dbraw/zinc/55/32/97/1086553297.db2.gz GLVOUKGRWFXNCX-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc([C@H](C)CC)cc3)C[C@@H]2C1 ZINC001088213312 1086654513 /nfs/dbraw/zinc/65/45/13/1086654513.db2.gz WDLNLRYPCCQBID-AHRSYUTCSA-N 0 1 324.468 3.227 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1nccs1 ZINC001482491693 1086668140 /nfs/dbraw/zinc/66/81/40/1086668140.db2.gz PGFSXNHWXVQINI-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CC1(C)CC1)C2 ZINC001271744470 1086752499 /nfs/dbraw/zinc/75/24/99/1086752499.db2.gz YVXOBZTVUYMICO-QDMKHBRRSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1cccnc1 ZINC001272033709 1087003628 /nfs/dbraw/zinc/00/36/28/1087003628.db2.gz KFYLJXGVMJPUMY-MSOLQXFVSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1csc(C)c1 ZINC001482591038 1087010027 /nfs/dbraw/zinc/01/00/27/1087010027.db2.gz CHLNOFBQVIQSJU-UHFFFAOYSA-N 0 1 306.475 3.140 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2ccc(F)cc2s1 ZINC001272061997 1087046957 /nfs/dbraw/zinc/04/69/57/1087046957.db2.gz XJALWUJRQMPSST-UHFFFAOYSA-N 0 1 318.417 3.068 20 30 DGEDMN C#CCN(CC1CC1)C[C@@H]1CC(c2ccc(Cl)cc2)=NO1 ZINC001168233209 1087065411 /nfs/dbraw/zinc/06/54/11/1087065411.db2.gz FSUOVDMYQZTHAV-INIZCTEOSA-N 0 1 302.805 3.178 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(F)c2ccccc12 ZINC001482629237 1087093081 /nfs/dbraw/zinc/09/30/81/1087093081.db2.gz CBARQBXDRNTDFE-UHFFFAOYSA-N 0 1 312.388 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC(C)(F)F)CC[C@@H]21 ZINC001482658327 1087104652 /nfs/dbraw/zinc/10/46/52/1087104652.db2.gz GQLXLVLMYAXODE-OLZOCXBDSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(C)=C(C)C)CC[C@@H]21 ZINC001482660922 1087104677 /nfs/dbraw/zinc/10/46/77/1087104677.db2.gz BACCGWLOODFCDE-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCN(C)Cc1cccc(F)c1 ZINC001496767154 1087131918 /nfs/dbraw/zinc/13/19/18/1087131918.db2.gz NIZNXXGGLJSCTA-OAHLLOKOSA-N 0 1 306.425 3.318 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001482818307 1087166351 /nfs/dbraw/zinc/16/63/51/1087166351.db2.gz MXNCIGOJBGZKSV-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001272117218 1087207980 /nfs/dbraw/zinc/20/79/80/1087207980.db2.gz CVRFHUPRVYTJGI-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2oc3c(cccc3F)c2C)[C@H]1C ZINC001088622918 1087234774 /nfs/dbraw/zinc/23/47/74/1087234774.db2.gz XGGRHLGPOMHJQB-DOMZBBRYSA-N 0 1 316.376 3.259 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1C ZINC001088636692 1087240675 /nfs/dbraw/zinc/24/06/75/1087240675.db2.gz RDEPPSUBNHNPFA-NEPJUHHUSA-N 0 1 312.360 3.294 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c3ccccc3c2Cl)[C@H]1C ZINC001088701864 1087302024 /nfs/dbraw/zinc/30/20/24/1087302024.db2.gz NWLGMHLTQMQSIQ-YPMHNXCESA-N 0 1 317.820 3.200 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc(C(F)(F)F)c2)[C@H]1C ZINC001088702757 1087303661 /nfs/dbraw/zinc/30/36/61/1087303661.db2.gz HRPIUAUFVQBRSZ-KOLCDFICSA-N 0 1 318.364 3.146 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C2CC2)cc1 ZINC001483095509 1087339795 /nfs/dbraw/zinc/33/97/95/1087339795.db2.gz OGYBSBJPPLEJMF-NSCUHMNNSA-N 0 1 304.821 3.192 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)[C@H]1C ZINC001088776790 1087349111 /nfs/dbraw/zinc/34/91/11/1087349111.db2.gz PFENZOFNAKGWEM-UZLBHIALSA-N 0 1 324.468 3.099 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@H]1C ZINC001088778327 1087350433 /nfs/dbraw/zinc/35/04/33/1087350433.db2.gz WFBVTYCUIGVTES-WBVHZDCISA-N 0 1 323.440 3.001 20 30 DGEDMN C[C@H](CNCc1ccc(Cl)cc1F)NC(=O)C#CC(C)(C)C ZINC001483128266 1087388711 /nfs/dbraw/zinc/38/87/11/1087388711.db2.gz ZSSZSMWWSFTTSM-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)[C@H]1C ZINC001088833836 1087410036 /nfs/dbraw/zinc/41/00/36/1087410036.db2.gz MBOIQIPCNXWGGZ-CPUCHLNUSA-N 0 1 318.848 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)[C@H]1C ZINC001088833836 1087410038 /nfs/dbraw/zinc/41/00/38/1087410038.db2.gz MBOIQIPCNXWGGZ-CPUCHLNUSA-N 0 1 318.848 3.046 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2c(s1)CCCC2 ZINC001483191032 1087414339 /nfs/dbraw/zinc/41/43/39/1087414339.db2.gz MHZRHJXSMANMCZ-LLVKDONJSA-N 0 1 312.866 3.087 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1CC(c2ccc(F)cc2)C1 ZINC001483201160 1087416472 /nfs/dbraw/zinc/41/64/72/1087416472.db2.gz LZQJXOPBBOJQSL-LRVUVFPRSA-N 0 1 324.827 3.166 20 30 DGEDMN C=CCC[C@@H](C)N1CC(OC2CCN(C(=O)CCCC)CC2)C1 ZINC001105690268 1087426563 /nfs/dbraw/zinc/42/65/63/1087426563.db2.gz ISFBDRUPEWXBAI-MRXNPFEDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2cccnc2C)CCC1 ZINC001483380083 1087486682 /nfs/dbraw/zinc/48/66/82/1087486682.db2.gz DFXUOBGNFIRXND-OAHLLOKOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN[C@H](C)c1ncc(C)o1 ZINC001483489818 1087513849 /nfs/dbraw/zinc/51/38/49/1087513849.db2.gz NJVSSHYGWLTCCT-PBHICJAKSA-N 0 1 307.438 3.084 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(C2CC2)cc1 ZINC001483647182 1087602480 /nfs/dbraw/zinc/60/24/80/1087602480.db2.gz IGIZEYGDHQJXOO-LJQANCHMSA-N 0 1 310.441 3.058 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001483647182 1087602484 /nfs/dbraw/zinc/60/24/84/1087602484.db2.gz IGIZEYGDHQJXOO-LJQANCHMSA-N 0 1 310.441 3.058 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(c3ccccc3)CC2(C)C)[C@H]1C ZINC001088936934 1087607772 /nfs/dbraw/zinc/60/77/72/1087607772.db2.gz KPBYHSJEIKZHEB-SYNHAJSKSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)ccn2)[C@H]1C ZINC001088940803 1087607864 /nfs/dbraw/zinc/60/78/64/1087607864.db2.gz QFGVDEIETRWZOC-QAPCUYQASA-N 0 1 321.424 3.127 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2ccc(CC)cc2)[C@H]1C ZINC001088967763 1087610828 /nfs/dbraw/zinc/61/08/28/1087610828.db2.gz SRBWADZHFNEUIR-QAPCUYQASA-N 0 1 314.473 3.292 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)[C@H]1C ZINC001088967836 1087611750 /nfs/dbraw/zinc/61/17/50/1087611750.db2.gz VPKPAHWCOAXRHU-XQQFMLRXSA-N 0 1 324.877 3.107 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@H]1C ZINC001088998820 1087617807 /nfs/dbraw/zinc/61/78/07/1087617807.db2.gz RFQXZNJKYBDUGO-PBHICJAKSA-N 0 1 300.446 3.363 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3ccccc3)CCCC2)[C@H]1C ZINC001089023440 1087623406 /nfs/dbraw/zinc/62/34/06/1087623406.db2.gz WGRXYYYMEKABHX-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1[C@H](C)c1ccccc1C ZINC001483671333 1087624355 /nfs/dbraw/zinc/62/43/55/1087624355.db2.gz KLXBBMDQTXCZAX-CVEARBPZSA-N 0 1 300.446 3.459 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@]1(C)CC=CCC1 ZINC001099085898 1087625420 /nfs/dbraw/zinc/62/54/20/1087625420.db2.gz WUSFCJYUWWYYNA-PXNSSMCTSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3ccccc3n2CC)[C@H]1C ZINC001089058403 1087628400 /nfs/dbraw/zinc/62/84/00/1087628400.db2.gz OOCLWDPWRZGRFJ-ZBFHGGJFSA-N 0 1 311.429 3.040 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H]1C ZINC001089073602 1087631831 /nfs/dbraw/zinc/63/18/31/1087631831.db2.gz OCUPGYFPNRTTAS-WBVHZDCISA-N 0 1 323.440 3.450 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc2ncccc2c1 ZINC001483676424 1087632162 /nfs/dbraw/zinc/63/21/62/1087632162.db2.gz LYDQEDOBHHREPH-GOSISDBHSA-N 0 1 323.440 3.282 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc2ncccc2c1 ZINC001483676424 1087632165 /nfs/dbraw/zinc/63/21/65/1087632165.db2.gz LYDQEDOBHHREPH-GOSISDBHSA-N 0 1 323.440 3.282 20 30 DGEDMN CC(C)c1ccc(OCC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176394321 1087721704 /nfs/dbraw/zinc/72/17/04/1087721704.db2.gz PDVKHLMQRHPLFR-UHFFFAOYSA-N 0 1 310.353 3.405 20 30 DGEDMN Cc1c(Cl)cccc1OCC(=O)Nc1cc(C#N)ccc1O ZINC000176393524 1087723195 /nfs/dbraw/zinc/72/31/95/1087723195.db2.gz KGSSXYHTETXIKM-UHFFFAOYSA-N 0 1 316.744 3.243 20 30 DGEDMN CCCCCCCCCN1CC2(C1)COCC(=O)N2CCCC ZINC001272478500 1087724025 /nfs/dbraw/zinc/72/40/25/1087724025.db2.gz LMJBBCZLLCSSGC-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C(C)(C)C ZINC001099171876 1087745149 /nfs/dbraw/zinc/74/51/49/1087745149.db2.gz VNHNECWGFQYESE-OAHLLOKOSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@@H](CC)CCCCC ZINC001151891177 1087812688 /nfs/dbraw/zinc/81/26/88/1087812688.db2.gz UXYQEYSZFAYDLC-ZDUSSCGKSA-N 0 1 319.287 3.207 20 30 DGEDMN Cc1cccc2nc(C(=O)N[C@@H](C)c3cccc(C#N)c3)[nH]c21 ZINC001151991613 1087858149 /nfs/dbraw/zinc/85/81/49/1087858149.db2.gz FCDCXVFXTPVPOP-LBPRGKRZSA-N 0 1 304.353 3.234 20 30 DGEDMN Cc1cccc2nc(C(=O)Nc3ccc4c(C#N)c[nH]c4c3)[nH]c21 ZINC001151992005 1087863091 /nfs/dbraw/zinc/86/30/91/1087863091.db2.gz HOOBTYJLEZGKGC-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2oc(C)nc2C)CCCCC1 ZINC001151998648 1087866731 /nfs/dbraw/zinc/86/67/31/1087866731.db2.gz YAULZYOUGZIYCK-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN CC(C)c1cccc(S(=O)(=O)Nc2cc(C#N)ccc2O)c1 ZINC000176511886 1087884246 /nfs/dbraw/zinc/88/42/46/1087884246.db2.gz KXTMKHNBCAYGGI-UHFFFAOYSA-N 0 1 316.382 3.188 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1ccc(C)o1 ZINC001158565428 1087919022 /nfs/dbraw/zinc/91/90/22/1087919022.db2.gz MXDDMXKVGPLDST-DLBZAZTESA-N 0 1 316.445 3.028 20 30 DGEDMN Cc1cc(N2CCCC2)nc(NC23CCC(C#N)(CC2)CC3)n1 ZINC001158783481 1088026010 /nfs/dbraw/zinc/02/60/10/1088026010.db2.gz KBTWFPIHHAOYAO-UHFFFAOYSA-N 0 1 311.433 3.414 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCC(C)(C)CN2CC#CC)CCC1 ZINC001099225206 1088099885 /nfs/dbraw/zinc/09/98/85/1088099885.db2.gz OLYRESBWLUEENT-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN CCC[C@@H](CC(C)C)C(=O)NCCNCc1ccccc1C#N ZINC001152876678 1088100133 /nfs/dbraw/zinc/10/01/33/1088100133.db2.gz MYWMPLFUVWDXDS-INIZCTEOSA-N 0 1 315.461 3.226 20 30 DGEDMN CCC[C@H](CC(C)C)C(=O)NCCNCC#Cc1ccccc1 ZINC001152877006 1088103425 /nfs/dbraw/zinc/10/34/25/1088103425.db2.gz VWADXZLDGMZOJC-LJQANCHMSA-N 0 1 314.473 3.206 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(C2CC2)c1 ZINC001158935742 1088108188 /nfs/dbraw/zinc/10/81/88/1088108188.db2.gz AWMNCAHIOLMAEV-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCCCc1ccc(C)cc1 ZINC001153188673 1088181528 /nfs/dbraw/zinc/18/15/28/1088181528.db2.gz CQVPPNJLGVKHAX-UHFFFAOYSA-N 0 1 308.853 3.166 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)N(CC)C[C@H](F)CC ZINC001153588688 1088295627 /nfs/dbraw/zinc/29/56/27/1088295627.db2.gz ZHKNTWNFDUIGBJ-DGCLKSJQSA-N 0 1 306.853 3.340 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001153625913 1088310888 /nfs/dbraw/zinc/31/08/88/1088310888.db2.gz PEUXYOAHVIHHMO-CYBMUJFWSA-N 0 1 309.479 3.134 20 30 DGEDMN C=CCC[C@H](C(=O)NCCNCC(=C)Cl)c1ccccc1 ZINC001153818090 1088370498 /nfs/dbraw/zinc/37/04/98/1088370498.db2.gz WMNDTTMBCUQFJC-INIZCTEOSA-N 0 1 306.837 3.195 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2ccc(F)cc2)CC1 ZINC001159538872 1088385067 /nfs/dbraw/zinc/38/50/67/1088385067.db2.gz PRSATQRRHJRNKR-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C1(C2CC2)CC1 ZINC001153957455 1088419599 /nfs/dbraw/zinc/41/95/99/1088419599.db2.gz SRKVDLVZPBOMLF-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@]23CCN(C2CCCC2)C3=O)c1 ZINC001272647065 1088421934 /nfs/dbraw/zinc/42/19/34/1088421934.db2.gz ZAQFZXKHHUSKAU-FQEVSTJZSA-N 0 1 323.440 3.068 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2CC23CC3)CC(C)(C)C1 ZINC001089502146 1088697774 /nfs/dbraw/zinc/69/77/74/1088697774.db2.gz NEAUZXPWJXSEHK-ZIAGYGMSSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001154751365 1088742726 /nfs/dbraw/zinc/74/27/26/1088742726.db2.gz TXQWKINYIXOGFW-HIFRSBDPSA-N 0 1 323.506 3.380 20 30 DGEDMN COc1cc2[nH]ncc2cc1C(=O)Nc1ccc([C@H](C)C#N)cc1 ZINC001154794269 1088760248 /nfs/dbraw/zinc/76/02/48/1088760248.db2.gz WNLMOPAITJFWJZ-LLVKDONJSA-N 0 1 320.352 3.451 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(C)cc1Cl ZINC001272671788 1088774572 /nfs/dbraw/zinc/77/45/72/1088774572.db2.gz DBPGSXADIARTHE-IAGOWNOFSA-N 0 1 318.848 3.400 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(F)c1 ZINC001089600475 1088798530 /nfs/dbraw/zinc/79/85/30/1088798530.db2.gz FDXBGPYOTUPEEF-QGZVFWFLSA-N 0 1 316.420 3.069 20 30 DGEDMN C=C(C)C[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)n1C ZINC001089610981 1088809550 /nfs/dbraw/zinc/80/95/50/1088809550.db2.gz YNKKJDUBWBMOMX-MRXNPFEDSA-N 0 1 317.477 3.130 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1oc(C)cc1C ZINC001089622556 1088835010 /nfs/dbraw/zinc/83/50/10/1088835010.db2.gz ILFVQMXRBLNCSU-INIZCTEOSA-N 0 1 316.445 3.140 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)coc1C ZINC001089636630 1088863505 /nfs/dbraw/zinc/86/35/05/1088863505.db2.gz FESUTHRWFIKCPD-OAHLLOKOSA-N 0 1 304.434 3.303 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)CCCCc1ccccc1 ZINC001154980654 1088865885 /nfs/dbraw/zinc/86/58/85/1088865885.db2.gz LBHNVDBQCRKZAX-GOSISDBHSA-N 0 1 314.473 3.249 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1sccc1F ZINC001089637780 1088866471 /nfs/dbraw/zinc/86/64/71/1088866471.db2.gz PCCHDZYCLKLPIF-LBPRGKRZSA-N 0 1 310.438 3.294 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC2(C)CCCCC2)CC1 ZINC001160641435 1088901525 /nfs/dbraw/zinc/90/15/25/1088901525.db2.gz UKEXKSILNQMDTN-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)C1CN(CC2CCC3(CC3)CC2)C1 ZINC001483863164 1088960506 /nfs/dbraw/zinc/96/05/06/1088960506.db2.gz OOLSIBKXLOEBTA-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccccc2F)C1 ZINC001483890542 1088991848 /nfs/dbraw/zinc/99/18/48/1088991848.db2.gz WLUTWHRTSUTSSG-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1onc(C)c1C ZINC001089656335 1089008089 /nfs/dbraw/zinc/00/80/89/1089008089.db2.gz GTRNIUSBDPKKGU-OAHLLOKOSA-N 0 1 319.449 3.088 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCC=CCCC1 ZINC001089657082 1089017249 /nfs/dbraw/zinc/01/72/49/1089017249.db2.gz QXCRAUVRPLTSIO-MSOLQXFVSA-N 0 1 316.489 3.363 20 30 DGEDMN CC#CCCCC(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160953880 1089041533 /nfs/dbraw/zinc/04/15/33/1089041533.db2.gz KJBNDKKNDNLWMS-QGZVFWFLSA-N 0 1 308.441 3.067 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160991599 1089059025 /nfs/dbraw/zinc/05/90/25/1089059025.db2.gz REFNLJDQMYHLIJ-NVXWUHKLSA-N 0 1 310.457 3.475 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1nc(C)cs1)C1CCCC1 ZINC001483996028 1089083125 /nfs/dbraw/zinc/08/31/25/1089083125.db2.gz JFYCFQNCMIMVFR-HNNXBMFYSA-N 0 1 321.490 3.182 20 30 DGEDMN CC(C)CCCCC(=O)N(C)CCNCC#Cc1ccccc1 ZINC001493736010 1089085292 /nfs/dbraw/zinc/08/52/92/1089085292.db2.gz ZKWMPSIRAKYUTB-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001155524203 1089146286 /nfs/dbraw/zinc/14/62/86/1089146286.db2.gz VAEZETJYIQEJNS-AWEZNQCLSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CC[N@@H+]1CC=C(CCNC(=O)CCCC(F)(F)F)CC1 ZINC001161314193 1089186579 /nfs/dbraw/zinc/18/65/79/1089186579.db2.gz NVWMMENMOHIADN-UHFFFAOYSA-N 0 1 304.356 3.043 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](C)c2ccccc2C)CC1 ZINC001161404629 1089227489 /nfs/dbraw/zinc/22/74/89/1089227489.db2.gz AKFCEOBGGXTCEH-SFHVURJKSA-N 0 1 324.468 3.260 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CCC[N@@H+](Cc2ccsc2)C1 ZINC001484152983 1089227683 /nfs/dbraw/zinc/22/76/83/1089227683.db2.gz SDVGADPSWJEUDI-KRWDZBQOSA-N 0 1 306.475 3.433 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CCCC2CCCC2)C1 ZINC001484173647 1089254451 /nfs/dbraw/zinc/25/44/51/1089254451.db2.gz GVEIXACAHOSHAH-LJQANCHMSA-N 0 1 304.478 3.198 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@](C)(F)CCCC)C1 ZINC001484198132 1089286486 /nfs/dbraw/zinc/28/64/86/1089286486.db2.gz GZDLKJOQZQMAOH-ZFWWWQNUSA-N 0 1 320.399 3.164 20 30 DGEDMN C#CCC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1csc(C)c1 ZINC001089710118 1089289902 /nfs/dbraw/zinc/28/99/02/1089289902.db2.gz BIHDPNCPUPZPBI-MRXNPFEDSA-N 0 1 318.486 3.300 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(F)cc(F)c1 ZINC001089731285 1089311834 /nfs/dbraw/zinc/31/18/34/1089311834.db2.gz OYCLGNFCYPDRDS-INIZCTEOSA-N 0 1 322.399 3.371 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(C)CC=CC1)c1ccccc1CC ZINC001484322470 1089332534 /nfs/dbraw/zinc/33/25/34/1089332534.db2.gz FMTDOGCCGAFEJZ-IBGZPJMESA-N 0 1 324.468 3.376 20 30 DGEDMN Cc1nc2ccc(Nc3nc4ncccc4cc3C#N)cc2[nH]1 ZINC001213070160 1089346691 /nfs/dbraw/zinc/34/66/91/1089346691.db2.gz AXUUDBCDBQSUEM-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@H](C)OCC)cc1 ZINC001272682400 1089399180 /nfs/dbraw/zinc/39/91/80/1089399180.db2.gz KNPLGLLTXDPLIQ-KBPBESRZSA-N 0 1 324.852 3.131 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc(CC)c(C)s2)CC1 ZINC001161834206 1089432662 /nfs/dbraw/zinc/43/26/62/1089432662.db2.gz BWRUYBSWXGMPLT-UHFFFAOYSA-N 0 1 316.470 3.004 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2C/C=C\Cl ZINC001484465722 1089436510 /nfs/dbraw/zinc/43/65/10/1089436510.db2.gz TZDYAMHMHLSJHU-XCTAYMRMSA-N 0 1 310.869 3.407 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C\Cl ZINC001484465722 1089436516 /nfs/dbraw/zinc/43/65/16/1089436516.db2.gz TZDYAMHMHLSJHU-XCTAYMRMSA-N 0 1 310.869 3.407 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(C1CC1)C1CC1 ZINC001484557149 1089491535 /nfs/dbraw/zinc/49/15/35/1089491535.db2.gz FUVQNVYTBUTBFB-UHFFFAOYSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H]2CCCCC2(C)C)CC1 ZINC001162012577 1089523243 /nfs/dbraw/zinc/52/32/43/1089523243.db2.gz VAVBJUQVNLSTPZ-GOSISDBHSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCC1(C(=O)NCCC2=CCN(CCF)CC2)CCCC1 ZINC001162012217 1089524164 /nfs/dbraw/zinc/52/41/64/1089524164.db2.gz QSSOHGADAYJNQA-UHFFFAOYSA-N 0 1 308.441 3.231 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccsc1C ZINC001484615730 1089539889 /nfs/dbraw/zinc/53/98/89/1089539889.db2.gz KPCACYIOIPMONO-CYBMUJFWSA-N 0 1 312.866 3.393 20 30 DGEDMN Cc1nc2c3ccccc3oc2c(NC2(C#N)CCN(C)CC2)n1 ZINC001162231202 1089623204 /nfs/dbraw/zinc/62/32/04/1089623204.db2.gz FWMKHLRVGMSKMM-UHFFFAOYSA-N 0 1 321.384 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001484721310 1089632673 /nfs/dbraw/zinc/63/26/73/1089632673.db2.gz FMNCAUINQUIRJR-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)/C=C(/C)CC)O2 ZINC001484784121 1089674469 /nfs/dbraw/zinc/67/44/69/1089674469.db2.gz XXERSZWESOXHEV-VIVNAEHYSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001484816440 1089696308 /nfs/dbraw/zinc/69/63/08/1089696308.db2.gz IUSJAKJENUPMHI-QGZVFWFLSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(C)[C@H](CC(=O)NCc1ccc2c(c1)CN(CC)C2)OCC ZINC001484873772 1089713670 /nfs/dbraw/zinc/71/36/70/1089713670.db2.gz JYTOKAYDZSBJKS-SFHVURJKSA-N 0 1 316.445 3.010 20 30 DGEDMN CC(C)(C)C1CCN(C(=O)c2n[nH]c3cc(C#N)ccc32)CC1 ZINC001156876738 1089728094 /nfs/dbraw/zinc/72/80/94/1089728094.db2.gz NNRNSYROKXCBMG-UHFFFAOYSA-N 0 1 310.401 3.333 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001484941637 1089776613 /nfs/dbraw/zinc/77/66/13/1089776613.db2.gz JJWLHIRXJVZUFQ-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@@H]1C=CCC1 ZINC001485003769 1089841039 /nfs/dbraw/zinc/84/10/39/1089841039.db2.gz AXOYISCVCUPMKG-YLJYHZDGSA-N 0 1 322.452 3.082 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC001157194071 1089866865 /nfs/dbraw/zinc/86/68/65/1089866865.db2.gz XAKNEUUMXJXTOG-LPADLIQXSA-N 0 1 320.396 3.357 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)C1(c2ccccc2)CCCC1 ZINC001485077289 1089928194 /nfs/dbraw/zinc/92/81/94/1089928194.db2.gz ZGLZWMBUTDPRJI-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1(c2ccccc2)CCCC1 ZINC001485077289 1089928214 /nfs/dbraw/zinc/92/82/14/1089928214.db2.gz ZGLZWMBUTDPRJI-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CCC1CCCC1 ZINC001157477461 1089988195 /nfs/dbraw/zinc/98/81/95/1089988195.db2.gz WBBKICMQAVLJFH-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ncc(C)s1 ZINC001485200033 1090014165 /nfs/dbraw/zinc/01/41/65/1090014165.db2.gz HRVZQRIAQYQBSB-STQMWFEESA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2occc2s1 ZINC001485190003 1090020191 /nfs/dbraw/zinc/02/01/91/1090020191.db2.gz KOPLVLYOQXJGQQ-VHSXEESVSA-N 0 1 312.822 3.343 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2occc2s1 ZINC001485190001 1090020428 /nfs/dbraw/zinc/02/04/28/1090020428.db2.gz KOPLVLYOQXJGQQ-UWVGGRQHSA-N 0 1 312.822 3.343 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001485189534 1090020447 /nfs/dbraw/zinc/02/04/47/1090020447.db2.gz NPODMBKHUQGFSO-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2scnc2c1 ZINC001485208105 1090030593 /nfs/dbraw/zinc/03/05/93/1090030593.db2.gz OKEVXMHIIYXPLB-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)[C@H](C)NCC(=C)Cl)CCCCC1 ZINC001485230809 1090038232 /nfs/dbraw/zinc/03/82/32/1090038232.db2.gz AUZNAKSZNWEHJZ-LSDHHAIUSA-N 0 1 310.869 3.196 20 30 DGEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)c1cccc2c1CCCCC2 ZINC001485314353 1090094557 /nfs/dbraw/zinc/09/45/57/1090094557.db2.gz WTPJWIDRFMBSTF-OAHLLOKOSA-N 0 1 300.446 3.192 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001485359196 1090133347 /nfs/dbraw/zinc/13/33/47/1090133347.db2.gz WFELWDONPZFLMD-IEBWSBKVSA-N 0 1 314.473 3.024 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2cccnc2)CCCC1 ZINC001485393273 1090143297 /nfs/dbraw/zinc/14/32/97/1090143297.db2.gz LIPUHDUSQMNITN-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN CCOC(CCCNc1c[nH]c2c(C#N)cnc-2c1)OCC ZINC001163490396 1090198464 /nfs/dbraw/zinc/19/84/64/1090198464.db2.gz IPKFYLIXVFLPNC-UHFFFAOYSA-N 0 1 302.378 3.026 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@H](C)C1CN(CC=C)C1)c1ccccc1 ZINC001485541411 1090203707 /nfs/dbraw/zinc/20/37/07/1090203707.db2.gz TZFKVRGNIQAQER-APWZRJJASA-N 0 1 312.457 3.359 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001485535113 1090205840 /nfs/dbraw/zinc/20/58/40/1090205840.db2.gz KVDKQFJOSQATAI-APWZRJJASA-N 0 1 312.457 3.030 20 30 DGEDMN COc1cc(CCNc2c[nH]c3c(C#N)cnc-3c2)cc(OC)c1 ZINC001163723642 1090242385 /nfs/dbraw/zinc/24/23/85/1090242385.db2.gz VUKYGEMFGFCVDO-UHFFFAOYSA-N 0 1 322.368 3.106 20 30 DGEDMN CC(=O)C=C(C)Nc1ncnc2cc(OC(F)(F)F)ccc21 ZINC001163736854 1090245888 /nfs/dbraw/zinc/24/58/88/1090245888.db2.gz HSSZMLJHSPYHNV-YVMONPNESA-N 0 1 311.263 3.433 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(C(F)(F)F)nc(-c2ccccn2)n1 ZINC001163736683 1090246194 /nfs/dbraw/zinc/24/61/94/1090246194.db2.gz ALOPZWWYWBQLFR-CLFYSBASSA-N 0 1 322.290 3.462 20 30 DGEDMN CC(=O)C=C(C)Nc1ncnc2sc3c(c21)[C@@H](CCO)CC3 ZINC001163737271 1090246209 /nfs/dbraw/zinc/24/62/09/1090246209.db2.gz SPXXIWTYQCJAHK-GXMKHXEJSA-N 0 1 317.414 3.008 20 30 DGEDMN C=CCCCCCCCN1CC2(C1)COCC(=O)N2CCCC ZINC001272707777 1090310601 /nfs/dbraw/zinc/31/06/01/1090310601.db2.gz WJLNCNUHHAIAJE-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(C)ccc1F ZINC001272707475 1090310952 /nfs/dbraw/zinc/31/09/52/1090310952.db2.gz RURCOPDDDOJGHA-QZTJIDSGSA-N 0 1 316.420 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc[nH]c1C(C)C ZINC001485821761 1090379786 /nfs/dbraw/zinc/37/97/86/1090379786.db2.gz JDIZSZJVFQKXJA-HNNXBMFYSA-N 0 1 323.868 3.331 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc[nH]c1C(C)C ZINC001485821763 1090379863 /nfs/dbraw/zinc/37/98/63/1090379863.db2.gz JDIZSZJVFQKXJA-OAHLLOKOSA-N 0 1 323.868 3.331 20 30 DGEDMN C=C(C)CONC(=O)Cc1csc(-c2cccc(F)c2)n1 ZINC001164450978 1090425293 /nfs/dbraw/zinc/42/52/93/1090425293.db2.gz OTBDDZFRMGKDQZ-UHFFFAOYSA-N 0 1 306.362 3.116 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C2CCCCCC2)C1 ZINC001107800457 1090507385 /nfs/dbraw/zinc/50/73/85/1090507385.db2.gz SPOFMSNAQSDYOF-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@@]1(C)CN(CCCCCCC)CCO1 ZINC001107855635 1090516561 /nfs/dbraw/zinc/51/65/61/1090516561.db2.gz WUDOEMLLIABJHH-LQAFDQLZSA-N 0 1 310.482 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C=C2CCC2)C1 ZINC001107862069 1090519607 /nfs/dbraw/zinc/51/96/07/1090519607.db2.gz IKNANTGTSGGGHN-LJQANCHMSA-N 0 1 322.493 3.274 20 30 DGEDMN CCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1ccc(C#N)cc1 ZINC001098839839 1090538948 /nfs/dbraw/zinc/53/89/48/1090538948.db2.gz PCJMRPQDXPHVSD-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1C(=O)N=NC1S[C@@H]1CCCc2c(Cl)ccnc21 ZINC001165841166 1090552199 /nfs/dbraw/zinc/55/21/99/1090552199.db2.gz LVJJPRVUFXIZBB-LLVKDONJSA-N 0 1 322.821 3.388 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1c(F)cccc1F ZINC001165922018 1090561901 /nfs/dbraw/zinc/56/19/01/1090561901.db2.gz YOFSCDXMBAFNQW-QGZVFWFLSA-N 0 1 310.388 3.163 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2nc(C)sc2C)CCC1 ZINC001166004015 1090569065 /nfs/dbraw/zinc/56/90/65/1090569065.db2.gz BKQZXTWAJVRQRW-UHFFFAOYSA-N 0 1 321.490 3.102 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)/C=C\C(C)(C)C)C2)CC1 ZINC001272798547 1090586649 /nfs/dbraw/zinc/58/66/49/1090586649.db2.gz GAUXLMLPBVYETQ-WAYWQWQTSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@]1(C)CN(CC/C=C\CC)CCO1 ZINC001107971761 1090596018 /nfs/dbraw/zinc/59/60/18/1090596018.db2.gz YAALTSMXANISID-OLHLWXQYSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC1(CNCc2cscn2)CC1 ZINC001166541663 1090617549 /nfs/dbraw/zinc/61/75/49/1090617549.db2.gz ICVYDGPRWCLLOW-UHFFFAOYSA-N 0 1 321.490 3.122 20 30 DGEDMN CCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1cccc(C#N)c1 ZINC001098844895 1090628156 /nfs/dbraw/zinc/62/81/56/1090628156.db2.gz MPQIMLYQEPWSPJ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CCC(C)C)cccc2C1 ZINC001272909965 1090677708 /nfs/dbraw/zinc/67/77/08/1090677708.db2.gz WGSWNZXVWAEDMK-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN COc1ccc([C@@H]2C[C@@H](N3CCC[C@@H](C#N)C3)CCO2)cc1 ZINC001168662900 1090685238 /nfs/dbraw/zinc/68/52/38/1090685238.db2.gz QFVQXSXDVMZYLU-ZVZYQTTQSA-N 0 1 300.402 3.151 20 30 DGEDMN CCCCCC(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001485850669 1090708080 /nfs/dbraw/zinc/70/80/80/1090708080.db2.gz ZYGULGASDNAPHO-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001485880659 1090715979 /nfs/dbraw/zinc/71/59/79/1090715979.db2.gz RHSWPHSSUXEGIJ-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001485915204 1090726214 /nfs/dbraw/zinc/72/62/14/1090726214.db2.gz TUMIIVVDGVVCTC-GJZGRUSLSA-N 0 1 323.868 3.154 20 30 DGEDMN CCOc1cccc(CN2CCc3cc(C#N)ccc3C2)c1O ZINC001203603949 1090765519 /nfs/dbraw/zinc/76/55/19/1090765519.db2.gz XLVARCMLZVJAFN-UHFFFAOYSA-N 0 1 308.381 3.221 20 30 DGEDMN N#Cc1ccccc1O[C@@H]1CCCN(Cc2ccc(O)c(O)c2)C1 ZINC001203879978 1090826421 /nfs/dbraw/zinc/82/64/21/1090826421.db2.gz LCHIUGHHHMATAJ-MRXNPFEDSA-N 0 1 324.380 3.013 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@@H](C)c2ccc(CC)cc2)CC1 ZINC001486516064 1090865087 /nfs/dbraw/zinc/86/50/87/1090865087.db2.gz YFPLMCDZGJOBKB-QGZVFWFLSA-N 0 1 324.468 3.124 20 30 DGEDMN C[C@@H](C#N)CN(CC1(CNC(=O)OC(C)(C)C)CCC1)C1CC1 ZINC001204672477 1091015299 /nfs/dbraw/zinc/01/52/99/1091015299.db2.gz IEFVYHCYUYJQCC-AWEZNQCLSA-N 0 1 321.465 3.305 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@H](CC)CCCC)C1 ZINC001108080046 1091030550 /nfs/dbraw/zinc/03/05/50/1091030550.db2.gz CUQKORQSWBUNCV-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN O=C1C=C2CN(Cc3cccc(OC(F)F)c3)CCC2S1 ZINC001204822070 1091042884 /nfs/dbraw/zinc/04/28/84/1091042884.db2.gz CPOCZDRSLQQWTR-CYBMUJFWSA-N 0 1 311.353 3.062 20 30 DGEDMN Cc1cccc(CN2CCN(c3ccccc3C#N)CC2)c1C ZINC001205060839 1091092752 /nfs/dbraw/zinc/09/27/52/1091092752.db2.gz TYZSRGABQJCSED-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN CC(C)c1ccccc1C(=O)NCCNCC#Cc1ccccc1 ZINC001128865139 1091100573 /nfs/dbraw/zinc/10/05/73/1091100573.db2.gz TYRWBKHTNWPGKB-UHFFFAOYSA-N 0 1 320.436 3.181 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1ccc(C)c(F)c1)C2 ZINC001205228469 1091138668 /nfs/dbraw/zinc/13/86/68/1091138668.db2.gz VVJPQCOFRXHHKZ-HKUYNNGSSA-N 0 1 319.420 3.460 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3cc(O)cc(O)c3)CC2)c1 ZINC001138329747 1091141950 /nfs/dbraw/zinc/14/19/50/1091141950.db2.gz GMJKHEWEYPOWSB-UHFFFAOYSA-N 0 1 308.381 3.349 20 30 DGEDMN C[NH+](C)CCCOc1ccc(-c2cc(/C=N/[O-])ccc2F)cn1 ZINC001205249013 1091148188 /nfs/dbraw/zinc/14/81/88/1091148188.db2.gz QPKQVIMESWJGAY-RGVLZGJSSA-N 0 1 317.364 3.026 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149573 1091154221 /nfs/dbraw/zinc/15/42/21/1091154221.db2.gz XYDFUJYEMQDTSN-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1cccnc1N1CCCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC001205478896 1091230488 /nfs/dbraw/zinc/23/04/88/1091230488.db2.gz FHOGWQZRPJZCNF-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)C[C@@H]1c1ccccc1 ZINC001205478858 1091231857 /nfs/dbraw/zinc/23/18/57/1091231857.db2.gz BCORRPNFJNVASJ-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN C=CCc1cccc(CN(CC(=O)OC)C2CCCC2)c1O ZINC001138627835 1091261112 /nfs/dbraw/zinc/26/11/12/1091261112.db2.gz GLQWFZMIRKIEGT-UHFFFAOYSA-N 0 1 303.402 3.038 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CCC[C@H]1c1ccccc1 ZINC001493622832 1091267846 /nfs/dbraw/zinc/26/78/46/1091267846.db2.gz XWURTVDIEOAVPJ-IRXDYDNUSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](c2ccccc2F)[C@H](NCCF)C1 ZINC001205614483 1091279501 /nfs/dbraw/zinc/27/95/01/1091279501.db2.gz DDFKBIFYXWCSSO-DOTOQJQBSA-N 0 1 322.399 3.036 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(N(C)C(C)=O)CC1 ZINC001138665542 1091281738 /nfs/dbraw/zinc/28/17/38/1091281738.db2.gz PKGRQGUAJHBYPA-UHFFFAOYSA-N 0 1 316.445 3.084 20 30 DGEDMN Cn1cc(-c2ccc(CNCc3ccc(C#N)s3)cc2)cn1 ZINC001202875175 1091369818 /nfs/dbraw/zinc/36/98/18/1091369818.db2.gz HDEVLDYURFMHMY-UHFFFAOYSA-N 0 1 308.410 3.310 20 30 DGEDMN C=CC[N@H+](Cc1ccc(OCC(=O)[O-])cc1)C1CCCCC1 ZINC001138930851 1091381924 /nfs/dbraw/zinc/38/19/24/1091381924.db2.gz SHHCJYSNEQJTGH-UHFFFAOYSA-N 0 1 303.402 3.471 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc4ccccc4s3)[C@@H]2C1 ZINC001084492544 1091493220 /nfs/dbraw/zinc/49/32/20/1091493220.db2.gz DEPHARQGUVYNEG-HZPDHXFCSA-N 0 1 324.449 3.071 20 30 DGEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cc4ccccc4s3)[C@@H]2C1 ZINC001084492544 1091493226 /nfs/dbraw/zinc/49/32/26/1091493226.db2.gz DEPHARQGUVYNEG-HZPDHXFCSA-N 0 1 324.449 3.071 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(Cl)ccc3F)[C@@H]2C1 ZINC001084500606 1091496083 /nfs/dbraw/zinc/49/60/83/1091496083.db2.gz WHSVYANXQXGDFH-MLGOLLRUSA-N 0 1 322.811 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccccc3CCC)[C@@H]2C1 ZINC001084506167 1091497032 /nfs/dbraw/zinc/49/70/32/1091497032.db2.gz AAEWLMFKYJHMFL-IEBWSBKVSA-N 0 1 312.457 3.362 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(C/C=C/c3ccccc3)C[C@H]21 ZINC001084577799 1091507484 /nfs/dbraw/zinc/50/74/84/1091507484.db2.gz XSGDHVOAFCOFKZ-AXJDHONKSA-N 0 1 324.468 3.445 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001084635071 1091523440 /nfs/dbraw/zinc/52/34/40/1091523440.db2.gz OJZUYRREFZQCNQ-NUJGCVRESA-N 0 1 318.486 3.383 20 30 DGEDMN N#Cc1csc(CNCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001202930367 1091545426 /nfs/dbraw/zinc/54/54/26/1091545426.db2.gz ZSNOJGCQXIOYGD-UHFFFAOYSA-N 0 1 308.309 3.231 20 30 DGEDMN C=CCC[C@H](NC(=O)CCN1CC[C@H](F)C1)c1ccccc1 ZINC001295328737 1091552177 /nfs/dbraw/zinc/55/21/77/1091552177.db2.gz OHCMDRKFMSBIKN-IRXDYDNUSA-N 0 1 304.409 3.244 20 30 DGEDMN COC[C@H]1CCCN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139423400 1091555373 /nfs/dbraw/zinc/55/53/73/1091555373.db2.gz FGENKYFCHIMDQX-QGZVFWFLSA-N 0 1 323.396 3.356 20 30 DGEDMN C[C@@H]1COCCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139423968 1091555814 /nfs/dbraw/zinc/55/58/14/1091555814.db2.gz LPJUYSVMOFVORP-HNNXBMFYSA-N 0 1 323.396 3.214 20 30 DGEDMN C[C@@]1(O)CCCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139424998 1091556307 /nfs/dbraw/zinc/55/63/07/1091556307.db2.gz AZAJDUNNKLJCGG-LJQANCHMSA-N 0 1 323.396 3.092 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3sccc3C3CC3)[C@@H]2C1 ZINC001084812026 1091579184 /nfs/dbraw/zinc/57/91/84/1091579184.db2.gz KRGXDBZIRDKSTI-GDBMZVCRSA-N 0 1 316.470 3.348 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3oc4ccccc4c3C)[C@@H]2C1 ZINC001084813402 1091580284 /nfs/dbraw/zinc/58/02/84/1091580284.db2.gz BDHUZJPGQOGWNW-NVXWUHKLSA-N 0 1 324.424 3.464 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Oc3ccc(F)cc3)C2)cc1F ZINC001139527242 1091587103 /nfs/dbraw/zinc/58/71/03/1091587103.db2.gz BVULMXMIBAVKFD-QGZVFWFLSA-N 0 1 314.335 3.490 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001084872693 1091601385 /nfs/dbraw/zinc/60/13/85/1091601385.db2.gz WYVSZLQXVMEZNZ-KZNAEPCWSA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](C(F)(F)F)[C@H]2CO)cc1C#N ZINC001139722547 1091652540 /nfs/dbraw/zinc/65/25/40/1091652540.db2.gz AXVGXNBTVVAUCJ-HUUCEWRRSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccc(CN2C[C@H]3c4ccccc4C(=O)[C@H]3C2)cc1C#N ZINC001139723260 1091654076 /nfs/dbraw/zinc/65/40/76/1091654076.db2.gz XVPDTIDVNUDONN-OALUTQOASA-N 0 1 302.377 3.279 20 30 DGEDMN Cc1ccc(CN2CC[C@](O)(C(F)(F)F)[C@H](C)C2)cc1C#N ZINC001139723275 1091654768 /nfs/dbraw/zinc/65/47/68/1091654768.db2.gz YLWKVGDXBJQBPK-IUODEOHRSA-N 0 1 312.335 3.002 20 30 DGEDMN C=CCn1cc(CN2CCC(Oc3ccc(F)cc3)CC2)cn1 ZINC001139770757 1091667350 /nfs/dbraw/zinc/66/73/50/1091667350.db2.gz ZRIOWQSOZKGVSB-UHFFFAOYSA-N 0 1 315.392 3.252 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@H]1CCN(Cc1ncc(C)s1)C2 ZINC001139809062 1091676137 /nfs/dbraw/zinc/67/61/37/1091676137.db2.gz RGAJDYHHOKSFFS-RDJZCZTQSA-N 0 1 322.474 3.025 20 30 DGEDMN COc1ncccc1CN1CCC(c2cccc(C#N)c2)CC1 ZINC001206584108 1091678410 /nfs/dbraw/zinc/67/84/10/1091678410.db2.gz BSOKLWJGVSMJDU-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1cc(F)cc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1 ZINC001139875636 1091699377 /nfs/dbraw/zinc/69/93/77/1091699377.db2.gz XPJJJTDUNCDWTQ-PMACEKPBSA-N 0 1 321.399 3.156 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCCC(C)(C)C ZINC001098972074 1091699852 /nfs/dbraw/zinc/69/98/52/1091699852.db2.gz WMFUJICJOABOPD-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3ccccc3C#N)C2)cn1 ZINC001139933043 1091715872 /nfs/dbraw/zinc/71/58/72/1091715872.db2.gz CFLLLDHELJOBIV-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCC[C@@H]3c3cnccn3)c2c1 ZINC001140115102 1091772630 /nfs/dbraw/zinc/77/26/30/1091772630.db2.gz MTWJDOVYLMUSDY-GOSISDBHSA-N 0 1 303.369 3.167 20 30 DGEDMN CCc1noc([C@H]2CCCN(Cc3ccc(C#N)cc3F)C2)n1 ZINC001140140388 1091781240 /nfs/dbraw/zinc/78/12/40/1091781240.db2.gz VGDMGTGXLQBGJC-AWEZNQCLSA-N 0 1 314.364 3.022 20 30 DGEDMN Cc1ncsc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001206986863 1091812978 /nfs/dbraw/zinc/81/29/78/1091812978.db2.gz HWINSMPSWQSMKJ-UHFFFAOYSA-N 0 1 313.426 3.367 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccc4c(c3)CCC4)CC2)cc1 ZINC001203030693 1091845206 /nfs/dbraw/zinc/84/52/06/1091845206.db2.gz OEKMFMWUQLRYQA-UHFFFAOYSA-N 0 1 317.436 3.369 20 30 DGEDMN Cc1noc(C)c1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001207139971 1091859688 /nfs/dbraw/zinc/85/96/88/1091859688.db2.gz SVBAANZBQPWUOH-UHFFFAOYSA-N 0 1 311.385 3.207 20 30 DGEDMN Cc1ncccc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001207155148 1091867292 /nfs/dbraw/zinc/86/72/92/1091867292.db2.gz NDUQQQCHECERSM-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cncc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)c1 ZINC001207162462 1091872118 /nfs/dbraw/zinc/87/21/18/1091872118.db2.gz MWCCIUONBUFSJS-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN COc1ccccc1CC1CCN(Cc2ccc(C#N)nc2)CC1 ZINC001140541795 1091902382 /nfs/dbraw/zinc/90/23/82/1091902382.db2.gz TZBRCGJBPFJNSS-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1coc2cc(C)c(C)cc21 ZINC001085743557 1091911368 /nfs/dbraw/zinc/91/13/68/1091911368.db2.gz QJNWFAKKNXZULU-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001085756810 1091914572 /nfs/dbraw/zinc/91/45/72/1091914572.db2.gz QYQDWDRHSYEPIT-INIZCTEOSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(CCC)cc1 ZINC001085792668 1091934931 /nfs/dbraw/zinc/93/49/31/1091934931.db2.gz QYCIHIUIXFPUNT-GOSISDBHSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001085798410 1091940493 /nfs/dbraw/zinc/94/04/93/1091940493.db2.gz QLBDSAGINNHVDF-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN Cc1cc(CN2CCN(c3cccc(C#N)c3)CC2)cc(C)c1O ZINC001140659769 1091947869 /nfs/dbraw/zinc/94/78/69/1091947869.db2.gz RGXRAWMKJBDSGG-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1scnc1C(C)(C)C ZINC001085861893 1091985741 /nfs/dbraw/zinc/98/57/41/1091985741.db2.gz JYGNLUIKYUJGKF-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2nc(C)ccc2c1 ZINC001085884019 1091995265 /nfs/dbraw/zinc/99/52/65/1091995265.db2.gz SEQACBGVGCCBCK-SFHVURJKSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc2ccsc21 ZINC001085910050 1092007653 /nfs/dbraw/zinc/00/76/53/1092007653.db2.gz XIPPWIIROZMMQD-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc(-c2ccoc2)c1 ZINC001085915068 1092011832 /nfs/dbraw/zinc/01/18/32/1092011832.db2.gz RICQDVWYKYPAHE-LJQANCHMSA-N 0 1 322.408 3.116 20 30 DGEDMN CC(=O)N1CC[C@@H](N(Cc2cccc(C#N)c2Cl)C(C)C)C1 ZINC001140812604 1092013119 /nfs/dbraw/zinc/01/31/19/1092013119.db2.gz CIAHKOJWVILVKQ-MRXNPFEDSA-N 0 1 319.836 3.043 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001207575876 1092027995 /nfs/dbraw/zinc/02/79/95/1092027995.db2.gz YCWKQHLTGOQVPV-SJLPKXTDSA-N 0 1 312.457 3.022 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)nc2ccccc21 ZINC001085957689 1092047464 /nfs/dbraw/zinc/04/74/64/1092047464.db2.gz IECDZEMCICJJQV-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc(CC)c(C)s1 ZINC001085962505 1092052332 /nfs/dbraw/zinc/05/23/32/1092052332.db2.gz IMMOYWMSEMNNHZ-OAHLLOKOSA-N 0 1 306.475 3.341 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1CCC(C(C)(C)C)CC1 ZINC001085956948 1092053589 /nfs/dbraw/zinc/05/35/89/1092053589.db2.gz OPTCHLWYSJMSDR-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](NCc2cccc(F)c2F)C1 ZINC001207636330 1092054723 /nfs/dbraw/zinc/05/47/23/1092054723.db2.gz SREOUZQIXLQOSS-AWEZNQCLSA-N 0 1 308.372 3.012 20 30 DGEDMN CC#CCN(C)Cc1cc(Br)c(O)c(OCC)c1 ZINC001207716214 1092069732 /nfs/dbraw/zinc/06/97/32/1092069732.db2.gz VWNUEKPLZDLBGM-UHFFFAOYSA-N 0 1 312.207 3.009 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2cccc(F)c2o1 ZINC001085981724 1092070441 /nfs/dbraw/zinc/07/04/41/1092070441.db2.gz SIXHUWRAVDCHNJ-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc([C@H](C)CC)cc1 ZINC001085988564 1092072849 /nfs/dbraw/zinc/07/28/49/1092072849.db2.gz OYIUWCHMWFZOKV-VQIMIIECSA-N 0 1 312.457 3.370 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001273391939 1092110968 /nfs/dbraw/zinc/11/09/68/1092110968.db2.gz JBIKUJVGFTYEFS-BFHGZXLWSA-N 0 1 324.468 3.365 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)C1 ZINC001141087429 1092132866 /nfs/dbraw/zinc/13/28/66/1092132866.db2.gz ZRLOFUIKSWNBFR-AWEZNQCLSA-N 0 1 315.417 3.274 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cnccc1CN1CCC(S)CC1 ZINC001141087281 1092133347 /nfs/dbraw/zinc/13/33/47/1092133347.db2.gz XHPKGRGKHWARSL-UHFFFAOYSA-N 0 1 323.462 3.323 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108246950 1092147385 /nfs/dbraw/zinc/14/73/85/1092147385.db2.gz YNEXAJNUDLYWLL-CSHXORCISA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@H](CCC)C(C)C)C1 ZINC001108251725 1092157282 /nfs/dbraw/zinc/15/72/82/1092157282.db2.gz KLXWMVZHXCDFHF-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCCN(Cc2ccc(F)cc2C#N)C1 ZINC001141164219 1092157967 /nfs/dbraw/zinc/15/79/67/1092157967.db2.gz QNEKADKHTKCPAA-GOSISDBHSA-N 0 1 318.392 3.253 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CC2(CN(Cc3ccccc3)C2)C1 ZINC001141166487 1092158959 /nfs/dbraw/zinc/15/89/59/1092158959.db2.gz QNUIIMMNCMLNIR-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@@H](CCC)C(C)C)C1 ZINC001108252759 1092161501 /nfs/dbraw/zinc/16/15/01/1092161501.db2.gz ZADLHRCRQLOACJ-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001317176027 1092176015 /nfs/dbraw/zinc/17/60/15/1092176015.db2.gz IYYRQXXIBRBYAI-OLZOCXBDSA-N 0 1 324.877 3.109 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ccncc2Cl)CCC1 ZINC001491577132 1092180794 /nfs/dbraw/zinc/18/07/94/1092180794.db2.gz ACLKNUUKUZGBQG-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1cccc(-n3ccnc3)c1)CC2 ZINC001141238081 1092190129 /nfs/dbraw/zinc/19/01/29/1092190129.db2.gz GGSQDKRYVFSDGV-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001491632538 1092193651 /nfs/dbraw/zinc/19/36/51/1092193651.db2.gz LTMDJTBVERFOSK-JKSUJKDBSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(C2CCC2)c1 ZINC001491639813 1092194634 /nfs/dbraw/zinc/19/46/34/1092194634.db2.gz REXFZSDEYQUAQX-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2c(cccc2C)o1 ZINC001491692303 1092201684 /nfs/dbraw/zinc/20/16/84/1092201684.db2.gz YWEJIFFCNUTLKG-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=CCCC(=O)NCCCNCc1csc(C(C)(C)C)n1 ZINC001155593910 1092203403 /nfs/dbraw/zinc/20/34/03/1092203403.db2.gz UEEBIVMPHCQKLS-UHFFFAOYSA-N 0 1 309.479 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1coc2cc(C)ccc12 ZINC001491664041 1092205475 /nfs/dbraw/zinc/20/54/75/1092205475.db2.gz HEYIOSQQDBKWAQ-ZDUSSCGKSA-N 0 1 320.820 3.131 20 30 DGEDMN C=CC1(CC(=O)NC[C@@]2(C)CN(C(C)C)CCO2)CCCCC1 ZINC001108274608 1092248455 /nfs/dbraw/zinc/24/84/55/1092248455.db2.gz IXHKSARATZOJCP-SFHVURJKSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)[C@@H](C)CCCCCC)C1 ZINC001108291787 1092312549 /nfs/dbraw/zinc/31/25/49/1092312549.db2.gz XELNNNQXAPUZAG-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CCCCCC)C1 ZINC001108291787 1092312559 /nfs/dbraw/zinc/31/25/59/1092312559.db2.gz XELNNNQXAPUZAG-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@@H](CC)CC(C)C)C1 ZINC001108328075 1092333864 /nfs/dbraw/zinc/33/38/64/1092333864.db2.gz ANSRPRDYXBFQBL-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350244 1092351152 /nfs/dbraw/zinc/35/11/52/1092351152.db2.gz VVAOWKNRZISMKL-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350244 1092351162 /nfs/dbraw/zinc/35/11/62/1092351162.db2.gz VVAOWKNRZISMKL-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2CC3(C2)CCOC3)s1 ZINC001141972815 1092372519 /nfs/dbraw/zinc/37/25/19/1092372519.db2.gz RXIZPOFHABHKEL-UHFFFAOYSA-N 0 1 309.434 3.370 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2CCN3CC[C@@H]3C2)s1 ZINC001141977821 1092375271 /nfs/dbraw/zinc/37/52/71/1092375271.db2.gz QEBVYWXYGAPVSZ-QGZVFWFLSA-N 0 1 308.450 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208509203 1092381114 /nfs/dbraw/zinc/38/11/14/1092381114.db2.gz WIXAUZYOARZJQA-BJZITVGISA-N 0 1 318.436 3.365 20 30 DGEDMN N#C[C@@H]1CN(Cc2sccc2Oc2ccccc2)CCC1=O ZINC001142001340 1092383400 /nfs/dbraw/zinc/38/34/00/1092383400.db2.gz MDCKCOBKXBLTBK-CYBMUJFWSA-N 0 1 312.394 3.455 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NCc2csc(CC)n2)C1 ZINC001492080990 1092397419 /nfs/dbraw/zinc/39/74/19/1092397419.db2.gz IUYGEXNBOAJQDL-CYBMUJFWSA-N 0 1 321.490 3.046 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCN1C(C)(C)C ZINC001142076249 1092404000 /nfs/dbraw/zinc/40/40/00/1092404000.db2.gz ZFYOUNFTCLZMJI-SJORKVTESA-N 0 1 300.446 3.163 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCN1C(C)(C)C ZINC001142076248 1092404075 /nfs/dbraw/zinc/40/40/75/1092404075.db2.gz ZFYOUNFTCLZMJI-IRXDYDNUSA-N 0 1 300.446 3.163 20 30 DGEDMN C[C@H]1C[C@H](N2CCC(C)(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001168870312 1092406446 /nfs/dbraw/zinc/40/64/46/1092406446.db2.gz AIMKHVSGHOLACN-KBPBESRZSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@@H](F)CC)CC1(C)C ZINC001276586416 1092498839 /nfs/dbraw/zinc/49/88/39/1092498839.db2.gz ISUPDGXQJOWTJM-JKSUJKDBSA-N 0 1 310.457 3.330 20 30 DGEDMN Cc1cccn2cc(CNc3c[nH]c4c(C#N)cnc-4c3)nc12 ZINC001169022381 1092506049 /nfs/dbraw/zinc/50/60/49/1092506049.db2.gz JYAGYARVFZSLKG-UHFFFAOYSA-N 0 1 302.341 3.003 20 30 DGEDMN N#Cc1ccc(CCCN2CCC(C(=O)C(F)(F)F)CC2)cc1 ZINC001208856169 1092547404 /nfs/dbraw/zinc/54/74/04/1092547404.db2.gz BOXHUWJIZVOFJP-UHFFFAOYSA-N 0 1 324.346 3.334 20 30 DGEDMN N#Cc1ccc(CCN2CCC[C@H]2C(=O)Nc2ccccc2)cc1 ZINC001208929897 1092592049 /nfs/dbraw/zinc/59/20/49/1092592049.db2.gz UUJGFJKPGUAPKX-IBGZPJMESA-N 0 1 319.408 3.204 20 30 DGEDMN CCCCCCCCCCN(C)C(=O)c1[nH]nc2c1CNCC2 ZINC001161226184 1092634962 /nfs/dbraw/zinc/63/49/62/1092634962.db2.gz GWAVIXZVHYWZFL-UHFFFAOYSA-N 0 1 320.481 3.268 20 30 DGEDMN C=CCCCN1Cc2c(cnn2CC)[C@@H](COCC2CC2)C1 ZINC001209157795 1092674635 /nfs/dbraw/zinc/67/46/35/1092674635.db2.gz HUKHRICMWDMAMA-MRXNPFEDSA-N 0 1 303.450 3.195 20 30 DGEDMN C=CCCCN1CCC[C@@H](c2cccc(S(C)(=O)=O)c2)C1 ZINC001209163262 1092678149 /nfs/dbraw/zinc/67/81/49/1092678149.db2.gz AIYBYAQURVGYSS-MRXNPFEDSA-N 0 1 307.459 3.236 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001209183115 1092684267 /nfs/dbraw/zinc/68/42/67/1092684267.db2.gz LZONKGTZFGYZQK-DOOVCASASA-N 0 1 319.276 3.488 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001209182934 1092684183 /nfs/dbraw/zinc/68/41/83/1092684183.db2.gz KECYZPGYOWFXIR-QGBLLJAOSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001209182792 1092684710 /nfs/dbraw/zinc/68/47/10/1092684710.db2.gz IVFKSXLUKVGQJC-UKRRQHHQSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001209366644 1092743856 /nfs/dbraw/zinc/74/38/56/1092743856.db2.gz SJWPPMJXBQXWCO-SUIFULHWSA-N 0 1 305.249 3.242 20 30 DGEDMN CCCCCCC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001149798597 1092732895 /nfs/dbraw/zinc/73/28/95/1092732895.db2.gz IUCHBIJWAVMTEH-UHFFFAOYSA-N 0 1 320.864 3.368 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](CC)CCCCCC)C1 ZINC001150099621 1092754106 /nfs/dbraw/zinc/75/41/06/1092754106.db2.gz HRIPVLKORCIHJC-ZWKOTPCHSA-N 0 1 324.509 3.376 20 30 DGEDMN Cc1nc2ccc(NC(=O)C(=O)C=Cc3ccc(F)cc3)cc2[nH]1 ZINC001150107218 1092755630 /nfs/dbraw/zinc/75/56/30/1092755630.db2.gz YTSAWLJNMAEXNC-WTKPLQERSA-N 0 1 323.327 3.231 20 30 DGEDMN C=CCCCCCCN1Cc2ncn(C)c2[C@@H](COCC)C1 ZINC001209435221 1092773473 /nfs/dbraw/zinc/77/34/73/1092773473.db2.gz GMIPVCSBEWOVOZ-MRXNPFEDSA-N 0 1 305.466 3.492 20 30 DGEDMN CCOC(=O)c1c(C)[nH]c(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c1C ZINC001209612263 1092848580 /nfs/dbraw/zinc/84/85/80/1092848580.db2.gz ZXFJFUFVYNOSDO-QKDCVEJESA-N 0 1 315.417 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001209866673 1092955892 /nfs/dbraw/zinc/95/58/92/1092955892.db2.gz UHVVPDQKVUOMFQ-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1cccc(Nc2sc3c(c2C#N)CCN(C)C3)c1C#N ZINC001210121137 1093048913 /nfs/dbraw/zinc/04/89/13/1093048913.db2.gz ABCKKPPMWGPVFJ-UHFFFAOYSA-N 0 1 324.409 3.232 20 30 DGEDMN CC(C)(O)C#Cc1cccc(Nc2ccc(O)c([N+](=O)[O-])c2)c1 ZINC001210397451 1093153478 /nfs/dbraw/zinc/15/34/78/1093153478.db2.gz APWZPUMTSBHEIY-UHFFFAOYSA-N 0 1 312.325 3.166 20 30 DGEDMN CS(=O)(=O)c1ccc(O)c(Nc2cc(Cl)cc(C#N)c2)c1 ZINC001210540170 1093201805 /nfs/dbraw/zinc/20/18/05/1093201805.db2.gz YLMHGWNDIJYICI-UHFFFAOYSA-N 0 1 322.773 3.064 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)c1ccco1)C1CC1 ZINC001276686535 1093206562 /nfs/dbraw/zinc/20/65/62/1093206562.db2.gz JAAFYLWXXJWEDR-RISCZKNCSA-N 0 1 310.825 3.010 20 30 DGEDMN COc1c(F)c(F)c(Nc2onc(C)c2C#N)c(F)c1F ZINC001210759671 1093274877 /nfs/dbraw/zinc/27/48/77/1093274877.db2.gz JYUVWPODCPVSOE-UHFFFAOYSA-N 0 1 301.199 3.163 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@](C)(CC)CCCCCC)C1 ZINC001211191960 1093411129 /nfs/dbraw/zinc/41/11/29/1093411129.db2.gz XXOFZPYSMGXXAW-ZHALLVOQSA-N 0 1 306.494 3.443 20 30 DGEDMN Cc1nc2ccc(F)cn2c1Nc1cc(C#N)cc([N+](=O)[O-])c1 ZINC001211328186 1093459991 /nfs/dbraw/zinc/45/99/91/1093459991.db2.gz ANKZQUKIOMGNHZ-UHFFFAOYSA-N 0 1 311.276 3.305 20 30 DGEDMN C=C1CC(C)(c2nc(-c3ccccc3N3CCN(C)CC3)no2)C1 ZINC001211434895 1093492869 /nfs/dbraw/zinc/49/28/69/1093492869.db2.gz MLHGYQQGBLWSMR-UHFFFAOYSA-N 0 1 324.428 3.096 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)CCC=C(C)C)[C@H](OC)C1 ZINC001213477717 1093592870 /nfs/dbraw/zinc/59/28/70/1093592870.db2.gz XQUNJEMWQZJINH-KZNAEPCWSA-N 0 1 322.493 3.151 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2nc(C)sc2C)C1 ZINC001214741366 1093844931 /nfs/dbraw/zinc/84/49/31/1093844931.db2.gz HPRRHDLTMJZTER-MLGOLLRUSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@](C)(F)CCCC)C[C@H]1C ZINC001215008734 1093900842 /nfs/dbraw/zinc/90/08/42/1093900842.db2.gz YUZRXICOIFOVTC-KYOSRNDESA-N 0 1 304.837 3.094 20 30 DGEDMN COc1cc(SC)c(Nc2cc(C#N)c(F)cc2O)cn1 ZINC001215813727 1094066803 /nfs/dbraw/zinc/06/68/03/1094066803.db2.gz CIQWHOPSBMMVKH-UHFFFAOYSA-N 0 1 305.334 3.272 20 30 DGEDMN CN(C)c1ccccc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216969654 1094285825 /nfs/dbraw/zinc/28/58/25/1094285825.db2.gz KQLLHCRALYCQIQ-UHFFFAOYSA-N 0 1 306.325 3.047 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3ccc4[nH]cnc4c3)n2)c1 ZINC001217131689 1094313571 /nfs/dbraw/zinc/31/35/71/1094313571.db2.gz TWSKLBSCUDFGSR-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN C#Cc1ccc(NC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC001119962244 1094323238 /nfs/dbraw/zinc/32/32/38/1094323238.db2.gz MQGWFHGDTWNJIZ-UHFFFAOYSA-N 0 1 310.401 3.352 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135330694 1094492015 /nfs/dbraw/zinc/49/20/15/1094492015.db2.gz UUWIIAQCIVENSZ-CHWSQXEVSA-N 0 1 309.479 3.181 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001135330785 1094492541 /nfs/dbraw/zinc/49/25/41/1094492541.db2.gz WDIUXYPYNVJZNH-ZIAGYGMSSA-N 0 1 323.506 3.435 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001135330782 1094492730 /nfs/dbraw/zinc/49/27/30/1094492730.db2.gz WDIUXYPYNVJZNH-KBPBESRZSA-N 0 1 323.506 3.435 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@@H](C)NCc2cscn2)CC1 ZINC001135384167 1094510343 /nfs/dbraw/zinc/51/03/43/1094510343.db2.gz ZXWVCWGJYBVXJV-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1cscn1 ZINC001135565056 1094579675 /nfs/dbraw/zinc/57/96/75/1094579675.db2.gz OOYUGWUTQXTTAW-QWHCGFSZSA-N 0 1 309.479 3.118 20 30 DGEDMN CC(C)(C)c1ccc(O[C@H]2CNC[C@@H]2C#N)c(Br)c1 ZINC001218200492 1094655362 /nfs/dbraw/zinc/65/53/62/1094655362.db2.gz MJJJCJBFKQHIOU-HZMBPMFUSA-N 0 1 323.234 3.237 20 30 DGEDMN CC(C)(C)c1cc(O)c(O[C@H]2CNC[C@@H]2C#N)c(C(C)(C)C)c1 ZINC001218201796 1094656587 /nfs/dbraw/zinc/65/65/87/1094656587.db2.gz VKZAGMUZDTUUHF-LRDDRELGSA-N 0 1 316.445 3.478 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(Br)c2ccccc12 ZINC001218201849 1094656909 /nfs/dbraw/zinc/65/69/09/1094656909.db2.gz VVMOFKFRAUNTBX-MEBBXXQBSA-N 0 1 317.186 3.093 20 30 DGEDMN Cc1ccc2nc(O[C@@H]3CNC[C@H]3C#N)cc(C(F)(F)F)c2c1 ZINC001218203767 1094658260 /nfs/dbraw/zinc/65/82/60/1094658260.db2.gz RYEXGJYPHSTDAN-QMTHXVAHSA-N 0 1 321.302 3.052 20 30 DGEDMN CC(C)(C)c1cc(Br)ccc1O[C@@H]1CNC[C@@H]1C#N ZINC001218203926 1094658840 /nfs/dbraw/zinc/65/88/40/1094658840.db2.gz TWSCOINKXGNCGP-IINYFYTJSA-N 0 1 323.234 3.237 20 30 DGEDMN Cc1cc(C#N)ccc1N1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC001336571032 1094672499 /nfs/dbraw/zinc/67/24/99/1094672499.db2.gz WEHVKLNISRSIFP-OAHLLOKOSA-N 0 1 307.401 3.246 20 30 DGEDMN Cc1cc(C#N)ccc1N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC001336571032 1094672504 /nfs/dbraw/zinc/67/25/04/1094672504.db2.gz WEHVKLNISRSIFP-OAHLLOKOSA-N 0 1 307.401 3.246 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001135842932 1094705871 /nfs/dbraw/zinc/70/58/71/1094705871.db2.gz GYRGCAUOYCMAEG-SFHVURJKSA-N 0 1 319.424 3.120 20 30 DGEDMN N#Cc1cccc(C(F)(F)F)c1NC(=O)[C@@H](N)c1ccccc1 ZINC001218953257 1094911807 /nfs/dbraw/zinc/91/18/07/1094911807.db2.gz KSKFKAFXVZTGLU-ZDUSSCGKSA-N 0 1 319.286 3.216 20 30 DGEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1ccc(F)c(C2CC2)c1 ZINC001219451328 1094978470 /nfs/dbraw/zinc/97/84/70/1094978470.db2.gz VAKZHXSNPQYSFC-SFHVURJKSA-N 0 1 323.371 3.083 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111850092 1094990799 /nfs/dbraw/zinc/99/07/99/1094990799.db2.gz BVYUTHCYQWZGEP-NJAFVNJOSA-N 0 1 310.869 3.312 20 30 DGEDMN N#CC(C(=O)C1(CCF)CC1)c1nccn1Cc1ccccc1 ZINC001122518094 1094997533 /nfs/dbraw/zinc/99/75/33/1094997533.db2.gz NJKAYPVNOSDAFN-HNNXBMFYSA-N 0 1 311.360 3.247 20 30 DGEDMN C#CCCCCC(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001159858986 1095018089 /nfs/dbraw/zinc/01/80/89/1095018089.db2.gz HIXZUYGHBRPWPS-KRWDZBQOSA-N 0 1 308.441 3.067 20 30 DGEDMN N#CC(C(=O)c1ncccc1C(F)(F)F)c1cccc(F)n1 ZINC001122640872 1095025065 /nfs/dbraw/zinc/02/50/65/1095025065.db2.gz PMOCZCBTNVVSGN-MRVPVSSYSA-N 0 1 309.222 3.125 20 30 DGEDMN N#C[C@@H](C(=O)c1ncccc1C(F)(F)F)c1cccc(F)n1 ZINC001122640872 1095025076 /nfs/dbraw/zinc/02/50/76/1095025076.db2.gz PMOCZCBTNVVSGN-MRVPVSSYSA-N 0 1 309.222 3.125 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@]12CCc1ccccc12)c1cccc(F)n1 ZINC001122714666 1095043783 /nfs/dbraw/zinc/04/37/83/1095043783.db2.gz NICSTSLNNWRBJF-ZUEVXXBESA-N 0 1 306.340 3.301 20 30 DGEDMN N#CC(=C([O-])c1ccc(C[NH+]2CCCC2)cc1)c1cccc(F)n1 ZINC001122726991 1095050160 /nfs/dbraw/zinc/05/01/60/1095050160.db2.gz IGABJVYMYRCGKA-INIZCTEOSA-N 0 1 323.371 3.307 20 30 DGEDMN N#C[C@H](C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967752 1095110537 /nfs/dbraw/zinc/11/05/37/1095110537.db2.gz DJKFHKRVSMWDMO-SYBPUXJVSA-N 0 1 323.299 3.447 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967752 1095110546 /nfs/dbraw/zinc/11/05/46/1095110546.db2.gz DJKFHKRVSMWDMO-SYBPUXJVSA-N 0 1 323.299 3.447 20 30 DGEDMN N#CCC1(OC(=O)c2cccc3nc(C(F)F)[nH]c32)CCC1 ZINC001122998959 1095125609 /nfs/dbraw/zinc/12/56/09/1095125609.db2.gz BVCPUFRQEBFPLU-UHFFFAOYSA-N 0 1 305.284 3.494 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@H]1NCc2ccccc21 ZINC001220663930 1095134425 /nfs/dbraw/zinc/13/44/25/1095134425.db2.gz RMEUFZLKCNZEDK-LIRRHRJNSA-N 0 1 322.408 3.273 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)OCc1nc[nH]c1C(F)(F)F ZINC001123043956 1095140187 /nfs/dbraw/zinc/14/01/87/1095140187.db2.gz RSPNPBHTKUFBJN-UHFFFAOYSA-N 0 1 323.274 3.274 20 30 DGEDMN N#Cc1cnc(CN[C@@H]2CCc3c(Cl)cccc3C2)s1 ZINC001171022833 1095147085 /nfs/dbraw/zinc/14/70/85/1095147085.db2.gz QEPPKJPCUUPSPQ-LLVKDONJSA-N 0 1 303.818 3.315 20 30 DGEDMN N#Cc1cnc2cc(N[C@@H]3CCc4ccc(O)cc4C3)c[nH]c1-2 ZINC001171032528 1095161480 /nfs/dbraw/zinc/16/14/80/1095161480.db2.gz MIRYKCYNTIEXKV-CQSZACIVSA-N 0 1 304.353 3.110 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1coc2ccc(C)cc12 ZINC001123233221 1095195470 /nfs/dbraw/zinc/19/54/70/1095195470.db2.gz GQWFJCIEHQYEOW-OAHLLOKOSA-N 0 1 307.353 3.377 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@H]1Cc2ccccc2CN1)c1ccccc1 ZINC001221361541 1095250817 /nfs/dbraw/zinc/25/08/17/1095250817.db2.gz WGBFPOWSZYRYBT-RTBURBONSA-N 0 1 306.409 3.135 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+]([C@@H](C)c3ccccc3F)C[C@H]21 ZINC001221424408 1095262120 /nfs/dbraw/zinc/26/21/20/1095262120.db2.gz DSOMZMBNRICCCB-HDMKZQKVSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN([C@@H](C)c3ccccc3F)C[C@H]21 ZINC001221424408 1095262124 /nfs/dbraw/zinc/26/21/24/1095262124.db2.gz DSOMZMBNRICCCB-HDMKZQKVSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cnc(C)o2)CCCCC1 ZINC001115667072 1095324454 /nfs/dbraw/zinc/32/44/54/1095324454.db2.gz QAQLVZWCOVAMET-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](NCC(=C)Cl)C2)CCCC1 ZINC001222158319 1095465103 /nfs/dbraw/zinc/46/51/03/1095465103.db2.gz SHSDBXOAEYRDSW-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN CCOc1ccc(N2CCN(Cc3ccc(C#N)cc3)CC2)cc1 ZINC001116352262 1095523327 /nfs/dbraw/zinc/52/33/27/1095523327.db2.gz SIJCESQHZVCBJW-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)cc1)Cc1ccc(C#N)cn1 ZINC001144160946 1095541517 /nfs/dbraw/zinc/54/15/17/1095541517.db2.gz ZSNCAWLIMNJUAA-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN CCCCC(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001222398706 1095594434 /nfs/dbraw/zinc/59/44/34/1095594434.db2.gz BOTXBYQHROAQAO-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCCO[C@H](C)C(=O)NCCN[C@@H](C)c1ccccc1Cl ZINC001124495176 1095598879 /nfs/dbraw/zinc/59/88/79/1095598879.db2.gz NHVCNFOIHOJYHD-UONOGXRCSA-N 0 1 324.852 3.088 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2cc(Br)c(F)cc2[O-])C1 ZINC001144306742 1095600452 /nfs/dbraw/zinc/60/04/52/1095600452.db2.gz OHFAIQHRRCWASJ-SNVBAGLBSA-N 0 1 312.182 3.139 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2ccc(OCC(F)(F)F)nc2)CC1 ZINC001144353905 1095619824 /nfs/dbraw/zinc/61/98/24/1095619824.db2.gz LLMHWIUYGBHIBG-GFCCVEGCSA-N 0 1 313.323 3.148 20 30 DGEDMN C#Cc1ccc(CN2CCCN(C(=O)C3CCCCC3)CC2)cc1 ZINC001144578792 1095715429 /nfs/dbraw/zinc/71/54/29/1095715429.db2.gz PXHHDIXIUOFHEW-UHFFFAOYSA-N 0 1 324.468 3.283 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2ncccc2C#N)C1 ZINC001222745018 1095739292 /nfs/dbraw/zinc/73/92/92/1095739292.db2.gz DPGAAPGSMNALSG-WBVHZDCISA-N 0 1 322.412 3.090 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc(C)cc(Cl)c2)CC1 ZINC001160700574 1095812500 /nfs/dbraw/zinc/81/25/00/1095812500.db2.gz XYFFUIBWASJODY-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN N#Cc1ccc(CC(=O)Nc2cccc3c2CN(C2CC2)C3)cc1 ZINC001137992804 1095821031 /nfs/dbraw/zinc/82/10/31/1095821031.db2.gz NLCHUEYLCYITOV-UHFFFAOYSA-N 0 1 317.392 3.217 20 30 DGEDMN C=CC[C@@H]1[C@H](OCc2cnc[nH]2)CCCN1C(=O)OC(C)(C)C ZINC001223007146 1095827525 /nfs/dbraw/zinc/82/75/25/1095827525.db2.gz INTWOJDIHYFRDO-HUUCEWRRSA-N 0 1 321.421 3.271 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CCc2nc(C3CC3)ncc2C1 ZINC001171229698 1095851936 /nfs/dbraw/zinc/85/19/36/1095851936.db2.gz QCFPKMJEMIQFKH-AWEZNQCLSA-N 0 1 318.424 3.215 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CCc2nc(C3CC3)ncc2C1 ZINC001171229699 1095852411 /nfs/dbraw/zinc/85/24/11/1095852411.db2.gz QCFPKMJEMIQFKH-CQSZACIVSA-N 0 1 318.424 3.215 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CC2(C1)CC(F)(F)CS2 ZINC001171229341 1095856240 /nfs/dbraw/zinc/85/62/40/1095856240.db2.gz MCRKYMWLCGXTME-GFCCVEGCSA-N 0 1 308.397 3.316 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@@H](CC)C1=O)c1ccc(F)cc1 ZINC001223098428 1095867893 /nfs/dbraw/zinc/86/78/93/1095867893.db2.gz YQFNRUDZYPZZMN-BBRMVZONSA-N 0 1 322.332 3.056 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CC[N@H+](C[C@H](F)CC)[C@H]3C2)CCC1 ZINC001223459439 1095975161 /nfs/dbraw/zinc/97/51/61/1095975161.db2.gz IGZJHSKWWONZIN-ZACQAIPSSA-N 0 1 322.468 3.404 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CCN(C[C@H](F)CC)[C@H]3C2)CCC1 ZINC001223459439 1095975171 /nfs/dbraw/zinc/97/51/71/1095975171.db2.gz IGZJHSKWWONZIN-ZACQAIPSSA-N 0 1 322.468 3.404 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCc1cccc(-c2noc(C)n2)c1 ZINC001223717765 1096043415 /nfs/dbraw/zinc/04/34/15/1096043415.db2.gz GOBZGNOUSYSUTG-AWEZNQCLSA-N 0 1 314.341 3.171 20 30 DGEDMN CC(C)c1n[nH]c(NC(=O)C2(c3ccc(C#N)cc3)CCCC2)n1 ZINC001118815062 1096083650 /nfs/dbraw/zinc/08/36/50/1096083650.db2.gz IGRZIFLVLZAPER-UHFFFAOYSA-N 0 1 323.400 3.250 20 30 DGEDMN CC(C)c1nc(NC(=O)C2(c3ccc(C#N)cc3)CCCC2)n[nH]1 ZINC001118815062 1096083658 /nfs/dbraw/zinc/08/36/58/1096083658.db2.gz IGRZIFLVLZAPER-UHFFFAOYSA-N 0 1 323.400 3.250 20 30 DGEDMN CN1[C@@H]2C[C@H](OCc3ccc(C#N)c4ccccc34)[C@H]1CC(=O)C2 ZINC001224029232 1096119217 /nfs/dbraw/zinc/11/92/17/1096119217.db2.gz QHXGBPOHAHGEFT-BEVDRBHNSA-N 0 1 320.392 3.032 20 30 DGEDMN COc1cccc([C@H](N)C(=O)Nc2ccc(C3CC3)c(C#N)c2)c1 ZINC001119377180 1096182785 /nfs/dbraw/zinc/18/27/85/1096182785.db2.gz LLJZNRRWXKXPQM-SFHVURJKSA-N 0 1 321.380 3.083 20 30 DGEDMN C=C(CO[C@H]1CCN(Cc2ccccc2Cl)C1)C(=O)OCC ZINC001224354975 1096195765 /nfs/dbraw/zinc/19/57/65/1096195765.db2.gz CJBNBZLXRBHXKA-HNNXBMFYSA-N 0 1 323.820 3.050 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cccnc2N(C)C)c1 ZINC001119556015 1096226484 /nfs/dbraw/zinc/22/64/84/1096226484.db2.gz WEDCFRVSCUTYHI-ZHACJKMWSA-N 0 1 309.413 3.105 20 30 DGEDMN C=C[C@@H](COC)N[C@@H]1CCCc2ncc(Br)cc21 ZINC001119632074 1096237848 /nfs/dbraw/zinc/23/78/48/1096237848.db2.gz LGKWENOKOAOLER-SMDDNHRTSA-N 0 1 311.223 3.012 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCCCC(=O)OCc1ccccc1 ZINC001224736405 1096275974 /nfs/dbraw/zinc/27/59/74/1096275974.db2.gz KJUYYMVMPMNRED-OAHLLOKOSA-N 0 1 318.369 3.136 20 30 DGEDMN C=C[C@H](C(=O)NCc1nc2ccc(F)cc2[nH]1)c1ccccc1 ZINC001147960457 1096297885 /nfs/dbraw/zinc/29/78/85/1096297885.db2.gz SFCDDPKTFPYTSH-AWEZNQCLSA-N 0 1 309.344 3.288 20 30 DGEDMN C=CCCC1(C(=O)N2CC3(C2)CCN(C/C=C/Cl)C3)CC1 ZINC001148221099 1096329446 /nfs/dbraw/zinc/32/94/46/1096329446.db2.gz QIYRZBVQYNRWDS-RUDMXATFSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@H](CCCCC)C(C)C)C2)C1 ZINC001148568322 1096399519 /nfs/dbraw/zinc/39/95/19/1096399519.db2.gz QZDHCWYPSFHKTL-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C[C@@H](C#N)c1cccc(C(=O)N2CCC(c3cnc[nH]3)CC2)c1 ZINC001148614382 1096410987 /nfs/dbraw/zinc/41/09/87/1096410987.db2.gz AJOBFDBSDMTXON-ZDUSSCGKSA-N 0 1 308.385 3.057 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1ncccc1C ZINC001148727564 1096430894 /nfs/dbraw/zinc/43/08/94/1096430894.db2.gz BVDUJNCUKGIJSS-UHFFFAOYSA-N 0 1 305.466 3.346 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1oc(C)nc1C ZINC001148727591 1096430952 /nfs/dbraw/zinc/43/09/52/1096430952.db2.gz CXZQKDWNFKNEDS-UHFFFAOYSA-N 0 1 309.454 3.248 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3ccc(Cl)cc3)C2)cn1 ZINC001225499018 1096449501 /nfs/dbraw/zinc/44/95/01/1096449501.db2.gz DWHMRPVFBCVTCF-QGZVFWFLSA-N 0 1 313.788 3.260 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(CCF)C3)CCCCC1 ZINC001148811771 1096451718 /nfs/dbraw/zinc/45/17/18/1096451718.db2.gz KIRMNMQNYOFODE-UHFFFAOYSA-N 0 1 308.441 3.017 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCCC[C@H]1NC(=O)/C=C/C1CC1 ZINC001225570948 1096469963 /nfs/dbraw/zinc/46/99/63/1096469963.db2.gz UUQORUWJKZVXCL-MCBHFWOFSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@]1(C)CCC[C@H]1CC ZINC001273448966 1096483615 /nfs/dbraw/zinc/48/36/15/1096483615.db2.gz HLWCKYKVZXDBOC-GDBMZVCRSA-N 0 1 300.874 3.393 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2)C[C@@H]1Oc1cccnc1C#N ZINC001225670794 1096500760 /nfs/dbraw/zinc/50/07/60/1096500760.db2.gz NTELITAXAFTSHN-KXBFYZLASA-N 0 1 307.397 3.243 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)/C=C/C3CC3)C2)CC1 ZINC001086910872 1096505295 /nfs/dbraw/zinc/50/52/95/1096505295.db2.gz DANIHPLQBOSEEZ-NFAHFFEMSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Br)cc1F ZINC001225691243 1096506128 /nfs/dbraw/zinc/50/61/28/1096506128.db2.gz PWHTYSICVIJSTA-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H]3CC3(C)C)C2)CC1 ZINC001086917294 1096508386 /nfs/dbraw/zinc/50/83/86/1096508386.db2.gz FCKFIWRNQLDWGC-CABCVRRESA-N 0 1 324.896 3.488 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)cc(Br)c1 ZINC001225717904 1096513407 /nfs/dbraw/zinc/51/34/07/1096513407.db2.gz GHBOQOCTYGZNKG-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3n[nH]cc3c2)C1 ZINC001225721604 1096514074 /nfs/dbraw/zinc/51/40/74/1096514074.db2.gz YCBUTQDTPVYFSW-GOSISDBHSA-N 0 1 318.380 3.088 20 30 DGEDMN CC[C@@H](C#Cc1ccccc1)Oc1nc(C(F)(F)F)cc(=O)[nH]1 ZINC001225978770 1096579445 /nfs/dbraw/zinc/57/94/45/1096579445.db2.gz XRZQVNLHZGNCMF-LBPRGKRZSA-N 0 1 322.286 3.410 20 30 DGEDMN N#Cc1ccccc1C[N@@H+]1CC[C@@H](Oc2cccc(/C=N/O)c2)C1 ZINC001226114051 1096615383 /nfs/dbraw/zinc/61/53/83/1096615383.db2.gz DFLOSZAVNMMWBZ-MRUVXERFSA-N 0 1 321.380 3.020 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2CCC3(CC3)CC2)[C@H](C)C1 ZINC001092835146 1096635174 /nfs/dbraw/zinc/63/51/74/1096635174.db2.gz PDBJNOLEBDLRAM-CZUORRHYSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc[nH]c2C(C)C)[C@H](C)C1 ZINC001092906578 1096640448 /nfs/dbraw/zinc/64/04/48/1096640448.db2.gz YHDFTCQASPUGTJ-TZMCWYRMSA-N 0 1 323.868 3.188 20 30 DGEDMN C#C[C@@H](COc1cccc(Cl)c1)Oc1n[nH]c2ncccc12 ZINC001226306124 1096658453 /nfs/dbraw/zinc/65/84/53/1096658453.db2.gz PMBVPOCLPUWHQB-LBPRGKRZSA-N 0 1 313.744 3.071 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2oc(CC)cc2C)[C@H](C)C1 ZINC001093133036 1096658552 /nfs/dbraw/zinc/65/85/52/1096658552.db2.gz MNUXBLTUOIIZER-TZMCWYRMSA-N 0 1 324.852 3.201 20 30 DGEDMN C[C@H](C#N)C(=O)NC1CCN([C@H](C)c2cccc(Cl)c2)CC1 ZINC001226624683 1096736905 /nfs/dbraw/zinc/73/69/05/1096736905.db2.gz CGULGSWPTODLML-CHWSQXEVSA-N 0 1 319.836 3.141 20 30 DGEDMN C=C(C)C[C@@H](Oc1ncnc2[nH]nc(Br)c21)C(=C)C ZINC001226669112 1096748337 /nfs/dbraw/zinc/74/83/37/1096748337.db2.gz MLQALRVNKMBJMU-SECBINFHSA-N 0 1 323.194 3.405 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc(Cl)c1C(=O)OCC ZINC001226798062 1096781823 /nfs/dbraw/zinc/78/18/23/1096781823.db2.gz QVALJQSUQQXFCL-MRVPVSSYSA-N 0 1 310.733 3.115 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(NC(C)=O)cc1Cl ZINC001226880426 1096802067 /nfs/dbraw/zinc/80/20/67/1096802067.db2.gz ROUHAMWIUPTULJ-GFCCVEGCSA-N 0 1 309.749 3.287 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3occc3C)CCC[C@@H]12 ZINC001094359317 1096802839 /nfs/dbraw/zinc/80/28/39/1096802839.db2.gz DHRXJFSXIOAPGL-RHSMWYFYSA-N 0 1 322.836 3.315 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCN(C)CC#Cc2ccccc2)C1 ZINC001280855443 1096807709 /nfs/dbraw/zinc/80/77/09/1096807709.db2.gz ARAHLXBDGWCIFJ-UHFFFAOYSA-N 0 1 324.468 3.175 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)CCC[C@@H]12 ZINC001094386455 1096808921 /nfs/dbraw/zinc/80/89/21/1096808921.db2.gz AQNJMADTRCCWSN-RIUYPTKQSA-N 0 1 322.880 3.146 20 30 DGEDMN Cc1cccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1C#N ZINC001226919900 1096815313 /nfs/dbraw/zinc/81/53/13/1096815313.db2.gz FWXLFTAGXDQAPQ-HZPDHXFCSA-N 0 1 307.397 3.476 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1OC1CC[NH+](C2CC2)CC1 ZINC001227120129 1096873255 /nfs/dbraw/zinc/87/32/55/1096873255.db2.gz FJOBFGHLJQECDA-UHFFFAOYSA-N 0 1 315.413 3.329 20 30 DGEDMN N#Cc1ccc2nc(OC[C@H]3CCc4ccccc4O3)[nH]c2c1 ZINC001227215351 1096896509 /nfs/dbraw/zinc/89/65/09/1096896509.db2.gz AFSXUIBRIVIKKI-CQSZACIVSA-N 0 1 305.337 3.207 20 30 DGEDMN C[C@H](Oc1nc2ccc(C#N)cc2[nH]1)c1ccccc1[N+](=O)[O-] ZINC001227217069 1096897822 /nfs/dbraw/zinc/89/78/22/1096897822.db2.gz ZXILBEVTFJJEDT-JTQLQIEISA-N 0 1 308.297 3.483 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4cc(F)c(F)cc4C3)CC[C@@H]2S1 ZINC001171481519 1096908380 /nfs/dbraw/zinc/90/83/80/1096908380.db2.gz DDNQXNWIBYUYQP-BBRMVZONSA-N 0 1 321.392 3.096 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4cc(F)c(F)cc4C3)CCC2S1 ZINC001171481519 1096908385 /nfs/dbraw/zinc/90/83/85/1096908385.db2.gz DDNQXNWIBYUYQP-BBRMVZONSA-N 0 1 321.392 3.096 20 30 DGEDMN CC(C)(C)C#CC(=O)NC1CCN(C/C=C/c2ccccc2)CC1 ZINC001227271926 1096910316 /nfs/dbraw/zinc/91/03/16/1096910316.db2.gz CCSGZHVWXOTILF-JXMROGBWSA-N 0 1 324.468 3.330 20 30 DGEDMN Cc1cc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)cnc1C#N ZINC001227349178 1096919657 /nfs/dbraw/zinc/91/96/57/1096919657.db2.gz FKXIRJYAXVQZCC-CVEARBPZSA-N 0 1 322.412 3.261 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001227520504 1096943320 /nfs/dbraw/zinc/94/33/20/1096943320.db2.gz MXLLCFVLYYIVIM-UHFFFAOYSA-N 0 1 318.383 3.288 20 30 DGEDMN CCCCCCCCN1CC[C@@H](C(=O)N(C)C)n2nccc2C1 ZINC001277231723 1096968874 /nfs/dbraw/zinc/96/88/74/1096968874.db2.gz PXGWPAAOIQVLLW-KRWDZBQOSA-N 0 1 320.481 3.079 20 30 DGEDMN CCCCCCCCCN1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001277234285 1096976497 /nfs/dbraw/zinc/97/64/97/1096976497.db2.gz XHZJDJOTBIFYEQ-UHFFFAOYSA-N 0 1 306.454 3.178 20 30 DGEDMN COC(=O)c1cc(Nc2cc(C#N)c(F)cc2O)ccc1C ZINC001212627903 1096980252 /nfs/dbraw/zinc/98/02/52/1096980252.db2.gz CNRGAODAJVINTA-UHFFFAOYSA-N 0 1 300.289 3.242 20 30 DGEDMN CN(CCC#N)C1CCN(c2ccc(Br)cc2)CC1 ZINC001171990325 1097016482 /nfs/dbraw/zinc/01/64/82/1097016482.db2.gz RBOFMQZNEPTGDF-UHFFFAOYSA-N 0 1 322.250 3.263 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1csc(C)n1 ZINC001227967646 1097021983 /nfs/dbraw/zinc/02/19/83/1097021983.db2.gz QPOBPGMQCOOWSM-HUUCEWRRSA-N 0 1 321.490 3.181 20 30 DGEDMN C=CC(C=C)Oc1[nH]c(=O)nc2c(Br)cccc21 ZINC001228061578 1097042298 /nfs/dbraw/zinc/04/22/98/1097042298.db2.gz BDLAHPPKJLHTAA-UHFFFAOYSA-N 0 1 307.147 3.217 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CCC[C@H]1C[C@H](N1CC[C@@H](C#N)C1)C2 ZINC001172101433 1097051613 /nfs/dbraw/zinc/05/16/13/1097051613.db2.gz GTLXFTMFCQNYAB-JONQDZQNSA-N 0 1 319.449 3.152 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228123925 1097055742 /nfs/dbraw/zinc/05/57/42/1097055742.db2.gz UEZVLMZJYRGBDV-NUEKZKHPSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC[C@H](CC)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228245917 1097081374 /nfs/dbraw/zinc/08/13/74/1097081374.db2.gz XYOPEOQTYLFFSF-NSHDSACASA-N 0 1 303.318 3.367 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccccc1OCC(F)(F)F ZINC001228268486 1097085464 /nfs/dbraw/zinc/08/54/64/1097085464.db2.gz UKQCMDQBYLETDA-QMMMGPOBSA-N 0 1 302.248 3.226 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(C(C)(C)C)CC2)CCCC1 ZINC001228270584 1097086942 /nfs/dbraw/zinc/08/69/42/1097086942.db2.gz SBTNNRBQEQUCRE-UHFFFAOYSA-N 0 1 307.482 3.249 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001172319480 1097100160 /nfs/dbraw/zinc/10/01/60/1097100160.db2.gz NLJRSYICQMDXQU-GFCCVEGCSA-N 0 1 324.346 3.333 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228597990 1097149535 /nfs/dbraw/zinc/14/95/35/1097149535.db2.gz YTHGDFBXPGGNGN-UHFFFAOYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(C)C[C@H](Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-])C(=C)C ZINC001228610361 1097152109 /nfs/dbraw/zinc/15/21/09/1097152109.db2.gz TVROQPGJVLVZCC-NSHDSACASA-N 0 1 305.334 3.258 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC001228747435 1097181468 /nfs/dbraw/zinc/18/14/68/1097181468.db2.gz ATPMEDJZPYWOKQ-LSDHHAIUSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCOc1ccc(-c2noc(-c3c[nH]nc3C)n2)c(F)c1 ZINC001212678716 1097216817 /nfs/dbraw/zinc/21/68/17/1097216817.db2.gz NJUBVNFFQHOCSO-UHFFFAOYSA-N 0 1 300.293 3.139 20 30 DGEDMN C#C[C@H](COc1cccc(Cl)c1)Oc1nnc2c[nH]ccc1-2 ZINC001228994498 1097233559 /nfs/dbraw/zinc/23/35/59/1097233559.db2.gz DROZTMSTWKEECG-GFCCVEGCSA-N 0 1 313.744 3.071 20 30 DGEDMN C[C@H](CSc1ccc(Cl)cc1)NC1(C#N)CCN(C)CC1 ZINC001172873604 1097271178 /nfs/dbraw/zinc/27/11/78/1097271178.db2.gz NQYOGIFFSMHWNF-CYBMUJFWSA-N 0 1 323.893 3.398 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001229377511 1097309661 /nfs/dbraw/zinc/30/96/61/1097309661.db2.gz XISQOOVTOUJRGY-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CC2CCCCC2)C(C)C)CC1 ZINC001229445786 1097322597 /nfs/dbraw/zinc/32/25/97/1097322597.db2.gz QVKJSZXQUSQIOV-LJQANCHMSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC001229468270 1097327001 /nfs/dbraw/zinc/32/70/01/1097327001.db2.gz CQSSHRUZFCYUEC-QGZVFWFLSA-N 0 1 312.457 3.093 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)Cc2c(F)c(OC)ccc2[N+](=O)[O-])C1 ZINC001173195646 1097359147 /nfs/dbraw/zinc/35/91/47/1097359147.db2.gz HWAASTVMHAPNIS-CHWSQXEVSA-N 0 1 320.364 3.019 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(N=C2C=CC(=O)C=C2)cc1 ZINC001229694226 1097365893 /nfs/dbraw/zinc/36/58/93/1097365893.db2.gz CNSAVBIJGJLBSR-LLVKDONJSA-N 0 1 309.321 3.052 20 30 DGEDMN N#CCC1CCC(N2CCN(c3ncccc3Cl)CC2)CC1 ZINC001173337722 1097422177 /nfs/dbraw/zinc/42/21/77/1097422177.db2.gz SDJGHMOFXDPQHV-UHFFFAOYSA-N 0 1 318.852 3.329 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001277436790 1097423876 /nfs/dbraw/zinc/42/38/76/1097423876.db2.gz YELRTGSLWYAMGR-BDXSIMOUSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001277440947 1097433168 /nfs/dbraw/zinc/43/31/68/1097433168.db2.gz HVVFXKKBVOEZQX-IXDOHACOSA-N 0 1 322.493 3.079 20 30 DGEDMN CCCC1(C(=O)NC[C@@H](C)NCc2ccccc2C#N)CCC1 ZINC001491555399 1097438154 /nfs/dbraw/zinc/43/81/54/1097438154.db2.gz RDWLCVACYRBOHK-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCN(CCCNC(=O)C(CC)CC)Cc1cccnc1 ZINC001230680949 1097555682 /nfs/dbraw/zinc/55/56/82/1097555682.db2.gz QBWATBBQCQUVDQ-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)C(C)(C)C)Cc1cccnc1 ZINC001230789992 1097587179 /nfs/dbraw/zinc/58/71/79/1097587179.db2.gz PQNVYLDESSWESM-INIZCTEOSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(C)C(=C)C)Cc1cccnc1 ZINC001230811150 1097595634 /nfs/dbraw/zinc/59/56/34/1097595634.db2.gz KWHYRIAGZFFFEW-UHFFFAOYSA-N 0 1 315.461 3.178 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](Oc2nc(Cl)nc3[nH]ncc32)C(C)(C)O1 ZINC001231047390 1097655223 /nfs/dbraw/zinc/65/52/23/1097655223.db2.gz RKSJGYFBOCWUBK-BMIGLBTASA-N 0 1 322.796 3.287 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001231112212 1097670647 /nfs/dbraw/zinc/67/06/47/1097670647.db2.gz AHINWPLPPGTNBU-SFHVURJKSA-N 0 1 300.446 3.302 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc2ncccc2c1 ZINC001231124125 1097675266 /nfs/dbraw/zinc/67/52/66/1097675266.db2.gz ZYXMTSHLMDRPIF-GOSISDBHSA-N 0 1 323.440 3.234 20 30 DGEDMN CC(C)c1ccc(CN2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)cc1 ZINC001231246595 1097701780 /nfs/dbraw/zinc/70/17/80/1097701780.db2.gz HHBDBCYZFOMFQR-MAUKXSAKSA-N 0 1 313.445 3.002 20 30 DGEDMN COC(=O)c1cc(C#N)cc(C)c1Nc1ccc2c[nH]nc2c1 ZINC001174502389 1097752981 /nfs/dbraw/zinc/75/29/81/1097752981.db2.gz MTLOKEYPTOTJPM-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@]3(CCN(C/C=C/Cl)C3)C2)CCC1 ZINC001277532068 1097779374 /nfs/dbraw/zinc/77/93/74/1097779374.db2.gz WFQRCBGOWUOFAT-COKXLDBZSA-N 0 1 322.880 3.410 20 30 DGEDMN Cc1ccc(-c2ccc(CN3CCN(CCC#N)CC3)cc2)o1 ZINC001231597130 1097788475 /nfs/dbraw/zinc/78/84/75/1097788475.db2.gz FKTCBNYZVLKWPW-UHFFFAOYSA-N 0 1 309.413 3.286 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCN[C@@H](C)c1ncccn1 ZINC001174681991 1097799167 /nfs/dbraw/zinc/79/91/67/1097799167.db2.gz IFYYFKIAWPQUJS-GJZGRUSLSA-N 0 1 318.465 3.014 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCN[C@H](C)c1csnn1 ZINC001174682008 1097799588 /nfs/dbraw/zinc/79/95/88/1097799588.db2.gz IKWUGZZXSVCASL-CHWSQXEVSA-N 0 1 324.494 3.076 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001174649367 1097803204 /nfs/dbraw/zinc/80/32/04/1097803204.db2.gz DVIMVQQRUYPBRS-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)Cc1ccccc1C)C2 ZINC001110172809 1097822169 /nfs/dbraw/zinc/82/21/69/1097822169.db2.gz MEQIBXOPYAOOKT-NQYYFHDYSA-N 0 1 312.457 3.081 20 30 DGEDMN Cc1cc(C#N)c(Nc2ccc(N3CCN(C)CC3)cc2)s1 ZINC001174816569 1097846796 /nfs/dbraw/zinc/84/67/96/1097846796.db2.gz XBXYAWWYIMSBCR-UHFFFAOYSA-N 0 1 312.442 3.424 20 30 DGEDMN COc1cc(N(C)C)ccc1C[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001231852947 1097864806 /nfs/dbraw/zinc/86/48/06/1097864806.db2.gz PRQZBTVBULOXIV-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN COc1cc(N(C)C)ccc1CN(C)Cc1ccc(C#N)cc1 ZINC001231852947 1097864813 /nfs/dbraw/zinc/86/48/13/1097864813.db2.gz PRQZBTVBULOXIV-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001174848261 1097866289 /nfs/dbraw/zinc/86/62/89/1097866289.db2.gz ALCUCKLSXMFEAY-HOCLYGCPSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001174848681 1097866473 /nfs/dbraw/zinc/86/64/73/1097866473.db2.gz FZKVLGAITPIZQY-ZBFHGGJFSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001174848365 1097866585 /nfs/dbraw/zinc/86/65/85/1097866585.db2.gz CHFNMQQRHIRYKL-GJZGRUSLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC001277597591 1097911468 /nfs/dbraw/zinc/91/14/68/1097911468.db2.gz IMBCPIPLTFZBGW-NXHRZFHOSA-N 0 1 316.489 3.334 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc(F)cc2Cl)C1 ZINC001277652400 1098012896 /nfs/dbraw/zinc/01/28/96/1098012896.db2.gz UYAKVQBBGGQSIO-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001277670827 1098053920 /nfs/dbraw/zinc/05/39/20/1098053920.db2.gz KIBHKOLUGZKADW-INIZCTEOSA-N 0 1 314.473 3.461 20 30 DGEDMN COc1cccc(C2CCN(Cc3cc(C#N)ccn3)CC2)c1 ZINC001232481752 1098054115 /nfs/dbraw/zinc/05/41/15/1098054115.db2.gz KQXMHHCFKVPODD-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=CCN(C)Cc1ccc(I)c(F)c1 ZINC001232491847 1098058072 /nfs/dbraw/zinc/05/80/72/1098058072.db2.gz CLWMZSXDQHWUAQ-UHFFFAOYSA-N 0 1 305.134 3.048 20 30 DGEDMN Cc1ccc2[nH]ncc2c1Nc1nc2ncccc2cc1C#N ZINC001175525967 1098082362 /nfs/dbraw/zinc/08/23/62/1098082362.db2.gz PWWAGXGDFWCANJ-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN COc1ccccc1[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232674286 1098112115 /nfs/dbraw/zinc/11/21/15/1098112115.db2.gz VKBIIIYGIXTSTG-HNNXBMFYSA-N 0 1 308.381 3.262 20 30 DGEDMN COc1ccc([C@@H]2CC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)cc1 ZINC001232678327 1098112199 /nfs/dbraw/zinc/11/21/99/1098112199.db2.gz UXSLXEGCOQGSGM-MRXNPFEDSA-N 0 1 308.381 3.262 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@H](Oc3ccc(F)cc3)C2)c1 ZINC001232678111 1098112326 /nfs/dbraw/zinc/11/23/26/1098112326.db2.gz PYHDSBIYVAGWBC-QGZVFWFLSA-N 0 1 312.344 3.056 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C1CN(CCC2CC2)C1)c1ccccc1 ZINC001277699648 1098113349 /nfs/dbraw/zinc/11/33/49/1098113349.db2.gz MIJDYNZWBVXMNS-LJQANCHMSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CC12CCCC2 ZINC001277716611 1098140390 /nfs/dbraw/zinc/14/03/90/1098140390.db2.gz ADLBHTRELYGVGF-CABCVRRESA-N 0 1 310.869 3.146 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3cc(F)ccc3C#N)CC2)cc1 ZINC001232890782 1098166186 /nfs/dbraw/zinc/16/61/86/1098166186.db2.gz OOYQDOYUQGNECE-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(CF)cc1 ZINC001277735596 1098175126 /nfs/dbraw/zinc/17/51/26/1098175126.db2.gz QQCBYLAWFKZAPN-MRXNPFEDSA-N 0 1 324.827 3.349 20 30 DGEDMN CC(C)CC(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001277743139 1098192046 /nfs/dbraw/zinc/19/20/46/1098192046.db2.gz UUZZZBNFDCEUQC-OAHLLOKOSA-N 0 1 320.864 3.174 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2c([O-])cc(Br)cc2F)C1 ZINC001233031281 1098206413 /nfs/dbraw/zinc/20/64/13/1098206413.db2.gz ATZWLHUBTVRIBD-SNVBAGLBSA-N 0 1 312.182 3.139 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3cc(O)c(O)c(O)c3)CC2)c1 ZINC001233011969 1098204592 /nfs/dbraw/zinc/20/45/92/1098204592.db2.gz FITGRNMZTWMCRH-UHFFFAOYSA-N 0 1 324.380 3.055 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2ccc(O)c(OCC3CC3)c2)CC1 ZINC001233228794 1098256533 /nfs/dbraw/zinc/25/65/33/1098256533.db2.gz USNVNPSEPVSYGI-CQSZACIVSA-N 0 1 300.402 3.307 20 30 DGEDMN COc1cc(Cl)cc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c1O ZINC001233290287 1098277605 /nfs/dbraw/zinc/27/76/05/1098277605.db2.gz UMNJLTHMERVQAY-OJNYZHBWSA-N 0 1 306.793 3.321 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c([O-])c1 ZINC001233387786 1098311839 /nfs/dbraw/zinc/31/18/39/1098311839.db2.gz YJWZZLXLFFLXOP-QGZVFWFLSA-N 0 1 300.402 3.045 20 30 DGEDMN CN(Cc1c[nH]c(Br)c1)Cc1ccc(C#N)cc1 ZINC001233436646 1098331035 /nfs/dbraw/zinc/33/10/35/1098331035.db2.gz SMXGIWANMMLUMV-UHFFFAOYSA-N 0 1 304.191 3.281 20 30 DGEDMN N#CC=C(O)c1ccc(O[C@@H]2CC[N@@H+](Cc3ccccc3)C2)cc1 ZINC001233518236 1098360546 /nfs/dbraw/zinc/36/05/46/1098360546.db2.gz MPLDRVNSQAWAFV-LJQANCHMSA-N 0 1 320.392 3.436 20 30 DGEDMN N#CC=C([O-])c1ccccc1O[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001233522154 1098363064 /nfs/dbraw/zinc/36/30/64/1098363064.db2.gz CDFUXGVNNZGZHY-QGZVFWFLSA-N 0 1 320.392 3.436 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3ccc(C(=O)[O-])cc3)sc2C1 ZINC001176708328 1098423017 /nfs/dbraw/zinc/42/30/17/1098423017.db2.gz WMDRMRAKQNNCQS-UHFFFAOYSA-N 0 1 313.382 3.049 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(C)c1 ZINC001233761950 1098425685 /nfs/dbraw/zinc/42/56/85/1098425685.db2.gz NXWOEDIHKHZLOA-IBGZPJMESA-N 0 1 312.457 3.221 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1ccnn1C1CCCC1 ZINC001176770873 1098436601 /nfs/dbraw/zinc/43/66/01/1098436601.db2.gz ARZYUBJJOKDNLJ-HNNXBMFYSA-N 0 1 316.449 3.367 20 30 DGEDMN C=CCCCN1CCO[C@H]2CCN(C(=O)C=C(CC)CC)C[C@H]21 ZINC001176982889 1098459206 /nfs/dbraw/zinc/45/92/06/1098459206.db2.gz KKYFQWLGJFAOGP-MSOLQXFVSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2OCCN(CCC(C)(C)C)[C@H]2C1 ZINC001177026567 1098464441 /nfs/dbraw/zinc/46/44/41/1098464441.db2.gz ZQVFTNIIVAYVHX-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](c1ccccc1)[C@@H](C)CC ZINC001150647489 1098483271 /nfs/dbraw/zinc/48/32/71/1098483271.db2.gz PZRFOZORDSAZIN-XJKSGUPXSA-N 0 1 308.853 3.275 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001277836101 1098508479 /nfs/dbraw/zinc/50/84/79/1098508479.db2.gz MBLKEHBDSOGOMK-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN CN(C[C@H]1CCN1[C@@H]1CCc2ccccc21)C(=O)C#CC(C)(C)C ZINC001234207011 1098514789 /nfs/dbraw/zinc/51/47/89/1098514789.db2.gz XUYCPNUBDGHGMX-IEBWSBKVSA-N 0 1 324.468 3.256 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)Cc1cccc(F)c1 ZINC001234322479 1098536539 /nfs/dbraw/zinc/53/65/39/1098536539.db2.gz IQZTXIYCIKYKBD-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C[C@@H](CC(C)(C)S)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234520438 1098577015 /nfs/dbraw/zinc/57/70/15/1098577015.db2.gz SENWHVZJLCIOSY-VIFPVBQESA-N 0 1 303.387 3.073 20 30 DGEDMN Cn1ccc2cc(CNC(=O)C(C#N)Cc3cccs3)ccc21 ZINC001177905644 1098642881 /nfs/dbraw/zinc/64/28/81/1098642881.db2.gz XJJJBOUJUZIKGP-OAHLLOKOSA-N 0 1 323.421 3.238 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Oc1nc(F)nc2nc[nH]c21 ZINC001234688992 1098644501 /nfs/dbraw/zinc/64/45/01/1098644501.db2.gz FBRAESBTQHCMGV-SNVBAGLBSA-N 0 1 314.320 3.197 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1nccc2ccccc21 ZINC001177916236 1098647987 /nfs/dbraw/zinc/64/79/87/1098647987.db2.gz ULELRHVNGINXHI-CQSZACIVSA-N 0 1 321.405 3.295 20 30 DGEDMN C#CCCCCC(=O)NCCCCCCNCc1cscn1 ZINC001178253609 1098755418 /nfs/dbraw/zinc/75/54/18/1098755418.db2.gz DACRANNWIOMISM-UHFFFAOYSA-N 0 1 321.490 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001277922106 1098798085 /nfs/dbraw/zinc/79/80/85/1098798085.db2.gz LHDXFRIVHMAUDC-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC1(c2ccccc2)CC1 ZINC001235124999 1098822553 /nfs/dbraw/zinc/82/25/53/1098822553.db2.gz UKCOOHZSUANWRC-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@H](C)c1ncccn1 ZINC001178598903 1098883109 /nfs/dbraw/zinc/88/31/09/1098883109.db2.gz OADIFWGOLLDIOI-MRXNPFEDSA-N 0 1 318.465 3.160 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)[C@@H]1C ZINC001178802573 1098934891 /nfs/dbraw/zinc/93/48/91/1098934891.db2.gz NTHCDKJDWJBTIL-ZFWWWQNUSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1cccs1 ZINC001277940878 1098986451 /nfs/dbraw/zinc/98/64/51/1098986451.db2.gz KMGGNAHOXMGEPJ-OLZOCXBDSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCCCCNCc1ccon1 ZINC001179212126 1099037745 /nfs/dbraw/zinc/03/77/45/1099037745.db2.gz DRAGHDCIGFCNRU-UHFFFAOYSA-N 0 1 307.438 3.043 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C/c1cccc(F)c1 ZINC001235474029 1099057224 /nfs/dbraw/zinc/05/72/24/1099057224.db2.gz ZIKYTDONMCDSJB-SUQGKYDKSA-N 0 1 316.420 3.338 20 30 DGEDMN CC#CCCCC(=O)NCCCCCCNCc1cscn1 ZINC001179319911 1099070167 /nfs/dbraw/zinc/07/01/67/1099070167.db2.gz LLFDSLCOPARXSP-UHFFFAOYSA-N 0 1 321.490 3.103 20 30 DGEDMN N#CCc1ccccc1CN1CCc2cc3c(cc2C1)OCO3 ZINC001235516123 1099072113 /nfs/dbraw/zinc/07/21/13/1099072113.db2.gz RIFGOSURYLPDAP-UHFFFAOYSA-N 0 1 306.365 3.040 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCCCCNCc1nocc1C ZINC001179339313 1099077427 /nfs/dbraw/zinc/07/74/27/1099077427.db2.gz CCMHUPQBIAIMRU-HNNXBMFYSA-N 0 1 321.465 3.352 20 30 DGEDMN Cc1cnccc1O[C@@H]1CC[N@@H+](Cc2ccccc2CC#N)C1 ZINC001235529368 1099078528 /nfs/dbraw/zinc/07/85/28/1099078528.db2.gz ZHHHYTPJJTYNDE-GOSISDBHSA-N 0 1 307.397 3.109 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1c(C)noc1CC ZINC001277954964 1099093864 /nfs/dbraw/zinc/09/38/64/1099093864.db2.gz UZVLSFGOSIFSDA-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235654096 1099110943 /nfs/dbraw/zinc/11/09/43/1099110943.db2.gz VJCCIMCASINIBV-INIZCTEOSA-N 0 1 321.465 3.408 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCCCCNCc2coc(C)n2)C1 ZINC001179533753 1099121167 /nfs/dbraw/zinc/12/11/67/1099121167.db2.gz SZZFLSKALMXBKR-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001235745530 1099161698 /nfs/dbraw/zinc/16/16/98/1099161698.db2.gz ZLOGPYPBEPLIAL-SHCNBLLESA-N 0 1 316.420 3.338 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1CC[C@@H](C2CC2)O1 ZINC001179690782 1099164980 /nfs/dbraw/zinc/16/49/80/1099164980.db2.gz MQDHLFIRIRNOBI-YOEHRIQHSA-N 0 1 319.832 3.399 20 30 DGEDMN C=CCCCCCCCCCN1CCc2n[nH]c(C(N)=O)c2C1 ZINC001277978487 1099376754 /nfs/dbraw/zinc/37/67/54/1099376754.db2.gz UZSJAVWEEBIBCK-UHFFFAOYSA-N 0 1 318.465 3.174 20 30 DGEDMN C=CCSCC(=O)NCCCc1nc2ccc(Cl)cc2[nH]1 ZINC001180561196 1099456857 /nfs/dbraw/zinc/45/68/57/1099456857.db2.gz YJOAONBJFWXKCX-UHFFFAOYSA-N 0 1 323.849 3.184 20 30 DGEDMN CCCCCCCCS(=O)(=O)N1CC[C@@H]([N@@H+](C)C(C)C)C1 ZINC001188008828 1099656930 /nfs/dbraw/zinc/65/69/30/1099656930.db2.gz TZNIHNPCJOSTMV-MRXNPFEDSA-N 0 1 318.527 3.091 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(C#N)cc3F)ccc-2n1 ZINC001236526809 1099662312 /nfs/dbraw/zinc/66/23/12/1099662312.db2.gz YGACBUUEZJGWLX-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CC(C)C[C@](C)(C#N)NC(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188598555 1099788819 /nfs/dbraw/zinc/78/88/19/1099788819.db2.gz LXZKRUSYTAGGAQ-CQSZACIVSA-N 0 1 314.307 3.469 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001188610758 1099792643 /nfs/dbraw/zinc/79/26/43/1099792643.db2.gz RBMKNDYLYHYBHZ-REMYHPOOSA-N 0 1 318.848 3.341 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)c3cccc(CC#N)c3)cc(F)c12 ZINC001188677125 1099825655 /nfs/dbraw/zinc/82/56/55/1099825655.db2.gz IVCUAEKDXUNBFC-UHFFFAOYSA-N 0 1 308.316 3.329 20 30 DGEDMN CC(C)CC(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001278023962 1099855252 /nfs/dbraw/zinc/85/52/52/1099855252.db2.gz PIQHSRJKLNHPKS-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(F)c4ccoc43)CCC2S1 ZINC001236893447 1099855558 /nfs/dbraw/zinc/85/55/58/1099855558.db2.gz HPQWCHGFTMCLCO-AWEZNQCLSA-N 0 1 303.358 3.346 20 30 DGEDMN CCCCCC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001188906955 1099898463 /nfs/dbraw/zinc/89/84/63/1099898463.db2.gz SZCYPGJBIPKNMC-LJQANCHMSA-N 0 1 312.457 3.151 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(c2ccccc2C)CC1 ZINC001278036031 1099898305 /nfs/dbraw/zinc/89/83/05/1099898305.db2.gz XBHZDVSMTYGXGC-HNNXBMFYSA-N 0 1 320.864 3.216 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(c2ccccc2C)CC1 ZINC001278036033 1099899411 /nfs/dbraw/zinc/89/94/11/1099899411.db2.gz XBHZDVSMTYGXGC-OAHLLOKOSA-N 0 1 320.864 3.216 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)Cc2cccc(C(C)C)n2)C1 ZINC001189281132 1099999230 /nfs/dbraw/zinc/99/92/30/1099999230.db2.gz YNVHFSSECQLLBC-QGZVFWFLSA-N 0 1 315.461 3.204 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)CCCCC)[C@@H]2C1 ZINC001189341662 1100033060 /nfs/dbraw/zinc/03/30/60/1100033060.db2.gz HZSARKGRNBIUFQ-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN CCC[C@H](C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001189461842 1100065671 /nfs/dbraw/zinc/06/56/71/1100065671.db2.gz RLLIVECKVIQUKQ-HKUYNNGSSA-N 0 1 312.457 3.007 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](CC)C(C)(C)C)[C@@H]2C1 ZINC001189762787 1100128379 /nfs/dbraw/zinc/12/83/79/1100128379.db2.gz FVCHFMHOOTZSMM-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCN2Cc2ccnc(C#N)c2)cc1 ZINC001237462434 1100131573 /nfs/dbraw/zinc/13/15/73/1100131573.db2.gz IGLUAKBDCOMUHB-GOSISDBHSA-N 0 1 321.380 3.077 20 30 DGEDMN Cn1cc(CN2CC3(C2)C[C@@H](F)CS3)c2cc(C#N)ccc21 ZINC001237473921 1100136321 /nfs/dbraw/zinc/13/63/21/1100136321.db2.gz ZHDZYOXRJRUJKH-CQSZACIVSA-N 0 1 315.417 3.079 20 30 DGEDMN C#CCN(C(=O)C[C@H]1C=CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001278114887 1100173788 /nfs/dbraw/zinc/17/37/88/1100173788.db2.gz AXYBFQKNYZZSOI-AVFOEOQDSA-N 0 1 320.864 3.021 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2ccc3ncnc(Cl)c3c2)CC1 ZINC001237577634 1100177595 /nfs/dbraw/zinc/17/75/95/1100177595.db2.gz IFQPZJBZNCJVSP-GFCCVEGCSA-N 0 1 300.793 3.409 20 30 DGEDMN N#Cc1ccc2nc(CN3CCCC4(CC(F)C4)CC3)cn2c1 ZINC001237607576 1100186040 /nfs/dbraw/zinc/18/60/40/1100186040.db2.gz YECCHKKTWOHVEH-UHFFFAOYSA-N 0 1 312.392 3.310 20 30 DGEDMN CC[C@H](C)CN1CC[C@@H]2C[C@@]21C(=O)Nc1cc(C)ccc1C#N ZINC001278124842 1100197332 /nfs/dbraw/zinc/19/73/32/1100197332.db2.gz KCSVSFCZMYBSRZ-CRFBAAHOSA-N 0 1 311.429 3.316 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1[nH]nc2cc(Cl)ccc21 ZINC001238000750 1100321075 /nfs/dbraw/zinc/32/10/75/1100321075.db2.gz NPHZMRKXAPARBG-UOJUARBOSA-N 0 1 300.793 3.483 20 30 DGEDMN CCOc1cc(CN2CCC3SC(=O)C=C3C2)ccc1C ZINC001238066593 1100347393 /nfs/dbraw/zinc/34/73/93/1100347393.db2.gz DCLUVAQZCDMIHG-INIZCTEOSA-N 0 1 303.427 3.168 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC001238086363 1100355334 /nfs/dbraw/zinc/35/53/34/1100355334.db2.gz CPTCUYNTORLIPF-HNNXBMFYSA-N 0 1 320.820 3.377 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3sccc3s2)C1 ZINC001190934590 1100363702 /nfs/dbraw/zinc/36/37/02/1100363702.db2.gz PMGSQTIELXGGEL-LBPRGKRZSA-N 0 1 318.467 3.132 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cccc3cc(C)cnc32)cc1 ZINC001191191733 1100428757 /nfs/dbraw/zinc/42/87/57/1100428757.db2.gz CAEYGHHAZRBWPL-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001191577612 1100483377 /nfs/dbraw/zinc/48/33/77/1100483377.db2.gz VDEMCAAGJWHNKP-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@]12C[C@H]1CCC2)C1CCCC1 ZINC001278259728 1100490564 /nfs/dbraw/zinc/49/05/64/1100490564.db2.gz PMEOKOUQDPDUHD-BFYDXBDKSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCN1CCN(Cc2c(C)cc(OC(C)C)cc2C)CC1 ZINC001238665595 1100496990 /nfs/dbraw/zinc/49/69/90/1100496990.db2.gz JQKRPSXUKLPAPC-UHFFFAOYSA-N 0 1 302.462 3.394 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001191684959 1100504962 /nfs/dbraw/zinc/50/49/62/1100504962.db2.gz WJOGAHPOHHRNOS-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001191889575 1100540641 /nfs/dbraw/zinc/54/06/41/1100540641.db2.gz IJERDURKPDKVEL-GDBMZVCRSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC001191913627 1100549516 /nfs/dbraw/zinc/54/95/16/1100549516.db2.gz ZSRBDRIHNLIXQE-SJORKVTESA-N 0 1 315.461 3.025 20 30 DGEDMN CCC(C)(C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001192045609 1100565909 /nfs/dbraw/zinc/56/59/09/1100565909.db2.gz GXPHICWQKBXPBQ-SFHVURJKSA-N 0 1 312.457 3.007 20 30 DGEDMN CC[C@H](C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccc(F)cc1 ZINC001152019313 1100613791 /nfs/dbraw/zinc/61/37/91/1100613791.db2.gz PWVNRYAXSDCPTL-JKSUJKDBSA-N 0 1 318.436 3.050 20 30 DGEDMN CCCCCCCNC(=O)N1CCN[C@H](Cc2ccccc2)C1 ZINC001239272139 1100617496 /nfs/dbraw/zinc/61/74/96/1100617496.db2.gz OMBXZSSUJFLQIB-GOSISDBHSA-N 0 1 317.477 3.183 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCNC[C@@H]2CC)c1 ZINC001239285103 1100621594 /nfs/dbraw/zinc/62/15/94/1100621594.db2.gz JJLNLLLPLHDXNQ-KRWDZBQOSA-N 0 1 315.461 3.348 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2cnc(Cl)c(C)c2)c1 ZINC001192579978 1100638979 /nfs/dbraw/zinc/63/89/79/1100638979.db2.gz UKUFIYWDPCBIKY-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001192587070 1100640022 /nfs/dbraw/zinc/64/00/22/1100640022.db2.gz ZMLFEQJAMCATPD-IBGZPJMESA-N 0 1 324.468 3.173 20 30 DGEDMN N#Cc1nc(-c2cccc(C(=O)C(F)(F)F)c2)cc2[nH]cnc21 ZINC001239372544 1100646461 /nfs/dbraw/zinc/64/64/61/1100646461.db2.gz LGFDCEDEUZUMOJ-UHFFFAOYSA-N 0 1 316.242 3.242 20 30 DGEDMN CCCCCCCCCNC(=S)N1CC[C@H](N)C(F)(F)C1 ZINC001239441881 1100668277 /nfs/dbraw/zinc/66/82/77/1100668277.db2.gz VOVUWHSRSQYSFV-ZDUSSCGKSA-N 0 1 321.481 3.280 20 30 DGEDMN Cc1cnc([C@@H](NC[C@@H](O)c2cccc(C#N)c2)C2CC2)s1 ZINC001193240003 1100719610 /nfs/dbraw/zinc/71/96/10/1100719610.db2.gz SACYWLJLMQSFMY-CVEARBPZSA-N 0 1 313.426 3.098 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CCC2(C)CC2)C1 ZINC001193256752 1100721311 /nfs/dbraw/zinc/72/13/11/1100721311.db2.gz CCFNWYLPWHVBHS-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CCC2(C)CC2)C1 ZINC001193256752 1100721315 /nfs/dbraw/zinc/72/13/15/1100721315.db2.gz CCFNWYLPWHVBHS-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(OCC3CCC3)nc2)ccc1O ZINC001193498249 1100753066 /nfs/dbraw/zinc/75/30/66/1100753066.db2.gz JNFWHPPDRQSXQK-UHFFFAOYSA-N 0 1 323.352 3.090 20 30 DGEDMN Cc1cc(Cl)c(NC(=O)c2ccc(O)c(C#N)c2)cc1O ZINC001193506508 1100757653 /nfs/dbraw/zinc/75/76/53/1100757653.db2.gz SMPGIUYUDGKFJB-UHFFFAOYSA-N 0 1 302.717 3.184 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc3c2C(=O)CCC3)ccc1O ZINC001193512140 1100758584 /nfs/dbraw/zinc/75/85/84/1100758584.db2.gz LABRFFOWDUVTHR-UHFFFAOYSA-N 0 1 306.321 3.035 20 30 DGEDMN COc1cnc2c(cccc2NC(=O)c2ccc(O)c(C#N)c2)c1 ZINC001193512542 1100758603 /nfs/dbraw/zinc/75/86/03/1100758603.db2.gz OPBKWUVLOFQTED-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2ccccc2C#N)cc1)[C@H]1CCCO1 ZINC001193604800 1100782101 /nfs/dbraw/zinc/78/21/01/1100782101.db2.gz HIBMKFYDBSPXLJ-NHCUHLMSSA-N 0 1 316.404 3.496 20 30 DGEDMN CN(C(=O)c1cccc(C#N)c1O)C(C)(C)Cc1ccccc1 ZINC001193646238 1100782657 /nfs/dbraw/zinc/78/26/57/1100782657.db2.gz FPXMTMWIBHTMJB-UHFFFAOYSA-N 0 1 308.381 3.357 20 30 DGEDMN CC[C@@H](NC(=O)c1cccc(C#N)c1O)c1ccc(F)c(F)c1 ZINC001193659068 1100786238 /nfs/dbraw/zinc/78/62/38/1100786238.db2.gz JTWAGIRDQWFSLQ-OAHLLOKOSA-N 0 1 316.307 3.423 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)c2ccc(F)c(O)c2F)cn1 ZINC001193769772 1100809736 /nfs/dbraw/zinc/80/97/36/1100809736.db2.gz JMQZBQVACMQWMO-UHFFFAOYSA-N 0 1 317.295 3.119 20 30 DGEDMN CC(C)NC(=O)c1ccc(-c2nccc3[nH]cc(C#N)c32)cc1 ZINC001240025385 1100837716 /nfs/dbraw/zinc/83/77/16/1100837716.db2.gz XAYGKQGJVZVMKC-UHFFFAOYSA-N 0 1 304.353 3.240 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001194192387 1100889943 /nfs/dbraw/zinc/88/99/43/1100889943.db2.gz URKYADJECGTTLA-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001194335752 1100925500 /nfs/dbraw/zinc/92/55/00/1100925500.db2.gz BPNSHHCTXXTAJZ-MJGOQNOKSA-N 0 1 314.473 3.364 20 30 DGEDMN C[NH+]1CCN(Cc2cccc(-c3ccccc3OCC#N)c2)CC1 ZINC001240297933 1100929859 /nfs/dbraw/zinc/92/98/59/1100929859.db2.gz AETPAKMSEDGCLJ-UHFFFAOYSA-N 0 1 321.424 3.003 20 30 DGEDMN O/N=C/c1cc(-c2ccc3ncnn3c2)cc(C(F)(F)F)c1 ZINC001240357976 1100956857 /nfs/dbraw/zinc/95/68/57/1100956857.db2.gz GASHTWOYNFVROU-CGOBSMCZSA-N 0 1 306.247 3.223 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCCC[C@H]1CC ZINC001273687884 1101045997 /nfs/dbraw/zinc/04/59/97/1101045997.db2.gz MXSLILPSDDEJDK-HUUCEWRRSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCOc1ccc(C(=O)NCc2[nH]nc3ccccc32)cc1 ZINC001195141969 1101080890 /nfs/dbraw/zinc/08/08/90/1101080890.db2.gz VEMNEWQEOOYMKK-UHFFFAOYSA-N 0 1 321.380 3.448 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C)(C)CC(F)(F)F ZINC001273693883 1101096014 /nfs/dbraw/zinc/09/60/14/1101096014.db2.gz AASQDRJTTAZKCL-UHFFFAOYSA-N 0 1 314.779 3.156 20 30 DGEDMN COc1ccc(-c2ccc(N3CCN(C)CC3)cc2)cc1CC#N ZINC001240852164 1101157126 /nfs/dbraw/zinc/15/71/26/1101157126.db2.gz LPVAXVDWNSFRAX-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN Cc1cc(F)cc(-c2ccc(N3CCN(C)CC3)cc2)c1C#N ZINC001240851927 1101157504 /nfs/dbraw/zinc/15/75/04/1101157504.db2.gz FHDYTFVJSOGZQI-UHFFFAOYSA-N 0 1 309.388 3.425 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(C#N)cc1O)c1cc(F)cc(F)c1 ZINC001195763775 1101191805 /nfs/dbraw/zinc/19/18/05/1101191805.db2.gz CJEJIQAWWDDXFF-SECBINFHSA-N 0 1 302.280 3.033 20 30 DGEDMN COc1ccccc1CC(C)(C)NC(=O)c1ccc(C#N)cc1O ZINC001195768835 1101193385 /nfs/dbraw/zinc/19/33/85/1101193385.db2.gz QMTWMZLWEQIDNN-UHFFFAOYSA-N 0 1 324.380 3.024 20 30 DGEDMN CC1(CNC(=O)c2ccc(C#N)cc2O)CCC(F)(F)CC1 ZINC001195769220 1101195025 /nfs/dbraw/zinc/19/50/25/1101195025.db2.gz WOVDWKIKVDJCIG-UHFFFAOYSA-N 0 1 308.328 3.209 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001195839705 1101211437 /nfs/dbraw/zinc/21/14/37/1101211437.db2.gz VDSRFTLBOQDFOW-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001195839705 1101211443 /nfs/dbraw/zinc/21/14/43/1101211443.db2.gz VDSRFTLBOQDFOW-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C#CCN(CCc1cccc(OC(F)(F)F)c1)C1CSC1 ZINC001195976826 1101229081 /nfs/dbraw/zinc/22/90/81/1101229081.db2.gz BLYSADCJGHDNRA-UHFFFAOYSA-N 0 1 315.360 3.178 20 30 DGEDMN C=CCCCC(=O)N1CCCN(Cc2ccc(C)nc2C)CC1 ZINC001196984700 1101450409 /nfs/dbraw/zinc/45/04/09/1101450409.db2.gz LUFAFYOKXULJNF-UHFFFAOYSA-N 0 1 315.461 3.089 20 30 DGEDMN C[C@H](NC(=O)C(F)(F)F)c1ccc(-c2ccc(C#N)nc2)cc1 ZINC001241437916 1101457108 /nfs/dbraw/zinc/45/71/08/1101457108.db2.gz LKDXSCIFYKKVQX-JTQLQIEISA-N 0 1 319.286 3.360 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)c2sccc2COC)CC1 ZINC001197809954 1101672281 /nfs/dbraw/zinc/67/22/81/1101672281.db2.gz OUXFNWAVYQAACL-UHFFFAOYSA-N 0 1 322.474 3.009 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC[N@H+](CC(=C)C)CC1)c1ccccc1 ZINC001198190966 1101783206 /nfs/dbraw/zinc/78/32/06/1101783206.db2.gz YVBFUNUJDGNIQJ-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCCN(CC(=C)C)CC1)c1ccccc1 ZINC001198190966 1101783220 /nfs/dbraw/zinc/78/32/20/1101783220.db2.gz YVBFUNUJDGNIQJ-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN N#Cc1ccc(CN2CCN(C3(c4ccccc4)CC3)CC2)cc1 ZINC001203906296 1101858169 /nfs/dbraw/zinc/85/81/69/1101858169.db2.gz NDAPCZLUWAWWOB-UHFFFAOYSA-N 0 1 317.436 3.365 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C1CCCC1)c1ccccc1OC ZINC001198481477 1101886825 /nfs/dbraw/zinc/88/68/25/1101886825.db2.gz IHRFAQXFQXOBHO-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@H](C)C1CC1)c1ccccc1OC ZINC001198645990 1101938824 /nfs/dbraw/zinc/93/88/24/1101938824.db2.gz BERYLPXACLDBMK-RHSMWYFYSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C=C(CC)CC)c1ccccc1OC ZINC001198657664 1101942877 /nfs/dbraw/zinc/94/28/77/1101942877.db2.gz SWCUVFOCPZYSHP-QGZVFWFLSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(C)C(C)C)c1ccccc1OC ZINC001198742270 1101963730 /nfs/dbraw/zinc/96/37/30/1101963730.db2.gz OKMXBBOVNOZOHD-MRXNPFEDSA-N 0 1 318.461 3.310 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(C)C(=C)C)c1ccccc1OC ZINC001198828376 1101988135 /nfs/dbraw/zinc/98/81/35/1101988135.db2.gz XCOCLWMWPILUPB-MRXNPFEDSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C/C=C(\C)C=C)c1ccccc1OC ZINC001198834159 1101990906 /nfs/dbraw/zinc/99/09/06/1101990906.db2.gz JGFPIPQVRQSPMB-JMPLCFMRSA-N 0 1 314.429 3.151 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](C)CC(=C)C)c1ccccc1OC ZINC001198854917 1102001537 /nfs/dbraw/zinc/00/15/37/1102001537.db2.gz ASGWSLUXJQWOET-WBVHZDCISA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(C)CCC)c1ccccc1OC ZINC001198876096 1102018232 /nfs/dbraw/zinc/01/82/32/1102018232.db2.gz NYMYZLRCPUJGPQ-INIZCTEOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCCCCCCC)C1 ZINC001198880456 1102020905 /nfs/dbraw/zinc/02/09/05/1102020905.db2.gz OFXHTOWKPCPKDM-KZNAEPCWSA-N 0 1 324.509 3.111 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H](C)C(C)C)c1ccccc1OC ZINC001198925818 1102029437 /nfs/dbraw/zinc/02/94/37/1102029437.db2.gz YBQUVNNCYPOYMN-DOTOQJQBSA-N 0 1 318.461 3.310 20 30 DGEDMN C#Cc1ccc(-c2cc(CN3CCN(C)CC3)ccc2OC)cc1 ZINC001242296640 1102035619 /nfs/dbraw/zinc/03/56/19/1102035619.db2.gz PFTFOQYQIVFSKI-UHFFFAOYSA-N 0 1 320.436 3.091 20 30 DGEDMN CC1(C)CC(=O)C=C(c2cncc([C@H]3CN4CCC3CC4)n2)C1 ZINC001242317122 1102048458 /nfs/dbraw/zinc/04/84/58/1102048458.db2.gz RIQNLBWBJHUGMF-INIZCTEOSA-N 0 1 311.429 3.058 20 30 DGEDMN N#Cc1cc(-c2nccc(OCc3ccccc3)n2)ccc1O ZINC001242563125 1102211883 /nfs/dbraw/zinc/21/18/83/1102211883.db2.gz SHXXPPWYLSABIK-UHFFFAOYSA-N 0 1 303.321 3.300 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@]23CCN(C2CCCC2)C3=O)c1 ZINC001273792050 1102229150 /nfs/dbraw/zinc/22/91/50/1102229150.db2.gz HDLRPTWWWMDWIT-OAQYLSRUSA-N 0 1 322.452 3.177 20 30 DGEDMN COc1c(F)c(F)c(-c2cc3nc[nH]c3c(C#N)n2)c(F)c1F ZINC001242730385 1102314703 /nfs/dbraw/zinc/31/47/03/1102314703.db2.gz IQXLFVKVUUPSDJ-UHFFFAOYSA-N 0 1 322.221 3.062 20 30 DGEDMN CCOC(=O)c1cc(C#N)c(C)nc1-c1cccc(O)c1Cl ZINC001242799145 1102350200 /nfs/dbraw/zinc/35/02/00/1102350200.db2.gz WLXYNOINOFUXEJ-UHFFFAOYSA-N 0 1 316.744 3.464 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001494918768 1102371237 /nfs/dbraw/zinc/37/12/37/1102371237.db2.gz MOPDJLFHIHAESS-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#Cc1cncc(-c2ccc3nc(C(=O)OCC)[nH]c3c2)c1 ZINC001242942105 1102431793 /nfs/dbraw/zinc/43/17/93/1102431793.db2.gz QRCOUMJYATZFKF-UHFFFAOYSA-N 0 1 305.337 3.173 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)Nc2ccc(F)cc2F)c1 ZINC001200928836 1102440146 /nfs/dbraw/zinc/44/01/46/1102440146.db2.gz XBFHECMFXMWBFF-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN CCCCOC(=O)c1cccc(-c2cc3[nH]cnc3c(C#N)n2)c1 ZINC001243094044 1102521310 /nfs/dbraw/zinc/52/13/10/1102521310.db2.gz MJXBOBCXYPMAHD-UHFFFAOYSA-N 0 1 320.352 3.453 20 30 DGEDMN CCCCCCCCCN1Cc2nnn(C)c2[C@@H](COC)C1 ZINC001201838726 1102743399 /nfs/dbraw/zinc/74/33/99/1102743399.db2.gz DYCRKJWBIFJKKU-OAHLLOKOSA-N 0 1 308.470 3.111 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)N(C)[C@@H]1CCN(C)C1 ZINC001201836210 1102743712 /nfs/dbraw/zinc/74/37/12/1102743712.db2.gz SSHZRKCAJGXQIA-MRXNPFEDSA-N 0 1 318.527 3.093 20 30 DGEDMN CCCCCCCCN1CCN(C(=O)c2ccncc2)CC1 ZINC001201840529 1102744339 /nfs/dbraw/zinc/74/43/39/1102744339.db2.gz ZIGJDWJZXZHTIL-UHFFFAOYSA-N 0 1 303.450 3.200 20 30 DGEDMN CCOC(=O)c1cnc(-c2ccc(Cl)c(O)c2)c(C#N)c1 ZINC001243578341 1102818937 /nfs/dbraw/zinc/81/89/37/1102818937.db2.gz NRZNPOKXEINHIZ-UHFFFAOYSA-N 0 1 302.717 3.156 20 30 DGEDMN CC(C)(C)c1nc2nc[nH]c2c(-c2ccc(OCC#N)cc2)n1 ZINC001243590995 1102833932 /nfs/dbraw/zinc/83/39/32/1102833932.db2.gz PSSVJRZCTDEGGW-UHFFFAOYSA-N 0 1 307.357 3.220 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC001202123203 1102843051 /nfs/dbraw/zinc/84/30/51/1102843051.db2.gz ONYRMQQAFUNEBL-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N1CCC(NCc2ncc(C(C)C)o2)CC1 ZINC001202260324 1102901898 /nfs/dbraw/zinc/90/18/98/1102901898.db2.gz ANXQOAMOXUESSQ-UHFFFAOYSA-N 0 1 319.449 3.235 20 30 DGEDMN CN1CCN(c2cccc(-c3cccc4[nH]c(C#N)cc43)c2)CC1 ZINC001243670691 1102906617 /nfs/dbraw/zinc/90/66/17/1102906617.db2.gz PXPIBBYREBDXQO-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN Cc1cc(C(=O)N(C)C)ccc1-c1nccc2[nH]cc(C#N)c21 ZINC001243679227 1102910529 /nfs/dbraw/zinc/91/05/29/1102910529.db2.gz CYPDWZIQTPCCMM-UHFFFAOYSA-N 0 1 304.353 3.112 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3ccc(OC)cc3C#N)cnc2[nH]1 ZINC001243731292 1102947241 /nfs/dbraw/zinc/94/72/41/1102947241.db2.gz SAFPTIKKUYDCSX-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C#CCOc1ccc(CNCc2cc(F)ccc2N(C)C)cc1 ZINC001202465670 1103000731 /nfs/dbraw/zinc/00/07/31/1103000731.db2.gz GEYZASSCWUIZBV-UHFFFAOYSA-N 0 1 312.388 3.194 20 30 DGEDMN N#C[C@H]1CC=C(Nc2c(Cl)nc(Cl)cc2C(N)=O)CC1 ZINC001212857308 1103073396 /nfs/dbraw/zinc/07/33/96/1103073396.db2.gz FWZBTOJIZGPFHC-ZETCQYMHSA-N 0 1 311.172 3.107 20 30 DGEDMN CCOC(=O)c1cc(C)nc(-c2ccc3[nH]c(C)nc3c2)c1C#N ZINC001243964173 1103086878 /nfs/dbraw/zinc/08/68/78/1103086878.db2.gz GDPFMHDNIBZETQ-UHFFFAOYSA-N 0 1 320.352 3.290 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)C1CCCCC1)C2 ZINC001111281187 1103171341 /nfs/dbraw/zinc/17/13/41/1103171341.db2.gz HXDXBWADJKSZML-KURKYZTESA-N 0 1 316.489 3.338 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@@H](C)SC ZINC001489032192 1103253557 /nfs/dbraw/zinc/25/35/57/1103253557.db2.gz ZBJPLOSPUASMIO-BNOWGMLFSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cccc(F)c2F)C[C@@H]1C ZINC001278377370 1103235529 /nfs/dbraw/zinc/23/55/29/1103235529.db2.gz FEDGTJJVXPPPLO-DZGCQCFKSA-N 0 1 322.399 3.400 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(CC(C)C)CC(C)C)[C@H]1CC ZINC001489147406 1103301536 /nfs/dbraw/zinc/30/15/36/1103301536.db2.gz LWZNZPQETIHRLF-ZWKOTPCHSA-N 0 1 306.494 3.297 20 30 DGEDMN CCCCCC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001489247509 1103319220 /nfs/dbraw/zinc/31/92/20/1103319220.db2.gz MDFWXDBGKIVVTC-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001326500428 1103343448 /nfs/dbraw/zinc/34/34/48/1103343448.db2.gz WVINPTQDWBQIPD-JYJNAYRXSA-N 0 1 319.449 3.275 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](c2ccccc2)C(C)C)[C@H]1C ZINC001489293178 1103343542 /nfs/dbraw/zinc/34/35/42/1103343542.db2.gz LVFIZMLODGHWDU-NZSAHSFTSA-N 0 1 312.457 3.029 20 30 DGEDMN C[C@@H](O)[C@H](NC1CC(C#N)(c2ccccc2)C1)c1ccccc1F ZINC001326519594 1103352404 /nfs/dbraw/zinc/35/24/04/1103352404.db2.gz SGSJMLUVKHRYBD-SAOWJOCJSA-N 0 1 324.399 3.461 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc2[nH]ccc2c1 ZINC001489327698 1103361060 /nfs/dbraw/zinc/36/10/60/1103361060.db2.gz DMBWOQKFHOUDIC-SFHVURJKSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCC[C@@H](C(=O)NOC(C)(C)C(=O)OCC)c1ccccc1 ZINC001326576338 1103371566 /nfs/dbraw/zinc/37/15/66/1103371566.db2.gz MEJQAXMZWMMQOG-OAHLLOKOSA-N 0 1 319.401 3.126 20 30 DGEDMN C#Cc1ccc(CNCc2cc([N+](=O)[O-])ccc2OCC)cc1 ZINC001202474539 1103388507 /nfs/dbraw/zinc/38/85/07/1103388507.db2.gz FHWGXKMOFAZCRI-UHFFFAOYSA-N 0 1 310.353 3.265 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CCC3(C)CC3)cccc2C1 ZINC001326674516 1103404664 /nfs/dbraw/zinc/40/46/64/1103404664.db2.gz KKZHHVSZKDEDEO-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3cccc(Cl)c3)C[C@H]21 ZINC001114754884 1103429481 /nfs/dbraw/zinc/42/94/81/1103429481.db2.gz JWAXJHJPOZZJOO-VQFNDLOPSA-N 0 1 318.848 3.243 20 30 DGEDMN COCOc1cnc(-c2ccc(C)c(C#N)c2)cc1/C=C/N(C)C ZINC001244480002 1103471156 /nfs/dbraw/zinc/47/11/56/1103471156.db2.gz LSJLOEYRIAZLCD-BQYQJAHWSA-N 0 1 323.396 3.444 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001327145678 1103549336 /nfs/dbraw/zinc/54/93/36/1103549336.db2.gz FFMJJYVHMLGZHH-RHSMWYFYSA-N 0 1 321.490 3.216 20 30 DGEDMN CCCOc1cc(-c2cc3[nH]cnc3c(C#N)n2)c(Cl)cn1 ZINC001244605002 1103556705 /nfs/dbraw/zinc/55/67/05/1103556705.db2.gz GGXILYQGTRBLCL-UHFFFAOYSA-N 0 1 313.748 3.334 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001327154863 1103557369 /nfs/dbraw/zinc/55/73/69/1103557369.db2.gz ANZRWTXCLOIOJW-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)C1CCCC1 ZINC001490355867 1103596481 /nfs/dbraw/zinc/59/64/81/1103596481.db2.gz YPTOMQJRSRFWKD-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CC[N@H+](C)CCCN(C)C(=O)C[C@@H](c1ccccc1)C(C)C ZINC001327276922 1103610711 /nfs/dbraw/zinc/61/07/11/1103610711.db2.gz IWUZCTDBSWSVFB-LJQANCHMSA-N 0 1 314.473 3.230 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)[C@@H](C)CCCC)O2 ZINC001327348802 1103627834 /nfs/dbraw/zinc/62/78/34/1103627834.db2.gz DLEYTHBAPKCCIJ-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)CC1CCCCC1 ZINC001114922838 1103637944 /nfs/dbraw/zinc/63/79/44/1103637944.db2.gz YNFUBQDUXMKHAW-YRXWBPOGSA-N 0 1 316.489 3.053 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001490538457 1103640295 /nfs/dbraw/zinc/64/02/95/1103640295.db2.gz SNBMZZSMHLYALB-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001490538455 1103640850 /nfs/dbraw/zinc/64/08/50/1103640850.db2.gz SNBMZZSMHLYALB-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001490547900 1103644781 /nfs/dbraw/zinc/64/47/81/1103644781.db2.gz JQJAAFRCRCOPTJ-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@@]2(C)C(C)C)CC1 ZINC001490622509 1103683540 /nfs/dbraw/zinc/68/35/40/1103683540.db2.gz UWVDVZKKPXGKQW-ICSRJNTNSA-N 0 1 318.505 3.395 20 30 DGEDMN OCc1cc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)ccc1O ZINC001244777854 1103687625 /nfs/dbraw/zinc/68/76/25/1103687625.db2.gz YMMRYEVITYBGBQ-FBCYGCLPSA-N 0 1 311.259 3.378 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccoc1CCC)C1CC1 ZINC001490727967 1103704826 /nfs/dbraw/zinc/70/48/26/1103704826.db2.gz HJSTXQNWEMQESM-AWEZNQCLSA-N 0 1 310.825 3.083 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)CCc1ccc(C)cc1)C1CC1 ZINC001490685914 1103705280 /nfs/dbraw/zinc/70/52/80/1103705280.db2.gz JOUSAYJJKYNICD-QGZVFWFLSA-N 0 1 320.864 3.165 20 30 DGEDMN C=CCOc1ccccc1CN1CCC[C@@H](CC(=O)OC)C1 ZINC001139030094 1103705269 /nfs/dbraw/zinc/70/52/69/1103705269.db2.gz GKCPVRNRZWPNHJ-HNNXBMFYSA-N 0 1 303.402 3.027 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)c(F)c1F)C2 ZINC001095942065 1103707481 /nfs/dbraw/zinc/70/74/81/1103707481.db2.gz LQWNHSWXUPTADA-SWHYSGLUSA-N 0 1 324.346 3.015 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@](C)(C=C)CC)cc2C1 ZINC001327694801 1103709300 /nfs/dbraw/zinc/70/93/00/1103709300.db2.gz CQZKGYFFRPTGNW-HXUWFJFHSA-N 0 1 310.441 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@H](C)c1ccccc1)C1CC1 ZINC001490738006 1103713160 /nfs/dbraw/zinc/71/31/60/1103713160.db2.gz MDHCIEHLSWJNPM-GUYCJALGSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)C)cn1)C2 ZINC001096014025 1103728331 /nfs/dbraw/zinc/72/83/31/1103728331.db2.gz WVSZIGNOGYKHJF-NJAFHUGGSA-N 0 1 313.445 3.116 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NCc2c(F)cccc2Cl)C1 ZINC001327828979 1103734944 /nfs/dbraw/zinc/73/49/44/1103734944.db2.gz NQFKOARUTZUACC-WBMJQRKESA-N 0 1 324.827 3.382 20 30 DGEDMN CN1CCC(N=Nc2ncnc3sccc32)c2ccccc21 ZINC001327844250 1103740879 /nfs/dbraw/zinc/74/08/79/1103740879.db2.gz XMYNZDLEYCXQGL-UHFFFAOYSA-N 0 1 309.398 3.347 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001490791670 1103746197 /nfs/dbraw/zinc/74/61/97/1103746197.db2.gz APTKIVUFKQAZET-HDICACEKSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(F)F)cc1)C2 ZINC001096181724 1103779442 /nfs/dbraw/zinc/77/94/42/1103779442.db2.gz TWOHYSFKVFLAIJ-ILXRZTDVSA-N 0 1 306.356 3.145 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(c3ccccc3)CC1(C)C)C2 ZINC001096224746 1103788034 /nfs/dbraw/zinc/78/80/34/1103788034.db2.gz DPBLEFILMPJUFX-WKRCXCSHSA-N 0 1 324.468 3.262 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\Cc1ccccc1)C2 ZINC001110688220 1103790135 /nfs/dbraw/zinc/79/01/35/1103790135.db2.gz CKIBVZDWVCKVPB-RTUSNTFBSA-N 0 1 310.441 3.083 20 30 DGEDMN C=CCOC[C@@H](O)CN[C@@H](C)c1c(Cl)cccc1Cl ZINC001252488238 1103808451 /nfs/dbraw/zinc/80/84/51/1103808451.db2.gz IVHZLBVUHGZSRZ-QWRGUYRKSA-N 0 1 304.217 3.208 20 30 DGEDMN C=C[C@](C)(O)CN1Cc2ccc(Br)cc2C[C@@H]1C ZINC001252562822 1103842842 /nfs/dbraw/zinc/84/28/42/1103842842.db2.gz YPLFTQAOVNFTGJ-NHYWBVRUSA-N 0 1 310.235 3.133 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(cccc3C)o1)C2 ZINC001096635891 1103892012 /nfs/dbraw/zinc/89/20/12/1103892012.db2.gz WUKKWPBKHVAHAD-PVAVHDDUSA-N 0 1 322.408 3.100 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)c1ccn(-c2ccncc2)n1 ZINC001328373263 1103923519 /nfs/dbraw/zinc/92/35/19/1103923519.db2.gz IXODPUJBGHLXJS-HOCLYGCPSA-N 0 1 310.401 3.132 20 30 DGEDMN CC(C)n1cc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001137082503 1103965041 /nfs/dbraw/zinc/96/50/41/1103965041.db2.gz CERHJQXLNNZDBA-LJQANCHMSA-N 0 1 324.428 3.379 20 30 DGEDMN CC(C)n1cc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001137082502 1103965088 /nfs/dbraw/zinc/96/50/88/1103965088.db2.gz CERHJQXLNNZDBA-IBGZPJMESA-N 0 1 324.428 3.379 20 30 DGEDMN CN(CCC(=O)c1cccs1)Cc1ccc(OCC#N)cc1 ZINC001137121375 1103995423 /nfs/dbraw/zinc/99/54/23/1103995423.db2.gz HZDXESPIQPWWNH-UHFFFAOYSA-N 0 1 314.410 3.355 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(C)CCCCC1 ZINC001328692864 1104023152 /nfs/dbraw/zinc/02/31/52/1104023152.db2.gz BQMHFOLBFIFFMV-RTBURBONSA-N 0 1 324.468 3.352 20 30 DGEDMN N#Cc1cnc(C2=CC[C@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245417411 1104037847 /nfs/dbraw/zinc/03/78/47/1104037847.db2.gz DVUPZHZHTOEQPE-KRWDZBQOSA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1cc2ccccc2nc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245419344 1104038109 /nfs/dbraw/zinc/03/81/09/1104038109.db2.gz OEWSTNVMWVAPPC-SFHVURJKSA-N 0 1 319.408 3.375 20 30 DGEDMN N#CCc1ccc(F)cc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245424826 1104045501 /nfs/dbraw/zinc/04/55/01/1104045501.db2.gz OBSXUBVWXXOSNB-QGZVFWFLSA-N 0 1 300.377 3.160 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(CC(C)C)s1 ZINC001328732500 1104045573 /nfs/dbraw/zinc/04/55/73/1104045573.db2.gz GPTHCPVUJFBFQJ-HNNXBMFYSA-N 0 1 318.486 3.116 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1ccccc1 ZINC001328753782 1104057121 /nfs/dbraw/zinc/05/71/21/1104057121.db2.gz VLXAUTCTSRXXSM-IBGZPJMESA-N 0 1 312.457 3.303 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2Cc2ccc(C#N)c(F)c2)n1 ZINC001328774999 1104065016 /nfs/dbraw/zinc/06/50/16/1104065016.db2.gz BJTQRJSKGOYAQK-KRWDZBQOSA-N 0 1 324.403 3.477 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001328797050 1104076045 /nfs/dbraw/zinc/07/60/45/1104076045.db2.gz LEUIXTLEPFAREM-IEBWSBKVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1ncc(C)s1 ZINC001329048052 1104135925 /nfs/dbraw/zinc/13/59/25/1104135925.db2.gz LTPBMCGWOCFPGN-QWHCGFSZSA-N 0 1 309.479 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001329103665 1104153850 /nfs/dbraw/zinc/15/38/50/1104153850.db2.gz NCEZWEVGUPVVKO-NWDGAFQWSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(C)Cc1ccccc1 ZINC001329168051 1104177191 /nfs/dbraw/zinc/17/71/91/1104177191.db2.gz MFNRRIIVMIVCIW-LSDHHAIUSA-N 0 1 322.880 3.491 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c2ccc(C)cc21 ZINC001329201806 1104187002 /nfs/dbraw/zinc/18/70/02/1104187002.db2.gz FYOQTWMMRGGKHA-QWHCGFSZSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cnccc2C)C1 ZINC001278419552 1104285533 /nfs/dbraw/zinc/28/55/33/1104285533.db2.gz BCQFNZHHRWGLMV-QFBILLFUSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1c(Cl)oc2ccccc21 ZINC001329514902 1104294786 /nfs/dbraw/zinc/29/47/86/1104294786.db2.gz IMECZVPRQISMEO-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1sccc1C)C2 ZINC001095407204 1104305147 /nfs/dbraw/zinc/30/51/47/1104305147.db2.gz MVSWCOXDLKZZQX-SUJAAXHWSA-N 0 1 316.470 3.068 20 30 DGEDMN CC(=O)Nc1cccc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)c1 ZINC001245933030 1104320068 /nfs/dbraw/zinc/32/00/68/1104320068.db2.gz OKCWKBVFTTUYMJ-UHFFFAOYSA-N 0 1 318.336 3.075 20 30 DGEDMN CCCCCCCC[C@H](O)CN1CC[C@](N)(C(F)(F)F)C1 ZINC001246024900 1104354973 /nfs/dbraw/zinc/35/49/73/1104354973.db2.gz BEFINHOTVUEFDI-UONOGXRCSA-N 0 1 310.404 3.063 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cncs2)CC1(C)C ZINC001274447835 1104388176 /nfs/dbraw/zinc/38/81/76/1104388176.db2.gz ZANPHJJVBRHGNN-HNNXBMFYSA-N 0 1 321.490 3.216 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001274457695 1104390604 /nfs/dbraw/zinc/39/06/04/1104390604.db2.gz QFVPBFGGPCIAHE-JTHGQSKGSA-N 0 1 312.457 3.126 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001274457696 1104391557 /nfs/dbraw/zinc/39/15/57/1104391557.db2.gz QFVPBFGGPCIAHE-LKGOPFMKSA-N 0 1 312.457 3.126 20 30 DGEDMN CC(C)CC(=O)N[C@@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001278431882 1104394477 /nfs/dbraw/zinc/39/44/77/1104394477.db2.gz SKEDWMULUUSQFF-PZJWPPBQSA-N 0 1 324.468 3.197 20 30 DGEDMN CCCC[C@H](CNCc1ccccc1C#N)NC(=O)C1(C)CC1 ZINC001329875079 1104404242 /nfs/dbraw/zinc/40/42/42/1104404242.db2.gz DXICWZZWVNWUEA-QGZVFWFLSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1cc(Cl)cs1)CC2 ZINC001274531367 1104407232 /nfs/dbraw/zinc/40/72/32/1104407232.db2.gz LBAAUTUEPJSQCP-AWEZNQCLSA-N 0 1 324.877 3.306 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@](O)(c3ccccc3)C2)ccc1F ZINC001329921800 1104424641 /nfs/dbraw/zinc/42/46/41/1104424641.db2.gz YHXVJLZRLLYYFC-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cnc(C)o2)[C@H](C)C1 ZINC001274642803 1104432241 /nfs/dbraw/zinc/43/22/41/1104432241.db2.gz FPWDNOGBXSWGKD-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@@H](C)c2cccc(OC)c2)C1 ZINC001329969875 1104441510 /nfs/dbraw/zinc/44/15/10/1104441510.db2.gz DLGFKWGWJABFJA-CABCVRRESA-N 0 1 316.445 3.159 20 30 DGEDMN CC(C)c1ccc(CN2CC([C@@H](C)NC(=O)C#CC3CC3)C2)cc1 ZINC001329997954 1104449868 /nfs/dbraw/zinc/44/98/68/1104449868.db2.gz PMUVQBBEJVYJJC-MRXNPFEDSA-N 0 1 324.468 3.160 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001330072237 1104471362 /nfs/dbraw/zinc/47/13/62/1104471362.db2.gz SBVIFBSFPXAXJB-MNOVXSKESA-N 0 1 312.866 3.128 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001330074074 1104472545 /nfs/dbraw/zinc/47/25/45/1104472545.db2.gz KAWNKZRVSRQALS-INIZCTEOSA-N 0 1 312.457 3.121 20 30 DGEDMN Cc1nc(N[C@H]2CCN(C)[C@@H]2c2ccc(F)cc2)ccc1C#N ZINC001330155355 1104489957 /nfs/dbraw/zinc/48/99/57/1104489957.db2.gz XZOZHCDUHHVDAB-FUHWJXTLSA-N 0 1 310.376 3.258 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC(C)(C)C2CCCCC2)C(C)(C)C1 ZINC001330244958 1104518018 /nfs/dbraw/zinc/51/80/18/1104518018.db2.gz PLGGTCUEQJYJMV-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2cccc(C)c2)CCC1 ZINC001274870874 1104524847 /nfs/dbraw/zinc/52/48/47/1104524847.db2.gz ORZNQEFEGUNHCO-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN CCc1ccc(-c2n[nH]c(SCCOCCC#N)n2)cc1 ZINC001330311276 1104537483 /nfs/dbraw/zinc/53/74/83/1104537483.db2.gz XHLLWFQJCKCTPO-UHFFFAOYSA-N 0 1 302.403 3.056 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001274967357 1104561400 /nfs/dbraw/zinc/56/14/00/1104561400.db2.gz DMPRSWOFTHVLNY-UKRRQHHQSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)c(CC)s1 ZINC001275012415 1104578725 /nfs/dbraw/zinc/57/87/25/1104578725.db2.gz BYQIRMPJCIGLSO-LLVKDONJSA-N 0 1 300.855 3.079 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)c1cccc(OC)c1 ZINC001275045541 1104590608 /nfs/dbraw/zinc/59/06/08/1104590608.db2.gz JXKKMHGRJDGASH-TZMCWYRMSA-N 0 1 324.852 3.036 20 30 DGEDMN CN1CC2(CCN2Cc2ccc(-c3ccccc3C#N)cc2)C1 ZINC001275047686 1104592639 /nfs/dbraw/zinc/59/26/39/1104592639.db2.gz ZRGGPXBLQCOSNK-UHFFFAOYSA-N 0 1 303.409 3.115 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1nc(C(C)(C)C)cs1 ZINC001275115640 1104632028 /nfs/dbraw/zinc/63/20/28/1104632028.db2.gz PYJRMTQKWFEYED-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN CCCCCCCCCN1CC2(CCN2COCCOC)C1 ZINC001275132498 1104642537 /nfs/dbraw/zinc/64/25/37/1104642537.db2.gz UVROWEOBIYLWOA-UHFFFAOYSA-N 0 1 312.498 3.118 20 30 DGEDMN C[C@@H](CNCc1ccc(Cl)cc1F)NC(=O)C#CC(C)(C)C ZINC001275150269 1104652125 /nfs/dbraw/zinc/65/21/25/1104652125.db2.gz ZSSZSMWWSFTTSM-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cccc(Cl)c1F ZINC001275168082 1104662997 /nfs/dbraw/zinc/66/29/97/1104662997.db2.gz JQARSLJFBURUCU-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001330884079 1104669746 /nfs/dbraw/zinc/66/97/46/1104669746.db2.gz SYGPPELSFOSDFF-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(C)CCC(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001275237262 1104687112 /nfs/dbraw/zinc/68/71/12/1104687112.db2.gz FXILQBIGVGCIJM-GHXNOFRVSA-N 0 1 305.249 3.146 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001275405095 1104749008 /nfs/dbraw/zinc/74/90/08/1104749008.db2.gz ULELSKYQEXAEKQ-GJZGRUSLSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(F)ccc1Cl ZINC001275493841 1104778271 /nfs/dbraw/zinc/77/82/71/1104778271.db2.gz VBYUFBVXOJBTCI-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)CC(CC)CC ZINC001275529681 1104795392 /nfs/dbraw/zinc/79/53/92/1104795392.db2.gz RCEMPMDFTVTXJY-NWDGAFQWSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[N@H+](C)Cc1cc(C)ccc1OC ZINC001275567894 1104813143 /nfs/dbraw/zinc/81/31/43/1104813143.db2.gz XSSAUBVXOCSDOM-INIZCTEOSA-N 0 1 318.461 3.296 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1cc(C)ccc1OC ZINC001275567894 1104813153 /nfs/dbraw/zinc/81/31/53/1104813153.db2.gz XSSAUBVXOCSDOM-INIZCTEOSA-N 0 1 318.461 3.296 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN(C)Cc2csc(C)c2)C1 ZINC001275649480 1104846824 /nfs/dbraw/zinc/84/68/24/1104846824.db2.gz VBUMVRVAXXYJRM-ZDUSSCGKSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@@H]1CNCc1nocc1C ZINC001275768721 1104900959 /nfs/dbraw/zinc/90/09/59/1104900959.db2.gz QBRLCFHDYNUOHR-CVEARBPZSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC[C@@H](C)c1nc(-c2ccccc2N2CCN(C)CC2)no1 ZINC001247519610 1104917940 /nfs/dbraw/zinc/91/79/40/1104917940.db2.gz LZYJSNOPMBYJHZ-CQSZACIVSA-N 0 1 312.417 3.168 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001275818600 1104922181 /nfs/dbraw/zinc/92/21/81/1104922181.db2.gz KHWDHNQVENKODT-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(CCC)c(C)s1 ZINC001275993037 1104981055 /nfs/dbraw/zinc/98/10/55/1104981055.db2.gz DIHBVHBNOWILDR-CYBMUJFWSA-N 0 1 306.475 3.082 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C)c1F)C2 ZINC001095452287 1105012721 /nfs/dbraw/zinc/01/27/21/1105012721.db2.gz SPHUJBAHSIJMIZ-KBMXLJTQSA-N 0 1 302.393 3.045 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sc(C)cc1C)C2 ZINC001095473829 1105026053 /nfs/dbraw/zinc/02/60/53/1105026053.db2.gz SDDLNXRNNPKBKE-ILXRZTDVSA-N 0 1 304.459 3.276 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCNCc1nc(C)sc1C ZINC001276208485 1105048146 /nfs/dbraw/zinc/04/81/46/1105048146.db2.gz FGBDBJFKYIURKY-QGZVFWFLSA-N 0 1 323.506 3.300 20 30 DGEDMN CN(Cc1nc(-c2cc(C#N)ccc2F)no1)C1CCCC1 ZINC001248139780 1105052313 /nfs/dbraw/zinc/05/23/13/1105052313.db2.gz BGTURCRCZXOOLK-UHFFFAOYSA-N 0 1 300.337 3.122 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(o1)CCCC3)C2 ZINC001095518177 1105060532 /nfs/dbraw/zinc/06/05/32/1105060532.db2.gz YGYGYJAPGRYODZ-PMPSAXMXSA-N 0 1 314.429 3.070 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)noc1C(C)C)C2 ZINC001095568628 1105083601 /nfs/dbraw/zinc/08/36/01/1105083601.db2.gz NQOWMVUVWMPRTI-ILXRZTDVSA-N 0 1 317.433 3.018 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc4cccnc4[nH]3)sc2C1 ZINC001248698848 1105147406 /nfs/dbraw/zinc/14/74/06/1105147406.db2.gz HTZMXDCXECWJBZ-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN CCc1cc(C#N)ccc1CN(C)Cc1ccc2c(c1)OCO2 ZINC001248751573 1105155478 /nfs/dbraw/zinc/15/54/78/1105155478.db2.gz BCGGZXXJEUBCGS-UHFFFAOYSA-N 0 1 308.381 3.481 20 30 DGEDMN C#CC[N@H+](C)Cc1ccc2c(c1)CN(C(=O)OC(C)(C)C)CC2 ZINC001248784520 1105161465 /nfs/dbraw/zinc/16/14/65/1105161465.db2.gz MKJKVDDCPMJAJF-UHFFFAOYSA-N 0 1 314.429 3.045 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)CN(C(=O)OC(C)(C)C)CC2 ZINC001248784520 1105161469 /nfs/dbraw/zinc/16/14/69/1105161469.db2.gz MKJKVDDCPMJAJF-UHFFFAOYSA-N 0 1 314.429 3.045 20 30 DGEDMN Cc1ccc2nc(CN3CCc4ccc(C#N)cc4C3)[nH]c2c1 ZINC001248840501 1105175995 /nfs/dbraw/zinc/17/59/95/1105175995.db2.gz YNFFRJVCMVRYRK-UHFFFAOYSA-N 0 1 302.381 3.301 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(F)c(F)cc3Cl)no2)C1 ZINC001249231265 1105252355 /nfs/dbraw/zinc/25/23/55/1105252355.db2.gz ALXORFAWVIVTMM-AWEZNQCLSA-N 0 1 311.719 3.433 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc4c(c3)C(=O)CCC4)no2)C1 ZINC001249232006 1105252416 /nfs/dbraw/zinc/25/24/16/1105252416.db2.gz UVAOHXNTBTXBIL-SFHVURJKSA-N 0 1 309.369 3.020 20 30 DGEDMN COc1ccc(Cn2cc(CN3CCC(CC#N)CC3)cn2)cc1 ZINC001249344587 1105271480 /nfs/dbraw/zinc/27/14/80/1105271480.db2.gz HAEGFKZMNHWRSZ-UHFFFAOYSA-N 0 1 324.428 3.066 20 30 DGEDMN COc1ccc2nc(CN3CCc4ccc(C#N)cc4C3)[nH]c2c1 ZINC001249411673 1105281528 /nfs/dbraw/zinc/28/15/28/1105281528.db2.gz FRTCVKTVEFLVDI-UHFFFAOYSA-N 0 1 318.380 3.001 20 30 DGEDMN CC(C)c1nc(CCN(C)Cc2cc3ccc(C#N)cc3[nH]2)no1 ZINC001249427258 1105285728 /nfs/dbraw/zinc/28/57/28/1105285728.db2.gz WTBUMZBHQANDLU-UHFFFAOYSA-N 0 1 323.400 3.220 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249518956 1105302530 /nfs/dbraw/zinc/30/25/30/1105302530.db2.gz BMRHTHRUPPTLGM-LLVKDONJSA-N 0 1 319.206 3.203 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3c1CCCC3)C2 ZINC001097127989 1105350562 /nfs/dbraw/zinc/35/05/62/1105350562.db2.gz MCZACGXTICKFIF-LZQZEXGQSA-N 0 1 310.441 3.087 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3c(C)c(C)[nH]c31)C2 ZINC001097359912 1105383297 /nfs/dbraw/zinc/38/32/97/1105383297.db2.gz YROSORKTWCXFIV-OLMNPRSZSA-N 0 1 323.440 3.306 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)ccc1CC)C2 ZINC001097431795 1105393465 /nfs/dbraw/zinc/39/34/65/1105393465.db2.gz OUYBBIFODDXQDO-NXHRZFHOSA-N 0 1 316.420 3.299 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(cc(C)cc3C)[nH]1)C2 ZINC001097593600 1105410827 /nfs/dbraw/zinc/41/08/27/1105410827.db2.gz LTSQIJOVKBOSBF-BJZITVGISA-N 0 1 323.440 3.306 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2ccc(Br)c(Cl)n2)C1 ZINC001254641971 1105460810 /nfs/dbraw/zinc/46/08/10/1105460810.db2.gz BYZHHINFUDBNMV-DTWKUNHWSA-N 0 1 314.614 3.279 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)C[C@@H]1c1ccccc1)C2 ZINC001098013493 1105502490 /nfs/dbraw/zinc/50/24/90/1105502490.db2.gz PXAQZAPCPVIXSN-KLBVCGFUSA-N 0 1 310.441 3.088 20 30 DGEDMN Cc1cc(N2CCOCC2)cc2nc(-c3ccc(C#N)cc3)[nH]c21 ZINC001250468104 1105519312 /nfs/dbraw/zinc/51/93/12/1105519312.db2.gz XIUGPGDXNXHWBT-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN C#C[C@@H](NC[C@@H]1CCC=CO1)c1ccc(Br)cc1 ZINC001462274007 1105534653 /nfs/dbraw/zinc/53/46/53/1105534653.db2.gz ABLLKMCVXMGNHP-LSDHHAIUSA-N 0 1 306.203 3.406 20 30 DGEDMN C#C[C@H](NC[C@@H]1CCC=CO1)c1ccc(Br)cc1 ZINC001462273949 1105534932 /nfs/dbraw/zinc/53/49/32/1105534932.db2.gz ABLLKMCVXMGNHP-GJZGRUSLSA-N 0 1 306.203 3.406 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(F)F)cc1)C2 ZINC001098046507 1105536415 /nfs/dbraw/zinc/53/64/15/1105536415.db2.gz TWOHYSFKVFLAIJ-KFWWJZLASA-N 0 1 306.356 3.145 20 30 DGEDMN CC(=O)Nc1ccc2nc(-c3ccc(OCC#N)cc3)[nH]c2c1 ZINC001250644991 1105554070 /nfs/dbraw/zinc/55/40/70/1105554070.db2.gz RZXNPNHUHYAURL-UHFFFAOYSA-N 0 1 306.325 3.091 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(F)F)o1)C2 ZINC001098298040 1105566751 /nfs/dbraw/zinc/56/67/51/1105566751.db2.gz PQBUYIYIPFZWNV-UTUOFQBUSA-N 0 1 310.344 3.128 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(c3ccccc3C)CCC1)C2 ZINC001098293493 1105566826 /nfs/dbraw/zinc/56/68/26/1105566826.db2.gz NWZYYERRVVORSY-QRQLOZEOSA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3ccccc3o1)C2 ZINC001098329067 1105569273 /nfs/dbraw/zinc/56/92/73/1105569273.db2.gz NZSORGKZDKXOOL-OAGGEKHMSA-N 0 1 310.397 3.344 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccccc2)CC1 ZINC001278594207 1105569980 /nfs/dbraw/zinc/56/99/80/1105569980.db2.gz SEGVGBZCLPYOPC-UHFFFAOYSA-N 0 1 306.837 3.271 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1cccc(Cl)c1)C2 ZINC001098443695 1105576360 /nfs/dbraw/zinc/57/63/60/1105576360.db2.gz XIBMLFCXBVWFQM-DXEWXGHRSA-N 0 1 318.848 3.351 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c3c1cccc3CC)C2 ZINC001098464506 1105577948 /nfs/dbraw/zinc/57/79/48/1105577948.db2.gz KMVRTMPZLYEYPK-OLMNPRSZSA-N 0 1 323.440 3.251 20 30 DGEDMN CC(=O)Nc1ccc2nc(-c3cc(F)c(C#N)c(F)c3)[nH]c2c1 ZINC001250903894 1105601467 /nfs/dbraw/zinc/60/14/67/1105601467.db2.gz BZFJJTUHQIVMTK-UHFFFAOYSA-N 0 1 312.279 3.338 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2cccs2)c(F)c1Cl ZINC001250926231 1105605160 /nfs/dbraw/zinc/60/51/60/1105605160.db2.gz RDQMKWUCSVXVLG-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2sccc2Cl)C1 ZINC001098849707 1105608731 /nfs/dbraw/zinc/60/87/31/1105608731.db2.gz HLDIAMIRNQATEM-LRDDRELGSA-N 0 1 322.861 3.009 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC(C)(C)CN1C/C=C\Cl ZINC001099042741 1105634111 /nfs/dbraw/zinc/63/41/11/1105634111.db2.gz AVKIMHQRFXCMOB-QARUFBMTSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099170992 1105652788 /nfs/dbraw/zinc/65/27/88/1105652788.db2.gz MQHFUNOMKFALOJ-VHEJECIDSA-N 0 1 302.462 3.139 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(C(C)C)s2)C1 ZINC001099178225 1105653545 /nfs/dbraw/zinc/65/35/45/1105653545.db2.gz FVOGINHMKLZGFJ-KSSFIOAISA-N 0 1 316.470 3.089 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099188471 1105655452 /nfs/dbraw/zinc/65/54/52/1105655452.db2.gz GVGVDVSGDHPRDV-DLBZAZTESA-N 0 1 304.478 3.219 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099215200 1105658383 /nfs/dbraw/zinc/65/83/83/1105658383.db2.gz PZQWYOVLSUQXBI-QFBILLFUSA-N 0 1 304.478 3.219 20 30 DGEDMN CC(=O)Nc1ccc2nc(-c3c(F)cc(C#N)cc3F)[nH]c2c1 ZINC001251438320 1105659389 /nfs/dbraw/zinc/65/93/89/1105659389.db2.gz OIAKQWRMPXFMSB-UHFFFAOYSA-N 0 1 312.279 3.338 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C(C)C)CC1 ZINC001099228960 1105659441 /nfs/dbraw/zinc/65/94/41/1105659441.db2.gz FEPRNFFAZNIPIE-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)CC1CCCC1 ZINC001099257427 1105661697 /nfs/dbraw/zinc/66/16/97/1105661697.db2.gz FMLXONRJLXPGAG-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)c1ccco1 ZINC001099310385 1105667553 /nfs/dbraw/zinc/66/75/53/1105667553.db2.gz KQBSZCZZGIMDLF-JKSUJKDBSA-N 0 1 316.445 3.013 20 30 DGEDMN C=CCO[C@H](C)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1CC=C(C)C ZINC001099360594 1105673451 /nfs/dbraw/zinc/67/34/51/1105673451.db2.gz YXCXVHBJGMRKRE-SJORKVTESA-N 0 1 322.493 3.151 20 30 DGEDMN N#Cc1ccc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)c(Cl)c1 ZINC001251703207 1105683719 /nfs/dbraw/zinc/68/37/19/1105683719.db2.gz RWFOHRCRWVJZGL-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN CCCCCCCC[C@@H](O)CNc1ncnc2[nH]c(C)nc21 ZINC001252123347 1105744126 /nfs/dbraw/zinc/74/41/26/1105744126.db2.gz GJFMBHSCFAUQPG-CYBMUJFWSA-N 0 1 305.426 3.185 20 30 DGEDMN CCCCCCCC[C@@H](O)C[NH2+]CC(=O)c1ccc([O-])cc1 ZINC001252139266 1105749544 /nfs/dbraw/zinc/74/95/44/1105749544.db2.gz LLKMLYHUKIEDLM-QGZVFWFLSA-N 0 1 307.434 3.276 20 30 DGEDMN Cc1cc(C[N@@H+]2CCC[C@@H](CNC(=O)C#CC(C)C)C2)cs1 ZINC001491104500 1105753029 /nfs/dbraw/zinc/75/30/29/1105753029.db2.gz JWNXABGNOIPBAL-INIZCTEOSA-N 0 1 318.486 3.044 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)C2(CC(C)C)CCC2)C1 ZINC001491111322 1105754380 /nfs/dbraw/zinc/75/43/80/1105754380.db2.gz NNQSQNBEVOHIAP-KRWDZBQOSA-N 0 1 304.478 3.054 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H](CC)c1ccccc1 ZINC001491229698 1105779301 /nfs/dbraw/zinc/77/93/01/1105779301.db2.gz KAONBXOJXGPXAG-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001491244201 1105786234 /nfs/dbraw/zinc/78/62/34/1105786234.db2.gz FQGQVRIGATXYDS-CAOSSQGBSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)Cc1ccccc1C)C2 ZINC001111478100 1105789500 /nfs/dbraw/zinc/78/95/00/1105789500.db2.gz MEQIBXOPYAOOKT-OWYHZJEWSA-N 0 1 312.457 3.081 20 30 DGEDMN C=C[C@](C)(O)CN[C@H]1CN(C(=O)OC(C)(C)C)c2ccccc21 ZINC001252578422 1105797807 /nfs/dbraw/zinc/79/78/07/1105797807.db2.gz DBDPCAKCQOOLOQ-KSSFIOAISA-N 0 1 318.417 3.009 20 30 DGEDMN C=CCC[C@H](O)CNCc1ccc(F)cc1Br ZINC001252619523 1105805532 /nfs/dbraw/zinc/80/55/32/1105805532.db2.gz FDJRICVYSLYFHX-LBPRGKRZSA-N 0 1 302.187 3.005 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001252876075 1105849830 /nfs/dbraw/zinc/84/98/30/1105849830.db2.gz IHGLHPICPUWKIU-WBVHZDCISA-N 0 1 320.396 3.199 20 30 DGEDMN CCCC[C@H](CC)COC[C@H](O)CNCC1(C#N)CCCC1 ZINC001253016614 1105877153 /nfs/dbraw/zinc/87/71/53/1105877153.db2.gz PVUKCCXZNIEVTC-DLBZAZTESA-N 0 1 310.482 3.254 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCc1nnc2c(C)cccn12 ZINC001253228440 1105905645 /nfs/dbraw/zinc/90/56/45/1105905645.db2.gz ULXUCWZAZHGSEC-MRXNPFEDSA-N 0 1 316.449 3.015 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCc1nnc2c(C)cccn12 ZINC001253228438 1105905674 /nfs/dbraw/zinc/90/56/74/1105905674.db2.gz ULXUCWZAZHGSEC-INIZCTEOSA-N 0 1 316.449 3.015 20 30 DGEDMN C=CCCCCCC[C@H](O)CN1CCc2cnc(OC)cc2C1 ZINC001253241731 1105908808 /nfs/dbraw/zinc/90/88/08/1105908808.db2.gz CLKPEZLEXXWLFA-SFHVURJKSA-N 0 1 318.461 3.336 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001253249087 1105911270 /nfs/dbraw/zinc/91/12/70/1105911270.db2.gz HFRUNYPBYBRJRZ-CQSZACIVSA-N 0 1 309.841 3.328 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001253254539 1105912933 /nfs/dbraw/zinc/91/29/33/1105912933.db2.gz QHIYWKCEPXPOCS-QGZVFWFLSA-N 0 1 321.465 3.241 20 30 DGEDMN Cc1cccc(NC[C@H]2CCCN2Cc2ccccc2C#N)n1 ZINC001253433515 1105938895 /nfs/dbraw/zinc/93/88/95/1105938895.db2.gz JPMYRQWBIKQKIK-GOSISDBHSA-N 0 1 306.413 3.338 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2cc(F)cc(F)c2)C[C@H]1C ZINC001101805068 1105979593 /nfs/dbraw/zinc/97/95/93/1105979593.db2.gz GGUZQXVCMPMQPF-UKRRQHHQSA-N 0 1 322.399 3.115 20 30 DGEDMN N#CCC1CN(C[C@H](O)C(c2ccccc2)c2ccccc2)C1 ZINC001253918056 1106000507 /nfs/dbraw/zinc/00/05/07/1106000507.db2.gz PPMZFPDERMNHPU-IBGZPJMESA-N 0 1 306.409 3.025 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)C(c1ccccc1)c1ccccc1 ZINC001253933579 1106001393 /nfs/dbraw/zinc/00/13/93/1106001393.db2.gz RTFKPROYXZNQGJ-MOPGFXCFSA-N 0 1 308.425 3.461 20 30 DGEDMN C[C@]1(C#N)CCN(C[C@H](O)C(c2ccccc2)c2ccccc2)C1 ZINC001253929934 1106001995 /nfs/dbraw/zinc/00/19/95/1106001995.db2.gz RKTAWXMQPAUPQJ-PZJWPPBQSA-N 0 1 320.436 3.415 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)C(=C)Cl)[C@H](C)C1 ZINC001102002539 1106009012 /nfs/dbraw/zinc/00/90/12/1106009012.db2.gz OZWFPRSZTALMDD-ZWNOBZJWSA-N 0 1 319.276 3.202 20 30 DGEDMN C#C[C@H]1CCCN([C@H]2Cc3ccc(Br)cc3C2)C1 ZINC001254284940 1106049956 /nfs/dbraw/zinc/04/99/56/1106049956.db2.gz QVRZJUYTKHEBDK-LRDDRELGSA-N 0 1 304.231 3.262 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N2CC3(C2)CCCO3)CC1 ZINC001254354361 1106058927 /nfs/dbraw/zinc/05/89/27/1106058927.db2.gz QZCLXRVZDPTWJL-UHFFFAOYSA-N 0 1 314.404 3.394 20 30 DGEDMN C[C@@H]1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)CCC1=O ZINC001254353050 1106059247 /nfs/dbraw/zinc/05/92/47/1106059247.db2.gz OKVCBEUEPKNPRL-ZCGYKAAXSA-N 0 1 314.404 3.441 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)CC(C)C)[C@H](C)C1 ZINC001102485015 1106063773 /nfs/dbraw/zinc/06/37/73/1106063773.db2.gz FWUMBWBQAXVEIQ-GZBFAFLISA-N 0 1 300.874 3.105 20 30 DGEDMN N#C[C@@H]1CC[C@H](N[C@@H]2COc3cc(Br)ccc32)C1 ZINC001254648789 1106121567 /nfs/dbraw/zinc/12/15/67/1106121567.db2.gz OHRCYULNIKBODX-SUZMYJTESA-N 0 1 307.191 3.164 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cccc(CN[C@@H]2CC[C@@H](C#N)C2)n1 ZINC001254655376 1106124374 /nfs/dbraw/zinc/12/43/74/1106124374.db2.gz CYCRHSMUCXFQEC-CHWSQXEVSA-N 0 1 316.405 3.210 20 30 DGEDMN COc1ccc(Cl)cc1N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001254671863 1106129886 /nfs/dbraw/zinc/12/98/86/1106129886.db2.gz VKQPTTLKBQEABV-UKRRQHHQSA-N 0 1 319.836 3.163 20 30 DGEDMN C[C@@](C#N)(N[C@@H]1CC2CCN(CC2)C1)c1ccccc1Cl ZINC001254841211 1106147310 /nfs/dbraw/zinc/14/73/10/1106147310.db2.gz VAXVCLMXVUPBTI-PBHICJAKSA-N 0 1 303.837 3.153 20 30 DGEDMN C=C[C@H](COC)N[C@H](C)c1ccc(F)c(Br)c1 ZINC001255018138 1106166879 /nfs/dbraw/zinc/16/68/79/1106166879.db2.gz BWJDXVICLTWZAB-MWLCHTKSSA-N 0 1 302.187 3.440 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(c2ccc(C(=O)OC)cc2)CC1 ZINC001255173220 1106198741 /nfs/dbraw/zinc/19/87/41/1106198741.db2.gz JNPJUSJXSJZHRB-KRWDZBQOSA-N 0 1 300.402 3.345 20 30 DGEDMN Cn1ccc(NN=Cc2cc(Cl)ccc2Br)n1 ZINC001255671168 1106291133 /nfs/dbraw/zinc/29/11/33/1106291133.db2.gz VRJULYXVNNINGF-UHFFFAOYSA-N 0 1 313.586 3.282 20 30 DGEDMN Cn1ccc(NN=Cc2cn(C)nc2-c2cc3ccccc3o2)n1 ZINC001255670132 1106291227 /nfs/dbraw/zinc/29/12/27/1106291227.db2.gz AQKYUVNBVMQOPZ-UHFFFAOYSA-N 0 1 320.356 3.013 20 30 DGEDMN CCOC(=O)C1(C#N)CCN([C@H](C)Cc2ccc(C)cc2)CC1 ZINC001255797763 1106319536 /nfs/dbraw/zinc/31/95/36/1106319536.db2.gz UKZHSSKZVCOLIW-MRXNPFEDSA-N 0 1 314.429 3.095 20 30 DGEDMN N#CCc1cc(NS(=O)(=O)c2ccccc2Cl)ccc1F ZINC001256332917 1106407675 /nfs/dbraw/zinc/40/76/75/1106407675.db2.gz YWVZDMCWILHREI-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN C[C@@H]1C[C@@H](N2CC[C@@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001256490832 1106430125 /nfs/dbraw/zinc/43/01/25/1106430125.db2.gz URHLLAODFUHCDI-KEYYUXOJSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CC[C@H](CC)NC(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001256504373 1106431411 /nfs/dbraw/zinc/43/14/11/1106431411.db2.gz JVKDDTABWLFOKJ-BBWFWOEESA-N 0 1 319.424 3.225 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](CC)SC)C(C)(C)C ZINC001278951895 1106456856 /nfs/dbraw/zinc/45/68/56/1106456856.db2.gz YYSKBECBJFAYDB-NWDGAFQWSA-N 0 1 306.903 3.001 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)Nc1ccc(CN(C)C)c(F)c1 ZINC001256638228 1106459853 /nfs/dbraw/zinc/45/98/53/1106459853.db2.gz BBZGOBVVKHISSC-UHFFFAOYSA-N 0 1 311.360 3.320 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2c(Cl)cccc2C#N)c1 ZINC001256929154 1106513806 /nfs/dbraw/zinc/51/38/06/1106513806.db2.gz NTQVUFVRCWOVQF-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC12CN(C1CCC(C#N)CC1)C2 ZINC001256963535 1106516743 /nfs/dbraw/zinc/51/67/43/1106516743.db2.gz ILWNBNVZSYMSCU-UHFFFAOYSA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)[C@@H]1C[C@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257199739 1106550325 /nfs/dbraw/zinc/55/03/25/1106550325.db2.gz YCNQWORTCJRAGB-OAGGEKHMSA-N 0 1 321.465 3.256 20 30 DGEDMN C[N@@H+](CCC#N)C1CCC(C2CCC3(CC2)OCCO3)CC1 ZINC001257493575 1106598917 /nfs/dbraw/zinc/59/89/17/1106598917.db2.gz SXDFGZKZDMZBNJ-UHFFFAOYSA-N 0 1 306.450 3.324 20 30 DGEDMN CN(CCC#N)C1CCC(C2CCC3(CC2)OCCO3)CC1 ZINC001257493575 1106598920 /nfs/dbraw/zinc/59/89/20/1106598920.db2.gz SXDFGZKZDMZBNJ-UHFFFAOYSA-N 0 1 306.450 3.324 20 30 DGEDMN C=C1C[C@@H]2CC([NH2+]CC(=O)c3ccc([O-])c(O)c3)C[C@H](C1)C2 ZINC001257887903 1106641096 /nfs/dbraw/zinc/64/10/96/1106641096.db2.gz NVIRTVJHHLPUFO-NNQSOWQGSA-N 0 1 301.386 3.005 20 30 DGEDMN CC1(C(=O)NCCCN(CC#Cc2ccccc2)C2CC2)CCC1 ZINC001316921259 1106767673 /nfs/dbraw/zinc/76/76/73/1106767673.db2.gz NIIYYMQJNJVOJH-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001316978608 1106839999 /nfs/dbraw/zinc/83/99/99/1106839999.db2.gz ANXQBBCADYVQEZ-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2cc(C)c(C)cc2o1 ZINC001320072821 1106842712 /nfs/dbraw/zinc/84/27/12/1106842712.db2.gz MOFVCXHPXCGBGG-UHFFFAOYSA-N 0 1 312.413 3.077 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001316981623 1106844469 /nfs/dbraw/zinc/84/44/69/1106844469.db2.gz URIAXQUVKUSGIO-IBGZPJMESA-N 0 1 323.440 3.017 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(CCc2ccccc2F)C1 ZINC001316984666 1106848168 /nfs/dbraw/zinc/84/81/68/1106848168.db2.gz DDVVDSUMFFMZRK-RDJZCZTQSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2c(C)nn(CC(C)C)c2OCC)C1 ZINC001320087705 1106857931 /nfs/dbraw/zinc/85/79/31/1106857931.db2.gz ZPIAAUQRXFWWJC-INIZCTEOSA-N 0 1 321.465 3.023 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1c(C)cc(F)cc1C)C1CC1 ZINC001317022281 1106910680 /nfs/dbraw/zinc/91/06/80/1106910680.db2.gz PTBQHZXDTYYETJ-OAHLLOKOSA-N 0 1 324.827 3.293 20 30 DGEDMN C=CCC[C@H](C)N1Cc2ncn(C)c2[C@@H](COCC(C)C)C1 ZINC001258726087 1106931919 /nfs/dbraw/zinc/93/19/19/1106931919.db2.gz DUBMWBNFAKROCM-JKSUJKDBSA-N 0 1 305.466 3.347 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@]2(C)C=CCC2)C1 ZINC001317038071 1106934846 /nfs/dbraw/zinc/93/48/46/1106934846.db2.gz FUOJBSRXAIZRON-DOTOQJQBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(-c2ccccc2[N+](=O)[O-])o1 ZINC001320297979 1106950750 /nfs/dbraw/zinc/95/07/50/1106950750.db2.gz CHLGZKXNRUMFAF-LBPRGKRZSA-N 0 1 302.330 3.145 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001317057326 1106956133 /nfs/dbraw/zinc/95/61/33/1106956133.db2.gz UPDFCSWNXPVTQL-NSHDSACASA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1[C@@H](CCC)CCCC ZINC001258760434 1106957243 /nfs/dbraw/zinc/95/72/43/1106957243.db2.gz PQRBTNBQJYTCIX-DOTOQJQBSA-N 0 1 320.481 3.276 20 30 DGEDMN C[C@H](CCNCc1cc(F)ccc1C#N)NC(=O)CC(C)(C)C ZINC001320347984 1106972863 /nfs/dbraw/zinc/97/28/63/1106972863.db2.gz PPDJTZYBBXDMPS-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001317087633 1106982194 /nfs/dbraw/zinc/98/21/94/1106982194.db2.gz NPPLBPUASAQEOA-CKEIUWERSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H]1CCC[C@H](C)C1)CCC2 ZINC001258819632 1107000805 /nfs/dbraw/zinc/00/08/05/1107000805.db2.gz GFQWDYYRGRTXGY-HOTGVXAUSA-N 0 1 303.450 3.370 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001317119547 1107013744 /nfs/dbraw/zinc/01/37/44/1107013744.db2.gz UQEPWCLPSRJWLI-VBQJREDUSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(C(C)(C)C)CC1)c1ccccc1 ZINC001320596921 1107065625 /nfs/dbraw/zinc/06/56/25/1107065625.db2.gz HEYDIMYMRGCLEG-QGZVFWFLSA-N 0 1 300.446 3.289 20 30 DGEDMN CC(C)c1cncc(NS(=O)(=O)c2ccc(F)c(C#N)c2)c1 ZINC001259413694 1107132904 /nfs/dbraw/zinc/13/29/04/1107132904.db2.gz WGZUIZSWZWKVSP-UHFFFAOYSA-N 0 1 319.361 3.017 20 30 DGEDMN N#Cc1cc(S(=O)(=O)Nc2cccc3occc32)ccc1F ZINC001259418976 1107133276 /nfs/dbraw/zinc/13/32/76/1107133276.db2.gz ATCTWOGDRJAAJN-UHFFFAOYSA-N 0 1 316.313 3.244 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1Cl)C(=O)C(C)(C)C ZINC001320902070 1107184167 /nfs/dbraw/zinc/18/41/67/1107184167.db2.gz PLXBLBRTDXVEPS-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1snc(Cl)c1C#N ZINC001320954851 1107201144 /nfs/dbraw/zinc/20/11/44/1107201144.db2.gz YTRWBLRKTABLTK-UHFFFAOYSA-N 0 1 309.826 3.325 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)nc2ccccc21 ZINC001317282185 1107206188 /nfs/dbraw/zinc/20/61/88/1107206188.db2.gz STKBKKDSCYJOHV-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1csc2c1CCCC2 ZINC001317283638 1107207326 /nfs/dbraw/zinc/20/73/26/1107207326.db2.gz KZOMYJHFROZPGF-NSHDSACASA-N 0 1 312.866 3.087 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cscc1C(F)F ZINC001321062763 1107236648 /nfs/dbraw/zinc/23/66/48/1107236648.db2.gz CNQOADWAVQTSAB-NSCUHMNNSA-N 0 1 320.792 3.314 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1[C@H](C=C(C)C)C1(C)C ZINC001321152176 1107266807 /nfs/dbraw/zinc/26/68/07/1107266807.db2.gz PUJIMQQPWOMEOU-KXLSMFKISA-N 0 1 310.869 3.239 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001321154943 1107267017 /nfs/dbraw/zinc/26/70/17/1107267017.db2.gz CFBHZEYMBVGWKK-OSJSJHBBSA-N 0 1 318.848 3.195 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H](N(C)C/C=C/Cl)C1 ZINC001317377576 1107281537 /nfs/dbraw/zinc/28/15/37/1107281537.db2.gz UJYKIWLSLIBJFZ-AYAUWGRQSA-N 0 1 310.869 3.430 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](N(C)C[C@@H](F)CC)C2)CCC1 ZINC001317383625 1107295292 /nfs/dbraw/zinc/29/52/92/1107295292.db2.gz NOLKFDFCMYMQFA-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCC(=O)NCC[C@H](C)N[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001317386381 1107299004 /nfs/dbraw/zinc/29/90/04/1107299004.db2.gz FEPRJORRCUDBMF-UONOGXRCSA-N 0 1 321.465 3.484 20 30 DGEDMN CN1CCC(N=Nc2nccnc2C2CCC2)c2ccccc21 ZINC001261127990 1107348441 /nfs/dbraw/zinc/34/84/41/1107348441.db2.gz DBVNCSLTKWXKEA-UHFFFAOYSA-N 0 1 307.401 3.400 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)c1csc(C#N)c1)c1ccco1 ZINC001261253708 1107373409 /nfs/dbraw/zinc/37/34/09/1107373409.db2.gz LEXMOPKCVZMVRG-CQSZACIVSA-N 0 1 317.414 3.026 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(F)c(Cl)c1F ZINC001321640197 1107401101 /nfs/dbraw/zinc/40/11/01/1107401101.db2.gz BNGKZNZKONBAJP-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)c1ccccc1C ZINC001321769492 1107430752 /nfs/dbraw/zinc/43/07/52/1107430752.db2.gz XWVYITVATBPSLY-CQSZACIVSA-N 0 1 308.853 3.119 20 30 DGEDMN C=CCO[C@H]1CC[N@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001261517503 1107457993 /nfs/dbraw/zinc/45/79/93/1107457993.db2.gz SRFCQEALJGUSLH-LBPRGKRZSA-N 0 1 317.410 3.376 20 30 DGEDMN CCCN(CCNC(=O)CC(C)(C)C)Cc1ccc(C#N)s1 ZINC001317539851 1107500980 /nfs/dbraw/zinc/50/09/80/1107500980.db2.gz GOZKRNTVSKMBKR-UHFFFAOYSA-N 0 1 321.490 3.384 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CCc2ccccc2C1 ZINC001322098595 1107510067 /nfs/dbraw/zinc/51/00/67/1107510067.db2.gz JPSQCXFVVDOKJN-KBXCAEBGSA-N 0 1 320.864 3.028 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(CC)c(CCC)s1 ZINC001322292731 1107614802 /nfs/dbraw/zinc/61/48/02/1107614802.db2.gz JCUZQLBSQZEUKO-CQSZACIVSA-N 0 1 320.502 3.336 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(F)F)c1ccc(C(C)C)cc1 ZINC001317711438 1107615309 /nfs/dbraw/zinc/61/53/09/1107615309.db2.gz OUDGMODLPAVEFF-MRXNPFEDSA-N 0 1 322.399 3.235 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(C)(C)CC)c1ccccc1 ZINC001317717663 1107619664 /nfs/dbraw/zinc/61/96/64/1107619664.db2.gz GOXZFSUEGCVXJY-QGZVFWFLSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccccc1Cl)c1ccccc1 ZINC001317727027 1107625266 /nfs/dbraw/zinc/62/52/66/1107625266.db2.gz IRLIQEKDIDXGHH-KRWDZBQOSA-N 0 1 312.800 3.034 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC(C)(C)C2CC2)C1 ZINC001317757906 1107644295 /nfs/dbraw/zinc/64/42/95/1107644295.db2.gz AJQBABLFMDBMBM-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2ccc(CC)s2)C1 ZINC001317762469 1107647558 /nfs/dbraw/zinc/64/75/58/1107647558.db2.gz WURRTJCCYAAIMW-HNNXBMFYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ocnc2C2CC2)C1 ZINC001317766583 1107650117 /nfs/dbraw/zinc/65/01/17/1107650117.db2.gz FNKFXKUHFGZZKU-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)c2ccco2)C1 ZINC001317769599 1107653702 /nfs/dbraw/zinc/65/37/02/1107653702.db2.gz GNLQCJCKKCPATA-HZPDHXFCSA-N 0 1 304.434 3.272 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cnc(CC)s2)C1 ZINC001317773135 1107657765 /nfs/dbraw/zinc/65/77/65/1107657765.db2.gz OQFMZTUREZQGJX-CQSZACIVSA-N 0 1 321.490 3.208 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC001262264070 1107663220 /nfs/dbraw/zinc/66/32/20/1107663220.db2.gz IUCXJKHCYHUUFU-VIFPVBQESA-N 0 1 306.316 3.122 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cccc(F)c2C)C1 ZINC001317790361 1107670103 /nfs/dbraw/zinc/67/01/03/1107670103.db2.gz SHCRUCSTLCMRRQ-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001317796597 1107673611 /nfs/dbraw/zinc/67/36/11/1107673611.db2.gz BGUXYXJKGWXRSH-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C)(C)C2CCC2)C1 ZINC001317796279 1107673853 /nfs/dbraw/zinc/67/38/53/1107673853.db2.gz VNKSGFXQLWDSGU-CQSZACIVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(Cl)o2)C1 ZINC001317825496 1107690994 /nfs/dbraw/zinc/69/09/94/1107690994.db2.gz BIMMNXLRBDPIPM-NSHDSACASA-N 0 1 317.216 3.079 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)CCC)C1 ZINC001317861845 1107717139 /nfs/dbraw/zinc/71/71/39/1107717139.db2.gz FAZNYMTVWDLSFM-SFHVURJKSA-N 0 1 300.446 3.466 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2ccccc2N2CCCC2)nc1 ZINC001317877837 1107731231 /nfs/dbraw/zinc/73/12/31/1107731231.db2.gz QMZLCDMMCRUOGW-UHFFFAOYSA-N 0 1 311.345 3.036 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001317940523 1107755152 /nfs/dbraw/zinc/75/51/52/1107755152.db2.gz GKOXWAFRLRCQDC-VPEZWSJASA-N 0 1 323.440 3.161 20 30 DGEDMN CCc1nn(C)c(Cl)c1C=NNc1ccc(C(C)(C)C)nn1 ZINC001322976629 1107794178 /nfs/dbraw/zinc/79/41/78/1107794178.db2.gz WNIKECYEDJKVFV-UHFFFAOYSA-N 0 1 320.828 3.169 20 30 DGEDMN C=CCC1(C(=O)NC2CN(CC3CC4(CCC4)C3)C2)CCC1 ZINC001318061593 1107818381 /nfs/dbraw/zinc/81/83/81/1107818381.db2.gz ZILLKRNCUFVGFP-UHFFFAOYSA-N 0 1 302.462 3.114 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(CCOC2CCCCCC2)C1 ZINC001318127551 1107850702 /nfs/dbraw/zinc/85/07/02/1107850702.db2.gz USWKSLMFMJILOC-UHFFFAOYSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001318170638 1107875171 /nfs/dbraw/zinc/87/51/71/1107875171.db2.gz BFACPBSKXUYQOE-GOSISDBHSA-N 0 1 300.446 3.194 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@H](CC)CC1 ZINC001262947657 1107881648 /nfs/dbraw/zinc/88/16/48/1107881648.db2.gz PXDXHRJRZAXAHY-CQSZACIVSA-N 0 1 314.437 3.179 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001318202179 1107891241 /nfs/dbraw/zinc/89/12/41/1107891241.db2.gz ZSLNSCNQAUAACP-IRXDYDNUSA-N 0 1 302.462 3.374 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001318281686 1107927682 /nfs/dbraw/zinc/92/76/82/1107927682.db2.gz NPTXVOFCUGRSPY-MZEUMTGBSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)CCCc2sccc2C)C1 ZINC001318299509 1107934971 /nfs/dbraw/zinc/93/49/71/1107934971.db2.gz CJYVHICSDCDTRY-HNNXBMFYSA-N 0 1 306.475 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001318427204 1107997964 /nfs/dbraw/zinc/99/79/64/1107997964.db2.gz DFTKDHRHISLTPY-RHSMWYFYSA-N 0 1 321.490 3.087 20 30 DGEDMN CCCCC1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CC1 ZINC001323531604 1108003083 /nfs/dbraw/zinc/00/30/83/1108003083.db2.gz ZEUHZKLASVAKIM-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](CCC)c2ccccc2)C1 ZINC001318444045 1108010631 /nfs/dbraw/zinc/01/06/31/1108010631.db2.gz CAAXSAJFKOSEGL-RBUKOAKNSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)C[C@H]1CC ZINC001263340574 1108021836 /nfs/dbraw/zinc/02/18/36/1108021836.db2.gz OIVPXFAQQPEFCI-GXTWGEPZSA-N 0 1 314.437 3.178 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CC/C=C/c2ccccc2)C1 ZINC001318482240 1108040844 /nfs/dbraw/zinc/04/08/44/1108040844.db2.gz FOAWMMWCBITYIF-CWUFLNSKSA-N 0 1 324.468 3.426 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](CCCC)C(C)C)C1 ZINC001318491687 1108051652 /nfs/dbraw/zinc/05/16/52/1108051652.db2.gz KPEAERUIYAGQCB-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001318540847 1108085913 /nfs/dbraw/zinc/08/59/13/1108085913.db2.gz HPRXIFCOQBVLBX-ZDUSSCGKSA-N 0 1 319.836 3.327 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1scnc1C(C)C ZINC001318580217 1108107503 /nfs/dbraw/zinc/10/75/03/1108107503.db2.gz BJOJRLNWWYUNGZ-LLVKDONJSA-N 0 1 315.870 3.117 20 30 DGEDMN C#Cc1cccc(-c2nc3cc(OC)c(C(=O)OC)cc3[nH]2)c1 ZINC000586881232 1108103758 /nfs/dbraw/zinc/10/37/58/1108103758.db2.gz VGJNFFOUGFKSDS-UHFFFAOYSA-N 0 1 306.321 3.006 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1cc(C)cc(C)c1 ZINC001318595909 1108115339 /nfs/dbraw/zinc/11/53/39/1108115339.db2.gz RDPAQJQZCAPPMB-QGZVFWFLSA-N 0 1 322.880 3.473 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc(Cl)c2C)C1 ZINC001323930856 1108122651 /nfs/dbraw/zinc/12/26/51/1108122651.db2.gz JYJVDWDKLCKGRF-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@](CO)(C(C)C)C1 ZINC001323936117 1108124802 /nfs/dbraw/zinc/12/48/02/1108124802.db2.gz FJWOPQHXFJVNJV-GOSISDBHSA-N 0 1 321.848 3.192 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001323957786 1108129911 /nfs/dbraw/zinc/12/99/11/1108129911.db2.gz FSGBLURSDWSPMG-AZUAARDMSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)c2ccccc2)C1 ZINC001324017773 1108149884 /nfs/dbraw/zinc/14/98/84/1108149884.db2.gz MSGPTWYPVPAAPG-UHFFFAOYSA-N 0 1 300.446 3.073 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CC(C)(C)C(F)(F)F)C1 ZINC001324018432 1108149929 /nfs/dbraw/zinc/14/99/29/1108149929.db2.gz QRJQXFCNNMHHHW-UHFFFAOYSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCCC2(CC2)C1 ZINC001324160659 1108193802 /nfs/dbraw/zinc/19/38/02/1108193802.db2.gz CCYPTWAIWNPYFT-GJZGRUSLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccccc1C ZINC001263814999 1108224996 /nfs/dbraw/zinc/22/49/96/1108224996.db2.gz PFEBGBVHRULVSG-IRXDYDNUSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC1C2CC3CC(C2)CC1C3 ZINC001263821597 1108232754 /nfs/dbraw/zinc/23/27/54/1108232754.db2.gz VLTWPSGZNDYCKX-OIAUPDTQSA-N 0 1 316.489 3.168 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC2CCC(C)(C)CC2)CC1 ZINC001324351331 1108261472 /nfs/dbraw/zinc/26/14/72/1108261472.db2.gz CJDBUHARBXAKKT-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@H](C)CC)C1 ZINC001263884354 1108283825 /nfs/dbraw/zinc/28/38/25/1108283825.db2.gz LMKNAVUVPNEFMB-BPQIPLTHSA-N 0 1 300.446 3.193 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)CC)C1 ZINC001263885938 1108284420 /nfs/dbraw/zinc/28/44/20/1108284420.db2.gz RTMVRIWLALRIAS-ZWKOTPCHSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(C/C=C\Cl)[C@@H]1CC ZINC001264060546 1108358220 /nfs/dbraw/zinc/35/82/20/1108358220.db2.gz FFTSVMAOQOXQPP-HTYCJKLOSA-N 0 1 310.869 3.292 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001324675732 1108418233 /nfs/dbraw/zinc/41/82/33/1108418233.db2.gz ZIYBPDIKQUDUCE-NQIIRXRSSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC1CCCCCC1 ZINC001264186089 1108422529 /nfs/dbraw/zinc/42/25/29/1108422529.db2.gz RLIRSROYOPVABQ-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001319372432 1108434316 /nfs/dbraw/zinc/43/43/16/1108434316.db2.gz KYPOAQKRPKGUDG-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001319463867 1108481829 /nfs/dbraw/zinc/48/18/29/1108481829.db2.gz KMFKFGXAEXFVFQ-ZDUSSCGKSA-N 0 1 324.852 3.338 20 30 DGEDMN COC(=O)c1cccc(NN=Cc2cccn2C2CC2)c1F ZINC001324849179 1108486745 /nfs/dbraw/zinc/48/67/45/1108486745.db2.gz NWSCHQSIMINNHC-UHFFFAOYSA-N 0 1 301.321 3.195 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl)CC1 ZINC001319525347 1108506231 /nfs/dbraw/zinc/50/62/31/1108506231.db2.gz NMSBEFSDLFLMQZ-ZBQKXELDSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1c(C)noc1CC ZINC001319526082 1108508320 /nfs/dbraw/zinc/50/83/20/1108508320.db2.gz UILWUBRRVNDAAH-FZKQIMNGSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl)C1 ZINC001319528988 1108509798 /nfs/dbraw/zinc/50/97/98/1108509798.db2.gz UJNYWKYTTYAVMN-HBZGRHIISA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001264428151 1108525653 /nfs/dbraw/zinc/52/56/53/1108525653.db2.gz MPTIRKALRZEPEI-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](CC=C)c2ccccc2)C1 ZINC001324974559 1108543914 /nfs/dbraw/zinc/54/39/14/1108543914.db2.gz BJNHAQNBUCRXLE-TZIWHRDSSA-N 0 1 324.468 3.198 20 30 DGEDMN COC(=O)c1cccc(CN(C)[C@H]2CC[C@@H](SC)C2)c1C#N ZINC001319600013 1108548439 /nfs/dbraw/zinc/54/84/39/1108548439.db2.gz PZFHTKIRBVQOHE-UONOGXRCSA-N 0 1 318.442 3.061 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C(C)=C1CCC1 ZINC001264549826 1108569580 /nfs/dbraw/zinc/56/95/80/1108569580.db2.gz SFMOSYSMAYGQLH-WBMJQRKESA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(C)(CC)CC)C1 ZINC001325055040 1108570798 /nfs/dbraw/zinc/57/07/98/1108570798.db2.gz QVKMSNLUTUCMSS-ZDUSSCGKSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCC(=C)CC1)c1ccccc1CC ZINC001325367439 1108636336 /nfs/dbraw/zinc/63/63/36/1108636336.db2.gz VBFPYNYSIKCZPE-FQEVSTJZSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CC2CCC1CC2 ZINC001264745479 1108643652 /nfs/dbraw/zinc/64/36/52/1108643652.db2.gz IAXSVQVOAHMHHM-WZOJCFFYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001319881663 1108676587 /nfs/dbraw/zinc/67/65/87/1108676587.db2.gz UGPWTKGTCUFPAB-SJLPKXTDSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1cc(C)ccc1F ZINC001264991996 1108694072 /nfs/dbraw/zinc/69/40/72/1108694072.db2.gz IWACVDRQTDBXJA-UHFFFAOYSA-N 0 1 306.425 3.429 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001265196113 1108768185 /nfs/dbraw/zinc/76/81/85/1108768185.db2.gz YOLHVKXSNKCWFM-HNNXBMFYSA-N 0 1 319.449 3.008 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001265199276 1108771083 /nfs/dbraw/zinc/77/10/83/1108771083.db2.gz RTHQDTHBNZZPMS-KRWDZBQOSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H](C)c2cccc(OC)c2)C1 ZINC001265205175 1108776421 /nfs/dbraw/zinc/77/64/21/1108776421.db2.gz DGDIHYMCVYCYKG-NVXWUHKLSA-N 0 1 316.445 3.303 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H](C)c2cccc(F)c2)C1 ZINC001265206323 1108776777 /nfs/dbraw/zinc/77/67/77/1108776777.db2.gz UCJPQQRRGQFRHZ-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCCc2ccccc2)C1 ZINC001265224831 1108787250 /nfs/dbraw/zinc/78/72/50/1108787250.db2.gz KWUXGUIZUQBGQJ-GOSISDBHSA-N 0 1 300.446 3.166 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001265235316 1108794834 /nfs/dbraw/zinc/79/48/34/1108794834.db2.gz MZJWVMVCCBEZIP-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001265287485 1108807764 /nfs/dbraw/zinc/80/77/64/1108807764.db2.gz BAEMGONURTVJDR-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H](C)C2CCC2)CC1 ZINC001265338839 1108833261 /nfs/dbraw/zinc/83/32/61/1108833261.db2.gz MZXFIOSDHZOJRR-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCN(C(=O)C#CC(C)(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001265338936 1108833393 /nfs/dbraw/zinc/83/33/93/1108833393.db2.gz QDGSPGUJTABOBM-MRXNPFEDSA-N 0 1 324.484 3.487 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCCCC1(F)F)C1CC1 ZINC001265364335 1108844901 /nfs/dbraw/zinc/84/49/01/1108844901.db2.gz NBTTUBGYXZQNJR-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccccc3)CC2)cc1C#N ZINC000700692924 1108851472 /nfs/dbraw/zinc/85/14/72/1108851472.db2.gz AIXZFWRFNRMTBA-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C(/C)CC)C1 ZINC001265523919 1108899042 /nfs/dbraw/zinc/89/90/42/1108899042.db2.gz QWPVWXPUXXZVFF-WLDSXTBVSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C(/C)CC)C1 ZINC001265523919 1108899045 /nfs/dbraw/zinc/89/90/45/1108899045.db2.gz QWPVWXPUXXZVFF-WLDSXTBVSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)CCCCC(C)C)C1 ZINC001265523553 1108899103 /nfs/dbraw/zinc/89/91/03/1108899103.db2.gz MQPIBWWHGXVPEY-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)N2CCCC[C@H]2CNCC(=C)Cl)CCC1 ZINC001265682474 1108963892 /nfs/dbraw/zinc/96/38/92/1108963892.db2.gz SFDGCYULOLVNQF-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1[C@H]1CCCN1CCF ZINC001265696410 1108971460 /nfs/dbraw/zinc/97/14/60/1108971460.db2.gz HTMKJERVWTWXFP-MSOLQXFVSA-N 0 1 322.468 3.385 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2ccc(F)cc2)CCC1 ZINC001265807404 1109009219 /nfs/dbraw/zinc/00/92/19/1109009219.db2.gz ZSDQCQJVIWCBAG-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2conc2C)CCCCC1 ZINC001265838125 1109021239 /nfs/dbraw/zinc/02/12/39/1109021239.db2.gz CUQVPJBTBXVJNP-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001265852548 1109027138 /nfs/dbraw/zinc/02/71/38/1109027138.db2.gz FQMCBMWJFINTHS-GOEBONIOSA-N 0 1 320.864 3.343 20 30 DGEDMN CCCC1(C(=O)NCCCN(C)CC#Cc2ccccc2)CC1 ZINC001265919856 1109042461 /nfs/dbraw/zinc/04/24/61/1109042461.db2.gz XMLXKLLUZNFJFM-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccn1C(C)C ZINC001266109300 1109093919 /nfs/dbraw/zinc/09/39/19/1109093919.db2.gz STVWSPRVFDRQJH-UHFFFAOYSA-N 0 1 311.857 3.263 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@H](C)[C@H](C)CC)c(F)c1 ZINC001279722327 1109161784 /nfs/dbraw/zinc/16/17/84/1109161784.db2.gz GPWRMVVALFYDRY-HUUCEWRRSA-N 0 1 318.436 3.237 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@]1(C)CCC[C@H]1C ZINC001280334553 1109186767 /nfs/dbraw/zinc/18/67/67/1109186767.db2.gz ZUMSVGLKSRUXLP-UZLBHIALSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C1CC(C)(C(=O)NCc2cccc3c2CCN(CC#CC)C3)C1 ZINC001280426995 1109202095 /nfs/dbraw/zinc/20/20/95/1109202095.db2.gz NZGPQROZEMZFIU-UHFFFAOYSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@H]1C=CCCC1 ZINC001280445439 1109204617 /nfs/dbraw/zinc/20/46/17/1109204617.db2.gz UWFUKBWMALDLQM-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cccc(Cl)n2)CCC1 ZINC001280502649 1109214699 /nfs/dbraw/zinc/21/46/99/1109214699.db2.gz WVWFHDUITSOKKV-UHFFFAOYSA-N 0 1 321.852 3.220 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC(C)=C(C)C)cc2C1 ZINC001281300110 1109327893 /nfs/dbraw/zinc/32/78/93/1109327893.db2.gz SYBKMKJCWPCTSA-UHFFFAOYSA-N 0 1 310.441 3.388 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(CC(C)C)CCC2)C[C@H]1C ZINC001281426661 1109350181 /nfs/dbraw/zinc/35/01/81/1109350181.db2.gz APZZAXLGEUMYQU-HIFRSBDPSA-N 0 1 312.885 3.392 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001281536179 1109373808 /nfs/dbraw/zinc/37/38/08/1109373808.db2.gz FGZNKSFNLXSLMB-LJQANCHMSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cncs2)CC1(C)C ZINC001281553186 1109379237 /nfs/dbraw/zinc/37/92/37/1109379237.db2.gz ONZFURDBBUFJOT-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2c(cccc2C)o1 ZINC001281966556 1109423427 /nfs/dbraw/zinc/42/34/27/1109423427.db2.gz AAUXOEWAJPAVBR-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001281967009 1109424526 /nfs/dbraw/zinc/42/45/26/1109424526.db2.gz DLDFWIHNDVTFFV-LPHOPBHVSA-N 0 1 312.457 3.370 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001282094332 1109448873 /nfs/dbraw/zinc/44/88/73/1109448873.db2.gz GYLSKLBQBBNBIL-ZIAGYGMSSA-N 0 1 319.424 3.260 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(Cl)c1C ZINC001282133844 1109456027 /nfs/dbraw/zinc/45/60/27/1109456027.db2.gz PQDUZFJRRFNLFE-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001282169753 1109462201 /nfs/dbraw/zinc/46/22/01/1109462201.db2.gz XLNULBYQFYNMNO-NWDGAFQWSA-N 0 1 310.388 3.160 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001282182435 1109463950 /nfs/dbraw/zinc/46/39/50/1109463950.db2.gz BUVDBPVCVSSFAO-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001282184831 1109464763 /nfs/dbraw/zinc/46/47/63/1109464763.db2.gz JXXCTVKCHBWCMG-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C(C)(C)c1ccccc1 ZINC001282230213 1109472044 /nfs/dbraw/zinc/47/20/44/1109472044.db2.gz OOAQGYSRUHZGQO-KBPBESRZSA-N 0 1 308.853 3.200 20 30 DGEDMN C[C@H](c1ccccc1Cl)N(C)[C@@H](C)CNC(=O)C#CC1CC1 ZINC001282385378 1109499030 /nfs/dbraw/zinc/49/90/30/1109499030.db2.gz WRHLGEIBEOTKDL-UONOGXRCSA-N 0 1 318.848 3.251 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1coc2ccc(Cl)cc21 ZINC001282484506 1109522737 /nfs/dbraw/zinc/52/27/37/1109522737.db2.gz DMRLARMGIAALRD-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001282736474 1109558958 /nfs/dbraw/zinc/55/89/58/1109558958.db2.gz CUDKGETVBXPZOE-CVEARBPZSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C[C@H](CC)C(C)C)[C@@H]2C1 ZINC001282888526 1109579767 /nfs/dbraw/zinc/57/97/67/1109579767.db2.gz LANRUNOCNUJWHR-YHUYYLMFSA-N 0 1 312.885 3.105 20 30 DGEDMN CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001283664042 1109668825 /nfs/dbraw/zinc/66/88/25/1109668825.db2.gz IZOOOCOYOLPCPI-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C[C@H](CN(C)C(=O)CCCC1CC1)NCc1ccccc1C#N ZINC001283665094 1109669564 /nfs/dbraw/zinc/66/95/64/1109669564.db2.gz IOPXPGQNVMNVBX-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001283678856 1109672747 /nfs/dbraw/zinc/67/27/47/1109672747.db2.gz JHEKVZCXJUSKSW-CAOSSQGBSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2oc(C(C)C)nc2C)[C@H]1C ZINC001283866267 1109691586 /nfs/dbraw/zinc/69/15/86/1109691586.db2.gz JYDXEWJOXNINJO-CABCVRRESA-N 0 1 319.449 3.152 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)[C@@H]1C ZINC001284119375 1109740403 /nfs/dbraw/zinc/74/04/03/1109740403.db2.gz CKXZDVFAYLBCAP-GDSCYZHGSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001284616631 1109826159 /nfs/dbraw/zinc/82/61/59/1109826159.db2.gz CQDKUJCNSWKPHB-GOEBONIOSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)CC2(C)CCCC2)c(F)c1 ZINC001285003497 1109891014 /nfs/dbraw/zinc/89/10/14/1109891014.db2.gz AJWSULVSOFDGAC-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=CCCCn1c(C(C)C)nnc1N1CCN2CCCC[C@@H]2C1 ZINC001286693444 1110096598 /nfs/dbraw/zinc/09/65/98/1110096598.db2.gz PWFBBCJSXKHLMS-MRXNPFEDSA-N 0 1 317.481 3.042 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCCN3CCSC[C@@H]23)CCCCC1 ZINC001288802743 1110196303 /nfs/dbraw/zinc/19/63/03/1110196303.db2.gz MBJVZGUQMKQYNN-CVEARBPZSA-N 0 1 322.518 3.209 20 30 DGEDMN O=C([C@@H]1CCC[N@@H+]1C1CCCC1)N([O-])Cc1ccccc1Cl ZINC001306894100 1110252779 /nfs/dbraw/zinc/25/27/79/1110252779.db2.gz FWQOSZGTZRMVBX-INIZCTEOSA-N 0 1 322.836 3.465 20 30 DGEDMN O=C([C@@H]1CCC[N@H+]1C1CCCC1)N([O-])Cc1ccccc1Cl ZINC001306894100 1110252782 /nfs/dbraw/zinc/25/27/82/1110252782.db2.gz FWQOSZGTZRMVBX-INIZCTEOSA-N 0 1 322.836 3.465 20 30 DGEDMN C=CCC1(C(=O)N2CCN[C@@H](Cc3ccccc3)C2)CCCC1 ZINC001302184738 1111108936 /nfs/dbraw/zinc/10/89/36/1111108936.db2.gz SXLIBGFLCYXGLQ-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN COc1ccc(NC(=O)c2cc(Cl)ccc2O)cc1C#N ZINC001302693257 1111121935 /nfs/dbraw/zinc/12/19/35/1111121935.db2.gz UFHIRAUOENYZTR-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CCCCCCCC[C@H](C)CC(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001302936583 1111129278 /nfs/dbraw/zinc/12/92/78/1111129278.db2.gz IZXXISYXJFOBDP-LSDHHAIUSA-N 0 1 321.469 3.292 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1ccc(C(F)F)cc1 ZINC001317458618 1111230958 /nfs/dbraw/zinc/23/09/58/1111230958.db2.gz JDRIALBUQBRPBD-UHFFFAOYSA-N 0 1 310.388 3.384 20 30 DGEDMN CCCCN(O)C(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001306897541 1111248572 /nfs/dbraw/zinc/24/85/72/1111248572.db2.gz QXSISDOCWPOCPH-QGZVFWFLSA-N 0 1 304.434 3.367 20 30 DGEDMN CCCCN(O)C(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001306897540 1111248828 /nfs/dbraw/zinc/24/88/28/1111248828.db2.gz QXSISDOCWPOCPH-KRWDZBQOSA-N 0 1 304.434 3.367 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)c2ccc(Cl)cc2F)CC1 ZINC001307457549 1111302132 /nfs/dbraw/zinc/30/21/32/1111302132.db2.gz XMRKFUGVFQBJCN-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C#CCCCCCCN(C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC001307463807 1111302691 /nfs/dbraw/zinc/30/26/91/1111302691.db2.gz BNGADOAQNZEAGB-GOSISDBHSA-N 0 1 316.420 3.447 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2ccccc2O)CC1 ZINC001307525929 1111311844 /nfs/dbraw/zinc/31/18/44/1111311844.db2.gz CFLCZLUJSLQERB-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN COc1cc(C)c([C@H](C)NCc2cc(C#N)ccn2)cc1OC ZINC001307635198 1111321836 /nfs/dbraw/zinc/32/18/36/1111321836.db2.gz PYFPIGLGYHHPGF-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001307970782 1111361906 /nfs/dbraw/zinc/36/19/06/1111361906.db2.gz KGTRSNVVJZDHDY-LLVKDONJSA-N 0 1 323.352 3.264 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1ccc(Cl)nc1 ZINC001316825200 1111779153 /nfs/dbraw/zinc/77/91/53/1111779153.db2.gz GUVLRCOIYDTBCR-UHFFFAOYSA-N 0 1 309.841 3.029 20 30 DGEDMN CCCC1(C(=O)NCCCN(C)Cc2ccccc2C#N)CC1 ZINC001316846696 1111783122 /nfs/dbraw/zinc/78/31/22/1111783122.db2.gz APLVGYKFFKKSFU-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CCN(CCCNC(=O)CC(C)C)Cc1cc(C#N)ccc1F ZINC001316884330 1111790936 /nfs/dbraw/zinc/79/09/36/1111790936.db2.gz WZWSIPPOMWJKFJ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN Cc1cc(Cl)cnc1NS(=O)(=O)c1ccc(C#N)cc1C ZINC000313864335 231125097 /nfs/dbraw/zinc/12/50/97/231125097.db2.gz KCXQINHJXFCZLD-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cc4ccccc4o3)no2)CC1 ZINC000088338616 185246388 /nfs/dbraw/zinc/24/63/88/185246388.db2.gz OYLYLPLFIOABFX-UHFFFAOYSA-N 0 1 307.353 3.295 20 30 DGEDMN COc1ccsc1C(=O)C(C#N)c1nc2ccccc2n1C ZINC000106353543 432020807 /nfs/dbraw/zinc/02/08/07/432020807.db2.gz XONWSFABFCKWOQ-SNVBAGLBSA-N 0 1 311.366 3.133 20 30 DGEDMN COc1ccsc1C(=O)[C@@H](C#N)c1nc2ccccc2n1C ZINC000106353543 432020810 /nfs/dbraw/zinc/02/08/10/432020810.db2.gz XONWSFABFCKWOQ-SNVBAGLBSA-N 0 1 311.366 3.133 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000172748585 198144917 /nfs/dbraw/zinc/14/49/17/198144917.db2.gz QUEMVALCSSLCCC-SFHVURJKSA-N 0 1 321.380 3.015 20 30 DGEDMN CCCOc1ccc(CNCc2ccc(OC)c(C#N)c2)cn1 ZINC000174370276 198325681 /nfs/dbraw/zinc/32/56/81/198325681.db2.gz GXDGCQMLOXDHIH-UHFFFAOYSA-N 0 1 311.385 3.040 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC000277105306 213295644 /nfs/dbraw/zinc/29/56/44/213295644.db2.gz WAQBZLTXAUXRQC-QWRGUYRKSA-N 0 1 312.377 3.073 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425543472 283097947 /nfs/dbraw/zinc/09/79/47/283097947.db2.gz BFERYTHSKZMMMF-SFHVURJKSA-N 0 1 314.404 3.478 20 30 DGEDMN C[C@@H](CC#N)N(C)CCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000179452156 432059285 /nfs/dbraw/zinc/05/92/85/432059285.db2.gz VVUOYIFXSYXERM-AWEZNQCLSA-N 0 1 309.454 3.258 20 30 DGEDMN Cc1cnc([C@@H](C)NCCCOc2cccc(C#N)c2)s1 ZINC000184994353 199792610 /nfs/dbraw/zinc/79/26/10/199792610.db2.gz ALJTTZKQCSKCRW-CYBMUJFWSA-N 0 1 301.415 3.443 20 30 DGEDMN COc1ccc(CN(Cc2ccc(C#N)cc2)C2CC2)cc1O ZINC000180029250 199109117 /nfs/dbraw/zinc/10/91/17/199109117.db2.gz TXPMRDGSURRJHW-UHFFFAOYSA-N 0 1 308.381 3.437 20 30 DGEDMN Cc1cc(F)ccc1CN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000428784853 238031261 /nfs/dbraw/zinc/03/12/61/238031261.db2.gz LKUWWXXHIDELNO-QGZVFWFLSA-N 0 1 311.360 3.054 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@]1(CO)Cc1ccccc1 ZINC000428939362 238034945 /nfs/dbraw/zinc/03/49/45/238034945.db2.gz MKNRZZHNWQOGEH-HXUWFJFHSA-N 0 1 324.399 3.267 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2cccc(Cl)c2)c1C#N ZINC000441355620 239040692 /nfs/dbraw/zinc/04/06/92/239040692.db2.gz PNOVDFAKMRVRGA-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1csc(-c2ccc(OC)cc2)n1 ZINC000449517213 240261509 /nfs/dbraw/zinc/26/15/09/240261509.db2.gz DWPSRTNLXCUWNO-CVEARBPZSA-N 0 1 316.426 3.252 20 30 DGEDMN C=CCC[C@H](CO)NCc1csc(COc2ccccc2)n1 ZINC000449697188 240375137 /nfs/dbraw/zinc/37/51/37/240375137.db2.gz KKPXAIYJWUJQON-CQSZACIVSA-N 0 1 318.442 3.139 20 30 DGEDMN C[C@]1(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCc2ccccc2C1 ZINC000328930562 291346580 /nfs/dbraw/zinc/34/65/80/291346580.db2.gz HMYGZYOKQBKLDZ-KXBFYZLASA-N 0 1 309.413 3.419 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CCc3cc(F)ccc3C2)c1 ZINC000091891048 193123308 /nfs/dbraw/zinc/12/33/08/193123308.db2.gz SFGXWKAKFUADTR-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CCc2cc(F)ccc2C1 ZINC000091891271 193123896 /nfs/dbraw/zinc/12/38/96/193123896.db2.gz HSVDFXRTWCOMRQ-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1coc(-c2ccc(OCC)cc2)n1 ZINC000451606463 241186246 /nfs/dbraw/zinc/18/62/46/241186246.db2.gz IMXVNDFKHYTLQZ-SJORKVTESA-N 0 1 314.385 3.173 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1csc(-c2ccccc2F)n1 ZINC000451608463 241187916 /nfs/dbraw/zinc/18/79/16/241187916.db2.gz SHFCKHBZNKLGDR-CABCVRRESA-N 0 1 304.390 3.382 20 30 DGEDMN C[C@H]1C[C@@H](c2ccccc2)CN1CC(=O)Nc1cccc(C#N)c1 ZINC000115552052 284114103 /nfs/dbraw/zinc/11/41/03/284114103.db2.gz XYWQFBYQHUXCGP-MAUKXSAKSA-N 0 1 319.408 3.375 20 30 DGEDMN N#Cc1csc(CN2CCC(OC[C@H]3CCCCO3)CC2)c1 ZINC000093842467 193316665 /nfs/dbraw/zinc/31/66/65/193316665.db2.gz DSVIOTKLPZJYEZ-MRXNPFEDSA-N 0 1 320.458 3.170 20 30 DGEDMN Cc1cc(CN[C@H](C[S@](C)=O)c2ccccc2)ccc1C#N ZINC000488134344 245152202 /nfs/dbraw/zinc/15/22/02/245152202.db2.gz DLDABCXKFSDMFN-GCJKJVERSA-N 0 1 312.438 3.076 20 30 DGEDMN Cc1cc(CN[C@H](CC(=O)N(C)C)c2ccccc2)ccc1C#N ZINC000488146817 245154902 /nfs/dbraw/zinc/15/49/02/245154902.db2.gz IURTWUAUGHVDRY-LJQANCHMSA-N 0 1 321.424 3.176 20 30 DGEDMN C=C(CNC(=O)c1sccc1CN(C)C)c1ccccc1 ZINC000488892083 245244904 /nfs/dbraw/zinc/24/49/04/245244904.db2.gz CDPUEFJCDKAGNH-UHFFFAOYSA-N 0 1 300.427 3.253 20 30 DGEDMN Cc1nc(C)c([C@H](C)[N@@H+](C)C[C@@H](O)c2ccc(C#N)cc2)s1 ZINC000268703572 432124014 /nfs/dbraw/zinc/12/40/14/432124014.db2.gz RTJNFDOJUFRIDE-BLLLJJGKSA-N 0 1 315.442 3.358 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CC[C@H](Cc3ccccc3)C2)c1 ZINC000124291749 284256630 /nfs/dbraw/zinc/25/66/30/284256630.db2.gz WWVLRGUJKASNRP-GOSISDBHSA-N 0 1 319.408 3.061 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2cccc3nc(C)[nH]c32)cc1 ZINC000489968988 245365764 /nfs/dbraw/zinc/36/57/64/245365764.db2.gz VCYBTMQJEZCZFB-KRWDZBQOSA-N 0 1 318.380 3.428 20 30 DGEDMN C#CC[C@H](NCc1cc(C(=O)OC)oc1CC)c1ccccc1 ZINC000271734901 209167821 /nfs/dbraw/zinc/16/78/21/209167821.db2.gz TYFGJSZZXDCWCC-INIZCTEOSA-N 0 1 311.381 3.483 20 30 DGEDMN COc1ncc(CN[C@@H](C)c2ccc(C#N)cc2)cc1Cl ZINC000271689641 209127135 /nfs/dbraw/zinc/12/71/35/209127135.db2.gz RCFVGQUBSGTCOT-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN C#CC[C@@H](NCC(=O)N([C@@H](C)C1CC1)C1CC1)c1ccccc1 ZINC000271691000 209129748 /nfs/dbraw/zinc/12/97/48/209129748.db2.gz KHKLFTQJEAQUCI-HNAYVOBHSA-N 0 1 310.441 3.130 20 30 DGEDMN N#Cc1csc(CNCc2cccc3c2OCCCO3)c1 ZINC000128505878 284377820 /nfs/dbraw/zinc/37/78/20/284377820.db2.gz VMCSCGMCORUTHX-UHFFFAOYSA-N 0 1 300.383 3.071 20 30 DGEDMN CCN(CC(=O)N1CCC[C@H](C)C1)[C@H](C)c1ccc(C#N)cc1 ZINC000249760276 284388377 /nfs/dbraw/zinc/38/83/77/284388377.db2.gz XEEUNBSMOBUGGU-JKSUJKDBSA-N 0 1 313.445 3.200 20 30 DGEDMN CS[C@@H]1CCCCN([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000246441158 432130682 /nfs/dbraw/zinc/13/06/82/432130682.db2.gz DECLABMQBAOJNE-DZGCQCFKSA-N 0 1 317.458 3.103 20 30 DGEDMN CS[C@@H]1CCCCN([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000246441170 432130533 /nfs/dbraw/zinc/13/05/33/432130533.db2.gz DECLABMQBAOJNE-UKRRQHHQSA-N 0 1 317.458 3.103 20 30 DGEDMN C[C@@H](NCCN(C)C(=O)OC(C)(C)C)c1ccc(C#N)cc1F ZINC000495222763 246248739 /nfs/dbraw/zinc/24/87/39/246248739.db2.gz QZSFMIDNYOSBNE-GFCCVEGCSA-N 0 1 321.396 3.215 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@H](NCc1ccc(C#N)o1)C(C)(C)C ZINC000495335647 246259379 /nfs/dbraw/zinc/25/93/79/246259379.db2.gz HLINQAABWFUJCN-AWEZNQCLSA-N 0 1 321.421 3.180 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NS(=O)(=O)c1ccccc1 ZINC000057122627 184027492 /nfs/dbraw/zinc/02/74/92/184027492.db2.gz UALCFIXIVTYQDT-UHFFFAOYSA-N 0 1 316.382 3.146 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000269021230 207170196 /nfs/dbraw/zinc/17/01/96/207170196.db2.gz IHYVEJPAXRKBSE-INIZCTEOSA-N 0 1 310.401 3.185 20 30 DGEDMN C[N@@H+](CCOc1ccccc1C#N)C[C@@H]1OCCc2ccccc21 ZINC000337636630 253151263 /nfs/dbraw/zinc/15/12/63/253151263.db2.gz CETMJDYSJUJTBX-FQEVSTJZSA-N 0 1 322.408 3.183 20 30 DGEDMN CN(CCOc1ccccc1C#N)C[C@@H]1OCCc2ccccc21 ZINC000337636630 253151266 /nfs/dbraw/zinc/15/12/66/253151266.db2.gz CETMJDYSJUJTBX-FQEVSTJZSA-N 0 1 322.408 3.183 20 30 DGEDMN Cc1nc2ccc(CSc3nnc(C)c(C)c3C#N)cc2[nH]1 ZINC000342651980 254026837 /nfs/dbraw/zinc/02/68/37/254026837.db2.gz JOWJSZYELCGBMI-UHFFFAOYSA-N 0 1 309.398 3.442 20 30 DGEDMN COCCN(CCc1ccccc1)Cc1ccc(F)cc1C#N ZINC000343737885 254182863 /nfs/dbraw/zinc/18/28/63/254182863.db2.gz UTZPDSAIJPHESE-UHFFFAOYSA-N 0 1 312.388 3.388 20 30 DGEDMN C[C@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C#N)cc1 ZINC000344083090 254223008 /nfs/dbraw/zinc/22/30/08/254223008.db2.gz AKEARAQHYWGRSC-HNAYVOBHSA-N 0 1 321.424 3.428 20 30 DGEDMN COCCOCc1ccc(-c2nc3ccc(C#N)cc3[nH]2)cc1 ZINC000345148877 254334118 /nfs/dbraw/zinc/33/41/18/254334118.db2.gz KPVBAIJCOHAJTE-UHFFFAOYSA-N 0 1 307.353 3.265 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3cnccc3C#N)cc2[nH]1 ZINC000345170141 254336539 /nfs/dbraw/zinc/33/65/39/254336539.db2.gz PSZPGQFANVRCSZ-UHFFFAOYSA-N 0 1 319.368 3.379 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1)C1CC1 ZINC000271612959 209059458 /nfs/dbraw/zinc/05/94/58/209059458.db2.gz XEWLEGBTTNIDMW-INIZCTEOSA-N 0 1 317.433 3.085 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H](C)c1ccccc1)c1ccc(C#N)cc1 ZINC000271614569 209062432 /nfs/dbraw/zinc/06/24/32/209062432.db2.gz BNTWYPQRUNRACR-GJZGRUSLSA-N 0 1 307.397 3.086 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2NCc1n[nH]c(C(C)C)n1 ZINC000294992928 224364280 /nfs/dbraw/zinc/36/42/80/224364280.db2.gz QOHQQPDVSXOHEY-UHFFFAOYSA-N 0 1 324.363 3.408 20 30 DGEDMN COc1cccc(-c2nc(CON=C(N)C(C)C)cs2)c1 ZINC000128427252 533375044 /nfs/dbraw/zinc/37/50/44/533375044.db2.gz FBDGSBPOSXWLLX-UHFFFAOYSA-N 0 1 305.403 3.264 20 30 DGEDMN COc1ccc(CN2CCSC[C@@H]2C)cc1OCCCC#N ZINC000179665403 533564741 /nfs/dbraw/zinc/56/47/41/533564741.db2.gz KIXOYRFVKAKSAF-AWEZNQCLSA-N 0 1 320.458 3.315 20 30 DGEDMN COc1cccc(NS(=O)(=O)c2ccc(C#N)cc2Cl)c1 ZINC000169247932 533618592 /nfs/dbraw/zinc/61/85/92/533618592.db2.gz XZDJXUPOQNJCTR-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2C[C@H]3CCC[C@@H]3C2)c1C#N ZINC000091072037 400091893 /nfs/dbraw/zinc/09/18/93/400091893.db2.gz XOVPPVPEEWLPPP-HZPDHXFCSA-N 0 1 306.413 3.284 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC000303939108 400118984 /nfs/dbraw/zinc/11/89/84/400118984.db2.gz YMEMVAXYSHFNQM-OAHLLOKOSA-N 0 1 320.864 3.403 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cnn3c2CCC3)s1 ZINC000581590324 400081364 /nfs/dbraw/zinc/08/13/64/400081364.db2.gz HNTXXJHTDOWWJU-SNVBAGLBSA-N 0 1 314.414 3.073 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)c2cnn3c2CCC3)s1 ZINC000581590324 400081368 /nfs/dbraw/zinc/08/13/68/400081368.db2.gz HNTXXJHTDOWWJU-SNVBAGLBSA-N 0 1 314.414 3.073 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@@]2(C#N)CCC[C@H](C)C2)o1 ZINC000609735389 400188731 /nfs/dbraw/zinc/18/87/31/400188731.db2.gz IXEMWPDYUXJLPY-KSSFIOAISA-N 0 1 317.433 3.324 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1C[C@@H]2CCCC[C@@H]21 ZINC000595565283 400188959 /nfs/dbraw/zinc/18/89/59/400188959.db2.gz DRZBPQHTOOAGSP-RYRKJORJSA-N 0 1 311.429 3.196 20 30 DGEDMN CC(C)N(CC(=O)Nc1sccc1C#N)Cc1ccccc1 ZINC000010929375 400138000 /nfs/dbraw/zinc/13/80/00/400138000.db2.gz SDQMDMCJSAUYDW-UHFFFAOYSA-N 0 1 313.426 3.469 20 30 DGEDMN N#Cc1c(F)cccc1NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000609232941 400153212 /nfs/dbraw/zinc/15/32/12/400153212.db2.gz LCTPHBRGPFRQNZ-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN N#Cc1c(F)cccc1NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000609232941 400153219 /nfs/dbraw/zinc/15/32/19/400153219.db2.gz LCTPHBRGPFRQNZ-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN C[C@H](NCc1cccc(C#N)c1F)c1ccc2[nH]c(=O)oc2c1 ZINC000586794057 400158899 /nfs/dbraw/zinc/15/88/99/400158899.db2.gz XJZBLXKQVRGXBB-JTQLQIEISA-N 0 1 311.316 3.395 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(Cc2ccc(C#N)cc2F)[C@@H]1C ZINC000595557869 400188123 /nfs/dbraw/zinc/18/81/23/400188123.db2.gz CICVJBAIEKTUEO-WOSRLPQWSA-N 0 1 318.392 3.249 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(C#N)c(F)c2)cc1OC ZINC000616746345 400219256 /nfs/dbraw/zinc/21/92/56/400219256.db2.gz XVRUKWQUVXIFJN-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N1CCC(C2CCC2)CC1 ZINC000595658630 400221222 /nfs/dbraw/zinc/22/12/22/400221222.db2.gz GISULIGNUSIEAC-HNNXBMFYSA-N 0 1 317.477 3.230 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000595658066 400221728 /nfs/dbraw/zinc/22/17/28/400221728.db2.gz HAFFBHKNTVNJMO-LBPRGKRZSA-N 0 1 300.362 3.259 20 30 DGEDMN CC(C)(NCc1ccc(C#N)c(F)c1)C(=O)Nc1ccccc1 ZINC000616766212 400221876 /nfs/dbraw/zinc/22/18/76/400221876.db2.gz HXSBLDIBJWUDSC-UHFFFAOYSA-N 0 1 311.360 3.204 20 30 DGEDMN CCN(CCC#N)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093351604 400241011 /nfs/dbraw/zinc/24/10/11/400241011.db2.gz YKMMRDDTXOENOD-UHFFFAOYSA-N 0 1 307.304 3.268 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)CCCC1 ZINC000068199316 400242340 /nfs/dbraw/zinc/24/23/40/400242340.db2.gz LXRUNSZTLVBGFH-HNNXBMFYSA-N 0 1 324.384 3.437 20 30 DGEDMN COc1cc(CN[C@H](C)c2cccc(C#N)c2)cc2c1OCO2 ZINC000610344959 400244430 /nfs/dbraw/zinc/24/44/30/400244430.db2.gz OZRZTPDYJKSMDK-GFCCVEGCSA-N 0 1 310.353 3.146 20 30 DGEDMN Cc1nocc1CNCc1cn(CCC#N)nc1-c1ccccc1 ZINC000601142786 400247974 /nfs/dbraw/zinc/24/79/74/400247974.db2.gz ZYPOAHCNPQZQKP-UHFFFAOYSA-N 0 1 321.384 3.050 20 30 DGEDMN N#CCCCCCC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000588148012 400191126 /nfs/dbraw/zinc/19/11/26/400191126.db2.gz FWLCGLDEVAMJKL-MRXNPFEDSA-N 0 1 317.433 3.397 20 30 DGEDMN C[C@@H](CNC(=O)CCCCCC#N)N1CCc2ccccc2C1 ZINC000588149843 400192369 /nfs/dbraw/zinc/19/23/69/400192369.db2.gz XZDCPFRMEYOQFF-INIZCTEOSA-N 0 1 313.445 3.023 20 30 DGEDMN CCN(CC(=O)N(C)C)Cc1ccc(-c2ccccc2C#N)cc1 ZINC000609845934 400195316 /nfs/dbraw/zinc/19/53/16/400195316.db2.gz XURBDEJKIIOSTB-UHFFFAOYSA-N 0 1 321.424 3.135 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](Cc1ccsc1)C(C)(C)C ZINC000595601091 400199051 /nfs/dbraw/zinc/19/90/51/400199051.db2.gz MJMFLOQZNWDLCQ-CQSZACIVSA-N 0 1 321.490 3.357 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(Cc1ccsc1)C(C)(C)C ZINC000595601091 400199056 /nfs/dbraw/zinc/19/90/56/400199056.db2.gz MJMFLOQZNWDLCQ-CQSZACIVSA-N 0 1 321.490 3.357 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](C[C@@H](O)Cc2ccc3ccccc3c2)C1 ZINC000595622597 400210975 /nfs/dbraw/zinc/21/09/75/400210975.db2.gz BYBIZFLLTBMRMV-UZLBHIALSA-N 0 1 308.425 3.369 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](CC[C@@H]2Sc3ccccc3NC2=O)C1 ZINC000595623559 400211422 /nfs/dbraw/zinc/21/14/22/400211422.db2.gz TZYFKTXGUWLOGL-BBRMVZONSA-N 0 1 315.442 3.115 20 30 DGEDMN COC(=O)c1ccc(CNC(C)(C)c2cccc(C#N)c2)s1 ZINC000595636586 400213492 /nfs/dbraw/zinc/21/34/92/400213492.db2.gz RSLUQZLTINHMSZ-UHFFFAOYSA-N 0 1 314.410 3.431 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(C(C)(C)C)s2)nc(C)n1 ZINC000067893484 400214172 /nfs/dbraw/zinc/21/41/72/400214172.db2.gz VCMGLJBTXBUORQ-LLVKDONJSA-N 0 1 314.414 3.338 20 30 DGEDMN N#Cc1ccc([C@H](C(N)=O)N2CCCC3(CCCC3)CC2)cc1 ZINC000595643770 400216263 /nfs/dbraw/zinc/21/62/63/400216263.db2.gz ZJDKJQOCNZWRMY-QGZVFWFLSA-N 0 1 311.429 3.131 20 30 DGEDMN Cc1ccccc1CNC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595642749 400216939 /nfs/dbraw/zinc/21/69/39/400216939.db2.gz SCQSENXUIVATGJ-UHFFFAOYSA-N 0 1 321.424 3.008 20 30 DGEDMN COc1ccc2c(c1)OCC[C@H]2NCc1cc(C#N)cs1 ZINC000610488828 400263346 /nfs/dbraw/zinc/26/33/46/400263346.db2.gz AGLHFUXEUXWTCR-OAHLLOKOSA-N 0 1 300.383 3.242 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H]3COC[C@@H]3C2)c(C(F)(F)F)c1 ZINC000595828222 400272534 /nfs/dbraw/zinc/27/25/34/400272534.db2.gz WAWYFSLOFSMPGD-KBPBESRZSA-N 0 1 310.319 3.045 20 30 DGEDMN CC(C)CC1(C)CCN(CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000575158031 400323069 /nfs/dbraw/zinc/32/30/69/400323069.db2.gz RGIPGZZGCYGISK-GOSISDBHSA-N 0 1 307.482 3.189 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](N[C@@H]1CCN(C3CCCCC3)C1=O)CC2 ZINC000589341561 400290012 /nfs/dbraw/zinc/29/00/12/400290012.db2.gz SBUOLXXFHMWEMJ-RBUKOAKNSA-N 0 1 323.440 3.069 20 30 DGEDMN Cc1nccc([C@@H]2CCCN(Cc3ccc(F)c(C#N)c3)C2)n1 ZINC000610719336 400295824 /nfs/dbraw/zinc/29/58/24/400295824.db2.gz VWBIGCCGAOVXSU-OAHLLOKOSA-N 0 1 310.376 3.175 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CCCc2ccccc2C1 ZINC000102827011 400304276 /nfs/dbraw/zinc/30/42/76/400304276.db2.gz ZRXBYFJSLGCWQS-HNNXBMFYSA-N 0 1 319.408 3.334 20 30 DGEDMN N#CCc1cc(Cl)ccc1NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000521641447 400310724 /nfs/dbraw/zinc/31/07/24/400310724.db2.gz JYFJFUSCCPOHFX-LBPRGKRZSA-N 0 1 314.776 3.188 20 30 DGEDMN CC(C)(C)CCN1CCN(c2nc(C#N)c(Cl)s2)CC1 ZINC000596086989 400313040 /nfs/dbraw/zinc/31/30/40/400313040.db2.gz GAXMBUHKEMTPHP-UHFFFAOYSA-N 0 1 312.870 3.226 20 30 DGEDMN COc1cccc(/C=N/C[C@@H](c2ccsc2)N(C)C)c1O ZINC000026432207 400257568 /nfs/dbraw/zinc/25/75/68/400257568.db2.gz LVQSXPGKDHAJAD-VEJKBTLKSA-N 0 1 304.415 3.184 20 30 DGEDMN N#Cc1cccc(CN2CCC([C@@H]3CCCCO3)CC2)c1F ZINC000595783410 400258201 /nfs/dbraw/zinc/25/82/01/400258201.db2.gz OBKJGEBWEWWSID-KRWDZBQOSA-N 0 1 302.393 3.478 20 30 DGEDMN N#Cc1cc(CN2CCC([C@@H]3CCCCO3)CC2)ccc1F ZINC000595786856 400259241 /nfs/dbraw/zinc/25/92/41/400259241.db2.gz HZGJZCJGUSFUNE-SFHVURJKSA-N 0 1 302.393 3.478 20 30 DGEDMN C[C@H](NCc1cc(F)cc2c1OCOC2)c1cccc(C#N)c1 ZINC000611387643 400389785 /nfs/dbraw/zinc/38/97/85/400389785.db2.gz RIDAXAICHNZLIB-LBPRGKRZSA-N 0 1 312.344 3.415 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@H](c2ccnc(C)n2)C1 ZINC000610953100 400327893 /nfs/dbraw/zinc/32/78/93/400327893.db2.gz LORVBZHBWJBFNV-INIZCTEOSA-N 0 1 322.412 3.045 20 30 DGEDMN N#CCC1CCN(Cc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000579046426 400334429 /nfs/dbraw/zinc/33/44/29/400334429.db2.gz UCCWROSOYVZRGL-UHFFFAOYSA-N 0 1 313.323 3.148 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1cccc(C#N)c1 ZINC000611389230 400391516 /nfs/dbraw/zinc/39/15/16/400391516.db2.gz QTSOMJMZUPYREU-LDDOYCOJSA-N 0 1 313.445 3.150 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3ccc(Cl)cc32)C[C@@H]1C ZINC000611084726 400343731 /nfs/dbraw/zinc/34/37/31/400343731.db2.gz OKXALUHKPUBDDV-LBPRGKRZSA-N 0 1 314.820 3.290 20 30 DGEDMN CCc1nc(C#N)cc(SCC[N@@H+](C)Cc2ccccc2)n1 ZINC000596214974 400352228 /nfs/dbraw/zinc/35/22/28/400352228.db2.gz OIRJHHJBZIVFDK-UHFFFAOYSA-N 0 1 312.442 3.135 20 30 DGEDMN COc1ccccc1[C@@H](CO)N[C@@H]1CCCc2cc(C#N)ccc21 ZINC000611394796 400392766 /nfs/dbraw/zinc/39/27/66/400392766.db2.gz FGPNQTFZBHVWTH-RTBURBONSA-N 0 1 322.408 3.267 20 30 DGEDMN C=CCNC(=O)[C@H](C)Nc1ccc(N2CCCCCC2)cc1 ZINC000070824297 400358181 /nfs/dbraw/zinc/35/81/81/400358181.db2.gz RWMUBMFLIGUZNG-HNNXBMFYSA-N 0 1 301.434 3.170 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)C1 ZINC000590160813 400364324 /nfs/dbraw/zinc/36/43/24/400364324.db2.gz JZQHMWXODHGMFG-UTINFBMNSA-N 0 1 300.246 3.351 20 30 DGEDMN Cc1cc(C)c(C#N)c(NC[C@@H](c2ccco2)N2CCCC2)n1 ZINC000072672716 400397560 /nfs/dbraw/zinc/39/75/60/400397560.db2.gz JKELWMCLAFCLMY-INIZCTEOSA-N 0 1 310.401 3.412 20 30 DGEDMN CCc1[nH]ncc1C(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1 ZINC000590869776 400451778 /nfs/dbraw/zinc/45/17/78/400451778.db2.gz OWYNQCCXCVBRJN-UHFFFAOYSA-N 0 1 312.373 3.273 20 30 DGEDMN N#Cc1ccccc1CN(CCCO)[C@@H]1CCc2ccccc21 ZINC000074312910 400503609 /nfs/dbraw/zinc/50/36/09/400503609.db2.gz YTZYWHIREAIIKI-HXUWFJFHSA-N 0 1 306.409 3.430 20 30 DGEDMN CC(C)N(C(=O)[C@@H](C)N[C@H](C)c1ccc(C#N)cc1)C(C)C ZINC000127550620 400503681 /nfs/dbraw/zinc/50/36/81/400503681.db2.gz NZCMPDATNMXVJB-HUUCEWRRSA-N 0 1 301.434 3.243 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC[C@](C)(C#N)C3)n[nH]2)cc1 ZINC000612235014 400510334 /nfs/dbraw/zinc/51/03/34/400510334.db2.gz CGAAEDQETHVFLV-GOSISDBHSA-N 0 1 308.385 3.151 20 30 DGEDMN CN(CCOc1cccc(Cl)c1)Cc1cccc(C#N)n1 ZINC000602437685 400461797 /nfs/dbraw/zinc/46/17/97/400461797.db2.gz WYGZTMXHSZFTCN-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN C[C@@H](CC#N)C(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000596671969 400476742 /nfs/dbraw/zinc/47/67/42/400476742.db2.gz OCEBOPGCHFMLJI-INIZCTEOSA-N 0 1 321.424 3.034 20 30 DGEDMN N#CCc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)cc1 ZINC000612100063 400492434 /nfs/dbraw/zinc/49/24/34/400492434.db2.gz RWRIPVUOIIOZFS-FQEVSTJZSA-N 0 1 316.408 3.480 20 30 DGEDMN C[C@@H](CC(=O)N(C)Cc1ccccc1Cl)NCC(C)(C)C#N ZINC000612588242 400571252 /nfs/dbraw/zinc/57/12/52/400571252.db2.gz UBKQFDSSRDWPLR-ZDUSSCGKSA-N 0 1 321.852 3.216 20 30 DGEDMN N#CC1(CNCc2ccc(O[C@H]3CCOC3)cc2)CCCC1 ZINC000597159392 400573866 /nfs/dbraw/zinc/57/38/66/400573866.db2.gz ZXVFUMJEWUNCKM-KRWDZBQOSA-N 0 1 300.402 3.028 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2cc(OC)c(OC)cc2[N+](=O)[O-])C1 ZINC000597187198 400581351 /nfs/dbraw/zinc/58/13/51/400581351.db2.gz LZDRVQTZWZKWGM-CYBMUJFWSA-N 0 1 320.389 3.400 20 30 DGEDMN COC1(C(F)(F)F)CCN(Cc2ccc(C#N)cc2C)CC1 ZINC000591376847 400516227 /nfs/dbraw/zinc/51/62/27/400516227.db2.gz GKBMKFQQLGTSAX-UHFFFAOYSA-N 0 1 312.335 3.410 20 30 DGEDMN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@H](C)CCC#N ZINC000602642256 400521146 /nfs/dbraw/zinc/52/11/46/400521146.db2.gz BWCRHVUXPKFPCS-PVAVHDDUSA-N 0 1 300.402 3.305 20 30 DGEDMN COC(=O)c1nc([C@H]2CCCN2C[C@@H](C)CCC#N)sc1C ZINC000602653421 400525047 /nfs/dbraw/zinc/52/50/47/400525047.db2.gz ZYMYUHDYFYRRNW-WCQYABFASA-N 0 1 321.446 3.315 20 30 DGEDMN COc1ccc(CN2CCC[C@H](CC#N)C2)cc1Cn1cccn1 ZINC000602704690 400545137 /nfs/dbraw/zinc/54/51/37/400545137.db2.gz CHOLAYFQAQBTND-MRXNPFEDSA-N 0 1 324.428 3.066 20 30 DGEDMN CCN(C)[C@H](C(=O)N[C@@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000075273086 400567950 /nfs/dbraw/zinc/56/79/50/400567950.db2.gz ZANFWEXHZWQHES-KXBFYZLASA-N 0 1 321.424 3.428 20 30 DGEDMN CC(C)(C)c1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)n[nH]1 ZINC000075830905 400613609 /nfs/dbraw/zinc/61/36/09/400613609.db2.gz IGIQZVFWHCRCNF-JTQLQIEISA-N 0 1 307.357 3.074 20 30 DGEDMN C[C@@H](CC#N)C(=O)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000597366956 400620520 /nfs/dbraw/zinc/62/05/20/400620520.db2.gz FXOFLHWJFUQZNG-HZMBPMFUSA-N 0 1 316.792 3.430 20 30 DGEDMN CCC[C@@H]1CN(C(=O)[C@@H](C)CC#N)CCN1Cc1ccccc1 ZINC000597481899 400636704 /nfs/dbraw/zinc/63/67/04/400636704.db2.gz WIZUPUOFFBVTLX-FUHWJXTLSA-N 0 1 313.445 3.049 20 30 DGEDMN C=CCC[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000612708769 400590298 /nfs/dbraw/zinc/59/02/98/400590298.db2.gz RYUUUBCTRJZNRU-CABCVRRESA-N 0 1 310.401 3.048 20 30 DGEDMN Cc1cccc([C@H](C)N2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000075637000 400599880 /nfs/dbraw/zinc/59/98/80/400599880.db2.gz KVRUOSCPVFDAEO-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN CO[C@]1(C(F)(F)F)CCCN(Cc2ccc(C#N)c(C)c2)C1 ZINC000618610160 400641690 /nfs/dbraw/zinc/64/16/90/400641690.db2.gz UIIIRVZUQBPNSD-OAHLLOKOSA-N 0 1 312.335 3.410 20 30 DGEDMN C/C(=C\c1ccccc1OC(F)F)CN1CC[C@@](O)(CC#N)C1 ZINC000592522244 400663785 /nfs/dbraw/zinc/66/37/85/400663785.db2.gz JRMSBTDTBONWPS-RTGRKSDTSA-N 0 1 322.355 3.042 20 30 DGEDMN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)C(C)(C)C#N ZINC000597726337 400665312 /nfs/dbraw/zinc/66/53/12/400665312.db2.gz SIODHDWJYBVBNK-VIFPVBQESA-N 0 1 304.781 3.285 20 30 DGEDMN N#Cc1cnn2cc(CN3CCC(C4CCCC4)CC3)cnc12 ZINC000592501947 400659694 /nfs/dbraw/zinc/65/96/94/400659694.db2.gz OHIXAAOOXMDPQD-UHFFFAOYSA-N 0 1 309.417 3.003 20 30 DGEDMN N#CCCC[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1ccccc1 ZINC000597691822 400661432 /nfs/dbraw/zinc/66/14/32/400661432.db2.gz NVJRCPHSRKTFLH-CVEARBPZSA-N 0 1 322.412 3.066 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cc(C)nc(C)n2)oc2c1C(=O)CCC2 ZINC000049407815 400668604 /nfs/dbraw/zinc/66/86/04/400668604.db2.gz QTVJRDASERROFA-GFCCVEGCSA-N 0 1 323.352 3.004 20 30 DGEDMN CCN1C[C@H](C)N(c2nc(C(C)(C)C)ccc2C#N)C[C@H]1C ZINC000613431116 400718260 /nfs/dbraw/zinc/71/82/60/400718260.db2.gz OTSALPMEPMMRTJ-KGLIPLIRSA-N 0 1 300.450 3.170 20 30 DGEDMN C=CCN(C(=O)CN(C)CCc1ccccc1)c1ccccc1 ZINC000613449691 400723689 /nfs/dbraw/zinc/72/36/89/400723689.db2.gz CQAIHCIWZYVEMT-UHFFFAOYSA-N 0 1 308.425 3.380 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCc2ccccc2[C@H]1CC ZINC000603944413 400736837 /nfs/dbraw/zinc/73/68/37/400736837.db2.gz MHXUJOWHQLEVNO-GOSISDBHSA-N 0 1 300.446 3.420 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(Cc2ccc(C#N)cc2F)C1 ZINC000162212430 400744027 /nfs/dbraw/zinc/74/40/27/400744027.db2.gz CMCMXPZLFCULPK-AWEZNQCLSA-N 0 1 318.392 3.253 20 30 DGEDMN COc1ccccc1-c1n[nH]c(NC(=O)Cc2ccsc2)n1 ZINC000052778711 400747685 /nfs/dbraw/zinc/74/76/85/400747685.db2.gz DAUBCGYEGCSDEL-UHFFFAOYSA-N 0 1 314.370 3.373 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N([C@H](C)C#N)C3CC3)n[nH]2)c(C)c1 ZINC000598137540 400749884 /nfs/dbraw/zinc/74/98/84/400749884.db2.gz LLMVCAKQNVHBCD-CYBMUJFWSA-N 0 1 308.385 3.210 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)Cc1cccc2ccccc21 ZINC000051992946 400711793 /nfs/dbraw/zinc/71/17/93/400711793.db2.gz LARVPEBRIAGEMZ-HXUWFJFHSA-N 0 1 323.440 3.326 20 30 DGEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cccc2ccccc21 ZINC000051992980 400712053 /nfs/dbraw/zinc/71/20/53/400712053.db2.gz ZANJKEZUGACFIA-FQEVSTJZSA-N 0 1 321.424 3.080 20 30 DGEDMN N#Cc1ccc(CN(C[C@H]2COc3ccccc3O2)C2CC2)cc1 ZINC000604501270 400781088 /nfs/dbraw/zinc/78/10/88/400781088.db2.gz WLFHBHWVIWEQDL-SFHVURJKSA-N 0 1 320.392 3.363 20 30 DGEDMN CCN(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)[C@@H](C)C#N ZINC000598348423 400792268 /nfs/dbraw/zinc/79/22/68/400792268.db2.gz AUTSFWBWKQSSNE-JTQLQIEISA-N 0 1 302.765 3.104 20 30 DGEDMN C=CCNC(=O)CN[C@@H](CC(C)C)c1ccccc1OCC ZINC000181261809 400888070 /nfs/dbraw/zinc/88/80/70/400888070.db2.gz KLZQQMSNNDUZMB-INIZCTEOSA-N 0 1 304.434 3.064 20 30 DGEDMN COCCN(Cc1cc2cnccc2o1)Cc1ccc(C#N)cc1 ZINC000593570646 400875765 /nfs/dbraw/zinc/87/57/65/400875765.db2.gz GUMJVMCHMPIHHB-UHFFFAOYSA-N 0 1 321.380 3.348 20 30 DGEDMN C=CCNC(=O)CN[C@@H](CC(C)C)c1cc(Cl)ccc1OC ZINC000179664440 400879949 /nfs/dbraw/zinc/87/99/49/400879949.db2.gz RFKVIOGRVILWPI-HNNXBMFYSA-N 0 1 324.852 3.328 20 30 DGEDMN CC1=C(C(=O)NC2CCN(Cc3cccc(C#N)c3)CC2)CCC1 ZINC000593354541 400826498 /nfs/dbraw/zinc/82/64/98/400826498.db2.gz QZTIKDMBPCWWBI-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN Cc1cc(C)nc(NC2CCN(Cc3cccc(C#N)c3)CC2)n1 ZINC000593514444 400869167 /nfs/dbraw/zinc/86/91/67/400869167.db2.gz AQRCLAKEKJCHGD-UHFFFAOYSA-N 0 1 321.428 3.042 20 30 DGEDMN N#Cc1ccc(Br)c(CN2CCCCC[C@H]2CO)c1 ZINC000594017212 400948430 /nfs/dbraw/zinc/94/84/30/400948430.db2.gz VHNJIKOHHSDVDU-AWEZNQCLSA-N 0 1 323.234 3.058 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(C)n(-c2cc(C)cc(C)c2)n1 ZINC000182509582 400893345 /nfs/dbraw/zinc/89/33/45/400893345.db2.gz GQHWMDXGFLQWFZ-UHFFFAOYSA-N 0 1 319.430 3.115 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@@H](OCC(F)(F)F)C1 ZINC000614400969 400893956 /nfs/dbraw/zinc/89/39/56/400893956.db2.gz UFHBQUHILIBJRO-OAHLLOKOSA-N 0 1 312.335 3.410 20 30 DGEDMN COc1cc(CNCc2ccc(C#N)c(Cl)c2)ccc1C#N ZINC000619748549 400898478 /nfs/dbraw/zinc/89/84/78/400898478.db2.gz HUEGVJZJOTZHHN-UHFFFAOYSA-N 0 1 311.772 3.382 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000183501732 400901272 /nfs/dbraw/zinc/90/12/72/400901272.db2.gz CTKARSTYFYHICQ-HZPDHXFCSA-N 0 1 319.449 3.461 20 30 DGEDMN CCc1oc(CN[C@@H]2CCc3cc(C#N)ccc32)cc1C(=O)OC ZINC000619846027 400909422 /nfs/dbraw/zinc/90/94/22/400909422.db2.gz TVASSAPRFLESFC-QGZVFWFLSA-N 0 1 324.380 3.277 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000598943044 400910126 /nfs/dbraw/zinc/91/01/26/400910126.db2.gz RHTRPEFOCZMSFL-XJKSGUPXSA-N 0 1 316.449 3.254 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H]3CCC[C@@H]32)cc1Cl ZINC000614600385 400921622 /nfs/dbraw/zinc/92/16/22/400921622.db2.gz HHRIXSAXRYUGGA-NHYWBVRUSA-N 0 1 303.793 3.025 20 30 DGEDMN CC(C)(C#N)c1ccc(CN[C@H]2Cc3ccccc3NC2=O)cc1 ZINC000598999963 400925712 /nfs/dbraw/zinc/92/57/12/400925712.db2.gz PHSLFVQWILSAFG-SFHVURJKSA-N 0 1 319.408 3.141 20 30 DGEDMN COc1cccc(CC2CCN(Cc3ccc(C#N)cn3)CC2)c1 ZINC000593976251 400932621 /nfs/dbraw/zinc/93/26/21/400932621.db2.gz HITDMXXABVZSJE-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CC[C@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc(C)c(C#N)c1 ZINC000599303690 401015627 /nfs/dbraw/zinc/01/56/27/401015627.db2.gz DZFSQHDJILNPED-OALUTQOASA-N 0 1 313.445 3.088 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)C1 ZINC000599526523 401068716 /nfs/dbraw/zinc/06/87/16/401068716.db2.gz ODUXHJDVBPWPHU-GFCCVEGCSA-N 0 1 314.345 3.043 20 30 DGEDMN N#Cc1ccc(CN2CCC([C@H]3CCOC3)CC2)c(Cl)c1 ZINC000615231368 401035866 /nfs/dbraw/zinc/03/58/66/401035866.db2.gz TUTCCVQAIJCKIA-INIZCTEOSA-N 0 1 304.821 3.460 20 30 DGEDMN C[C@]12CCCC[C@H]1CN2CC(=O)N(CCC#N)c1ccccc1 ZINC000599674414 401110110 /nfs/dbraw/zinc/11/01/10/401110110.db2.gz GOYXEKBPQNVTRN-LPHOPBHVSA-N 0 1 311.429 3.198 20 30 DGEDMN COC[C@H](C)N(Cc1ccc(C#N)cn1)[C@@H]1CCc2ccccc21 ZINC000599682439 401114960 /nfs/dbraw/zinc/11/49/60/401114960.db2.gz JKZSFJUBDKUJRS-MGPUTAFESA-N 0 1 321.424 3.478 20 30 DGEDMN Cc1cc(C)c(C(=O)[C@H](C#N)c2nnc3n2CCCCC3)s1 ZINC000633898933 401140848 /nfs/dbraw/zinc/14/08/48/401140848.db2.gz BWYIOXKQNSJLIO-LBPRGKRZSA-N 0 1 314.414 3.173 20 30 DGEDMN Cc1cc(C)c(C(=O)C(C#N)c2nnc3n2CCCCC3)s1 ZINC000633898933 401140852 /nfs/dbraw/zinc/14/08/52/401140852.db2.gz BWYIOXKQNSJLIO-LBPRGKRZSA-N 0 1 314.414 3.173 20 30 DGEDMN CCOCCN(Cc1ccnc(C#N)c1)[C@H]1CCc2ccccc21 ZINC000599626666 401093614 /nfs/dbraw/zinc/09/36/14/401093614.db2.gz YIQDYGQUDOGURX-FQEVSTJZSA-N 0 1 321.424 3.479 20 30 DGEDMN CCN(CC(=O)N(C)C1(C#N)CCCCC1)Cc1ccccc1 ZINC000061758238 401161886 /nfs/dbraw/zinc/16/18/86/401161886.db2.gz SJKBJZFBORGVLT-UHFFFAOYSA-N 0 1 313.445 3.193 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1ccnn1CC1CC1 ZINC000594951496 401203822 /nfs/dbraw/zinc/20/38/22/401203822.db2.gz UAVKMDOOVOTVIT-IBGZPJMESA-N 0 1 306.413 3.332 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1cccc2n[nH]cc21 ZINC000063667595 401206785 /nfs/dbraw/zinc/20/67/85/401206785.db2.gz FUMUVPOBABQSBV-UHFFFAOYSA-N 0 1 318.380 3.485 20 30 DGEDMN C[C@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@@H]1CO ZINC000621366144 401188034 /nfs/dbraw/zinc/18/80/34/401188034.db2.gz GULVLSBGNOANGJ-DZGCQCFKSA-N 0 1 311.347 3.007 20 30 DGEDMN CCN(CC(=O)N1CCCC[C@@H]1C)[C@H](C)c1ccc(C#N)cc1 ZINC000065432931 401265251 /nfs/dbraw/zinc/26/52/51/401265251.db2.gz QLFYJJWPHYLIMZ-JKSUJKDBSA-N 0 1 313.445 3.342 20 30 DGEDMN Cn1cc(CN(Cc2ccccc2)C[C@@H]2CCCO2)cc1C#N ZINC000191269398 165239643 /nfs/dbraw/zinc/23/96/43/165239643.db2.gz GJGNLTHUAZMXLD-IBGZPJMESA-N 0 1 309.413 3.078 20 30 DGEDMN N#Cc1cc(C(F)(F)F)ccc1NCCN1CCSCC1 ZINC000229725875 165392426 /nfs/dbraw/zinc/39/24/26/165392426.db2.gz GLQFUZDQZDXGSW-UHFFFAOYSA-N 0 1 315.364 3.038 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(N3CCCC3=O)cc2)c(F)c1 ZINC000269384829 432168593 /nfs/dbraw/zinc/16/85/93/432168593.db2.gz AMQLPJRHWNSINB-UHFFFAOYSA-N 0 1 323.371 3.114 20 30 DGEDMN CCc1ccccc1NS(=O)(=O)c1cccc(C)c1C#N ZINC000137206971 432173331 /nfs/dbraw/zinc/17/33/31/432173331.db2.gz ZFQDJLMLCNMAIH-UHFFFAOYSA-N 0 1 300.383 3.230 20 30 DGEDMN CC[C@@H](C)C(=O)Nc1cccc(CNCc2cc(C#N)n(C)c2)c1 ZINC000190834801 432192367 /nfs/dbraw/zinc/19/23/67/432192367.db2.gz QFDGBLVYBRLGCM-CQSZACIVSA-N 0 1 324.428 3.171 20 30 DGEDMN CC(C)[C@@H](NCc1cc(C#N)n(C)c1)c1nc2ccccc2[nH]1 ZINC000190760169 432187254 /nfs/dbraw/zinc/18/72/54/432187254.db2.gz LIVXZCULDACUDB-QGZVFWFLSA-N 0 1 307.401 3.260 20 30 DGEDMN N#CC(C(=O)c1n[nH]c2c1CCCCC2)c1nc2ccccc2[nH]1 ZINC000190776980 432188819 /nfs/dbraw/zinc/18/88/19/432188819.db2.gz GZVYPKRFVDRFJZ-GFCCVEGCSA-N 0 1 319.368 3.045 20 30 DGEDMN COc1ccccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC000190955316 432205097 /nfs/dbraw/zinc/20/50/97/432205097.db2.gz LTLIROLLPCVKGY-ZDUSSCGKSA-N 0 1 303.321 3.128 20 30 DGEDMN COc1ccccc1C(=O)[C@@H](C#N)c1cnc2ccccc2n1 ZINC000190955316 432205100 /nfs/dbraw/zinc/20/51/00/432205100.db2.gz LTLIROLLPCVKGY-ZDUSSCGKSA-N 0 1 303.321 3.128 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1 ZINC000182696872 432219690 /nfs/dbraw/zinc/21/96/90/432219690.db2.gz QSZGPEBZNVKJBV-ZWKOTPCHSA-N 0 1 314.335 3.456 20 30 DGEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)[C@H]1C ZINC000247350144 432224210 /nfs/dbraw/zinc/22/42/10/432224210.db2.gz OYXQJZAMVGLVMT-KGLIPLIRSA-N 0 1 319.836 3.317 20 30 DGEDMN N#CCCN(CCC(=O)Nc1ccccc1Cl)CC1CC1 ZINC000174694570 228293349 /nfs/dbraw/zinc/29/33/49/228293349.db2.gz MJEIMTRVWAZGON-UHFFFAOYSA-N 0 1 305.809 3.294 20 30 DGEDMN C[C@H](c1ccc(S(C)(=O)=O)cc1)N(C)CCCC(C)(C)C#N ZINC000174816060 228294199 /nfs/dbraw/zinc/29/41/99/228294199.db2.gz BRABLRONUZIUDV-CQSZACIVSA-N 0 1 322.474 3.413 20 30 DGEDMN N#C[C@H](NC(=O)c1n[nH]c2ccccc21)c1ccccc1Cl ZINC000172034161 228273621 /nfs/dbraw/zinc/27/36/21/228273621.db2.gz PWDJHPIKXIXFLL-AWEZNQCLSA-N 0 1 310.744 3.211 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(C)nc2C(C)C)n1 ZINC000172239223 228275496 /nfs/dbraw/zinc/27/54/96/228275496.db2.gz RZVVOGQWUMGAMW-NSHDSACASA-N 0 1 300.387 3.163 20 30 DGEDMN C[C@@](C#N)(NC(=O)CN1CCCC2(C1)CCCCCC2)C1CC1 ZINC000174172294 228287593 /nfs/dbraw/zinc/28/75/93/228287593.db2.gz WZCSKQPOHNIAJL-SFHVURJKSA-N 0 1 317.477 3.231 20 30 DGEDMN Cc1cc([C@H](C)N[C@H](C)C(=O)NC2(C#N)CCCCC2)c(C)o1 ZINC000183800188 228376470 /nfs/dbraw/zinc/37/64/70/228376470.db2.gz AYGPXULTYWHVCG-UONOGXRCSA-N 0 1 317.433 3.278 20 30 DGEDMN C[C@@H]1[C@@H](c2ccccc2)CC[N@H+]1CC(=O)Nc1cccc(C#N)c1 ZINC000177543685 228332630 /nfs/dbraw/zinc/33/26/30/228332630.db2.gz URXFMBIOLVENFO-BEFAXECRSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@@H]1[C@@H](c2ccccc2)CCN1CC(=O)Nc1cccc(C#N)c1 ZINC000177543685 228332631 /nfs/dbraw/zinc/33/26/31/228332631.db2.gz URXFMBIOLVENFO-BEFAXECRSA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CN1CCCC(C)(C)[C@@H]1C#N ZINC000333504412 229038529 /nfs/dbraw/zinc/03/85/29/229038529.db2.gz DEGWMUOMUDMDDM-KRWDZBQOSA-N 0 1 309.417 3.090 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc3nc(C)sc3c2)CC1 ZINC000342819363 229119074 /nfs/dbraw/zinc/11/90/74/229119074.db2.gz APKDIOMTJIINKE-UHFFFAOYSA-N 0 1 315.442 3.357 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cccc(N(C)C)c3)[nH]c21 ZINC000338677809 229067672 /nfs/dbraw/zinc/06/76/72/229067672.db2.gz STABIAHMOUTISX-HNNXBMFYSA-N 0 1 318.380 3.427 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cncc(C(F)(F)F)c2)n1 ZINC000344838418 229144289 /nfs/dbraw/zinc/14/42/89/229144289.db2.gz DRZVMWKLTNYYKE-SNVBAGLBSA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2cncc(C(F)(F)F)c2)n1 ZINC000344838418 229144293 /nfs/dbraw/zinc/14/42/93/229144293.db2.gz DRZVMWKLTNYYKE-SNVBAGLBSA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cccc4c3OCO4)[nH]c21 ZINC000345406636 229152282 /nfs/dbraw/zinc/15/22/82/229152282.db2.gz LCJHNDYFMJCSLV-GFCCVEGCSA-N 0 1 319.320 3.090 20 30 DGEDMN C[C@H]1C[C@H](C)N(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000350085227 229219525 /nfs/dbraw/zinc/21/95/25/229219525.db2.gz RYFCBGXXMFGKQS-GJZGRUSLSA-N 0 1 309.413 3.468 20 30 DGEDMN N#Cc1cc(F)ccc1NCc1n[nH]c(COc2ccccc2)n1 ZINC000302307000 230212165 /nfs/dbraw/zinc/21/21/65/230212165.db2.gz ZVEAFZSDSHCTGY-UHFFFAOYSA-N 0 1 323.331 3.007 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1C ZINC000346445929 264033397 /nfs/dbraw/zinc/03/33/97/264033397.db2.gz DPOVZQJWRCSLPF-NWDGAFQWSA-N 0 1 320.824 3.158 20 30 DGEDMN Cc1[nH]ncc1CNC(=O)c1sc2ccc(C#N)cc2c1C ZINC000346542424 264050266 /nfs/dbraw/zinc/05/02/66/264050266.db2.gz BCHVGJDHMUBDMS-UHFFFAOYSA-N 0 1 310.382 3.043 20 30 DGEDMN CO[C@@H]1CCCC[C@@H]1NCc1ccc(Br)cc1C#N ZINC000347114799 264159663 /nfs/dbraw/zinc/15/96/63/264159663.db2.gz AHDPBRPLPZRHCC-LSDHHAIUSA-N 0 1 323.234 3.368 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@@H]1CCCC[C@H]1O ZINC000347203415 264175802 /nfs/dbraw/zinc/17/58/02/264175802.db2.gz PMYLANUVRTYZCM-HUUCEWRRSA-N 0 1 323.234 3.056 20 30 DGEDMN CN(CC[C@H]1CCCO1)Cc1ccc(Br)cc1C#N ZINC000347256759 264184664 /nfs/dbraw/zinc/18/46/64/264184664.db2.gz WVFAGJRHLUBMAK-OAHLLOKOSA-N 0 1 323.234 3.322 20 30 DGEDMN COC(=O)c1cccc(CN(CCC(C)C)C2CC2)c1C#N ZINC000534490010 270005085 /nfs/dbraw/zinc/00/50/85/270005085.db2.gz UFFYLDJIEBFOKY-UHFFFAOYSA-N 0 1 300.402 3.355 20 30 DGEDMN C[C@H](NCc1cccc(C#N)c1)C(=O)N1c2ccccc2C[C@H]1C ZINC000352469651 265061058 /nfs/dbraw/zinc/06/10/58/265061058.db2.gz FNJPIJWZWFFNMQ-CABCVRRESA-N 0 1 319.408 3.014 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3ccc(C#N)cc32)cc1[N+](=O)[O-] ZINC000353276364 265371691 /nfs/dbraw/zinc/37/16/91/265371691.db2.gz SDEVHEXSZZYCTH-INIZCTEOSA-N 0 1 323.352 3.252 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3ccc(C#N)cc32)c(OC)c1 ZINC000353405254 265417872 /nfs/dbraw/zinc/41/78/72/265417872.db2.gz VWMHPOACUWUJSV-GOSISDBHSA-N 0 1 308.381 3.353 20 30 DGEDMN Cn1cc(CN(Cc2cccs2)C[C@H]2CCCO2)cc1C#N ZINC000191189356 432232806 /nfs/dbraw/zinc/23/28/06/432232806.db2.gz CZFMVBUYQNSRRU-MRXNPFEDSA-N 0 1 315.442 3.139 20 30 DGEDMN Cn1cc(CN2CCC[C@H]2c2ccc3c(c2)OCCO3)cc1C#N ZINC000191182737 432232957 /nfs/dbraw/zinc/23/29/57/432232957.db2.gz ZDRSBGVXBGYMQV-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN C[C@@H]1CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC12CCC2 ZINC000357031463 267023402 /nfs/dbraw/zinc/02/34/02/267023402.db2.gz GFWPYAFETUDDKY-CRAIPNDOSA-N 0 1 323.440 3.176 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1ccccc1C#N ZINC000353554686 267056649 /nfs/dbraw/zinc/05/66/49/267056649.db2.gz LBQMLUGPWQUVCX-QGZVFWFLSA-N 0 1 305.425 3.265 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@@H](C)C2(CCC2)C1 ZINC000357038081 267138653 /nfs/dbraw/zinc/13/86/53/267138653.db2.gz MQLYBJCIGKBSAO-HUUCEWRRSA-N 0 1 311.429 3.397 20 30 DGEDMN COC(=O)CCC1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC000527127951 267359798 /nfs/dbraw/zinc/35/97/98/267359798.db2.gz FBFQWHNSNBMESP-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](NCc1cccc3c1OCCCO3)CC2 ZINC000353252069 268223057 /nfs/dbraw/zinc/22/30/57/268223057.db2.gz IYVBBLYFCKGRGT-GOSISDBHSA-N 0 1 320.392 3.497 20 30 DGEDMN C#CCN(CC)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000299572188 275380916 /nfs/dbraw/zinc/38/09/16/275380916.db2.gz CKYWTNRFMATTTO-UHFFFAOYSA-N 0 1 320.436 3.414 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H]1CCSc2ccc(Cl)cc21 ZINC000193348233 276902353 /nfs/dbraw/zinc/90/23/53/276902353.db2.gz IZFRXLIDFJVSOP-MFKMUULPSA-N 0 1 310.850 3.157 20 30 DGEDMN CC(C)(CC#N)CNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000457989361 277294737 /nfs/dbraw/zinc/29/47/37/277294737.db2.gz FCKGDEVBPCIDQG-QGZVFWFLSA-N 0 1 313.445 3.097 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N(C)C1CCCCC1 ZINC000271706080 278364473 /nfs/dbraw/zinc/36/44/73/278364473.db2.gz UXJDHALZMVPZAG-LSDHHAIUSA-N 0 1 313.445 3.388 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000108357079 431133063 /nfs/dbraw/zinc/13/30/63/431133063.db2.gz PHLUNFLOCPMZPE-CYBMUJFWSA-N 0 1 305.447 3.361 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000108357076 431133495 /nfs/dbraw/zinc/13/34/95/431133495.db2.gz PHLUNFLOCPMZPE-ZDUSSCGKSA-N 0 1 305.447 3.361 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN(C)CC2CCC2)c1 ZINC000111298526 431206975 /nfs/dbraw/zinc/20/69/75/431206975.db2.gz URSTZJFVAJKTLB-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN(C)CC2CCC2)c1C ZINC000111297327 431209803 /nfs/dbraw/zinc/20/98/03/431209803.db2.gz KJKXVPZXDJFOPO-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(-c3ccccc3C)c2)n1 ZINC000112222372 431254904 /nfs/dbraw/zinc/25/49/04/431254904.db2.gz MXWVTJPYCXUIGS-AWEZNQCLSA-N 0 1 322.393 3.436 20 30 DGEDMN CC(C)N(CCCSCC#N)CC(=O)Nc1ccc(F)cc1 ZINC000072515934 431340648 /nfs/dbraw/zinc/34/06/48/431340648.db2.gz DYPNXZCGZSRUMO-UHFFFAOYSA-N 0 1 323.437 3.121 20 30 DGEDMN CCS[C@@H]1CC[C@H](N(C)CC(=O)Nc2cccc(C#N)c2)C1 ZINC000072838026 431349399 /nfs/dbraw/zinc/34/93/99/431349399.db2.gz OTAJYXDFJUYGLN-JKSUJKDBSA-N 0 1 317.458 3.103 20 30 DGEDMN CC(C)(C#N)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC000114340626 431385782 /nfs/dbraw/zinc/38/57/82/431385782.db2.gz FNVIHHUDMDSYDV-UHFFFAOYSA-N 0 1 305.341 3.113 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(-c3ccccc3)c2)n1 ZINC000073975651 431390932 /nfs/dbraw/zinc/39/09/32/431390932.db2.gz KJGDQFLRQRHLPS-CQSZACIVSA-N 0 1 308.366 3.127 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H](C(C)(C)C)CC2)CC1 ZINC000116089951 431485303 /nfs/dbraw/zinc/48/53/03/431485303.db2.gz JGWKWFQNUQCVJN-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN Cc1csc(C(C)(C)NCCOc2ccc(C#N)cc2)n1 ZINC000118849185 431624241 /nfs/dbraw/zinc/62/42/41/431624241.db2.gz PHPHQXULXGXIAF-UHFFFAOYSA-N 0 1 301.415 3.227 20 30 DGEDMN C=CCCOCCNCc1ccc(Br)cc1F ZINC000120815696 431733327 /nfs/dbraw/zinc/73/33/27/431733327.db2.gz HMMVCOCLHZTDSZ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](c1ncccc1C)C(C)C ZINC000120793024 431734669 /nfs/dbraw/zinc/73/46/69/431734669.db2.gz IMMNIDSKRNOZOT-QGZVFWFLSA-N 0 1 303.450 3.101 20 30 DGEDMN COC(=O)[C@@H](C)[C@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC000079923140 431753580 /nfs/dbraw/zinc/75/35/80/431753580.db2.gz PZJFFYFXHVEGTL-KSSFIOAISA-N 0 1 308.381 3.198 20 30 DGEDMN C=CCOCCCC(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000080332851 431767162 /nfs/dbraw/zinc/76/71/62/431767162.db2.gz YLNXGWDSXPUZAD-UHFFFAOYSA-N 0 1 320.780 3.046 20 30 DGEDMN C[C@@H]1CCN(Cc2cn3ccccc3c2C#N)C[C@@H]1n1ccnc1 ZINC000122853823 431847347 /nfs/dbraw/zinc/84/73/47/431847347.db2.gz DHBJDSMGZSOPHF-BEFAXECRSA-N 0 1 319.412 3.091 20 30 DGEDMN COc1cc(OC)cc(C(=O)C(C#N)c2nc3ccccc3o2)c1 ZINC000041545796 431860308 /nfs/dbraw/zinc/86/03/08/431860308.db2.gz JDPJPPKBLVLBNF-AWEZNQCLSA-N 0 1 322.320 3.335 20 30 DGEDMN C=CC1CCN(Cc2c(C)nn(C)c2OCC(F)(F)F)CC1 ZINC000125000131 431910887 /nfs/dbraw/zinc/91/08/87/431910887.db2.gz BUKNYFCHWSBEAR-UHFFFAOYSA-N 0 1 317.355 3.068 20 30 DGEDMN C[C@H]1CN(CC(C)(C)CCC#N)CCN1C(=O)OC(C)(C)C ZINC000124606337 431898880 /nfs/dbraw/zinc/89/88/80/431898880.db2.gz GCWPSVLNCQCQHB-AWEZNQCLSA-N 0 1 309.454 3.258 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2cccc(F)c2C#N)CC1 ZINC000091918367 431906817 /nfs/dbraw/zinc/90/68/17/431906817.db2.gz VEEPKIVCUZCXSU-UHFFFAOYSA-N 0 1 314.408 3.149 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2nnc(-c3ccc(C#N)cc3)o2)C[C@H](C)C1 ZINC000127514967 431974552 /nfs/dbraw/zinc/97/45/52/431974552.db2.gz YXXHHWWGYXUUDG-UONOGXRCSA-N 0 1 310.401 3.476 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1c(O)cccc1F ZINC000127349229 431970008 /nfs/dbraw/zinc/97/00/08/431970008.db2.gz NXUYHRQRKQOOKL-ZBFHGGJFSA-N 0 1 324.355 3.443 20 30 DGEDMN CC1(C)[C@@H]2CN(Cc3cc(C#N)ccc3Br)C[C@@H]21 ZINC000191794953 432302365 /nfs/dbraw/zinc/30/23/65/432302365.db2.gz HBQJTRKBMSKKSO-BETUJISGSA-N 0 1 305.219 3.409 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1[nH]nc2ccccc21 ZINC000060167144 431998782 /nfs/dbraw/zinc/99/87/82/431998782.db2.gz VUEJVMOBRFIDCP-UHFFFAOYSA-N 0 1 305.381 3.434 20 30 DGEDMN Cn1cc(CN2CCC[C@@H]2CC(=O)c2ccccc2)cc1C#N ZINC000191403122 432261060 /nfs/dbraw/zinc/26/10/60/432261060.db2.gz QFDJKIKSNBGFFY-QGZVFWFLSA-N 0 1 307.397 3.134 20 30 DGEDMN CCCC[C@H](CNC(=O)OC(C)(C)C)NCc1ccc(C#N)o1 ZINC000151656180 432264282 /nfs/dbraw/zinc/26/42/82/432264282.db2.gz CQMPZEPLNUVXEC-CYBMUJFWSA-N 0 1 321.421 3.324 20 30 DGEDMN C[C@@H](NCC#Cc1ccccc1)c1cccc(N2CCOC2=O)c1 ZINC000192154306 432337774 /nfs/dbraw/zinc/33/77/74/432337774.db2.gz KLRCYJXRTVSDKH-MRXNPFEDSA-N 0 1 320.392 3.346 20 30 DGEDMN Cc1cnc(C(C)(C)NCCOc2ccccc2C#N)s1 ZINC000183956155 432339261 /nfs/dbraw/zinc/33/92/61/432339261.db2.gz KYODHJWETJMKBW-UHFFFAOYSA-N 0 1 301.415 3.227 20 30 DGEDMN CC(C)(C)OC(=O)NCC1(NCc2ccc(C#N)o2)CCCC1 ZINC000174375614 432342471 /nfs/dbraw/zinc/34/24/71/432342471.db2.gz WUESSFXIWFSWQV-UHFFFAOYSA-N 0 1 319.405 3.078 20 30 DGEDMN C[C@H](C[N@@H+](C)CCOc1ccccc1C#N)c1nccs1 ZINC000184022168 432345006 /nfs/dbraw/zinc/34/50/06/432345006.db2.gz AOCIFKCLJIMYHI-CYBMUJFWSA-N 0 1 301.415 3.129 20 30 DGEDMN CCS[C@@H]1CC[C@H](N(C)CC(=O)Nc2sccc2C#N)C1 ZINC000173868079 432304266 /nfs/dbraw/zinc/30/42/66/432304266.db2.gz IXHOCKXJQXJHMT-QWHCGFSZSA-N 0 1 323.487 3.164 20 30 DGEDMN COc1cc(C(=O)Nc2ccccc2S[C@@H](C)CC#N)on1 ZINC000153204702 432393117 /nfs/dbraw/zinc/39/31/17/432393117.db2.gz KZYXLEWMOKCKOA-JTQLQIEISA-N 0 1 317.370 3.330 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc2c(c1)OCO2 ZINC000271490550 432407005 /nfs/dbraw/zinc/40/70/05/432407005.db2.gz OTYRDPAPJOUPGO-AWEZNQCLSA-N 0 1 309.365 3.278 20 30 DGEDMN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000185669154 432522435 /nfs/dbraw/zinc/52/24/35/432522435.db2.gz FJYBTWBRJZYIOZ-HZMBPMFUSA-N 0 1 305.447 3.296 20 30 DGEDMN CCCOc1ccc(OCCN2CCC(C)(C#N)CC2)cc1 ZINC000272562144 432524996 /nfs/dbraw/zinc/52/49/96/432524996.db2.gz LYCCERWXOARMHG-UHFFFAOYSA-N 0 1 302.418 3.480 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000186603122 432611631 /nfs/dbraw/zinc/61/16/31/432611631.db2.gz QOJRQFXSGXKXSM-HXUWFJFHSA-N 0 1 320.392 3.198 20 30 DGEDMN Cc1sc(NC(=O)CN([C@@H](C)C2CC2)C2CC2)c(C#N)c1C ZINC000177022061 432575983 /nfs/dbraw/zinc/57/59/83/432575983.db2.gz CGXNLWYZWLIEFI-NSHDSACASA-N 0 1 317.458 3.438 20 30 DGEDMN Cc1sc(NC(=O)CN(C)CC2CCCC2)c(C#N)c1C ZINC000177081493 432583820 /nfs/dbraw/zinc/58/38/20/432583820.db2.gz QJOICIYYLQZVRH-UHFFFAOYSA-N 0 1 305.447 3.297 20 30 DGEDMN N#C[C@H](C(=O)c1csc(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000194987638 432592260 /nfs/dbraw/zinc/59/22/60/432592260.db2.gz HFJVOXHNTZXKCH-SNVBAGLBSA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1csc(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000194987638 432592263 /nfs/dbraw/zinc/59/22/63/432592263.db2.gz HFJVOXHNTZXKCH-SNVBAGLBSA-N 0 1 308.366 3.387 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCN(c2ccccc2)CC1 ZINC000156270889 432592990 /nfs/dbraw/zinc/59/29/90/432592990.db2.gz OLLMHLRZVZOSSI-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN Cc1cc(C2CCN(c3ccc(Cl)c(C#N)n3)CC2)[nH]n1 ZINC000273389352 432594051 /nfs/dbraw/zinc/59/40/51/432594051.db2.gz ZVUXKAWEPMSADA-UHFFFAOYSA-N 0 1 301.781 3.022 20 30 DGEDMN CN1c2ccc(-c3nc4ccc(C#N)cc4[nH]3)cc2CCC1=O ZINC000186832921 432633442 /nfs/dbraw/zinc/63/34/42/432633442.db2.gz WKWBGRXVSLEWIF-UHFFFAOYSA-N 0 1 302.337 3.011 20 30 DGEDMN C=CCCCCNCc1c(Cl)cccc1S(C)(=O)=O ZINC000274419381 432665482 /nfs/dbraw/zinc/66/54/82/432665482.db2.gz GMUBDFMMMIUKOS-UHFFFAOYSA-N 0 1 301.839 3.189 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000187923053 432692732 /nfs/dbraw/zinc/69/27/32/432692732.db2.gz VALBKJKUHWNFQG-CRAIPNDOSA-N 0 1 304.434 3.443 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000187923084 432692981 /nfs/dbraw/zinc/69/29/81/432692981.db2.gz VALBKJKUHWNFQG-QAPCUYQASA-N 0 1 304.434 3.443 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OC(F)F)cc2)cc1O ZINC000188218549 432711620 /nfs/dbraw/zinc/71/16/20/432711620.db2.gz CMFDZTCKOMCBBQ-UHFFFAOYSA-N 0 1 304.252 3.118 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCOc1ccc(F)cc1 ZINC000160361166 432717661 /nfs/dbraw/zinc/71/76/61/432717661.db2.gz DHXZUFJHNLLIJL-UHFFFAOYSA-N 0 1 314.360 3.217 20 30 DGEDMN CN(CCC#N)c1ccc(CNCc2ccccc2[N+](=O)[O-])cc1 ZINC000237200031 432779314 /nfs/dbraw/zinc/77/93/14/432779314.db2.gz NWUXLIPCQDSFFG-UHFFFAOYSA-N 0 1 324.384 3.234 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1cc(Cl)c(F)c(Cl)c1 ZINC000232039743 432736037 /nfs/dbraw/zinc/73/60/37/432736037.db2.gz JKWONZKEKWEODV-SSDOTTSWSA-N 0 1 311.165 3.176 20 30 DGEDMN C[C@@H](CN1CCN(c2cc(C#N)ccn2)CC1)c1ccc(F)cc1 ZINC000275739032 432744326 /nfs/dbraw/zinc/74/43/26/432744326.db2.gz QPAZBTFJLABOAC-HNNXBMFYSA-N 0 1 324.403 3.018 20 30 DGEDMN CN1CCN(c2ccc(Cl)c(C#N)n2)[C@@H](c2ccccc2)C1 ZINC000267236474 432825398 /nfs/dbraw/zinc/82/53/98/432825398.db2.gz QYAYFCDRDPTTFY-MRXNPFEDSA-N 0 1 312.804 3.100 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]2CCC[C@H]21 ZINC000245446478 432831486 /nfs/dbraw/zinc/83/14/86/432831486.db2.gz LDJLLEZTCCHSEP-MLGOLLRUSA-N 0 1 317.820 3.415 20 30 DGEDMN OCC[C@@H]1CCCC[N@H+]1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000267421985 432835051 /nfs/dbraw/zinc/83/50/51/432835051.db2.gz WPLWEJZOZCBPMV-INIZCTEOSA-N 0 1 311.347 3.294 20 30 DGEDMN C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1CCCSCC#N ZINC000245498176 432837689 /nfs/dbraw/zinc/83/76/89/432837689.db2.gz VOGPYXVIQAUPEH-BFYDXBDKSA-N 0 1 304.459 3.484 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@H](c1ccc(OC)cc1)C(C)C ZINC000276353425 432800005 /nfs/dbraw/zinc/80/00/05/432800005.db2.gz DPGHSSWXAPSING-SFHVURJKSA-N 0 1 304.434 3.016 20 30 DGEDMN CC[C@@]1(CO)CCCN1Cc1ccc(C#N)cc1Br ZINC000189607105 432803456 /nfs/dbraw/zinc/80/34/56/432803456.db2.gz HISKNCHEXHVCTF-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN C=CCCCC(=O)N1CCCN(Cc2ccc(OC)cc2)CC1 ZINC000267123366 432814805 /nfs/dbraw/zinc/81/48/05/432814805.db2.gz UMPPCDITOKJYSR-UHFFFAOYSA-N 0 1 316.445 3.086 20 30 DGEDMN N#Cc1ccccc1CN(C[C@@H]1CCCO1)[C@H]1CCSC1 ZINC000245888721 432867891 /nfs/dbraw/zinc/86/78/91/432867891.db2.gz ODRUWYIWVCBYJE-IRXDYDNUSA-N 0 1 302.443 3.045 20 30 DGEDMN C[C@H]1CC[C@H]([NH+]=C([O-])N2CC[C@@H](C)[C@H](n3ccnc3)C2)CC1 ZINC000329967877 432884974 /nfs/dbraw/zinc/88/49/74/432884974.db2.gz VVDUPBCJORIVNO-KLHDSHLOSA-N 0 1 304.438 3.259 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000278182156 432889344 /nfs/dbraw/zinc/88/93/44/432889344.db2.gz CPAFERASMDPZCU-CQSZACIVSA-N 0 1 312.373 3.293 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)CCc2nc(C3CCCC3)no2)CC[N@H+]1C ZINC000330032082 432889427 /nfs/dbraw/zinc/88/94/27/432889427.db2.gz UMBIJYUHNUJCHV-OCCSQVGLSA-N 0 1 320.437 3.099 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCCC3(CC3)C1)CC2 ZINC000330118109 432892809 /nfs/dbraw/zinc/89/28/09/432892809.db2.gz YAMQGFUBLTYMQZ-OAHLLOKOSA-N 0 1 316.449 3.111 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2ccc(C#N)cc2[N+](=O)[O-])n[nH]1 ZINC000277469788 432852253 /nfs/dbraw/zinc/85/22/53/432852253.db2.gz RKAVHFMZHZZMQG-UWVGGRQHSA-N 0 1 314.349 3.271 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2ncc(C#N)cc2Cl)n[nH]1 ZINC000277478108 432853392 /nfs/dbraw/zinc/85/33/92/432853392.db2.gz SGDYYBRNWBDIGU-DTWKUNHWSA-N 0 1 304.785 3.411 20 30 DGEDMN C=CC[C@@H]1N(C(=O)N[C@@H](C)Cc2cc(C)[nH]n2)CCCC1(C)C ZINC000279029889 432927638 /nfs/dbraw/zinc/92/76/38/432927638.db2.gz JYXOJNNFJPCYHI-BBRMVZONSA-N 0 1 318.465 3.425 20 30 DGEDMN COC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cc(C#N)n(C)c1 ZINC000279104033 432935295 /nfs/dbraw/zinc/93/52/95/432935295.db2.gz VFQLOUSUSZEZAA-KRWDZBQOSA-N 0 1 317.820 3.370 20 30 DGEDMN COC[C@@H](c1ccc(Cl)cc1)N(C)Cc1cc(C#N)n(C)c1 ZINC000279104033 432935296 /nfs/dbraw/zinc/93/52/96/432935296.db2.gz VFQLOUSUSZEZAA-KRWDZBQOSA-N 0 1 317.820 3.370 20 30 DGEDMN COC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cc(C#N)n(C)c1 ZINC000279104034 432935341 /nfs/dbraw/zinc/93/53/41/432935341.db2.gz VFQLOUSUSZEZAA-QGZVFWFLSA-N 0 1 317.820 3.370 20 30 DGEDMN COC[C@H](c1ccc(Cl)cc1)N(C)Cc1cc(C#N)n(C)c1 ZINC000279104034 432935343 /nfs/dbraw/zinc/93/53/43/432935343.db2.gz VFQLOUSUSZEZAA-QGZVFWFLSA-N 0 1 317.820 3.370 20 30 DGEDMN CC(C)c1ccsc1C(=O)NC[C@H]1CCCCN1CCO ZINC000331336044 432939222 /nfs/dbraw/zinc/93/92/22/432939222.db2.gz QGZQDGMWJCPJKY-CYBMUJFWSA-N 0 1 310.463 3.023 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330243319 432899999 /nfs/dbraw/zinc/89/99/99/432899999.db2.gz CPDSYBHLTCYPIW-ZNMIVQPWSA-N 0 1 304.438 3.013 20 30 DGEDMN C[C@H](C(=O)N[C@]1(C#N)CCC[C@@H](C)C1)N(C)Cc1ccccc1 ZINC000330481249 432912252 /nfs/dbraw/zinc/91/22/52/432912252.db2.gz PAYJCWNMOKPLHZ-GPMSIDNRSA-N 0 1 313.445 3.096 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N[C@H](C)c1n[nH]c([C@@H](C)CC)n1 ZINC000297476695 432995661 /nfs/dbraw/zinc/99/56/61/432995661.db2.gz SIWJYDSVYGCPOM-NWDGAFQWSA-N 0 1 307.442 3.281 20 30 DGEDMN C=C(Br)CN[C@@H](c1cccs1)C(C)(C)CO ZINC000289438519 432959872 /nfs/dbraw/zinc/95/98/72/432959872.db2.gz WHTGVQZOZIELRK-NSHDSACASA-N 0 1 304.253 3.306 20 30 DGEDMN CN(C)C[C@@H](NCc1ccc(C#N)cc1F)c1ccc(F)cc1 ZINC000290194139 433010480 /nfs/dbraw/zinc/01/04/80/433010480.db2.gz TYRIDVNBMFZQJO-GOSISDBHSA-N 0 1 315.367 3.229 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)N(CC)CC ZINC000297878592 433028155 /nfs/dbraw/zinc/02/81/55/433028155.db2.gz NFIZZZFIQYHOHN-OAHLLOKOSA-N 0 1 301.434 3.027 20 30 DGEDMN CCCOc1ccc(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000354391263 433055764 /nfs/dbraw/zinc/05/57/64/433055764.db2.gz BZAKQINMHDJDLQ-ZDUSSCGKSA-N 0 1 315.760 3.409 20 30 DGEDMN CCCOc1ccc(Cl)cc1C(=O)[C@@H](C#N)c1ccncn1 ZINC000354391263 433055770 /nfs/dbraw/zinc/05/57/70/433055770.db2.gz BZAKQINMHDJDLQ-ZDUSSCGKSA-N 0 1 315.760 3.409 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc(OC)c(O)c1 ZINC000290634294 433124404 /nfs/dbraw/zinc/12/44/04/433124404.db2.gz JCIYRZPSQINWMF-CQSZACIVSA-N 0 1 311.381 3.264 20 30 DGEDMN C[C@@H]1CN(Cc2cccc(OCCCC#N)c2)C[C@H](C2CC2)O1 ZINC000373474293 433153079 /nfs/dbraw/zinc/15/30/79/433153079.db2.gz MAGNGFDNQTTXEB-DNVCBOLYSA-N 0 1 314.429 3.368 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(OCC#N)cc2)cc1O ZINC000290592451 433108264 /nfs/dbraw/zinc/10/82/64/433108264.db2.gz GOPSKMURHKKTPH-ZDUSSCGKSA-N 0 1 312.369 3.154 20 30 DGEDMN CC[N@@H+](CC1CCC1)[C@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000361480158 433198781 /nfs/dbraw/zinc/19/87/81/433198781.db2.gz BTDCHRZDSOJYMK-MRXNPFEDSA-N 0 1 313.445 3.444 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](n2ncc3ccccc32)C1 ZINC000374744394 433268476 /nfs/dbraw/zinc/26/84/76/433268476.db2.gz RGVUTALRYRZQHT-GOSISDBHSA-N 0 1 302.381 3.355 20 30 DGEDMN N#CC(C(=O)c1ccc(C2CC2)cn1)c1cnc2ccccc2n1 ZINC000361531984 433226043 /nfs/dbraw/zinc/22/60/43/433226043.db2.gz UXDICWMCGMCVLI-CQSZACIVSA-N 0 1 314.348 3.392 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N[C@@H](C#N)C(C)(C)C)c1ccccc1 ZINC000291359592 433235307 /nfs/dbraw/zinc/23/53/07/433235307.db2.gz BEJMFZKZJCCAFR-JKSUJKDBSA-N 0 1 301.434 3.124 20 30 DGEDMN COC(=O)c1cnc([C@H](C)NCCCCC(C)(C)C#N)s1 ZINC000425477077 433257549 /nfs/dbraw/zinc/25/75/49/433257549.db2.gz AWLMGFRCUVTCKQ-NSHDSACASA-N 0 1 309.435 3.300 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)nn1C(C)C ZINC000453676793 433259399 /nfs/dbraw/zinc/25/93/99/433259399.db2.gz ULULVBWXTXJITR-CYBMUJFWSA-N 0 1 321.384 3.447 20 30 DGEDMN CSc1ccc([C@H](C)NCC(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000281343331 433289086 /nfs/dbraw/zinc/28/90/86/433289086.db2.gz MPJAARBVRXWEFT-GUYCJALGSA-N 0 1 319.474 3.114 20 30 DGEDMN CC1=C(C)CN([C@@H](C)C(=O)N(C)C2(C#N)CCC(C)CC2)CC1 ZINC000361620328 433296761 /nfs/dbraw/zinc/29/67/61/433296761.db2.gz QEWVIOPCZOHCKA-KGYZHSKHSA-N 0 1 317.477 3.348 20 30 DGEDMN CC[C@H]1CCCC[N@H+]1[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447849891 433300348 /nfs/dbraw/zinc/30/03/48/433300348.db2.gz KCIRHDIFXKNITJ-WMZOPIPTSA-N 0 1 311.429 3.318 20 30 DGEDMN CC(C)Oc1ccc(NS(=O)(=O)c2ccsc2)cc1C#N ZINC000350871372 433313508 /nfs/dbraw/zinc/31/35/08/433313508.db2.gz QGMFGAYHPMVZKR-UHFFFAOYSA-N 0 1 322.411 3.208 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(Cc1ccc(F)cc1)C(C)C ZINC000299341719 433364726 /nfs/dbraw/zinc/36/47/26/433364726.db2.gz FAIRWVBDPMIASC-HNNXBMFYSA-N 0 1 319.424 3.044 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](CC(F)F)c1ccccc1 ZINC000420989235 433364778 /nfs/dbraw/zinc/36/47/78/433364778.db2.gz WTPWAKQPLVPWKE-OAHLLOKOSA-N 0 1 308.372 3.173 20 30 DGEDMN C#CCC(C)(C)C(=O)N[C@@H]1CC[N@H+](Cc2ccccc2)C[C@H]1C ZINC000375122893 433368202 /nfs/dbraw/zinc/36/82/02/433368202.db2.gz DGTBKTUKYGWDEN-SJLPKXTDSA-N 0 1 312.457 3.063 20 30 DGEDMN N#Cc1cc(CN[C@@]2(CO)CCCc3ccccc32)ccc1F ZINC000281638255 433335325 /nfs/dbraw/zinc/33/53/25/433335325.db2.gz ISJIEWOMUYZMBB-LJQANCHMSA-N 0 1 310.372 3.011 20 30 DGEDMN CN1CCN(Cc2cc(C#N)ccc2F)C[C@H]1Cc1ccccc1 ZINC000341969653 433386950 /nfs/dbraw/zinc/38/69/50/433386950.db2.gz NIXOANIFGYVKQD-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)C[C@H]1Cc1ccccc1 ZINC000341983929 433394950 /nfs/dbraw/zinc/39/49/50/433394950.db2.gz DCNFBQURCHXHQU-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)c2ccc(Cl)cc2F)CC1 ZINC000281830715 433398553 /nfs/dbraw/zinc/39/85/53/433398553.db2.gz NLNYYLXSBHIGNQ-UHFFFAOYSA-N 0 1 322.811 3.177 20 30 DGEDMN COc1ccc(OCCN(C)CC#Cc2ccc(F)cc2)cc1 ZINC000448465538 433407610 /nfs/dbraw/zinc/40/76/10/433407610.db2.gz KOFJJDBVPXKSFB-UHFFFAOYSA-N 0 1 313.372 3.197 20 30 DGEDMN CCC(CC)CN(CCC#N)CCC(=O)Nc1cccnc1 ZINC000361829598 433407801 /nfs/dbraw/zinc/40/78/01/433407801.db2.gz NYRRFEHWYGCBGJ-UHFFFAOYSA-N 0 1 302.422 3.062 20 30 DGEDMN N#CC1(CCCN2CCc3cccc(F)c3C2)CCOCC1 ZINC000281882231 433417626 /nfs/dbraw/zinc/41/76/26/433417626.db2.gz DCHNPDAXAYSMTC-UHFFFAOYSA-N 0 1 302.393 3.284 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCN(CCc2ccccc2)CC1 ZINC000301161124 433437401 /nfs/dbraw/zinc/43/74/01/433437401.db2.gz BZNBSOQQBOMIQO-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN CN(Cc1cn2ccccc2c1C#N)CC1(O)CCCCCC1 ZINC000426210278 433440593 /nfs/dbraw/zinc/44/05/93/433440593.db2.gz XPEUTLQQYGMFEH-UHFFFAOYSA-N 0 1 311.429 3.328 20 30 DGEDMN C[C@@H]1CN(CCCNc2ccc(C#N)c(Cl)c2)C[C@H](C)O1 ZINC000301187359 433444840 /nfs/dbraw/zinc/44/48/40/433444840.db2.gz SYFHLYRMSAWUFO-BETUJISGSA-N 0 1 307.825 3.123 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N(C)C1CCCCC1 ZINC000271706075 289993900 /nfs/dbraw/zinc/99/39/00/289993900.db2.gz UXJDHALZMVPZAG-GJZGRUSLSA-N 0 1 313.445 3.388 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1c1cccc(F)c1C#N ZINC000301238869 433463892 /nfs/dbraw/zinc/46/38/92/433463892.db2.gz FGONDHRYBUTSNH-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2Cc2ccc(C#N)s2)n1 ZINC000362086595 433516882 /nfs/dbraw/zinc/51/68/82/433516882.db2.gz MDHIXYOTXWNYCZ-AWEZNQCLSA-N 0 1 312.442 3.400 20 30 DGEDMN C[C@H]1CN(CCCNc2c(C#N)cnc3ccccc32)C[C@H](C)O1 ZINC000301371270 433527865 /nfs/dbraw/zinc/52/78/65/433527865.db2.gz VLJYIMPDFFESBH-GJZGRUSLSA-N 0 1 324.428 3.018 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1ccc(OCCOC)c(OC)c1 ZINC000426557496 433532621 /nfs/dbraw/zinc/53/26/21/433532621.db2.gz RNUHCGCXWSCPGP-MRXNPFEDSA-N 0 1 305.418 3.261 20 30 DGEDMN CC(C)N(C)c1ccc(CNCc2ccc(C#N)s2)cn1 ZINC000421353794 433495081 /nfs/dbraw/zinc/49/50/81/433495081.db2.gz UQNUYRUHZULXCG-UHFFFAOYSA-N 0 1 300.431 3.149 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)OC(C)(C)C)CN(Cc2ccc(C#N)s2)C1 ZINC000421368651 433509815 /nfs/dbraw/zinc/50/98/15/433509815.db2.gz AJOPAXUYICDUOD-CHWSQXEVSA-N 0 1 320.458 3.419 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2cc(C(F)(F)F)ccn2)ccn1 ZINC000362182408 433564329 /nfs/dbraw/zinc/56/43/29/433564329.db2.gz HKANDTKUHRWRGP-GFCCVEGCSA-N 0 1 305.259 3.294 20 30 DGEDMN CN(C)Cc1cc(NCc2cc(C#N)n(C)c2)ccc1Cl ZINC000282250427 433544147 /nfs/dbraw/zinc/54/41/47/433544147.db2.gz OMLSPCUQWKEBNN-UHFFFAOYSA-N 0 1 302.809 3.224 20 30 DGEDMN C=CC1CCN([C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000427010125 433643610 /nfs/dbraw/zinc/64/36/10/433643610.db2.gz VKZYSVPWJPSWFF-MRXNPFEDSA-N 0 1 304.821 3.343 20 30 DGEDMN COC[C@H](NCc1ccc(F)c(C#N)c1)c1ccc(F)cc1 ZINC000342316344 433611865 /nfs/dbraw/zinc/61/18/65/433611865.db2.gz LOODKAZVRHFNFZ-KRWDZBQOSA-N 0 1 302.324 3.314 20 30 DGEDMN CC(C)(C#N)CCN1CCN(c2cncc3ccccc32)CC1 ZINC000282718363 433626717 /nfs/dbraw/zinc/62/67/17/433626717.db2.gz BTWRUFZCODLVSA-UHFFFAOYSA-N 0 1 308.429 3.297 20 30 DGEDMN C=CCC1(CNC(=O)c2cccc(-c3nnc[nH]3)c2)CCCC1 ZINC000456481649 433687016 /nfs/dbraw/zinc/68/70/16/433687016.db2.gz BXYFKCFWWLPTGH-UHFFFAOYSA-N 0 1 310.401 3.338 20 30 DGEDMN CN(C)Cc1ccc(CNc2ccc(Cl)c(C#N)n2)cc1F ZINC000301898973 433783312 /nfs/dbraw/zinc/78/33/12/433783312.db2.gz XMVLZWUEUXGSKE-UHFFFAOYSA-N 0 1 318.783 3.419 20 30 DGEDMN COCCc1nc(C)c([C@H](C)NCc2ccc(C#N)s2)s1 ZINC000421872941 433787157 /nfs/dbraw/zinc/78/71/57/433787157.db2.gz HBXDFIOTAKNJLL-JTQLQIEISA-N 0 1 321.471 3.424 20 30 DGEDMN CCN(Cc1ccccc1C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343274212 433793396 /nfs/dbraw/zinc/79/33/96/433793396.db2.gz KJGUYDMXASMZDG-QFBILLFUSA-N 0 1 315.461 3.260 20 30 DGEDMN Cc1ccnc(N2C[C@H](C)N(Cc3ccccc3)C[C@@H]2C)c1C#N ZINC000343502421 433810128 /nfs/dbraw/zinc/81/01/28/433810128.db2.gz DOZKVHPDEQFKKH-IRXDYDNUSA-N 0 1 320.440 3.361 20 30 DGEDMN Cc1ccnc(N2CCN(Cc3ccccc3)C(C)(C)C2)c1C#N ZINC000343539685 433816026 /nfs/dbraw/zinc/81/60/26/433816026.db2.gz WMHNXUKSCSRGFG-UHFFFAOYSA-N 0 1 320.440 3.363 20 30 DGEDMN Cc1cc(CN[C@H](C)c2ccc(C#N)cc2)nc(N2CCCC2)n1 ZINC000293888353 433908935 /nfs/dbraw/zinc/90/89/35/433908935.db2.gz ZBAHNVCWBRUHKO-OAHLLOKOSA-N 0 1 321.428 3.108 20 30 DGEDMN CSC[C@H]1CCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000362958839 433930000 /nfs/dbraw/zinc/93/00/00/433930000.db2.gz SDWCLGZAOWUCSC-CYBMUJFWSA-N 0 1 312.385 3.487 20 30 DGEDMN N#Cc1ccc(OC2CCN(CCC(F)(F)F)CC2)c(F)c1 ZINC000285532707 433985711 /nfs/dbraw/zinc/98/57/11/433985711.db2.gz PYPLOMIPHFPNGD-UHFFFAOYSA-N 0 1 316.298 3.493 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1cc(C(C)=O)ccc1O ZINC000436677531 434061800 /nfs/dbraw/zinc/06/18/00/434061800.db2.gz XIYXAULDQQMZQH-UHFFFAOYSA-N 0 1 305.374 3.296 20 30 DGEDMN CC(C)CCO[C@@H]1CCCC[C@@H]1NCc1nc(C#N)cs1 ZINC000352864040 434062133 /nfs/dbraw/zinc/06/21/33/434062133.db2.gz YEOOVCULIGCMKX-LSDHHAIUSA-N 0 1 307.463 3.478 20 30 DGEDMN CNC(=O)CC[C@H]1CCCCN1Cc1ccc(C#N)cc1Cl ZINC000353167225 434071022 /nfs/dbraw/zinc/07/10/22/434071022.db2.gz AEBGCZJMBRASJW-OAHLLOKOSA-N 0 1 319.836 3.092 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](c1ccc(OC)cc1)N1CCCC1 ZINC000294433197 434112767 /nfs/dbraw/zinc/11/27/67/434112767.db2.gz RNJFOGJJPONIKZ-QGZVFWFLSA-N 0 1 316.445 3.161 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)Nc1cc(C(=O)CC)ccc1O ZINC000436833857 434128725 /nfs/dbraw/zinc/12/87/25/434128725.db2.gz NECFIUBJXHNPGB-KRWDZBQOSA-N 0 1 305.374 3.152 20 30 DGEDMN N#Cc1csc(CN2CCN(CCc3cccs3)CC2)c1 ZINC000507065205 294280030 /nfs/dbraw/zinc/28/00/30/294280030.db2.gz PWVKPHXFLJHVEF-UHFFFAOYSA-N 0 1 317.483 3.042 20 30 DGEDMN Cc1cccc(OCCN2CCN(c3ccccc3C#N)CC2)c1 ZINC000507287267 294292654 /nfs/dbraw/zinc/29/26/54/294292654.db2.gz SFRYXYFAHDMJQG-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN C[C@H](NCc1cccnc1-n1cccn1)c1ccc(C#N)c(F)c1 ZINC000346127534 434133601 /nfs/dbraw/zinc/13/36/01/434133601.db2.gz IDAFDCMPNFAPPP-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN N#C[C@@H](NC(=O)c1c(O)cccc1F)c1ccc(Cl)cc1 ZINC000436988252 434193354 /nfs/dbraw/zinc/19/33/54/434193354.db2.gz LAIACGQCUMOAAV-GFCCVEGCSA-N 0 1 304.708 3.179 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C[C@H](O)Cc1ccccc1 ZINC000450451303 434194783 /nfs/dbraw/zinc/19/47/83/434194783.db2.gz IYYAINDZJJONOI-HKBOAZHASA-N 0 1 320.436 3.362 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@H]1CC[C@H](O)CC1 ZINC000286676509 434287822 /nfs/dbraw/zinc/28/78/22/434287822.db2.gz ABAQFOUUBVBWMG-SHTZXODSSA-N 0 1 323.234 3.056 20 30 DGEDMN CCSCCN1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348330412 434289387 /nfs/dbraw/zinc/28/93/87/434289387.db2.gz NBURYDSVVPMEKS-MRXNPFEDSA-N 0 1 323.893 3.275 20 30 DGEDMN COc1cccc(C2=CCN(Cc3cc(C#N)n(C)c3)CC2)c1 ZINC000365232459 434291785 /nfs/dbraw/zinc/29/17/85/434291785.db2.gz DIWRHLNIALDIRQ-UHFFFAOYSA-N 0 1 307.397 3.195 20 30 DGEDMN Cc1cn2c(n1)[C@@H](CNC(=O)c1cccc3nc(C)[nH]c31)CCC2 ZINC000328684764 434296679 /nfs/dbraw/zinc/29/66/79/434296679.db2.gz FFOIYXVIZUZLLL-CYBMUJFWSA-N 0 1 323.400 3.258 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)c2coc(C3CCCCC3)n2)n[nH]1 ZINC000328710426 434300867 /nfs/dbraw/zinc/30/08/67/434300867.db2.gz ASWVJMQXDSSOGS-JTQLQIEISA-N 0 1 317.393 3.469 20 30 DGEDMN CC1(C)C(=O)Nc2ccc(C(=O)N[C@@H]3CCc4nc[nH]c4C3)cc21 ZINC000328945503 434333783 /nfs/dbraw/zinc/33/37/83/434333783.db2.gz MCWKWXLYSHSKFR-LLVKDONJSA-N 0 1 324.384 3.151 20 30 DGEDMN C[C@H]1C[C@@H](C(=O)Nc2ccc3nc(N(C)C)[nH]c3c2)CCC1=O ZINC000328743536 434307175 /nfs/dbraw/zinc/30/71/75/434307175.db2.gz JZYUKHZNLWVHBW-QWRGUYRKSA-N 0 1 314.389 3.222 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)c2cc(C3CCCCC3)[nH]n2)nc(C)n1 ZINC000328882643 434321970 /nfs/dbraw/zinc/32/19/70/434321970.db2.gz LWNCSZLOPMROOH-AWEZNQCLSA-N 0 1 323.400 3.354 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cc(C3CCCCC3)[nH]n2)nc(C)n1 ZINC000328882643 434321979 /nfs/dbraw/zinc/32/19/79/434321979.db2.gz LWNCSZLOPMROOH-AWEZNQCLSA-N 0 1 323.400 3.354 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CCCCC2(C)C)n[nH]1 ZINC000328915367 434327625 /nfs/dbraw/zinc/32/76/25/434327625.db2.gz JPMFVLYYAFXQRB-NWDGAFQWSA-N 0 1 307.442 3.462 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)[C@H]1SCCc2sccc21 ZINC000328940837 434332830 /nfs/dbraw/zinc/33/28/30/434332830.db2.gz KUFQGQDJLCUPLW-OTYXRUKQSA-N 0 1 319.455 3.316 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1OC(F)F)C[C@@H]1CCCO1 ZINC000437896176 434362639 /nfs/dbraw/zinc/36/26/39/434362639.db2.gz NCDKHNMQIIVMHM-AWEZNQCLSA-N 0 1 310.344 3.161 20 30 DGEDMN CCc1ccc2c(c1)[C@H](CCNC(=O)[C@H]1CCCN1C)C(=O)N2 ZINC000329339448 434392677 /nfs/dbraw/zinc/39/26/77/434392677.db2.gz NRWPPWBQXOLYPL-XJKSGUPXSA-N 0 1 315.417 3.375 20 30 DGEDMN CC(=O)NC1CCC(NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000329458280 434411955 /nfs/dbraw/zinc/41/19/55/434411955.db2.gz OFKSAZIXALWOQJ-WXRRBKDZSA-N 0 1 304.394 3.074 20 30 DGEDMN CC(=O)c1cc(F)c(NC(=O)c2cc(C#N)cs2)c(F)c1F ZINC000359402009 434418461 /nfs/dbraw/zinc/41/84/61/434418461.db2.gz TVMODEVAMZMXEV-UHFFFAOYSA-N 0 1 324.283 3.492 20 30 DGEDMN CC(C)(CC#N)CNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000457989360 434431896 /nfs/dbraw/zinc/43/18/96/434431896.db2.gz FCKGDEVBPCIDQG-KRWDZBQOSA-N 0 1 313.445 3.097 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCC[C@@H](c2ccccc2)C1)N1CCn2cncc2C1 ZINC000329558070 434432670 /nfs/dbraw/zinc/43/26/70/434432670.db2.gz CBAGBKQXCKEBPB-IAGOWNOFSA-N 0 1 324.428 3.339 20 30 DGEDMN CCc1c(NC(=O)[C@H]2C[C@@]23CCOC3)[nH]nc1-c1ccncc1 ZINC000329753716 434475167 /nfs/dbraw/zinc/47/51/67/434475167.db2.gz WXOQEJDEANVMHZ-CXAGYDPISA-N 0 1 312.373 3.049 20 30 DGEDMN C[C@H](NC([O-])=[NH+][C@@H]1CCc2cn[nH]c2C1)c1cc2ccccc2o1 ZINC000329799476 434483019 /nfs/dbraw/zinc/48/30/19/434483019.db2.gz HNSBDMUUCZEYLJ-SMDDNHRTSA-N 0 1 324.384 3.278 20 30 DGEDMN CC(C)(C)c1csc([NH+]=C([O-])N[C@@H]2CCc3[nH]cnc3C2)n1 ZINC000329804832 434483760 /nfs/dbraw/zinc/48/37/60/434483760.db2.gz AGMPXUMZLHREMH-SECBINFHSA-N 0 1 319.434 3.047 20 30 DGEDMN [O-]C(N[C@H]1CCCCC12CCCC2)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329825633 434488371 /nfs/dbraw/zinc/48/83/71/434488371.db2.gz QYAUTMACYZADNP-CABCVRRESA-N 0 1 316.449 3.205 20 30 DGEDMN Cc1cnn([C@@H]2CCN([C@H](C)C(=O)NC3CCCCC3)C2)c1 ZINC000329860068 434495589 /nfs/dbraw/zinc/49/55/89/434495589.db2.gz XBPIPZYITLQYRR-GDBMZVCRSA-N 0 1 304.438 3.116 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCCOc2ccccc2)CC1 ZINC000367057971 434538478 /nfs/dbraw/zinc/53/84/78/434538478.db2.gz OWLSTLSVZMCTHA-UHFFFAOYSA-N 0 1 321.424 3.408 20 30 DGEDMN C#CCN(Cc1c[nH]nc1-c1cccnc1)Cc1ccccc1 ZINC000367660203 434553667 /nfs/dbraw/zinc/55/36/67/434553667.db2.gz YSQZIZHXXJMFEY-UHFFFAOYSA-N 0 1 302.381 3.107 20 30 DGEDMN CO[C@@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@@H]1C ZINC000451535592 434572396 /nfs/dbraw/zinc/57/23/96/434572396.db2.gz AVKKIXJOYXRAFK-XJKSGUPXSA-N 0 1 311.347 3.414 20 30 DGEDMN Cc1ccc2nc(CNC(=O)[C@@H](C)c3cccc(C#N)c3)[nH]c2c1 ZINC000360334273 434582649 /nfs/dbraw/zinc/58/26/49/434582649.db2.gz ONBVOVUHUUJPQF-ZDUSSCGKSA-N 0 1 318.380 3.163 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1csc(Nc2ccccc2)n1 ZINC000451682933 434574537 /nfs/dbraw/zinc/57/45/37/434574537.db2.gz SQSCUTYXOFQDCL-CVEARBPZSA-N 0 1 315.442 3.710 20 30 DGEDMN C#CCOc1ccc(CN[C@@H]2CCOc3c(F)cccc32)cc1 ZINC000368862511 434585658 /nfs/dbraw/zinc/58/56/58/434585658.db2.gz IGVRRDIASHYLMW-GOSISDBHSA-N 0 1 311.356 3.451 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)O[C@]3(CCCOC3)C2)c1 ZINC000370706368 434619090 /nfs/dbraw/zinc/61/90/90/434619090.db2.gz BAUDOAYQVKIKRB-QFBILLFUSA-N 0 1 317.429 3.021 20 30 DGEDMN COC(=O)c1coc(CN[C@H]2CCCc3cc(C#N)ccc32)c1 ZINC000418150091 434607152 /nfs/dbraw/zinc/60/71/52/434607152.db2.gz VXFQSYDIRIHDIF-KRWDZBQOSA-N 0 1 310.353 3.105 20 30 DGEDMN CC(C)N(CC(=O)NCc1cccc(C#N)c1)Cc1ccccc1 ZINC000349129949 434612274 /nfs/dbraw/zinc/61/22/74/434612274.db2.gz VYWNRKCVUBXPIB-UHFFFAOYSA-N 0 1 321.424 3.085 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)[C@@H](C)c3cccc(C#N)c3)ccc12 ZINC000360768492 434686295 /nfs/dbraw/zinc/68/62/95/434686295.db2.gz NJYKWCSDUTVIRE-NSHDSACASA-N 0 1 304.353 3.485 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)[C@H](C)c3cccc(C#N)c3)ccc12 ZINC000360768490 434687918 /nfs/dbraw/zinc/68/79/18/434687918.db2.gz NJYKWCSDUTVIRE-LLVKDONJSA-N 0 1 304.353 3.485 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](O)[C@H](C3CCCC3)C2)c(F)c1 ZINC000459744188 434690360 /nfs/dbraw/zinc/69/03/60/434690360.db2.gz DEGKRFTXPVPPRO-FUHWJXTLSA-N 0 1 302.393 3.070 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000411260928 434752660 /nfs/dbraw/zinc/75/26/60/434752660.db2.gz PCPDRAUQZASHFM-GOSISDBHSA-N 0 1 311.429 3.153 20 30 DGEDMN C[C@H](CN(C)Cc1cccc(C#N)c1F)C(=O)OC(C)(C)C ZINC000459822058 434730442 /nfs/dbraw/zinc/73/04/42/434730442.db2.gz HAWRWDOELYHWLW-GFCCVEGCSA-N 0 1 306.381 3.107 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(-c2ccccc2Cl)on1 ZINC000419274063 434762041 /nfs/dbraw/zinc/76/20/41/434762041.db2.gz CBQLYVLNLDJSNS-CABCVRRESA-N 0 1 304.777 3.428 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@H](C)[C@H](C)[C@H]2C)c(C#N)c1C ZINC000419369213 434777290 /nfs/dbraw/zinc/77/72/90/434777290.db2.gz HGUGKDHQVBWAMF-JBLDHEPKSA-N 0 1 305.447 3.151 20 30 DGEDMN CC(C)N1CCc2nc(/C=C/c3ncccc3C#N)sc2C1 ZINC000453027980 434778229 /nfs/dbraw/zinc/77/82/29/434778229.db2.gz PTICQHTVEKEGID-AATRIKPKSA-N 0 1 310.426 3.347 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1ccc(C#N)cc1 ZINC000411456017 434783506 /nfs/dbraw/zinc/78/35/06/434783506.db2.gz UKSHXQRTVVVAMH-ACJLOTCBSA-N 0 1 323.371 3.153 20 30 DGEDMN C=C(C)[C@H](CC(=O)Nc1cc(C(=O)CC)ccc1O)OCC ZINC000361161232 434817136 /nfs/dbraw/zinc/81/71/36/434817136.db2.gz HLRKWHYJVCFEMG-INIZCTEOSA-N 0 1 305.374 3.295 20 30 DGEDMN C[C@H]1CN(CCC2(C#N)CCCCC2)C[C@@]2(CCCOC2)O1 ZINC000411680906 434817196 /nfs/dbraw/zinc/81/71/96/434817196.db2.gz OBIRUOPMWCJJDA-FUHWJXTLSA-N 0 1 306.450 3.120 20 30 DGEDMN Cc1cc(CC(=O)Nc2ccccc2C#Cc2ccccc2)n[nH]1 ZINC000445947893 434840359 /nfs/dbraw/zinc/84/03/59/434840359.db2.gz WFFWIHQTXHXOOD-UHFFFAOYSA-N 0 1 315.376 3.299 20 30 DGEDMN CC(C)c1nc(CN[C@@H]2CCCCc3cc(C#N)ccc32)n[nH]1 ZINC000453265698 434844798 /nfs/dbraw/zinc/84/47/98/434844798.db2.gz ATBXVXDITBVJIE-MRXNPFEDSA-N 0 1 309.417 3.357 20 30 DGEDMN CN1CCN(c2cccc(F)c2C#N)C[C@H]1Cc1ccccc1 ZINC000553345579 434887833 /nfs/dbraw/zinc/88/78/33/434887833.db2.gz MYZNHFOYGXBOJH-MRXNPFEDSA-N 0 1 309.388 3.060 20 30 DGEDMN COc1ccc([C@@H](CCC#N)NCc2ccccc2CO)cc1 ZINC000573053105 434869146 /nfs/dbraw/zinc/86/91/46/434869146.db2.gz FCPOIBAFMHVLKC-LJQANCHMSA-N 0 1 310.397 3.322 20 30 DGEDMN Cc1ccccc1CN1CCN(c2ccc(F)cc2C#N)CC1 ZINC000462921781 434936668 /nfs/dbraw/zinc/93/66/68/434936668.db2.gz JUZFAWBJFQSDPZ-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN Cc1cccc2nc(CNC(=O)C=Cc3cccc(C#N)c3)[nH]c21 ZINC000492257939 434944279 /nfs/dbraw/zinc/94/42/79/434944279.db2.gz GKWZWRYCUVTDTF-HJWRWDBZSA-N 0 1 316.364 3.073 20 30 DGEDMN CCc1nc([C@@H](C)N[C@H]2CCCCc3cc(C#N)ccc32)n[nH]1 ZINC000555414380 434973199 /nfs/dbraw/zinc/97/31/99/434973199.db2.gz URFFWQWCLPVTAR-WBMJQRKESA-N 0 1 309.417 3.357 20 30 DGEDMN C=CCCCN(C)C(=O)[C@H](N)c1ccc(C(F)(F)F)cc1 ZINC000638012458 434931494 /nfs/dbraw/zinc/93/14/94/434931494.db2.gz WWIOOZPEOSCXJV-CYBMUJFWSA-N 0 1 300.324 3.130 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1ccc(Br)c(F)c1F ZINC000527716733 435014087 /nfs/dbraw/zinc/01/40/87/435014087.db2.gz RDWSBPRLRFQBFR-MNOVXSKESA-N 0 1 318.161 3.160 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(C3CC3)c2)n1 ZINC000528967237 435031504 /nfs/dbraw/zinc/03/15/04/435031504.db2.gz WYVGLRBYEPYJCK-LBPRGKRZSA-N 0 1 300.387 3.288 20 30 DGEDMN CCC[C@]1(C)CCCN([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000464845020 435007093 /nfs/dbraw/zinc/00/70/93/435007093.db2.gz DANROPBOYGZDJC-JQHSSLGASA-N 0 1 307.482 3.332 20 30 DGEDMN N#Cc1csc(CN[C@H]2CCCN(C3CCCCC3)C2=O)c1 ZINC000527196940 435010087 /nfs/dbraw/zinc/01/00/87/435010087.db2.gz VYSOUZFYZUPGEJ-INIZCTEOSA-N 0 1 317.458 3.033 20 30 DGEDMN C=CCC1(NC(=O)NCc2n[nH]c(C(C)(C)C)n2)CCCCC1 ZINC000576086925 435054320 /nfs/dbraw/zinc/05/43/20/435054320.db2.gz ZRIWQKGWNWJFIA-UHFFFAOYSA-N 0 1 319.453 3.180 20 30 DGEDMN Cc1cc(Cl)ccc1CN1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000576771209 435057001 /nfs/dbraw/zinc/05/70/01/435057001.db2.gz YMVKKYZZUSOEEZ-MAUKXSAKSA-N 0 1 317.864 3.458 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(OC(F)F)c(Cl)c1 ZINC000467305072 435115728 /nfs/dbraw/zinc/11/57/28/435115728.db2.gz QWTDNOZZPMBFDQ-UHFFFAOYSA-N 0 1 318.751 3.388 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000467291742 435116295 /nfs/dbraw/zinc/11/62/95/435116295.db2.gz XWCVLOCXJJCHAG-OAHLLOKOSA-N 0 1 300.446 3.251 20 30 DGEDMN C=CCN1CC[C@H](N(C)CCc2ccc(C(C)C)cc2)C1=O ZINC000467522628 435122741 /nfs/dbraw/zinc/12/27/41/435122741.db2.gz WSHDVVHSSGVDDZ-SFHVURJKSA-N 0 1 300.446 3.071 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000494243077 435194214 /nfs/dbraw/zinc/19/42/14/435194214.db2.gz XOWSJVBJWYCXFB-IAGOWNOFSA-N 0 1 319.424 3.179 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2C[N@H+]([C@@H]3CC[C@H](C#N)C3)CC[C@@H]21 ZINC000495730378 435206475 /nfs/dbraw/zinc/20/64/75/435206475.db2.gz QBUTUGWXWSTLIP-JJXSEGSLSA-N 0 1 319.449 3.010 20 30 DGEDMN CN1CCN(c2ccc(F)cc2C#N)C[C@H]1Cc1ccccc1 ZINC000561423478 435215385 /nfs/dbraw/zinc/21/53/85/435215385.db2.gz HXFKLGRQEDASEX-GOSISDBHSA-N 0 1 309.388 3.060 20 30 DGEDMN Cc1oc(NC(=O)CN(C2CC2)C2CCCC2)c(C#N)c1C ZINC000533419045 435234367 /nfs/dbraw/zinc/23/43/67/435234367.db2.gz XHLQWODVMQSJAR-UHFFFAOYSA-N 0 1 301.390 3.114 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1ccc(C(C)(C)C)cc1C ZINC000563366412 435266395 /nfs/dbraw/zinc/26/63/95/435266395.db2.gz ILIGQAOIXHMVSC-DLBZAZTESA-N 0 1 318.461 3.314 20 30 DGEDMN N#CCCN(C(=O)c1c(O)cccc1F)c1ccc(F)cc1 ZINC000564622927 435272856 /nfs/dbraw/zinc/27/28/56/435272856.db2.gz KVYRZUUYWJHIQW-UHFFFAOYSA-N 0 1 302.280 3.231 20 30 DGEDMN CC1(C)C[C@H](c2ccccc2)N(CC(=O)NC2(C#N)CCC2)C1 ZINC000564879972 435276115 /nfs/dbraw/zinc/27/61/15/435276115.db2.gz HYZAMBKQJZMXHU-MRXNPFEDSA-N 0 1 311.429 3.022 20 30 DGEDMN C[C@H](NCc1ccc(F)cc1C(F)(F)F)[C@@H](O)C(F)(F)F ZINC000639387196 435279774 /nfs/dbraw/zinc/27/97/74/435279774.db2.gz OGJCPAVDFNXFTJ-QUBYGPBYSA-N 0 1 319.220 3.246 20 30 DGEDMN C=CCOc1ccc(CN2CCC(CCO)CC2)cc1Cl ZINC000536631790 435395930 /nfs/dbraw/zinc/39/59/30/435395930.db2.gz KZLIHXULIBFWHD-UHFFFAOYSA-N 0 1 309.837 3.499 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2ncc3c(c2C#N)CCC3)n[nH]1 ZINC000568386158 435430479 /nfs/dbraw/zinc/43/04/79/435430479.db2.gz YEJFVYVVDQRNBJ-MNOVXSKESA-N 0 1 310.405 3.247 20 30 DGEDMN Clc1cccc(C#CC[N@H+]2CCC[C@H](n3ccnn3)CC2)c1 ZINC000639819785 435432614 /nfs/dbraw/zinc/43/26/14/435432614.db2.gz ZCHLBVLNOVRGIM-KRWDZBQOSA-N 0 1 314.820 3.010 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000483406205 435517294 /nfs/dbraw/zinc/51/72/94/435517294.db2.gz LSLRYKFPYCRVJB-UHFFFAOYSA-N 0 1 316.357 3.489 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCN(C)C[C@@H]1CC)c1ccccc1 ZINC000517311434 435588604 /nfs/dbraw/zinc/58/86/04/435588604.db2.gz VICLWZWWLRMQHI-ROUUACIJSA-N 0 1 315.461 3.430 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@H](C(=O)[O-])c1cccc(Br)c1 ZINC000570403241 435617307 /nfs/dbraw/zinc/61/73/07/435617307.db2.gz LLQPNLJJNLGKGS-ZDUSSCGKSA-N 0 1 312.207 3.473 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)N(CCN1CCCC1)c1ccccc1 ZINC000518672306 435649081 /nfs/dbraw/zinc/64/90/81/435649081.db2.gz GQAHLBKVWQMYBB-UHFFFAOYSA-N 0 1 323.396 3.202 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H](CC)c1ccccc1F ZINC000649738814 435688074 /nfs/dbraw/zinc/68/80/74/435688074.db2.gz WMGPYPADIBUILI-YOEHRIQHSA-N 0 1 304.409 3.456 20 30 DGEDMN CC1(C)CCN(CC(=O)N[C@H](CCCC#N)c2ccccc2)C1 ZINC000571776299 435737850 /nfs/dbraw/zinc/73/78/50/435737850.db2.gz XNXFHQJJHWSBPM-QGZVFWFLSA-N 0 1 313.445 3.270 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCCC)C2CCCCC2)CC1 ZINC000491461663 435755934 /nfs/dbraw/zinc/75/59/34/435755934.db2.gz FFYCRCQGQKSXBJ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@@H](C(C)C)C2)c1C#N ZINC000519743313 435705831 /nfs/dbraw/zinc/70/58/31/435705831.db2.gz ZROQIFWESKKQTO-CQSZACIVSA-N 0 1 300.402 3.213 20 30 DGEDMN N#CC(C(=O)c1cc2n(n1)CCCC2)c1ccc2ccccc2n1 ZINC000651584838 435762216 /nfs/dbraw/zinc/76/22/16/435762216.db2.gz PTBYQNPXKXSTQY-OAHLLOKOSA-N 0 1 316.364 3.258 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCC[C@@H]2C(C)(C)C)CC1 ZINC000491263727 435722712 /nfs/dbraw/zinc/72/27/12/435722712.db2.gz BJUOTPYQURIOGW-IRXDYDNUSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CCC(C(=O)N(C(C)C)[C@H](C)c2ccsc2)CC1 ZINC000491740820 435801996 /nfs/dbraw/zinc/80/19/96/435801996.db2.gz KHFQOKIUOCXCPJ-OAHLLOKOSA-N 0 1 318.486 3.391 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000572004524 435766451 /nfs/dbraw/zinc/76/64/51/435766451.db2.gz HNYQACYPZOPDCW-SJLPKXTDSA-N 0 1 323.352 3.086 20 30 DGEDMN CC[C@@H](N[C@H](CO)c1ccccc1OC)c1ccc(C#N)cc1 ZINC000572469009 435828103 /nfs/dbraw/zinc/82/81/03/435828103.db2.gz HDUOIORXVIECBS-QZTJIDSGSA-N 0 1 310.397 3.341 20 30 DGEDMN N#CCc1ccc(NC(=O)[C@@H]2CCCc3[nH]ncc32)c(Cl)c1 ZINC000572574180 435840932 /nfs/dbraw/zinc/84/09/32/435840932.db2.gz ZZRUNLSSXOTTOM-LLVKDONJSA-N 0 1 314.776 3.188 20 30 DGEDMN C=CCCCCCNCc1csc(N(C(C)=O)C2CC2)n1 ZINC000655826795 435825232 /nfs/dbraw/zinc/82/52/32/435825232.db2.gz ZAVKVQJDYPYCBB-UHFFFAOYSA-N 0 1 307.463 3.494 20 30 DGEDMN C=CCOc1ccc(C[N@H+](CC(=O)[O-])C2CCC2)cc1Cl ZINC000656101639 435889392 /nfs/dbraw/zinc/88/93/92/435889392.db2.gz ZYYYNKJRPCBIKJ-UHFFFAOYSA-N 0 1 309.793 3.344 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(c2cnccc2C#N)CC1 ZINC000552372098 435897865 /nfs/dbraw/zinc/89/78/65/435897865.db2.gz PVUJSFKPIFSNEG-GOSISDBHSA-N 0 1 306.413 3.227 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NCc1nc(CC(F)(F)F)no1 ZINC000661115753 435970237 /nfs/dbraw/zinc/97/02/37/435970237.db2.gz DXWDGJSOQWHJNM-QWRGUYRKSA-N 0 1 303.328 3.399 20 30 DGEDMN C=C1CC[NH+](Cc2c(Br)cccc2C(=O)[O-])CC1 ZINC000652410167 435970351 /nfs/dbraw/zinc/97/03/51/435970351.db2.gz HLILVXGTXRXEFK-UHFFFAOYSA-N 0 1 310.191 3.299 20 30 DGEDMN C=CCN(Cc1cccc(C(=O)NCC(C)C)c1)[C@@H](C)COC ZINC000661242806 436006282 /nfs/dbraw/zinc/00/62/82/436006282.db2.gz GCBYGTWXZLRYHL-INIZCTEOSA-N 0 1 318.461 3.095 20 30 DGEDMN C=CCN(Cc1cccc(C(=O)NCC(C)C)c1)[C@H](C)COC ZINC000661242808 436007357 /nfs/dbraw/zinc/00/73/57/436007357.db2.gz GCBYGTWXZLRYHL-MRXNPFEDSA-N 0 1 318.461 3.095 20 30 DGEDMN C=CCOc1ccc(C[N@@H+](CC(=O)[O-])CC2CC2)cc1Cl ZINC000650797863 436035730 /nfs/dbraw/zinc/03/57/30/436035730.db2.gz FVNPSVZSHPNLIC-UHFFFAOYSA-N 0 1 309.793 3.201 20 30 DGEDMN N#CC(C(=O)c1cnc(C2CC2)nc1)c1nc(C2CC2)cs1 ZINC000647380210 436037863 /nfs/dbraw/zinc/03/78/63/436037863.db2.gz UTHJSYUMEUIIMO-GFCCVEGCSA-N 0 1 310.382 3.178 20 30 DGEDMN C=CC[C@@H]1CCCN(CCCS(=O)(=O)c2cccc(C)c2)C1 ZINC000661386931 436048157 /nfs/dbraw/zinc/04/81/57/436048157.db2.gz WUSQLXDFMDIQRH-QGZVFWFLSA-N 0 1 321.486 3.447 20 30 DGEDMN C=C(C)[C@H](CO)N1CCc2nc(-c3ccccc3)sc2C1 ZINC000661542395 436104373 /nfs/dbraw/zinc/10/43/73/436104373.db2.gz XBMCNLVQQDOVRM-HNNXBMFYSA-N 0 1 300.427 3.105 20 30 DGEDMN C=CCCn1cc(CNCCSc2ccc(C)cc2)nn1 ZINC000657294982 436120641 /nfs/dbraw/zinc/12/06/41/436120641.db2.gz ZCTNWABGWNAGBD-UHFFFAOYSA-N 0 1 302.447 3.045 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)N2CCC[C@H]2C)c1 ZINC000245450414 301224564 /nfs/dbraw/zinc/22/45/64/301224564.db2.gz OOKQXKKASRFUOB-IAGOWNOFSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCCn1cc(CNC[C@H](CC)Oc2cccc(C)c2)nn1 ZINC000657390076 436174305 /nfs/dbraw/zinc/17/43/05/436174305.db2.gz SJLZMRVQUOFTEK-KRWDZBQOSA-N 0 1 314.433 3.110 20 30 DGEDMN N#CCCn1cc(C(=O)CN2CC[C@@H]3C[C@@H]3C2)c2ccccc21 ZINC000661971977 436239519 /nfs/dbraw/zinc/23/95/19/436239519.db2.gz KDXCFKCNLDJMDL-HUUCEWRRSA-N 0 1 307.397 3.079 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)nn1 ZINC000653563299 436207069 /nfs/dbraw/zinc/20/70/69/436207069.db2.gz FQBJPFIJUZRSGK-FUHWJXTLSA-N 0 1 310.445 3.307 20 30 DGEDMN C=CCCn1cc(CNC2(Cc3cccc(Cl)c3)CC2)nn1 ZINC000657485775 436223318 /nfs/dbraw/zinc/22/33/18/436223318.db2.gz RJSFUHCVWDRYIC-UHFFFAOYSA-N 0 1 316.836 3.373 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1cc(C)nn1C(C)(C)C ZINC000662083345 436269766 /nfs/dbraw/zinc/26/97/66/436269766.db2.gz DNOVUGSUUFWZSL-GASCZTMLSA-N 0 1 316.449 3.068 20 30 DGEDMN N#C[C@H](C(=O)c1ccnn1C(F)F)c1nc(C2CC2)cs1 ZINC000659116189 436462175 /nfs/dbraw/zinc/46/21/75/436462175.db2.gz PYVBHAWYAPSHAU-MRVPVSSYSA-N 0 1 308.313 3.102 20 30 DGEDMN N#CC(C(=O)c1ccnn1C(F)F)c1nc(C2CC2)cs1 ZINC000659116189 436462177 /nfs/dbraw/zinc/46/21/77/436462177.db2.gz PYVBHAWYAPSHAU-MRVPVSSYSA-N 0 1 308.313 3.102 20 30 DGEDMN N#Cc1ccnc(N2CCN(CC3CCCCC3)CC2)c1Cl ZINC000553009278 314629362 /nfs/dbraw/zinc/62/93/62/314629362.db2.gz KBCUPVZZIUELHK-UHFFFAOYSA-N 0 1 318.852 3.309 20 30 DGEDMN COc1cc(CN2CC[C@H](Oc3ccccc3)C2)ccc1C#N ZINC000563157312 315084043 /nfs/dbraw/zinc/08/40/43/315084043.db2.gz DOGUGXRDGXMXRE-SFHVURJKSA-N 0 1 308.381 3.220 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)NCC1CCCCC1 ZINC000565611132 315274844 /nfs/dbraw/zinc/27/48/44/315274844.db2.gz OYMBHUBATGGICR-HUUCEWRRSA-N 0 1 313.445 3.294 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CC[C@H](CC4CC4)C3)o2)c1 ZINC000565672388 315279261 /nfs/dbraw/zinc/27/92/61/315279261.db2.gz ZLYDQDFCTLRPLW-OAHLLOKOSA-N 0 1 308.385 3.230 20 30 DGEDMN COC(=O)c1cccc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)c1C#N ZINC000566022989 315305725 /nfs/dbraw/zinc/30/57/25/315305725.db2.gz FPKQQLBVWFYANX-SJORKVTESA-N 0 1 312.413 3.355 20 30 DGEDMN C[C@H](O)C[C@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000576222214 316107921 /nfs/dbraw/zinc/10/79/21/316107921.db2.gz RTLUXKXQKVFXLQ-SWLSCSKDSA-N 0 1 324.371 3.285 20 30 DGEDMN Cc1csc([C@@H](C)NCCC(=O)Nc2cccc(C#N)c2)n1 ZINC000184278337 323361008 /nfs/dbraw/zinc/36/10/08/323361008.db2.gz LHIMBUCRTKFIFA-GFCCVEGCSA-N 0 1 314.414 3.003 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)nc1 ZINC000582130650 324192959 /nfs/dbraw/zinc/19/29/59/324192959.db2.gz JUKMKOAZACVUSR-QGZVFWFLSA-N 0 1 313.788 3.260 20 30 DGEDMN Cc1cc([C@H](C)NCC(=O)N[C@@](C)(C#N)C(C)C)c(C)s1 ZINC000193133246 332914622 /nfs/dbraw/zinc/91/46/22/332914622.db2.gz PFQUVXIRRSHAHX-LRDDRELGSA-N 0 1 307.463 3.070 20 30 DGEDMN C=C(C)COCCNCc1cc(F)ccc1Br ZINC000090189199 331987816 /nfs/dbraw/zinc/98/78/16/331987816.db2.gz ZAPBINXCTQDGEX-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN C[C@@H](Cc1ccccc1Br)NC[C@H](C#N)CCC#N ZINC000516761996 333131440 /nfs/dbraw/zinc/13/14/40/333131440.db2.gz QTNRFBQIUUMLJT-STQMWFEESA-N 0 1 320.234 3.413 20 30 DGEDMN C[C@H](NCCCSCC#N)c1nc(-c2cccc(F)c2)no1 ZINC000185063942 333203824 /nfs/dbraw/zinc/20/38/24/333203824.db2.gz IZQVIRDRHRBLLR-NSHDSACASA-N 0 1 320.393 3.173 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000286295035 334152602 /nfs/dbraw/zinc/15/26/02/334152602.db2.gz WJNIRUFBEJXUTB-ZDUSSCGKSA-N 0 1 321.178 3.204 20 30 DGEDMN CCOC(=O)c1cc(CN2CCC(CCC#N)CC2)[nH]c1C ZINC000520232261 335941867 /nfs/dbraw/zinc/94/18/67/335941867.db2.gz YWHBUXKHZWKDPG-UHFFFAOYSA-N 0 1 303.406 3.016 20 30 DGEDMN C=C(C)CN(CCOc1ccccc1)Cc1ccc(CO)o1 ZINC000352807702 336242522 /nfs/dbraw/zinc/24/25/22/336242522.db2.gz XIKOGZUQWZWUPK-UHFFFAOYSA-N 0 1 301.386 3.229 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC000582494308 337098716 /nfs/dbraw/zinc/09/87/16/337098716.db2.gz MZSLZZZZSFPGCF-CZUORRHYSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCC[C@@H](CO)NCc1cccc(F)c1Br ZINC000321410983 337262529 /nfs/dbraw/zinc/26/25/29/337262529.db2.gz JGPWIBJPFLKDGB-NSHDSACASA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1C2CCC1CC2 ZINC000532915569 337300974 /nfs/dbraw/zinc/30/09/74/337300974.db2.gz YRAMBVJLBRHJPP-NFOMZHRRSA-N 0 1 304.459 3.278 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1cncc2ccccc21 ZINC000527246928 337740276 /nfs/dbraw/zinc/74/02/76/337740276.db2.gz ANNXBHVPXMMAID-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN N#CCc1cccc(NC2CCN(Cc3ccccn3)CC2)c1 ZINC000449705959 338647339 /nfs/dbraw/zinc/64/73/39/338647339.db2.gz ZSGWFJDIKZPLKE-UHFFFAOYSA-N 0 1 306.413 3.224 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Nc3cccc(F)c3)[nH]c21 ZINC000505437591 340168422 /nfs/dbraw/zinc/16/84/22/340168422.db2.gz DIICCWKBPKYVKV-ZDUSSCGKSA-N 0 1 308.316 3.256 20 30 DGEDMN C=CCNC(=O)CNC(C)(C)c1ccc(Cl)c(Cl)c1 ZINC000507373546 340218225 /nfs/dbraw/zinc/21/82/25/340218225.db2.gz WHNXBIJCYNGZOD-UHFFFAOYSA-N 0 1 301.217 3.120 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000509815051 340279836 /nfs/dbraw/zinc/27/98/36/340279836.db2.gz XWFRSFPJDDZZQF-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@](C)(c2ccccc2)C1 ZINC000303557434 340333399 /nfs/dbraw/zinc/33/33/99/340333399.db2.gz HTMHUGPUWRWWAJ-FQEVSTJZSA-N 0 1 312.457 3.241 20 30 DGEDMN CC(C)(O)[C@@H]1CCC[N@H+]1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000305742610 340388016 /nfs/dbraw/zinc/38/80/16/340388016.db2.gz NYRWUJWZWGKLKG-HNNXBMFYSA-N 0 1 311.347 3.292 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000543698982 341053984 /nfs/dbraw/zinc/05/39/84/341053984.db2.gz HLTDBFXXSDYZKX-FUHWJXTLSA-N 0 1 315.461 3.257 20 30 DGEDMN CC#CCCCC(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000545246755 341117077 /nfs/dbraw/zinc/11/70/77/341117077.db2.gz JJHCMBGKKNQWLA-UHFFFAOYSA-N 0 1 302.765 3.257 20 30 DGEDMN CC[C@@H](CC#N)N[C@H](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC000545874007 341143753 /nfs/dbraw/zinc/14/37/53/341143753.db2.gz DELDKDXGWVUSDW-YPMHNXCESA-N 0 1 323.824 3.348 20 30 DGEDMN Cc1nc2ccccc2n1CC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000079814725 341266314 /nfs/dbraw/zinc/26/63/14/341266314.db2.gz ZIJFIICKMKFBRN-UHFFFAOYSA-N 0 1 322.328 3.011 20 30 DGEDMN C=C(C)CN(CCOC[C@@H]1CCCO1)Cc1cnn(C(C)C)c1 ZINC000552174927 341375708 /nfs/dbraw/zinc/37/57/08/341375708.db2.gz STBRLJQCSSUNIL-SFHVURJKSA-N 0 1 321.465 3.038 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(Cl)cc1CC#N ZINC000573623862 341733945 /nfs/dbraw/zinc/73/39/45/341733945.db2.gz LOIQMLQZWXDBFX-VXGBXAGGSA-N 0 1 305.809 3.217 20 30 DGEDMN C=CCOc1cccc(C[NH2+][C@H](C)c2ncc(C(=O)[O-])s2)c1 ZINC000577062315 341882521 /nfs/dbraw/zinc/88/25/21/341882521.db2.gz FUIFNEGNPRJTEN-LLVKDONJSA-N 0 1 318.398 3.257 20 30 DGEDMN C=CCC1(NC(=O)NCc2ccc3cncn3c2)CCCCC1 ZINC000577938149 341950872 /nfs/dbraw/zinc/95/08/72/341950872.db2.gz AJEKNCPKZWZPNN-UHFFFAOYSA-N 0 1 312.417 3.413 20 30 DGEDMN COc1cc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)ccc1C#N ZINC000443065186 533788016 /nfs/dbraw/zinc/78/80/16/533788016.db2.gz HJMHAWJDAPVEOQ-UHFFFAOYSA-N 0 1 312.417 3.219 20 30 DGEDMN Cc1ccc(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)cc1F ZINC000430182563 533955343 /nfs/dbraw/zinc/95/53/43/533955343.db2.gz WWLJHOMGUJRTNU-INIZCTEOSA-N 0 1 311.360 3.054 20 30 DGEDMN C[C@H]1CN(c2cccc(F)c2)CCN1Cc1cccc(C#N)c1 ZINC000429257585 533980902 /nfs/dbraw/zinc/98/09/02/533980902.db2.gz OGSDUXDTFUVIKW-HNNXBMFYSA-N 0 1 309.388 3.408 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cscc1C(F)F ZINC001497818450 1185397420 /nfs/dbraw/zinc/39/74/20/1185397420.db2.gz SSVNVJXCAOXVLH-MRVPVSSYSA-N 0 1 308.781 3.146 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(C)[C@@H](C(C)C)C1)c1ccccc1 ZINC000489333524 534324736 /nfs/dbraw/zinc/32/47/36/534324736.db2.gz ZOWXJQYMNDMVFQ-ZWKOTPCHSA-N 0 1 300.446 3.145 20 30 DGEDMN N#CCCC1CCN(CC(=O)N2CCC[C@@H]3CCCC[C@@H]32)CC1 ZINC000580187166 518196286 /nfs/dbraw/zinc/19/62/86/518196286.db2.gz DTGGNXRZHINXPO-ROUUACIJSA-N 0 1 317.477 3.183 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C[C@H](C#N)CCC#N)C3)[nH]c2c1 ZINC000181143277 534365627 /nfs/dbraw/zinc/36/56/27/534365627.db2.gz SLKJLMMNWSNVKK-HOTGVXAUSA-N 0 1 321.428 3.494 20 30 DGEDMN Cc1noc(C[C@@H](NCc2ccc(C#N)s2)c2ccccc2)n1 ZINC000428644770 534422682 /nfs/dbraw/zinc/42/26/82/534422682.db2.gz ALADYDRJHGQEPD-MRXNPFEDSA-N 0 1 324.409 3.385 20 30 DGEDMN Cc1ccc2nc(C(=O)C(C#N)c3cc(C)nc(C)n3)ccc2c1 ZINC000344713990 534490978 /nfs/dbraw/zinc/49/09/78/534490978.db2.gz AVVVAWXASFCEQZ-OAHLLOKOSA-N 0 1 316.364 3.440 20 30 DGEDMN Cc1ccc2nc(C)c(C(=O)C(C#N)c3ccncn3)cc2c1 ZINC000354459124 534493679 /nfs/dbraw/zinc/49/36/79/534493679.db2.gz COWBNVKHXRAHKW-HNNXBMFYSA-N 0 1 302.337 3.132 20 30 DGEDMN Cc1ccc2nc(C)c(C(=O)[C@@H](C#N)c3ccncn3)cc2c1 ZINC000354459124 534493683 /nfs/dbraw/zinc/49/36/83/534493683.db2.gz COWBNVKHXRAHKW-HNNXBMFYSA-N 0 1 302.337 3.132 20 30 DGEDMN N#CCCOCCSc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000338524133 534652167 /nfs/dbraw/zinc/65/21/67/534652167.db2.gz IECFSBIVWAQJHC-UHFFFAOYSA-N 0 1 308.794 3.147 20 30 DGEDMN N#C[C@H](C(=O)c1ccn(-c2ccc(Cl)cc2)n1)c1ccccn1 ZINC000339880055 534741596 /nfs/dbraw/zinc/74/15/96/534741596.db2.gz NSIKZUJWXRGKJC-AWEZNQCLSA-N 0 1 322.755 3.411 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccc(Cl)cc2)n1)c1ccccn1 ZINC000339880055 534741599 /nfs/dbraw/zinc/74/15/99/534741599.db2.gz NSIKZUJWXRGKJC-AWEZNQCLSA-N 0 1 322.755 3.411 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)N[C@H](C)c1n[nH]c([C@@H](C)CC)n1 ZINC000487558705 534758746 /nfs/dbraw/zinc/75/87/46/534758746.db2.gz ZFXGGPQDHWSMKI-RWMBFGLXSA-N 0 1 307.442 3.423 20 30 DGEDMN CC#CCN(C)Cc1cc(Br)cc2cccnc21 ZINC000339757484 526361164 /nfs/dbraw/zinc/36/11/64/526361164.db2.gz TVAQJQSQISZSTH-UHFFFAOYSA-N 0 1 303.203 3.452 20 30 DGEDMN C=CCN(Cc1cn(C)nc1C(=O)OCC)CC1CCCCC1 ZINC000352000989 526378635 /nfs/dbraw/zinc/37/86/35/526378635.db2.gz CEGSUVMNPJSWSI-UHFFFAOYSA-N 0 1 319.449 3.165 20 30 DGEDMN C=CCN1CC[C@H](N2CCC[C@H]2Cc2ccccc2Cl)C1=O ZINC000337127200 526509039 /nfs/dbraw/zinc/50/90/39/526509039.db2.gz GNEVSSCVEVKXHX-RDJZCZTQSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)CN(CCn1ccnc1)Cc1ccc(Br)o1 ZINC000352479065 526552396 /nfs/dbraw/zinc/55/23/96/526552396.db2.gz AGJJTPXRLOGVTQ-UHFFFAOYSA-N 0 1 324.222 3.317 20 30 DGEDMN C=C(C)CN(CCOCC(F)F)Cc1cnn(C(C)C)c1 ZINC000352921743 526554014 /nfs/dbraw/zinc/55/40/14/526554014.db2.gz LGGOAHKODUAYPX-UHFFFAOYSA-N 0 1 301.381 3.124 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000353402902 526669171 /nfs/dbraw/zinc/66/91/71/526669171.db2.gz AFTNVUDQLZVFHP-LSDHHAIUSA-N 0 1 317.433 3.198 20 30 DGEDMN C=CCOCCN(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O ZINC000338926458 526768372 /nfs/dbraw/zinc/76/83/72/526768372.db2.gz PEVYWUQDPIIVTP-LSDHHAIUSA-N 0 1 316.228 3.086 20 30 DGEDMN C=CCOCCN(C)Cc1cc(Br)ccc1OC ZINC000338896424 526769462 /nfs/dbraw/zinc/76/94/62/526769462.db2.gz XTZQVJKXSMNFFA-UHFFFAOYSA-N 0 1 314.223 3.092 20 30 DGEDMN C=CCOc1ccc(CN2CCC(O)(C(F)(F)F)CC2)cc1 ZINC000357212958 526865768 /nfs/dbraw/zinc/86/57/68/526865768.db2.gz LDMDVXJHOVBSGG-UHFFFAOYSA-N 0 1 315.335 3.141 20 30 DGEDMN C=CCOc1cccc(CNCc2cccc(N3CCC3=O)c2)c1 ZINC000441222867 526903419 /nfs/dbraw/zinc/90/34/19/526903419.db2.gz XNQRBGHSWTYRAC-UHFFFAOYSA-N 0 1 322.408 3.278 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N(C)CCCN(C)Cc1ccco1 ZINC000432577994 527004891 /nfs/dbraw/zinc/00/48/91/527004891.db2.gz YDOHZJDRLIBVGG-UHFFFAOYSA-N 0 1 321.465 3.345 20 30 DGEDMN C=CCSCCN[C@H](C)c1nnn(-c2ccc(F)cc2)c1C ZINC000180456510 527006698 /nfs/dbraw/zinc/00/66/98/527006698.db2.gz KFSLTWRCEXLZQT-GFCCVEGCSA-N 0 1 320.437 3.285 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000352904451 527043703 /nfs/dbraw/zinc/04/37/03/527043703.db2.gz YZQPENNNPZEKQY-CVEARBPZSA-N 0 1 319.449 3.379 20 30 DGEDMN C=CCCC1(C(=O)Nc2nc3cccc(C(=O)OC)c3[nH]2)CC1 ZINC000356486843 527097941 /nfs/dbraw/zinc/09/79/41/527097941.db2.gz LNJIMVZKMDZHJL-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN C=CCCC1(C(=O)Nc2nc(-c3ccccc3OC)n[nH]2)CC1 ZINC000359247764 527098409 /nfs/dbraw/zinc/09/84/09/527098409.db2.gz AGPHKIHWSVWTHB-UHFFFAOYSA-N 0 1 312.373 3.165 20 30 DGEDMN C=CCc1ccccc1OC[C@@H](O)CN(C)Cc1occc1C ZINC000429400108 527261341 /nfs/dbraw/zinc/26/13/41/527261341.db2.gz KFPIUCRRYIPYSS-KRWDZBQOSA-N 0 1 315.413 3.188 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(C(F)(F)F)cc2)CC1 ZINC000132484115 527326436 /nfs/dbraw/zinc/32/64/36/527326436.db2.gz IBRPMGQIOLUBBX-UHFFFAOYSA-N 0 1 312.335 3.039 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000442671506 527337463 /nfs/dbraw/zinc/33/74/63/527337463.db2.gz MMDUVLUTBQOFMP-UHFFFAOYSA-N 0 1 314.389 3.173 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1ccccc1-c1nnc[nH]1 ZINC000342947445 527337747 /nfs/dbraw/zinc/33/77/47/527337747.db2.gz RQLLHHCBRVEKNR-UHFFFAOYSA-N 0 1 314.389 3.173 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(C(=O)C2CC2)CC1(C)C ZINC000444687837 527460426 /nfs/dbraw/zinc/46/04/26/527460426.db2.gz WTSAMZQALMXZOF-UHFFFAOYSA-N 0 1 305.466 3.039 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)C[C@@H]1c1cccc(F)c1 ZINC000441333321 527613590 /nfs/dbraw/zinc/61/35/90/527613590.db2.gz UCOHJVZHOKSRDX-MAUKXSAKSA-N 0 1 316.420 3.409 20 30 DGEDMN CC(C)CC1(C)CCN([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000344000722 527689265 /nfs/dbraw/zinc/68/92/65/527689265.db2.gz YBFFDKCVGXWLPS-OAHLLOKOSA-N 0 1 305.466 3.086 20 30 DGEDMN CCN(CC#N)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000353507716 527884762 /nfs/dbraw/zinc/88/47/62/527884762.db2.gz CKSTUMQDQPKVHY-UHFFFAOYSA-N 0 1 310.382 3.277 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)C[C@@H]1C ZINC000356627376 527926729 /nfs/dbraw/zinc/92/67/29/527926729.db2.gz SJYTZTRMUMVEKN-LSDHHAIUSA-N 0 1 313.445 3.042 20 30 DGEDMN CCOC(=O)[C@H]1CN(CCC(C)(C)C#N)Cc2ccccc21 ZINC000345732648 528079373 /nfs/dbraw/zinc/07/93/73/528079373.db2.gz BGKQDVSSDNDHDN-INIZCTEOSA-N 0 1 300.402 3.089 20 30 DGEDMN CC(C)c1ccc(CN(C)[C@H](C)C(=O)NC2(C#N)CCC2)cc1 ZINC000345852997 528092348 /nfs/dbraw/zinc/09/23/48/528092348.db2.gz LQMFMMFENNDPEY-OAHLLOKOSA-N 0 1 313.445 3.193 20 30 DGEDMN CC(C)c1nc(CNc2cc(C#N)ccc2C(F)(F)F)n[nH]1 ZINC000295030010 528446580 /nfs/dbraw/zinc/44/65/80/528446580.db2.gz VOCIYWMGSQRPPG-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN CC(C)c1nc(CNc2ccc(Br)cc2C#N)n[nH]1 ZINC000294855800 528446637 /nfs/dbraw/zinc/44/66/37/528446637.db2.gz BQUWASHJDHBLIC-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN C#C[C@@H](N[C@@H]1COC(C)(C)C1)c1ccc(Br)cc1 ZINC001560854714 1189394968 /nfs/dbraw/zinc/39/49/68/1189394968.db2.gz LNOQCSZNWMKAHU-UONOGXRCSA-N 0 1 308.219 3.281 20 30 DGEDMN CCSCc1ccnc(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000188740207 529201686 /nfs/dbraw/zinc/20/16/86/529201686.db2.gz QAZYJJFXDYZERS-UHFFFAOYSA-N 0 1 313.382 3.164 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)c2nc(C)c(C)nc2C)n[nH]1 ZINC000331187842 529276020 /nfs/dbraw/zinc/27/60/20/529276020.db2.gz ZIACWEKWEJIDCC-QPUJVOFHSA-N 0 1 316.409 3.099 20 30 DGEDMN CC(C)N(C)c1ccc(CNCc2ccc(C#N)c(F)c2)cn1 ZINC000449734504 535030254 /nfs/dbraw/zinc/03/02/54/535030254.db2.gz CNSGQSDMIHFZQQ-UHFFFAOYSA-N 0 1 312.392 3.227 20 30 DGEDMN CCO[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@@H]1C ZINC000451524058 535632139 /nfs/dbraw/zinc/63/21/39/535632139.db2.gz DLSCLKRYMYPMRS-WFASDCNBSA-N 0 1 324.371 3.407 20 30 DGEDMN CC(C)(NCc1cc(C#N)cs1)C(=O)NCC1CCCCC1 ZINC000129500410 545943230 /nfs/dbraw/zinc/94/32/30/545943230.db2.gz SNPJARPDDSKLSY-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000247221087 546309057 /nfs/dbraw/zinc/30/90/57/546309057.db2.gz YOSUSAHDUZTGCN-RCDICMHDSA-N 0 1 312.438 3.489 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H](O)COCC(C)C ZINC000302876890 546439131 /nfs/dbraw/zinc/43/91/31/546439131.db2.gz QVCIZESSOBUMOC-CYBMUJFWSA-N 0 1 317.882 3.423 20 30 DGEDMN O=C(C[C@@H]1CCOC1)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000329822128 546492497 /nfs/dbraw/zinc/49/24/97/546492497.db2.gz HHNYFRKCKDXGQW-NHYWBVRUSA-N 0 1 315.373 3.429 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)[C@@H]1C[C@@]12CCOc1ccccc12 ZINC000330125747 546495400 /nfs/dbraw/zinc/49/54/00/546495400.db2.gz MBCMECYBHVVUAN-QMTMVMCOSA-N 0 1 323.396 3.484 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1 ZINC000669727822 547680108 /nfs/dbraw/zinc/68/01/08/547680108.db2.gz UIIALGACPWVCJC-QGZVFWFLSA-N 0 1 313.445 3.411 20 30 DGEDMN Cc1cc(CC(=O)Nc2cccc(C#Cc3cccs3)c2)n[nH]1 ZINC000674771433 548110525 /nfs/dbraw/zinc/11/05/25/548110525.db2.gz UDPWFYFDQCVZGK-UHFFFAOYSA-N 0 1 321.405 3.361 20 30 DGEDMN C=CCC[C@@H](O)CN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000675491538 548225200 /nfs/dbraw/zinc/22/52/00/548225200.db2.gz LCBQBVGNKCZPPF-OUAUKWLOSA-N 0 1 319.289 3.376 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)c1c[nH]c2ncccc12 ZINC000678764352 548758477 /nfs/dbraw/zinc/75/84/77/548758477.db2.gz PXRKZFIWTFRSLZ-STQMWFEESA-N 0 1 318.380 3.357 20 30 DGEDMN Clc1cccc(C#CCN2CCC([C@@H]3CCOC3)CC2)c1 ZINC000680090053 548995919 /nfs/dbraw/zinc/99/59/19/548995919.db2.gz KEOUBMMNFHXJMV-QGZVFWFLSA-N 0 1 303.833 3.440 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CC)[C@@H](C)C1)c1ccccc1 ZINC000681738172 549247745 /nfs/dbraw/zinc/24/77/45/549247745.db2.gz HKNGLOVQXLLQTL-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN COCCOc1ccc(CN=Nc2nc3ccccc3[nH]2)cc1 ZINC000730462375 574529767 /nfs/dbraw/zinc/52/97/67/574529767.db2.gz HFMJJLACOBUPRY-UHFFFAOYSA-N 0 1 310.357 3.034 20 30 DGEDMN CCc1ccc(C=Nn2c(=O)c3ccccc3[nH]c2=S)s1 ZINC000731150914 574543996 /nfs/dbraw/zinc/54/39/96/574543996.db2.gz GGUCTMUFBSCIQG-UHFFFAOYSA-N 0 1 315.423 3.191 20 30 DGEDMN COc1ccc(Br)cc1CN=Nc1ccc(F)cn1 ZINC000731320951 574547100 /nfs/dbraw/zinc/54/71/00/574547100.db2.gz APKKFYRJAXMOSD-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN Oc1ccc2ccccc2c1/C=N\CCN1CCSCC1 ZINC000732714329 574580035 /nfs/dbraw/zinc/58/00/35/574580035.db2.gz XTDZTEHJDGKYDI-AQTBWJFISA-N 0 1 300.427 3.013 20 30 DGEDMN C#CCOc1ccc(C(C)=NN=c2[nH]c3ccccc3n2C)cc1 ZINC000733203507 574607807 /nfs/dbraw/zinc/60/78/07/574607807.db2.gz NFICEHUNNAYFMY-UHFFFAOYSA-N 0 1 318.380 3.421 20 30 DGEDMN N#Cc1ccc(NCCNc2ccnc3cc(F)ccc32)nc1 ZINC000733300055 574613950 /nfs/dbraw/zinc/61/39/50/574613950.db2.gz LOTSVOCJUQTDDL-UHFFFAOYSA-N 0 1 307.332 3.165 20 30 DGEDMN CC(=NNc1ccccc1S(C)(=O)=O)c1sccc1C ZINC000733747375 574636498 /nfs/dbraw/zinc/63/64/98/574636498.db2.gz KJTBMAABNPNXLN-UHFFFAOYSA-N 0 1 308.428 3.296 20 30 DGEDMN C#CCCOc1ccc(CNCc2ccccc2OCC#C)cc1 ZINC000734159723 574653525 /nfs/dbraw/zinc/65/35/25/574653525.db2.gz WXBXYHYOEWLWBP-UHFFFAOYSA-N 0 1 319.404 3.391 20 30 DGEDMN C=CC[C@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccncc1 ZINC000734554963 574667522 /nfs/dbraw/zinc/66/75/22/574667522.db2.gz JQKXHFBHGZYLJF-AWEZNQCLSA-N 0 1 302.761 3.488 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCc3ccccc32)nc(C)n1 ZINC000734705713 574674139 /nfs/dbraw/zinc/67/41/39/574674139.db2.gz OYRAGLGYJUOPJO-NVXWUHKLSA-N 0 1 305.381 3.390 20 30 DGEDMN N#CCOc1ccc(CSc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000735234077 574693106 /nfs/dbraw/zinc/69/31/06/574693106.db2.gz ILAQMMNSFOMQBT-UHFFFAOYSA-N 0 1 323.381 3.061 20 30 DGEDMN C=CCC(N=Nc1ccc(-c2nn[nH]n2)cc1)c1ccc(F)cc1 ZINC000735409775 574700092 /nfs/dbraw/zinc/70/00/92/574700092.db2.gz LBSXGZQAFJETQG-UHFFFAOYSA-N 0 1 322.347 3.398 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735788624 574715091 /nfs/dbraw/zinc/71/50/91/574715091.db2.gz GGUXIMNAWFSUED-MFKMUULPSA-N 0 1 322.368 3.456 20 30 DGEDMN CCCCCCCn1cc(-c2nn[nH]n2)c(=O)c2ccccc21 ZINC000736446474 574752325 /nfs/dbraw/zinc/75/23/25/574752325.db2.gz CAJFPEXONZEAAO-UHFFFAOYSA-N 0 1 311.389 3.152 20 30 DGEDMN CC(C#N)C(=O)N=c1sccn1Cc1cccc2ccccc21 ZINC000736669076 574802261 /nfs/dbraw/zinc/80/22/61/574802261.db2.gz UBAVQEFYPFTYDZ-CYBMUJFWSA-N 0 1 321.405 3.338 20 30 DGEDMN Cc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC000738593838 574874636 /nfs/dbraw/zinc/87/46/36/574874636.db2.gz APUCUQFYMJTSGC-OAHLLOKOSA-N 0 1 300.383 3.186 20 30 DGEDMN Cc1ccc(C[N@H+](C)[C@@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC000738593838 574874638 /nfs/dbraw/zinc/87/46/38/574874638.db2.gz APUCUQFYMJTSGC-OAHLLOKOSA-N 0 1 300.383 3.186 20 30 DGEDMN Fc1ccc2c(c1)C(N=Nc1cccc(C(F)(F)F)n1)CO2 ZINC000739422156 574902950 /nfs/dbraw/zinc/90/29/50/574902950.db2.gz QCAOLNBCYJMGFZ-UHFFFAOYSA-N 0 1 311.238 3.448 20 30 DGEDMN CCCOc1ccc(C(C)=NN=c2cc[nH]c(N(C)C)n2)cc1 ZINC000739414290 574902630 /nfs/dbraw/zinc/90/26/30/574902630.db2.gz WLEJRZNGRIPOOD-UHFFFAOYSA-N 0 1 313.405 3.168 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccccc1C#N)N1CCCCC1 ZINC000741010376 574947872 /nfs/dbraw/zinc/94/78/72/574947872.db2.gz FKYXBJHRQWSBBF-QGZVFWFLSA-N 0 1 300.402 3.112 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccccc1C#N)N1CCCCC1 ZINC000741010375 574947983 /nfs/dbraw/zinc/94/79/83/574947983.db2.gz FKYXBJHRQWSBBF-KRWDZBQOSA-N 0 1 300.402 3.112 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(F)cc1C#N)N1CCCCC1 ZINC000741012752 574948241 /nfs/dbraw/zinc/94/82/41/574948241.db2.gz RYFHEQAAIZMPCB-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(C#N)cc1F)N1[C@H](C)CC[C@@H]1C ZINC000741023346 574948866 /nfs/dbraw/zinc/94/88/66/574948866.db2.gz WCYZOPZVGUNCDP-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccco1)N(CC)CC)C(C)C ZINC000743341014 575043742 /nfs/dbraw/zinc/04/37/42/575043742.db2.gz PLYNJUACZONKEX-HNNXBMFYSA-N 0 1 307.438 3.269 20 30 DGEDMN COCc1cccc(C=NNc2ccc(Br)cn2)c1 ZINC000743439128 575051232 /nfs/dbraw/zinc/05/12/32/575051232.db2.gz UZRGBNYPYJISLW-UHFFFAOYSA-N 0 1 320.190 3.437 20 30 DGEDMN COc1cc(CN=Nc2cccc(F)c2F)cc2c1OCO2 ZINC000743443915 575051786 /nfs/dbraw/zinc/05/17/86/575051786.db2.gz XRLNAZUBLGUHLK-UHFFFAOYSA-N 0 1 306.268 3.148 20 30 DGEDMN COc1cc(CN=Nc2cccc(F)c2F)cc2c1OCCO2 ZINC000743443177 575051799 /nfs/dbraw/zinc/05/17/99/575051799.db2.gz AFNAZWSEOWBKGI-UHFFFAOYSA-N 0 1 320.295 3.191 20 30 DGEDMN COc1cc(OC)c(OC)cc1CN=Nc1cccc(F)c1F ZINC000743442605 575051998 /nfs/dbraw/zinc/05/19/98/575051998.db2.gz BIJNTHNYQOAXRC-UHFFFAOYSA-N 0 1 322.311 3.437 20 30 DGEDMN CC(N=Nc1ccc(Cl)nc1)c1ccc2c(c1)CC(=O)N2 ZINC000743450864 575052358 /nfs/dbraw/zinc/05/23/58/575052358.db2.gz SCGJNJHGVZPETI-UHFFFAOYSA-N 0 1 300.749 3.066 20 30 DGEDMN C#CCN(CC(=O)N1c2ccccc2C[C@H]1C)C1CCCCC1 ZINC000744212621 575078674 /nfs/dbraw/zinc/07/86/74/575078674.db2.gz XDKMBOUXCJNMLB-MRXNPFEDSA-N 0 1 310.441 3.232 20 30 DGEDMN C[C@@H](NCc1nn(C)c2ccccc12)c1ccc(OCC#N)cc1 ZINC000729685634 575260474 /nfs/dbraw/zinc/26/04/74/575260474.db2.gz DPTCQZQNXNZGMF-CQSZACIVSA-N 0 1 320.396 3.326 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1ccc(C)cc1O ZINC000746681509 575469376 /nfs/dbraw/zinc/46/93/76/575469376.db2.gz JZICHIRJUXIGHB-UHFFFAOYSA-N 0 1 315.329 3.454 20 30 DGEDMN COc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1C#N ZINC000746734737 575472852 /nfs/dbraw/zinc/47/28/52/575472852.db2.gz ZYMQHSRWQKKURM-UHFFFAOYSA-N 0 1 319.364 3.110 20 30 DGEDMN N#Cc1cc(F)ccc1COC(=O)c1c(F)cc(O)cc1F ZINC000746988044 575488792 /nfs/dbraw/zinc/48/87/92/575488792.db2.gz UTTPRJJVADXZIV-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN C=CCN(CC(=O)N(CCC#N)c1ccc(C)c(C)c1)C(C)C ZINC000747524322 575522998 /nfs/dbraw/zinc/52/29/98/575522998.db2.gz HUXVQLAZJZKTHY-UHFFFAOYSA-N 0 1 313.445 3.447 20 30 DGEDMN CCOC[C@H]1CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000747965869 575554340 /nfs/dbraw/zinc/55/43/40/575554340.db2.gz DBUBGZBEGBNXSW-ZDUSSCGKSA-N 0 1 308.809 3.101 20 30 DGEDMN CC(N=Nc1nccn(C)c1=O)c1cc2cc(Cl)ccc2o1 ZINC000748081082 575559900 /nfs/dbraw/zinc/55/99/00/575559900.db2.gz XGBJPKXAUFGDGJ-UHFFFAOYSA-N 0 1 316.748 3.016 20 30 DGEDMN Cc1nc(C(C)C)sc1C(=O)Nc1cc(C#N)ccc1O ZINC000748410619 575585219 /nfs/dbraw/zinc/58/52/19/575585219.db2.gz NGPZHSXJTBFZMD-UHFFFAOYSA-N 0 1 301.371 3.405 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1CCCCCC1)c1ccc(C#N)cc1 ZINC000748677741 575600671 /nfs/dbraw/zinc/60/06/71/575600671.db2.gz SIZIWSZJPGVQTK-GJZGRUSLSA-N 0 1 300.402 3.427 20 30 DGEDMN N#Cc1ccc(CN=Nc2ccc(Br)cn2)s1 ZINC000748788061 575606857 /nfs/dbraw/zinc/60/68/57/575606857.db2.gz MBNXKJSXOSQJOP-UHFFFAOYSA-N 0 1 307.176 3.223 20 30 DGEDMN C[C@H](CC(=O)Nc1ccccc1)NCc1cc(C#N)ccc1F ZINC000749130533 575629205 /nfs/dbraw/zinc/62/92/05/575629205.db2.gz CEZJQPYDUVGOQL-CYBMUJFWSA-N 0 1 311.360 3.204 20 30 DGEDMN CN(C)c1ccc(CN(Cc2ccnc(C#N)c2)C2CC2)cc1 ZINC000749514772 575662455 /nfs/dbraw/zinc/66/24/55/575662455.db2.gz LDWFLVVYPSXFIQ-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN Cc1cc2cc(C=NNc3ncnc4nc[nH]c43)oc2cc1C ZINC000749814607 575680568 /nfs/dbraw/zinc/68/05/68/575680568.db2.gz FLKDWGPLIONOIX-UHFFFAOYSA-N 0 1 306.329 3.162 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H](C)C2CCCC2)c1 ZINC000750684448 575746196 /nfs/dbraw/zinc/74/61/96/575746196.db2.gz QMNQNABWFORAPY-BLLLJJGKSA-N 0 1 314.385 3.169 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccccc1C1CC1 ZINC000751130023 575771593 /nfs/dbraw/zinc/77/15/93/575771593.db2.gz RKRWVBVJBDXILB-UHFFFAOYSA-N 0 1 312.320 3.345 20 30 DGEDMN COCCOc1ccccc1CN=Nc1cnnc2ccccc12 ZINC000751529242 575788796 /nfs/dbraw/zinc/78/87/96/575788796.db2.gz PHNVAVZZARKHAZ-UHFFFAOYSA-N 0 1 322.368 3.101 20 30 DGEDMN COc1cc(C)c(CN=Nc2cnnc3ccccc23)cc1OC ZINC000751528243 575788815 /nfs/dbraw/zinc/78/88/15/575788815.db2.gz BVZWRRLAZXJMKS-UHFFFAOYSA-N 0 1 322.368 3.401 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC(c2cccc(F)c2)CC1 ZINC000752092542 575822668 /nfs/dbraw/zinc/82/26/68/575822668.db2.gz LATBVSUPWKUOIU-UHFFFAOYSA-N 0 1 316.420 3.206 20 30 DGEDMN CSCC[C@H](C)N(C)CC(=O)N(CCC#N)c1ccccc1 ZINC000126650340 575916309 /nfs/dbraw/zinc/91/63/09/575916309.db2.gz BFNHKTWNJCMPLE-HNNXBMFYSA-N 0 1 319.474 3.007 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1c[nH]c2ccccc12 ZINC000725858452 575935345 /nfs/dbraw/zinc/93/53/45/575935345.db2.gz KJFFGRNVEAIMIL-UHFFFAOYSA-N 0 1 320.377 3.049 20 30 DGEDMN N#CCC[N@H+](Cc1c(O)c(C(=O)[O-])cc2ccccc21)CC1CC1 ZINC000739073158 575945590 /nfs/dbraw/zinc/94/55/90/575945590.db2.gz PWVQSOKBAGVRMI-UHFFFAOYSA-N 0 1 324.380 3.369 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2CCc3c2c(F)ccc3F)n1 ZINC000749621346 576098697 /nfs/dbraw/zinc/09/86/97/576098697.db2.gz HUQLOBSFKRKFIO-UHFFFAOYSA-N 0 1 308.719 3.479 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cc(O)ccc1O ZINC000727649487 576136369 /nfs/dbraw/zinc/13/63/69/576136369.db2.gz KTCYEUSVUSHXNU-UHFFFAOYSA-N 0 1 321.720 3.496 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN=Nc2nc3ccccc3n2C)cc1 ZINC000727684707 576138924 /nfs/dbraw/zinc/13/89/24/576138924.db2.gz LFJLIYCIHWPGGC-CYBMUJFWSA-N 0 1 319.368 3.310 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2ccc(CN(CC)CC)o2)c1 ZINC000728481959 576184243 /nfs/dbraw/zinc/18/42/43/576184243.db2.gz YMMJVUSQRIIZSS-UHFFFAOYSA-N 0 1 310.397 3.379 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(OCc2ccccc2)nc1 ZINC000852750545 620645493 /nfs/dbraw/zinc/64/54/93/620645493.db2.gz YCYOVSCGQGPAEU-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)CC(C)(C)C ZINC000916889534 620649238 /nfs/dbraw/zinc/64/92/38/620649238.db2.gz TYFNVSABWJWKGF-HOCLYGCPSA-N 0 1 314.429 3.474 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CC(C)(C)C ZINC000916922447 620649545 /nfs/dbraw/zinc/64/95/45/620649545.db2.gz DCTNVQMKBMZZJI-LBPRGKRZSA-N 0 1 302.374 3.169 20 30 DGEDMN CCOc1cccc(/C=N/C[C@H]2CCN2Cc2ccccc2)c1O ZINC000852998805 620658968 /nfs/dbraw/zinc/65/89/68/620658968.db2.gz ROXLJAYLCRZIPL-KOMLCTQHSA-N 0 1 324.424 3.484 20 30 DGEDMN C=CCN(CC(=O)NCCc1ccc(OC(C)C)cc1)C(C)C ZINC000917168116 620659037 /nfs/dbraw/zinc/65/90/37/620659037.db2.gz NQAFSECXHTUAOM-UHFFFAOYSA-N 0 1 318.461 3.029 20 30 DGEDMN CC(N=Nc1cccc([N+](=O)[O-])c1)c1ccc(-n2cncn2)cc1 ZINC000917208516 620659821 /nfs/dbraw/zinc/65/98/21/620659821.db2.gz OCFFVBNBCWCYFQ-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN Oc1c(Br)cccc1C=NO[C@H]1CCCCO1 ZINC000755816153 620892278 /nfs/dbraw/zinc/89/22/78/620892278.db2.gz TVGLPBDRPWLDBH-NSHDSACASA-N 0 1 300.152 3.032 20 30 DGEDMN Clc1cccc(Cl)c1C=NNc1ncnc2nc[nH]c21 ZINC000917555918 620675935 /nfs/dbraw/zinc/67/59/35/620675935.db2.gz QMMQDYJQVSUQDY-UHFFFAOYSA-N 0 1 307.144 3.106 20 30 DGEDMN FC(F)(F)CC(=NNc1ncnc2nc[nH]c21)c1ccccc1 ZINC000917555692 620675463 /nfs/dbraw/zinc/67/54/63/620675463.db2.gz MGVYBMHQKXBKFX-UHFFFAOYSA-N 0 1 320.278 3.122 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1oc2ccccc2c1C ZINC000917554643 620675523 /nfs/dbraw/zinc/67/55/23/620675523.db2.gz HTZFUGXHVGTGBB-UHFFFAOYSA-N 0 1 306.329 3.244 20 30 DGEDMN C=CC1CCN(Cn2cc(-c3ccc([N+](=O)[O-])cc3)cn2)CC1 ZINC000111166091 620678179 /nfs/dbraw/zinc/67/81/79/620678179.db2.gz OJFKMAHZLNTEMJ-UHFFFAOYSA-N 0 1 312.373 3.314 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2cc(F)ccc2O)cc1OC ZINC000033374247 620681882 /nfs/dbraw/zinc/68/18/82/620681882.db2.gz QYZAIWXXNKSTIX-UTCJRWHESA-N 0 1 302.301 3.445 20 30 DGEDMN CC(C)(C)n1nnc(C=NNc2c(Cl)cccc2Cl)n1 ZINC000853318609 620686425 /nfs/dbraw/zinc/68/64/25/620686425.db2.gz RXBMHXNGRWRMPW-UHFFFAOYSA-N 0 1 313.192 3.181 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1N=NCc1cc2n(n1)CCC2 ZINC000853325100 620686663 /nfs/dbraw/zinc/68/66/63/620686663.db2.gz RHKWCOQMMRVZPF-UHFFFAOYSA-N 0 1 312.270 3.433 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1N=NCc1cnn2c1CCC2 ZINC000853324844 620686725 /nfs/dbraw/zinc/68/67/25/620686725.db2.gz OMVXWMSCIPTBKG-UHFFFAOYSA-N 0 1 312.270 3.433 20 30 DGEDMN Cc1nnc(NN=Cc2ccc(Cl)c(C(F)(F)F)c2)n1C ZINC000853436076 620694298 /nfs/dbraw/zinc/69/42/98/620694298.db2.gz RARISDYYTIOOLY-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN C=CC[C@@H]1CCCN(CN2C(=O)C(C)(C)[C@@H]2c2ccncc2)C1 ZINC000853537261 620708029 /nfs/dbraw/zinc/70/80/29/620708029.db2.gz SMPFYRXXHYGQDB-WBVHZDCISA-N 0 1 313.445 3.237 20 30 DGEDMN CO[C@@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000918491118 620709637 /nfs/dbraw/zinc/70/96/37/620709637.db2.gz YGSWETMWUOFACJ-WCQYABFASA-N 0 1 300.383 3.330 20 30 DGEDMN C#Cc1cccc(NC(=O)[C@H]2CCCN2Cc2ccccc2)c1 ZINC000918758785 620724333 /nfs/dbraw/zinc/72/43/33/620724333.db2.gz JJEOJBLCZJDCKZ-LJQANCHMSA-N 0 1 304.393 3.271 20 30 DGEDMN C#Cc1cccc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)c1 ZINC000918758784 620724502 /nfs/dbraw/zinc/72/45/02/620724502.db2.gz JJEOJBLCZJDCKZ-IBGZPJMESA-N 0 1 304.393 3.271 20 30 DGEDMN C=CCN(Cc1cccs1)[C@@H]1CCC2(C[C@H]1F)OCCO2 ZINC000891509899 617819646 /nfs/dbraw/zinc/81/96/46/617819646.db2.gz QSSZBXMVAYQQPC-HUUCEWRRSA-N 0 1 311.422 3.370 20 30 DGEDMN N#Cc1cc(CN(CCO)[C@@H]2CCCc3ccccc32)cs1 ZINC000892043754 617951199 /nfs/dbraw/zinc/95/11/99/617951199.db2.gz ZRXULJUZBNMZHV-GOSISDBHSA-N 0 1 312.438 3.492 20 30 DGEDMN CCN(Cc1csc(C#N)c1)C[C@H](O)c1ccc(C)cc1 ZINC000892105582 617963490 /nfs/dbraw/zinc/96/34/90/617963490.db2.gz NTXDHGSWQGBQPR-KRWDZBQOSA-N 0 1 300.427 3.484 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(Br)c2)nc1 ZINC000780556532 618015395 /nfs/dbraw/zinc/01/53/95/618015395.db2.gz ZIRZRWFXZKBSQY-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN CCc1ccc(NC(=O)[C@H](C#N)C(=O)c2cnc(C3CC3)o2)cc1 ZINC000892488994 618080124 /nfs/dbraw/zinc/08/01/24/618080124.db2.gz BRTPBLNWRVGZCF-CQSZACIVSA-N 0 1 323.352 3.076 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2cnc(C3CC3)o2)cc1 ZINC000892488994 618080129 /nfs/dbraw/zinc/08/01/29/618080129.db2.gz BRTPBLNWRVGZCF-CQSZACIVSA-N 0 1 323.352 3.076 20 30 DGEDMN COc1cc(C(C)=NNCCC(C)(C)C)cc([N+](=O)[O-])c1O ZINC000782903262 618122782 /nfs/dbraw/zinc/12/27/82/618122782.db2.gz SUFWMLHRBFLUBQ-UHFFFAOYSA-N 0 1 309.366 3.059 20 30 DGEDMN C#CCCN(CC(=O)Nc1ccccc1C(F)(F)F)C(C)C ZINC000920416218 620799459 /nfs/dbraw/zinc/79/94/59/620799459.db2.gz WPQAUBVJWVNLCW-UHFFFAOYSA-N 0 1 312.335 3.378 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cn(C)nc2C2CC2)c1 ZINC000892973397 618375802 /nfs/dbraw/zinc/37/58/02/618375802.db2.gz QSXHITCRFYIPCS-QGZVFWFLSA-N 0 1 324.428 3.268 20 30 DGEDMN C#C[C@H](N[C@@H]1CC[C@@H](C#N)C1)c1ccc(Br)cc1 ZINC000894869643 618483953 /nfs/dbraw/zinc/48/39/53/618483953.db2.gz BCKGKKHSVPSYLQ-DFBGVHRSSA-N 0 1 303.203 3.405 20 30 DGEDMN CC(C)NC(=O)Nc1ccc(CNCC#Cc2ccccc2)cc1 ZINC000893328167 618516931 /nfs/dbraw/zinc/51/69/31/618516931.db2.gz KAQNVMHMHFRYOP-UHFFFAOYSA-N 0 1 321.424 3.358 20 30 DGEDMN Clc1ccc(CN=Nc2cncnc2)c(Br)c1 ZINC000794970756 618582128 /nfs/dbraw/zinc/58/21/28/618582128.db2.gz DBVBTDHRYADDAE-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN CC(C)(C)OC(=O)NCc1ccc(CN2CC(CC#N)C2)cc1 ZINC000895343004 618598708 /nfs/dbraw/zinc/59/87/08/618598708.db2.gz CIIWGUDRHPLZPO-UHFFFAOYSA-N 0 1 315.417 3.057 20 30 DGEDMN N#Cc1cc(CN(Cc2ccccc2)[C@@H](CO)C2CC2)cs1 ZINC000893588435 618612079 /nfs/dbraw/zinc/61/20/79/618612079.db2.gz ARUMRIKCPOEJFM-SFHVURJKSA-N 0 1 312.438 3.393 20 30 DGEDMN CC[C@@H](N[C@@H](C)CC(C)(C)C#N)c1nnc2n1CCCCC2 ZINC000924905234 618734374 /nfs/dbraw/zinc/73/43/74/618734374.db2.gz GZAWKSAAYLFGJK-UONOGXRCSA-N 0 1 303.454 3.374 20 30 DGEDMN C#CC1(O)CCN(Cc2cnc(-c3ccc(C)cc3)s2)CC1 ZINC000895382623 618776419 /nfs/dbraw/zinc/77/64/19/618776419.db2.gz LQVSBFULWLAXED-UHFFFAOYSA-N 0 1 312.438 3.079 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@](C)(CN2CC[C@@](C)(C#N)C2)C1 ZINC000895450225 618786310 /nfs/dbraw/zinc/78/63/10/618786310.db2.gz DIWFNYAYDXDUSM-ZWKOTPCHSA-N 0 1 321.465 3.259 20 30 DGEDMN CO[C@@]1(C(F)(F)F)CCN(Cc2ccc(C3(C#N)CC3)cc2)C1 ZINC000895573315 618799920 /nfs/dbraw/zinc/79/99/20/618799920.db2.gz LCDKNLPGLNHMQF-INIZCTEOSA-N 0 1 324.346 3.395 20 30 DGEDMN C#CCC1(O)CCN(Cc2cnc(C3CCCC3)s2)CC1 ZINC000895670313 618815872 /nfs/dbraw/zinc/81/58/72/618815872.db2.gz PCKZFBMLJCPFBU-UHFFFAOYSA-N 0 1 304.459 3.151 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000895671041 618816485 /nfs/dbraw/zinc/81/64/85/618816485.db2.gz ZGYWIQOJBHOGBI-UHFFFAOYSA-N 0 1 311.381 3.422 20 30 DGEDMN Cc1csc(C[C@H]2CCC[N@@H+](Cc3cccc(C#N)n3)C2)n1 ZINC000895820727 618835680 /nfs/dbraw/zinc/83/56/80/618835680.db2.gz AIKOPOBJKANHHI-CQSZACIVSA-N 0 1 312.442 3.173 20 30 DGEDMN Cc1csc(C[C@H]2CCCN(Cc3cccc(C#N)n3)C2)n1 ZINC000895820727 618835681 /nfs/dbraw/zinc/83/56/81/618835681.db2.gz AIKOPOBJKANHHI-CQSZACIVSA-N 0 1 312.442 3.173 20 30 DGEDMN C=CCC1(O)CCN(Cc2cc3ccccc3nc2OC)CC1 ZINC000895835638 618839586 /nfs/dbraw/zinc/83/95/86/618839586.db2.gz JUGDQOZEUWVRJY-UHFFFAOYSA-N 0 1 312.413 3.146 20 30 DGEDMN C=CCC1(O)CCN(Cc2conc2Cc2ccccc2)CC1 ZINC000895834661 618839785 /nfs/dbraw/zinc/83/97/85/618839785.db2.gz OBMBBBCIGPIKFS-UHFFFAOYSA-N 0 1 312.413 3.168 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CC(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000896688794 618944948 /nfs/dbraw/zinc/94/49/48/618944948.db2.gz QWZDAHJTYGEZDJ-UHFFFAOYSA-N 0 1 322.290 3.098 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@@H]2CCCC3(CCC3)O2)c1 ZINC000896918288 618985245 /nfs/dbraw/zinc/98/52/45/618985245.db2.gz ODBNZCNZHMXXGQ-AWEZNQCLSA-N 0 1 305.809 3.188 20 30 DGEDMN Cc1cc(F)c(CN[C@H](C)CC2(C#N)CCOCC2)c(F)c1 ZINC000897212681 619029820 /nfs/dbraw/zinc/02/98/20/619029820.db2.gz HLCAHURWXORQDL-CYBMUJFWSA-N 0 1 308.372 3.462 20 30 DGEDMN Cc1cccc(CN2CCO[C@H](c3ccc(C#N)cc3)C2)c1O ZINC000897595651 619164345 /nfs/dbraw/zinc/16/43/45/619164345.db2.gz DZTZUJHAPHXBNR-SFHVURJKSA-N 0 1 308.381 3.146 20 30 DGEDMN CCc1cccc(F)c1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000897599131 619165174 /nfs/dbraw/zinc/16/51/74/619165174.db2.gz CCHFNVCEUQGYEX-UHFFFAOYSA-N 0 1 303.377 3.226 20 30 DGEDMN N#Cc1csc(CN[C@@H]2CCc3cccc(Cl)c3C2)n1 ZINC000897629935 619169087 /nfs/dbraw/zinc/16/90/87/619169087.db2.gz PGVIWGIRXLGNEG-LLVKDONJSA-N 0 1 303.818 3.315 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@H]2CCOC23CCCCC3)c1 ZINC000897728077 619175454 /nfs/dbraw/zinc/17/54/54/619175454.db2.gz YRIYDZJMDGMWQO-AWEZNQCLSA-N 0 1 305.809 3.188 20 30 DGEDMN C#CC[C@H]1C(=O)N(c2cc(C)ccc2Br)N=C1C ZINC000899353810 619328420 /nfs/dbraw/zinc/32/84/20/619328420.db2.gz ZZHSWGZRZFTGMM-LLVKDONJSA-N 0 1 305.175 3.120 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2cc(C)ccc2Br)c1=O ZINC000899353810 619328421 /nfs/dbraw/zinc/32/84/21/619328421.db2.gz ZZHSWGZRZFTGMM-LLVKDONJSA-N 0 1 305.175 3.120 20 30 DGEDMN O=C(/C=C/c1ccc(O)c(F)c1F)c1ccnn1C1CCC1 ZINC000899400067 619336108 /nfs/dbraw/zinc/33/61/08/619336108.db2.gz FUFFCEWLNWUJQE-GQCTYLIASA-N 0 1 304.296 3.488 20 30 DGEDMN O=C(/C=C\c1ccc(O)c(F)c1F)c1cnn(C2CCC2)c1 ZINC000899401634 619336339 /nfs/dbraw/zinc/33/63/39/619336339.db2.gz XGALMBMLSZCGQW-XQRVVYSFSA-N 0 1 304.296 3.488 20 30 DGEDMN N#CC1(CCCCn2cc([C@@H](N)c3ccccc3)nn2)CCC1 ZINC000900618555 619441387 /nfs/dbraw/zinc/44/13/87/619441387.db2.gz YABVTBHCSKTTCF-KRWDZBQOSA-N 0 1 309.417 3.190 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H](NC(C)C)c2ccc(OC)cc2)cc1 ZINC000900872694 619457068 /nfs/dbraw/zinc/45/70/68/619457068.db2.gz VZDOGAYVNGGISC-LJQANCHMSA-N 0 1 322.408 3.354 20 30 DGEDMN CC(F)(F)CCCON=Cc1ccc(N2CCOCC2)cc1 ZINC000902146990 619595448 /nfs/dbraw/zinc/59/54/48/619595448.db2.gz JQFVNKZIFFMAKR-UHFFFAOYSA-N 0 1 312.360 3.309 20 30 DGEDMN C=CCC1(C[NH2+]C2CCN(CCCC(=O)[O-])CC2)CCCC1 ZINC000902266887 619612999 /nfs/dbraw/zinc/61/29/99/619612999.db2.gz DACOHZVTDDLTFF-UHFFFAOYSA-N 0 1 308.466 3.042 20 30 DGEDMN Oc1cc(Cl)c(C=NNCCc2ccncc2)cc1Cl ZINC000789096449 625359448 /nfs/dbraw/zinc/35/94/48/625359448.db2.gz DZVFQBVIAQPVJJ-UHFFFAOYSA-N 0 1 310.184 3.260 20 30 DGEDMN C=CCCCN(CC)Cn1cc([N+](=O)[O-])c(-c2ccncc2)n1 ZINC000902668707 619662872 /nfs/dbraw/zinc/66/28/72/619662872.db2.gz DUZRYGDGJAOQIG-UHFFFAOYSA-N 0 1 315.377 3.099 20 30 DGEDMN C[C@@H](NCCOCc1ccc(Cl)cc1)c1cc(C#N)ccn1 ZINC000902888122 619688659 /nfs/dbraw/zinc/68/86/59/619688659.db2.gz QHWFUZOCKXSFRN-CYBMUJFWSA-N 0 1 315.804 3.474 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCCC[C@@H]1CCC(=O)[O-] ZINC000903407800 619771871 /nfs/dbraw/zinc/77/18/71/619771871.db2.gz MADKMJUMCNOKLU-MRXNPFEDSA-N 0 1 300.402 3.394 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCOc2cc(N)ccc2C1 ZINC000903476573 619780552 /nfs/dbraw/zinc/78/05/52/619780552.db2.gz BCGJELUVOICMMY-UHFFFAOYSA-N 0 1 307.397 3.152 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CCCC[C@@H]2CCC(=O)[O-])cc1 ZINC000903695250 619799804 /nfs/dbraw/zinc/79/98/04/619799804.db2.gz SSWONRSQMSXBJQ-GOEBONIOSA-N 0 1 316.401 3.197 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC000903906006 619832388 /nfs/dbraw/zinc/83/23/88/619832388.db2.gz CFOAKJWUAYQRIY-AWEZNQCLSA-N 0 1 308.381 3.168 20 30 DGEDMN C=CCCC[N@H+](CC)[C@H](C(=O)[O-])c1cc(OC)cc(OC)c1 ZINC000903961446 619840548 /nfs/dbraw/zinc/84/05/48/619840548.db2.gz WSXPIEXVGKNGRE-INIZCTEOSA-N 0 1 307.390 3.118 20 30 DGEDMN Cc1nn(C)c(Cl)c1/C=C\C(=O)c1cccc(CN(C)C)c1 ZINC000905077796 619967608 /nfs/dbraw/zinc/96/76/08/619967608.db2.gz FQQGQRHKNCYLLB-HJWRWDBZSA-N 0 1 317.820 3.340 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cc3n(n2)CCCC3)c1 ZINC000905078366 619967879 /nfs/dbraw/zinc/96/78/79/619967879.db2.gz PXARUKWXWPRQAD-MDZDMXLPSA-N 0 1 309.413 3.177 20 30 DGEDMN CC(C)(C)NCc1cn(-c2ccc(C#N)c(C(F)(F)F)c2)nn1 ZINC000905057545 619969475 /nfs/dbraw/zinc/96/94/75/619969475.db2.gz RJWYAZHQOFEQNC-UHFFFAOYSA-N 0 1 323.322 3.046 20 30 DGEDMN N#Cc1ccc([C@H](O)CN[C@@H]2c3ccccc3CC[C@H]2F)cc1 ZINC000905451076 619997572 /nfs/dbraw/zinc/99/75/72/619997572.db2.gz DFAPBLSMWYPJPB-GUDVDZBRSA-N 0 1 310.372 3.207 20 30 DGEDMN C(CN1CCCCC1)NN=C1CCC[C@H](C2CCOCC2)C1 ZINC000905435543 619999254 /nfs/dbraw/zinc/99/92/54/619999254.db2.gz DEHFNIVINZABEZ-KRWDZBQOSA-N 0 1 307.482 3.035 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905853648 620037770 /nfs/dbraw/zinc/03/77/70/620037770.db2.gz UHWIJLMGMJZIQC-ZIAGYGMSSA-N 0 1 319.405 3.059 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907403717 620154903 /nfs/dbraw/zinc/15/49/03/620154903.db2.gz ZTRRJKCZXULJDL-AWEZNQCLSA-N 0 1 306.454 3.449 20 30 DGEDMN N#C[C@@]1(C(=O)Nc2nc3ccc(F)cc3[nH]2)CC2CCC1CC2 ZINC000907806734 620173555 /nfs/dbraw/zinc/17/35/55/620173555.db2.gz CYBGVZFTIBYLLP-IGCAFJPESA-N 0 1 312.348 3.361 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000913510687 620446554 /nfs/dbraw/zinc/44/65/54/620446554.db2.gz LKDPOQCIQRUJPR-HNNXBMFYSA-N 0 1 317.339 3.095 20 30 DGEDMN Cc1nc(C#N)c(N=NCc2cc(Cl)c(O)cc2Cl)o1 ZINC000758105287 620459845 /nfs/dbraw/zinc/45/98/45/620459845.db2.gz ACLZFFHUMZCWFQ-UHFFFAOYSA-N 0 1 311.128 3.313 20 30 DGEDMN C=CCc1cc(CN2CCO[C@@H](CCC)C2)c(O)c(OC)c1 ZINC000152500700 620510297 /nfs/dbraw/zinc/51/02/97/620510297.db2.gz JSMAUVKJBYVRNE-INIZCTEOSA-N 0 1 305.418 3.130 20 30 DGEDMN CCc1noc(CC)c1CNCc1ccc(O[C@H](C)C#N)cc1 ZINC000152791810 620523567 /nfs/dbraw/zinc/52/35/67/620523567.db2.gz NASCMEHHDYHCFB-CYBMUJFWSA-N 0 1 313.401 3.380 20 30 DGEDMN CCN(CC)c1ccc(C=[NH+][N-]c2cncc(Cl)n2)c(O)c1 ZINC000914560286 620541889 /nfs/dbraw/zinc/54/18/89/620541889.db2.gz WKCPYCUELGSGGJ-UHFFFAOYSA-N 0 1 319.796 3.128 20 30 DGEDMN O=C1Nc2c(ccc(Cl)c2Cl)C1=N[N-]c1cccc[nH+]1 ZINC000914692642 620548198 /nfs/dbraw/zinc/54/81/98/620548198.db2.gz SJESYKJUKYRIJS-UHFFFAOYSA-N 0 1 307.140 3.157 20 30 DGEDMN N=C(N)NN=Cc1ccc(-c2ccc(C(F)(F)F)cc2)s1 ZINC000915048196 620565564 /nfs/dbraw/zinc/56/55/64/620565564.db2.gz AQHKIFOYHMPXDL-UHFFFAOYSA-N 0 1 312.320 3.251 20 30 DGEDMN COc1cccc(/C=C\C(=O)c2ccc(O)cc2O)c1OC ZINC000032267504 620565897 /nfs/dbraw/zinc/56/58/97/620565897.db2.gz JUCNRAJYHMZLOT-TWGQIWQCSA-N 0 1 300.310 3.011 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccnn1C1CCC1 ZINC000925421574 620566098 /nfs/dbraw/zinc/56/60/98/620566098.db2.gz PZZSDMSKKCLOSP-OAHLLOKOSA-N 0 1 309.413 3.471 20 30 DGEDMN Cc1ccc(C=Nn2c(=O)c3ccccc3[nH]c2=S)cc1C ZINC000915957158 620608371 /nfs/dbraw/zinc/60/83/71/620608371.db2.gz PFJKTHQFNJNBAZ-UHFFFAOYSA-N 0 1 309.394 3.184 20 30 DGEDMN Cc1cc(N=NCc2csc(-c3ccccn3)n2)nc(C)n1 ZINC000915967709 620609036 /nfs/dbraw/zinc/60/90/36/620609036.db2.gz MOEBSGFOVLRWDK-UHFFFAOYSA-N 0 1 310.386 3.058 20 30 DGEDMN Cc1nn(-c2ccccc2)c(C)c1C=NNc1cc(C)nc(C)n1 ZINC000915970760 620609807 /nfs/dbraw/zinc/60/98/07/620609807.db2.gz MKAWRKZHQADKSQ-UHFFFAOYSA-N 0 1 320.400 3.342 20 30 DGEDMN Cc1cc(NN=Cc2ccc(Br)s2)nc(C)n1 ZINC000915970749 620609849 /nfs/dbraw/zinc/60/98/49/620609849.db2.gz LXFUFFRQVMIFGK-UHFFFAOYSA-N 0 1 311.208 3.363 20 30 DGEDMN CCCOc1ccc(C(C)=NNCCN2CCCCC2)cc1 ZINC000915973178 620610285 /nfs/dbraw/zinc/61/02/85/620610285.db2.gz CGEJFSWGNGJAHI-UHFFFAOYSA-N 0 1 303.450 3.275 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc(OC(C)C)cc1 ZINC000915974984 620610354 /nfs/dbraw/zinc/61/03/54/620610354.db2.gz YKVKYKZSHVNASK-UHFFFAOYSA-N 0 1 303.450 3.273 20 30 DGEDMN C(=NNCCN1CCCCC1)c1csc(-c2ccccc2)n1 ZINC000915974987 620610427 /nfs/dbraw/zinc/61/04/27/620610427.db2.gz YNCNVOHTAISSOP-UHFFFAOYSA-N 0 1 314.458 3.220 20 30 DGEDMN COc1cc2c(cc1C=NNc1nc3ccccc3[nH]1)O[C@H](C)C2 ZINC000916541865 620633978 /nfs/dbraw/zinc/63/39/78/620633978.db2.gz LGZINNZGLKGKQP-LLVKDONJSA-N 0 1 322.368 3.341 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccc(F)cc2)nc(C)n1 ZINC000921130951 620838514 /nfs/dbraw/zinc/83/85/14/620838514.db2.gz DWANYNJDYMSTPO-ZBEGNZNMSA-N 0 1 311.360 3.288 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)NCc1ccc2nc[nH]c2c1 ZINC000854809642 620841654 /nfs/dbraw/zinc/84/16/54/620841654.db2.gz DYYJZJSLNZNGNM-INIZCTEOSA-N 0 1 316.405 3.150 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)c1nnc2ccccc2c1O ZINC000855169685 620876172 /nfs/dbraw/zinc/87/61/72/620876172.db2.gz BHFDCQFJEDHJRD-JSGCOSHPSA-N 0 1 311.385 3.200 20 30 DGEDMN N#Cc1cc(CNCc2cc(Cl)c3c(c2)OCCO3)cs1 ZINC000921517268 620896148 /nfs/dbraw/zinc/89/61/48/620896148.db2.gz ZDQILWSSRUMMLE-UHFFFAOYSA-N 0 1 320.801 3.334 20 30 DGEDMN CC[C@H](C#N)Oc1cc(CNCc2ccccn2)ccc1OC ZINC000113347764 620904970 /nfs/dbraw/zinc/90/49/70/620904970.db2.gz GXBXCRFLMYYOCU-MRXNPFEDSA-N 0 1 311.385 3.061 20 30 DGEDMN C=CCCC[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000921645197 620926531 /nfs/dbraw/zinc/92/65/31/620926531.db2.gz MSGRYKHPXONDQM-CVEARBPZSA-N 0 1 324.428 3.438 20 30 DGEDMN C=CCCONC(=O)C(C)(C)c1ccc(OCC)c(OCC)c1 ZINC000856033489 620955692 /nfs/dbraw/zinc/95/56/92/620955692.db2.gz GYPXOHKKENIWMS-UHFFFAOYSA-N 0 1 321.417 3.386 20 30 DGEDMN N#Cc1ccc(Br)c(CNC[C@@H]2CCC=CO2)c1 ZINC000922034271 620982442 /nfs/dbraw/zinc/98/24/42/620982442.db2.gz GNKZTZXNISFGSE-ZDUSSCGKSA-N 0 1 307.191 3.103 20 30 DGEDMN COC(=O)c1ccc(CN[C@H]2CCc3cc(C#N)ccc32)c(F)c1 ZINC000922073890 620985865 /nfs/dbraw/zinc/98/58/65/620985865.db2.gz VFVCTKMQPVYXBP-SFHVURJKSA-N 0 1 324.355 3.261 20 30 DGEDMN N#Cc1cc(CN[C@H](CCO)c2ccc(Cl)cc2)cs1 ZINC000922128288 620992192 /nfs/dbraw/zinc/99/21/92/620992192.db2.gz ZDJZBPBCJPVEIA-OAHLLOKOSA-N 0 1 306.818 3.486 20 30 DGEDMN CC1(C)CC[C@H](CO)N(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000856803611 621023576 /nfs/dbraw/zinc/02/35/76/621023576.db2.gz IRBQDWRMWYBLBF-OAHLLOKOSA-N 0 1 322.836 3.225 20 30 DGEDMN CSc1ccc(CNCc2ccnn2C2CCC2)cc1C#N ZINC000922339552 621027005 /nfs/dbraw/zinc/02/70/05/621027005.db2.gz YTMBEFKKZUBNJJ-UHFFFAOYSA-N 0 1 312.442 3.491 20 30 DGEDMN Cn1ncc(C2CCC2)c1C=[NH+][N-]c1nc2cccnc2s1 ZINC000857218531 621069160 /nfs/dbraw/zinc/06/91/60/621069160.db2.gz RWPMBBLZSMULLQ-UHFFFAOYSA-N 0 1 312.402 3.138 20 30 DGEDMN c1cnc2sc(N=NC3CCCN4CCCC[C@@H]34)nc2c1 ZINC000857218717 621069616 /nfs/dbraw/zinc/06/96/16/621069616.db2.gz UQWXVNLLWPKUKM-ZDUSSCGKSA-N 0 1 301.419 3.108 20 30 DGEDMN C=CCCn1cc(CNCCc2ccccc2SCC)nn1 ZINC000922709543 621087268 /nfs/dbraw/zinc/08/72/68/621087268.db2.gz LZRKCIURUHCPRE-UHFFFAOYSA-N 0 1 316.474 3.299 20 30 DGEDMN CCc1onc(C)c1CNCc1ccc(N(C)CCC#N)cc1 ZINC000922989225 621144487 /nfs/dbraw/zinc/14/44/87/621144487.db2.gz ZKSIFLQGZGADSS-UHFFFAOYSA-N 0 1 312.417 3.185 20 30 DGEDMN Cc1ccc(O[C@H]2CCN(Cc3ccc(C)c(C#N)c3)C2)nc1 ZINC000858045343 621148350 /nfs/dbraw/zinc/14/83/50/621148350.db2.gz QUPMKSMBBSLASL-SFHVURJKSA-N 0 1 307.397 3.223 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(C)c(F)cc1Br ZINC000858172515 621161181 /nfs/dbraw/zinc/16/11/81/621161181.db2.gz AEZXTIXFUYEQSX-KGLIPLIRSA-N 0 1 314.198 3.330 20 30 DGEDMN C[C@H](NC[C@H](O)C(F)(F)F)c1ccc(F)cc1C(F)(F)F ZINC000858353292 621179783 /nfs/dbraw/zinc/17/97/83/621179783.db2.gz KHMKKOAISBQAKV-WKEGUHRASA-N 0 1 319.220 3.418 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000838253672 621228109 /nfs/dbraw/zinc/22/81/09/621228109.db2.gz VDXGFAGTMGCAMJ-STQMWFEESA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000838253672 621228113 /nfs/dbraw/zinc/22/81/13/621228113.db2.gz VDXGFAGTMGCAMJ-STQMWFEESA-N 0 1 322.430 3.243 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2cc(-c3ccco3)n[nH]2)c1 ZINC000103101580 621258885 /nfs/dbraw/zinc/25/88/85/621258885.db2.gz LKVBSLPUAFHSIR-UHFFFAOYSA-N 0 1 305.337 3.318 20 30 DGEDMN CC[C@@H](CC(F)F)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000838785678 621280565 /nfs/dbraw/zinc/28/05/65/621280565.db2.gz ANYWPOBMKJDZNS-WDEREUQCSA-N 0 1 324.327 3.024 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C1CCC1 ZINC000838788257 621281230 /nfs/dbraw/zinc/28/12/30/621281230.db2.gz SMKZNZURMKKVKI-PWSUYJOCSA-N 0 1 304.777 3.424 20 30 DGEDMN C[C@@H](CN(C)Cc1ccc(C#N)c(F)c1)NC(=O)OC(C)(C)C ZINC000860096715 621365333 /nfs/dbraw/zinc/36/53/33/621365333.db2.gz MCXUEAUYCDOYMM-LBPRGKRZSA-N 0 1 321.396 3.042 20 30 DGEDMN c1ccc(CN2CCC(N=Nc3nncc4ccccc43)C2)cc1 ZINC000839387044 621366055 /nfs/dbraw/zinc/36/60/55/621366055.db2.gz DZMCULLVWREQFN-UHFFFAOYSA-N 0 1 317.396 3.304 20 30 DGEDMN C/C(=C\C(=O)Nc1cc(C#N)ccc1O)c1ccc([N+](=O)[O-])cc1 ZINC000752677799 621404175 /nfs/dbraw/zinc/40/41/75/621404175.db2.gz DPWBXTWABBCBPV-DHZHZOJOSA-N 0 1 323.308 3.214 20 30 DGEDMN CCC[C@H](OCC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000860853353 621450441 /nfs/dbraw/zinc/45/04/41/621450441.db2.gz HPBRMINWAQDPKR-CVEARBPZSA-N 0 1 313.397 3.201 20 30 DGEDMN CCn1nccc1C=CC(=O)c1[nH]c(-c2ccccc2)nc1C ZINC000753713586 621461058 /nfs/dbraw/zinc/46/10/58/621461058.db2.gz ZKKGNVUSCUHBTE-KTKRTIGZSA-N 0 1 306.369 3.498 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1oc2ccccc2c1C ZINC000753940352 621473365 /nfs/dbraw/zinc/47/33/65/621473365.db2.gz UHMVPJNNNMHFNX-HUUCEWRRSA-N 0 1 310.401 3.325 20 30 DGEDMN CC(=O)CCCCCCC(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000753949727 621473910 /nfs/dbraw/zinc/47/39/10/621473910.db2.gz MEYNPCZYWSIXDD-MRXNPFEDSA-N 0 1 320.433 3.074 20 30 DGEDMN C#CCN(Cc1ccnc(N(C)C)c1)[C@H]1CCc2ccccc21 ZINC000754016829 621480085 /nfs/dbraw/zinc/48/00/85/621480085.db2.gz YXHZHMFRRYHNTP-IBGZPJMESA-N 0 1 305.425 3.270 20 30 DGEDMN CC(C)(C)OC1CC(CC(=O)Nc2cc(C#N)ccc2O)C1 ZINC000754246530 621498881 /nfs/dbraw/zinc/49/88/81/621498881.db2.gz FYFMWAULHPCVAT-UHFFFAOYSA-N 0 1 302.374 3.186 20 30 DGEDMN CC(C)[N@@H+]1CC(N=Nc2ccc(Cl)c(C(=O)[O-])c2)[C@@H](C)C1 ZINC000754254659 621499175 /nfs/dbraw/zinc/49/91/75/621499175.db2.gz NULAYXATWFBZMT-JTQLQIEISA-N 0 1 309.797 3.166 20 30 DGEDMN N#Cc1ccccc1-c1ccccc1C(=O)OCCN1CCC1 ZINC000861385985 621511728 /nfs/dbraw/zinc/51/17/28/621511728.db2.gz GYSOROJGPUFWSD-UHFFFAOYSA-N 0 1 306.365 3.088 20 30 DGEDMN COc1ccccc1CONC(=O)C(C)(C)c1ccc(C#N)cc1 ZINC000861520708 621520175 /nfs/dbraw/zinc/52/01/75/621520175.db2.gz LTULPCSYOSYNEK-UHFFFAOYSA-N 0 1 324.380 3.092 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)OCc1ccnc(C#N)c1 ZINC000754715133 621527249 /nfs/dbraw/zinc/52/72/49/621527249.db2.gz JWBLKKJUGYIPBR-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN Cc1nc2ccc(C=NNCCN3CCCCC3)cc2s1 ZINC000754931668 621541903 /nfs/dbraw/zinc/54/19/03/621541903.db2.gz CXFSZZDIZKXKKU-UHFFFAOYSA-N 0 1 302.447 3.014 20 30 DGEDMN O=[N+]([O-])c1ccccc1N=NCc1cnc([C@H]2CCCO2)s1 ZINC000755466303 621574863 /nfs/dbraw/zinc/57/48/63/621574863.db2.gz ZCDCYYHYHLMZHF-CYBMUJFWSA-N 0 1 318.358 3.349 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2sc(Cl)nc2Cl)nc1 ZINC000755648163 621583438 /nfs/dbraw/zinc/58/34/38/621583438.db2.gz FZMNMFZUNCKWMC-UHFFFAOYSA-N 0 1 318.145 3.199 20 30 DGEDMN Clc1cccnc1NN=Cc1cnc([C@@H]2CCCO2)s1 ZINC000755656656 621584105 /nfs/dbraw/zinc/58/41/05/621584105.db2.gz WXJKTURYOKIETK-NSHDSACASA-N 0 1 308.794 3.489 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2cnc([C@@H]3CCCO3)s2)n1 ZINC000755744210 621590723 /nfs/dbraw/zinc/59/07/23/621590723.db2.gz NYSODYZXXYNZBO-JTQLQIEISA-N 0 1 323.809 3.192 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc3c(c(Br)c2)OCO3)C1 ZINC000862443294 621591310 /nfs/dbraw/zinc/59/13/10/621591310.db2.gz NXXPCHIFJDOIOA-NSHDSACASA-N 0 1 322.202 3.023 20 30 DGEDMN C#CC[C@H]1CC[N@H+](Cc2cc(C)cc(Br)c2[O-])C1 ZINC000862445289 621591347 /nfs/dbraw/zinc/59/13/47/621591347.db2.gz PSWKBUBGTCHPHK-LBPRGKRZSA-N 0 1 308.219 3.308 20 30 DGEDMN N#Cc1ccc(CN2CCC(=NO[C@H]3CCCCO3)CC2)cc1 ZINC000755822711 621596348 /nfs/dbraw/zinc/59/63/48/621596348.db2.gz IFHLPVDNAHOTQC-SFHVURJKSA-N 0 1 313.401 3.053 20 30 DGEDMN CC(C)N1CC(N=Nc2nc(C(F)(F)F)cs2)[C@@H](C)C1 ZINC000755903122 621601626 /nfs/dbraw/zinc/60/16/26/621601626.db2.gz DIKOXVLOCFYKQI-QMMMGPOBSA-N 0 1 306.357 3.290 20 30 DGEDMN CCOc1c(CN=Nc2cc(C)ccn2)c(C)nn1CC(C)C ZINC000755906272 621601816 /nfs/dbraw/zinc/60/18/16/621601816.db2.gz LHRRSYPUWZQEHA-UHFFFAOYSA-N 0 1 315.421 3.396 20 30 DGEDMN CCOc1c(C=N[N-]c2cc(C)cc[nH+]2)c(C)nn1CC(C)C ZINC000755906272 621601820 /nfs/dbraw/zinc/60/18/20/621601820.db2.gz LHRRSYPUWZQEHA-UHFFFAOYSA-N 0 1 315.421 3.396 20 30 DGEDMN CC(=NNc1cc(C)ccn1)c1cnn(-c2ccccn2)c1C ZINC000755907125 621601890 /nfs/dbraw/zinc/60/18/90/621601890.db2.gz URNNVPVJMYONQX-UHFFFAOYSA-N 0 1 306.373 3.115 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cc(-c3ccc(C)cc3C)[nH]n2)C1 ZINC000862572762 621603095 /nfs/dbraw/zinc/60/30/95/621603095.db2.gz NLMXTJNYLVORIE-OAHLLOKOSA-N 0 1 307.397 3.179 20 30 DGEDMN C#CCCCC(=O)N1CC[N@H+](Cc2ccccc2)C[C@@H]1C(C)C ZINC000862611563 621605801 /nfs/dbraw/zinc/60/58/01/621605801.db2.gz WKKZBVGVEDOFRM-LJQANCHMSA-N 0 1 312.457 3.159 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2ccccc2)C[C@@H]1C(C)C ZINC000862611563 621605802 /nfs/dbraw/zinc/60/58/02/621605802.db2.gz WKKZBVGVEDOFRM-LJQANCHMSA-N 0 1 312.457 3.159 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OCC3CCC(C#N)CC3)[nH]n2)o1 ZINC000755976343 621607549 /nfs/dbraw/zinc/60/75/49/621607549.db2.gz WQWMINCUWHYUQE-UHFFFAOYSA-N 0 1 313.357 3.465 20 30 DGEDMN N#Cc1ccc(N=NC2C[N@H+]3C[C@@H]2CCC3)cc1C(F)(F)F ZINC000863087128 621638059 /nfs/dbraw/zinc/63/80/59/621638059.db2.gz JEGZJLFKXYLYEE-NSHDSACASA-N 0 1 308.307 3.071 20 30 DGEDMN N#Cc1ccc(N=NC2CN3C[C@@H]2CCC3)cc1C(F)(F)F ZINC000863087128 621638061 /nfs/dbraw/zinc/63/80/61/621638061.db2.gz JEGZJLFKXYLYEE-NSHDSACASA-N 0 1 308.307 3.071 20 30 DGEDMN Cc1cnccc1NN=C(CC1CCCCC1)c1ncccn1 ZINC000863157059 621643373 /nfs/dbraw/zinc/64/33/73/621643373.db2.gz GRJXHSIHGAYPFJ-UHFFFAOYSA-N 0 1 309.417 3.389 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)CCCc1ccc(C)s1 ZINC000756551596 621647218 /nfs/dbraw/zinc/64/72/18/621647218.db2.gz OJRNWHCYDXUJKQ-OAHLLOKOSA-N 0 1 305.443 3.020 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](C)CSc1ccccc1 ZINC000756555661 621647716 /nfs/dbraw/zinc/64/77/16/621647716.db2.gz QPMOKHBPYXCVSA-JKSUJKDBSA-N 0 1 317.454 3.056 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)CSc1ccccc1 ZINC000756555651 621647860 /nfs/dbraw/zinc/64/78/60/621647860.db2.gz QPMOKHBPYXCVSA-HZPDHXFCSA-N 0 1 317.454 3.056 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2occc2C)c(OC)c1 ZINC000756897788 621670771 /nfs/dbraw/zinc/67/07/71/621670771.db2.gz OPZNRZBURSTELJ-UHFFFAOYSA-N 0 1 302.330 3.219 20 30 DGEDMN C#Cc1ccccc1C(C)N=Nc1ccc(C(=O)OC)cc1OC ZINC000756902720 621671600 /nfs/dbraw/zinc/67/16/00/621671600.db2.gz WVQGDMAIDDGKLO-UHFFFAOYSA-N 0 1 322.364 3.299 20 30 DGEDMN C#CCN(CC(=O)N(CC)Cc1cccc(F)c1)C1CCCC1 ZINC000756910807 621672449 /nfs/dbraw/zinc/67/24/49/621672449.db2.gz AYZWREYVMVCGAK-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](CCN2CCC[C@](C)(C#N)C2)C1 ZINC000839551432 621691500 /nfs/dbraw/zinc/69/15/00/621691500.db2.gz SSBBIQSLJFLMLT-CRAIPNDOSA-N 0 1 321.465 3.259 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C2CC2)[C@@H]1c1cccc(OC)c1 ZINC000839594615 621697974 /nfs/dbraw/zinc/69/79/74/621697974.db2.gz WVSRWUMMEFUJFM-DLBZAZTESA-N 0 1 306.837 3.315 20 30 DGEDMN CCOc1ccc(C[C@@H](C)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000839696117 621708817 /nfs/dbraw/zinc/70/88/17/621708817.db2.gz HSKQHXGKXHNLMO-CYBMUJFWSA-N 0 1 324.380 3.480 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1cc(F)cc(F)c1O ZINC000757451381 621712195 /nfs/dbraw/zinc/71/21/95/621712195.db2.gz OQEMDIHTFMHUIN-UHFFFAOYSA-N 0 1 302.284 3.383 20 30 DGEDMN COc1cc(C(C)=NNc2cnnc3ccccc32)ccc1O ZINC000757465844 621713875 /nfs/dbraw/zinc/71/38/75/621713875.db2.gz ZOJHXAWXBJOJAD-UHFFFAOYSA-N 0 1 308.341 3.180 20 30 DGEDMN O=C(/C=C\c1cn(-c2ccccc2)nn1)c1cc(F)ccc1O ZINC000757468259 621714290 /nfs/dbraw/zinc/71/42/90/621714290.db2.gz PSEDENLNVLNNPJ-CLFYSBASSA-N 0 1 309.300 3.008 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(-n3cccn3)cc2)c(O)c1 ZINC000757472570 621715083 /nfs/dbraw/zinc/71/50/83/621715083.db2.gz OSPFNUPQWAEMEQ-BJMVGYQFSA-N 0 1 320.348 3.483 20 30 DGEDMN COC(=O)c1coc(C=NNc2ccc(Cl)cc2[N+](=O)[O-])c1 ZINC000758113691 621758547 /nfs/dbraw/zinc/75/85/47/621758547.db2.gz SIFXZHZYRWYIAP-UHFFFAOYSA-N 0 1 323.692 3.074 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1C=NN=c1[nH]c2ccccc2n1C ZINC000758111653 621758785 /nfs/dbraw/zinc/75/87/85/621758785.db2.gz AEKYHSKRWIBMMW-UHFFFAOYSA-N 0 1 309.329 3.236 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2csc(C(C)(C)C)n2)nc1 ZINC000758140112 621760712 /nfs/dbraw/zinc/76/07/12/621760712.db2.gz BUGQWYHGPMLBNP-UHFFFAOYSA-N 0 1 318.402 3.068 20 30 DGEDMN COc1ccc(CNCc2ccc(OC)c(C#N)c2)c(F)c1 ZINC000758487481 621784795 /nfs/dbraw/zinc/78/47/95/621784795.db2.gz KLOOXOZQYCJYNL-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN N#CCOc1cc(F)ccc1NC(=O)c1ccc(Cl)cc1O ZINC000120181682 621800892 /nfs/dbraw/zinc/80/08/92/621800892.db2.gz GLXPLKPKDNHWRC-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN COc1cc2c(cc1CN=Nc1ccc3ccccc3n1)OCO2 ZINC000758869510 621807074 /nfs/dbraw/zinc/80/70/74/621807074.db2.gz WTGVUIJUYYVFLM-UHFFFAOYSA-N 0 1 321.336 3.418 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2nn(C)c3ccccc23)cc1 ZINC000759190576 621834104 /nfs/dbraw/zinc/83/41/04/621834104.db2.gz MRJCXZBCTYYTAT-CQSZACIVSA-N 0 1 320.396 3.154 20 30 DGEDMN CC(=[NH+]Nc1ccccc1C(=O)[O-])c1ccc(F)cc1N(C)C ZINC000759197917 621835371 /nfs/dbraw/zinc/83/53/71/621835371.db2.gz XXIZCYAFBUKRME-UHFFFAOYSA-N 0 1 315.348 3.426 20 30 DGEDMN CCC(N=Nc1cn[nH]c(=O)c1Cl)c1ccc(N(C)C)cc1 ZINC000759198030 621835434 /nfs/dbraw/zinc/83/54/34/621835434.db2.gz ZZVBCRYDOAXDAF-UHFFFAOYSA-N 0 1 319.796 3.128 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3cncc(F)c3)[nH]c21 ZINC000759368269 621850495 /nfs/dbraw/zinc/85/04/95/621850495.db2.gz WIVSWTKKPWCWER-GJBLVYBDSA-N 0 1 320.327 3.295 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCCc3ccccn3)[nH]c21 ZINC000759367862 621850738 /nfs/dbraw/zinc/85/07/38/621850738.db2.gz SVDYROKROYFUAR-OAHLLOKOSA-N 0 1 318.380 3.466 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)c3coc(C#N)c3)[nH]c2c1 ZINC000865054533 621853564 /nfs/dbraw/zinc/85/35/64/621853564.db2.gz UVVJYESCOQKAOD-INIZCTEOSA-N 0 1 320.352 3.313 20 30 DGEDMN N#C[C@H](C(=O)c1cc(Cl)cs1)c1nnc2n1CCCCC2 ZINC000759441436 621859695 /nfs/dbraw/zinc/85/96/95/621859695.db2.gz UIJSZSMZPWUODA-SNVBAGLBSA-N 0 1 320.805 3.209 20 30 DGEDMN N#CC(C(=O)c1cc(Cl)cs1)c1nnc2n1CCCCC2 ZINC000759441436 621859703 /nfs/dbraw/zinc/85/97/03/621859703.db2.gz UIJSZSMZPWUODA-SNVBAGLBSA-N 0 1 320.805 3.209 20 30 DGEDMN Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)OCC#N ZINC000759832860 621893961 /nfs/dbraw/zinc/89/39/61/621893961.db2.gz AFAXYVHUBZCIEP-UHFFFAOYSA-N 0 1 309.247 3.084 20 30 DGEDMN Oc1cc(Cl)c(CN=Nc2ncc(F)cn2)cc1Cl ZINC000760247087 621924125 /nfs/dbraw/zinc/92/41/25/621924125.db2.gz KMPSYJIJLISROM-UHFFFAOYSA-N 0 1 301.108 3.074 20 30 DGEDMN CC(C)c1cccc(O[C@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760332087 621932836 /nfs/dbraw/zinc/93/28/36/621932836.db2.gz FFWKTYXPIODQAO-CJNGLKHVSA-N 0 1 309.369 3.244 20 30 DGEDMN CCCOc1ccc(C(=O)NC2=NO[C@H](C)C2)c(OCCC)c1 ZINC000760485706 621944218 /nfs/dbraw/zinc/94/42/18/621944218.db2.gz JWRTXLFQRDQXDL-GFCCVEGCSA-N 0 1 320.389 3.116 20 30 DGEDMN C#CCCN1CCN([C@H](C)c2ccc(Br)cc2)CC1 ZINC000760846004 621968566 /nfs/dbraw/zinc/96/85/66/621968566.db2.gz YPGZQOKSDWVKKI-CQSZACIVSA-N 0 1 321.262 3.151 20 30 DGEDMN Cc1ccc(NN=Cc2cc(F)ccc2Br)nn1 ZINC000761259464 621997269 /nfs/dbraw/zinc/99/72/69/621997269.db2.gz TVMGAISKLZDIFV-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN Cc1nc(C#N)c(N=NC2CN(Cc3ccccc3)C(C)(C)C2)o1 ZINC000761260376 621997419 /nfs/dbraw/zinc/99/74/19/621997419.db2.gz DYKIBTMDORKSLK-UHFFFAOYSA-N 0 1 323.400 3.307 20 30 DGEDMN Clc1scc(C=NNc2ncnc3[nH]cnc32)c1Cl ZINC000761801572 622030088 /nfs/dbraw/zinc/03/00/88/622030088.db2.gz XMBDXZFIRDHHCE-UHFFFAOYSA-N 0 1 313.173 3.167 20 30 DGEDMN CC(N=Nc1ccc(-n2ccnc2)nn1)c1csc(Cl)c1 ZINC000761838879 622032695 /nfs/dbraw/zinc/03/26/95/622032695.db2.gz NTCNJMLHPJZMDH-UHFFFAOYSA-N 0 1 318.793 3.213 20 30 DGEDMN C[C@@H]([NH2+]CC1(CN(C)C)CCCC1)c1cccc(C#N)c1[O-] ZINC000866339099 622097437 /nfs/dbraw/zinc/09/74/37/622097437.db2.gz DNYKWNPJXUGHGW-CQSZACIVSA-N 0 1 301.434 3.036 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2nc(C(F)(F)F)n3c2CCCC3)C1 ZINC000866560291 622137623 /nfs/dbraw/zinc/13/76/23/622137623.db2.gz RNUXHNWVTDYIKM-WDEREUQCSA-N 0 1 312.339 3.020 20 30 DGEDMN CN1CCN(c2ccccc2NCc2ccc(C#N)cc2F)CC1 ZINC000763497867 622148072 /nfs/dbraw/zinc/14/80/72/622148072.db2.gz KJNRRBBSQOJMKF-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CCCCC1)c1cccs1 ZINC000121670499 622170859 /nfs/dbraw/zinc/17/08/59/622170859.db2.gz XVQHKZCSIKQMQG-WCQYABFASA-N 0 1 304.415 3.009 20 30 DGEDMN C[C@H](CCC(C)(C)C)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867945381 622196186 /nfs/dbraw/zinc/19/61/86/622196186.db2.gz WFLVPRPZMUHCEA-CXAGYDPISA-N 0 1 313.445 3.076 20 30 DGEDMN COc1cc(C(C)=NNc2cccc(F)c2)cc([N+](=O)[O-])c1O ZINC000764679683 622212790 /nfs/dbraw/zinc/21/27/90/622212790.db2.gz UKIRGJMVEMIOGJ-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN CCN(CC)c1ncc(C=NNCc2cccc(C)c2)s1 ZINC000764715080 622215383 /nfs/dbraw/zinc/21/53/83/622215383.db2.gz NJHGHVZEBKOTBT-UHFFFAOYSA-N 0 1 302.447 3.421 20 30 DGEDMN Cc1onc(-c2ccccc2Cl)c1C(=O)NC1=NO[C@H](C)C1 ZINC000765376878 622261787 /nfs/dbraw/zinc/26/17/87/622261787.db2.gz CGTDWVIEYYHJHC-MRVPVSSYSA-N 0 1 319.748 3.156 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc(Oc3ccccc3)ccc2O)NO1 ZINC000765375101 622261827 /nfs/dbraw/zinc/26/18/27/622261827.db2.gz FBJXOGBATKEDHE-LLVKDONJSA-N 0 1 312.325 3.037 20 30 DGEDMN C[C@@H]1CCC[C@H](CC(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000122414538 622317274 /nfs/dbraw/zinc/31/72/74/622317274.db2.gz VBSAYIFURUEFBY-HYVNUMGLSA-N 0 1 312.413 3.228 20 30 DGEDMN Cc1nc(C#N)c(N=NC2C[C@H](C)CN(Cc3ccccc3)C2)o1 ZINC000767371375 622393953 /nfs/dbraw/zinc/39/39/53/622393953.db2.gz XAQMBIAAXMFXFK-ZDUSSCGKSA-N 0 1 323.400 3.165 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2cc(-c3ccccc3)[nH]n2)cc1 ZINC000767742646 622419805 /nfs/dbraw/zinc/41/98/05/622419805.db2.gz BPSNJIQCAUPXNQ-UHFFFAOYSA-N 0 1 307.313 3.431 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@@H]2Cc3ccc(Cl)cc32)nc(C)n1 ZINC000870134040 622436605 /nfs/dbraw/zinc/43/66/05/622436605.db2.gz GOUXRTLAJIPWOR-HUUCEWRRSA-N 0 1 311.772 3.263 20 30 DGEDMN Cc1oc2ccccc2c1CC(=O)Nc1cc(C#N)ccc1O ZINC000768321478 622450185 /nfs/dbraw/zinc/45/01/85/622450185.db2.gz MRSIYSVMXIMQFD-UHFFFAOYSA-N 0 1 306.321 3.500 20 30 DGEDMN N#C[C@H](C(=O)[C@]12CCC[C@H]1OCC2)c1nccc2ccccc21 ZINC000870314936 622455806 /nfs/dbraw/zinc/45/58/06/622455806.db2.gz WMADQMSEHOOKAE-FCEWJHQRSA-N 0 1 306.365 3.370 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1cc(OC)c(C)cc1O ZINC000768440592 622456411 /nfs/dbraw/zinc/45/64/11/622456411.db2.gz QUXNURUYQHOTNO-UHFFFAOYSA-N 0 1 313.372 3.484 20 30 DGEDMN C[C@H](OC(=O)c1ccc(-c2nnc[nH]2)cc1)c1ccc(C#N)cc1 ZINC000789803305 625567871 /nfs/dbraw/zinc/56/78/71/625567871.db2.gz OVDHSGLQKIIVPI-LBPRGKRZSA-N 0 1 318.336 3.261 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000870454997 622469716 /nfs/dbraw/zinc/46/97/16/622469716.db2.gz SERNAMGRWHCOPK-VFTHGPRRSA-N 0 1 307.393 3.288 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000769285432 622513990 /nfs/dbraw/zinc/51/39/90/622513990.db2.gz ZFLHVNVIOXVSFA-UWJYBYFXSA-N 0 1 324.352 3.305 20 30 DGEDMN Cc1ccc(C)c2c1CCCC2=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000769800035 622561927 /nfs/dbraw/zinc/56/19/27/622561927.db2.gz SLQILLJXLDPZNI-HZPDHXFCSA-N 0 1 310.445 3.204 20 30 DGEDMN CN(C)Cc1ccc(NCc2cnc3ccc(C#N)cn23)cc1F ZINC000770599840 622650768 /nfs/dbraw/zinc/65/07/68/622650768.db2.gz BLAKGIITMURXDW-UHFFFAOYSA-N 0 1 323.375 3.019 20 30 DGEDMN COCCc1nc(C)c(C(C)N=Nc2ccc(F)cn2)s1 ZINC000770607531 622651823 /nfs/dbraw/zinc/65/18/23/622651823.db2.gz XQZCWEZHQNMMOK-UHFFFAOYSA-N 0 1 308.382 3.011 20 30 DGEDMN CC(C)[C@@H]1CCC2=NN(c3cc(C#N)cc(Cl)n3)C(=O)[C@@H]2C1 ZINC000871954186 622743553 /nfs/dbraw/zinc/74/35/53/622743553.db2.gz GESOUNYBZXOPHA-VXGBXAGGSA-N 0 1 316.792 3.382 20 30 DGEDMN N#Cc1cc(Cl)nc(N2N=C3CCCCCC[C@@H]3C2=O)c1 ZINC000871954176 622744143 /nfs/dbraw/zinc/74/41/43/622744143.db2.gz FYYSQKYQPLQCSE-NSHDSACASA-N 0 1 302.765 3.280 20 30 DGEDMN CC(C)[C@H](N)c1noc(C2(c3ccc(C#N)cc3)CCCC2)n1 ZINC000872171844 622849807 /nfs/dbraw/zinc/84/98/07/622849807.db2.gz VDGCPPMJWWDFCI-HNNXBMFYSA-N 0 1 310.401 3.457 20 30 DGEDMN COc1cc2[nH]c(-c3ccc(OCC#N)c(OC)c3)nc2cc1C ZINC000772178870 622862521 /nfs/dbraw/zinc/86/25/21/622862521.db2.gz RKNOWIJRBXJJKQ-UHFFFAOYSA-N 0 1 323.352 3.458 20 30 DGEDMN Cc1ccc(N=NCc2ccc(C(=O)N3CCCC3)cc2)nc1 ZINC000872348139 622893933 /nfs/dbraw/zinc/89/39/33/622893933.db2.gz RJNNCEOZFRGRBF-UHFFFAOYSA-N 0 1 308.385 3.072 20 30 DGEDMN O=c1[n-]c(N=NC(C2CCC2)[C@H]2CCCO2)nc2ccccc21 ZINC000872356650 622895074 /nfs/dbraw/zinc/89/50/74/622895074.db2.gz WNODXRQJJYSBJL-CQSZACIVSA-N 0 1 312.373 3.082 20 30 DGEDMN O=c1[nH]c(N=NC(C2CCC2)[C@H]2CCCO2)nc2ccccc21 ZINC000872356650 622895081 /nfs/dbraw/zinc/89/50/81/622895081.db2.gz WNODXRQJJYSBJL-CQSZACIVSA-N 0 1 312.373 3.082 20 30 DGEDMN Cc1noc(C)c1CN=Nc1ncccc1C(=O)OC(C)(C)C ZINC000872399929 622904437 /nfs/dbraw/zinc/90/44/37/622904437.db2.gz RTLNYLAXZKQLMM-UHFFFAOYSA-N 0 1 316.361 3.088 20 30 DGEDMN CN(CCC#N)c1ccc(C=NNC[C@H]2CCCC2(F)F)cc1 ZINC000872409943 622907140 /nfs/dbraw/zinc/90/71/40/622907140.db2.gz HXYADDOUWOWNND-OAHLLOKOSA-N 0 1 320.387 3.395 20 30 DGEDMN Cc1cncc(C=CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000772653171 622923611 /nfs/dbraw/zinc/92/36/11/622923611.db2.gz IHPHTWFWSXSFKQ-LQYUOIDQSA-N 0 1 316.364 3.464 20 30 DGEDMN COc1ccc2c(c1)C(=O)/C(=C\c1cc(O)ccc1[N+](=O)[O-])C2 ZINC000772807008 622943325 /nfs/dbraw/zinc/94/33/25/622943325.db2.gz OPHBSDBFFQUOPA-GHXNOFRVSA-N 0 1 311.293 3.131 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cncc2ccccc12 ZINC000772832968 622946899 /nfs/dbraw/zinc/94/68/99/622946899.db2.gz KKDUJWIAHRQGCY-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2cnn(CC)c2)c1 ZINC000772984741 622961197 /nfs/dbraw/zinc/96/11/97/622961197.db2.gz PVSGUVZXLYJSGV-RHSMWYFYSA-N 0 1 312.417 3.435 20 30 DGEDMN C[C@@H](O)c1ncc(C=NNc2ncc(Cl)cc2Cl)s1 ZINC000773027740 622970101 /nfs/dbraw/zinc/97/01/01/622970101.db2.gz LIMSTRYZEQUFIB-ZCFIWIBFSA-N 0 1 317.201 3.344 20 30 DGEDMN CCN(C)c1ccc(C=NNCc2cccc3cccnc32)cn1 ZINC000790158697 625611372 /nfs/dbraw/zinc/61/13/72/625611372.db2.gz UBVJTXMMYQUKAR-UHFFFAOYSA-N 0 1 319.412 3.210 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccn(-c3ccccc3)n2)c(O)c1 ZINC000773153849 622993488 /nfs/dbraw/zinc/99/34/88/622993488.db2.gz PBBREBURMUSOQE-YFHOEESVSA-N 0 1 320.348 3.483 20 30 DGEDMN COc1ccc(CN=Nc2ncccc2C)cc1OC(F)F ZINC000790193073 625615430 /nfs/dbraw/zinc/61/54/30/625615430.db2.gz RHMXHJLRMYEAFO-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN C[C@@H](C[C@H](O)c1ccc(F)cc1)NCc1cccc(F)c1C#N ZINC000873097201 623035630 /nfs/dbraw/zinc/03/56/30/623035630.db2.gz JWIUAKZBMXFNAT-SGTLLEGYSA-N 0 1 316.351 3.438 20 30 DGEDMN C[C@H](C[C@@H](O)c1ccc(F)cc1)NCc1cccc(F)c1C#N ZINC000873097200 623035856 /nfs/dbraw/zinc/03/58/56/623035856.db2.gz JWIUAKZBMXFNAT-KZULUSFZSA-N 0 1 316.351 3.438 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)C(=O)Nc2ccccc2Cl)ns1 ZINC000774051434 623102811 /nfs/dbraw/zinc/10/28/11/623102811.db2.gz IYIVATLRQRDTQP-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)ns1 ZINC000774051434 623102813 /nfs/dbraw/zinc/10/28/13/623102813.db2.gz IYIVATLRQRDTQP-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN COc1ccc(CCNN=C2CCCNc3ccccc32)cc1 ZINC000774386601 623138909 /nfs/dbraw/zinc/13/89/09/623138909.db2.gz DQICHLDMBIHCQX-UHFFFAOYSA-N 0 1 309.413 3.437 20 30 DGEDMN C=CCN(C(C)C)[C@H]1CCN(c2ccc(Cl)c(F)c2)C1=O ZINC000790266948 625624827 /nfs/dbraw/zinc/62/48/27/625624827.db2.gz SNXZAFPOUVRJQS-HNNXBMFYSA-N 0 1 310.800 3.481 20 30 DGEDMN CCC(CC)CNN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000774473571 623149846 /nfs/dbraw/zinc/14/98/46/623149846.db2.gz RWSNQCAWIDYAQK-UHFFFAOYSA-N 0 1 307.413 3.022 20 30 DGEDMN C#CC[N@@H+](Cc1ccc([N+](=O)[O-])c(OC)c1[O-])C1CCCCC1 ZINC000774859060 623200153 /nfs/dbraw/zinc/20/01/53/623200153.db2.gz RSNIWKCLLOTMDS-UHFFFAOYSA-N 0 1 318.373 3.077 20 30 DGEDMN C#CC[N@H+](Cc1ccc([N+](=O)[O-])c(OC)c1[O-])C1CCCCC1 ZINC000774859060 623200156 /nfs/dbraw/zinc/20/01/56/623200156.db2.gz RSNIWKCLLOTMDS-UHFFFAOYSA-N 0 1 318.373 3.077 20 30 DGEDMN C=CCn1c(C)nnc1N1CCC[C@H](c2cc(C(C)C)n[nH]2)C1 ZINC000873668134 623205986 /nfs/dbraw/zinc/20/59/86/623205986.db2.gz SEVKJMBSMMBDSZ-AWEZNQCLSA-N 0 1 314.437 3.003 20 30 DGEDMN C[C@@H](C(=O)OCc1cccc(C#N)c1F)N1[C@H](C)CC[C@H]1C ZINC000774959290 623211257 /nfs/dbraw/zinc/21/12/57/623211257.db2.gz DXDAYOFRRBOJLE-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN C#C[C@@H](NCc1cc(C)cc(Br)c1)[C@H]1CCCO1 ZINC000775013258 623216118 /nfs/dbraw/zinc/21/61/18/623216118.db2.gz MDQVFHZJILVBJG-HUUCEWRRSA-N 0 1 308.219 3.028 20 30 DGEDMN CC(=NNc1nccn1C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000790299940 625630891 /nfs/dbraw/zinc/63/08/91/625630891.db2.gz KTPFAKUZBVDTHB-UHFFFAOYSA-N 0 1 322.416 3.367 20 30 DGEDMN CC[C@H](NCC(=O)Nc1cccc(C#N)c1)c1ccccc1OC ZINC000775066139 623225569 /nfs/dbraw/zinc/22/55/69/623225569.db2.gz POHRIQAJJPBZSD-KRWDZBQOSA-N 0 1 323.396 3.246 20 30 DGEDMN C[C@H](C(=O)Nc1ccc([C@@H](C#N)c2ccccc2)cc1)N(C)C ZINC000874007175 623229050 /nfs/dbraw/zinc/22/90/50/623229050.db2.gz XMYUFBCZBNLQJX-KDOFPFPSSA-N 0 1 307.397 3.231 20 30 DGEDMN Cn1ccnc1NN=C1CCCc2c(Br)cccc21 ZINC000790299585 625631538 /nfs/dbraw/zinc/63/15/38/625631538.db2.gz FMPCGONFMHBUGK-UHFFFAOYSA-N 0 1 319.206 3.335 20 30 DGEDMN CCCCOc1ccc(C(C)=NNc2nccn2C)cc1OC ZINC000790305144 625632684 /nfs/dbraw/zinc/63/26/84/625632684.db2.gz ANJNBMKHPALTQZ-UHFFFAOYSA-N 0 1 316.405 3.444 20 30 DGEDMN CC(=O)c1cc(F)cc(C[NH2+][C@H](C)c2cccc(C#N)c2)c1[O-] ZINC000775338358 623256188 /nfs/dbraw/zinc/25/61/88/623256188.db2.gz OZBXJZQVRZZNHF-LLVKDONJSA-N 0 1 312.344 3.456 20 30 DGEDMN C#C[C@H](NCc1ccc(OCCCC)c(OC)c1)[C@@H]1CCCO1 ZINC000775346647 623257826 /nfs/dbraw/zinc/25/78/26/623257826.db2.gz WRVVPCJHTVQQTG-IRXDYDNUSA-N 0 1 317.429 3.145 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)Cc1ccccc1C)c1ccccc1 ZINC000775397716 623267690 /nfs/dbraw/zinc/26/76/90/623267690.db2.gz AHBJIHWDSBYKJW-HXUWFJFHSA-N 0 1 320.436 3.308 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc2c(c1)CCC2)c1ccccc1 ZINC000775400762 623268781 /nfs/dbraw/zinc/26/87/81/623268781.db2.gz LSCAPLUXAPHQIX-FQEVSTJZSA-N 0 1 318.420 3.468 20 30 DGEDMN O=[N+]([O-])c1c(F)cccc1NN=C1COc2ccc(F)cc21 ZINC000790309172 625634762 /nfs/dbraw/zinc/63/47/62/625634762.db2.gz XMFRFZBUNDDJOA-UHFFFAOYSA-N 0 1 305.240 3.082 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(Cl)cn2)cc1C#N ZINC000775522724 623284129 /nfs/dbraw/zinc/28/41/29/623284129.db2.gz YTRVDRLLJSITJQ-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(OCC)c(OCC)c1)[C@H]1CCCO1 ZINC000775575790 623292984 /nfs/dbraw/zinc/29/29/84/623292984.db2.gz YSZOOTBAXBWHBC-HYVNUMGLSA-N 0 1 317.429 3.315 20 30 DGEDMN CCCCCCCC[C@H](C)CC(=O)N1CCc2[nH]nnc2C1 ZINC000775611081 623296768 /nfs/dbraw/zinc/29/67/68/623296768.db2.gz FFKLTQCTCUCJRC-AWEZNQCLSA-N 0 1 306.454 3.466 20 30 DGEDMN CC[C@@]1(C)COCCN1Cc1ccc(N(C)CCC#N)cc1 ZINC000775981312 623360141 /nfs/dbraw/zinc/36/01/41/623360141.db2.gz ORWNCPUZKDISIP-SFHVURJKSA-N 0 1 301.434 3.037 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000776050021 623369827 /nfs/dbraw/zinc/36/98/27/623369827.db2.gz ZMUSSVVHHLKGCJ-CYBMUJFWSA-N 0 1 313.405 3.016 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000776050021 623369832 /nfs/dbraw/zinc/36/98/32/623369832.db2.gz ZMUSSVVHHLKGCJ-CYBMUJFWSA-N 0 1 313.405 3.016 20 30 DGEDMN Cc1cc(C)nc(N=NC2CN(Cc3ccccc3)CC[C@@H]2C)n1 ZINC000776176705 623391673 /nfs/dbraw/zinc/39/16/73/623391673.db2.gz DDUFFTXLMDOIIZ-AWEZNQCLSA-N 0 1 323.444 3.403 20 30 DGEDMN COC(=O)c1ccccc1NN=Cc1cn(C)c2ccccc12 ZINC000776187090 623392786 /nfs/dbraw/zinc/39/27/86/623392786.db2.gz HNNKVEAVAXYMMZ-UHFFFAOYSA-N 0 1 307.353 3.411 20 30 DGEDMN C=CCOc1ccccc1CN=Nc1ccccc1C(=O)OC ZINC000776190087 623393321 /nfs/dbraw/zinc/39/33/21/623393321.db2.gz YDPRWVQGVCCWNA-UHFFFAOYSA-N 0 1 310.353 3.484 20 30 DGEDMN Cc1cc2ccccc2nc1NN=Cc1cn2cccnc2n1 ZINC000776786524 623463516 /nfs/dbraw/zinc/46/35/16/623463516.db2.gz XEXXCTJOWTZQNK-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN CCCCCCCNC(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000875764992 623490374 /nfs/dbraw/zinc/49/03/74/623490374.db2.gz XVAFNUBIULTOJD-HNNXBMFYSA-N 0 1 315.421 3.164 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000777064125 623492972 /nfs/dbraw/zinc/49/29/72/623492972.db2.gz VRJZJBCJHLDTFC-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN Cc1ccc(CN2CCN([C@H](C#N)c3ccccc3)CC2)c(O)c1 ZINC000876511574 623631039 /nfs/dbraw/zinc/63/10/39/623631039.db2.gz MYUOAKMTLJBICH-LJQANCHMSA-N 0 1 321.424 3.083 20 30 DGEDMN C#CCN(Cc1cnc2c(cnn2C)c1)[C@@H]1CCc2ccccc21 ZINC000876513344 623631306 /nfs/dbraw/zinc/63/13/06/623631306.db2.gz LAZVZNDYHOYFMA-LJQANCHMSA-N 0 1 316.408 3.091 20 30 DGEDMN C=C(C)CN(CC)Cc1cnc(NC(=O)OC(C)(C)C)cn1 ZINC000876528728 623634322 /nfs/dbraw/zinc/63/43/22/623634322.db2.gz XBIGRFISCHIMQD-UHFFFAOYSA-N 0 1 306.410 3.222 20 30 DGEDMN COCCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000778297389 623637178 /nfs/dbraw/zinc/63/71/78/623637178.db2.gz IRFZPYGNTZTZOI-NWDGAFQWSA-N 0 1 322.792 3.050 20 30 DGEDMN CCC(=NNc1ccccc1S(C)(=O)=O)c1ccccc1F ZINC000778542229 623665912 /nfs/dbraw/zinc/66/59/12/623665912.db2.gz QNUQHOILJMUCQX-UHFFFAOYSA-N 0 1 320.389 3.455 20 30 DGEDMN CCc1oc2ccccc2c1CN=Nc1cc(Cl)nc(N)n1 ZINC000778545365 623666709 /nfs/dbraw/zinc/66/67/09/623666709.db2.gz ROHFZYKETPBNOG-UHFFFAOYSA-N 0 1 315.764 3.467 20 30 DGEDMN CC(=NN=c1cc(Cl)[nH]c(N)n1)c1cc2ccccc2o1 ZINC000778544547 623666856 /nfs/dbraw/zinc/66/68/56/623666856.db2.gz XPTJYWLNTBZMMI-UHFFFAOYSA-N 0 1 301.737 3.295 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2CC1(CN(CCC#N)CC1CC1)C2 ZINC000876691171 623683538 /nfs/dbraw/zinc/68/35/38/623683538.db2.gz CXJSNHUTFXHKGR-UHFFFAOYSA-N 0 1 319.449 3.012 20 30 DGEDMN CSC(C)(C)CCN1CCO[C@@H](c2cccc(C#N)c2)C1 ZINC000876816110 623715008 /nfs/dbraw/zinc/71/50/08/623715008.db2.gz HKAUXBGVXWESBI-MRXNPFEDSA-N 0 1 304.459 3.463 20 30 DGEDMN C=CC[C@H](NCc1cc(C(=O)OC)cs1)c1ccncc1 ZINC000877046755 623775371 /nfs/dbraw/zinc/77/53/71/623775371.db2.gz SCNUVZKKJGVPQT-HNNXBMFYSA-N 0 1 302.399 3.337 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnc(OC)s2)c1 ZINC000877046043 623775399 /nfs/dbraw/zinc/77/53/99/623775399.db2.gz HIZOUEWGZXQVRQ-CYBMUJFWSA-N 0 1 317.414 3.122 20 30 DGEDMN C#CCCCNCc1csc(N(C(C)=O)c2ccccc2)n1 ZINC000877070492 623783265 /nfs/dbraw/zinc/78/32/65/623783265.db2.gz OXWJWASNLAAAHC-UHFFFAOYSA-N 0 1 313.426 3.331 20 30 DGEDMN C[C@H](C[C@H](O)c1ccccc1)NCc1cc(C#N)cnc1Cl ZINC000877127398 623797717 /nfs/dbraw/zinc/79/77/17/623797717.db2.gz GFLCPKHFUMHUHJ-WBMJQRKESA-N 0 1 315.804 3.208 20 30 DGEDMN C[C@@H](C[C@H](O)c1ccccc1)NCc1cc(C#N)cnc1Cl ZINC000877127396 623797782 /nfs/dbraw/zinc/79/77/82/623797782.db2.gz GFLCPKHFUMHUHJ-LRDDRELGSA-N 0 1 315.804 3.208 20 30 DGEDMN C[C@@H](C[C@H](O)c1cccs1)NCc1cc(C#N)cnc1Cl ZINC000877130745 623799532 /nfs/dbraw/zinc/79/95/32/623799532.db2.gz SYUPQQJZHBFACE-GWCFXTLKSA-N 0 1 321.833 3.270 20 30 DGEDMN C[C@H]1CN=C(NN=C(CC(C)(C)C)c2ccc(Cl)cc2)N1 ZINC000779805968 623809573 /nfs/dbraw/zinc/80/95/73/623809573.db2.gz XCCTUIOTPSNLAL-NSHDSACASA-N 0 1 306.841 3.418 20 30 DGEDMN COc1cccc(N=NCc2csc(-c3ccccn3)n2)n1 ZINC000779819759 623813807 /nfs/dbraw/zinc/81/38/07/623813807.db2.gz VLXZPRRDUOKNDZ-UHFFFAOYSA-N 0 1 311.370 3.055 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NN[C@@H]1CCCOC1 ZINC000780252217 623895891 /nfs/dbraw/zinc/89/58/91/623895891.db2.gz SIRUUAJMHMAZRH-RHSMWYFYSA-N 0 1 321.440 3.411 20 30 DGEDMN COc1ccc(N=NCc2ccc(OC)cc2OC(F)F)nc1 ZINC000780267238 623900499 /nfs/dbraw/zinc/90/04/99/623900499.db2.gz IKKYSLNHRBPOCG-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1ccc(NN=C(C)c2c(C)nn(C(C)C)c2C)nc1 ZINC000780268320 623900782 /nfs/dbraw/zinc/90/07/82/623900782.db2.gz HOICOWZIMPFRHN-UHFFFAOYSA-N 0 1 301.394 3.321 20 30 DGEDMN COc1ccc(NN=Cc2cc(C)ccc2OC(F)F)nc1 ZINC000780271185 623901664 /nfs/dbraw/zinc/90/16/64/623901664.db2.gz QJERKRMQOLABNG-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN COc1ccc(N=NC2C[C@H](C)CN(Cc3ccccc3)C2)nc1 ZINC000780271071 623901759 /nfs/dbraw/zinc/90/17/59/623901759.db2.gz OBRWXEGTRHFYQT-HNNXBMFYSA-N 0 1 324.428 3.400 20 30 DGEDMN C=CCCCN(C)CN1CC[C@](C)(Cc2ccccc2)C1=O ZINC000780442396 623930033 /nfs/dbraw/zinc/93/00/33/623930033.db2.gz IENWFSHHHXKYCO-LJQANCHMSA-N 0 1 300.446 3.323 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@@H]2[C@@H]2CCC[C@@H]2O)c1 ZINC000877563474 623943839 /nfs/dbraw/zinc/94/38/39/623943839.db2.gz NVLVBABLUUUBRW-XHSDSOJGSA-N 0 1 319.836 3.122 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@H](CO)CC2CCCCC2)c1 ZINC000877594577 623955898 /nfs/dbraw/zinc/95/58/98/623955898.db2.gz VRDSFQOHKTUZFT-HNNXBMFYSA-N 0 1 307.825 3.028 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nccc(C)c2Br)C1 ZINC000877605651 623959665 /nfs/dbraw/zinc/95/96/65/623959665.db2.gz UZQICMCQQHJEGB-ZDUSSCGKSA-N 0 1 307.235 3.388 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H]1CNCC1(C#N)CCCC1 ZINC000877609556 623961050 /nfs/dbraw/zinc/96/10/50/623961050.db2.gz LUNPKUGEMJQWRI-CQSZACIVSA-N 0 1 307.438 3.059 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H](CN2CC[C@@](C)(C#N)C2)C1 ZINC000877637200 623972419 /nfs/dbraw/zinc/97/24/19/623972419.db2.gz QEVSGJBWXHFMKD-YJBOKZPZSA-N 0 1 321.465 3.259 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2c(C)nn(CC)c2C)cc1 ZINC000877664853 623984130 /nfs/dbraw/zinc/98/41/30/623984130.db2.gz XAHPVMLAUOZYJO-UHFFFAOYSA-N 0 1 311.429 3.164 20 30 DGEDMN CCN1CCN(c2ccc(N[C@@H]3CC[C@@H](C#N)C3)cc2F)CC1 ZINC000877767336 624027495 /nfs/dbraw/zinc/02/74/95/624027495.db2.gz ZQOHAORKNCHUAP-HUUCEWRRSA-N 0 1 316.424 3.072 20 30 DGEDMN CCCCCCC[C@H](C)[NH2+]Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877775688 624032367 /nfs/dbraw/zinc/03/23/67/624032367.db2.gz YZXQYKNMMDYTPL-ZDUSSCGKSA-N 0 1 307.438 3.094 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3nc(C#N)cs3)C2)[nH]n1 ZINC000877784471 624035011 /nfs/dbraw/zinc/03/50/11/624035011.db2.gz RDANNANEHMPIKQ-LBPRGKRZSA-N 0 1 315.446 3.241 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@](C)(C(F)(F)F)C1 ZINC000877810816 624042235 /nfs/dbraw/zinc/04/22/35/624042235.db2.gz OJYLPWDVFWIUIE-HNNXBMFYSA-N 0 1 311.351 3.399 20 30 DGEDMN C=CCN1C(=O)c2ccccc2N[C@@H]1c1cc(C(F)(F)F)[nH]n1 ZINC000877843406 624066003 /nfs/dbraw/zinc/06/60/03/624066003.db2.gz LODUGKWPPIWZHM-ZDUSSCGKSA-N 0 1 322.290 3.181 20 30 DGEDMN COC[C@@H](Cn1[nH]c(C(C)(C)C)c(CCC#N)c1=O)C(C)C ZINC000877879288 624076828 /nfs/dbraw/zinc/07/68/28/624076828.db2.gz WHXBBZDNKMUCFF-CYBMUJFWSA-N 0 1 307.438 3.261 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2c(C)cc(OC)nc2C)c(O)c1 ZINC000781550489 624090516 /nfs/dbraw/zinc/09/05/16/624090516.db2.gz YKZMGRKAGYZNHC-FPLPWBNLSA-N 0 1 313.353 3.317 20 30 DGEDMN CC(=O)Nc1ccc(C(C)N=Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000781575880 624096203 /nfs/dbraw/zinc/09/62/03/624096203.db2.gz WCLQFOQLFDEBJA-UHFFFAOYSA-N 0 1 312.329 3.389 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@@H](C)C(=O)Nc1c(C)csc1C(=O)OC ZINC000877936460 624100245 /nfs/dbraw/zinc/10/02/45/624100245.db2.gz VCKBQLPFPLTEHE-LBPRGKRZSA-N 0 1 324.446 3.068 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)Nc1c(C)csc1C(=O)OC ZINC000877936460 624100249 /nfs/dbraw/zinc/10/02/49/624100249.db2.gz VCKBQLPFPLTEHE-LBPRGKRZSA-N 0 1 324.446 3.068 20 30 DGEDMN COc1cc(C)c(C=NNc2cc(C#N)cc(Cl)n2)c(C)n1 ZINC000781597011 624101197 /nfs/dbraw/zinc/10/11/97/624101197.db2.gz PRCZICPOJTXTJV-UHFFFAOYSA-N 0 1 315.764 3.073 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CC[C@H]2CCCC2(F)F)c1 ZINC000877975488 624120265 /nfs/dbraw/zinc/12/02/65/624120265.db2.gz IVGJTLDMZHSCLP-OAHLLOKOSA-N 0 1 320.383 3.364 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000781861213 624158604 /nfs/dbraw/zinc/15/86/04/624158604.db2.gz IFMIATWJEHBCQW-INIZCTEOSA-N 0 1 312.498 3.041 20 30 DGEDMN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCCCC#N ZINC000782094332 624203199 /nfs/dbraw/zinc/20/31/99/624203199.db2.gz AWKKWUFDJDSGLP-OAHLLOKOSA-N 0 1 309.454 3.402 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cc(Cl)cs1 ZINC000782133455 624207872 /nfs/dbraw/zinc/20/78/72/624207872.db2.gz WUJBPRJSXGMJRL-UHFFFAOYSA-N 0 1 312.729 3.183 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2c(Br)cnn2C)c(O)c1 ZINC000782349536 624242631 /nfs/dbraw/zinc/24/26/31/624242631.db2.gz ZXVYGZSWYKRVTF-AATRIKPKSA-N 0 1 321.174 3.093 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)c1 ZINC000878401470 624267898 /nfs/dbraw/zinc/26/78/98/624267898.db2.gz WBNKZSBPTRHVRB-YVEFUNNKSA-N 0 1 311.385 3.279 20 30 DGEDMN CCc1ccccc1NC(=O)C[C@H](C)NCc1ccc(C#N)cn1 ZINC000783300133 624336036 /nfs/dbraw/zinc/33/60/36/624336036.db2.gz IMCMBESHBMUJSL-AWEZNQCLSA-N 0 1 322.412 3.023 20 30 DGEDMN N#CC(C(=O)CCCc1ccsc1)C(=O)NC1CCCCC1 ZINC000783351487 624339686 /nfs/dbraw/zinc/33/96/86/624339686.db2.gz WVAYOCZORKSMGX-OAHLLOKOSA-N 0 1 318.442 3.229 20 30 DGEDMN C[C@@H](NC(=O)CC(C)(C)C#N)c1nc2ccc(Cl)cc2[nH]1 ZINC000783422546 624347677 /nfs/dbraw/zinc/34/76/77/624347677.db2.gz KMVBWVMHRSBYGT-SECBINFHSA-N 0 1 304.781 3.333 20 30 DGEDMN C=CCN(Cc1nnc(-c2ccccc2[N+](=O)[O-])o1)C(C)(C)C ZINC000878950272 624394886 /nfs/dbraw/zinc/39/48/86/624394886.db2.gz QFYATZQHSBLHMM-UHFFFAOYSA-N 0 1 316.361 3.431 20 30 DGEDMN C[C@H](C(=O)O[C@@H](C)c1cccc(C#N)c1)N1[C@H](C)CC[C@@H]1C ZINC000783776057 624395329 /nfs/dbraw/zinc/39/53/29/624395329.db2.gz KGMXGMOXLLOKLW-BARDWOONSA-N 0 1 300.402 3.424 20 30 DGEDMN CC[C@H](C(=O)OCc1ccc(C#N)cc1Cl)N(CC)CC ZINC000784228571 624457793 /nfs/dbraw/zinc/45/77/93/624457793.db2.gz LZGOMVYCBRDIGP-OAHLLOKOSA-N 0 1 308.809 3.375 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H]1[C@H](O)c1ccccc1 ZINC000879244145 624458835 /nfs/dbraw/zinc/45/88/35/624458835.db2.gz NYWXMOPGKRIMIF-RBUKOAKNSA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000879244137 624459231 /nfs/dbraw/zinc/45/92/31/624459231.db2.gz NYWXMOPGKRIMIF-MOPGFXCFSA-N 0 1 310.372 3.395 20 30 DGEDMN C=CCCCCCN1Cc2cccnc2N2C[C@@H](OC)C[C@@H]2C1 ZINC000879269377 624477761 /nfs/dbraw/zinc/47/77/61/624477761.db2.gz MZZCZMCHOCLOQL-MSOLQXFVSA-N 0 1 315.461 3.237 20 30 DGEDMN C[C@H](OC(=O)c1ccc2c(C=O)[nH]nc2c1)c1ccc(C#N)cc1 ZINC000784457150 624527852 /nfs/dbraw/zinc/52/78/52/624527852.db2.gz RGPAJJQRQKOTMT-NSHDSACASA-N 0 1 319.320 3.165 20 30 DGEDMN C[C@H](OC(=O)c1ccc2c(C=O)[nH]nc2c1)c1cccc(C#N)c1 ZINC000784457863 624527982 /nfs/dbraw/zinc/52/79/82/624527982.db2.gz WGBVOOOVCBJTIQ-NSHDSACASA-N 0 1 319.320 3.165 20 30 DGEDMN C[C@@H](OC(=O)Cc1n[nH]c2c1CCCC2)c1ccc(C#N)cc1 ZINC000784812609 624583351 /nfs/dbraw/zinc/58/33/51/624583351.db2.gz JXDUXLNMCHCOBL-GFCCVEGCSA-N 0 1 309.369 3.007 20 30 DGEDMN C=CCC1(CNC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CCCC1 ZINC000880229869 624855308 /nfs/dbraw/zinc/85/53/08/624855308.db2.gz AKFNMKKOUHTGKW-CZUORRHYSA-N 0 1 301.434 3.328 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1)c1ccncn1 ZINC000786491655 624892995 /nfs/dbraw/zinc/89/29/95/624892995.db2.gz REQYWLWMIGQBMB-BBBLOLIVSA-N 0 1 303.774 3.171 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCC[C@@H](C)C2)c1 ZINC000786545251 624916529 /nfs/dbraw/zinc/91/65/29/624916529.db2.gz FFTJWSTYLJTHIA-DJIMGWMZSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H](C)C2CCCC2)c1 ZINC000786546005 624918448 /nfs/dbraw/zinc/91/84/48/624918448.db2.gz AYGXQZKJGJWRAG-WMLDXEAASA-N 0 1 312.413 3.146 20 30 DGEDMN CC(C)(C)CCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786553935 624920325 /nfs/dbraw/zinc/92/03/25/624920325.db2.gz AAQNSWVPODYBAD-CYBMUJFWSA-N 0 1 322.355 3.116 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786557822 624920380 /nfs/dbraw/zinc/92/03/80/624920380.db2.gz NCDSWJFZJNGBMP-AWEZNQCLSA-N 0 1 322.355 3.116 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786561988 624922437 /nfs/dbraw/zinc/92/24/37/624922437.db2.gz QVQQATGQRHNFMB-RISCZKNCSA-N 0 1 322.355 3.116 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786567615 624922533 /nfs/dbraw/zinc/92/25/33/624922533.db2.gz DKUQFFFIEONOMS-LBPRGKRZSA-N 0 1 322.355 3.116 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786571812 624922568 /nfs/dbraw/zinc/92/25/68/624922568.db2.gz SAJCLILFIUCYFK-YPMHNXCESA-N 0 1 322.355 3.116 20 30 DGEDMN N#Cc1cccc(COC(=O)[C@@H]2CCCN2C2CCCC2)c1F ZINC000786884154 624961408 /nfs/dbraw/zinc/96/14/08/624961408.db2.gz PLRDEWXSBFKTLM-INIZCTEOSA-N 0 1 316.376 3.148 20 30 DGEDMN N#Cc1cc(COC(=O)[C@@H]2CCCN2C2CCCC2)ccc1F ZINC000786884432 624961443 /nfs/dbraw/zinc/96/14/43/624961443.db2.gz WBHFLZMFBQHTDZ-KRWDZBQOSA-N 0 1 316.376 3.148 20 30 DGEDMN N#C[C@H](OC(=O)[C@H]1CCCN1C1CCCC1)C1CCCCC1 ZINC000786889849 624961688 /nfs/dbraw/zinc/96/16/88/624961688.db2.gz KMDWKYUJQZEZMV-SJORKVTESA-N 0 1 304.434 3.409 20 30 DGEDMN CCN(Cc1cc(C#N)ccc1OC)[C@@H](CO)c1ccccc1 ZINC000880464896 624961901 /nfs/dbraw/zinc/96/19/01/624961901.db2.gz XBLYMARQHRUBBB-SFHVURJKSA-N 0 1 310.397 3.122 20 30 DGEDMN N#C[C@@H](OC(=O)[C@@H]1CCCN1C1CCCC1)C1CCCCC1 ZINC000786889846 624962037 /nfs/dbraw/zinc/96/20/37/624962037.db2.gz KMDWKYUJQZEZMV-DLBZAZTESA-N 0 1 304.434 3.409 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1CC12CCC2)c1ccccc1 ZINC000787031840 624972989 /nfs/dbraw/zinc/97/29/89/624972989.db2.gz NLCOIJWDESEYKB-OAGGEKHMSA-N 0 1 310.397 3.153 20 30 DGEDMN O=C1COc2ccc(CN=Nc3cccc(Cl)c3F)cc2N1 ZINC000788713448 625250126 /nfs/dbraw/zinc/25/01/26/625250126.db2.gz ZDZRDYKTXLHTTL-UHFFFAOYSA-N 0 1 319.723 3.256 20 30 DGEDMN CN1CCN(c2ccc(CNc3ccc(C#N)cc3F)cc2)CC1 ZINC000882880201 625841750 /nfs/dbraw/zinc/84/17/50/625841750.db2.gz GSCXXEYJXHTADA-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN N#CC(C(=O)CCOCC(F)(F)F)c1nccc2ccccc21 ZINC000792097084 625909309 /nfs/dbraw/zinc/90/93/09/625909309.db2.gz SZQRYFQJUNBJIJ-CYBMUJFWSA-N 0 1 322.286 3.380 20 30 DGEDMN C=CCC[C@@H](CO)NCc1c(C)nn(-c2ccccc2)c1Cl ZINC000883138559 625941114 /nfs/dbraw/zinc/94/11/14/625941114.db2.gz IEEXJUQYMULWSA-AWEZNQCLSA-N 0 1 319.836 3.251 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@H]2CCc3cc(Cl)ccc32)C1=O ZINC000796057414 626019962 /nfs/dbraw/zinc/01/99/62/626019962.db2.gz OIQVOFSMJWPHKF-HOTGVXAUSA-N 0 1 304.821 3.046 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H]3CCC(=O)[C@H]3C)[nH]c21 ZINC000796720182 626075900 /nfs/dbraw/zinc/07/59/00/626075900.db2.gz UFJHKYXFKNWYEK-RWMBFGLXSA-N 0 1 309.369 3.053 20 30 DGEDMN CC1(C)CC(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)C1 ZINC000796801053 626084618 /nfs/dbraw/zinc/08/46/18/626084618.db2.gz VOIHSRSAMWORTC-NSHDSACASA-N 0 1 304.777 3.424 20 30 DGEDMN Cc1cncc(CCC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000796914179 626095576 /nfs/dbraw/zinc/09/55/76/626095576.db2.gz XABHHQTXQNKAFE-HNNXBMFYSA-N 0 1 318.380 3.384 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1cccc(CC(C)C)c1 ZINC000796931510 626096855 /nfs/dbraw/zinc/09/68/55/626096855.db2.gz DFJOWOIXXAQFJJ-OAHLLOKOSA-N 0 1 309.475 3.137 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc(C)c(OC)c(Cl)c1)[C@@H]1CCCO1 ZINC000796950727 626098901 /nfs/dbraw/zinc/09/89/01/626098901.db2.gz UAODNYYBBBDOHJ-RCBQFDQVSA-N 0 1 307.821 3.488 20 30 DGEDMN C[C@@H]([NH2+]CC1(CC#N)CC1)c1ccc(Br)cc1[O-] ZINC000797586917 626154905 /nfs/dbraw/zinc/15/49/05/626154905.db2.gz NKEJXTMPJZVVEU-SNVBAGLBSA-N 0 1 309.207 3.499 20 30 DGEDMN N#C[C@@H](C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959397 626184421 /nfs/dbraw/zinc/18/44/21/626184421.db2.gz MLIYQBFAPBAZHE-DUMNWFOQSA-N 0 1 317.320 3.237 20 30 DGEDMN N#CC(C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959397 626184423 /nfs/dbraw/zinc/18/44/23/626184423.db2.gz MLIYQBFAPBAZHE-DUMNWFOQSA-N 0 1 317.320 3.237 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCCCCC2)cc1C ZINC000799426914 626300450 /nfs/dbraw/zinc/30/04/50/626300450.db2.gz RJJBNXFSMPWYMI-UHFFFAOYSA-N 0 1 313.445 3.426 20 30 DGEDMN Cc1ccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)cc1C#N ZINC000799627589 626314188 /nfs/dbraw/zinc/31/41/88/626314188.db2.gz DWRUVPSMTHCMNB-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1nc(SC(C)C)n[nH]1 ZINC000799638197 626316165 /nfs/dbraw/zinc/31/61/65/626316165.db2.gz HIOCYNIQBCSBAL-UHFFFAOYSA-N 0 1 320.484 3.017 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000839891342 626330387 /nfs/dbraw/zinc/33/03/87/626330387.db2.gz NDOFBVWZBAEKGQ-INIZCTEOSA-N 0 1 323.440 3.135 20 30 DGEDMN CCO[NH+]=C(C)c1c[n-]c2ccc(Br)cc2c1=O ZINC000799874752 626332656 /nfs/dbraw/zinc/33/26/56/626332656.db2.gz YUVQUWQDISBNEI-UHFFFAOYSA-N 0 1 309.163 3.051 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1ccc(C#N)cc1 ZINC000800818254 626377118 /nfs/dbraw/zinc/37/71/18/626377118.db2.gz PFWULNAOWHGSNZ-UHFFFAOYSA-N 0 1 311.316 3.320 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1cccc2[nH]c(C)nc21 ZINC000801851685 626421942 /nfs/dbraw/zinc/42/19/42/626421942.db2.gz MXBBSNLGZSIKNJ-UHFFFAOYSA-N 0 1 321.355 3.286 20 30 DGEDMN CC(C)(C)SCCN1CCN(c2ccc(C#N)cc2F)CC1 ZINC000801916996 626425854 /nfs/dbraw/zinc/42/58/54/626425854.db2.gz MLXRHNULKQCNFV-UHFFFAOYSA-N 0 1 321.465 3.351 20 30 DGEDMN CC(C)(C)SCCN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000801933087 626426698 /nfs/dbraw/zinc/42/66/98/626426698.db2.gz HYWJUZQKVRLWFY-QGZVFWFLSA-N 0 1 317.502 3.401 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000801952717 626428075 /nfs/dbraw/zinc/42/80/75/626428075.db2.gz CFMQZQNPGVRABA-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN N#CC(C(=O)Cc1ccc(Cl)s1)C(=O)NC1CCCCC1 ZINC000802042107 626429460 /nfs/dbraw/zinc/42/94/60/626429460.db2.gz AGPCZIUFQLTUDP-LBPRGKRZSA-N 0 1 324.833 3.102 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1nc(-c2ccncc2)cs1 ZINC000802053782 626429757 /nfs/dbraw/zinc/42/97/57/626429757.db2.gz AJWFADVQGPLMGV-NQHOJNORSA-N 0 1 320.377 3.423 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc(Cl)nc3)[nH]c21 ZINC000802089842 626431090 /nfs/dbraw/zinc/43/10/90/626431090.db2.gz VFMDGZKPVXYYMD-NSHDSACASA-N 0 1 310.744 3.410 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1C[C@@H](C)[C@@H](C)C1=O ZINC000839979168 626573813 /nfs/dbraw/zinc/57/38/13/626573813.db2.gz AEBSGYROHXJZST-HZPDHXFCSA-N 0 1 313.445 3.088 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1C[C@@H](C)[C@H](C)C1=O ZINC000839979166 626573992 /nfs/dbraw/zinc/57/39/92/626573992.db2.gz AEBSGYROHXJZST-CVEARBPZSA-N 0 1 313.445 3.088 20 30 DGEDMN C#C[C@@H](C)Oc1ccc(COC(=O)c2ccc3cncn3c2)cc1 ZINC000802809253 626574495 /nfs/dbraw/zinc/57/44/95/626574495.db2.gz DFNVVXPOOHPRKA-CQSZACIVSA-N 0 1 320.348 3.092 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H]2CCc3cc(Cl)ccc32)CC1 ZINC000803353118 626606189 /nfs/dbraw/zinc/60/61/89/626606189.db2.gz ZIVYHTSYMPPKQG-KRWDZBQOSA-N 0 1 317.816 3.216 20 30 DGEDMN N#CC1(c2ccc(CN[C@@]3(c4ccccc4)C[C@@H](O)C3)cc2)CC1 ZINC000883233927 626618050 /nfs/dbraw/zinc/61/80/50/626618050.db2.gz SJJRPJYAVPAVQU-TYKWCNGQSA-N 0 1 318.420 3.382 20 30 DGEDMN C[C@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1cccc(F)c1F ZINC000883360741 626660839 /nfs/dbraw/zinc/66/08/39/626660839.db2.gz JVAVFTVLBNWZOB-RCOVLWMOSA-N 0 1 319.220 3.174 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1cc(F)ccc1Br ZINC000883400022 626673110 /nfs/dbraw/zinc/67/31/10/626673110.db2.gz BOPUMXVNPIULBN-GFCCVEGCSA-N 0 1 302.187 3.005 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1cccc(F)c1Br ZINC000883399979 626673265 /nfs/dbraw/zinc/67/32/65/626673265.db2.gz AOYCOUFMBLREPP-NSHDSACASA-N 0 1 302.187 3.005 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCCCN1CC)c1ccc(Cl)cc1F ZINC000804415209 626677318 /nfs/dbraw/zinc/67/73/18/626677318.db2.gz DPKLAQBIIAKXJU-HZPDHXFCSA-N 0 1 322.811 3.144 20 30 DGEDMN C#C[C@@H](NC(=O)CN1CCC(C)CC1)c1ccc(Cl)cc1F ZINC000804419488 626677876 /nfs/dbraw/zinc/67/78/76/626677876.db2.gz NZYWCFXCNDFQHJ-MRXNPFEDSA-N 0 1 322.811 3.002 20 30 DGEDMN Cc1cc(C#N)nc(N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000804449365 626680814 /nfs/dbraw/zinc/68/08/14/626680814.db2.gz ZAIBIKXPOFUFCO-SECBINFHSA-N 0 1 312.764 3.360 20 30 DGEDMN C#Cc1cccc(NC(=O)N2CC(N(C)Cc3ccccc3)C2)c1 ZINC000806184051 626704899 /nfs/dbraw/zinc/70/48/99/626704899.db2.gz PZTLQNRXRQOVFC-UHFFFAOYSA-N 0 1 319.408 3.016 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)C=Cc1cn(C(C)C)nn1 ZINC000806571806 626722614 /nfs/dbraw/zinc/72/26/14/626722614.db2.gz NWFWFZODJUHREC-KTKRTIGZSA-N 0 1 321.384 3.454 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2ccc(O)cc2O)c(C)c1OC ZINC000806573858 626723234 /nfs/dbraw/zinc/72/32/34/626723234.db2.gz UTVHFPXGUKLTES-YWEYNIOJSA-N 0 1 314.337 3.320 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2c(OC)cccc2OC)c(O)c1 ZINC000029054599 626763852 /nfs/dbraw/zinc/76/38/52/626763852.db2.gz ZCBBKYSIMWEHKK-MDZDMXLPSA-N 0 1 314.337 3.314 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1c[nH]c(C(F)(F)F)c1 ZINC000807980456 626782224 /nfs/dbraw/zinc/78/22/24/626782224.db2.gz PVRZNHJGKSEXIQ-UHFFFAOYSA-N 0 1 311.263 3.266 20 30 DGEDMN CC(N=Nc1ccc(F)c([N+](=O)[O-])c1)c1cn2c(n1)CCCC2 ZINC000807986436 626782569 /nfs/dbraw/zinc/78/25/69/626782569.db2.gz YPSHVGFGKHWRIT-UHFFFAOYSA-N 0 1 317.324 3.103 20 30 DGEDMN CC(C)n1cc(CN=Nc2cc(C(F)(F)F)ccc2F)nn1 ZINC000807982275 626782626 /nfs/dbraw/zinc/78/26/26/626782626.db2.gz VGEITRMZOBZDQY-UHFFFAOYSA-N 0 1 315.274 3.463 20 30 DGEDMN CC(=NN[C@H]1CCSC1)c1[nH]c(-c2ccccc2)nc1C ZINC000808000362 626784961 /nfs/dbraw/zinc/78/49/61/626784961.db2.gz LCXWYPNWKLMRKF-AWEZNQCLSA-N 0 1 300.431 3.204 20 30 DGEDMN FC(F)(F)CC(N=Nc1nc[nH]n1)c1ccc(Cl)cc1 ZINC000807999008 626784986 /nfs/dbraw/zinc/78/49/86/626784986.db2.gz WCCXFKVSIKPHGP-UHFFFAOYSA-N 0 1 303.675 3.227 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC2(C)CCCC2)c1 ZINC000809002974 626875726 /nfs/dbraw/zinc/87/57/26/626875726.db2.gz KEQSIOSZHBRHKJ-MRXNPFEDSA-N 0 1 312.413 3.291 20 30 DGEDMN COCCOCc1ccc(/C=C\C(=O)c2ccccc2O)cc1 ZINC000809156669 626887411 /nfs/dbraw/zinc/88/74/11/626887411.db2.gz DRDJFTLFIZAMBF-KHPPLWFESA-N 0 1 312.365 3.451 20 30 DGEDMN C#Cc1ccc(CN2CC[C@H](N(C)C(=O)OC(C)(C)C)C2)cc1 ZINC000809544708 626918007 /nfs/dbraw/zinc/91/80/07/626918007.db2.gz SKTMQGHFDSYKFU-KRWDZBQOSA-N 0 1 314.429 3.109 20 30 DGEDMN C#Cc1ccc(CN2CCO[C@@]3(CCc4ccccc43)C2)cc1 ZINC000809581286 626922258 /nfs/dbraw/zinc/92/22/58/626922258.db2.gz KRLXZHPDGMXBCA-NRFANRHFSA-N 0 1 303.405 3.342 20 30 DGEDMN C#Cc1ccc(CNCc2cc3c(cc2OC)C[C@@H](C)O3)cc1 ZINC000809604955 626925473 /nfs/dbraw/zinc/92/54/73/626925473.db2.gz VUPVFKPEKXXKFS-CQSZACIVSA-N 0 1 307.393 3.290 20 30 DGEDMN C#C[C@@H](N[C@@H](C)CCn1cccn1)c1ccc(Cl)cc1F ZINC000809699482 626937894 /nfs/dbraw/zinc/93/78/94/626937894.db2.gz PEAIRRUCVUIYRN-BLLLJJGKSA-N 0 1 305.784 3.418 20 30 DGEDMN Cc1ccccc1[C@H](O)C1CCN(Cc2ccc(C#N)o2)CC1 ZINC000809704133 626939588 /nfs/dbraw/zinc/93/95/88/626939588.db2.gz YJOLDCYLTYUNEB-LJQANCHMSA-N 0 1 310.397 3.405 20 30 DGEDMN C#C[C@@H](NCc1cc([N+](=O)[O-])ccc1OC(F)F)C(C)(C)C ZINC000809781233 626951871 /nfs/dbraw/zinc/95/18/71/626951871.db2.gz KGMGNOSKOREYPX-CYBMUJFWSA-N 0 1 312.316 3.334 20 30 DGEDMN CC(C)(COCC(F)F)C(=O)C(C#N)c1nc2ccccc2o1 ZINC000810537096 627013042 /nfs/dbraw/zinc/01/30/42/627013042.db2.gz XEDLYYLXHXFESA-SNVBAGLBSA-N 0 1 322.311 3.312 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)C1CCC(F)(F)CC1 ZINC000136595354 627103214 /nfs/dbraw/zinc/10/32/14/627103214.db2.gz RFMPIWAJTANEKM-CYBMUJFWSA-N 0 1 306.312 3.159 20 30 DGEDMN Cc1nc([C@H]2CCCN2C[C@H](O)CC2(C#N)CCC2)cs1 ZINC000886143273 627111937 /nfs/dbraw/zinc/11/19/37/627111937.db2.gz YDSKDSLMQNHAEN-UKRRQHHQSA-N 0 1 305.447 3.033 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000886354132 627141573 /nfs/dbraw/zinc/14/15/73/627141573.db2.gz WTCKXTGDZIBKCQ-LBPRGKRZSA-N 0 1 301.777 3.235 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(NC(C)=O)cc2)c(O)c1 ZINC000219166750 627141694 /nfs/dbraw/zinc/14/16/94/627141694.db2.gz UGSFWLOAXCIMBP-YHYXMXQVSA-N 0 1 311.337 3.255 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccccc2C(F)(F)F)C1 ZINC000886355347 627142208 /nfs/dbraw/zinc/14/22/08/627142208.db2.gz HCLZLOMQAQUZAN-QWHCGFSZSA-N 0 1 324.346 3.378 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)C1 ZINC000886361364 627143020 /nfs/dbraw/zinc/14/30/20/627143020.db2.gz DNTQGYHWNCURPR-KGLIPLIRSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2OC)C1 ZINC000886360103 627143216 /nfs/dbraw/zinc/14/32/16/627143216.db2.gz PMKAQYQZGOVARE-CHWSQXEVSA-N 0 1 320.820 3.021 20 30 DGEDMN C#CC[C@H]1CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000886363798 627143491 /nfs/dbraw/zinc/14/34/91/627143491.db2.gz DKOFYFHGQDCDQG-LBPRGKRZSA-N 0 1 303.203 3.166 20 30 DGEDMN C#C[C@@H](NCc1cc2c(cc1O)OCO2)c1ccc(Cl)cc1 ZINC000811516914 627286538 /nfs/dbraw/zinc/28/65/38/627286538.db2.gz XZERDGOZCBJEKI-CQSZACIVSA-N 0 1 315.756 3.238 20 30 DGEDMN C#CCOc1ccccc1CN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000811519589 627287033 /nfs/dbraw/zinc/28/70/33/627287033.db2.gz YQHQVBDTWHWFPS-RTBURBONSA-N 0 1 311.425 3.232 20 30 DGEDMN COc1ccc(NN=C2CCc3ccc(C#N)cc32)c([N+](=O)[O-])c1 ZINC000811645440 627298609 /nfs/dbraw/zinc/29/86/09/627298609.db2.gz LWBGMRLBMUXNEZ-UHFFFAOYSA-N 0 1 322.324 3.237 20 30 DGEDMN Fc1ccc(C(C[C@H]2CCCO2)N=Nc2cncnc2)cc1 ZINC000811648439 627299238 /nfs/dbraw/zinc/29/92/38/627299238.db2.gz RQEHWCUZOPMVEB-OAHLLOKOSA-N 0 1 300.337 3.001 20 30 DGEDMN COc1cccc(N=NC2CCN(Cc3ccccc3)[C@H]2C)n1 ZINC000811647367 627299380 /nfs/dbraw/zinc/29/93/80/627299380.db2.gz JGGMYRNNORAYBR-AWEZNQCLSA-N 0 1 310.401 3.153 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000811749912 627316393 /nfs/dbraw/zinc/31/63/93/627316393.db2.gz ZFYMWXQZBKRTPS-HOTGVXAUSA-N 0 1 308.466 3.038 20 30 DGEDMN C=CCCCCCCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000811927507 627337887 /nfs/dbraw/zinc/33/78/87/627337887.db2.gz UNMDEEBXYUMBIC-CQSZACIVSA-N 0 1 304.438 3.093 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCCCN1CC)c1ccc(Cl)cc1 ZINC000812352343 627374122 /nfs/dbraw/zinc/37/41/22/627374122.db2.gz KXVVKFDLSWIHKP-CVEARBPZSA-N 0 1 304.821 3.005 20 30 DGEDMN CON(Cc1ccc(Cl)cc1)C(=O)c1ccc(C#N)c(O)c1 ZINC000812796387 627421981 /nfs/dbraw/zinc/42/19/81/627421981.db2.gz NBLAGGZICBMYQP-UHFFFAOYSA-N 0 1 316.744 3.121 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCOCC1)c1cc(C(F)(F)F)ccn1 ZINC000813212315 627467496 /nfs/dbraw/zinc/46/74/96/627467496.db2.gz BHWBEOGQVCYOTB-JQWIXIFHSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813216748 627468058 /nfs/dbraw/zinc/46/80/58/627468058.db2.gz YDRVKYFVOLNJKJ-ZYHUDNBSSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)CC[C@@H]1CCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813216975 627468765 /nfs/dbraw/zinc/46/87/65/627468765.db2.gz ACLJYCJUJDEWJN-NWDGAFQWSA-N 0 1 312.291 3.236 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2ccc3ccccc3n2)c1 ZINC000813500908 627494318 /nfs/dbraw/zinc/49/43/18/627494318.db2.gz NAGLXKQNNUHRFG-UHFFFAOYSA-N 0 1 317.348 3.383 20 30 DGEDMN CN(CCOC(=O)c1cscc1C#N)Cc1ccc(F)cc1 ZINC000814613440 627579600 /nfs/dbraw/zinc/57/96/00/627579600.db2.gz BZNGCFQGZZQOFB-UHFFFAOYSA-N 0 1 318.373 3.048 20 30 DGEDMN CC(N=Nc1ccc(C(=O)[O-])cc1)c1cccc(C[NH+](C)C)c1 ZINC000814680833 627583188 /nfs/dbraw/zinc/58/31/88/627583188.db2.gz KPLRUDUZZQJCIO-UHFFFAOYSA-N 0 1 311.385 3.283 20 30 DGEDMN Brc1cc2c(s1)CCC2=NNc1cnccn1 ZINC000814797500 627592228 /nfs/dbraw/zinc/59/22/28/627592228.db2.gz PFBWNGPXOJOXFC-UHFFFAOYSA-N 0 1 309.192 3.063 20 30 DGEDMN Nc1nc2c(s1)C(N=Nc1nccc3sccc31)CCC2 ZINC000814808999 627594062 /nfs/dbraw/zinc/59/40/62/627594062.db2.gz NBWAXMXHTZABEG-UHFFFAOYSA-N 0 1 315.427 3.488 20 30 DGEDMN Fc1ccc(N=NC2COc3ccc(Br)cc32)nc1 ZINC000814842921 627597282 /nfs/dbraw/zinc/59/72/82/627597282.db2.gz UZTCCUYFKQVKGW-UHFFFAOYSA-N 0 1 322.137 3.192 20 30 DGEDMN CCCC(=NNc1ccccc1S(C)(=O)=O)c1cccnc1 ZINC000814845946 627597797 /nfs/dbraw/zinc/59/77/97/627597797.db2.gz AEIVEYLLEUETAW-UHFFFAOYSA-N 0 1 317.414 3.101 20 30 DGEDMN CC(=[NH+][N-]c1cc(Cl)nc(C)n1)c1cc(F)c(N)c(F)c1 ZINC000814855905 627598951 /nfs/dbraw/zinc/59/89/51/627598951.db2.gz VNCOXGXYABOUGA-UHFFFAOYSA-N 0 1 311.723 3.135 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2cccc3cc[nH]c32)c(OC)c1 ZINC000814887983 627603108 /nfs/dbraw/zinc/60/31/08/627603108.db2.gz UXESVUOMWKALLJ-UHFFFAOYSA-N 0 1 323.352 3.409 20 30 DGEDMN C[C@H]1C(N=Nc2ncc(F)cn2)CCCN1Cc1ccccc1 ZINC000814888686 627603206 /nfs/dbraw/zinc/60/32/06/627603206.db2.gz LDGDSKBRNRPBLQ-ZDUSSCGKSA-N 0 1 313.380 3.068 20 30 DGEDMN CCc1nn(C)c(OC)c1C=NNc1c(F)cccc1Cl ZINC000814904830 627605691 /nfs/dbraw/zinc/60/56/91/627605691.db2.gz ARFFMFPQXTUDSP-UHFFFAOYSA-N 0 1 310.760 3.230 20 30 DGEDMN Fc1ccc(CC(=NNc2ncn[nH]2)c2ccoc2)c(Cl)c1 ZINC000814932090 627609892 /nfs/dbraw/zinc/60/98/92/627609892.db2.gz OBJKMNXFKALRKW-UHFFFAOYSA-N 0 1 319.727 3.249 20 30 DGEDMN C[C@@H]1C(N=Nc2ncncc2F)CCCN1Cc1ccccc1 ZINC000814939690 627611578 /nfs/dbraw/zinc/61/15/78/627611578.db2.gz AHNZMDBFYHTZBL-CYBMUJFWSA-N 0 1 313.380 3.068 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F)c1ccccc1 ZINC000816039311 627729542 /nfs/dbraw/zinc/72/95/42/627729542.db2.gz TUMGGDUMTULTDW-BNOWGMLFSA-N 0 1 322.355 3.254 20 30 DGEDMN COc1cc(CN[C@@H](C)c2cccc3c2OCO3)ccc1C#N ZINC000926448830 627897489 /nfs/dbraw/zinc/89/74/89/627897489.db2.gz GRJRUONFGNAHMA-LBPRGKRZSA-N 0 1 310.353 3.146 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)[C@@H]1CC12CC2 ZINC000817713081 627937195 /nfs/dbraw/zinc/93/71/95/627937195.db2.gz AGFXQITVRAVLIF-ZJUUUORDSA-N 0 1 323.179 3.441 20 30 DGEDMN C[C@H]([NH2+][C@@H]1CC[C@H](C#N)C1)c1cc(Br)ccc1[O-] ZINC000818355032 628017366 /nfs/dbraw/zinc/01/73/66/628017366.db2.gz AKERCAQHSLUPPR-JBLDHEPKSA-N 0 1 309.207 3.498 20 30 DGEDMN CC(C)c1nc([C@@H](C)NCCNc2ccc(C#N)cn2)cs1 ZINC000927161837 628029500 /nfs/dbraw/zinc/02/95/00/628029500.db2.gz ATIOVHAABIUWTD-GFCCVEGCSA-N 0 1 315.446 3.296 20 30 DGEDMN N#CCC[C@@H](C#N)CNCCSCc1ccnc(Cl)c1 ZINC000819202038 628112225 /nfs/dbraw/zinc/11/22/25/628112225.db2.gz ASHOUQAZFWVKTD-ZDUSSCGKSA-N 0 1 308.838 3.001 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@@H]2C[C@H](C)c3c2cccc3C)CC1 ZINC000928652793 628172936 /nfs/dbraw/zinc/17/29/36/628172936.db2.gz MYRLGUDZUSYXBX-IRXDYDNUSA-N 0 1 324.468 3.190 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CC(C)C)c2ccccc2)CC1 ZINC000928655058 628173116 /nfs/dbraw/zinc/17/31/16/628173116.db2.gz JOPFDXIVXCZFEM-LJQANCHMSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)CC1 ZINC000928650466 628173178 /nfs/dbraw/zinc/17/31/78/628173178.db2.gz CCAZQTYETIEJRG-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccccc2SC(C)C)CC1 ZINC000928657562 628173234 /nfs/dbraw/zinc/17/32/34/628173234.db2.gz NWXWXGCRQPIGHX-UHFFFAOYSA-N 0 1 316.470 3.015 20 30 DGEDMN C[C@H](NN=C1CCN(CCC(F)(F)F)CC1)c1ccncc1 ZINC000819816754 628193066 /nfs/dbraw/zinc/19/30/66/628193066.db2.gz OMMIERFUEZRWGM-LBPRGKRZSA-N 0 1 314.355 3.136 20 30 DGEDMN C[C@H](NN=Cc1ccc(N(C)CCC#N)cc1)c1ccncc1 ZINC000819816011 628193292 /nfs/dbraw/zinc/19/32/92/628193292.db2.gz WCSWFMFYJVCWPO-HNNXBMFYSA-N 0 1 307.401 3.116 20 30 DGEDMN CC(=NN[C@H](C)c1ccncc1)c1ccc(N2CCCC2)cc1O ZINC000819816221 628193511 /nfs/dbraw/zinc/19/35/11/628193511.db2.gz HDZHRJYBGFHZLA-CQSZACIVSA-N 0 1 324.428 3.462 20 30 DGEDMN CC(=NNc1ncc(Br)cc1C)c1cnn(C)c1C ZINC000819827903 628194241 /nfs/dbraw/zinc/19/42/41/628194241.db2.gz PNBLUJKLLQANIL-UHFFFAOYSA-N 0 1 322.210 3.031 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc3ccccc3c2)CC1 ZINC000929133837 628214795 /nfs/dbraw/zinc/21/47/95/628214795.db2.gz SUTAWZQSTOMTPZ-UHFFFAOYSA-N 0 1 307.397 3.059 20 30 DGEDMN C[C@@H](C[N@H+](C)Cc1ccc(C#N)cc1)C(=O)c1ccc([O-])cc1 ZINC000929165713 628218847 /nfs/dbraw/zinc/21/88/47/628218847.db2.gz WTZCCFBHDFQKDD-AWEZNQCLSA-N 0 1 308.381 3.215 20 30 DGEDMN C[C@@H](C[N@@H+](C)Cc1ccc(C#N)cc1)C(=O)c1ccc([O-])cc1 ZINC000929165713 628218850 /nfs/dbraw/zinc/21/88/50/628218850.db2.gz WTZCCFBHDFQKDD-AWEZNQCLSA-N 0 1 308.381 3.215 20 30 DGEDMN CCCCCCC[C@H](C)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000823064363 628522309 /nfs/dbraw/zinc/52/23/09/628522309.db2.gz YUQQDIFVOUEEGX-UONOGXRCSA-N 0 1 306.454 3.337 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN(C[C@@H](C)C#N)C1CC1 ZINC000823476901 628566896 /nfs/dbraw/zinc/56/68/96/628566896.db2.gz CMEBMVNPFOMPJE-GJZGRUSLSA-N 0 1 323.481 3.407 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN(C)CC(C)(C)C#N ZINC000824433031 628660272 /nfs/dbraw/zinc/66/02/72/628660272.db2.gz IFJIMLOFOOIDKQ-CQSZACIVSA-N 0 1 311.470 3.265 20 30 DGEDMN N#CCCN(Cc1ccc(Br)cc1O)CC1CC1 ZINC000824715254 628686614 /nfs/dbraw/zinc/68/66/14/628686614.db2.gz ZCWSJVMYKMJPRR-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN CC(C)(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC(C)(C)C2)o1 ZINC000824795652 628694519 /nfs/dbraw/zinc/69/45/19/628694519.db2.gz BGDGZHPHGUFSAI-UHFFFAOYSA-N 0 1 317.397 3.130 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cnn(Cc2ccccc2)c1Cl ZINC000824861472 628701052 /nfs/dbraw/zinc/70/10/52/628701052.db2.gz MCIXDEWXGQWDII-CVEARBPZSA-N 0 1 317.820 3.018 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@H]2CCC[C@H](C)C2)o1 ZINC000824927592 628707982 /nfs/dbraw/zinc/70/79/82/628707982.db2.gz PTPGMOSYPKCGCL-QWRGUYRKSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCC[C@@H](CO)NCc1cnn(Cc2ccccc2)c1Cl ZINC000825172390 628734959 /nfs/dbraw/zinc/73/49/59/628734959.db2.gz RNXSRKWOZVCXOR-INIZCTEOSA-N 0 1 319.836 3.002 20 30 DGEDMN C#Cc1cccc(CNCc2cc(OC)cc3c2O[C@H](C)C3)c1 ZINC000825227535 628739871 /nfs/dbraw/zinc/73/98/71/628739871.db2.gz UJYTYIAXKBJUKG-CQSZACIVSA-N 0 1 307.393 3.290 20 30 DGEDMN CCCC(CC)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000825232670 628740619 /nfs/dbraw/zinc/74/06/19/628740619.db2.gz JKLBOKTWQKWHEP-UHFFFAOYSA-N 0 1 305.386 3.130 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@@H+](CC(=O)[O-])C1CCCC1 ZINC000826080840 628826000 /nfs/dbraw/zinc/82/60/00/628826000.db2.gz HQUPWRZRCJJLOY-UHFFFAOYSA-N 0 1 300.402 3.317 20 30 DGEDMN CC(C)[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000826735269 628880789 /nfs/dbraw/zinc/88/07/89/628880789.db2.gz CQUXBMUKIMWQLI-RDJZCZTQSA-N 0 1 300.402 3.442 20 30 DGEDMN CC(C)C[N@@H+](CCC(=O)[O-])Cc1ccccc1C(C)(C)C#N ZINC000826876155 628898933 /nfs/dbraw/zinc/89/89/33/628898933.db2.gz TZLNFPQGTJRNNI-UHFFFAOYSA-N 0 1 302.418 3.420 20 30 DGEDMN CCC(F)(F)C(=O)N[C@@H](C#N)c1ccc(OC(F)(F)F)cc1 ZINC000826911091 628903094 /nfs/dbraw/zinc/90/30/94/628903094.db2.gz RHHRPLMUESHYBP-JTQLQIEISA-N 0 1 322.233 3.311 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(OC)c2[O-])C1 ZINC000826946540 628905869 /nfs/dbraw/zinc/90/58/69/628905869.db2.gz JAJXNGHZYOTVBZ-LBPRGKRZSA-N 0 1 306.362 3.097 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)OCC)cn1)C1CCCCC1 ZINC000827516392 628966994 /nfs/dbraw/zinc/96/69/94/628966994.db2.gz UYMQVFDMFUPRMF-UHFFFAOYSA-N 0 1 300.402 3.026 20 30 DGEDMN C#C[C@@H](NCc1cccc(Cl)c1OCC)C1CCOCC1 ZINC000827561135 628970584 /nfs/dbraw/zinc/97/05/84/628970584.db2.gz ZDOVMACBCCVBAO-MRXNPFEDSA-N 0 1 307.821 3.257 20 30 DGEDMN C#C[C@H](NCc1ccc(C)cc1Br)C1CCOCC1 ZINC000827565039 628971270 /nfs/dbraw/zinc/97/12/70/628971270.db2.gz HXQZETGRCPDCHX-INIZCTEOSA-N 0 1 322.246 3.276 20 30 DGEDMN C#C[C@@H](NCc1ncc(-c2ccccc2)s1)C1CCOCC1 ZINC000827564732 628971343 /nfs/dbraw/zinc/97/13/43/628971343.db2.gz FOWRIMBLNJVTCU-MRXNPFEDSA-N 0 1 312.438 3.328 20 30 DGEDMN C#C[C@@H](NCc1cccc(OC)c1OC(C)C)C1CCOCC1 ZINC000827570263 628972013 /nfs/dbraw/zinc/97/20/13/628972013.db2.gz KJPUVRBGDRYSLP-QGZVFWFLSA-N 0 1 317.429 3.000 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1c[nH]nc1-c1cccc(Cl)c1 ZINC000827823934 628994635 /nfs/dbraw/zinc/99/46/35/628994635.db2.gz XDRVIWXLMYPCRI-UHFFFAOYSA-N 0 1 317.820 3.202 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)[C@@H]1c1ccccc1 ZINC000828412958 629051706 /nfs/dbraw/zinc/05/17/06/629051706.db2.gz PIQZFAJVQGLMCZ-BVGQSLNGSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)[C@@H]1c1ccccc1 ZINC000828412958 629051708 /nfs/dbraw/zinc/05/17/08/629051708.db2.gz PIQZFAJVQGLMCZ-BVGQSLNGSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)Cc2ccccc21 ZINC000828411136 629051770 /nfs/dbraw/zinc/05/17/70/629051770.db2.gz FEUZQXJAPCOTAU-FZKQIMNGSA-N 0 1 306.365 3.303 20 30 DGEDMN COc1ccccc1-c1ccc(C(=O)N=C2C[C@@H](C)ON2)s1 ZINC000867062991 629172826 /nfs/dbraw/zinc/17/28/26/629172826.db2.gz MVNNDICYAOJCFS-SNVBAGLBSA-N 0 1 316.382 3.276 20 30 DGEDMN COc1ccccc1-c1ccc(C(=O)N=C2C[C@H](C)ON2)s1 ZINC000867062990 629172920 /nfs/dbraw/zinc/17/29/20/629172920.db2.gz MVNNDICYAOJCFS-JTQLQIEISA-N 0 1 316.382 3.276 20 30 DGEDMN C=CCNc1nc(C2(NC(=O)C(F)(F)F)CCCC2)cs1 ZINC000829601483 629173122 /nfs/dbraw/zinc/17/31/22/629173122.db2.gz CQCNYWNFEBFNAN-UHFFFAOYSA-N 0 1 319.352 3.189 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cc3c(C)nn(C)c3nc2Cl)C1 ZINC000829779085 629191691 /nfs/dbraw/zinc/19/16/91/629191691.db2.gz MMNJPNVARCYFLT-CYBMUJFWSA-N 0 1 316.836 3.165 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nc3sc(C)c(C)c3c(N)n2)C1 ZINC000829810850 629196655 /nfs/dbraw/zinc/19/66/55/629196655.db2.gz UZISMYAKUYRUPY-CYBMUJFWSA-N 0 1 314.458 3.126 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC000829809841 629196805 /nfs/dbraw/zinc/19/68/05/629196805.db2.gz IUNLGYPPWDINLE-AWEZNQCLSA-N 0 1 315.367 3.386 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccccc2C(C)(C)CC)CC1 ZINC000830162273 629233043 /nfs/dbraw/zinc/23/30/43/629233043.db2.gz HFZRNGDTRUDNHN-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2c(CC)cccc2CC)CC1 ZINC000830316271 629251967 /nfs/dbraw/zinc/25/19/67/629251967.db2.gz KVRCHTFUSGDCRO-OAHLLOKOSA-N 0 1 312.457 3.484 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831898928 629425228 /nfs/dbraw/zinc/42/52/28/629425228.db2.gz OOHNAOYEHBDUMO-OAHLLOKOSA-N 0 1 301.434 3.433 20 30 DGEDMN CCCCCCCCN1CCC[C@@]2(CCS(=O)(=O)C2)C1 ZINC000831915156 629427846 /nfs/dbraw/zinc/42/78/46/629427846.db2.gz GMRRMFGEUJMMDJ-MRXNPFEDSA-N 0 1 301.496 3.248 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)c3cccc(C#N)c3)C2)n[nH]1 ZINC000831919162 629428709 /nfs/dbraw/zinc/42/87/09/629428709.db2.gz LVFUAAYCPPSLSM-INIZCTEOSA-N 0 1 322.412 3.425 20 30 DGEDMN COc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2cccc(C#N)c2)cc1C ZINC000832310502 629463480 /nfs/dbraw/zinc/46/34/80/629463480.db2.gz BLKGSSPBYMUYHG-GOSISDBHSA-N 0 1 324.380 3.133 20 30 DGEDMN COC[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000832744422 629510067 /nfs/dbraw/zinc/51/00/67/629510067.db2.gz DHYOGVBAYGKUOD-CQSZACIVSA-N 0 1 322.836 3.491 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063790 629554620 /nfs/dbraw/zinc/55/46/20/629554620.db2.gz ATEXIPHSPYRQSK-CVEARBPZSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063790 629554624 /nfs/dbraw/zinc/55/46/24/629554624.db2.gz ATEXIPHSPYRQSK-CVEARBPZSA-N 0 1 310.353 3.250 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccc(F)cc3)C2)c1 ZINC000833073692 629555104 /nfs/dbraw/zinc/55/51/04/629555104.db2.gz GUIBXKNNSQHGNT-FUHWJXTLSA-N 0 1 324.355 3.313 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3F)C2)c1 ZINC000833074475 629555393 /nfs/dbraw/zinc/55/53/93/629555393.db2.gz YPSWFTDBBXHFQP-YJBOKZPZSA-N 0 1 324.355 3.313 20 30 DGEDMN C#CCCOc1ccc(C[N@H+](C)[C@H](C(=O)[O-])c2ccccc2)cc1 ZINC000833365598 629592158 /nfs/dbraw/zinc/59/21/58/629592158.db2.gz VOPYIFJYEZSBEN-IBGZPJMESA-N 0 1 323.392 3.346 20 30 DGEDMN C#CCCOc1ccc(C[N@H+](C)C2(C(=O)[O-])CCCCC2)cc1 ZINC000833365651 629592388 /nfs/dbraw/zinc/59/23/88/629592388.db2.gz WCVBWVXMUGNQBG-UHFFFAOYSA-N 0 1 315.413 3.308 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@H]2CC(=O)[O-])cc1Cl ZINC000833377926 629594747 /nfs/dbraw/zinc/59/47/47/629594747.db2.gz QQZNLPITBDROKV-ZDUSSCGKSA-N 0 1 309.793 3.344 20 30 DGEDMN C[C@@H](Oc1ccc(C[N@@H+](C)Cc2ccc(C#N)cc2)cc1)C(=O)[O-] ZINC000833536724 629616950 /nfs/dbraw/zinc/61/69/50/629616950.db2.gz DKOIOPYPHXOOEX-CQSZACIVSA-N 0 1 324.380 3.042 20 30 DGEDMN CC1(C#N)CC[NH+](CCOc2ccc(C(=O)[O-])cc2Cl)CC1 ZINC000833551636 629619150 /nfs/dbraw/zinc/61/91/50/629619150.db2.gz ROVIWPCGUSNEEA-UHFFFAOYSA-N 0 1 322.792 3.043 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCCC[C@H]2[C@H]2CCCN2C(=O)[O-])s1 ZINC000833972271 629664245 /nfs/dbraw/zinc/66/42/45/629664245.db2.gz YBJWQACMBGDYBV-LSDHHAIUSA-N 0 1 319.430 3.117 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1c(C)oc2ccccc21 ZINC000834965876 629813994 /nfs/dbraw/zinc/81/39/94/629813994.db2.gz IMYRFEQDPLSHJN-GJZGRUSLSA-N 0 1 310.401 3.325 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccc(C=NNc2cncnc2)cc1 ZINC000834977180 629816144 /nfs/dbraw/zinc/81/61/44/629816144.db2.gz HSRVLJAVOKTNRR-UHFFFAOYSA-N 0 1 313.361 3.270 20 30 DGEDMN Cc1ccc(CNN=Cc2ccc(N3CCOCC3)s2)cc1 ZINC000835009763 629821634 /nfs/dbraw/zinc/82/16/34/629821634.db2.gz ZMJPDVHSYAMRIF-UHFFFAOYSA-N 0 1 315.442 3.017 20 30 DGEDMN C[C@@H]1CC(N=Nc2ncc(F)cc2F)CN1Cc1ccccc1 ZINC000835022571 629826480 /nfs/dbraw/zinc/82/64/80/629826480.db2.gz NOUYCEACKWYJOD-GFCCVEGCSA-N 0 1 316.355 3.422 20 30 DGEDMN CC(C)(C)n1cc(C=NNc2cccc(Br)n2)cn1 ZINC000835024467 629828278 /nfs/dbraw/zinc/82/82/78/629828278.db2.gz SWHIOPVXWSTJMV-UHFFFAOYSA-N 0 1 322.210 3.242 20 30 DGEDMN C#CCO[C@@H](C)C(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000835071938 629843371 /nfs/dbraw/zinc/84/33/71/629843371.db2.gz ABRCCMABHXEEDP-ONGXEEELSA-N 0 1 318.785 3.164 20 30 DGEDMN N#CC(C(=O)C=C[C@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145738 629863761 /nfs/dbraw/zinc/86/37/61/629863761.db2.gz VQMHTRLOEVLOQP-DZONDZNHSA-N 0 1 316.357 3.204 20 30 DGEDMN N#C[C@H](C(=O)C=C[C@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145738 629863762 /nfs/dbraw/zinc/86/37/62/629863762.db2.gz VQMHTRLOEVLOQP-DZONDZNHSA-N 0 1 316.357 3.204 20 30 DGEDMN Cc1cnc(CCCC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)o1 ZINC000835171645 629869190 /nfs/dbraw/zinc/86/91/90/629869190.db2.gz GLXJWGJFGFYFDZ-CYBMUJFWSA-N 0 1 322.368 3.367 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)N[C@@H](CC)c1ccccc1 ZINC000835340403 629909776 /nfs/dbraw/zinc/90/97/76/629909776.db2.gz NFCDIFJKIWBKKX-CVEARBPZSA-N 0 1 300.402 3.399 20 30 DGEDMN CN(C)C1(C(=O)Nc2ccc(OC(C)(C)C)c(C#N)c2)CCC1 ZINC000836820674 630167446 /nfs/dbraw/zinc/16/74/46/630167446.db2.gz ZVLVILQCJLAULY-UHFFFAOYSA-N 0 1 315.417 3.158 20 30 DGEDMN COC(=O)[C@H](CC1CC1)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840711169 630350778 /nfs/dbraw/zinc/35/07/78/630350778.db2.gz OYCAZMYAOFNCDS-SMWBLXLWSA-N 0 1 316.184 3.460 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCCCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000841750693 630461710 /nfs/dbraw/zinc/46/17/10/630461710.db2.gz ACYJUEYAVNGZPY-SFHVURJKSA-N 0 1 322.368 3.419 20 30 DGEDMN C#CCCOc1ccc(CN[C@@H]2COCc3ccccc32)cc1 ZINC000841889790 630473768 /nfs/dbraw/zinc/47/37/68/630473768.db2.gz DASNUVABEOJLKI-HXUWFJFHSA-N 0 1 307.393 3.450 20 30 DGEDMN CN(CCC#N)c1ccc(CN[C@H]2COCc3ccccc32)cc1 ZINC000841889802 630473774 /nfs/dbraw/zinc/47/37/74/630473774.db2.gz DNESAYMGWWTTNV-FQEVSTJZSA-N 0 1 321.424 3.398 20 30 DGEDMN C[C@@H]1CCC[C@@H](C)N1CC(N)=NOCc1ccc(CC#N)cc1 ZINC000842049612 630482867 /nfs/dbraw/zinc/48/28/67/630482867.db2.gz VXNCGWSIYBILAY-HUUCEWRRSA-N 0 1 314.433 3.014 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)nc(C)n1 ZINC000842285415 630511523 /nfs/dbraw/zinc/51/15/23/630511523.db2.gz PQBSJEYSWAEIHH-FCEWJHQRSA-N 0 1 305.381 3.464 20 30 DGEDMN Cc1ncsc1CCC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000842305445 630514160 /nfs/dbraw/zinc/51/41/60/630514160.db2.gz BSSQUZDAFLVXKP-LBPRGKRZSA-N 0 1 324.409 3.445 20 30 DGEDMN CC(C)Cn1nccc1C=NNc1cc(C(F)(F)F)ccn1 ZINC000842535914 630545231 /nfs/dbraw/zinc/54/52/31/630545231.db2.gz JWIMRTPXHFRIKO-UHFFFAOYSA-N 0 1 311.311 3.399 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)C1 ZINC000842630117 630556396 /nfs/dbraw/zinc/55/63/96/630556396.db2.gz XRKGBZNOPATDQI-YOEHRIQHSA-N 0 1 316.832 3.306 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H](c1ccccc1)N(C)CC)c1ccccc1 ZINC000843640798 630682426 /nfs/dbraw/zinc/68/24/26/630682426.db2.gz HOPRRYPAVLMTHI-MOPGFXCFSA-N 0 1 306.409 3.170 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H]([C@H]3CCCC3(C)C)C2)CC1 ZINC000843699414 630689637 /nfs/dbraw/zinc/68/96/37/630689637.db2.gz HTXUKPGULDLNSK-ZWKOTPCHSA-N 0 1 316.489 3.006 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)[C@@H]2CCCCN2Cc2ccccc2)C1 ZINC000844000055 630706296 /nfs/dbraw/zinc/70/62/96/630706296.db2.gz UIYHMCDJPLKPDC-QUCCMNQESA-N 0 1 324.468 3.303 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CC[C@]4(CC4(F)F)C3)n2)cc1 ZINC000844757587 630841321 /nfs/dbraw/zinc/84/13/21/630841321.db2.gz PBKSRIDOOQINSI-INIZCTEOSA-N 0 1 314.339 3.110 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C2CCC2)cc1 ZINC000845441086 631174963 /nfs/dbraw/zinc/17/49/63/631174963.db2.gz CQJFCDYOUHPHMK-BBWFWOEESA-N 0 1 310.397 3.333 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@H]2C[C@H]2C2CCCC2)c1 ZINC000845442155 631175490 /nfs/dbraw/zinc/17/54/90/631175490.db2.gz WHKUKWPGLGNEIG-YESZJQIVSA-N 0 1 310.397 3.469 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CC[C@H](C)CC2)c1 ZINC000845527643 631180770 /nfs/dbraw/zinc/18/07/70/631180770.db2.gz RMAUOTNRDKGVQI-JQFCIGGWSA-N 0 1 312.413 3.146 20 30 DGEDMN C=C(C)CONC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000845595218 631182889 /nfs/dbraw/zinc/18/28/89/631182889.db2.gz WNIAQHXFEPIUOU-HNNXBMFYSA-N 0 1 314.389 3.226 20 30 DGEDMN CC(C)COCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845839524 631198466 /nfs/dbraw/zinc/19/84/66/631198466.db2.gz UZUSWADNWSMGHK-INIZCTEOSA-N 0 1 313.397 3.059 20 30 DGEDMN N#CC(C(=O)Cc1ccccc1F)=C(O)C1(c2ccccc2)CC1 ZINC000845839051 631198492 /nfs/dbraw/zinc/19/84/92/631198492.db2.gz ZTOOFHBGFXZSOK-MRXNPFEDSA-N 0 1 321.351 3.378 20 30 DGEDMN N#CC(C(=O)Cc1ccsc1)=C(O)C1(c2ccccc2)CC1 ZINC000845840390 631198534 /nfs/dbraw/zinc/19/85/34/631198534.db2.gz MMPCRYCVXVPFFT-OAHLLOKOSA-N 0 1 309.390 3.300 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)CCC1=O ZINC000845840525 631198538 /nfs/dbraw/zinc/19/85/38/631198538.db2.gz DGHJCHULVLVADR-FMKPAKJESA-N 0 1 323.392 3.001 20 30 DGEDMN N#CC(C(=O)CCc1ccoc1)=C(O)C1(c2ccccc2)CC1 ZINC000845840445 631198542 /nfs/dbraw/zinc/19/85/42/631198542.db2.gz BORWKPLMZFGBFK-MRXNPFEDSA-N 0 1 307.349 3.222 20 30 DGEDMN CO[C@H](CC(C)C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843921 631198801 /nfs/dbraw/zinc/19/88/01/631198801.db2.gz NUBXFDMGPZRXST-HZPDHXFCSA-N 0 1 313.397 3.057 20 30 DGEDMN COCC(C)(C)CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845843432 631198858 /nfs/dbraw/zinc/19/88/58/631198858.db2.gz LGTMNRYILAQSEB-OAHLLOKOSA-N 0 1 313.397 3.059 20 30 DGEDMN C[C@@H]1CC(=O)CC[C@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845921 631198988 /nfs/dbraw/zinc/19/89/88/631198988.db2.gz QMGIKWUIAUXVFZ-XYPHTWIQSA-N 0 1 323.392 3.001 20 30 DGEDMN CCc1cccc(F)c1CN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000846005940 631205563 /nfs/dbraw/zinc/20/55/63/631205563.db2.gz DQCWSNAELFSIEN-IBGZPJMESA-N 0 1 312.388 3.425 20 30 DGEDMN C#CCOc1ccc(CN[C@H](c2ccccc2F)[C@H](C)O)cc1 ZINC000846016363 631206296 /nfs/dbraw/zinc/20/62/96/631206296.db2.gz HNJWNDFNZKSKNQ-LIRRHRJNSA-N 0 1 313.372 3.049 20 30 DGEDMN C=CC[N@H+](CC/C=C/c1ccc(Br)cc1)CC(=O)[O-] ZINC000846263374 631217375 /nfs/dbraw/zinc/21/73/75/631217375.db2.gz KODFSQGOGDEUHY-HWKANZROSA-N 0 1 324.218 3.425 20 30 DGEDMN C#C[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000847022425 631383194 /nfs/dbraw/zinc/38/31/94/631383194.db2.gz HQUAFVWFIQVVOY-KGLIPLIRSA-N 0 1 302.443 3.081 20 30 DGEDMN CC(C)CN(CCC#N)C(=O)c1cccc(C(F)(F)F)c1O ZINC000847398587 631471155 /nfs/dbraw/zinc/47/11/55/631471155.db2.gz FAXALDUPCQTWCQ-UHFFFAOYSA-N 0 1 314.307 3.423 20 30 DGEDMN CO[C@@](C)(C(=O)[C@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000106982303 631495165 /nfs/dbraw/zinc/49/51/65/631495165.db2.gz PBEMBOAIFJGWHX-XJKSGUPXSA-N 0 1 300.383 3.190 20 30 DGEDMN CO[C@](C)(C(=O)[C@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000106982306 631496354 /nfs/dbraw/zinc/49/63/54/631496354.db2.gz PBEMBOAIFJGWHX-BBRMVZONSA-N 0 1 300.383 3.190 20 30 DGEDMN N#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000847624405 631497879 /nfs/dbraw/zinc/49/78/79/631497879.db2.gz PUHBXJUBCLHOPB-LLVKDONJSA-N 0 1 321.739 3.210 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)CCCCC#N)c1ccccc1 ZINC000847689503 631515286 /nfs/dbraw/zinc/51/52/86/631515286.db2.gz AMGHABVRPUNYHJ-HZPDHXFCSA-N 0 1 311.385 3.047 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000847856043 631560314 /nfs/dbraw/zinc/56/03/14/631560314.db2.gz LDAJVQLSMGCNRD-HNNXBMFYSA-N 0 1 313.401 3.125 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)OCc1cc(C2CC2)[nH]n1 ZINC000848009547 631594998 /nfs/dbraw/zinc/59/49/98/631594998.db2.gz HTBDXORIZXFBRI-GFCCVEGCSA-N 0 1 309.369 3.081 20 30 DGEDMN C[C@H](COc1ccccc1)NN=C1CCCN2CCCC[C@@H]12 ZINC000848410990 631656732 /nfs/dbraw/zinc/65/67/32/631656732.db2.gz UYXPXRRGOXRCCC-QAPCUYQASA-N 0 1 301.434 3.048 20 30 DGEDMN C=CCN(CC1CCCCC1)Cn1cc(-c2ccncn2)cn1 ZINC000848478970 631668628 /nfs/dbraw/zinc/66/86/28/631668628.db2.gz WDNSWTBYDCVXQC-UHFFFAOYSA-N 0 1 311.433 3.366 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cnn(Cc3ccccc3Cl)c2)C1 ZINC000848924195 631789372 /nfs/dbraw/zinc/78/93/72/631789372.db2.gz XESAKHXNGUUBKX-HNNXBMFYSA-N 0 1 313.832 3.430 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000849344418 631897088 /nfs/dbraw/zinc/89/70/88/631897088.db2.gz LMQMVHFDRCRDFF-NLZINVLXSA-N 0 1 315.413 3.451 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2oc(-c3ccccc3)nc2C(=O)[O-])C1 ZINC000849344290 631897219 /nfs/dbraw/zinc/89/72/19/631897219.db2.gz HXQYTAOAIBJDNF-AWEZNQCLSA-N 0 1 324.380 3.275 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](NCC2(C#N)CCCCC2)C1 ZINC000849350143 631900315 /nfs/dbraw/zinc/90/03/15/631900315.db2.gz LBPUIVSADZLOHF-OAHLLOKOSA-N 0 1 321.465 3.450 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2scnc2C)cc1)[C@H]1CCCO1 ZINC000850251125 632093733 /nfs/dbraw/zinc/09/37/33/632093733.db2.gz MMDAQFPLDDIPHN-IAGOWNOFSA-N 0 1 312.438 3.389 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H]2CCCn3nccc32)c1 ZINC000850441757 632154482 /nfs/dbraw/zinc/15/44/82/632154482.db2.gz BRUBZDIGWHWHKN-WBVHZDCISA-N 0 1 310.401 3.189 20 30 DGEDMN COC1(C(=O)C(C#N)c2nc(C)cs2)CCC(F)(F)CC1 ZINC000850737627 632210158 /nfs/dbraw/zinc/21/01/58/632210158.db2.gz YIOZMJKPIDWBMY-JTQLQIEISA-N 0 1 314.357 3.222 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CCC1 ZINC000850740107 632210932 /nfs/dbraw/zinc/21/09/32/632210932.db2.gz SATVJINFQILOJG-XHDPSFHLSA-N 0 1 300.383 3.288 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)C1CCC(C2CC2)CC1 ZINC000850756433 632217004 /nfs/dbraw/zinc/21/70/04/632217004.db2.gz ADUSEOPWRRCYSF-DQPZFDDXSA-N 0 1 316.445 3.361 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CCC1 ZINC000850829187 632232611 /nfs/dbraw/zinc/23/26/11/632232611.db2.gz FXZWGVHRGCVIJT-NHYWBVRUSA-N 0 1 318.373 3.427 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1CCC1(C)C)c1ccccc1 ZINC000850978481 632275258 /nfs/dbraw/zinc/27/52/58/632275258.db2.gz TUDDHXBYQMBDAD-XHSDSOJGSA-N 0 1 312.413 3.399 20 30 DGEDMN C=C(C)[C@@H](CC(=O)OC[C@@H]1CCN1Cc1ccccc1)OCC ZINC000851467366 632417470 /nfs/dbraw/zinc/41/74/70/632417470.db2.gz UVDNJXRYLOCMBP-ZWKOTPCHSA-N 0 1 317.429 3.175 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000852098490 632553147 /nfs/dbraw/zinc/55/31/47/632553147.db2.gz ORPBAOZIILSFRX-ABAIWWIYSA-N 0 1 312.335 3.424 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1cc(Cl)ccc1OC ZINC000852099480 632554435 /nfs/dbraw/zinc/55/44/35/632554435.db2.gz AGEHAHXVTDFCSR-BZNIZROVSA-N 0 1 308.809 3.067 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1nc(-c2cccs2)cs1 ZINC000852099701 632554602 /nfs/dbraw/zinc/55/46/02/632554602.db2.gz AADBHSXOFYJOEV-OAHLLOKOSA-N 0 1 319.455 3.202 20 30 DGEDMN N#CC[C@@H]1CCCN(C[C@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC000852319582 632586929 /nfs/dbraw/zinc/58/69/29/632586929.db2.gz PREXWCJRYOYNTH-XJKSGUPXSA-N 0 1 317.820 3.459 20 30 DGEDMN N#CC[C@H]1CCCN(C[C@@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC000852319580 632587078 /nfs/dbraw/zinc/58/70/78/632587078.db2.gz PREXWCJRYOYNTH-CJNGLKHVSA-N 0 1 317.820 3.459 20 30 DGEDMN C=CC[N@H+](Cc1cc(Cl)cc(C(C)=O)c1[O-])[C@@H](C)COC ZINC000852358099 632594827 /nfs/dbraw/zinc/59/48/27/632594827.db2.gz MKBJGIIQJVSOOL-NSHDSACASA-N 0 1 311.809 3.271 20 30 DGEDMN C=CC[N@@H+](Cc1cc(Cl)cc(C(C)=O)c1[O-])[C@@H](C)COC ZINC000852358099 632594829 /nfs/dbraw/zinc/59/48/29/632594829.db2.gz MKBJGIIQJVSOOL-NSHDSACASA-N 0 1 311.809 3.271 20 30 DGEDMN C=CCN1CC(CNC(=O)c2cc3cc(C)ccc3s2)C1 ZINC001032096760 660195089 /nfs/dbraw/zinc/19/50/89/660195089.db2.gz RWQRJYGHKXAOBE-UHFFFAOYSA-N 0 1 300.427 3.057 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001032145805 660207665 /nfs/dbraw/zinc/20/76/65/660207665.db2.gz ONOKGWSKWOYRII-UHFFFAOYSA-N 0 1 313.228 3.231 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H](C)C2CCC2)CC1 ZINC000948542752 660210168 /nfs/dbraw/zinc/21/01/68/660210168.db2.gz UQVVPWZXXBOSDD-HOCLYGCPSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(Cc3ccsc3)C2)CC1 ZINC001015659630 659732527 /nfs/dbraw/zinc/73/25/27/659732527.db2.gz GAQRTBIGOOXUEN-MRXNPFEDSA-N 0 1 304.459 3.185 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2CCC(C)(C)CC2)C(C)(C)C1 ZINC000941259559 660099324 /nfs/dbraw/zinc/09/93/24/660099324.db2.gz XTNLYTXIZRVNOK-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2C(C)(C)C2(C)C)CC1(C)C ZINC000995616462 660231166 /nfs/dbraw/zinc/23/11/66/660231166.db2.gz VFQTWDPIUBVGOI-LBPRGKRZSA-N 0 1 312.885 3.248 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@@H](C)C1 ZINC000945007666 660238499 /nfs/dbraw/zinc/23/84/99/660238499.db2.gz SDKQMNXRXFNOCU-KBPBESRZSA-N 0 1 318.486 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2=CCCCCC2)CC1(C)C ZINC000995736776 660270009 /nfs/dbraw/zinc/27/00/09/660270009.db2.gz MEDQCRGRGNPAKP-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(F)(F)C2)CC1(C)C ZINC000995890733 660272361 /nfs/dbraw/zinc/27/23/61/660272361.db2.gz HRSBKRURJUALLN-NWDGAFQWSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)C(C)(C)C)CC1(C)C ZINC000995960218 660273263 /nfs/dbraw/zinc/27/32/63/660273263.db2.gz NEWSTLXLHRKIIN-QWHCGFSZSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCC2(C)C)CC1(C)C ZINC000996164703 660276848 /nfs/dbraw/zinc/27/68/48/660276848.db2.gz XGWPJIJNBDVNGF-ZIAGYGMSSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccn(CC)c2C)CC1(C)C ZINC000996176000 660277253 /nfs/dbraw/zinc/27/72/53/660277253.db2.gz NHYCAXZFRKCBIZ-HNNXBMFYSA-N 0 1 323.868 3.009 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2CC)CC1(C)C ZINC000996198785 660277523 /nfs/dbraw/zinc/27/75/23/660277523.db2.gz QGNQULCRGMNLBF-INIZCTEOSA-N 0 1 320.864 3.442 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)ccc2F)CC1(C)C ZINC000996353133 660280611 /nfs/dbraw/zinc/28/06/11/660280611.db2.gz ZOLWCMUQPXTPAI-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@H](C)c2ccco2)CC1(C)C ZINC000996520179 660284898 /nfs/dbraw/zinc/28/48/98/660284898.db2.gz RPAPDLHGBXESLY-WFASDCNBSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)cc2)CC1(C)C ZINC000996551807 660285193 /nfs/dbraw/zinc/28/51/93/660285193.db2.gz KKKMNVVUQMMMJR-OAHLLOKOSA-N 0 1 306.837 3.188 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)cccc2F)CC1(C)C ZINC000996723201 660291835 /nfs/dbraw/zinc/29/18/35/660291835.db2.gz STJHHKHWHVYHHP-CQSZACIVSA-N 0 1 324.827 3.327 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001032400489 660312049 /nfs/dbraw/zinc/31/20/49/660312049.db2.gz JWFHLEHORYUFPJ-CAOSSQGBSA-N 0 1 316.470 3.021 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1coc2ccc(C)cc12 ZINC001032428523 660320696 /nfs/dbraw/zinc/32/06/96/660320696.db2.gz GTGGNIGRYOFBDH-IRXDYDNUSA-N 0 1 324.424 3.145 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C[C@H]1C ZINC000947933459 660338848 /nfs/dbraw/zinc/33/88/48/660338848.db2.gz AUSDLOIIJHQBPP-WBVHZDCISA-N 0 1 324.424 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001032557492 660346382 /nfs/dbraw/zinc/34/63/82/660346382.db2.gz JVFOBLKMKDMKQJ-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001032558223 660346681 /nfs/dbraw/zinc/34/66/81/660346681.db2.gz LICBSHUKBUPQKD-IRXDYDNUSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)C2CC=CC2)CC1 ZINC000997963394 660360480 /nfs/dbraw/zinc/36/04/80/660360480.db2.gz DTJDRPGEURDNCT-INIZCTEOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(F)F)c1 ZINC001032590174 660363727 /nfs/dbraw/zinc/36/37/27/660363727.db2.gz RFNUGUNJVOWJFW-STQMWFEESA-N 0 1 310.344 3.082 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2CC)CC1 ZINC000998123361 660371466 /nfs/dbraw/zinc/37/14/66/660371466.db2.gz BGENEYFEOGTNSQ-BZUAXINKSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2cccn2C(C)C)CC1 ZINC000998201297 660375966 /nfs/dbraw/zinc/37/59/66/660375966.db2.gz XNIAJTNRKJBIAH-KRWDZBQOSA-N 0 1 315.461 3.019 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001032623256 660381769 /nfs/dbraw/zinc/38/17/69/660381769.db2.gz KSIQKSZXJXMJRA-UXPWSPDFSA-N 0 1 324.468 3.051 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)c1cnc2ccccc2c1C ZINC001038529297 660397850 /nfs/dbraw/zinc/39/78/50/660397850.db2.gz ZSLBSFNODPBJNW-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc([C@@H](C)CC)no1 ZINC001032671161 660410072 /nfs/dbraw/zinc/41/00/72/660410072.db2.gz FNPFKSDOTLKLEW-KKUMJFAQSA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc3occc3c2)C1 ZINC000999228384 660410410 /nfs/dbraw/zinc/41/04/10/660410410.db2.gz QGRSAFNEUYZHKL-OAHLLOKOSA-N 0 1 318.804 3.380 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc(Cl)cs1 ZINC001032654008 660407018 /nfs/dbraw/zinc/40/70/18/660407018.db2.gz LYAHHYLRMWECGX-KBPBESRZSA-N 0 1 324.877 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC000999191844 660407978 /nfs/dbraw/zinc/40/79/78/660407978.db2.gz CJCKBHWWPUHJIT-RRFJBIMHSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc(Cl)c1 ZINC001032665150 660409418 /nfs/dbraw/zinc/40/94/18/660409418.db2.gz IRXQOYWKDPTONU-STQMWFEESA-N 0 1 310.850 3.266 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2c(F)cccc2F)C1 ZINC000999897899 660429825 /nfs/dbraw/zinc/42/98/25/660429825.db2.gz GFXJIOFBBVSALR-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1C1CC1 ZINC001032765653 660441369 /nfs/dbraw/zinc/44/13/69/660441369.db2.gz YOOWIXZQLQBAGR-GJZGRUSLSA-N 0 1 316.470 3.490 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc2ccccc2c1 ZINC001032773328 660442738 /nfs/dbraw/zinc/44/27/38/660442738.db2.gz UVVQANLLKKFZOU-PMACEKPBSA-N 0 1 320.436 3.244 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccccc2OCC)C1 ZINC001000840792 660449065 /nfs/dbraw/zinc/44/90/65/660449065.db2.gz PKMAPGOXXWGSDS-AWEZNQCLSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2CC=C(C)C)c1ccccc1 ZINC001032797718 660449595 /nfs/dbraw/zinc/44/95/95/660449595.db2.gz XLDTZKUOPGAYHY-GBESFXJTSA-N 0 1 310.441 3.208 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H]2CCC[C@H]2c2ccccc2)CC1 ZINC001003286350 660490957 /nfs/dbraw/zinc/49/09/57/660490957.db2.gz PNSYMTBYYMNGMY-PMACEKPBSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCC(NC(=O)c2cc(CC)c(CCC)s2)CC1 ZINC001004118603 660529349 /nfs/dbraw/zinc/52/93/49/660529349.db2.gz VHJHQVPKGBMDQR-UHFFFAOYSA-N 0 1 318.486 3.090 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3CCCC3)C2)CC1 ZINC001040569562 660532308 /nfs/dbraw/zinc/53/23/08/660532308.db2.gz JEUWFPZSQHSVHE-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(C(F)F)c2F)C1 ZINC001033092298 660538214 /nfs/dbraw/zinc/53/82/14/660538214.db2.gz QJXMKYGPPZAVSQ-LLVKDONJSA-N 0 1 312.335 3.096 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(-c3ccccc3)co2)CC1 ZINC001004287167 660538871 /nfs/dbraw/zinc/53/88/71/660538871.db2.gz XMUPILXPOXMWQV-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001033091903 660538163 /nfs/dbraw/zinc/53/81/63/660538163.db2.gz NWXCORWNWKCDPO-LBPRGKRZSA-N 0 1 312.335 3.096 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ccc(CCC)s2)C1 ZINC001033115685 660549391 /nfs/dbraw/zinc/54/93/91/660549391.db2.gz AWMOILLXYYBMLH-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1CC#Cc1ccccc1 ZINC000947961375 660587315 /nfs/dbraw/zinc/58/73/15/660587315.db2.gz BLDYVZCMSWMMTE-WMZOPIPTSA-N 0 1 312.457 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001033224541 660591484 /nfs/dbraw/zinc/59/14/84/660591484.db2.gz HMCXFACYMYLNPN-LBPRGKRZSA-N 0 1 317.820 3.154 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3ccccc3cc2F)C1 ZINC001033256718 660599506 /nfs/dbraw/zinc/59/95/06/660599506.db2.gz YLXNCXRWCBAXKX-MRXNPFEDSA-N 0 1 312.388 3.311 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3ccccc3cc2F)C1 ZINC001033256716 660599549 /nfs/dbraw/zinc/59/95/49/660599549.db2.gz YLXNCXRWCBAXKX-INIZCTEOSA-N 0 1 312.388 3.311 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001033257599 660600764 /nfs/dbraw/zinc/60/07/64/660600764.db2.gz RSHSQHPJDNFGSH-CQSZACIVSA-N 0 1 314.385 3.262 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001033269322 660602077 /nfs/dbraw/zinc/60/20/77/660602077.db2.gz QQKJNMQWCYUKOM-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001033313683 660607580 /nfs/dbraw/zinc/60/75/80/660607580.db2.gz YBKLQSNIHBMRJN-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001033290337 660603967 /nfs/dbraw/zinc/60/39/67/660603967.db2.gz QROKBRCCRYHPRZ-GFCCVEGCSA-N 0 1 310.800 3.120 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001033321412 660608553 /nfs/dbraw/zinc/60/85/53/660608553.db2.gz KSAFRGLLVROGHH-OAHLLOKOSA-N 0 1 311.429 3.117 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001033481579 660626332 /nfs/dbraw/zinc/62/63/32/660626332.db2.gz ARSXFRRHSWKZDA-OAHLLOKOSA-N 0 1 319.449 3.301 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2c(C)csc2Cl)C1 ZINC001033613171 660636699 /nfs/dbraw/zinc/63/66/99/660636699.db2.gz KLZYHNWVOLTNQW-LBPRGKRZSA-N 0 1 312.866 3.432 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2OCC(C)C)C1 ZINC001033505957 660628028 /nfs/dbraw/zinc/62/80/28/660628028.db2.gz RYWBGARTBBKUAK-MRXNPFEDSA-N 0 1 316.445 3.054 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001033494414 660628510 /nfs/dbraw/zinc/62/85/10/660628510.db2.gz HSBYPQFAWSQBDI-IXDOHACOSA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(F)F)cc2F)C1 ZINC001033529257 660630195 /nfs/dbraw/zinc/63/01/95/660630195.db2.gz GRWWRISQWRXVOI-LBPRGKRZSA-N 0 1 312.335 3.096 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001033521468 660630598 /nfs/dbraw/zinc/63/05/98/660630598.db2.gz KXEIJGDLNWTWPI-GOSISDBHSA-N 0 1 321.424 3.081 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001033589764 660635196 /nfs/dbraw/zinc/63/51/96/660635196.db2.gz SNEMINGTBWSUQY-MRXNPFEDSA-N 0 1 312.413 3.382 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(Cl)ccc2Cl)C1 ZINC001033611018 660639712 /nfs/dbraw/zinc/63/97/12/660639712.db2.gz IQGJXEGPCFAOEM-GFCCVEGCSA-N 0 1 313.228 3.326 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001033662887 660648138 /nfs/dbraw/zinc/64/81/38/660648138.db2.gz OHKJZKTTXYTCFF-MRXNPFEDSA-N 0 1 311.429 3.117 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001033662887 660648139 /nfs/dbraw/zinc/64/81/39/660648139.db2.gz OHKJZKTTXYTCFF-MRXNPFEDSA-N 0 1 311.429 3.117 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(CC)c(C)s2)C1 ZINC001033648282 660646164 /nfs/dbraw/zinc/64/61/64/660646164.db2.gz NDSFLFQSYRUMEO-OAHLLOKOSA-N 0 1 306.475 3.341 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2csc(CC(C)C)n2)C1 ZINC001033700220 660651996 /nfs/dbraw/zinc/65/19/96/660651996.db2.gz TYPZOPFGACTUSL-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2csc(CC(C)C)n2)C1 ZINC001033700220 660651997 /nfs/dbraw/zinc/65/19/97/660651997.db2.gz TYPZOPFGACTUSL-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001033716996 660654873 /nfs/dbraw/zinc/65/48/73/660654873.db2.gz AHYGLENUOABSLT-FQEVSTJZSA-N 0 1 322.452 3.424 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001033834810 660667944 /nfs/dbraw/zinc/66/79/44/660667944.db2.gz YCCXKCBBSDKWID-DLBZAZTESA-N 0 1 304.478 3.456 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001033832183 660668049 /nfs/dbraw/zinc/66/80/49/660668049.db2.gz CULSSKCTRNUSOC-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001033832183 660668051 /nfs/dbraw/zinc/66/80/51/660668051.db2.gz CULSSKCTRNUSOC-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2coc3ccc(CC)cc32)CC1 ZINC001005393902 660668595 /nfs/dbraw/zinc/66/85/95/660668595.db2.gz TZMCPZJIEYDXRW-UHFFFAOYSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2scc(C)c2Cl)C1 ZINC001033844914 660668845 /nfs/dbraw/zinc/66/88/45/660668845.db2.gz AJHFJAOUYMLZLG-ZDUSSCGKSA-N 0 1 324.877 3.270 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc3c2CCCC3)C1 ZINC001033850209 660670470 /nfs/dbraw/zinc/67/04/70/660670470.db2.gz LQZSDHPIELZYAU-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3nccc(C)c3c2)C1 ZINC001033850210 660670649 /nfs/dbraw/zinc/67/06/49/660670649.db2.gz LTBLFEQGIRBVDZ-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001033862210 660672541 /nfs/dbraw/zinc/67/25/41/660672541.db2.gz YYGOCNOGTLRGMX-GUDVDZBRSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCC=CCCC2)C1 ZINC001033874452 660674561 /nfs/dbraw/zinc/67/45/61/660674561.db2.gz YVYDDLHJLUFCLP-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC=CCCC2)C1 ZINC001033874452 660674562 /nfs/dbraw/zinc/67/45/62/660674562.db2.gz YVYDDLHJLUFCLP-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001033880031 660674878 /nfs/dbraw/zinc/67/48/78/660674878.db2.gz YCCFHXCFTIUXGS-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001033880031 660674881 /nfs/dbraw/zinc/67/48/81/660674881.db2.gz YCCFHXCFTIUXGS-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(-n3cccc3)c2)C1 ZINC001033888160 660675722 /nfs/dbraw/zinc/67/57/22/660675722.db2.gz QDDXFHUSNSCYGW-IBGZPJMESA-N 0 1 323.440 3.200 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc(F)ccc2Cl)C1 ZINC001033965577 660685978 /nfs/dbraw/zinc/68/59/78/660685978.db2.gz SSNDGDDUZNSIJR-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001033917392 660680199 /nfs/dbraw/zinc/68/01/99/660680199.db2.gz VLQDYLWUZNZDTB-INIZCTEOSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2sc(CC)nc2C)C1 ZINC001033986004 660689744 /nfs/dbraw/zinc/68/97/44/660689744.db2.gz LFPZKYPBYLBTHE-CQSZACIVSA-N 0 1 321.490 3.126 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001033980471 660690258 /nfs/dbraw/zinc/69/02/58/660690258.db2.gz TVNFJKFJTKVLEO-LSDHHAIUSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2csc(C(C)(C)C)n2)C1 ZINC001033992695 660690875 /nfs/dbraw/zinc/69/08/75/660690875.db2.gz WLPANNSDRPGFNK-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cnc(C3CC3)s2)C1 ZINC001034021497 660694006 /nfs/dbraw/zinc/69/40/06/660694006.db2.gz YODKKJVWVPORTC-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2sccc2COC)C1 ZINC001034019663 660694361 /nfs/dbraw/zinc/69/43/61/660694361.db2.gz WJRZLECGKPYPFY-HNNXBMFYSA-N 0 1 322.474 3.007 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001034049933 660701873 /nfs/dbraw/zinc/70/18/73/660701873.db2.gz XZASZIYGKQDHQV-VQIMIIECSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001034050735 660702465 /nfs/dbraw/zinc/70/24/65/660702465.db2.gz DUZMVIMYMOSORF-BBRMVZONSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3ncsc3c2)C1 ZINC001034033786 660696864 /nfs/dbraw/zinc/69/68/64/660696864.db2.gz JEBHXFQGRSSMNS-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(F)cc2Cl)C1 ZINC001034036070 660697695 /nfs/dbraw/zinc/69/76/95/660697695.db2.gz RLSPXLWVVKIVNX-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001034207946 660723625 /nfs/dbraw/zinc/72/36/25/660723625.db2.gz QIBHYDFVHZSRGN-OTWHNJEPSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001034208747 660723785 /nfs/dbraw/zinc/72/37/85/660723785.db2.gz FKTRXZXQOIEWSK-UFYCRDLUSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC001034263604 660730307 /nfs/dbraw/zinc/73/03/07/660730307.db2.gz ZPIKCFKWWJNXAJ-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2cc(COC)cs2)C1 ZINC001034292044 660733305 /nfs/dbraw/zinc/73/33/05/660733305.db2.gz PKQYJWHKNFSSGE-HNNXBMFYSA-N 0 1 322.474 3.055 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2CCCCCCC2)C1 ZINC001034304799 660734904 /nfs/dbraw/zinc/73/49/04/660734904.db2.gz YBLSLGPOXGCUKR-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC001034330572 660738302 /nfs/dbraw/zinc/73/83/02/660738302.db2.gz OIBYQAYADMIYPL-QGZVFWFLSA-N 0 1 310.441 3.090 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001034340324 660738957 /nfs/dbraw/zinc/73/89/57/660738957.db2.gz NMELULPUQPOQIZ-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001034346181 660740675 /nfs/dbraw/zinc/74/06/75/660740675.db2.gz XWSPICDHOFSWIB-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCCN(C/C=C\Cl)C2)cc1 ZINC001034345595 660740689 /nfs/dbraw/zinc/74/06/89/660740689.db2.gz VGKGHOWDJPTNNX-KPFINFFTSA-N 0 1 316.832 3.005 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001034377888 660745018 /nfs/dbraw/zinc/74/50/18/660745018.db2.gz NGMVAMZGWGADLV-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001034377888 660745020 /nfs/dbraw/zinc/74/50/20/660745020.db2.gz NGMVAMZGWGADLV-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001034381270 660745687 /nfs/dbraw/zinc/74/56/87/660745687.db2.gz LFIPGCDZXYPBHS-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001034431067 660752669 /nfs/dbraw/zinc/75/26/69/660752669.db2.gz FLVXMVSFDYCCTB-GNZRSQJKSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001034420362 660751598 /nfs/dbraw/zinc/75/15/98/660751598.db2.gz HIFSDFPFOIAUFF-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC001034496093 660760340 /nfs/dbraw/zinc/76/03/40/660760340.db2.gz GKGNYXQPMFNNFF-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001034507975 660763175 /nfs/dbraw/zinc/76/31/75/660763175.db2.gz WJFBPQPSQYNAAT-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001034507975 660763177 /nfs/dbraw/zinc/76/31/77/660763177.db2.gz WJFBPQPSQYNAAT-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C#CCCN1CCCC[C@@H](NC(=O)c2ccc(Cl)s2)C1 ZINC001034511929 660764519 /nfs/dbraw/zinc/76/45/19/660764519.db2.gz GMKMDNUXIUBAPD-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(Cl)ccc2OC)C1 ZINC001034513212 660765073 /nfs/dbraw/zinc/76/50/73/660765073.db2.gz WDQVOIXMCRAHFM-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001034527330 660767214 /nfs/dbraw/zinc/76/72/14/660767214.db2.gz HDKKLVHIQLLPRD-AWEZNQCLSA-N 0 1 321.490 3.345 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001034533679 660767871 /nfs/dbraw/zinc/76/78/71/660767871.db2.gz WUOMRLQSETUSHB-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001034548043 660772578 /nfs/dbraw/zinc/77/25/78/660772578.db2.gz ZZKCCWALVIGQGR-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(C)cc(Cl)c2)CC1 ZINC001005712395 660792429 /nfs/dbraw/zinc/79/24/29/660792429.db2.gz CTTQSCCZNPUSLX-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C2CCCCCCC2)C1 ZINC001035440984 660837860 /nfs/dbraw/zinc/83/78/60/660837860.db2.gz SXFRBMTWMVUTOO-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H]1C[C@H]1c1ccccc1)CC2 ZINC001035709056 660846074 /nfs/dbraw/zinc/84/60/74/660846074.db2.gz NMECNSSQVPTGPD-OALUTQOASA-N 0 1 324.468 3.291 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc([C@H]3C[C@@H]3C)o1)CC2 ZINC001035707543 660846224 /nfs/dbraw/zinc/84/62/24/660846224.db2.gz OTZOQLRPDSLJKQ-GJZGRUSLSA-N 0 1 314.429 3.127 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCc3ccccc31)CC2 ZINC001035733418 660848007 /nfs/dbraw/zinc/84/80/07/660848007.db2.gz BWQMTSKSIYOHFD-IBGZPJMESA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCC[C@@H]1CC)CC2 ZINC001035734042 660848194 /nfs/dbraw/zinc/84/81/94/660848194.db2.gz NQVKSVNZUJILRD-WMZOPIPTSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccc(C3CCC3)c1)CC2 ZINC001035835839 660857259 /nfs/dbraw/zinc/85/72/59/660857259.db2.gz CDRIHSUREOSAOW-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(C(C)(C)C)cc1)CC2 ZINC001035840973 660858142 /nfs/dbraw/zinc/85/81/42/660858142.db2.gz WCMYCRAJECVOSO-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C)c(Cl)c2)CC1 ZINC001005934854 660951173 /nfs/dbraw/zinc/95/11/73/660951173.db2.gz PSBPDHGLLYOBIW-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC001006051711 660959698 /nfs/dbraw/zinc/95/96/98/660959698.db2.gz HHDXCJODSARBJI-BWTSREIZSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CC2CCCCCC2)CC1 ZINC001006057367 660959976 /nfs/dbraw/zinc/95/99/76/660959976.db2.gz LMGSVCNSNCJPMG-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN Cc1nccc(NCc2ccc(N(C)CCC#N)cc2)c1Cl ZINC000792692339 660980017 /nfs/dbraw/zinc/98/00/17/660980017.db2.gz UFBVTPPUZZJFPR-UHFFFAOYSA-N 0 1 314.820 3.427 20 30 DGEDMN COC(=O)c1ccc(Br)c(N=NCc2ccc[nH]2)c1 ZINC000793113290 661005589 /nfs/dbraw/zinc/00/55/89/661005589.db2.gz KLCJIJYCUAKOPO-UHFFFAOYSA-N 0 1 322.162 3.010 20 30 DGEDMN CCc1oc(CN=Nc2cccc(Cl)n2)cc1C(=O)OC ZINC000793164005 661009003 /nfs/dbraw/zinc/00/90/03/661009003.db2.gz PFRONGNBQFJWQO-UHFFFAOYSA-N 0 1 307.737 3.123 20 30 DGEDMN COc1cc(C=NNc2cccc(Cl)n2)ccc1OC(C)=O ZINC000793174953 661010372 /nfs/dbraw/zinc/01/03/72/661010372.db2.gz ONNSEICQCLGLAA-UHFFFAOYSA-N 0 1 319.748 3.115 20 30 DGEDMN CCNc1ccc(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000793210971 661013336 /nfs/dbraw/zinc/01/33/36/661013336.db2.gz ZZQOWLMVLMAFIY-GFCCVEGCSA-N 0 1 300.749 3.052 20 30 DGEDMN CN(Cn1cc2c(c(C#N)c1=O)CCCC2)[C@H]1CCC(C)(C)C1 ZINC000793515269 661040285 /nfs/dbraw/zinc/04/02/85/661040285.db2.gz IQRCKKBSVXYMHI-HNNXBMFYSA-N 0 1 313.445 3.067 20 30 DGEDMN CCCCCN(Cn1cc2c(c(C#N)c1=O)CCCC2)C(C)C ZINC000793486123 661038006 /nfs/dbraw/zinc/03/80/06/661038006.db2.gz IZNQKHYKKDVYKL-UHFFFAOYSA-N 0 1 315.461 3.457 20 30 DGEDMN FC(F)c1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1 ZINC000794471625 661086407 /nfs/dbraw/zinc/08/64/07/661086407.db2.gz HDBGRJJSRWXNHL-UHFFFAOYSA-N 0 1 322.262 3.405 20 30 DGEDMN Cc1cnn(C)c1C=CC(=O)c1[nH]c(-c2ccccc2)nc1C ZINC000794698357 661099658 /nfs/dbraw/zinc/09/96/58/661099658.db2.gz JMBMAELUWYCSKV-KTKRTIGZSA-N 0 1 306.369 3.323 20 30 DGEDMN CC(=NNc1ncccc1C)c1ccc(Br)cn1 ZINC000794534476 661089455 /nfs/dbraw/zinc/08/94/55/661089455.db2.gz IREUPABWHJMDCU-UHFFFAOYSA-N 0 1 305.179 3.384 20 30 DGEDMN C#C[C@H](N[C@@H]1CCCc2nn(-c3ccccc3)cc21)[C@H]1CCCO1 ZINC000794812787 661106605 /nfs/dbraw/zinc/10/66/05/661106605.db2.gz GIYKRWJAIRVIMZ-NLWGTHIKSA-N 0 1 321.424 3.020 20 30 DGEDMN C[C@H]1COC(=O)C1N=Nc1nc(Cl)c(Cl)cc1Cl ZINC000794872789 661111024 /nfs/dbraw/zinc/11/10/24/661111024.db2.gz LLCYLZXEFLJRLW-BYPYZUCNSA-N 0 1 308.552 3.003 20 30 DGEDMN Cc1cc(C)n(-c2nncn2N=CC2CCC(C(C)C)CC2)n1 ZINC000794887177 661111678 /nfs/dbraw/zinc/11/16/78/661111678.db2.gz SJQCIOSLRVETFR-UHFFFAOYSA-N 0 1 314.437 3.377 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1ccc2n[nH]cc2c1 ZINC000794896142 661112435 /nfs/dbraw/zinc/11/24/35/661112435.db2.gz VWNLZTSMPUOYNM-UHFFFAOYSA-N 0 1 304.357 3.286 20 30 DGEDMN COc1ccc(CNc2cc[nH+]c3c([O-])cccc23)cc1C#N ZINC000795119987 661127600 /nfs/dbraw/zinc/12/76/00/661127600.db2.gz BPOLVPFMDRDLEI-UHFFFAOYSA-N 0 1 305.337 3.433 20 30 DGEDMN CCOC(=O)c1scnc1/C=C/C(=O)c1ccc(O)c(F)c1 ZINC000794942393 661115582 /nfs/dbraw/zinc/11/55/82/661115582.db2.gz MHQGTNYXCRXGLP-GQCTYLIASA-N 0 1 321.329 3.061 20 30 DGEDMN CN(C)c1ccc(C=NNCc2ccccc2N(C)C)cc1F ZINC000794952353 661116289 /nfs/dbraw/zinc/11/62/89/661116289.db2.gz OEAKMWQZPXCMAH-UHFFFAOYSA-N 0 1 314.408 3.081 20 30 DGEDMN Cc1nc(-c2cccnc2)sc1C(C)N=Nc1cncnc1 ZINC000794978967 661118562 /nfs/dbraw/zinc/11/85/62/661118562.db2.gz QKLTZUMKFSSOFF-UHFFFAOYSA-N 0 1 310.386 3.140 20 30 DGEDMN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN=Nc1cncnc1 ZINC000794978581 661118610 /nfs/dbraw/zinc/11/86/10/661118610.db2.gz JYVJISNPZSASJW-UHFFFAOYSA-N 0 1 320.400 3.093 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc2ccccc2o1 ZINC000969133523 655526992 /nfs/dbraw/zinc/52/69/92/655526992.db2.gz OHCKCFFSKHNPTB-INIZCTEOSA-N 0 1 310.397 3.040 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC000969156048 655529125 /nfs/dbraw/zinc/52/91/25/655529125.db2.gz IKBFUSWQRUZQEH-KRWDZBQOSA-N 0 1 324.468 3.091 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC000969583950 655551498 /nfs/dbraw/zinc/55/14/98/655551498.db2.gz DIUKCHPTYCJNEA-CQSZACIVSA-N 0 1 306.837 3.243 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2oc(C(C)C)nc2C)C1 ZINC000969587823 655551783 /nfs/dbraw/zinc/55/17/83/655551783.db2.gz WRGVQYPLBXXSMO-CQSZACIVSA-N 0 1 319.449 3.009 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2scc(C)c2Cl)C1 ZINC000969741129 655556514 /nfs/dbraw/zinc/55/65/14/655556514.db2.gz ZGSNXAUQPXCGCG-NSHDSACASA-N 0 1 312.866 3.336 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2c(F)cccc2F)CC1 ZINC000949306346 655567524 /nfs/dbraw/zinc/56/75/24/655567524.db2.gz ZVMZAPCYZCILAY-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc(F)cc3ccoc32)C1 ZINC000970006088 655567691 /nfs/dbraw/zinc/56/76/91/655567691.db2.gz YVIQCALTHXZXTK-GFCCVEGCSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC000966352861 655583770 /nfs/dbraw/zinc/58/37/70/655583770.db2.gz CJVJKUNORAJEAA-HNAYVOBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC000970235071 655589791 /nfs/dbraw/zinc/58/97/91/655589791.db2.gz JFCBJZRIAYPLEF-NUJGCVRESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3occc3s2)C1 ZINC000970414579 655598152 /nfs/dbraw/zinc/59/81/52/655598152.db2.gz LRDKFNYJLXJSRZ-LLVKDONJSA-N 0 1 304.415 3.121 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C(C)(C)F)C1 ZINC001039178535 655601113 /nfs/dbraw/zinc/60/11/13/655601113.db2.gz RVIRVSTVFHIUFO-UONOGXRCSA-N 0 1 316.848 3.190 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC000970672259 655611785 /nfs/dbraw/zinc/61/17/85/655611785.db2.gz IDVBZHGCRMMRJV-AWEZNQCLSA-N 0 1 311.429 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CC(C)C)cc2)C1 ZINC000970683906 655612843 /nfs/dbraw/zinc/61/28/43/655612843.db2.gz XKXZZTUIAMPSIZ-QGZVFWFLSA-N 0 1 320.864 3.442 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc3ccsc32)C1 ZINC000970692102 655613182 /nfs/dbraw/zinc/61/31/82/655613182.db2.gz CFDHOFVLMPLKMV-LBPRGKRZSA-N 0 1 300.427 3.137 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC000970740690 655615604 /nfs/dbraw/zinc/61/56/04/655615604.db2.gz MAHPRHFQZHOCJF-INIZCTEOSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC000970752638 655617115 /nfs/dbraw/zinc/61/71/15/655617115.db2.gz VBKBQZOUIQZTSK-CQSZACIVSA-N 0 1 312.413 3.286 20 30 DGEDMN CCCC(=O)N1CCC[C@@H]2CN(CC#Cc3ccccc3)CC[C@H]21 ZINC001021499839 655620726 /nfs/dbraw/zinc/62/07/26/655620726.db2.gz PDKPNFLMJAUBND-WOJBJXKFSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC000970861586 655630985 /nfs/dbraw/zinc/63/09/85/655630985.db2.gz SJTZFSKVLSRUSK-OLZOCXBDSA-N 0 1 318.486 3.109 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC000970939142 655639922 /nfs/dbraw/zinc/63/99/22/655639922.db2.gz UTVMRQWEBRDSAF-DNVCBOLYSA-N 0 1 312.457 3.119 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1ccc(O)c(Cl)c1 ZINC000068465811 655642938 /nfs/dbraw/zinc/64/29/38/655642938.db2.gz CHLIIUZKTJTARC-UHFFFAOYSA-N 0 1 317.747 3.460 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC000970990033 655647928 /nfs/dbraw/zinc/64/79/28/655647928.db2.gz TVJVJJMNNKCREF-LLVKDONJSA-N 0 1 318.804 3.322 20 30 DGEDMN C#CCC1(NCc2cccc([N+](=O)[O-])c2Br)CCC1 ZINC000933294452 655697459 /nfs/dbraw/zinc/69/74/59/655697459.db2.gz KBFRMRGEWCIJTN-UHFFFAOYSA-N 0 1 323.190 3.393 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc3c(cc(C)cc3C)[nH]2)CC1 ZINC000949525881 655698341 /nfs/dbraw/zinc/69/83/41/655698341.db2.gz OQKAIBYACCDSND-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN COc1ccccc1C/C(C)=C\C(=O)Nc1cc(C#N)ccc1O ZINC000255385564 655724099 /nfs/dbraw/zinc/72/40/99/655724099.db2.gz OQKYDJANVRXLIT-RAXLEYEMSA-N 0 1 322.364 3.400 20 30 DGEDMN N#CC1(CCN2CCOC[C@@H]2C[C@H]2CCCO2)CCCCC1 ZINC000933683061 655730106 /nfs/dbraw/zinc/73/01/06/655730106.db2.gz GRHKZWANRMYJEN-DLBZAZTESA-N 0 1 306.450 3.120 20 30 DGEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000972014729 655754455 /nfs/dbraw/zinc/75/44/55/655754455.db2.gz OLWXOTFYGMOLFN-INIZCTEOSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000972014729 655754456 /nfs/dbraw/zinc/75/44/56/655754456.db2.gz OLWXOTFYGMOLFN-INIZCTEOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC000972244247 655782333 /nfs/dbraw/zinc/78/23/33/655782333.db2.gz BMDPBQXFIHFCNL-MJGOQNOKSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CCCc2cccc(C)c2)CC1 ZINC000949667161 655790704 /nfs/dbraw/zinc/79/07/04/655790704.db2.gz OFCDHQUCEAVFAM-UHFFFAOYSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C(F)F)ccc1F ZINC001038254432 655812057 /nfs/dbraw/zinc/81/20/57/655812057.db2.gz WLQXIKHFERAQLC-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN Cc1cccc(OCCCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000176814092 655847319 /nfs/dbraw/zinc/84/73/19/655847319.db2.gz YTTIWLDNDJGSSI-UHFFFAOYSA-N 0 1 310.353 3.370 20 30 DGEDMN C=CCN(CCNC(=O)OC(C)(C)C)Cc1cccc(C#N)c1 ZINC000176956100 655884398 /nfs/dbraw/zinc/88/43/98/655884398.db2.gz KFKHPCYTVWDNOH-UHFFFAOYSA-N 0 1 315.417 3.071 20 30 DGEDMN CC1(C)CCC[C@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000179381606 655891577 /nfs/dbraw/zinc/89/15/77/655891577.db2.gz OHLDJNGOQHETRP-JKSUJKDBSA-N 0 1 312.413 3.228 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)/C=C/c2ccc3ccccc3n2)c1 ZINC000179739351 655912682 /nfs/dbraw/zinc/91/26/82/655912682.db2.gz NGGXMYRHYTWGQT-CSKARUKUSA-N 0 1 315.332 3.464 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(F)cc2ccoc21 ZINC001024519403 655913073 /nfs/dbraw/zinc/91/30/73/655913073.db2.gz ULVCVQRRRRRSQI-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN COc1ccc(C[C@@H](C)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000179743175 655913112 /nfs/dbraw/zinc/91/31/12/655913112.db2.gz QMYAMVGTOIKPIR-GFCCVEGCSA-N 0 1 310.353 3.090 20 30 DGEDMN Cc1cc(OC(F)F)c(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000179742945 655913152 /nfs/dbraw/zinc/91/31/52/655913152.db2.gz FJTJSQBFMRGANY-UHFFFAOYSA-N 0 1 324.308 3.488 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001024542102 655915080 /nfs/dbraw/zinc/91/50/80/655915080.db2.gz TVXFBJJYOFYHPB-MRXNPFEDSA-N 0 1 323.440 3.084 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC001024573543 655919462 /nfs/dbraw/zinc/91/94/62/655919462.db2.gz PIMSHRVKWVUKHB-SFHVURJKSA-N 0 1 324.468 3.480 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cc2c(cc(C)cc2C)[nH]1 ZINC001024579067 655920038 /nfs/dbraw/zinc/92/00/38/655920038.db2.gz FCHOACLPPVQBBQ-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)nc1C(C)C ZINC001024579790 655920098 /nfs/dbraw/zinc/92/00/98/655920098.db2.gz LNDRSQJTSLTKGV-INIZCTEOSA-N 0 1 315.461 3.284 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCCCN2C[C@H](F)CC)CC1 ZINC001024634935 655926302 /nfs/dbraw/zinc/92/63/02/655926302.db2.gz ZCEKRNCDEOWHEW-IAGOWNOFSA-N 0 1 310.457 3.452 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(F)c2ccccc12 ZINC001024672410 655928745 /nfs/dbraw/zinc/92/87/45/655928745.db2.gz WJBRQJJXAXPFFP-HNNXBMFYSA-N 0 1 324.399 3.196 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001024673592 655928847 /nfs/dbraw/zinc/92/88/47/655928847.db2.gz NDTQJSBHRCIWJB-YRXWBPOGSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc([C@@H](C)CC)no1 ZINC001024697180 655931344 /nfs/dbraw/zinc/93/13/44/655931344.db2.gz RQSIOHNCBFVZSO-GJZGRUSLSA-N 0 1 319.449 3.349 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc2ccsc21 ZINC001024740489 655934190 /nfs/dbraw/zinc/93/41/90/655934190.db2.gz WLYRODSGBPNDST-OAHLLOKOSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001024762629 655936455 /nfs/dbraw/zinc/93/64/55/655936455.db2.gz TYKSPMAKVMJILP-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(OC(C)C)cc1 ZINC001024788404 655938974 /nfs/dbraw/zinc/93/89/74/655938974.db2.gz JCVXVMUQCGLGSM-QGZVFWFLSA-N 0 1 316.445 3.244 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1oc2ccccc2c1C ZINC001024790548 655940004 /nfs/dbraw/zinc/94/00/04/655940004.db2.gz LPVDCZCDDVMHSG-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1sccc1C(C)C ZINC001024810064 655940778 /nfs/dbraw/zinc/94/07/78/655940778.db2.gz ASKRYNAAJXQBRG-HNNXBMFYSA-N 0 1 318.486 3.479 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1sccc1C(C)C ZINC001024810064 655940779 /nfs/dbraw/zinc/94/07/79/655940779.db2.gz ASKRYNAAJXQBRG-HNNXBMFYSA-N 0 1 318.486 3.479 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001024804656 655940972 /nfs/dbraw/zinc/94/09/72/655940972.db2.gz XJNGJDMRPBWHGU-QGZVFWFLSA-N 0 1 323.440 3.452 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)C)s1 ZINC001024819253 655941501 /nfs/dbraw/zinc/94/15/01/655941501.db2.gz NDZSBAWYVPLPCA-OAHLLOKOSA-N 0 1 318.486 3.479 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCC(C)(C)C1 ZINC001024820502 655941894 /nfs/dbraw/zinc/94/18/94/655941894.db2.gz ZVUSKEYCWLHXMZ-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001025070072 655963458 /nfs/dbraw/zinc/96/34/58/655963458.db2.gz TWLCOMLNBNXVLO-FUHWJXTLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001025069308 655963823 /nfs/dbraw/zinc/96/38/23/655963823.db2.gz HPSFGVOLEONVNS-MAUKXSAKSA-N 0 1 319.449 3.056 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cccc3c2OCCO3)c(O)c1 ZINC000255909037 655965681 /nfs/dbraw/zinc/96/56/81/655965681.db2.gz LYJJAWJHRVDAFD-YVMONPNESA-N 0 1 312.321 3.068 20 30 DGEDMN C#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000180736041 655968642 /nfs/dbraw/zinc/96/86/42/655968642.db2.gz DCRGUAUAALKDGD-AWEZNQCLSA-N 0 1 302.761 3.181 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1sccc1C ZINC001025184494 655973498 /nfs/dbraw/zinc/97/34/98/655973498.db2.gz POCRZDFTIRWLAX-HOTGVXAUSA-N 0 1 316.470 3.149 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ccc(Cl)o1 ZINC001025199317 655976404 /nfs/dbraw/zinc/97/64/04/655976404.db2.gz VQNHRHDIXHXWMV-UONOGXRCSA-N 0 1 320.820 3.025 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1occc1Cl ZINC001025264457 655986233 /nfs/dbraw/zinc/98/62/33/655986233.db2.gz AJVYEKAQIRXHCB-HUUCEWRRSA-N 0 1 320.820 3.025 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2ccsc2)C1 ZINC001025327947 655994337 /nfs/dbraw/zinc/99/43/37/655994337.db2.gz FOEDPFHYKBPGMZ-QWHCGFSZSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CC[C@H](C(F)(F)F)C2)CC1 ZINC000949892612 656002436 /nfs/dbraw/zinc/00/24/36/656002436.db2.gz YPQMXCOZUWCNAU-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2cc[nH]c2CC)C1 ZINC001025412840 656007732 /nfs/dbraw/zinc/00/77/32/656007732.db2.gz ZVOUHTFCBVVBPE-UONOGXRCSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001019817968 656064818 /nfs/dbraw/zinc/06/48/18/656064818.db2.gz NLMPOFZKAHLWHW-AWEZNQCLSA-N 0 1 318.804 3.298 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)c2ccccc2C)C1 ZINC001019881000 656065491 /nfs/dbraw/zinc/06/54/91/656065491.db2.gz SXOIMTFVUYBHGE-HNNXBMFYSA-N 0 1 320.864 3.216 20 30 DGEDMN C=C(C)CC[N@H+]1CCC[C@@H](NC(=O)c2coc(C(F)F)c2)C1 ZINC001008317333 656141939 /nfs/dbraw/zinc/14/19/39/656141939.db2.gz PXPOVXUWFYXSLW-CYBMUJFWSA-N 0 1 312.360 3.378 20 30 DGEDMN C[C@@](O)(CN1CCC2(CC2(F)F)CC1)C(F)(F)C(F)(F)F ZINC000930852138 656144133 /nfs/dbraw/zinc/14/41/33/656144133.db2.gz AOUBHTKYJKDXRI-MRVPVSSYSA-N 0 1 323.252 3.056 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2)C[C@@H]1C ZINC000947685655 656146291 /nfs/dbraw/zinc/14/62/91/656146291.db2.gz OBWARXOKEWTIFV-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCc1cccc(C(C)C)c1NC(=O)NCc1n[nH]c(C)n1 ZINC000931058645 656166822 /nfs/dbraw/zinc/16/68/22/656166822.db2.gz CFHGIXWPAZQCSD-UHFFFAOYSA-N 0 1 313.405 3.287 20 30 DGEDMN COC(=O)[C@@]1(NCC2CCC(C#N)CC2)CCCC(C)(C)C1 ZINC000931173373 656181315 /nfs/dbraw/zinc/18/13/15/656181315.db2.gz KUGKRFXLSMBSKE-JTTJXQCZSA-N 0 1 306.450 3.418 20 30 DGEDMN CN(CCC#N)c1ccc(-c2nc3ccc(C#N)cc3[nH]2)cc1 ZINC000123819343 656183778 /nfs/dbraw/zinc/18/37/78/656183778.db2.gz VHFXSWWEJCTLLP-UHFFFAOYSA-N 0 1 301.353 3.451 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C(F)F)nc2)C(C)(C)C1 ZINC000974646564 656218409 /nfs/dbraw/zinc/21/84/09/656218409.db2.gz VMJOCEDPDAXSKE-AWEZNQCLSA-N 0 1 323.387 3.036 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000974688757 656222114 /nfs/dbraw/zinc/22/21/14/656222114.db2.gz ILXIELKZKWLKHK-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C)CCCCCC2)C(C)(C)C1 ZINC000974689708 656222407 /nfs/dbraw/zinc/22/24/07/656222407.db2.gz LQQLDVLQUMXKJQ-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)C(C)(C)C1 ZINC000974733953 656225950 /nfs/dbraw/zinc/22/59/50/656225950.db2.gz IYKDMGCEJTVNCV-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2nc3ccc(Br)cc3[nH]2)C1 ZINC000847021115 661238940 /nfs/dbraw/zinc/23/89/40/661238940.db2.gz JCYMFRKSEBXJPS-LLVKDONJSA-N 0 1 318.218 3.171 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)C(C)(C)C1 ZINC000974806217 656230452 /nfs/dbraw/zinc/23/04/52/656230452.db2.gz AQZBIWITMMNXMI-OAHLLOKOSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C(C)(C)C1 ZINC000974855648 656234872 /nfs/dbraw/zinc/23/48/72/656234872.db2.gz OUEHSLXZYVEGSK-YVSFHVDLSA-N 0 1 304.478 3.215 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C(C)(C)C1 ZINC000974893990 656238822 /nfs/dbraw/zinc/23/88/22/656238822.db2.gz JSWJTHSDZKLPPT-INIZCTEOSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000975001540 656247376 /nfs/dbraw/zinc/24/73/76/656247376.db2.gz BPYDZNBOFDHADI-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CC[N@H+]1C[C@H](NC(=O)c2ccccc2-n2cccc2)C(C)(C)C1 ZINC000974988874 656248865 /nfs/dbraw/zinc/24/88/65/656248865.db2.gz RJTOWBAULUGENI-SFHVURJKSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2-n2cccc2)C(C)(C)C1 ZINC000974988874 656248866 /nfs/dbraw/zinc/24/88/66/656248866.db2.gz RJTOWBAULUGENI-SFHVURJKSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2C)C(C)(C)C1 ZINC000975006417 656249049 /nfs/dbraw/zinc/24/90/49/656249049.db2.gz LDRIURNLLZZKIJ-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2cccs2)C(C)(C)C1 ZINC000975032247 656251986 /nfs/dbraw/zinc/25/19/86/656251986.db2.gz VMJYJWYMBVDXIN-DZGCQCFKSA-N 0 1 306.475 3.254 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C(C)C)cn2)C(C)(C)C1 ZINC000975050329 656253750 /nfs/dbraw/zinc/25/37/50/656253750.db2.gz LZIXWCXFWNDEAT-KRWDZBQOSA-N 0 1 315.461 3.221 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)cc(Cl)c2)C(C)(C)C1 ZINC000975101295 656256061 /nfs/dbraw/zinc/25/60/61/656256061.db2.gz YJPPQYGSRQNDRI-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(C)cc2OC)C(C)(C)C1 ZINC000975106330 656256558 /nfs/dbraw/zinc/25/65/58/656256558.db2.gz FZKHQGHIPUJOQF-CQSZACIVSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(F)ccc2Cl)C(C)(C)C1 ZINC000975105159 656256695 /nfs/dbraw/zinc/25/66/95/656256695.db2.gz KNNYPJKUQQUEPI-HNNXBMFYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CC[N@H+]1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)C(C)(C)C1 ZINC000975098378 656257351 /nfs/dbraw/zinc/25/73/51/656257351.db2.gz DMFUOPBBYZOWDR-MRXNPFEDSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)C(C)(C)C1 ZINC000975098378 656257353 /nfs/dbraw/zinc/25/73/53/656257353.db2.gz DMFUOPBBYZOWDR-MRXNPFEDSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(Cl)cccc2OC)C1 ZINC001009626468 656304063 /nfs/dbraw/zinc/30/40/63/656304063.db2.gz IKNOVXQOCKLQAH-CYBMUJFWSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC001009665215 656308637 /nfs/dbraw/zinc/30/86/37/656308637.db2.gz ALGPFBJSOKKHDF-CQSZACIVSA-N 0 1 304.459 3.089 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C(C)(C)C1 ZINC000977287341 656320892 /nfs/dbraw/zinc/32/08/92/656320892.db2.gz CBVACPGBHVGQDI-FMKPAKJESA-N 0 1 318.486 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C(C)(C)C1 ZINC000977326980 656322808 /nfs/dbraw/zinc/32/28/08/656322808.db2.gz MRABRFWJINHTKM-LJQANCHMSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2cc(C)oc2C)C(C)(C)C1 ZINC000977347609 656323849 /nfs/dbraw/zinc/32/38/49/656323849.db2.gz IVGKPNHTZADMDP-CJNGLKHVSA-N 0 1 304.434 3.013 20 30 DGEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000977358048 656324678 /nfs/dbraw/zinc/32/46/78/656324678.db2.gz WAELJYRPRYQXEO-HNNXBMFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2ncccc2C)C1 ZINC001027522195 656329656 /nfs/dbraw/zinc/32/96/56/656329656.db2.gz UGAJABZNIAOIRT-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncsc2C(C)C)C(C)(C)C1 ZINC000977441555 656332249 /nfs/dbraw/zinc/33/22/49/656332249.db2.gz GIDDFJFVNHCCII-ZDUSSCGKSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)csc2Cl)C(C)(C)C1 ZINC000977514641 656337835 /nfs/dbraw/zinc/33/78/35/656337835.db2.gz ORSGTDMRTCYCAV-LLVKDONJSA-N 0 1 312.866 3.336 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cc(C)cc2C)C(C)(C)C1 ZINC000977555509 656341870 /nfs/dbraw/zinc/34/18/70/656341870.db2.gz ZJHCGOWQISHECE-QGZVFWFLSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc3c2CCCC3)C(C)(C)C1 ZINC000977575335 656344304 /nfs/dbraw/zinc/34/43/04/656344304.db2.gz LWFMPJCRDATTPW-INIZCTEOSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(C3CC3)n2)C(C)(C)C1 ZINC000977642746 656351775 /nfs/dbraw/zinc/35/17/75/656351775.db2.gz SWGODYSRGGGCKV-CQSZACIVSA-N 0 1 319.474 3.037 20 30 DGEDMN CC#CC[N@H+]1C[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657262 656353569 /nfs/dbraw/zinc/35/35/69/656353569.db2.gz YIFFARGMGWTRCE-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657262 656353571 /nfs/dbraw/zinc/35/35/71/656353571.db2.gz YIFFARGMGWTRCE-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001027560099 656359194 /nfs/dbraw/zinc/35/91/94/656359194.db2.gz PSEDWVPXUIZTLM-IEBWSBKVSA-N 0 1 315.461 3.107 20 30 DGEDMN C[C@H](C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001052282526 656425224 /nfs/dbraw/zinc/42/52/24/656425224.db2.gz OEMGQQDQKZLLOB-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001052589875 656447342 /nfs/dbraw/zinc/44/73/42/656447342.db2.gz QIKUNKQZIPASQP-JYYAWHABSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2CC3(CCC3)C2)CC1 ZINC001052631372 656451155 /nfs/dbraw/zinc/45/11/55/656451155.db2.gz SUIZGJJYIQDPLE-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001039377216 656489597 /nfs/dbraw/zinc/48/95/97/656489597.db2.gz LICYBDILBUDELN-VVLHAWIVSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cc(C)cn2c1 ZINC001039384634 656491632 /nfs/dbraw/zinc/49/16/32/656491632.db2.gz GESNJPCGDSFCEG-MJGOQNOKSA-N 0 1 323.440 3.113 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(F)c2ccccc2c1 ZINC001027923203 656493291 /nfs/dbraw/zinc/49/32/91/656493291.db2.gz SHXQIIFUSVDZEW-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2n1C ZINC001039425894 656499077 /nfs/dbraw/zinc/49/90/77/656499077.db2.gz CWBNYDFGCRYOGF-SJORKVTESA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2ccncc2s1 ZINC001027929313 656500440 /nfs/dbraw/zinc/50/04/40/656500440.db2.gz DLPMBEQRFKUYRB-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ccsc1)C2 ZINC001048498964 656501976 /nfs/dbraw/zinc/50/19/76/656501976.db2.gz LEBILQYQFJKJDI-YOWGUQMCSA-N 0 1 324.877 3.473 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccccc1C ZINC001039442526 656502172 /nfs/dbraw/zinc/50/21/72/656502172.db2.gz LMSNWBYCAYISCK-UXHICEINSA-N 0 1 324.468 3.016 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccsc1Cl ZINC001039447575 656503844 /nfs/dbraw/zinc/50/38/44/656503844.db2.gz SYDMBUXUERAKHR-NEPJUHHUSA-N 0 1 310.850 3.266 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CC1CCCCC1 ZINC001039446552 656504120 /nfs/dbraw/zinc/50/41/20/656504120.db2.gz KFRHGHUTNBEGPI-QRQLOZEOSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)c(C)s1 ZINC001039467514 656508876 /nfs/dbraw/zinc/50/88/76/656508876.db2.gz NPFJUJYQGIFUTL-CVEARBPZSA-N 0 1 318.486 3.484 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sc(C)nc1C ZINC001039468027 656509552 /nfs/dbraw/zinc/50/95/52/656509552.db2.gz QEQIZPWCTGKQDG-CABCVRRESA-N 0 1 319.474 3.015 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCc2ccccc21 ZINC001039475661 656511518 /nfs/dbraw/zinc/51/15/18/656511518.db2.gz BRGKDHCTKSBKKM-LVCYWYKZSA-N 0 1 324.468 3.142 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCC1(C)C ZINC001039475751 656511714 /nfs/dbraw/zinc/51/17/14/656511714.db2.gz HSCZHJVDFITZQT-FGTMMUONSA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCC(C)(C)CC1 ZINC001039475672 656511725 /nfs/dbraw/zinc/51/17/25/656511725.db2.gz CTBYUUIAUNWMRW-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001027942232 656516258 /nfs/dbraw/zinc/51/62/58/656516258.db2.gz ACWIFPLWHSVAGF-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC3=CCCCC3)C[C@@H]2C1 ZINC001048726539 656533908 /nfs/dbraw/zinc/53/39/08/656533908.db2.gz ISINTAHBBMFYAY-IYBDPMFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3=CCCCCC3)C[C@@H]2C1 ZINC001048784385 656538674 /nfs/dbraw/zinc/53/86/74/656538674.db2.gz VEBHSYUTXIICOZ-IYBDPMFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3occc3C(C)C)C[C@@H]2C1 ZINC001049002877 656552408 /nfs/dbraw/zinc/55/24/08/656552408.db2.gz RJXQNMWYIQUYMG-OKILXGFUSA-N 0 1 322.836 3.159 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3C[C@H](C)C[C@H](C)C3)C[C@@H]2C1 ZINC001049241747 656576392 /nfs/dbraw/zinc/57/63/92/656576392.db2.gz GUYIEWKBROLSLN-RUTNSNJPSA-N 0 1 324.896 3.201 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc3ccccc3c2)CC1 ZINC000980838448 656576712 /nfs/dbraw/zinc/57/67/12/656576712.db2.gz RDXDXTGSZWCCFZ-MRXNPFEDSA-N 0 1 321.424 3.034 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCCN(Cc2ccc3ccccc3c2)CC1 ZINC000980838448 656576713 /nfs/dbraw/zinc/57/67/13/656576713.db2.gz RDXDXTGSZWCCFZ-MRXNPFEDSA-N 0 1 321.424 3.034 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3ccoc3C)C2)CC1 ZINC001040598195 656599193 /nfs/dbraw/zinc/59/91/93/656599193.db2.gz HSFVEKRUMWGWSU-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001028023883 656612136 /nfs/dbraw/zinc/61/21/36/656612136.db2.gz YREVKMHTZZTNBQ-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001028023883 656612138 /nfs/dbraw/zinc/61/21/38/656612138.db2.gz YREVKMHTZZTNBQ-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H](C)C(C)C)C2)CC1 ZINC001040870900 656613082 /nfs/dbraw/zinc/61/30/82/656613082.db2.gz LRAXPHLABKAPJV-OAHLLOKOSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@]3(CCN(C/C=C\Cl)C3)C2)CC1 ZINC001041636693 656660724 /nfs/dbraw/zinc/66/07/24/656660724.db2.gz VKGPYORDHNWCGJ-ONPYFZNQSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)c(Cl)cc1C ZINC001028069163 656661386 /nfs/dbraw/zinc/66/13/86/656661386.db2.gz CBFFYXYRTVBDOQ-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(CC(C)C)s2)CC1 ZINC000981350224 656682893 /nfs/dbraw/zinc/68/28/93/656682893.db2.gz KEAJZTXIRXOTQK-UHFFFAOYSA-N 0 1 318.486 3.118 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1c(Cl)oc2ccccc21 ZINC001028093348 656693089 /nfs/dbraw/zinc/69/30/89/656693089.db2.gz GIEWJOPZUNSAQB-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H](C)CC1CCCCC1 ZINC001049876378 656709086 /nfs/dbraw/zinc/70/90/86/656709086.db2.gz LYYUPGRWQYQXJG-NEWSRXKRSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(CC(C)C)cc1 ZINC001049888940 656715714 /nfs/dbraw/zinc/71/57/14/656715714.db2.gz LSEPIIBAQSWSTE-PMACEKPBSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sccc1CC ZINC001049907268 656718322 /nfs/dbraw/zinc/71/83/22/656718322.db2.gz CICLRYBPHMFYNT-HUUCEWRRSA-N 0 1 304.459 3.175 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccoc1C(C)C ZINC001049919109 656722815 /nfs/dbraw/zinc/72/28/15/656722815.db2.gz UFZQPBFXBKSNPD-IRXDYDNUSA-N 0 1 314.429 3.105 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001049923336 656725087 /nfs/dbraw/zinc/72/50/87/656725087.db2.gz KPCNVSYBPOLKRL-QWFCFKBJSA-N 0 1 314.473 3.212 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001049958410 656734271 /nfs/dbraw/zinc/73/42/71/656734271.db2.gz IFGXCUWHKOOTLA-VQTJNVASSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc4occc4s3)C[C@H]21 ZINC001042230051 656740924 /nfs/dbraw/zinc/74/09/24/656740924.db2.gz LQDYORADFJBSQA-QWHCGFSZSA-N 0 1 316.426 3.217 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccc(C(C)(C)C)c3)C[C@@H]21 ZINC001042282589 656747496 /nfs/dbraw/zinc/74/74/96/656747496.db2.gz FMSBRRNQMLVPRP-APWZRJJASA-N 0 1 324.468 3.154 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc4ccccc4c3)C[C@H]21 ZINC001042335839 656757001 /nfs/dbraw/zinc/75/70/01/656757001.db2.gz NUOOJOBPHBIZFP-YLJYHZDGSA-N 0 1 318.420 3.009 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+]([C@@H]2CCc3ccccc32)CC1 ZINC000981753530 656757946 /nfs/dbraw/zinc/75/79/46/656757946.db2.gz FSQXJSCUVXLFQA-GOSISDBHSA-N 0 1 312.457 3.420 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](F)c2ccccc2)CC1 ZINC001052751929 656761536 /nfs/dbraw/zinc/76/15/36/656761536.db2.gz JMUREVASSSUMIW-HOTGVXAUSA-N 0 1 324.827 3.420 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](C)c2ccc(C)o2)CC1 ZINC001052772938 656766117 /nfs/dbraw/zinc/76/61/17/656766117.db2.gz CUOJETAUSCPEQA-GJZGRUSLSA-N 0 1 324.852 3.415 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCC(C)=C(C)C3)C2)C1 ZINC000981786986 656769906 /nfs/dbraw/zinc/76/99/06/656769906.db2.gz CRWMKUCFFDGJML-GOSISDBHSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(C)cc2)CC1 ZINC001052891648 656785143 /nfs/dbraw/zinc/78/51/43/656785143.db2.gz YGAMWLICBFJUJU-MRXNPFEDSA-N 0 1 306.837 3.332 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C)c(Cl)c2)CC1 ZINC000981865750 656789553 /nfs/dbraw/zinc/78/95/53/656789553.db2.gz IBDQVZXXVQDCLE-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001028149391 656798224 /nfs/dbraw/zinc/79/82/24/656798224.db2.gz VQAZBPHQCLLXEN-XUVXKRRUSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)OC2CCCCCC2)CC1 ZINC000981925834 656809092 /nfs/dbraw/zinc/80/90/92/656809092.db2.gz MKQGBDRYHXCLIW-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001043268387 656820133 /nfs/dbraw/zinc/82/01/33/656820133.db2.gz KSXMEEHRFIXBCY-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001028169912 656832211 /nfs/dbraw/zinc/83/22/11/656832211.db2.gz GREFIFMSDVFLFZ-HNNXBMFYSA-N 0 1 316.445 3.103 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCCN2C(=O)C(F)F)C1 ZINC001053063943 656840024 /nfs/dbraw/zinc/84/00/24/656840024.db2.gz SXRYDRWLGOAHDW-OLZOCXBDSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CC[C@@H](C(F)(F)F)C2)C1 ZINC001043652985 656845871 /nfs/dbraw/zinc/84/58/71/656845871.db2.gz JOQIUDCGOXFYAD-CHWSQXEVSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc[nH]c2C2CCC2)C1 ZINC001043869246 656861673 /nfs/dbraw/zinc/86/16/73/656861673.db2.gz WBJBMOGYUIAYPK-UHFFFAOYSA-N 0 1 301.434 3.005 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC001043965489 656865861 /nfs/dbraw/zinc/86/58/61/656865861.db2.gz HBGNQSKQUWPHPY-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2cccc3sccc32)C1 ZINC001044008913 656872142 /nfs/dbraw/zinc/87/21/42/656872142.db2.gz YFNCOKBAVZSYSD-UHFFFAOYSA-N 0 1 314.454 3.163 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C)c3ccccc23)CC1 ZINC000982036787 656872186 /nfs/dbraw/zinc/87/21/86/656872186.db2.gz WCVNTSSVURLDQZ-UHFFFAOYSA-N 0 1 308.425 3.482 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)c2ccc(OCC)c(C)c2)CC1 ZINC000982062836 656878044 /nfs/dbraw/zinc/87/80/44/656878044.db2.gz UONMFUMARKNFEU-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(OCC)c(C)c2)CC1 ZINC000982062836 656878055 /nfs/dbraw/zinc/87/80/55/656878055.db2.gz UONMFUMARKNFEU-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1ccoc1C ZINC001054029300 656881264 /nfs/dbraw/zinc/88/12/64/656881264.db2.gz KFDUGAHXKSUFKF-SFHVURJKSA-N 0 1 324.424 3.321 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1ccc(C)o1 ZINC001054031599 656885810 /nfs/dbraw/zinc/88/58/10/656885810.db2.gz HLJOHMYKXFJKHC-SFHVURJKSA-N 0 1 324.424 3.321 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC000982075151 656890405 /nfs/dbraw/zinc/89/04/05/656890405.db2.gz UGYBHTUGAQRLCX-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@@]3(CC)C(C)C)C2)C1 ZINC000982098054 656893180 /nfs/dbraw/zinc/89/31/80/656893180.db2.gz PHEHHQGKRXBZEL-PXNSSMCTSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2Cc3ccccc3CN2CC)CC1 ZINC001054050552 656893185 /nfs/dbraw/zinc/89/31/85/656893185.db2.gz FWFRVBOTYSVWNC-LJQANCHMSA-N 0 1 312.457 3.296 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3C[C@@]3(CC)C(C)C)C2)C1 ZINC000982098055 656893726 /nfs/dbraw/zinc/89/37/26/656893726.db2.gz PHEHHQGKRXBZEL-XLIONFOSSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001044276433 656905991 /nfs/dbraw/zinc/90/59/91/656905991.db2.gz DPQWYYDWQRTBGU-UHFFFAOYSA-N 0 1 322.836 3.071 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@]3(C)CC=C(C)CC3)C2)C1 ZINC000982159333 656907381 /nfs/dbraw/zinc/90/73/81/656907381.db2.gz DACWKKKKTAAFDS-LJQANCHMSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(F)c3occc32)C1 ZINC001044314444 656907669 /nfs/dbraw/zinc/90/76/69/656907669.db2.gz BVRSACPLOBPMLM-UHFFFAOYSA-N 0 1 316.376 3.294 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C=C(\C)C1CC1)C2 ZINC001054092281 656908913 /nfs/dbraw/zinc/90/89/13/656908913.db2.gz IAYWUDFHELOTKO-PMXLPMHFSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCCC(C)(C)C3)C2)C1 ZINC000982167165 656909075 /nfs/dbraw/zinc/90/90/75/656909075.db2.gz CDVLFJLPJRIDQC-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1CC=CC1)C2 ZINC001054106229 656911426 /nfs/dbraw/zinc/91/14/26/656911426.db2.gz KSRQDSMPCPCXRG-QGZVFWFLSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C(F)F)cc2)CC1 ZINC000982215350 656919301 /nfs/dbraw/zinc/91/93/01/656919301.db2.gz ZTRLZSKSRUHNPY-UHFFFAOYSA-N 0 1 308.372 3.348 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccccc3O)cc2C1 ZINC001054254821 656951726 /nfs/dbraw/zinc/95/17/26/656951726.db2.gz JSZPTRKEGYUEBC-UHFFFAOYSA-N 0 1 322.408 3.214 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccc(C)o3)cc2C1 ZINC001054255583 656952405 /nfs/dbraw/zinc/95/24/05/656952405.db2.gz UEQZYWHYTODREN-UHFFFAOYSA-N 0 1 310.397 3.410 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC000949199289 656957638 /nfs/dbraw/zinc/95/76/38/656957638.db2.gz QQSVQRZNFVIGPW-IRXDYDNUSA-N 0 1 304.478 3.335 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC000949199290 656957688 /nfs/dbraw/zinc/95/76/88/656957688.db2.gz QQSVQRZNFVIGPW-SJORKVTESA-N 0 1 304.478 3.335 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3CCC)cc2C1 ZINC001054280615 656960326 /nfs/dbraw/zinc/96/03/26/656960326.db2.gz NVSVPLWZRSFZFJ-VQIMIIECSA-N 0 1 310.441 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@]3(C)C(C)C)cc2C1 ZINC001054287425 656962407 /nfs/dbraw/zinc/96/24/07/656962407.db2.gz KFUPPTMZCRKIOH-PZJWPPBQSA-N 0 1 324.468 3.324 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(Cl)cc1Cl ZINC001028280203 656968301 /nfs/dbraw/zinc/96/83/01/656968301.db2.gz IGACKYAXDILRKP-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(Cl)cc1Cl ZINC001028280202 656968349 /nfs/dbraw/zinc/96/83/49/656968349.db2.gz IGACKYAXDILRKP-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)c(F)cc1Cl ZINC001028287563 656972492 /nfs/dbraw/zinc/97/24/92/656972492.db2.gz OVIMMADVDAHFGT-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1csc2c1CCCC2 ZINC001028301754 656986938 /nfs/dbraw/zinc/98/69/38/656986938.db2.gz ISAMBMHYPOHPEJ-CYBMUJFWSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(Cl)ccc1OC ZINC001028302704 656987267 /nfs/dbraw/zinc/98/72/67/656987267.db2.gz VZBUWLLSAJSDJK-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001028302548 656987289 /nfs/dbraw/zinc/98/72/89/656987289.db2.gz SSYYCWYFLMAEJX-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001028302548 656987293 /nfs/dbraw/zinc/98/72/93/656987293.db2.gz SSYYCWYFLMAEJX-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001045185764 656999247 /nfs/dbraw/zinc/99/92/47/656999247.db2.gz LDPADKRDWUDLEO-RDJZCZTQSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2Cl)C[C@@H]1C ZINC001054685006 656999761 /nfs/dbraw/zinc/99/97/61/656999761.db2.gz AOEGSAYNRADSKY-KWQFWETISA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C(C)C)nc2)C[C@H]1C ZINC001054733581 657004640 /nfs/dbraw/zinc/00/46/40/657004640.db2.gz SUCWEIJIZNWYDN-WBMJQRKESA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(CC)s2)C[C@@H]1C ZINC001054879493 657017683 /nfs/dbraw/zinc/01/76/83/657017683.db2.gz QTBNNWLINLVVPW-GWCFXTLKSA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)cc(F)cc2C)C[C@H]1C ZINC001054965392 657026031 /nfs/dbraw/zinc/02/60/31/657026031.db2.gz PIQGNABIXRSFON-DOMZBBRYSA-N 0 1 324.827 3.245 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2coc3c2cccc3C)CC1 ZINC001045461807 657026596 /nfs/dbraw/zinc/02/65/96/657026596.db2.gz OMQPXJJJWHGORB-UHFFFAOYSA-N 0 1 324.424 3.349 20 30 DGEDMN CCCCCCCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000248290276 657026890 /nfs/dbraw/zinc/02/68/90/657026890.db2.gz SFYGBGHWJYRWQF-UHFFFAOYSA-N 0 1 324.509 3.498 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(Cl)cc2F)CC1 ZINC001045496458 657029902 /nfs/dbraw/zinc/02/99/02/657029902.db2.gz WKIXVYIHRNQGTB-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C)c(C)c2Cl)CC1 ZINC001045620967 657044058 /nfs/dbraw/zinc/04/40/58/657044058.db2.gz YTLBUTCSKRWCFA-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc([C@H](C)CC)cc2)CC1 ZINC001045646677 657048872 /nfs/dbraw/zinc/04/88/72/657048872.db2.gz PRTZGTVCGKRRAW-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN C/C=C(\C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045747334 657061800 /nfs/dbraw/zinc/06/18/00/657061800.db2.gz LTRFNVWLEBJHRJ-SPJGTIGYSA-N 0 1 322.452 3.070 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001045825934 657069783 /nfs/dbraw/zinc/06/97/83/657069783.db2.gz OXJSICDIQWROFD-KDQWWBNRSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)c1ccco1)C2 ZINC001045910159 657087090 /nfs/dbraw/zinc/08/70/90/657087090.db2.gz TZSXLLMCOHINLU-KRWDZBQOSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@H](F)CC)C2 ZINC001045957536 657105143 /nfs/dbraw/zinc/10/51/43/657105143.db2.gz YEFZGICOWPFCHO-GDBMZVCRSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2oc(CC)cc2C)C1 ZINC001000699973 657143528 /nfs/dbraw/zinc/14/35/28/657143528.db2.gz AKUKRJQOOGQSIL-ZDUSSCGKSA-N 0 1 310.825 3.097 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC001000724259 657146781 /nfs/dbraw/zinc/14/67/81/657146781.db2.gz JOXIETWNRGRWHG-RDJZCZTQSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2ccccc2F)C1 ZINC001000893463 657162204 /nfs/dbraw/zinc/16/22/04/657162204.db2.gz GHWMUOCNDOPGHB-OAHLLOKOSA-N 0 1 324.827 3.091 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001046492766 657168244 /nfs/dbraw/zinc/16/82/44/657168244.db2.gz QRWAQXJMFUTJQR-FQEVSTJZSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3cccnc3s2)C1 ZINC001046750589 657212070 /nfs/dbraw/zinc/21/20/70/657212070.db2.gz XTSCKIQCLBLJPX-KRWDZBQOSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2oc3ccccc3c2Cl)C1 ZINC001046761621 657212875 /nfs/dbraw/zinc/21/28/75/657212875.db2.gz YGELDSKLNXGPPB-KRWDZBQOSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001046821725 657230296 /nfs/dbraw/zinc/23/02/96/657230296.db2.gz BGUASCKOKXDDJV-IBGZPJMESA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001046844959 657235467 /nfs/dbraw/zinc/23/54/67/657235467.db2.gz GWJVIFANKZZFRL-SFHVURJKSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001046854974 657237335 /nfs/dbraw/zinc/23/73/35/657237335.db2.gz OPHRMVVAODIYJG-KRWDZBQOSA-N 0 1 306.475 3.426 20 30 DGEDMN C#CCCN1CC[C@](C)(NC(=O)c2ccc(C(C)C)s2)C1 ZINC001046862454 657238861 /nfs/dbraw/zinc/23/88/61/657238861.db2.gz KHVDTBKXQPLEGS-KRWDZBQOSA-N 0 1 304.459 3.089 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)c2cccc(C)c2)C1 ZINC001046911819 657245319 /nfs/dbraw/zinc/24/53/19/657245319.db2.gz GUASNSANQFMYBX-INIZCTEOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001046963297 657247595 /nfs/dbraw/zinc/24/75/95/657247595.db2.gz GINPSBUTXDAMEQ-KGLIPLIRSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC001046969844 657248720 /nfs/dbraw/zinc/24/87/20/657248720.db2.gz DYYGMNCWAZPAPN-CYBMUJFWSA-N 0 1 324.371 3.030 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccc(C(C)(C)C)c3)[C@@H]2C1 ZINC001050167232 657262896 /nfs/dbraw/zinc/26/28/96/657262896.db2.gz DBEQUBFCYMZMHU-PKOBYXMFSA-N 0 1 324.468 3.154 20 30 DGEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ccc(C4CC4)cc3)[C@@H]2C1 ZINC001050205711 657270248 /nfs/dbraw/zinc/27/02/48/657270248.db2.gz SIVMVMFCTAGLDG-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)C3CC3)C2)CC1 ZINC001047215745 657279620 /nfs/dbraw/zinc/27/96/20/657279620.db2.gz MNUKTUFLRWBUMK-INIZCTEOSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001047249976 657286859 /nfs/dbraw/zinc/28/68/59/657286859.db2.gz YDYXTTOAWIWEQD-XKQJLSEDSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3C(C)(C)C3(C)C)C2)CC1 ZINC001050477887 657314944 /nfs/dbraw/zinc/31/49/44/657314944.db2.gz IFDYLCAHOPUIJN-UHFFFAOYSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)c3ccco3)C2)CC1 ZINC001050662755 657340434 /nfs/dbraw/zinc/34/04/34/657340434.db2.gz JKTQPJYEZMIAOZ-AWEZNQCLSA-N 0 1 322.836 3.060 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccc(C)o3)cccc2C1 ZINC001051278651 657378909 /nfs/dbraw/zinc/37/89/09/657378909.db2.gz YEPKRBOYUPDPEM-UHFFFAOYSA-N 0 1 310.397 3.062 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)CC2CCCCCC2)C1 ZINC000968354530 657391938 /nfs/dbraw/zinc/39/19/38/657391938.db2.gz UTPBPVZCDLDNPN-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC000968378126 657400183 /nfs/dbraw/zinc/40/01/83/657400183.db2.gz NWCVEUFSJRVPOI-IUODEOHRSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968405433 657407762 /nfs/dbraw/zinc/40/77/62/657407762.db2.gz LPMXUECDNLZODI-HMFCVHIJSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC000968423011 657409601 /nfs/dbraw/zinc/40/96/01/657409601.db2.gz LJTGIHBZASJCSX-PBHICJAKSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC000968467769 657414808 /nfs/dbraw/zinc/41/48/08/657414808.db2.gz HPQGQYDIUCDARG-DOTOQJQBSA-N 0 1 315.461 3.229 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC000968535380 657422914 /nfs/dbraw/zinc/42/29/14/657422914.db2.gz YFNZFQICTBINEP-YJBOKZPZSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccccc2Cl)C1 ZINC000968575092 657431289 /nfs/dbraw/zinc/43/12/89/657431289.db2.gz RYTGUGSPDQCGQG-XJKSGUPXSA-N 0 1 306.837 3.356 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968582610 657432853 /nfs/dbraw/zinc/43/28/53/657432853.db2.gz AOMGOBGRUQMRLK-APWZRJJASA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)s2)C1 ZINC000968609947 657441598 /nfs/dbraw/zinc/44/15/98/657441598.db2.gz MKNKGORCJSDPQU-NWDGAFQWSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc3ccccc3o2)C1 ZINC000968618546 657444251 /nfs/dbraw/zinc/44/42/51/657444251.db2.gz HGEYGAKGEFFHFT-ZBFHGGJFSA-N 0 1 312.413 3.449 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968620696 657445045 /nfs/dbraw/zinc/44/50/45/657445045.db2.gz YBBSGFBOMKTEJV-UZLBHIALSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968627951 657448594 /nfs/dbraw/zinc/44/85/94/657448594.db2.gz QFWZHXBLHYVOJO-WFASDCNBSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc3ccccc3c2)C1 ZINC000968626995 657448674 /nfs/dbraw/zinc/44/86/74/657448674.db2.gz FXPKLCNLRUGABH-JXFKEZNVSA-N 0 1 322.452 3.395 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC000968648397 657450632 /nfs/dbraw/zinc/45/06/32/657450632.db2.gz SYLQDMHIRVXORT-KXBFYZLASA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(F)c3occc32)C1 ZINC000968678092 657455242 /nfs/dbraw/zinc/45/52/42/657455242.db2.gz OISANQFPZUVFFP-LRDDRELGSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968681626 657456058 /nfs/dbraw/zinc/45/60/58/657456058.db2.gz KUNDDGOYRNRUHR-GDBMZVCRSA-N 0 1 312.413 3.367 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968681395 657456191 /nfs/dbraw/zinc/45/61/91/657456191.db2.gz IWLAGQOXBYMKIV-WMLDXEAASA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2sccc2CC)C1 ZINC000968682814 657456469 /nfs/dbraw/zinc/45/64/69/657456469.db2.gz VEWOUKAHFJTCRE-UKRRQHHQSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684699 657458038 /nfs/dbraw/zinc/45/80/38/657458038.db2.gz HEQDEVGXDJLAJB-ZBFHGGJFSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684699 657458046 /nfs/dbraw/zinc/45/80/46/657458046.db2.gz HEQDEVGXDJLAJB-ZBFHGGJFSA-N 0 1 304.434 3.419 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C3CC3)c2)C1 ZINC000968689403 657459727 /nfs/dbraw/zinc/45/97/27/657459727.db2.gz XUGZVDKDZKDMMT-BEFAXECRSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2csc(C3CC3)n2)C1 ZINC000968697639 657464055 /nfs/dbraw/zinc/46/40/55/657464055.db2.gz IASIJJDUWBZTFT-TZMCWYRMSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](CC)c2ccc(F)cc2)CC1 ZINC000957819112 657466354 /nfs/dbraw/zinc/46/63/54/657466354.db2.gz DNRYLYMLCINZBC-GOSISDBHSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C23CC(C(C)(C)C)(C2)C3)CC1 ZINC000957849868 657468808 /nfs/dbraw/zinc/46/88/08/657468808.db2.gz YUAUAHYPIMDKCG-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1c(C)onc1C1CC1 ZINC000968954532 657521468 /nfs/dbraw/zinc/52/14/68/657521468.db2.gz FPHMZGLCVFCATA-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1c(C)onc1C1CC1 ZINC000968954532 657521470 /nfs/dbraw/zinc/52/14/70/657521470.db2.gz FPHMZGLCVFCATA-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1coc(C(F)F)c1 ZINC000969004730 657531391 /nfs/dbraw/zinc/53/13/91/657531391.db2.gz ATELFHGSQUXEQO-AWEZNQCLSA-N 0 1 324.371 3.215 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1coc(C(F)F)c1 ZINC000969004730 657531397 /nfs/dbraw/zinc/53/13/97/657531397.db2.gz ATELFHGSQUXEQO-AWEZNQCLSA-N 0 1 324.371 3.215 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C)cc1Cl ZINC000969042447 657540248 /nfs/dbraw/zinc/54/02/48/657540248.db2.gz RMYDHQQRCVUXGE-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC001007599330 657621699 /nfs/dbraw/zinc/62/16/99/657621699.db2.gz SPEJVUDDLYDIMK-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001007652930 657625147 /nfs/dbraw/zinc/62/51/47/657625147.db2.gz ZPUCFIQQNZRUFA-OWCLPIDISA-N 0 1 304.459 3.008 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)C1=NO[C@@H](c2ccccc2)C1 ZINC000097373745 657635154 /nfs/dbraw/zinc/63/51/54/657635154.db2.gz HTTKBIJQTCRFHM-CYBMUJFWSA-N 0 1 323.312 3.170 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC001007881444 657642033 /nfs/dbraw/zinc/64/20/33/657642033.db2.gz ILQDPHOJASKLDO-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001007889224 657645091 /nfs/dbraw/zinc/64/50/91/657645091.db2.gz HTWSXFJNMGABMR-CQSZACIVSA-N 0 1 314.385 3.310 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cc3ccccc3s2)C1 ZINC001007915155 657646536 /nfs/dbraw/zinc/64/65/36/657646536.db2.gz RXWUWWGVXDXVTL-OAHLLOKOSA-N 0 1 314.454 3.210 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3cscc3s2)C1 ZINC001007974085 657650234 /nfs/dbraw/zinc/65/02/34/657650234.db2.gz TZAWHCYWENBEIF-ZDUSSCGKSA-N 0 1 318.467 3.180 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2)cc1 ZINC001008143215 657665483 /nfs/dbraw/zinc/66/54/83/657665483.db2.gz BDVWIKJPQAVGEA-HXUWFJFHSA-N 0 1 318.420 3.062 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C)(C)C(=C)Cl)CC1 ZINC000985452767 657681264 /nfs/dbraw/zinc/68/12/64/657681264.db2.gz SNSWTOTXOIOVDI-UHFFFAOYSA-N 0 1 319.276 3.440 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001008627611 657711007 /nfs/dbraw/zinc/71/10/07/657711007.db2.gz HHGBRKHEYHQMGA-AWEZNQCLSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001008656159 657714679 /nfs/dbraw/zinc/71/46/79/657714679.db2.gz ZFAKZRHUVJCKKE-WNRNVDISSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001008762615 657728222 /nfs/dbraw/zinc/72/82/22/657728222.db2.gz VFBRJHXCRBCILM-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC001008877265 657735596 /nfs/dbraw/zinc/73/55/96/657735596.db2.gz SLFGIEUGMYZULY-HNNXBMFYSA-N 0 1 308.372 3.395 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@]2(C)CCCc3ccccc32)C1 ZINC001008885469 657736311 /nfs/dbraw/zinc/73/63/11/657736311.db2.gz AGKADHAIHVIYSJ-XLIONFOSSA-N 0 1 312.457 3.047 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC000960267340 657770477 /nfs/dbraw/zinc/77/04/77/657770477.db2.gz BDWWOBPSRWWBJC-JCHJZTRSSA-N 0 1 324.468 3.064 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001009402080 657778875 /nfs/dbraw/zinc/77/88/75/657778875.db2.gz NMTBKXNTINTSMT-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001009436667 657786300 /nfs/dbraw/zinc/78/63/00/657786300.db2.gz OSXAQBOYROZPDT-QGZVFWFLSA-N 0 1 300.446 3.382 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC[C@H]2CC)CC1 ZINC000985637922 657791329 /nfs/dbraw/zinc/79/13/29/657791329.db2.gz NIVNDOPBQQLDQJ-ZBFHGGJFSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001009509626 657793048 /nfs/dbraw/zinc/79/30/48/657793048.db2.gz NSDNUQBCQKCQNO-MRXNPFEDSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001009715832 657811309 /nfs/dbraw/zinc/81/13/09/657811309.db2.gz JODSYUOIFYSMCM-QGZVFWFLSA-N 0 1 323.440 3.452 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960448120 657817395 /nfs/dbraw/zinc/81/73/95/657817395.db2.gz FSIPTHHGGORNQP-ZIAGYGMSSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC000960785734 657874173 /nfs/dbraw/zinc/87/41/73/657874173.db2.gz IJLLZIDIPRGXLP-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1cccnc1C ZINC000960792205 657876361 /nfs/dbraw/zinc/87/63/61/657876361.db2.gz SQGKWPVPONVBHH-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC000960840525 657894792 /nfs/dbraw/zinc/89/47/92/657894792.db2.gz WKRSLPYJTSYJSY-MJGOQNOKSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3CCCC3)C2)C1 ZINC000961074021 657939582 /nfs/dbraw/zinc/93/95/82/657939582.db2.gz AUXJRWSOIZJQII-IRXDYDNUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)c3ccoc3)C2)C1 ZINC000961100345 657948629 /nfs/dbraw/zinc/94/86/29/657948629.db2.gz JJPFPLDOGIKBEL-LSDHHAIUSA-N 0 1 322.836 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CC3CCC3)C2)C1 ZINC000961131004 657958354 /nfs/dbraw/zinc/95/83/54/657958354.db2.gz QMUFHPGNNPSOJF-DLBZAZTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C=C3CCC3)C2)C1 ZINC000961157065 657968489 /nfs/dbraw/zinc/96/84/89/657968489.db2.gz XJPLVPKDNAWFIS-SJORKVTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@@H]2CC23CC3)C1 ZINC001029920901 658006360 /nfs/dbraw/zinc/00/63/60/658006360.db2.gz BQFBYXPQKAJHMY-MOPGFXCFSA-N 0 1 324.468 3.466 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001014458268 658017451 /nfs/dbraw/zinc/01/74/51/658017451.db2.gz REEYULMKDDVVEV-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)nc2)[C@H]1C ZINC000986359439 658040264 /nfs/dbraw/zinc/04/02/64/658040264.db2.gz PAMWKVRYOCKTTG-BBRMVZONSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cscc2Cl)[C@H]1C ZINC000986396845 658045139 /nfs/dbraw/zinc/04/51/39/658045139.db2.gz QECVHHNDHOXQCG-JOYOIKCWSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(F)ccc2CC)[C@H]1C ZINC000986474267 658053485 /nfs/dbraw/zinc/05/34/85/658053485.db2.gz BTFJBWGPQOEBQW-BLLLJJGKSA-N 0 1 324.827 3.333 20 30 DGEDMN C#CCCN1CC[C@@H](NC(=O)c2cc3cccc(C)c3s2)C1 ZINC001014986229 658074785 /nfs/dbraw/zinc/07/47/85/658074785.db2.gz BZCPRLJRMZCXEP-OAHLLOKOSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cccc(C)c2)[C@@H]1C ZINC000986607416 658079824 /nfs/dbraw/zinc/07/98/24/658079824.db2.gz ATXROKWNIGPJDM-NVXWUHKLSA-N 0 1 320.864 3.259 20 30 DGEDMN C=CCCN1CC[C@@H](NC(=O)c2scnc2C2CCCC2)C1 ZINC001015138717 658089360 /nfs/dbraw/zinc/08/93/60/658089360.db2.gz OECCLYACRKNJDH-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN COc1ccc(C=Nn2c(=S)[nH]nc2-c2ccco2)cc1 ZINC000254498675 658114041 /nfs/dbraw/zinc/11/40/41/658114041.db2.gz OCUOJWCVAXLICN-UHFFFAOYSA-N 0 1 300.343 3.091 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(Cc3csc(C)c3)C2)cc1 ZINC001015481289 658124728 /nfs/dbraw/zinc/12/47/28/658124728.db2.gz FINRQKJAMREHAZ-GOSISDBHSA-N 0 1 324.449 3.042 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2csc(Cl)c2)[C@@H]1C ZINC000987121795 658161315 /nfs/dbraw/zinc/16/13/15/658161315.db2.gz APUQZUREIPPVEM-MWLCHTKSSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccoc2CCC)[C@@H]1C ZINC000987347785 658193277 /nfs/dbraw/zinc/19/32/77/658193277.db2.gz BGKFOBAHHFIQAW-TZMCWYRMSA-N 0 1 310.825 3.177 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc3ccccc32)C1 ZINC001015866723 658198395 /nfs/dbraw/zinc/19/83/95/658198395.db2.gz FKARCSPXRALPEF-FFZOFVMBSA-N 0 1 320.436 3.320 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)cc2)[C@@H]1C ZINC000987395660 658202319 /nfs/dbraw/zinc/20/23/19/658202319.db2.gz ZRGOIOIQJJTNDN-RISCZKNCSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccccc3o2)[C@H]1C ZINC000987460201 658210994 /nfs/dbraw/zinc/21/09/94/658210994.db2.gz PUPDCOGPCJOQTJ-GXTWGEPZSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(OCC)cc2)[C@H]1C ZINC000987450581 658212397 /nfs/dbraw/zinc/21/23/97/658212397.db2.gz RGTNQFVOICOECC-BBRMVZONSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)c(C)c2)[C@@H]1C ZINC000987453426 658213000 /nfs/dbraw/zinc/21/30/00/658213000.db2.gz DZEJBILVUHARRF-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001016068486 658230511 /nfs/dbraw/zinc/23/05/11/658230511.db2.gz LIGZZDJZRVLFAL-AWEZNQCLSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2sccc2C(F)F)C1 ZINC001016130717 658240801 /nfs/dbraw/zinc/24/08/01/658240801.db2.gz KWPNCMQUKXPZOA-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001016167411 658247321 /nfs/dbraw/zinc/24/73/21/658247321.db2.gz IGNYABVTVWXNIE-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN COCCN(CCCOc1ccc(C#N)cc1)Cc1ccco1 ZINC000051739071 658250651 /nfs/dbraw/zinc/25/06/51/658250651.db2.gz CBPNMRQWBBRZLL-UHFFFAOYSA-N 0 1 314.385 3.069 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001016213102 658255794 /nfs/dbraw/zinc/25/57/94/658255794.db2.gz MXXJSXIHELKTDS-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001016230995 658260000 /nfs/dbraw/zinc/26/00/00/658260000.db2.gz WWOSWYZZNQWSEB-ZFWWWQNUSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001016383627 658277078 /nfs/dbraw/zinc/27/70/78/658277078.db2.gz LCUSWQQGPGVYFF-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccccc2F)CC1 ZINC001016481173 658290782 /nfs/dbraw/zinc/29/07/82/658290782.db2.gz ZRKDMBPMEFVLFX-OAHLLOKOSA-N 0 1 322.811 3.163 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccccc2C)CC1 ZINC001016488685 658292240 /nfs/dbraw/zinc/29/22/40/658292240.db2.gz ISFAZERITXDZJH-INIZCTEOSA-N 0 1 318.848 3.332 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(C)=C2CCC2)CC1 ZINC001016617756 658306675 /nfs/dbraw/zinc/30/66/75/658306675.db2.gz JLPWDSRBMQVUBF-OAHLLOKOSA-N 0 1 308.853 3.210 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccsc2C)CC1 ZINC001016614034 658307023 /nfs/dbraw/zinc/30/70/23/658307023.db2.gz IYBIVCVCUQTIOH-AWEZNQCLSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@@H]2C=CCCC2)CC1 ZINC001016689717 658317642 /nfs/dbraw/zinc/31/76/42/658317642.db2.gz PDGIUAXYABBSSH-HZPDHXFCSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2sc(C)cc2C)C1 ZINC000988899366 658416308 /nfs/dbraw/zinc/41/63/08/658416308.db2.gz PSPLJZXMOVIEHL-AAEUAGOBSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3c(o2)CCCC3)C1 ZINC000988944913 658418667 /nfs/dbraw/zinc/41/86/67/658418667.db2.gz BMMMOHYPVICGDE-JSGCOSHPSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2ccsc2)C1 ZINC000988960399 658419872 /nfs/dbraw/zinc/41/98/72/658419872.db2.gz MEBSKXDMJYABTI-GXTWGEPZSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C(C)C)nc2)C1 ZINC000988963254 658420807 /nfs/dbraw/zinc/42/08/07/658420807.db2.gz UVJKZVPVGNPFNT-ZFWWWQNUSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C(C)C)nc2)C1 ZINC000988963252 658420923 /nfs/dbraw/zinc/42/09/23/658420923.db2.gz UVJKZVPVGNPFNT-HIFRSBDPSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cscc2Cl)C1 ZINC000989007099 658424398 /nfs/dbraw/zinc/42/43/98/658424398.db2.gz OFXKFPYCWOQSTF-ZJUUUORDSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(F)ccc2CC)C1 ZINC000989092861 658435476 /nfs/dbraw/zinc/43/54/76/658435476.db2.gz GWTTZKCHXWARAD-DOMZBBRYSA-N 0 1 324.827 3.333 20 30 DGEDMN Cc1ccccc1[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017541209 658438397 /nfs/dbraw/zinc/43/83/97/658438397.db2.gz UHZUFLHNSZWXHB-YTQUADARSA-N 0 1 322.452 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc(C(C)C)n2)C1 ZINC000989123043 658438972 /nfs/dbraw/zinc/43/89/72/658438972.db2.gz ZZNGKIQZOINQCV-KGLIPLIRSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2nc(C(C)C)oc2C)C1 ZINC000966098054 658446989 /nfs/dbraw/zinc/44/69/89/658446989.db2.gz BDXXXEVZTNRUNS-HIFRSBDPSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC000966165635 658451367 /nfs/dbraw/zinc/45/13/67/658451367.db2.gz GRSYEKBNTUVDGH-WMLDXEAASA-N 0 1 315.461 3.005 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(OC)cs1 ZINC001017787228 658461747 /nfs/dbraw/zinc/46/17/47/658461747.db2.gz AGIRFRXLACVZQU-OKILXGFUSA-N 0 1 320.458 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(F)c(C)c2)C1 ZINC000989378080 658469942 /nfs/dbraw/zinc/46/99/42/658469942.db2.gz AJXQSELUVBLMJV-OCCSQVGLSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccccc2CC)C1 ZINC000989386086 658472497 /nfs/dbraw/zinc/47/24/97/658472497.db2.gz UMXNVDOYEWOVNW-UKRRQHHQSA-N 0 1 306.837 3.194 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC000966561215 658481651 /nfs/dbraw/zinc/48/16/51/658481651.db2.gz IXMXBWPESIOKER-OXJNMPFZSA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC000966561219 658482231 /nfs/dbraw/zinc/48/22/31/658482231.db2.gz IXMXBWPESIOKER-OXQOHEQNSA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966571327 658483186 /nfs/dbraw/zinc/48/31/86/658483186.db2.gz XYHUXACYBIQGOH-LPHOPBHVSA-N 0 1 312.457 3.274 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(F)c1Cl ZINC001017994008 658487447 /nfs/dbraw/zinc/48/74/47/658487447.db2.gz XLXGSRPWWXQZIC-BETUJISGSA-N 0 1 322.811 3.344 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1CCCCCC1 ZINC001018001327 658490343 /nfs/dbraw/zinc/49/03/43/658490343.db2.gz QKCVIPLLUWNSFS-HDICACEKSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc3[nH]ccc32)C1 ZINC000989468172 658494306 /nfs/dbraw/zinc/49/43/06/658494306.db2.gz CKPQOZQJFBNMSQ-OLZOCXBDSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC000989488587 658500200 /nfs/dbraw/zinc/50/02/00/658500200.db2.gz WEJOFAWRXRDDBF-KRWDZBQOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC000989488587 658500205 /nfs/dbraw/zinc/50/02/05/658500205.db2.gz WEJOFAWRXRDDBF-KRWDZBQOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)[C@H](F)CC2CCCCC2)CC1 ZINC000989488591 658500693 /nfs/dbraw/zinc/50/06/93/658500693.db2.gz WEJOFAWRXRDDBF-QGZVFWFLSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](F)CC2CCCCC2)CC1 ZINC000989488591 658500697 /nfs/dbraw/zinc/50/06/97/658500697.db2.gz WEJOFAWRXRDDBF-QGZVFWFLSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC000966874305 658503115 /nfs/dbraw/zinc/50/31/15/658503115.db2.gz GELUTZYPFXREOV-JQWIXIFHSA-N 0 1 312.360 3.152 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cncc(Cl)c2C)C1 ZINC000966876175 658504619 /nfs/dbraw/zinc/50/46/19/658504619.db2.gz WBVZSTOUVAJHFU-BLLLJJGKSA-N 0 1 321.852 3.060 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(C(F)F)c2)CC1 ZINC000989519459 658508245 /nfs/dbraw/zinc/50/82/45/658508245.db2.gz ACEGEUQUQPOMMC-UHFFFAOYSA-N 0 1 308.372 3.348 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)o1 ZINC001018226148 658521522 /nfs/dbraw/zinc/52/15/22/658521522.db2.gz UFNFOWWFKLJWMQ-BETUJISGSA-N 0 1 324.371 3.472 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC(C)C)cc1 ZINC001018254064 658525577 /nfs/dbraw/zinc/52/55/77/658525577.db2.gz PURUJQSPJRLRME-BGYRXZFFSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3c(s2)CCCC3)CC1 ZINC000989608338 658525760 /nfs/dbraw/zinc/52/57/60/658525760.db2.gz DYPFCHUFINXMDT-UHFFFAOYSA-N 0 1 318.486 3.351 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC000967007743 658529061 /nfs/dbraw/zinc/52/90/61/658529061.db2.gz OHDABBMBMUTTJH-KGLIPLIRSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2coc3cc(C)ccc23)CC1 ZINC000989617073 658529841 /nfs/dbraw/zinc/52/98/41/658529841.db2.gz PJRRBRRKMXPSRW-UHFFFAOYSA-N 0 1 312.413 3.004 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(CC(C)C)cc2)CC1 ZINC000989637580 658534964 /nfs/dbraw/zinc/53/49/64/658534964.db2.gz AVUWZAJLJLQLTC-UHFFFAOYSA-N 0 1 312.457 3.056 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCC[C@@H]1C ZINC001018331964 658539364 /nfs/dbraw/zinc/53/93/64/658539364.db2.gz AHLQVMVZNCCHTL-XWTMOSNGSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)s1 ZINC001018332506 658539456 /nfs/dbraw/zinc/53/94/56/658539456.db2.gz PHISEQWCKCCXTA-BETUJISGSA-N 0 1 308.422 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)c(CC)o2)C1 ZINC000989671832 658539841 /nfs/dbraw/zinc/53/98/41/658539841.db2.gz FSUJEKJNIRBRRU-CHWSQXEVSA-N 0 1 310.825 3.096 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1CCC(C)(C)CC1 ZINC001018354111 658542275 /nfs/dbraw/zinc/54/22/75/658542275.db2.gz QDNVUXCYLSKKDX-HDICACEKSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc(Cl)c2)C1 ZINC000989709015 658547766 /nfs/dbraw/zinc/54/77/66/658547766.db2.gz ZVUOKUNIPHEXRH-RISCZKNCSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2occ3c2CCCC3)C1 ZINC000989726017 658557471 /nfs/dbraw/zinc/55/74/71/658557471.db2.gz LXOGCNNQSVWCAQ-TZMCWYRMSA-N 0 1 322.836 3.104 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967273658 658557628 /nfs/dbraw/zinc/55/76/28/658557628.db2.gz MHNZOHSLYYMHBN-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC000967273184 658558637 /nfs/dbraw/zinc/55/86/37/658558637.db2.gz JJZQGEPKZBNTFL-APWZRJJASA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(OCC)cc2)C1 ZINC000989740052 658562279 /nfs/dbraw/zinc/56/22/79/658562279.db2.gz QPHFDDXQKKIWAP-UKRRQHHQSA-N 0 1 322.836 3.030 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC000967291952 658564546 /nfs/dbraw/zinc/56/45/46/658564546.db2.gz PMNWEEAWOKVCJD-HNAYVOBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2oc3ccccc3c2CC)CC1 ZINC000989767946 658567980 /nfs/dbraw/zinc/56/79/80/658567980.db2.gz QLYTZTVQNZOVTE-UHFFFAOYSA-N 0 1 324.424 3.166 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c3cccnc23)C1 ZINC000967410091 658577692 /nfs/dbraw/zinc/57/76/92/658577692.db2.gz YSCMWKRBBJSOOR-MAUKXSAKSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(CF)cc2)C1 ZINC000989838031 658588309 /nfs/dbraw/zinc/58/83/09/658588309.db2.gz JAWSAFHJSYQRAE-IUODEOHRSA-N 0 1 310.800 3.101 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC000967497828 658595223 /nfs/dbraw/zinc/59/52/23/658595223.db2.gz OCWAQUOSVASTKJ-RHSMWYFYSA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3c2CCCC3)C1 ZINC001018902547 658596217 /nfs/dbraw/zinc/59/62/17/658596217.db2.gz HHULGZLBGXLCEQ-HNNXBMFYSA-N 0 1 318.848 3.122 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc3c2cccc3C)C1 ZINC001018972744 658600049 /nfs/dbraw/zinc/60/00/49/658600049.db2.gz MUTFJYZQCGRKBT-ZDUSSCGKSA-N 0 1 318.804 3.298 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC000967778993 658631461 /nfs/dbraw/zinc/63/14/61/658631461.db2.gz NFCAWDODECKWAW-UONOGXRCSA-N 0 1 306.475 3.038 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC000967778994 658631505 /nfs/dbraw/zinc/63/15/05/658631505.db2.gz NFCAWDODECKWAW-ZIAGYGMSSA-N 0 1 306.475 3.038 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H](NCc3ccccc3F)C2)CC1 ZINC001019331393 658632875 /nfs/dbraw/zinc/63/28/75/658632875.db2.gz XCUPEIYODFBNLW-KRWDZBQOSA-N 0 1 316.420 3.263 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H](NCc3ccccc3Cl)C2)C1 ZINC001019353386 658637799 /nfs/dbraw/zinc/63/77/99/658637799.db2.gz IAYGQTMCTPGZEI-HNNXBMFYSA-N 0 1 318.848 3.387 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)cc2Cl)C1 ZINC001019418728 658642625 /nfs/dbraw/zinc/64/26/25/658642625.db2.gz WYGAGNDMPORFKM-LBPRGKRZSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001019522619 658653598 /nfs/dbraw/zinc/65/35/98/658653598.db2.gz FZUHPZUHNDXFLT-OCCSQVGLSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001038482422 658682130 /nfs/dbraw/zinc/68/21/30/658682130.db2.gz ZOAHLNOJDHUNTK-SFHVURJKSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001038482422 658682132 /nfs/dbraw/zinc/68/21/32/658682132.db2.gz ZOAHLNOJDHUNTK-SFHVURJKSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC000968213683 658684717 /nfs/dbraw/zinc/68/47/17/658684717.db2.gz QCGSZBQXVYPYGW-IUODEOHRSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CN(CCC(F)(F)F)CC[C@@H]2C)C1 ZINC000968274447 658691226 /nfs/dbraw/zinc/69/12/26/658691226.db2.gz LYQPJRVNJOTIHX-STQMWFEESA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC000968336318 658700088 /nfs/dbraw/zinc/70/00/88/658700088.db2.gz RYZFDSPHVMOGNE-KDOFPFPSSA-N 0 1 315.461 3.140 20 30 DGEDMN COc1cc(Cl)c(C)cc1NC(=O)C(C#N)C(=O)C1CCC1 ZINC000128288262 658731306 /nfs/dbraw/zinc/73/13/06/658731306.db2.gz NUIJLSBKOQPZCN-LLVKDONJSA-N 0 1 320.776 3.105 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC001038301198 658741046 /nfs/dbraw/zinc/74/10/46/658741046.db2.gz LTMWRUZSSWIETO-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@H]1CCN1[C@H](C)c1ccccc1 ZINC001038343559 658743667 /nfs/dbraw/zinc/74/36/67/658743667.db2.gz ATQNVJUFHJRIRF-SJLPKXTDSA-N 0 1 323.440 3.239 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001038667850 658778435 /nfs/dbraw/zinc/77/84/35/658778435.db2.gz QAMXLCFGRHGDSI-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C4CCC4)cc3)CCC[C@@H]12 ZINC000990970593 658784673 /nfs/dbraw/zinc/78/46/73/658784673.db2.gz APKQLXJLUFMVSB-TZIWHRDSSA-N 0 1 322.452 3.314 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001038762053 658797259 /nfs/dbraw/zinc/79/72/59/658797259.db2.gz QBZJVEXSPCGGMY-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@@H](C)[C@@H]2C)c1O ZINC000164333937 658929247 /nfs/dbraw/zinc/92/92/47/658929247.db2.gz AYOINKPXGBGLOP-KBPBESRZSA-N 0 1 305.418 3.129 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001038869554 658950958 /nfs/dbraw/zinc/95/09/58/658950958.db2.gz RRWAIXXQOFJALP-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(Cl)c2occc21 ZINC001038910848 658955233 /nfs/dbraw/zinc/95/52/33/658955233.db2.gz FGXLEVHZEUWLKL-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1oc2ccccc2c1Cl ZINC001038912298 658955401 /nfs/dbraw/zinc/95/54/01/658955401.db2.gz UBWKEHKVNDRYDN-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001038951461 658961902 /nfs/dbraw/zinc/96/19/02/658961902.db2.gz YBJKSSIGDSVSAR-AWEZNQCLSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001038952575 658963352 /nfs/dbraw/zinc/96/33/52/658963352.db2.gz NPVCEEVTKSKFGK-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1sccc1C(F)F ZINC001038978168 658969870 /nfs/dbraw/zinc/96/98/70/658969870.db2.gz BQNOGIBVTMNLTK-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001038995403 658976665 /nfs/dbraw/zinc/97/66/65/658976665.db2.gz HEGGOMJSQMMVCF-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001039000885 658977896 /nfs/dbraw/zinc/97/78/96/658977896.db2.gz RMKXNGQEZWNMNJ-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)c2ccc[nH]2)C1 ZINC001039185081 659028701 /nfs/dbraw/zinc/02/87/01/659028701.db2.gz DZQCTANUVFIESV-ZBFHGGJFSA-N 0 1 321.852 3.084 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CCCC)cc3)CCC[C@@H]12 ZINC000991712628 659146948 /nfs/dbraw/zinc/14/69/48/659146948.db2.gz QPEWRRBPIVNFBT-CTNGQTDRSA-N 0 1 324.468 3.389 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H](C)c2ccco2)CC1 ZINC000948772286 659184414 /nfs/dbraw/zinc/18/44/14/659184414.db2.gz VIXBNVBCJRCJPE-GJZGRUSLSA-N 0 1 324.852 3.449 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCOC1)c1nc2ccccc2n1C(F)F ZINC000171038059 659256011 /nfs/dbraw/zinc/25/60/11/659256011.db2.gz WTMHIBUGEZNYOY-QWRGUYRKSA-N 0 1 319.311 3.034 20 30 DGEDMN COc1ccc(N2CCN([C@@H](C)c3ccc(C#N)cc3)CC2)cc1 ZINC000172394295 659334525 /nfs/dbraw/zinc/33/45/25/659334525.db2.gz BDWWXHOIBXAJDY-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN COc1ccc(N2CCN([C@H](C)c3ccc(C#N)cc3)CC2)cc1 ZINC000172394300 659335105 /nfs/dbraw/zinc/33/51/05/659335105.db2.gz BDWWXHOIBXAJDY-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C[C@@H]1C ZINC000948026743 659336870 /nfs/dbraw/zinc/33/68/70/659336870.db2.gz SZRHXODJRDUVBD-GUYCJALGSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C[C@H]1C ZINC000948026739 659337060 /nfs/dbraw/zinc/33/70/60/659337060.db2.gz SZRHXODJRDUVBD-CXAGYDPISA-N 0 1 323.440 3.001 20 30 DGEDMN CCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000173980303 659407446 /nfs/dbraw/zinc/40/74/46/659407446.db2.gz BLOAJACSMVLPOH-CMPLNLGQSA-N 0 1 322.792 3.432 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc(OC)c(C#N)c1 ZINC000174377752 659430208 /nfs/dbraw/zinc/43/02/08/659430208.db2.gz CMUXCASYEFFQAF-UHFFFAOYSA-N 0 1 308.381 3.421 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000929471501 659452240 /nfs/dbraw/zinc/45/22/40/659452240.db2.gz HVZBPCLSOSEYLA-OSAQELSMSA-N 0 1 312.335 3.260 20 30 DGEDMN C#Cc1ccc(C(=O)NC2CN(CCC3CCCCC3)C2)cc1 ZINC001030775783 659466795 /nfs/dbraw/zinc/46/67/95/659466795.db2.gz AHKVKESJXLCRCF-UHFFFAOYSA-N 0 1 310.441 3.052 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cc4occc4s3)CCC[C@@H]12 ZINC000992164731 659479340 /nfs/dbraw/zinc/47/93/40/659479340.db2.gz CNWZPTDVEXQBEK-WBVHZDCISA-N 0 1 316.426 3.407 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccsc3Cl)CCC[C@H]12 ZINC000992305841 659519619 /nfs/dbraw/zinc/51/96/19/659519619.db2.gz UGGUGYHXUGAAFE-XJKSGUPXSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C(C)(C)C)c3)CCC[C@@H]12 ZINC000992369443 659534942 /nfs/dbraw/zinc/53/49/42/659534942.db2.gz QOCHYLVSNRZGDD-WIYYLYMNSA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4c(c3)CCC=C4)CCC[C@@H]12 ZINC000992434715 659549505 /nfs/dbraw/zinc/54/95/05/659549505.db2.gz SEKIGWDDMPIBSZ-TZIWHRDSSA-N 0 1 320.436 3.006 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccsc3C(F)F)CCC[C@H]12 ZINC000992452218 659553162 /nfs/dbraw/zinc/55/31/62/659553162.db2.gz ZKKSQGUNZVSTHW-LRDDRELGSA-N 0 1 324.396 3.046 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3CCCCC3(C)C)CCC[C@H]12 ZINC000992508538 659566776 /nfs/dbraw/zinc/56/67/76/659566776.db2.gz GTNDIFLCDDLDCU-FUHIMQAGSA-N 0 1 316.489 3.339 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3CCCC(C)(C)C3)CCC[C@H]12 ZINC000992515927 659568599 /nfs/dbraw/zinc/56/85/99/659568599.db2.gz MYJDMYSEOXYNTG-ABSDTBQOSA-N 0 1 316.489 3.339 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CCC(C)C)cc1Cl ZINC000130305787 659668578 /nfs/dbraw/zinc/66/85/78/659668578.db2.gz OFXRQACNVGEMEO-LBPRGKRZSA-N 0 1 322.792 3.432 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001015595461 659689722 /nfs/dbraw/zinc/68/97/22/659689722.db2.gz PQIBSQVQBLPFDB-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3nccc(C)c3c2)[C@H]1C ZINC000993367806 659722006 /nfs/dbraw/zinc/72/20/06/659722006.db2.gz CEKZVNPMJAOGBW-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCC3)[C@H]1C ZINC000993367664 659722145 /nfs/dbraw/zinc/72/21/45/659722145.db2.gz ORSNLLIGBMJJSG-DNVCBOLYSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)[C@H]1C ZINC000993370192 659723243 /nfs/dbraw/zinc/72/32/43/659723243.db2.gz VSGFDYPKKKAXNA-GDBMZVCRSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(OC)c(C)c2)[C@H]1C ZINC000993378773 659723891 /nfs/dbraw/zinc/72/38/91/659723891.db2.gz LQAJMXUMJKRVNZ-WBVHZDCISA-N 0 1 316.445 3.081 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(o2)CCCC3)[C@H]1C ZINC000993436270 659739095 /nfs/dbraw/zinc/73/90/95/659739095.db2.gz XSSUHCDNTVHRFH-ZBFHGGJFSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(F)cc2F)[C@H]1C ZINC000993500303 659750507 /nfs/dbraw/zinc/75/05/07/659750507.db2.gz ZLSWMPKRBDCLSC-WBMJQRKESA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(C)CCC(F)(F)CC2)[C@H]1C ZINC000993493173 659754104 /nfs/dbraw/zinc/75/41/04/659754104.db2.gz ANKRYILUHMIESJ-KGLIPLIRSA-N 0 1 314.420 3.357 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)cc3ccoc32)[C@H]1C ZINC000993522569 659755146 /nfs/dbraw/zinc/75/51/46/659755146.db2.gz PSMIJCGISCMKQC-MLGOLLRUSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@H]2CCCN(CC=C)[C@H]2C)cc1 ZINC000993528033 659757629 /nfs/dbraw/zinc/75/76/29/659757629.db2.gz ZUZDOIZHGXQMOA-YJBOKZPZSA-N 0 1 314.429 3.020 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(CC)s2)[C@@H]1C ZINC000993552920 659760939 /nfs/dbraw/zinc/76/09/39/659760939.db2.gz GPSRXMVMJIZMAE-ZFWWWQNUSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(-n3cccc3)c2)[C@H]1C ZINC000993555276 659762085 /nfs/dbraw/zinc/76/20/85/659762085.db2.gz KAJBCXOQUOSPTF-APWZRJJASA-N 0 1 323.440 3.246 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccccc2CCC)[C@H]1C ZINC000993560242 659762246 /nfs/dbraw/zinc/76/22/46/659762246.db2.gz HGNNRNZGAMHQFK-VQIMIIECSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@@H]1C ZINC000993560691 659763208 /nfs/dbraw/zinc/76/32/08/659763208.db2.gz ICQYWORCNTUCEE-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2CCC)[C@@H]1C ZINC000993565767 659764109 /nfs/dbraw/zinc/76/41/09/659764109.db2.gz RVZYSGRSCQPUAZ-YJBOKZPZSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H]1C ZINC000993609933 659765084 /nfs/dbraw/zinc/76/50/84/659765084.db2.gz DZUVGSIMNCHBAE-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCC2)[C@H]1C ZINC000993586276 659767439 /nfs/dbraw/zinc/76/74/39/659767439.db2.gz MVAWDTOGZDRUPW-SJLPKXTDSA-N 0 1 312.457 3.263 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C)(C)c2cccs2)[C@@H]1C ZINC000993707683 659778259 /nfs/dbraw/zinc/77/82/59/659778259.db2.gz XYZUUJYZSNJCPA-GJZGRUSLSA-N 0 1 318.486 3.018 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccs2)[C@@H]1C ZINC000993707687 659778423 /nfs/dbraw/zinc/77/84/23/659778423.db2.gz XYZUUJYZSNJCPA-LSDHHAIUSA-N 0 1 318.486 3.018 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H]1C ZINC000993726094 659781121 /nfs/dbraw/zinc/78/11/21/659781121.db2.gz JIGWSQJJBDCSNN-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C2CCC2)C2CCC2)[C@@H]1C ZINC000993705177 659782852 /nfs/dbraw/zinc/78/28/52/659782852.db2.gz UBZSGWRLHIKINA-YOEHRIQHSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2)[C@H]1C ZINC000993736906 659783211 /nfs/dbraw/zinc/78/32/11/659783211.db2.gz APBKRSCTPIUROC-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(CCC)cc2)[C@H]1C ZINC000993727930 659786101 /nfs/dbraw/zinc/78/61/01/659786101.db2.gz MFXMYYXJJNFRQR-APWZRJJASA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2F)[C@H]1C ZINC000993732267 659786900 /nfs/dbraw/zinc/78/69/00/659786900.db2.gz OGMSLNMFMSPJLM-BXUZGUMPSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2CCCCCC2)[C@@H]1C ZINC000993883405 659797138 /nfs/dbraw/zinc/79/71/38/659797138.db2.gz CORAROHGFQECHN-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@H]1C ZINC000993907772 659801140 /nfs/dbraw/zinc/80/11/40/659801140.db2.gz UOPNYPSAZGYOJD-IUODEOHRSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CCC(CC2)C3(C)C)[C@@H]1C ZINC000993956462 659802391 /nfs/dbraw/zinc/80/23/91/659802391.db2.gz YWSLQOBZGGLKHQ-QCTRZYONSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@@H]1C ZINC000993959873 659803765 /nfs/dbraw/zinc/80/37/65/659803765.db2.gz HEVRDCVVAXKODP-OXJNMPFZSA-N 0 1 322.452 3.252 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2scnc2C2CC2)[C@@H]1C ZINC000993966285 659804381 /nfs/dbraw/zinc/80/43/81/659804381.db2.gz VMXRDPBRBGIVBU-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2F)[C@H]1C ZINC000994007248 659807978 /nfs/dbraw/zinc/80/79/78/659807978.db2.gz OJKOIRJOZBRJLV-RHSMWYFYSA-N 0 1 318.436 3.258 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C(F)F)cc2)[C@@H]1C ZINC000994002186 659808511 /nfs/dbraw/zinc/80/85/11/659808511.db2.gz DHDACKACFQVCGN-BBRMVZONSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(C(C)C)n2)[C@H]1C ZINC000994028858 659809188 /nfs/dbraw/zinc/80/91/88/659809188.db2.gz YGOXDQVCMVVHKB-CHWSQXEVSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc(C3CCCC3)n2)[C@H]1C ZINC000994132535 659813312 /nfs/dbraw/zinc/81/33/12/659813312.db2.gz HBMKXNVPCSNFPX-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(C)C)oc2C)[C@@H]1C ZINC000994126360 659813591 /nfs/dbraw/zinc/81/35/91/659813591.db2.gz PNNPXUHYHVNZJL-BBRMVZONSA-N 0 1 304.434 3.480 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C(C)C)c2)[C@H]1C ZINC000994143367 659814932 /nfs/dbraw/zinc/81/49/32/659814932.db2.gz FKDRDKKPLORSOX-VQIMIIECSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ccncc3c2)[C@H]1C ZINC000994172604 659816443 /nfs/dbraw/zinc/81/64/43/659816443.db2.gz YABWPLAAZUINNK-KDOFPFPSSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3ccsc32)[C@H]1C ZINC000994172973 659817554 /nfs/dbraw/zinc/81/75/54/659817554.db2.gz QCSWXMFVAPBRPH-CZUORRHYSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncsc2C(C)C)[C@@H]1C ZINC000994222746 659821540 /nfs/dbraw/zinc/82/15/40/659821540.db2.gz UGSOVFCXTBARCT-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1C ZINC000994234989 659822033 /nfs/dbraw/zinc/82/20/33/659822033.db2.gz UXTACCOJMDLEIV-HIFRSBDPSA-N 0 1 316.470 3.232 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2OC(C)C)[C@H]1C ZINC000994271019 659829638 /nfs/dbraw/zinc/82/96/38/659829638.db2.gz IESRPWVPFQEOIC-WBVHZDCISA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(C3CCCCC3)CC2)[C@@H]1C ZINC000994300065 659834531 /nfs/dbraw/zinc/83/45/31/659834531.db2.gz ZBGMPHMJTPFXJW-WMZOPIPTSA-N 0 1 316.489 3.339 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2C2CC2)[C@@H]1C ZINC000994342439 659842208 /nfs/dbraw/zinc/84/22/08/659842208.db2.gz AOIZUROOXDWGPR-BBRMVZONSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1C ZINC000994361292 659843028 /nfs/dbraw/zinc/84/30/28/659843028.db2.gz GRZLLHDAWFMVRG-CJNGLKHVSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2oc3ccccc3c2C)[C@@H]1C ZINC000994360360 659843426 /nfs/dbraw/zinc/84/34/26/659843426.db2.gz AIBPDQQYUOOARH-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(Cl)s2)[C@@H]1C ZINC000994354741 659843821 /nfs/dbraw/zinc/84/38/21/659843821.db2.gz VKWIQGGDNZFDMP-RYUDHWBXSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccsc2C(F)F)[C@H]1C ZINC000994355247 659844068 /nfs/dbraw/zinc/84/40/68/659844068.db2.gz WSQKEVGLDYGYDO-ZYHUDNBSSA-N 0 1 314.401 3.454 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(CC(C)C)cc2)[C@H]1C ZINC000994374684 659846645 /nfs/dbraw/zinc/84/66/45/659846645.db2.gz LGSWIXSFQZZEEJ-APWZRJJASA-N 0 1 312.457 3.101 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3cccc(C)c3o2)[C@@H]1C ZINC000994491182 659861966 /nfs/dbraw/zinc/86/19/66/659861966.db2.gz XTGACCGQIUFHOI-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(CC(C)C)n2)[C@@H]1C ZINC000994519455 659868588 /nfs/dbraw/zinc/86/85/88/659868588.db2.gz OGECEXZBZZWYGR-UONOGXRCSA-N 0 1 321.490 3.110 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(CC(C)C)n2)[C@@H]1C ZINC000994519452 659868616 /nfs/dbraw/zinc/86/86/16/659868616.db2.gz OGECEXZBZZWYGR-KBPBESRZSA-N 0 1 321.490 3.110 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2C)[C@H]1C ZINC000994518321 659868631 /nfs/dbraw/zinc/86/86/31/659868631.db2.gz MBRRUGKRBNCLDG-SJLPKXTDSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H]1C ZINC000994526172 659871731 /nfs/dbraw/zinc/87/17/31/659871731.db2.gz DLWKZWQWKZQDIF-CZUORRHYSA-N 0 1 320.383 3.014 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CC2CCC(C)(C)CC2)[C@H]1C ZINC000994561714 659882775 /nfs/dbraw/zinc/88/27/75/659882775.db2.gz OEYJSPUCOOAGDE-NVXWUHKLSA-N 0 1 304.478 3.195 20 30 DGEDMN Cc1ccc2nc(CNC(=O)C=Cc3ccccc3C#N)[nH]c2c1 ZINC000174873271 659902292 /nfs/dbraw/zinc/90/22/92/659902292.db2.gz AGIYLGWDADOGCD-VQHVLOKHSA-N 0 1 316.364 3.073 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1ccc2c(c1)OCO2 ZINC000175316079 659918806 /nfs/dbraw/zinc/91/88/06/659918806.db2.gz CJVJOZKZALCFEC-HNQUOIGGSA-N 0 1 304.248 3.295 20 30 DGEDMN CC(=Cc1cccc(Cl)c1)C(=O)[C@@H](C#N)C(=O)N1CCCC1 ZINC000179602766 659934576 /nfs/dbraw/zinc/93/45/76/659934576.db2.gz PGMYAFZYCNKSCT-SAAWKEMMSA-N 0 1 316.788 3.075 20 30 DGEDMN CC[C@H](Oc1ccccc1C)C(=O)Nc1cc(C#N)ccc1O ZINC000179737197 659940132 /nfs/dbraw/zinc/94/01/32/659940132.db2.gz QEOJMKYKWHGEHM-INIZCTEOSA-N 0 1 310.353 3.368 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccn2Cc2cccs2)c1 ZINC000179736836 659940250 /nfs/dbraw/zinc/94/02/50/659940250.db2.gz QDOHVKGOBFNVNV-UHFFFAOYSA-N 0 1 323.377 3.427 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001031755255 659968613 /nfs/dbraw/zinc/96/86/13/659968613.db2.gz FDFGBWAXUVMWGD-UHFFFAOYSA-N 0 1 300.446 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC(F)(F)CC2)C[C@H]1C ZINC000939197853 659990413 /nfs/dbraw/zinc/99/04/13/659990413.db2.gz AOJTWCLJUVVCAR-ZWNOBZJWSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3C[C@]3(C)CC)C2)CC1 ZINC001040901257 659992154 /nfs/dbraw/zinc/99/21/54/659992154.db2.gz ISRNIQSWKPRJEL-WBVHZDCISA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2csc(Cl)c2)C[C@H]1C ZINC000939250787 659992589 /nfs/dbraw/zinc/99/25/89/659992589.db2.gz PZAFAHVYQBEUHN-LDYMZIIASA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3sccc3[nH]2)C[C@H]1C ZINC000939464287 660000387 /nfs/dbraw/zinc/00/03/87/660000387.db2.gz QJEFLMSFXQLDPF-NOZJJQNGSA-N 0 1 323.849 3.032 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2occc2-c2ccccc2)C1 ZINC001031828874 660002497 /nfs/dbraw/zinc/00/24/97/660002497.db2.gz RSECXFVUHROZOX-UHFFFAOYSA-N 0 1 310.397 3.184 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2Cl)C[C@H]1C ZINC000939594028 660006086 /nfs/dbraw/zinc/00/60/86/660006086.db2.gz YWLIUWMGHZEWOF-YGRLFVJLSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3[nH]ccc3s2)C[C@H]1C ZINC000939617904 660006946 /nfs/dbraw/zinc/00/69/46/660006946.db2.gz WAFYIZZUAMEKAZ-BXKDBHETSA-N 0 1 323.849 3.032 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC[C@H]2c2ccccc2)C1 ZINC001031836864 660008217 /nfs/dbraw/zinc/00/82/17/660008217.db2.gz RWRSLDNCXNRILZ-OALUTQOASA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3ccccc3cc2F)C1 ZINC001031840031 660009800 /nfs/dbraw/zinc/00/98/00/660009800.db2.gz XPZSATJFWRUINI-UHFFFAOYSA-N 0 1 312.388 3.217 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)c2ccccc2)C[C@@H]1C ZINC000939696134 660011624 /nfs/dbraw/zinc/01/16/24/660011624.db2.gz FFBROZZLSSSQDR-XJKSGUPXSA-N 0 1 320.864 3.153 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C)C(C)(C)C2(C)C)C[C@H]1C ZINC000939874106 660017519 /nfs/dbraw/zinc/01/75/19/660017519.db2.gz NLTBEVNTMXDJEK-DGCLKSJQSA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001031896906 660049920 /nfs/dbraw/zinc/04/99/20/660049920.db2.gz LSMVBAQOOMMRCD-UHFFFAOYSA-N 0 1 306.837 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CCC)s2)C(C)(C)C1 ZINC000940781957 660064541 /nfs/dbraw/zinc/06/45/41/660064541.db2.gz FKXJDVDUFBXWOA-INIZCTEOSA-N 0 1 318.486 3.164 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccccc2C(C)C)C(C)(C)C1 ZINC000940791496 660065595 /nfs/dbraw/zinc/06/55/95/660065595.db2.gz NVJHORRWTUKRCJ-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(Cl)cc2C)C(C)(C)C1 ZINC000941000163 660077395 /nfs/dbraw/zinc/07/73/95/660077395.db2.gz OXKCBVRMBBDHCB-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)C(C)(C)C1 ZINC000941058515 660083224 /nfs/dbraw/zinc/08/32/24/660083224.db2.gz NVAALDICNQAGPX-ULQDDVLXSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(Cl)ccc2C)C(C)(C)C1 ZINC000941107819 660086265 /nfs/dbraw/zinc/08/62/65/660086265.db2.gz QJUHQAVTGVEURS-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000941096260 660086706 /nfs/dbraw/zinc/08/67/06/660086706.db2.gz DOQHMEWSRJEDTR-HNNXBMFYSA-N 0 1 320.383 3.088 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C(C)(C)C1 ZINC000941120008 660087280 /nfs/dbraw/zinc/08/72/80/660087280.db2.gz PGRFQGGGCGQUHF-KZNAEPCWSA-N 0 1 318.505 3.299 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000941174562 660091528 /nfs/dbraw/zinc/09/15/28/660091528.db2.gz JERULFUWOKBWIQ-KZNAEPCWSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(CC)c(CC)o2)C(C)(C)C1 ZINC000941167736 660092559 /nfs/dbraw/zinc/09/25/59/660092559.db2.gz ZUEUSRYWJUCEJE-QGZVFWFLSA-N 0 1 318.461 3.421 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)cn2CC)C(C)(C)C1 ZINC000941223543 660096740 /nfs/dbraw/zinc/09/67/40/660096740.db2.gz GYBMEMDPUOBSER-HNNXBMFYSA-N 0 1 323.868 3.178 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3c(cccc3C)o2)C(C)(C)C1 ZINC000941242770 660097434 /nfs/dbraw/zinc/09/74/34/660097434.db2.gz LPBQLGZLZFUBDL-SFHVURJKSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941255596 660098940 /nfs/dbraw/zinc/09/89/40/660098940.db2.gz GUEDLBNSOLCTAY-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN CN(C)c1ccc(CN(Cc2cncc(C#N)c2)C2CC2)cc1 ZINC000929297227 661295186 /nfs/dbraw/zinc/29/51/86/661295186.db2.gz VWYVPCWUHQYAIY-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN CC[C@@](O)(CN(Cc1ccc(C#N)cc1)C(C)C)C(F)(F)F ZINC000929380444 661302593 /nfs/dbraw/zinc/30/25/93/661302593.db2.gz WHANQBXWPJBDRK-OAHLLOKOSA-N 0 1 314.351 3.472 20 30 DGEDMN CO[C@@H]1CC[C@H]2OCC[N@@H+](CCCCC3(C#N)CCC3)[C@@H]2C1 ZINC000930090617 661365483 /nfs/dbraw/zinc/36/54/83/661365483.db2.gz CYBNESBUBCKYDA-BRWVUGGUSA-N 0 1 306.450 3.119 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN[C@H](c1cccnc1)C(C)(C)CO ZINC000930578486 661412552 /nfs/dbraw/zinc/41/25/52/661412552.db2.gz VDQQRJALLJOYKJ-LJQANCHMSA-N 0 1 323.440 3.420 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000930694989 661422243 /nfs/dbraw/zinc/42/22/43/661422243.db2.gz ZNRWWPINDRFIQL-GZBFAFLISA-N 0 1 312.335 3.450 20 30 DGEDMN N#CC1CCC(CN2CCN(c3c(F)cccc3F)CC2)CC1 ZINC000930810341 661431578 /nfs/dbraw/zinc/43/15/78/661431578.db2.gz HMLGBGXJTDTSMZ-UHFFFAOYSA-N 0 1 319.399 3.417 20 30 DGEDMN CC[C@](O)(CN1CCC2(CC1)C(F)(F)C2(F)F)C(F)(F)F ZINC000930849708 661434405 /nfs/dbraw/zinc/43/44/05/661434405.db2.gz TVKPHZDFVWLOJY-VIFPVBQESA-N 0 1 323.252 3.056 20 30 DGEDMN C[C@](O)(CN1CCC[C@@]2(CC2(F)F)C1)C(F)(F)C(F)(F)F ZINC000930864663 661435896 /nfs/dbraw/zinc/43/58/96/661435896.db2.gz UWLMBMNKGIEXDR-DTWKUNHWSA-N 0 1 323.252 3.056 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@@H](n3ccnn3)CC2)c1 ZINC000931007847 661444790 /nfs/dbraw/zinc/44/47/90/661444790.db2.gz WPHWBSDGKJCVPL-MRXNPFEDSA-N 0 1 315.808 3.030 20 30 DGEDMN C=CCc1cccc(C(C)C)c1NC(=O)NCC#CCN(C)C ZINC000931062059 661449104 /nfs/dbraw/zinc/44/91/04/661449104.db2.gz NGKLNHJBMWCAOV-UHFFFAOYSA-N 0 1 313.445 3.225 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1ccc(CN2CCCCC2)o1 ZINC000931327750 661467694 /nfs/dbraw/zinc/46/76/94/661467694.db2.gz SYJDZXAXHYMPHY-UHFFFAOYSA-N 0 1 303.406 3.030 20 30 DGEDMN COc1ccc([C@H]2CN(CC3CCC(C#N)CC3)CCO2)cc1 ZINC000931554996 661488208 /nfs/dbraw/zinc/48/82/08/661488208.db2.gz RUPMMYWYBICAKM-LADRWXRNSA-N 0 1 314.429 3.398 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3cncc(C#N)c3)C2)[nH]n1 ZINC000931607090 661492145 /nfs/dbraw/zinc/49/21/45/661492145.db2.gz CRVQVOZXFHFOBP-INIZCTEOSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3cncc(C#N)c3)C2)n[nH]1 ZINC000931607090 661492146 /nfs/dbraw/zinc/49/21/46/661492146.db2.gz CRVQVOZXFHFOBP-INIZCTEOSA-N 0 1 309.417 3.179 20 30 DGEDMN N#Cc1ccc(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000931921205 661522414 /nfs/dbraw/zinc/52/24/14/661522414.db2.gz AVNVCNLKJSEWFJ-MJGOQNOKSA-N 0 1 319.364 3.240 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)c([N+](=O)[O-])c1 ZINC000931921206 661522615 /nfs/dbraw/zinc/52/26/15/661522615.db2.gz AVNVCNLKJSEWFJ-PKOBYXMFSA-N 0 1 319.364 3.240 20 30 DGEDMN C#CCC1(NCc2nnnn2-c2c(C)cccc2C)CCCCC1 ZINC000933269037 661626902 /nfs/dbraw/zinc/62/69/02/661626902.db2.gz IPTVDDWNFUDRCL-UHFFFAOYSA-N 0 1 323.444 3.095 20 30 DGEDMN CCCCNC(=O)[C@@H](C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933613733 661644914 /nfs/dbraw/zinc/64/49/14/661644914.db2.gz FYGPGJVNZSYRKI-OAHLLOKOSA-N 0 1 313.445 3.042 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)c1ccc(F)cc1F ZINC000933775401 661657361 /nfs/dbraw/zinc/65/73/61/661657361.db2.gz HRIWRAFTCLTCPU-HNNXBMFYSA-N 0 1 308.353 3.063 20 30 DGEDMN N#CCCOCCN1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934419938 661705680 /nfs/dbraw/zinc/70/56/80/661705680.db2.gz ZGVMYOPMKNEQKU-UHFFFAOYSA-N 0 1 320.820 3.165 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1=O ZINC000934458751 661708228 /nfs/dbraw/zinc/70/82/28/661708228.db2.gz CYNXDUGFCFTZJZ-XKQJLSEDSA-N 0 1 302.393 3.138 20 30 DGEDMN C=CCCCN(CC)Cc1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000934649638 661722691 /nfs/dbraw/zinc/72/26/91/661722691.db2.gz VWNOBUVKRVFFFL-UHFFFAOYSA-N 0 1 316.361 3.433 20 30 DGEDMN CC1=C(C(=O)Nc2ccc(F)cc2OCC#N)CCN1C(C)C ZINC000934743106 661732199 /nfs/dbraw/zinc/73/21/99/661732199.db2.gz XKNZESNRRKMOFJ-UHFFFAOYSA-N 0 1 317.364 3.055 20 30 DGEDMN C[C@]1(C#N)CCCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000935350477 661779258 /nfs/dbraw/zinc/77/92/58/661779258.db2.gz JFYWFURTEUEGON-GOSISDBHSA-N 0 1 315.417 3.031 20 30 DGEDMN CN1C(=O)CCc2cc(/C=C/C(=O)c3ccccc3O)ccc21 ZINC000187644272 661901788 /nfs/dbraw/zinc/90/17/88/661901788.db2.gz CAWBZHMZWHRDBL-JXMROGBWSA-N 0 1 307.349 3.197 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2occc2-c2ccccc2)C[C@@H]1C ZINC000947524300 661913817 /nfs/dbraw/zinc/91/38/17/661913817.db2.gz RNJAFFCOMOKEAW-DOTOQJQBSA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(CCC)c(C)s2)C[C@@H]1C ZINC000948013470 661944430 /nfs/dbraw/zinc/94/44/30/661944430.db2.gz XGHRZRSNFBRJPJ-XJKSGUPXSA-N 0 1 318.486 3.225 20 30 DGEDMN CC(C)C(=O)N(C)[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC000948205942 661960187 /nfs/dbraw/zinc/96/01/87/661960187.db2.gz QMCFRDXWZAQEIO-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)Cc2occc2C)CC1 ZINC000948748100 661995044 /nfs/dbraw/zinc/99/50/44/661995044.db2.gz CXDADGIAEVNABW-HNNXBMFYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(Cc3ccccc3)CCC2)CC1 ZINC000948801534 661998677 /nfs/dbraw/zinc/99/86/77/661998677.db2.gz XYSXTJIYYIFQMF-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(CC)CCC(F)(F)CC2)CC1 ZINC000948921507 662008320 /nfs/dbraw/zinc/00/83/20/662008320.db2.gz OVJHYUAOHIBXKF-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000948969504 662009897 /nfs/dbraw/zinc/00/98/97/662009897.db2.gz ISDNIPDLOGETDD-XUVXKRRUSA-N 0 1 324.468 3.324 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC[C@H]2c2ccccc2)CC1 ZINC000949228717 662013233 /nfs/dbraw/zinc/01/32/33/662013233.db2.gz JVPRMPKJVPSODR-RBUKOAKNSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2ccc(C3CCC3)cc2)CC1 ZINC000949516370 662017724 /nfs/dbraw/zinc/01/77/24/662017724.db2.gz UOZAHFIZWMIIDS-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2oc3c(cccc3C)c2C)CC1 ZINC001006447517 662031083 /nfs/dbraw/zinc/03/10/83/662031083.db2.gz STSIYMIDQWPIFV-UHFFFAOYSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCN(CCOC)Cc1cc(=O)oc2c(C)c(C)ccc12 ZINC000191119857 662054533 /nfs/dbraw/zinc/05/45/33/662054533.db2.gz UHRQHNZAEDMRTJ-UHFFFAOYSA-N 0 1 301.386 3.044 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCCC2(C)C)CC1 ZINC001006457136 662066541 /nfs/dbraw/zinc/06/65/41/662066541.db2.gz BXMASMAEWIQQEN-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000950444446 662066560 /nfs/dbraw/zinc/06/65/60/662066560.db2.gz DXYFWTIYDZHFFJ-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(C)CCC(C)CC2)CC1 ZINC001006457510 662068159 /nfs/dbraw/zinc/06/81/59/662068159.db2.gz GIQHVRZTCHZLMV-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(F)c(Cl)c2)CC1 ZINC000950703593 662079107 /nfs/dbraw/zinc/07/91/07/662079107.db2.gz RADRGACYHVLFOS-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc3c(cccc3C)o2)CC1 ZINC001006461248 662081138 /nfs/dbraw/zinc/08/11/38/662081138.db2.gz PWKWGCFBBABEMJ-UHFFFAOYSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C[C@@H]1CC2(CCC2)C(=O)O1 ZINC000191954885 662084380 /nfs/dbraw/zinc/08/43/80/662084380.db2.gz ZINGNFSXIALUIC-KRWDZBQOSA-N 0 1 315.413 3.169 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)c2ccccc2C)CC1 ZINC000951187552 662118323 /nfs/dbraw/zinc/11/83/23/662118323.db2.gz RXIKCYDOOFFYFX-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN CCO[C@@H](C(=O)[C@@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000194483363 662166586 /nfs/dbraw/zinc/16/65/86/662166586.db2.gz OMLXQFUMRVJQIN-UKRRQHHQSA-N 0 1 300.383 3.406 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(Cl)ccc2C)CC1 ZINC000952299656 662180366 /nfs/dbraw/zinc/18/03/66/662180366.db2.gz RFXLRKNHBRXSKY-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN CC(C)(C)C(=O)NCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000195331453 662185261 /nfs/dbraw/zinc/18/52/61/662185261.db2.gz QSNSLHWEVURMKI-CAOOACKPSA-N 0 1 317.216 3.280 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCO[C@@H](CCC)C2)C1 ZINC000957041086 662346385 /nfs/dbraw/zinc/34/63/85/662346385.db2.gz SYDMXVQIMIYDNC-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCN1CC(N(CC)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC000957120840 662351747 /nfs/dbraw/zinc/35/17/47/662351747.db2.gz SBAARMSTGFXAAP-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc[nH]c2C(C)C)[C@@H]1C ZINC000986688776 685349616 /nfs/dbraw/zinc/34/96/16/685349616.db2.gz DEJNQVWQDPVZFS-TZMCWYRMSA-N 0 1 309.841 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3[nH]ccc3c2)[C@@H]1C ZINC000986778802 685351275 /nfs/dbraw/zinc/35/12/75/685351275.db2.gz LFJHIEXTDNVCNT-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)[C@@H]1C ZINC000994239853 685359880 /nfs/dbraw/zinc/35/98/80/685359880.db2.gz LKRQEBBLZMYZOF-WMLDXEAASA-N 0 1 318.436 3.258 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C2=CCCC2)CN1Cc1ccc(C#N)cc1 ZINC001071417421 686658291 /nfs/dbraw/zinc/65/82/91/686658291.db2.gz UIODUGMNSHLFNC-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(Cl)c2C)CC[C@@H]1C ZINC001071524599 686678066 /nfs/dbraw/zinc/67/80/66/686678066.db2.gz GXYWUZYHEANWOU-DZGCQCFKSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2Cl)CC[C@H]1C ZINC001071526651 686678795 /nfs/dbraw/zinc/67/87/95/686678795.db2.gz UFSFSHPKWKMSEM-NEPJUHHUSA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc3c2CCCC3)CC[C@@H]1C ZINC001071530782 686681033 /nfs/dbraw/zinc/68/10/33/686681033.db2.gz AABWSIVAAXWOOU-WMZOPIPTSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2scc(C)c2Cl)CC[C@H]1C ZINC001071531288 686681735 /nfs/dbraw/zinc/68/17/35/686681735.db2.gz JUOACWCHRDOHKY-OLZOCXBDSA-N 0 1 324.877 3.316 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3c2CCCC3)CC[C@H]1C ZINC001071531234 686681889 /nfs/dbraw/zinc/68/18/89/686681889.db2.gz IWZALKASBYVTBZ-WBVHZDCISA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2conc2C2CCCC2)CC[C@@H]1C ZINC001071562038 686689048 /nfs/dbraw/zinc/68/90/48/686689048.db2.gz YRHGDDVDKGCPLX-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccnn2C(CC)CC)CC[C@H]1C ZINC001071568906 686691073 /nfs/dbraw/zinc/69/10/73/686691073.db2.gz UISPXHDMKSAOAV-HUUCEWRRSA-N 0 1 318.465 3.013 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C)CCCCCC2)CC[C@@H]1C ZINC001071576879 686693166 /nfs/dbraw/zinc/69/31/66/686693166.db2.gz RLJFYMBDLGZZQM-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)CC[C@@H]1C ZINC001071613015 686701772 /nfs/dbraw/zinc/70/17/72/686701772.db2.gz QINNTTUPCWBHEN-BBRMVZONSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CC[C@@H](C)N(CC=C)C1 ZINC001071618893 686704040 /nfs/dbraw/zinc/70/40/40/686704040.db2.gz GFIBUGBQQSZWID-HZPDHXFCSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3cc(F)ccc23)CC[C@H]1C ZINC001071630690 686708418 /nfs/dbraw/zinc/70/84/18/686708418.db2.gz ATYRVBALKBDPKB-TZMCWYRMSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)cc3ccoc32)CC[C@H]1C ZINC001071629348 686708461 /nfs/dbraw/zinc/70/84/61/686708461.db2.gz YHYBAUBYZGWYMH-IUODEOHRSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3cccnc32)CC[C@H]1C ZINC001071654050 686714098 /nfs/dbraw/zinc/71/40/98/686714098.db2.gz CCUFLAGHXMCHIN-ZBFHGGJFSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(COC)cs2)CC[C@@H]1C ZINC001071653534 686714163 /nfs/dbraw/zinc/71/41/63/686714163.db2.gz ZOQZHPKANDXKOW-ZFWWWQNUSA-N 0 1 322.474 3.053 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(CC)s2)CC[C@H]1C ZINC001071660506 686714953 /nfs/dbraw/zinc/71/49/53/686714953.db2.gz XCPJJENKSPVGAD-ZIAGYGMSSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2F)CC[C@H]1C ZINC001071662126 686716284 /nfs/dbraw/zinc/71/62/84/686716284.db2.gz AYNAMHRWDIAZJD-YPMHNXCESA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccnc3ccccc32)CC[C@H]1C ZINC001071662897 686716298 /nfs/dbraw/zinc/71/62/98/686716298.db2.gz FVCXTINSRPKGSK-HUUCEWRRSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@@H]1C ZINC001071671045 686719800 /nfs/dbraw/zinc/71/98/00/686719800.db2.gz WFOAEBILFCEOAO-UONOGXRCSA-N 0 1 322.399 3.432 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCCCCCC2)CC[C@@H]1C ZINC001071675176 686721073 /nfs/dbraw/zinc/72/10/73/686721073.db2.gz CLASOBUPBFJWGO-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C(C)(C)C)on2)CC[C@H]1C ZINC001071675740 686721132 /nfs/dbraw/zinc/72/11/32/686721132.db2.gz HDHKRFKCSVPHMK-KGLIPLIRSA-N 0 1 319.449 3.131 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@H]1C ZINC001071686572 686723137 /nfs/dbraw/zinc/72/31/37/686723137.db2.gz WGCVBDSNYWNAGZ-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc(C)c(Cl)cc2C)CC[C@@H]1C ZINC001071725078 686734385 /nfs/dbraw/zinc/73/43/85/686734385.db2.gz QADLNUHOXBZIOA-LSDHHAIUSA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@H]1C ZINC001071732162 686736200 /nfs/dbraw/zinc/73/62/00/686736200.db2.gz PEBZFEVRDUOZNP-WBVHZDCISA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@@H]1C ZINC001071735356 686737221 /nfs/dbraw/zinc/73/72/21/686737221.db2.gz KUWAKOIYVAWXPD-GOEBONIOSA-N 0 1 304.409 3.211 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@H]1C ZINC001071736000 686737595 /nfs/dbraw/zinc/73/75/95/686737595.db2.gz WCBOOPALLUHKFO-NVXWUHKLSA-N 0 1 316.420 3.049 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)CC[C@H]1C ZINC001071739863 686738052 /nfs/dbraw/zinc/73/80/52/686738052.db2.gz ZIQAJWKRNDRDQJ-GDBMZVCRSA-N 0 1 323.440 3.001 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@H]1C ZINC001071779901 686751207 /nfs/dbraw/zinc/75/12/07/686751207.db2.gz RACRCKKMKXXHBD-OCCSQVGLSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@@H]1C ZINC001071792249 686754149 /nfs/dbraw/zinc/75/41/49/686754149.db2.gz GNSVOKWIPYKINH-HOCLYGCPSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(F)c2F)CC[C@H]1C ZINC001071835655 686765496 /nfs/dbraw/zinc/76/54/96/686765496.db2.gz OPFSKCWHUFISTK-CHWSQXEVSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@@H]1C ZINC001071846993 686770845 /nfs/dbraw/zinc/77/08/45/686770845.db2.gz HREAHGIKYJYTRO-WCQYABFASA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(C(C)C)n2)CC[C@@H]1C ZINC001071901673 686787911 /nfs/dbraw/zinc/78/79/11/686787911.db2.gz UVNPHGJSSARGIN-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C)c2Cl)CC[C@@H]1C ZINC001071904927 686788639 /nfs/dbraw/zinc/78/86/39/686788639.db2.gz RILDBMBGODGJSR-KBPBESRZSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C)c2Cl)CC[C@H]1C ZINC001071904930 686788691 /nfs/dbraw/zinc/78/86/91/686788691.db2.gz RILDBMBGODGJSR-ZIAGYGMSSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cccnc3c2)CC[C@H]1C ZINC001071913852 686790090 /nfs/dbraw/zinc/79/00/90/686790090.db2.gz JNARSWXMQVXDQT-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc(C(C)(C)C)c2)CC[C@H]1C ZINC001071923607 686791831 /nfs/dbraw/zinc/79/18/31/686791831.db2.gz LBAPUXSLOQESKP-CRAIPNDOSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc3sccc3c2)CC[C@H]1C ZINC001071949936 686794201 /nfs/dbraw/zinc/79/42/01/686794201.db2.gz AXQXCLJPPOIBLZ-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3ccsc32)CC[C@@H]1C ZINC001071967887 686799348 /nfs/dbraw/zinc/79/93/48/686799348.db2.gz PSQGWULVOWGKFF-DZGCQCFKSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cnccc3c2)CC[C@H]1C ZINC001071966782 686799929 /nfs/dbraw/zinc/79/99/29/686799929.db2.gz HXDYDXHMOIESHX-RDTXWAMCSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2CCC)CC[C@H]1C ZINC001071982649 686803961 /nfs/dbraw/zinc/80/39/61/686803961.db2.gz OKJXDXJPPADMPV-CABCVRRESA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(CCC)nc2C)CC[C@@H]1C ZINC001071993867 686808127 /nfs/dbraw/zinc/80/81/27/686808127.db2.gz YDIWSORTMOAQDM-DZGCQCFKSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)CC[C@H]1C ZINC001072004815 686812547 /nfs/dbraw/zinc/81/25/47/686812547.db2.gz GMQNQSRGBAFFHA-PBHICJAKSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ccccc3n2)CC[C@H]1C ZINC001072019731 686826098 /nfs/dbraw/zinc/82/60/98/686826098.db2.gz NDFZMQIGPNSASX-GDBMZVCRSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)csc2Cl)CC[C@H]1C ZINC001072027222 686831724 /nfs/dbraw/zinc/83/17/24/686831724.db2.gz VQNVSJWUQMDHDU-VXGBXAGGSA-N 0 1 312.866 3.479 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3ccccc3o2)CC[C@@H]1C ZINC001072047089 686843776 /nfs/dbraw/zinc/84/37/76/686843776.db2.gz ABCMGFWKKWQQPD-GOEBONIOSA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(Cl)cccc2OC)CC[C@H]1C ZINC001072095848 686862794 /nfs/dbraw/zinc/86/27/94/686862794.db2.gz PDOPULGYJSBYQZ-OLZOCXBDSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3cccc(C)c3o2)CC[C@@H]1C ZINC001072098384 686863223 /nfs/dbraw/zinc/86/32/23/686863223.db2.gz BBDGDCXJJXKGJT-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)CC[C@H]1C ZINC001072099543 686864576 /nfs/dbraw/zinc/86/45/76/686864576.db2.gz SIXVLQKFCYYTRV-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3c(cccc3C)o2)CC[C@H]1C ZINC001072101153 686865800 /nfs/dbraw/zinc/86/58/00/686865800.db2.gz FBHURPZKCQMFIY-HZPDHXFCSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(CC(C)C)n2)CC[C@H]1C ZINC001072113618 686874039 /nfs/dbraw/zinc/87/40/39/686874039.db2.gz YYFNSZXOBXHCSP-ZIAGYGMSSA-N 0 1 321.490 3.110 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2coc3ccccc23)CC[C@H]1C ZINC001072118931 686875156 /nfs/dbraw/zinc/87/51/56/686875156.db2.gz BHMFRMNJAOLZIO-CABCVRRESA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@H]1C ZINC001072115896 686875831 /nfs/dbraw/zinc/87/58/31/686875831.db2.gz DTAYYUXYAPVUOR-IAGOWNOFSA-N 0 1 312.457 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccc(C)cc2)[C@H]1C ZINC001075049659 687328306 /nfs/dbraw/zinc/32/83/06/687328306.db2.gz ASTQQABNSWQDGU-ZOBUZTSGSA-N 0 1 320.864 3.430 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CC(C)(C)CC(C)(C)C3)[C@@H]2C1 ZINC001076088589 687477569 /nfs/dbraw/zinc/47/75/69/687477569.db2.gz PYFUXJUWRBUFPA-DOTOQJQBSA-N 0 1 316.489 3.005 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]3CN(C[C@@H](F)CC)C[C@H]32)CC1 ZINC001076208999 687495588 /nfs/dbraw/zinc/49/55/88/687495588.db2.gz UHEXHZBSQSNYGH-YESZJQIVSA-N 0 1 308.441 3.014 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C(C)(C)C)oc3C)[C@@H]2C1 ZINC001076293319 687504433 /nfs/dbraw/zinc/50/44/33/687504433.db2.gz UOCKPAMXGTWRPV-GOEBONIOSA-N 0 1 316.445 3.218 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001076318629 687507978 /nfs/dbraw/zinc/50/79/78/687507978.db2.gz WHFGBAHVRORMAV-CEXWTWQISA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001080487968 687985260 /nfs/dbraw/zinc/98/52/60/687985260.db2.gz XAEHDVKWQHNCHN-AUUYWEPGSA-N 0 1 324.399 3.052 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001080833757 688018409 /nfs/dbraw/zinc/01/84/09/688018409.db2.gz ZAZHJACCVHVAOA-QMTHXVAHSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2sc(CCC)nc2C)C1 ZINC001080935543 688029669 /nfs/dbraw/zinc/02/96/69/688029669.db2.gz YKKSFUODABXTQZ-TZMCWYRMSA-N 0 1 321.490 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C[C@H]1C ZINC001082521706 688118603 /nfs/dbraw/zinc/11/86/03/688118603.db2.gz VSMZFBBLCDWNEY-FAAHXZRKSA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)CC2CCCC2)C[C@H]1C ZINC001082779869 688127715 /nfs/dbraw/zinc/12/77/15/688127715.db2.gz UDBPYYPXAYGFKX-WOSRLPQWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](C)c2ccccc2)C[C@H]1C ZINC001082900947 688131023 /nfs/dbraw/zinc/13/10/23/688131023.db2.gz LVHGDROZTVULNW-JJRVBVJISA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]2(C)CC=C(C)CC2)C[C@H]1C ZINC001082935837 688131970 /nfs/dbraw/zinc/13/19/70/688131970.db2.gz DBIYSUKXVRQHAE-FRFSOERESA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC001585553621 1192268589 /nfs/dbraw/zinc/26/85/89/1192268589.db2.gz OLZDYVVFZWTVCT-QGZVFWFLSA-N 0 1 300.446 3.370 20 30 DGEDMN C=CCC(F)(F)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001586001257 1192299795 /nfs/dbraw/zinc/29/97/95/1192299795.db2.gz QFJJVLUATJTQBL-UHFFFAOYSA-N 0 1 317.339 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001586151932 1192312002 /nfs/dbraw/zinc/31/20/02/1192312002.db2.gz FCHQUXKOCAVOLV-KRWDZBQOSA-N 0 1 315.373 3.280 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001663524271 1196895228 /nfs/dbraw/zinc/89/52/28/1196895228.db2.gz WQNBEGQRSDTUGY-TZMCWYRMSA-N 0 1 306.372 3.122 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@@H](C)[C@@H](C)C2)o1 ZINC001589773971 1192473620 /nfs/dbraw/zinc/47/36/20/1192473620.db2.gz UHYCAOWHBVRUPZ-ZJUUUORDSA-N 0 1 317.397 3.202 20 30 DGEDMN Fc1cccc(Cl)c1NN=Cc1cccc(-c2nn[nH]n2)c1 ZINC001592656889 1192625087 /nfs/dbraw/zinc/62/50/87/1192625087.db2.gz VYYJEBPMOYRQCO-UHFFFAOYSA-N 0 1 316.727 3.105 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C(/CC)c2ccc(C)cc2)C1 ZINC001595790695 1192670667 /nfs/dbraw/zinc/67/06/67/1192670667.db2.gz POQPWBHAQVFADL-FCUDFIOSSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001690971104 1176052287 /nfs/dbraw/zinc/05/22/87/1176052287.db2.gz RVFMSHVJNGMJBR-OAHLLOKOSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2cc(F)ccc2C)CC1 ZINC001690974693 1176057176 /nfs/dbraw/zinc/05/71/76/1176057176.db2.gz DBYJXEYPUPQXLI-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001690985879 1176070542 /nfs/dbraw/zinc/07/05/42/1176070542.db2.gz XACQIOCLRMBCPR-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](CC)C(C)(C)C)C2)C1 ZINC001772517134 1176106705 /nfs/dbraw/zinc/10/67/05/1176106705.db2.gz OVEYNSXJTZYQOS-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)n(CC)c1C ZINC001671322900 1176127394 /nfs/dbraw/zinc/12/73/94/1176127394.db2.gz UXEDLDAGVHDFEA-OAHLLOKOSA-N 0 1 323.868 3.072 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1cc(C)on1 ZINC001691987848 1176164603 /nfs/dbraw/zinc/16/46/03/1176164603.db2.gz RXAIGBMNEANJEV-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC=CCC1)C1CCCC1 ZINC001671519415 1176166073 /nfs/dbraw/zinc/16/60/73/1176166073.db2.gz SAPBTWPEBJIFDV-HZPDHXFCSA-N 0 1 310.869 3.360 20 30 DGEDMN CCN(CCNC(=O)C(C)(C)CC)Cc1ccc(C#N)c(F)c1 ZINC001691037489 1176183069 /nfs/dbraw/zinc/18/30/69/1176183069.db2.gz OIHHOEOJJYLTAB-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)Cc1cccc(F)c1 ZINC001691038615 1176185191 /nfs/dbraw/zinc/18/51/91/1176185191.db2.gz KCODJOMWYCYFDU-GOSISDBHSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](CCC)c1ccccc1 ZINC001670719599 1176232628 /nfs/dbraw/zinc/23/26/28/1176232628.db2.gz SEDMRSCVSGOKHN-ZWKOTPCHSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1ccc(C2CCC2)cc1 ZINC001691053017 1176278678 /nfs/dbraw/zinc/27/86/78/1176278678.db2.gz IPUKNLZWDOCMIP-IBGZPJMESA-N 0 1 312.457 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccccc1F ZINC001691091631 1176304193 /nfs/dbraw/zinc/30/41/93/1176304193.db2.gz CSSBYCFINVLEGB-OAHLLOKOSA-N 0 1 304.409 3.119 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001691092710 1176305929 /nfs/dbraw/zinc/30/59/29/1176305929.db2.gz WTWNNFRKRQEMNR-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)/C(C)=C/CC)C2 ZINC001691802376 1176410232 /nfs/dbraw/zinc/41/02/32/1176410232.db2.gz QVJRMTWENUEYKM-NTUHNPAUSA-N 0 1 319.474 3.050 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H]1CC[N@H+]1CCc1cccs1 ZINC001691395284 1176462979 /nfs/dbraw/zinc/46/29/79/1176462979.db2.gz IDEIRISSJVXTRA-HOTGVXAUSA-N 0 1 320.502 3.426 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1cccc(F)c1 ZINC001691398701 1176464606 /nfs/dbraw/zinc/46/46/06/1176464606.db2.gz HSLQWFVQVTXZAC-MAUKXSAKSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)Cc1cccc(Cl)c1 ZINC001670733882 1176492787 /nfs/dbraw/zinc/49/27/87/1176492787.db2.gz CPTYTSKHCDQIAS-RHSMWYFYSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCCC(=O)N(C)CCCNCc1csc(C(C)(C)C)n1 ZINC001754361998 1176546528 /nfs/dbraw/zinc/54/65/28/1176546528.db2.gz OTVBCBPMELEAHX-UHFFFAOYSA-N 0 1 323.506 3.345 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C(C)=C1CCCC1 ZINC001750937310 1176569525 /nfs/dbraw/zinc/56/95/25/1176569525.db2.gz NHWNTVTZKYYTRR-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2ccc(C#N)cc2C)n[nH]1 ZINC001597893420 1192752827 /nfs/dbraw/zinc/75/28/27/1192752827.db2.gz UMCHUGWGCWOWTM-KRWDZBQOSA-N 0 1 308.385 3.266 20 30 DGEDMN CC(C(=O)NCC1(NCC#Cc2ccccc2)CC1)=C1CCCC1 ZINC001670763987 1176758314 /nfs/dbraw/zinc/75/83/14/1176758314.db2.gz HPNKKRLCTQUIRS-UHFFFAOYSA-N 0 1 322.452 3.167 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(F)cc1Cl ZINC001753722702 1176941114 /nfs/dbraw/zinc/94/11/14/1176941114.db2.gz WJSHFUWQOKEOCE-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CC(C)C)C1CCCCC1 ZINC001753742977 1176946584 /nfs/dbraw/zinc/94/65/84/1176946584.db2.gz CFAZBVSRBFQZGD-OAHLLOKOSA-N 0 1 300.874 3.440 20 30 DGEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N1Cc2ccccc2C2(CCC2)C1 ZINC000329188274 1176997001 /nfs/dbraw/zinc/99/70/01/1176997001.db2.gz BNBQLIWEQGJAQI-OAHLLOKOSA-N 0 1 324.428 3.123 20 30 DGEDMN C=C(Br)CNCc1c[nH]nc1-c1cccc(C)c1 ZINC000105453209 1177010580 /nfs/dbraw/zinc/01/05/80/1177010580.db2.gz XBEGJRKBJBQEMP-UHFFFAOYSA-N 0 1 306.207 3.383 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)[C@H](F)c1ccccc1 ZINC001752822899 1177205169 /nfs/dbraw/zinc/20/51/69/1177205169.db2.gz QKERYNWOXHUUTB-IAGOWNOFSA-N 0 1 304.409 3.244 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@]12C[C@@H]1CCCC2)C(C)(C)C ZINC001755833050 1177275305 /nfs/dbraw/zinc/27/53/05/1177275305.db2.gz VNGKAROMFDSKTR-ZQIUZPCESA-N 0 1 312.885 3.440 20 30 DGEDMN Cc1cc(C)cc([C@@H]([NH2+]Cc2ccc(C#N)s2)C(=O)[O-])c1 ZINC001600168157 1192799285 /nfs/dbraw/zinc/79/92/85/1192799285.db2.gz MQHFZXGUQDZQDU-OAHLLOKOSA-N 0 1 300.383 3.152 20 30 DGEDMN Cc1cc(C[N@@H+](C)Cc2cc(C#N)cs2)ccc1C(=O)[O-] ZINC001600190439 1192801287 /nfs/dbraw/zinc/80/12/87/1192801287.db2.gz LJPYDNBEWJBYGD-UHFFFAOYSA-N 0 1 300.383 3.258 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@](C)(C=C)CC)C1 ZINC001691557042 1177312091 /nfs/dbraw/zinc/31/20/91/1177312091.db2.gz PSFMAXDLNDAFNM-NSHGMRRFSA-N 0 1 312.457 3.359 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(OC(C)C)cc2)CC1 ZINC001670896078 1177521679 /nfs/dbraw/zinc/52/16/79/1177521679.db2.gz QETGEHYLRCSTKW-UHFFFAOYSA-N 0 1 322.836 3.078 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1[C@H]1CCN(CCF)C1 ZINC001670924498 1177546181 /nfs/dbraw/zinc/54/61/81/1177546181.db2.gz ZSXZWXTYHNTUCJ-JKSUJKDBSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1ccc2ccccc2c1 ZINC001691678030 1177678726 /nfs/dbraw/zinc/67/87/26/1177678726.db2.gz INFITJLSTRRHKZ-LJQANCHMSA-N 0 1 308.425 3.101 20 30 DGEDMN O=C(CC1=CCCCC1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001181608337 1177814503 /nfs/dbraw/zinc/81/45/03/1177814503.db2.gz DGLCNZHMIVAGCA-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN Cc1ccc([C@@H](C)[NH2+][C@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC001600372332 1192838815 /nfs/dbraw/zinc/83/88/15/1192838815.db2.gz JMDGTNPJAMRNQA-ABAIWWIYSA-N 0 1 300.383 3.405 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001691712513 1177878826 /nfs/dbraw/zinc/87/88/26/1177878826.db2.gz XYCUFWQQBFXVFG-ODQMNCGBSA-N 0 1 323.440 3.160 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110933838 1177944185 /nfs/dbraw/zinc/94/41/85/1177944185.db2.gz SQLWQNGFTQPBBH-SYQHCUMBSA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(C)C)oc1C)C2 ZINC001096263972 1178013652 /nfs/dbraw/zinc/01/36/52/1178013652.db2.gz LXHRKJYGZORANG-KBMXLJTQSA-N 0 1 302.418 3.233 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1oc(CCC)nc1C ZINC001331533926 1178236889 /nfs/dbraw/zinc/23/68/89/1178236889.db2.gz LXNVCKRCDYKMTM-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C2CC2)nc1C ZINC001331560495 1178246410 /nfs/dbraw/zinc/24/64/10/1178246410.db2.gz LTMJSXUKZQDYMX-GFCCVEGCSA-N 0 1 321.852 3.070 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H](c3nc4ccccc4[nH]3)C2)n1 ZINC001331692310 1178271761 /nfs/dbraw/zinc/27/17/61/1178271761.db2.gz NQVNRDVPZVKJFA-AWEZNQCLSA-N 0 1 317.396 3.209 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCCN1Cc1ccsc1 ZINC001332174429 1178380546 /nfs/dbraw/zinc/38/05/46/1178380546.db2.gz FZPTUPDQNBKGPG-ZBFHGGJFSA-N 0 1 318.486 3.267 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C/Cc2ccccc2)[C@@H]1C ZINC001332184526 1178384764 /nfs/dbraw/zinc/38/47/64/1178384764.db2.gz JAKVWXNRXWTKPV-VSQWLSRBSA-N 0 1 324.468 3.168 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)OCC3CCC(C#N)CC3)c2C1 ZINC001332734821 1178486808 /nfs/dbraw/zinc/48/68/08/1178486808.db2.gz ZTFDUDQONXCWEF-PNESKVBLSA-N 0 1 301.390 3.021 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(CC(C)C)c[nH]1)C(C)C ZINC001332735168 1178487117 /nfs/dbraw/zinc/48/71/17/1178487117.db2.gz JSBVROIMQIGGKJ-UHFFFAOYSA-N 0 1 317.477 3.019 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cn(-c3ccccc3F)nc2C)C1 ZINC001332984509 1178533570 /nfs/dbraw/zinc/53/35/70/1178533570.db2.gz LIALYCLCYBZFKZ-MRXNPFEDSA-N 0 1 315.392 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001333053061 1178547233 /nfs/dbraw/zinc/54/72/33/1178547233.db2.gz DBTUGZZFIKTZCW-WBVHZDCISA-N 0 1 304.409 3.289 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001333053058 1178547981 /nfs/dbraw/zinc/54/79/81/1178547981.db2.gz DBTUGZZFIKTZCW-DOTOQJQBSA-N 0 1 304.409 3.289 20 30 DGEDMN CC(=NN[C@H]1CCCSC1)c1ccc(N2CCCC2)cc1O ZINC001333218797 1178573159 /nfs/dbraw/zinc/57/31/59/1178573159.db2.gz HWSQNFPBNWSHFX-AWEZNQCLSA-N 0 1 319.474 3.202 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](C)CCCc2ccccc2)CC1 ZINC001333459188 1178608489 /nfs/dbraw/zinc/60/84/89/1178608489.db2.gz ZWZSIUPXHRUIME-SFHVURJKSA-N 0 1 324.468 3.027 20 30 DGEDMN Cc1[nH+]ccn1CCCSCc1cccc(C(=O)[O-])c1C#N ZINC001600755238 1192898527 /nfs/dbraw/zinc/89/85/27/1192898527.db2.gz IGMAGRBBRNTBAT-UHFFFAOYSA-N 0 1 315.398 3.085 20 30 DGEDMN N#CC1(CCCC[N@@H+]2CC[C@](C(=O)[O-])(C(F)(F)F)C2)CCC1 ZINC001601054695 1192914488 /nfs/dbraw/zinc/91/44/88/1192914488.db2.gz QZELYIFFIJYVBM-AWEZNQCLSA-N 0 1 318.339 3.190 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@@H]3C[C@@]32C(=O)[O-])c(C(F)(F)F)c1 ZINC001601100823 1192918828 /nfs/dbraw/zinc/91/88/28/1192918828.db2.gz NMGZBHYPHBQMMS-DOMZBBRYSA-N 0 1 324.302 3.016 20 30 DGEDMN N#CC[C@@H]1CCC[N@@H+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064310 1192915020 /nfs/dbraw/zinc/91/50/20/1192915020.db2.gz SNKRJSOXBSTOLD-IINYFYTJSA-N 0 1 310.756 3.230 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064310 1192915024 /nfs/dbraw/zinc/91/50/24/1192915024.db2.gz SNKRJSOXBSTOLD-IINYFYTJSA-N 0 1 310.756 3.230 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC001335408091 1178905747 /nfs/dbraw/zinc/90/57/47/1178905747.db2.gz ZLHZWABWSIQQCZ-DZGCQCFKSA-N 0 1 319.474 3.137 20 30 DGEDMN N#Cc1ccc(C[N@H+](C[C@H]2CCCC[C@H]2C(=O)[O-])C2CC2)cc1 ZINC001601097645 1192918766 /nfs/dbraw/zinc/91/87/66/1192918766.db2.gz JIECIZFJDLZSGK-SJLPKXTDSA-N 0 1 312.413 3.414 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@H]1CC[C@H](C2CC2)O1 ZINC001341825270 1179067337 /nfs/dbraw/zinc/06/73/37/1179067337.db2.gz XSGDNALQWVJKSC-HBUWYVDXSA-N 0 1 323.396 3.097 20 30 DGEDMN Cc1c(Br)csc1C(=O)[C@H](C#N)c1nccn1C ZINC001342268734 1179125135 /nfs/dbraw/zinc/12/51/35/1179125135.db2.gz QEUTVVHRCZDUKY-QMMMGPOBSA-N 0 1 324.203 3.043 20 30 DGEDMN Cc1c(Br)csc1C(=O)C(C#N)c1nccn1C ZINC001342268734 1179125139 /nfs/dbraw/zinc/12/51/39/1179125139.db2.gz QEUTVVHRCZDUKY-QMMMGPOBSA-N 0 1 324.203 3.043 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC(C)(C)C[C@H]1C ZINC001342332793 1179131070 /nfs/dbraw/zinc/13/10/70/1179131070.db2.gz RTIBILIHVVMKNT-GFCCVEGCSA-N 0 1 314.437 3.178 20 30 DGEDMN CCCC[C@H](C(=O)C(C#N)C(=O)Nc1ccccn1)C(C)C ZINC001342632124 1179163796 /nfs/dbraw/zinc/16/37/96/1179163796.db2.gz ZIXHDHIYQXVFCQ-KBPBESRZSA-N 0 1 301.390 3.191 20 30 DGEDMN CCCn1ncc(C(=O)[C@@H](C#N)c2cccc(F)n2)c1C1CC1 ZINC001342663391 1179169926 /nfs/dbraw/zinc/16/99/26/1179169926.db2.gz JDWKHQBJARQYAB-LBPRGKRZSA-N 0 1 312.348 3.195 20 30 DGEDMN CCCn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1C1CC1 ZINC001342663391 1179169931 /nfs/dbraw/zinc/16/99/31/1179169931.db2.gz JDWKHQBJARQYAB-LBPRGKRZSA-N 0 1 312.348 3.195 20 30 DGEDMN C#CCN(CC1CC1)C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC001343078612 1179231527 /nfs/dbraw/zinc/23/15/27/1179231527.db2.gz XBHLVEGYSGCARB-UHFFFAOYSA-N 0 1 318.380 3.043 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccnn3C3CCC3)[nH]c21 ZINC001343274455 1179251003 /nfs/dbraw/zinc/25/10/03/1179251003.db2.gz NNNHFHDLGNOKMV-ZDUSSCGKSA-N 0 1 319.368 3.283 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C(C)(C)c1cccc(Cl)c1F ZINC001343705814 1179319827 /nfs/dbraw/zinc/31/98/27/1179319827.db2.gz TUBOPXNFWFHQSS-SNVBAGLBSA-N 0 1 319.767 3.367 20 30 DGEDMN N#C[C@@H](C(=O)CCC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001344546103 1179449665 /nfs/dbraw/zinc/44/96/65/1179449665.db2.gz ZUBQLSRDOHJQBD-AWEZNQCLSA-N 0 1 308.385 3.359 20 30 DGEDMN CCc1c(C(=O)[C@H](C#N)c2cccc(F)n2)cnn1CC(C)C ZINC001344597743 1179456290 /nfs/dbraw/zinc/45/62/90/1179456290.db2.gz XNMHQOSWWZUYNI-GFCCVEGCSA-N 0 1 314.364 3.126 20 30 DGEDMN CCc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1CC(C)C ZINC001344597743 1179456294 /nfs/dbraw/zinc/45/62/94/1179456294.db2.gz XNMHQOSWWZUYNI-GFCCVEGCSA-N 0 1 314.364 3.126 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(C)CCC(F)(F)CC1 ZINC001344865090 1179488635 /nfs/dbraw/zinc/48/86/35/1179488635.db2.gz YZLOPBVTIIBKTM-SECBINFHSA-N 0 1 315.751 3.465 20 30 DGEDMN O=C([O-])[C@]12C[N@@H+](CC#Cc3ccccc3Cl)C[C@H]1CCCC2 ZINC001602205280 1192962496 /nfs/dbraw/zinc/96/24/96/1192962496.db2.gz LXPVXZAGAIXFPP-CRAIPNDOSA-N 0 1 317.816 3.268 20 30 DGEDMN N#CC1(CNC[C@@H](O)COc2ccc3ccccc3c2)CCCC1 ZINC001346325540 1179685550 /nfs/dbraw/zinc/68/55/50/1179685550.db2.gz JPTVOQMYBXLVTE-GOSISDBHSA-N 0 1 324.424 3.253 20 30 DGEDMN N#C[C@H](C(=O)CCc1cccs1)c1nc(N)c2ccccc2n1 ZINC001347063252 1179773041 /nfs/dbraw/zinc/77/30/41/1179773041.db2.gz IHBCUALVRDGLHD-CYBMUJFWSA-N 0 1 322.393 3.083 20 30 DGEDMN N#CC(C(=O)c1csc([C@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125877 1179781073 /nfs/dbraw/zinc/78/10/73/1179781073.db2.gz YIIHNLIWIBXOGU-JOYOIKCWSA-N 0 1 317.345 3.019 20 30 DGEDMN N#C[C@H](C(=O)c1csc([C@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125877 1179781079 /nfs/dbraw/zinc/78/10/79/1179781079.db2.gz YIIHNLIWIBXOGU-JOYOIKCWSA-N 0 1 317.345 3.019 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1cccc(OC)c1 ZINC001347337391 1179796851 /nfs/dbraw/zinc/79/68/51/1179796851.db2.gz ICBYPNSCWRURKU-HNNXBMFYSA-N 0 1 311.385 3.066 20 30 DGEDMN CC[C@H](C#N)N(C)C(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001349776825 1179948977 /nfs/dbraw/zinc/94/89/77/1179948977.db2.gz HNIMZPNMUPBOAU-MSOLQXFVSA-N 0 1 313.445 3.110 20 30 DGEDMN C#C[C@H]([NH2+][C@H](C(=O)[O-])c1ccccc1C(F)(F)F)C(C)(C)C ZINC001602677850 1192994488 /nfs/dbraw/zinc/99/44/88/1192994488.db2.gz DABFHLQTHDSUSO-STQMWFEESA-N 0 1 313.319 3.469 20 30 DGEDMN C#CC[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001602701246 1192995205 /nfs/dbraw/zinc/99/52/05/1192995205.db2.gz CMMRULSRQMEWKH-SMDDNHRTSA-N 0 1 311.303 3.176 20 30 DGEDMN C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)CC1(CC(=O)[O-])CC1 ZINC001602761734 1192996877 /nfs/dbraw/zinc/99/68/77/1192996877.db2.gz BEOWXNYDXASCMK-UHFFFAOYSA-N 0 1 305.422 3.097 20 30 DGEDMN C=CCC[N@H+](C)[C@@H](C(=O)[O-])c1ccc(Br)s1 ZINC001602880316 1193001121 /nfs/dbraw/zinc/00/11/21/1193001121.db2.gz JMCPDHVUCNGCIG-SNVBAGLBSA-N 0 1 304.209 3.144 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H](c1ccsc1)N(C)C)c1ccccc1 ZINC001350880778 1180135859 /nfs/dbraw/zinc/13/58/59/1180135859.db2.gz DPJYBTIJFZVMJL-IRXDYDNUSA-N 0 1 314.454 3.437 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100595916 1180835515 /nfs/dbraw/zinc/83/55/15/1180835515.db2.gz IRFUBABLTZVLHZ-WLYUNCDWSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100644879 1180885900 /nfs/dbraw/zinc/88/59/00/1180885900.db2.gz LMOKVEGNWSCBIH-MQBCKMQZSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100645140 1180886577 /nfs/dbraw/zinc/88/65/77/1180886577.db2.gz VRIIHHKCROIPNA-KVULBXGLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)c2ccccc21 ZINC001355921376 1181114091 /nfs/dbraw/zinc/11/40/91/1181114091.db2.gz RSOXZTICNZXEBV-GFCCVEGCSA-N 0 1 320.400 3.418 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccccc1CC(=O)[O-] ZINC001604468665 1193074483 /nfs/dbraw/zinc/07/44/83/1193074483.db2.gz XVCIHZAAVXWEEA-AWEZNQCLSA-N 0 1 308.381 3.378 20 30 DGEDMN C=C(CC(C)C)C(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001545813338 1181766493 /nfs/dbraw/zinc/76/64/93/1181766493.db2.gz DYTKMEIGWLNKGV-SJORKVTESA-N 0 1 304.409 3.289 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3C[C@@H]3c3ccc(F)cc3)[nH]c2c1 ZINC001438137453 1181959047 /nfs/dbraw/zinc/95/90/47/1181959047.db2.gz OOXPAKIOJWCGEC-KGLIPLIRSA-N 0 1 320.327 3.316 20 30 DGEDMN Cc1ccccc1[C@@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001438136351 1181959225 /nfs/dbraw/zinc/95/92/25/1181959225.db2.gz OUDVPRKAMWKHNN-GFCCVEGCSA-N 0 1 304.353 3.485 20 30 DGEDMN O=C(NCC#Cc1ccccc1)c1cccc(C(F)(F)F)c1O ZINC001440815658 1182048478 /nfs/dbraw/zinc/04/84/78/1182048478.db2.gz FZDVTQKGDVYNJD-UHFFFAOYSA-N 0 1 319.282 3.193 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@H]1CCN1C(C)(C)C ZINC001442760576 1182089269 /nfs/dbraw/zinc/08/92/69/1182089269.db2.gz XEXAUWBFPRJJKR-QGZVFWFLSA-N 0 1 300.446 3.117 20 30 DGEDMN CC[N@H+](Cc1cccs1)Cc1cccc(C(=O)[O-])c1C#N ZINC001605459162 1193152755 /nfs/dbraw/zinc/15/27/55/1193152755.db2.gz SHSJOPWYOZDAMK-UHFFFAOYSA-N 0 1 300.383 3.340 20 30 DGEDMN CC[N@@H+](Cc1cccs1)Cc1cccc(C(=O)[O-])c1C#N ZINC001605459162 1193152758 /nfs/dbraw/zinc/15/27/58/1193152758.db2.gz SHSJOPWYOZDAMK-UHFFFAOYSA-N 0 1 300.383 3.340 20 30 DGEDMN Cc1ccc(-n2ccc(NC(=O)c3ccc(C#N)c(O)c3)n2)cc1 ZINC001452666533 1182297631 /nfs/dbraw/zinc/29/76/31/1182297631.db2.gz YFTZLNUPPHYZSZ-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN O=C(C#Cc1ccccc1)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC001453912501 1182327394 /nfs/dbraw/zinc/32/73/94/1182327394.db2.gz OHQOKRUOPNOGMT-UHFFFAOYSA-N 0 1 313.719 3.346 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC001456728401 1182428050 /nfs/dbraw/zinc/42/80/50/1182428050.db2.gz WEJRPLCVCNBNSK-LPHOPBHVSA-N 0 1 312.457 3.390 20 30 DGEDMN C=CC[C@H]1CCN1C(=O)c1cc(-c2ccccc2OCC)[nH]n1 ZINC001456849223 1182432272 /nfs/dbraw/zinc/43/22/72/1182432272.db2.gz DCHLSLQAMPUVOU-ZDUSSCGKSA-N 0 1 311.385 3.266 20 30 DGEDMN C=C(C)c1cccc(NC(=O)c2cc(Br)n[nH]2)c1 ZINC001456873824 1182433005 /nfs/dbraw/zinc/43/30/05/1182433005.db2.gz RSZYXRXUJMNFMP-UHFFFAOYSA-N 0 1 306.163 3.458 20 30 DGEDMN CC[C@H]1CCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458040576 1182490861 /nfs/dbraw/zinc/49/08/61/1182490861.db2.gz DBOWDPUFZHIRPR-JSGCOSHPSA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@H]1CC=C(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001458041686 1182491073 /nfs/dbraw/zinc/49/10/73/1182491073.db2.gz HLYJERMQOLGQEL-NSHDSACASA-N 0 1 307.357 3.028 20 30 DGEDMN C[C@@H]1CC[C@@H](CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001458051225 1182492274 /nfs/dbraw/zinc/49/22/74/1182492274.db2.gz YVHKJECRUYYQHY-VXGBXAGGSA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@H]1CC[C@H](CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001458051224 1182492480 /nfs/dbraw/zinc/49/24/80/1182492480.db2.gz YVHKJECRUYYQHY-RYUDHWBXSA-N 0 1 309.373 3.108 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1NCCN1CC=CCC1 ZINC001458356713 1182518021 /nfs/dbraw/zinc/51/80/21/1182518021.db2.gz DPISCMFOBFCPGH-UHFFFAOYSA-N 0 1 313.298 3.390 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)[C@@H](C)[C@H](C)O ZINC001459411642 1182586186 /nfs/dbraw/zinc/58/61/86/1182586186.db2.gz AEOWQKNWNZUSAF-QWRGUYRKSA-N 0 1 316.214 3.346 20 30 DGEDMN C=CCO[C@H]1CCN(CCc2ccccc2Br)C1 ZINC001459821199 1182619936 /nfs/dbraw/zinc/61/99/36/1182619936.db2.gz UQUKSGGKYBOMQE-AWEZNQCLSA-N 0 1 310.235 3.269 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN(C)Cc2cc(C#N)cs2)cc1 ZINC001460847875 1182731418 /nfs/dbraw/zinc/73/14/18/1182731418.db2.gz GFAFPZYEAYNDMN-UHFFFAOYSA-N 0 1 323.421 3.062 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@H]1Cc1ccc(O)cc1 ZINC001460939517 1182742897 /nfs/dbraw/zinc/74/28/97/1182742897.db2.gz UNXOLLJKJQBJCE-SFHVURJKSA-N 0 1 307.397 3.256 20 30 DGEDMN N#Cc1cccc(C2(NCc3cc(-c4ccccn4)n[nH]3)CC2)c1 ZINC001461497126 1182819423 /nfs/dbraw/zinc/81/94/23/1182819423.db2.gz CWZXVKCVNVFTQH-UHFFFAOYSA-N 0 1 315.380 3.122 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc(C(=O)OCC)[nH]1 ZINC001461996542 1182892618 /nfs/dbraw/zinc/89/26/18/1182892618.db2.gz FTCSFMQDHMTHKI-UHFFFAOYSA-N 0 1 302.374 3.015 20 30 DGEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1cc(C(=O)[O-])ccc1F ZINC001606139686 1193191846 /nfs/dbraw/zinc/19/18/46/1193191846.db2.gz MJPVCLNDDZVMJS-UHFFFAOYSA-N 0 1 312.344 3.070 20 30 DGEDMN N#Cc1ccc2c(c1)N(C(=O)c1ncc3ccccc3c1O)CC2 ZINC001466640839 1183216413 /nfs/dbraw/zinc/21/64/13/1183216413.db2.gz SSLFEKFQUROQAV-UHFFFAOYSA-N 0 1 315.332 3.015 20 30 DGEDMN N#CCSc1cccc(C(=O)NCc2ccc3nc[nH]c3c2)c1 ZINC001468258178 1183321335 /nfs/dbraw/zinc/32/13/35/1183321335.db2.gz WMUNPEZWMOVBBV-UHFFFAOYSA-N 0 1 322.393 3.109 20 30 DGEDMN C=CCC[C@@H](NC(=O)NC[C@@H]1CCN1CC)c1ccccc1 ZINC001469470270 1183411613 /nfs/dbraw/zinc/41/16/13/1183411613.db2.gz XCNKEIDTTYSOGE-DLBZAZTESA-N 0 1 301.434 3.087 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2NC2CCC2)cc1O ZINC001469784879 1183438033 /nfs/dbraw/zinc/43/80/33/1183438033.db2.gz GVLBPSUAPXPLNS-UHFFFAOYSA-N 0 1 307.353 3.481 20 30 DGEDMN C=CCCC(=O)Nc1nc(SCc2ccc(C)cc2)n[nH]1 ZINC001607371990 1193226425 /nfs/dbraw/zinc/22/64/25/1193226425.db2.gz KPXPHTKVXCNSIQ-UHFFFAOYSA-N 0 1 302.403 3.310 20 30 DGEDMN C=CC(C)(C)NC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001470936271 1183526177 /nfs/dbraw/zinc/52/61/77/1183526177.db2.gz DYDSEBVWCQGSCJ-UHFFFAOYSA-N 0 1 310.401 3.011 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(F)cc1O)c1ccc(Cl)cc1 ZINC001472575091 1183653020 /nfs/dbraw/zinc/65/30/20/1183653020.db2.gz HAHFSHACINSXRV-AWEZNQCLSA-N 0 1 303.720 3.289 20 30 DGEDMN CCN1CC[C@@H]1CNc1cc(C(F)(F)F)c(F)cc1C#N ZINC001472863019 1183692011 /nfs/dbraw/zinc/69/20/11/1183692011.db2.gz WTHFMJAHVATEGJ-SNVBAGLBSA-N 0 1 301.287 3.222 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)[C@H](CC)CO ZINC001473140855 1183719764 /nfs/dbraw/zinc/71/97/64/1183719764.db2.gz KOIBDVANVPUJDR-CYBMUJFWSA-N 0 1 316.214 3.347 20 30 DGEDMN CN(Cc1ccc(C#N)c(F)c1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001473534508 1183771752 /nfs/dbraw/zinc/77/17/52/1183771752.db2.gz HKUFAXMQNGPLSQ-QGZVFWFLSA-N 0 1 321.359 3.037 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC001473627017 1183782852 /nfs/dbraw/zinc/78/28/52/1183782852.db2.gz CNWKKJRBIFBKGM-KSSFIOAISA-N 0 1 316.420 3.434 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)c(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC001473685292 1183789795 /nfs/dbraw/zinc/78/97/95/1183789795.db2.gz RZYDJULPXVKLBZ-SNVBAGLBSA-N 0 1 311.316 3.395 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]2CN(C(=O)OC(C)(C)C)CC[C@H]21 ZINC001473738710 1183795665 /nfs/dbraw/zinc/79/56/65/1183795665.db2.gz JQXJQBOWHPPYRB-UONOGXRCSA-N 0 1 314.857 3.460 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]2CN(C(=O)OC(C)(C)C)CC[C@H]21 ZINC001473738711 1183795806 /nfs/dbraw/zinc/79/58/06/1183795806.db2.gz JQXJQBOWHPPYRB-ZIAGYGMSSA-N 0 1 314.857 3.460 20 30 DGEDMN C=CCOc1cccc(CNCc2c(CC)nn(C)c2CC)c1 ZINC001474074905 1183862722 /nfs/dbraw/zinc/86/27/22/1183862722.db2.gz ZQDQNIFWEXLWOY-UHFFFAOYSA-N 0 1 313.445 3.400 20 30 DGEDMN C#Cc1ccc(CNc2nc3cccc(C(=O)OCC)c3[nH]2)cc1 ZINC001474292684 1183898627 /nfs/dbraw/zinc/89/86/27/1183898627.db2.gz PBKILOMNAZECIB-UHFFFAOYSA-N 0 1 319.364 3.333 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](C)[C@@H](OC)C1 ZINC001474449112 1183924518 /nfs/dbraw/zinc/92/45/18/1183924518.db2.gz RMTKNNRNDHWECD-DYVFJYSZSA-N 0 1 307.821 3.209 20 30 DGEDMN Cc1ccc(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)cc1C#N ZINC001607780668 1193256664 /nfs/dbraw/zinc/25/66/64/1193256664.db2.gz BLCKSZADCQGZLX-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1CC ZINC001479633325 1184281352 /nfs/dbraw/zinc/28/13/52/1184281352.db2.gz GLJUKQDAZYMFRY-ZWKOTPCHSA-N 0 1 316.420 3.098 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@H](NCc2cnc(C)o2)C1 ZINC001479688672 1184290287 /nfs/dbraw/zinc/29/02/87/1184290287.db2.gz UBUFMDROKRLMMC-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001479715969 1184299921 /nfs/dbraw/zinc/29/99/21/1184299921.db2.gz NSTUJRFMAJHABL-NUJGCVRESA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC[N@H+]1CCC2(CN(C(=O)CCC3CCCCCC3)C2)C1 ZINC001479758313 1184314594 /nfs/dbraw/zinc/31/45/94/1184314594.db2.gz BZBOYCRBRMLIRM-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)Cc1cccc(Cl)c1F ZINC001479770038 1184320342 /nfs/dbraw/zinc/32/03/42/1184320342.db2.gz VBSFXVLRPMHXBR-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001479950769 1184385571 /nfs/dbraw/zinc/38/55/71/1184385571.db2.gz JDLCWSGIPBRIMX-QGZVFWFLSA-N 0 1 316.420 3.018 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccsc2C)CC1 ZINC001479993976 1184405797 /nfs/dbraw/zinc/40/57/97/1184405797.db2.gz YHENXJZQTXFWFS-UHFFFAOYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@@H](C)c1ccco1)C1CC1 ZINC001480060504 1184421010 /nfs/dbraw/zinc/42/10/10/1184421010.db2.gz RFWAMEKVWQKEMD-RISCZKNCSA-N 0 1 310.825 3.010 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1C ZINC001480091329 1184433001 /nfs/dbraw/zinc/43/30/01/1184433001.db2.gz KCUUWNYSNWTWOO-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001480093675 1184433342 /nfs/dbraw/zinc/43/33/42/1184433342.db2.gz SIGZKLWJJZMUOY-HDICACEKSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001480154944 1184446164 /nfs/dbraw/zinc/44/61/64/1184446164.db2.gz DCWWMWYYKMVQFX-CXPKKORXSA-N 0 1 305.249 3.145 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001480159634 1184447774 /nfs/dbraw/zinc/44/77/74/1184447774.db2.gz IXZBBSXAJDJUAA-HDJSIYSDSA-N 0 1 321.490 3.099 20 30 DGEDMN CC[C@H](C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001480178458 1184452637 /nfs/dbraw/zinc/45/26/37/1184452637.db2.gz OAOZMPRCGGVSKJ-OHSMVPPVSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C[C@@H](C(=O)NC1C[C@H]2CC[C@@H](C1)N2CCF)c1ccccc1 ZINC001480183312 1184455503 /nfs/dbraw/zinc/45/55/03/1184455503.db2.gz PNDFTBSASLIZOE-HQSKLWIPSA-N 0 1 316.420 3.037 20 30 DGEDMN C[C@@H](CNC(=O)CC(C)(C)C)NCC#Cc1ccccc1Cl ZINC001480426034 1184529607 /nfs/dbraw/zinc/52/96/07/1184529607.db2.gz PZCCPIKCHHBWGH-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001480573535 1184551752 /nfs/dbraw/zinc/55/17/52/1184551752.db2.gz MRDFTJIDNNUUFJ-CQSZACIVSA-N 0 1 308.853 3.119 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2coc(C)n2)CCCC1 ZINC001480815750 1184616505 /nfs/dbraw/zinc/61/65/05/1184616505.db2.gz IHNCNRZJHZJDME-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2coc(C)n2)CCCC1 ZINC001480815746 1184617259 /nfs/dbraw/zinc/61/72/59/1184617259.db2.gz IHNCNRZJHZJDME-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493132859 1184654619 /nfs/dbraw/zinc/65/46/19/1184654619.db2.gz XHEOHHLLFIQETQ-HIZIYNLSSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001493128142 1184654661 /nfs/dbraw/zinc/65/46/61/1184654661.db2.gz DHIMGWHOWONSFQ-CHWSQXEVSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001493229176 1184671601 /nfs/dbraw/zinc/67/16/01/1184671601.db2.gz YUTMCEGELVVRJN-CYBMUJFWSA-N 0 1 322.399 3.115 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1cc(F)ccc1C)C1CC1 ZINC001493401419 1184700862 /nfs/dbraw/zinc/70/08/62/1184700862.db2.gz RMPZPFBOCZYMPG-UHFFFAOYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001493711961 1184728883 /nfs/dbraw/zinc/72/88/83/1184728883.db2.gz BINFEBQTBIUMTQ-INIZCTEOSA-N 0 1 308.853 3.056 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)CCCC)c1ccccc1 ZINC001493888980 1184759877 /nfs/dbraw/zinc/75/98/77/1184759877.db2.gz JIMBYXVOIWNISJ-SJLPKXTDSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2occ3c2CCC3)C1 ZINC001493963553 1184779145 /nfs/dbraw/zinc/77/91/45/1184779145.db2.gz HJOIRGHECKHKIL-INIZCTEOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2coc(C3CCC3)n2)C1 ZINC001493972759 1184780420 /nfs/dbraw/zinc/78/04/20/1184780420.db2.gz WWBQWAWVGRLJBV-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2nc(C)sc2C)C1 ZINC001493998149 1184787769 /nfs/dbraw/zinc/78/77/69/1184787769.db2.gz UTCQZUGTBLMNNV-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001494280368 1184843110 /nfs/dbraw/zinc/84/31/10/1184843110.db2.gz NNQNKOFDSCWIOJ-QGZVFWFLSA-N 0 1 320.864 3.429 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001494287750 1184845188 /nfs/dbraw/zinc/84/51/88/1184845188.db2.gz BCTAUJNPMQFQFR-QZTJIDSGSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001494509319 1184877899 /nfs/dbraw/zinc/87/78/99/1184877899.db2.gz TVDPRNWTZRBOMK-KBXCAEBGSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(CCCCC(F)(F)F)C1 ZINC001494554881 1184885149 /nfs/dbraw/zinc/88/51/49/1184885149.db2.gz CRVWQMLMRWURKD-UHFFFAOYSA-N 0 1 320.399 3.369 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001494750200 1184919966 /nfs/dbraw/zinc/91/99/66/1184919966.db2.gz RBIVDWYNZVYMLW-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001494850998 1184944061 /nfs/dbraw/zinc/94/40/61/1184944061.db2.gz PEPPOMXDOSFIFK-ZWKOTPCHSA-N 0 1 300.446 3.145 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCCC2CCCCC2)C1 ZINC001494867551 1184949571 /nfs/dbraw/zinc/94/95/71/1184949571.db2.gz HSYFHWWXJPAKPW-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2c(C)noc2C)C1 ZINC001494911501 1184961003 /nfs/dbraw/zinc/96/10/03/1184961003.db2.gz VFEKKAIUHRDHNJ-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001494925903 1184964294 /nfs/dbraw/zinc/96/42/94/1184964294.db2.gz UXRMDCBRASLKGO-SJORKVTESA-N 0 1 300.446 3.207 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)NCc1cc(Cl)ccc1F ZINC001494967813 1184972626 /nfs/dbraw/zinc/97/26/26/1184972626.db2.gz CJHTYRROLLEEAO-HNNXBMFYSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(-c2nnn[n-]2)o1)Cc1ccccc1 ZINC001609051723 1193322527 /nfs/dbraw/zinc/32/25/27/1193322527.db2.gz QRVRNEVXZLARRR-UHFFFAOYSA-N 0 1 309.373 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](NCc2nc(C)c(C)o2)CC1 ZINC001495456240 1185056555 /nfs/dbraw/zinc/05/65/55/1185056555.db2.gz OYLWJKIWDMYEBJ-WKILWMFISA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001495520056 1185068588 /nfs/dbraw/zinc/06/85/88/1185068588.db2.gz AOYGVOQCDRQAIN-NVXWUHKLSA-N 0 1 310.869 3.147 20 30 DGEDMN CCC(N=Nc1ccc(-c2nn[nH]n2)cc1)c1ccc(OC)cc1 ZINC001609180465 1193329322 /nfs/dbraw/zinc/32/93/22/1193329322.db2.gz BPNJNTBWHGGDBP-UHFFFAOYSA-N 0 1 322.372 3.102 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC001609264551 1193332972 /nfs/dbraw/zinc/33/29/72/1193332972.db2.gz TUZREGQJHNAQMO-YPMHNXCESA-N 0 1 302.399 3.115 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@H]1CCCC1(F)F ZINC001496143166 1185139947 /nfs/dbraw/zinc/13/99/47/1185139947.db2.gz CICXWYQDDWFZTO-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1c(C)onc1CC ZINC001496172518 1185143120 /nfs/dbraw/zinc/14/31/20/1185143120.db2.gz PEYWKTBNILLGOW-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(Cl)c1 ZINC001496216606 1185149282 /nfs/dbraw/zinc/14/92/82/1185149282.db2.gz RNHLYNDLBGVYOH-NSHDSACASA-N 0 1 301.217 3.143 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC001609264549 1193333337 /nfs/dbraw/zinc/33/33/37/1193333337.db2.gz TUZREGQJHNAQMO-DGCLKSJQSA-N 0 1 302.399 3.115 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@]1(C)CCc2ccccc21 ZINC001496244690 1185152976 /nfs/dbraw/zinc/15/29/76/1185152976.db2.gz QQWNDWANJXODEJ-KBXCAEBGSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)CCC(F)(F)F ZINC001496261159 1185156867 /nfs/dbraw/zinc/15/68/67/1185156867.db2.gz BESOGRSHKWBKDG-LLVKDONJSA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1oc(C)cc1C ZINC001496468239 1185194503 /nfs/dbraw/zinc/19/45/03/1185194503.db2.gz OBMPJEIWIQRTOG-NHYWBVRUSA-N 0 1 324.852 3.479 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1nccs1 ZINC001496483458 1185197208 /nfs/dbraw/zinc/19/72/08/1185197208.db2.gz UOWQSLWOIZVYBL-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)C/C=C\c1ccccc1 ZINC001496759023 1185242186 /nfs/dbraw/zinc/24/21/86/1185242186.db2.gz VGJVSNGDVBVCSO-RAXLEYEMSA-N 0 1 300.446 3.302 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C(C)(C)c1ccccc1Cl ZINC001496825126 1185254250 /nfs/dbraw/zinc/25/42/50/1185254250.db2.gz PEGHMNNEFWDPME-UHFFFAOYSA-N 0 1 320.864 3.031 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C1CC2(CCC2)C1 ZINC001497439933 1185333329 /nfs/dbraw/zinc/33/33/29/1185333329.db2.gz VKLDMEMNBVGKKX-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1cccc(F)c1Cl ZINC001497543808 1185350206 /nfs/dbraw/zinc/35/02/06/1185350206.db2.gz ZRYRCARKOYLRPL-PLNGDYQASA-N 0 1 310.800 3.207 20 30 DGEDMN CC(C)(C)[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001609654837 1193347738 /nfs/dbraw/zinc/34/77/38/1193347738.db2.gz LYDVNHNOIKLRIJ-CVEARBPZSA-N 0 1 300.402 3.442 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(F)c(Cl)cc1F ZINC001497895203 1185406215 /nfs/dbraw/zinc/40/62/15/1185406215.db2.gz SQJWJCQSDPTYDS-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)c1ccccc1F ZINC001497943504 1185413634 /nfs/dbraw/zinc/41/36/34/1185413634.db2.gz SMSOSEDWKFVWSI-WCQYABFASA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1coc2cc(C)ccc12 ZINC001497977092 1185418077 /nfs/dbraw/zinc/41/80/77/1185418077.db2.gz ILHMEXREWKCYOT-CYBMUJFWSA-N 0 1 320.820 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](c1ccccc1)C(C)C ZINC001498122975 1185438118 /nfs/dbraw/zinc/43/81/18/1185438118.db2.gz UNDHVTYUZRVOFG-GOEBONIOSA-N 0 1 308.853 3.273 20 30 DGEDMN C[C@@H]([NH2+][C@@H](C)c1ncc(C(=O)[O-])s1)c1ccc(C#N)c(F)c1 ZINC001609774169 1193354857 /nfs/dbraw/zinc/35/48/57/1193354857.db2.gz IYKMAMIGDCMLPE-BDAKNGLRSA-N 0 1 319.361 3.264 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1c(Cl)oc2ccccc21 ZINC001498366257 1185479845 /nfs/dbraw/zinc/47/98/45/1185479845.db2.gz PCZUJQWBTDEQNJ-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)Cc2cncc(C)c2)CC1 ZINC001498374493 1185481404 /nfs/dbraw/zinc/48/14/04/1185481404.db2.gz FVPRONVHAFOXPG-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001498373926 1185481769 /nfs/dbraw/zinc/48/17/69/1185481769.db2.gz AOAOFKHESFPFFC-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCC(=O)N(C)CCCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001498521680 1185512559 /nfs/dbraw/zinc/51/25/59/1185512559.db2.gz WGUUVUFABBQEJI-CQSZACIVSA-N 0 1 321.465 3.437 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C(C)C)cn1)C1CC1 ZINC001498821349 1185551900 /nfs/dbraw/zinc/55/19/00/1185551900.db2.gz BZVQDTVJCVLKJX-INIZCTEOSA-N 0 1 321.852 3.056 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1cnc(C)s1 ZINC001498939891 1185576606 /nfs/dbraw/zinc/57/66/06/1185576606.db2.gz VKNKVPFYCACWTF-AWEZNQCLSA-N 0 1 307.463 3.002 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC001498977855 1185585077 /nfs/dbraw/zinc/58/50/77/1185585077.db2.gz SLCAFMDCADNRPM-SFHVURJKSA-N 0 1 324.468 3.304 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc(C)cc1C ZINC001499038605 1185595922 /nfs/dbraw/zinc/59/59/22/1185595922.db2.gz ZDCOHBPVHQZGTB-QGZVFWFLSA-N 0 1 300.446 3.206 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1[C@@H](C)c1cccc(C)c1 ZINC001499036320 1185595938 /nfs/dbraw/zinc/59/59/38/1185595938.db2.gz CEJLJLPBJJXHPT-DOTOQJQBSA-N 0 1 300.446 3.459 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001499555292 1185667991 /nfs/dbraw/zinc/66/79/91/1185667991.db2.gz XUOVVGPDDXYURI-KURKYZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCCCC(=O)N1CCC2(C1)CCN(CC(=C)Cl)CC2 ZINC001499583861 1185672348 /nfs/dbraw/zinc/67/23/48/1185672348.db2.gz PRMSJGUKHMLLLC-UHFFFAOYSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C)c2cccs2)C1 ZINC001499743505 1185690055 /nfs/dbraw/zinc/69/00/55/1185690055.db2.gz BHSQNLFOQGQWKT-ZIAGYGMSSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(F)cccc2F)C1 ZINC001499775249 1185695024 /nfs/dbraw/zinc/69/50/24/1185695024.db2.gz YVQHMRUNZLMOJF-LBPRGKRZSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccccc2C)C1 ZINC001499781960 1185696237 /nfs/dbraw/zinc/69/62/37/1185696237.db2.gz LWDBQZCEDRHIPY-INIZCTEOSA-N 0 1 300.446 3.035 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@]1(C)CCN(CCC(F)(F)F)C1 ZINC001500221333 1185759230 /nfs/dbraw/zinc/75/92/30/1185759230.db2.gz QMTJUQSIBJAXFR-HNNXBMFYSA-N 0 1 318.383 3.288 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCCCC1)c1ccccc1CC ZINC001501020168 1185856795 /nfs/dbraw/zinc/85/67/95/1185856795.db2.gz YGEFHNQLYAODEZ-LJQANCHMSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)CC(C)(C)C ZINC001501408404 1185901519 /nfs/dbraw/zinc/90/15/19/1185901519.db2.gz WHTCKZVRAAMWFC-HZPDHXFCSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001501853607 1185932358 /nfs/dbraw/zinc/93/23/58/1185932358.db2.gz AZGMLHSDUYEIKN-LICLKQGHSA-N 0 1 322.452 3.207 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1CC12CCCC2 ZINC001501892533 1185935810 /nfs/dbraw/zinc/93/58/10/1185935810.db2.gz FLSHCDZUVFSKTJ-HUUCEWRRSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001502132401 1185958313 /nfs/dbraw/zinc/95/83/13/1185958313.db2.gz RNVFGDMULFBCFM-LJQANCHMSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001502136785 1185959980 /nfs/dbraw/zinc/95/99/80/1185959980.db2.gz ARELFHOURWFMCU-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@H](C)c2ccco2)CC1 ZINC001502142110 1185961644 /nfs/dbraw/zinc/96/16/44/1185961644.db2.gz AKRUSAUYLWTJKW-DZGCQCFKSA-N 0 1 324.852 3.496 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1cc(C)ns1 ZINC001502379086 1185976439 /nfs/dbraw/zinc/97/64/39/1185976439.db2.gz UFCAFYHSPZOKSX-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001502532797 1185992018 /nfs/dbraw/zinc/99/20/18/1185992018.db2.gz YEROWAHCFIVHPP-PKOBYXMFSA-N 0 1 300.446 3.152 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCCCC(C)C)C(C)(C)C1 ZINC001502834545 1186022330 /nfs/dbraw/zinc/02/23/30/1186022330.db2.gz MLHCYRNOUMQALL-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCCc2ccccc21 ZINC001505435516 1186078947 /nfs/dbraw/zinc/07/89/47/1186078947.db2.gz JJDIFJTWZWKTFM-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001505614785 1186114686 /nfs/dbraw/zinc/11/46/86/1186114686.db2.gz RWPOIGZRHICREL-ZIAGYGMSSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc2ccccc2o1 ZINC001505670680 1186121149 /nfs/dbraw/zinc/12/11/49/1186121149.db2.gz HONYGJYKRLCYFS-OLZOCXBDSA-N 0 1 315.417 3.023 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cnc2ccsc2c1 ZINC001505671026 1186121408 /nfs/dbraw/zinc/12/14/08/1186121408.db2.gz MGJAUBSCKZBEMY-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc(C)cs1 ZINC001505671953 1186122610 /nfs/dbraw/zinc/12/26/10/1186122610.db2.gz YPGIMQPWFVZTME-QWHCGFSZSA-N 0 1 309.479 3.037 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2oc(C)nc2C)CCC1 ZINC001505685032 1186124064 /nfs/dbraw/zinc/12/40/64/1186124064.db2.gz DORPRTLSRYQCAC-CHWSQXEVSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2ccncc21 ZINC001505724765 1186129555 /nfs/dbraw/zinc/12/95/55/1186129555.db2.gz LTDAKSGPAVBOIY-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN CCCCCC(=O)N[C@H](C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001506598209 1186259563 /nfs/dbraw/zinc/25/95/63/1186259563.db2.gz LWUINJXDMRFCFC-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001506651567 1186268230 /nfs/dbraw/zinc/26/82/30/1186268230.db2.gz SSOACBKJYQGAHC-OAHLLOKOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001506651566 1186268540 /nfs/dbraw/zinc/26/85/40/1186268540.db2.gz SSOACBKJYQGAHC-HNNXBMFYSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001506682900 1186274300 /nfs/dbraw/zinc/27/43/00/1186274300.db2.gz PWQXNJUBADJHKA-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2cccnc2C)CC1(C)C ZINC001506742077 1186284607 /nfs/dbraw/zinc/28/46/07/1186284607.db2.gz NQUPUGWMUNXQHM-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001507140271 1186370049 /nfs/dbraw/zinc/37/00/49/1186370049.db2.gz DUVUPPTUKHVYAS-MRXNPFEDSA-N 0 1 322.880 3.425 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2cnoc2C)CCCC1 ZINC001507166704 1186377308 /nfs/dbraw/zinc/37/73/08/1186377308.db2.gz VYXYUBABPKCBCD-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001507344834 1186397202 /nfs/dbraw/zinc/39/72/02/1186397202.db2.gz ZQHWLTYPFGTDLY-FPOVZHCZSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CCCC2CCCCC2)[C@H]1C ZINC001507386736 1186413818 /nfs/dbraw/zinc/41/38/18/1186413818.db2.gz QPDMFUTVPPRJAH-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](CNCc2nc(C)oc2C)C1 ZINC001507397085 1186417287 /nfs/dbraw/zinc/41/72/87/1186417287.db2.gz GFGMBGPVDSOKNI-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(C)c1C)C(C)C ZINC001507864116 1186452318 /nfs/dbraw/zinc/45/23/18/1186452318.db2.gz PKJHFWKPBJBBGS-UHFFFAOYSA-N 0 1 300.446 3.109 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1CC)C(C)(C)C ZINC001507933453 1186459605 /nfs/dbraw/zinc/45/96/05/1186459605.db2.gz VRHREHUXSZJWQF-CQSZACIVSA-N 0 1 312.841 3.329 20 30 DGEDMN C=CCCCC(=O)N[C@H](CN[C@@H](C)c1cnccn1)C(C)(C)C ZINC001508002439 1186466206 /nfs/dbraw/zinc/46/62/06/1186466206.db2.gz AUGLTSFHELQXTJ-GOEBONIOSA-N 0 1 318.465 3.014 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)[C@@H]3CCCCN3CC)cc21 ZINC001611674866 1193439908 /nfs/dbraw/zinc/43/99/08/1193439908.db2.gz WSUPPQJEGUHPIC-INIZCTEOSA-N 0 1 312.417 3.035 20 30 DGEDMN CO[C@@]1(C(=O)C(C#N)c2ncc(C(C)(C)C)s2)CCSC1 ZINC001514903585 1186735437 /nfs/dbraw/zinc/73/54/37/1186735437.db2.gz STFHYUNMRQKALG-BONVTDFDSA-N 0 1 324.471 3.139 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N[C@H]1CCc2[nH]cnc2C1 ZINC001515768190 1186769851 /nfs/dbraw/zinc/76/98/51/1186769851.db2.gz LJMZNJNYJVCTLG-VIFPVBQESA-N 0 1 324.281 3.409 20 30 DGEDMN CCc1c(C(=O)[C@H](C#N)c2cccc(F)n2)cnn1C(C)(C)C ZINC001516711628 1186790384 /nfs/dbraw/zinc/79/03/84/1186790384.db2.gz XEWYFNFQZXKQMF-LLVKDONJSA-N 0 1 314.364 3.225 20 30 DGEDMN CCc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1C(C)(C)C ZINC001516711628 1186790387 /nfs/dbraw/zinc/79/03/87/1186790387.db2.gz XEWYFNFQZXKQMF-LLVKDONJSA-N 0 1 314.364 3.225 20 30 DGEDMN N#CC(C(=O)[C@H]1CCC(=O)c2ccccc21)c1cccc(F)n1 ZINC001516821438 1186793144 /nfs/dbraw/zinc/79/31/44/1186793144.db2.gz SQVNNZZPGKGNRB-KBPBESRZSA-N 0 1 308.312 3.157 20 30 DGEDMN N#CC(C(=O)c1cncc2[nH]ccc21)c1nc(C2CC2)cs1 ZINC001518478781 1186842907 /nfs/dbraw/zinc/84/29/07/1186842907.db2.gz YNMHULRMVKXDIO-NSHDSACASA-N 0 1 308.366 3.387 20 30 DGEDMN N#C[C@@H](C(=O)c1cncc2[nH]ccc21)c1nc(C2CC2)cs1 ZINC001518478781 1186842912 /nfs/dbraw/zinc/84/29/12/1186842912.db2.gz YNMHULRMVKXDIO-NSHDSACASA-N 0 1 308.366 3.387 20 30 DGEDMN C=CC[C@H]1CCCN(c2nnc(-c3ccc4nc[nH]c4c3)n2C)C1 ZINC001519494542 1186878636 /nfs/dbraw/zinc/87/86/36/1186878636.db2.gz QFNGHUZQDUKOGS-ZDUSSCGKSA-N 0 1 322.416 3.151 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CCCc2ccc(OC)cc21 ZINC001520035278 1186911782 /nfs/dbraw/zinc/91/17/82/1186911782.db2.gz AHYVPWLRNBVLGS-WBVHZDCISA-N 0 1 323.396 3.208 20 30 DGEDMN N#Cc1nc(NCCc2ccc3cn[nH]c3c2)sc1Cl ZINC001612374764 1193450926 /nfs/dbraw/zinc/45/09/26/1193450926.db2.gz XBHJDBXUQZHDQX-UHFFFAOYSA-N 0 1 303.778 3.199 20 30 DGEDMN CCCN1CC[C@@H](Nc2c(Br)cccc2C#N)C1 ZINC001612645964 1193458198 /nfs/dbraw/zinc/45/81/98/1193458198.db2.gz DLSIBLNLZMYNQE-GFCCVEGCSA-N 0 1 308.223 3.217 20 30 DGEDMN C[C@](C#N)(CCc1ccccc1)NC(=O)c1cccc(F)c1O ZINC001525494962 1187317867 /nfs/dbraw/zinc/31/78/67/1187317867.db2.gz GVIFSLNSSGZTOB-GOSISDBHSA-N 0 1 312.344 3.176 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1)C(C)C ZINC001525609819 1187324284 /nfs/dbraw/zinc/32/42/84/1187324284.db2.gz KMWIEUISJMPCGC-OALUTQOASA-N 0 1 312.457 3.124 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1C[C@@]1(F)c1ccccc1 ZINC001526587012 1187376556 /nfs/dbraw/zinc/37/65/56/1187376556.db2.gz INLNEOLTFFCOEP-XHBSWPGZSA-N 0 1 317.751 3.135 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1C[C@@]1(F)c1ccccc1 ZINC001526587003 1187376839 /nfs/dbraw/zinc/37/68/39/1187376839.db2.gz INLNEOLTFFCOEP-BFQNTYOBSA-N 0 1 317.751 3.135 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1c(F)cccc1F ZINC001526587841 1187377027 /nfs/dbraw/zinc/37/70/27/1187377027.db2.gz UQFQZWCIYZBYPP-WDEREUQCSA-N 0 1 303.312 3.161 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@H]1SCCc2ccccc21 ZINC001526593632 1187377735 /nfs/dbraw/zinc/37/77/35/1187377735.db2.gz HYJOIYJOYHFETI-HOCLYGCPSA-N 0 1 311.410 3.110 20 30 DGEDMN C#CCCCCCCN1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC001615850523 1193529220 /nfs/dbraw/zinc/52/92/20/1193529220.db2.gz LASKOWGMFUOZGH-WOJBJXKFSA-N 0 1 315.457 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC001539393272 1188188990 /nfs/dbraw/zinc/18/89/90/1188188990.db2.gz WMHFWUFVOBLXOH-UHFFFAOYSA-N 0 1 308.179 3.476 20 30 DGEDMN C=CC1(CC(=O)NCCCN2C[C@@H](C)O[C@H](C)C2)CCCCC1 ZINC001539827826 1188205362 /nfs/dbraw/zinc/20/53/62/1188205362.db2.gz DCBVYQGTLFNWRB-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCn1c(C(C)C)nnc1N1CCN(C2CCC2)CC1 ZINC001539983529 1188212662 /nfs/dbraw/zinc/21/26/62/1188212662.db2.gz YVLAZNQBDHFAFY-UHFFFAOYSA-N 0 1 317.481 3.042 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)c1c2[nH]cnc2ccc1F ZINC001543035375 1188311893 /nfs/dbraw/zinc/31/18/93/1188311893.db2.gz XEUGMAGFPGLQRG-UHFFFAOYSA-N 0 1 316.380 3.494 20 30 DGEDMN Cc1nc2ccc(NC(=O)[C@@H]3C[C@H]3c3cccc(C#N)c3)cc2[nH]1 ZINC001545563173 1188433855 /nfs/dbraw/zinc/43/38/55/1188433855.db2.gz KLDIHMZPISGZLY-JKSUJKDBSA-N 0 1 316.364 3.485 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2cccc(Br)c2C#N)[nH]n1 ZINC001548512631 1188457983 /nfs/dbraw/zinc/45/79/83/1188457983.db2.gz VAYZJQBJRDTTQT-VIFPVBQESA-N 0 1 319.206 3.395 20 30 DGEDMN CC[C@@H](NCc1cc(C#N)ccn1)c1ccc(OC)c(OC)c1 ZINC001617829285 1193582170 /nfs/dbraw/zinc/58/21/70/1193582170.db2.gz RLNKMQKDADGCKU-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)C1(CC)CCCCC1 ZINC001565835380 1188981345 /nfs/dbraw/zinc/98/13/45/1188981345.db2.gz LZFJUGMLVHQHKU-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001556466214 1189002207 /nfs/dbraw/zinc/00/22/07/1189002207.db2.gz NMMUKTKXHQQRBB-UHFFFAOYSA-N 0 1 311.429 3.262 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2ccc3cncn3c2)cc1 ZINC001558590429 1189136582 /nfs/dbraw/zinc/13/65/82/1189136582.db2.gz LUOHNPGQDNOMMM-UHFFFAOYSA-N 0 1 304.353 3.388 20 30 DGEDMN C#CCN(Cc1cc(C(=O)OC)ccc1F)C1CCCCC1 ZINC001559514055 1189213391 /nfs/dbraw/zinc/21/33/91/1189213391.db2.gz PSRRBRNECNWSNN-UHFFFAOYSA-N 0 1 303.377 3.380 20 30 DGEDMN C#CCN(CC#CC)CCCOc1cccc(C(F)(F)F)c1 ZINC001559567156 1189218474 /nfs/dbraw/zinc/21/84/74/1189218474.db2.gz WVSRUHRRFRQLNK-UHFFFAOYSA-N 0 1 309.331 3.433 20 30 DGEDMN C=CCN(CCc1ccco1)[C@@H]1CCCN(CC(C)(C)C)C1=O ZINC001559689786 1189231832 /nfs/dbraw/zinc/23/18/32/1189231832.db2.gz XGDNBLXBPHRVJN-QGZVFWFLSA-N 0 1 318.461 3.347 20 30 DGEDMN N#Cc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)cc1F ZINC001560098408 1189278375 /nfs/dbraw/zinc/27/83/75/1189278375.db2.gz HMXWWCRUIBJELG-LJQANCHMSA-N 0 1 320.371 3.425 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCCC[C@@H]1c1cc(C)[nH]n1 ZINC001560173997 1189287583 /nfs/dbraw/zinc/28/75/83/1189287583.db2.gz SCQTVEVTCCDKNZ-QGZVFWFLSA-N 0 1 310.401 3.326 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H](O)C(CC)CC ZINC001560338783 1189314121 /nfs/dbraw/zinc/31/41/21/1189314121.db2.gz MLMHLIFUYOFIFQ-MRXNPFEDSA-N 0 1 309.837 3.239 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H](CO)CCC(C)C ZINC001560532592 1189337548 /nfs/dbraw/zinc/33/75/48/1189337548.db2.gz OMYXDUQQBDDYKT-AWEZNQCLSA-N 0 1 309.837 3.239 20 30 DGEDMN C[C@H]1CN(Cc2cccc(F)c2C#N)CCN1Cc1ccccc1 ZINC001560885212 1189399478 /nfs/dbraw/zinc/39/94/78/1189399478.db2.gz WQIPLLFLYAECJU-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(Cc2cccs2)C1 ZINC001560957971 1189411222 /nfs/dbraw/zinc/41/12/22/1189411222.db2.gz NJCKXQYZSWOTAV-UHFFFAOYSA-N 0 1 311.454 3.360 20 30 DGEDMN C#CCCOC(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC001561081565 1189430633 /nfs/dbraw/zinc/43/06/33/1189430633.db2.gz HZPGEVKOKTUCSC-INIZCTEOSA-N 0 1 322.836 3.472 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCC[N@H+](C)Cc1ccc(F)cc1 ZINC001564249517 1189565676 /nfs/dbraw/zinc/56/56/76/1189565676.db2.gz NXJYAKHFWVXEBI-UHFFFAOYSA-N 0 1 321.440 3.302 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC001564249517 1189565680 /nfs/dbraw/zinc/56/56/80/1189565680.db2.gz NXJYAKHFWVXEBI-UHFFFAOYSA-N 0 1 321.440 3.302 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(C)c1 ZINC001565822810 1189677516 /nfs/dbraw/zinc/67/75/16/1189677516.db2.gz MYTWBNZYVRTMRN-IBGZPJMESA-N 0 1 312.457 3.221 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@H](NCc2ocnc2C)C1 ZINC001566072726 1189727249 /nfs/dbraw/zinc/72/72/49/1189727249.db2.gz ZLDVEEVVZPQEEL-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H](C)CC)CC(C)(C)C1 ZINC001566128423 1189743495 /nfs/dbraw/zinc/74/34/95/1189743495.db2.gz SMXUCAWLNBYTNV-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1cc(C)no1 ZINC001566533854 1189794278 /nfs/dbraw/zinc/79/42/78/1189794278.db2.gz PQBSPCFFCSCQBF-WBVHZDCISA-N 0 1 319.449 3.199 20 30 DGEDMN CC/C=C(/C)C(=O)NCCCN(CC)Cc1ccccc1C#N ZINC001566542586 1189796474 /nfs/dbraw/zinc/79/64/74/1189796474.db2.gz NMZZBAHVEBDWLI-SXGWCWSVSA-N 0 1 313.445 3.243 20 30 DGEDMN C=CCC[C@H](NC(=O)c1cncc2nc[nH]c21)c1ccccc1 ZINC001566621672 1189829692 /nfs/dbraw/zinc/82/96/92/1189829692.db2.gz YRMGMQXFLUWUCZ-HNNXBMFYSA-N 0 1 306.369 3.395 20 30 DGEDMN Cc1cc(CN2CCC[C@H](NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001566622244 1189830370 /nfs/dbraw/zinc/83/03/70/1189830370.db2.gz SCVQKDUEFYTJGE-INIZCTEOSA-N 0 1 318.486 3.187 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001566630401 1189834188 /nfs/dbraw/zinc/83/41/88/1189834188.db2.gz KBAWHKFWBZNXPJ-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCN(C[C@H](F)CC)C2)CCCCC1 ZINC001566674770 1189854116 /nfs/dbraw/zinc/85/41/16/1189854116.db2.gz BWFAHXNABBWCQI-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(C)sc1C)C1CC1 ZINC001566716194 1189872421 /nfs/dbraw/zinc/87/24/21/1189872421.db2.gz KMHZGLWIWXGLPK-AWEZNQCLSA-N 0 1 312.866 3.215 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(CC(C)C)CCC1 ZINC001566753178 1189890775 /nfs/dbraw/zinc/89/07/75/1189890775.db2.gz BHUMRIFOZUMKBJ-CALCHBBNSA-N 0 1 304.478 3.454 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001567066462 1190018125 /nfs/dbraw/zinc/01/81/25/1190018125.db2.gz XRRXTOMHWCKWHO-YLJYHZDGSA-N 0 1 314.473 3.349 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)C[C@H](C)CC(C)C ZINC001567068849 1190018952 /nfs/dbraw/zinc/01/89/52/1190018952.db2.gz FNCHJOOODVHQCU-YPMHNXCESA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ccccn2)CCCCC1 ZINC001567080014 1190024545 /nfs/dbraw/zinc/02/45/45/1190024545.db2.gz GVDYELOZWDKECX-INIZCTEOSA-N 0 1 315.461 3.203 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ccccn2)CCCCC1 ZINC001567080015 1190025169 /nfs/dbraw/zinc/02/51/69/1190025169.db2.gz GVDYELOZWDKECX-MRXNPFEDSA-N 0 1 315.461 3.203 20 30 DGEDMN CC(C)c1occc1C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001567083554 1190026069 /nfs/dbraw/zinc/02/60/69/1190026069.db2.gz NRBBQGLYNVRUGX-MRXNPFEDSA-N 0 1 324.424 3.163 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1ccon1 ZINC001567261792 1190099789 /nfs/dbraw/zinc/09/97/89/1190099789.db2.gz ZIVIDCXRCXCKQB-MRXNPFEDSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@@H](C)c1cccc(F)c1 ZINC001567366949 1190154752 /nfs/dbraw/zinc/15/47/52/1190154752.db2.gz SCMZGHKZQQQZQM-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN(C/C=C/Cl)C2)CCCC1 ZINC001567421191 1190181478 /nfs/dbraw/zinc/18/14/78/1190181478.db2.gz NYCJJQMQGHSVDF-HYJLXUOHSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H](C)c1ccc(F)cc1F ZINC001567422900 1190181895 /nfs/dbraw/zinc/18/18/95/1190181895.db2.gz DFJVVCLLPSSHSD-QWHCGFSZSA-N 0 1 323.387 3.014 20 30 DGEDMN CC#CCCCC(=O)NCCN(Cc1ccc(F)cc1)C1CC1 ZINC001567446950 1190197808 /nfs/dbraw/zinc/19/78/08/1190197808.db2.gz KTIJFOKNJJCWAH-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(Cc2ccccc2)CCC1 ZINC001567509622 1190211722 /nfs/dbraw/zinc/21/17/22/1190211722.db2.gz OHYLQANFNNOWBE-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN CC(C)c1ccoc1C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001567548725 1190216373 /nfs/dbraw/zinc/21/63/73/1190216373.db2.gz SQKYHPNHDZHCPG-UHFFFAOYSA-N 0 1 324.424 3.116 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cccc(F)c2F)CCC1 ZINC001567556136 1190217791 /nfs/dbraw/zinc/21/77/91/1190217791.db2.gz QZTQWGKOQCUHQG-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](F)CC1CCCCC1 ZINC001567590575 1190222742 /nfs/dbraw/zinc/22/27/42/1190222742.db2.gz DOQZJWJICLAHNS-CQSZACIVSA-N 0 1 304.837 3.095 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)CN(C)C1CCC1 ZINC001567637387 1190233044 /nfs/dbraw/zinc/23/30/44/1190233044.db2.gz QPZRKJBFJFXPBO-UHFFFAOYSA-N 0 1 302.418 3.007 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2oc(C(C)C)nc2C)C1 ZINC001567688692 1190253710 /nfs/dbraw/zinc/25/37/10/1190253710.db2.gz PBDZZROQYYBELX-HNNXBMFYSA-N 0 1 319.449 3.219 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2sc(C)nc2C)C1 ZINC001567692412 1190257048 /nfs/dbraw/zinc/25/70/48/1190257048.db2.gz AFTFOJPBUDNGIZ-HNNXBMFYSA-N 0 1 321.490 3.263 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2cccc(Cl)n2)C1 ZINC001567694127 1190258487 /nfs/dbraw/zinc/25/84/87/1190258487.db2.gz DKJUSQCQQKCMCW-CQSZACIVSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(F)CCCCC2)C1 ZINC001567738684 1190279900 /nfs/dbraw/zinc/27/99/00/1190279900.db2.gz HYTPWCNCTUWDRQ-AWEZNQCLSA-N 0 1 316.848 3.192 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(Cc3ccccc3)CC2)C1 ZINC001567797312 1190301105 /nfs/dbraw/zinc/30/11/05/1190301105.db2.gz YJIUNWXLPYIRTE-INIZCTEOSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001567807506 1190304209 /nfs/dbraw/zinc/30/42/09/1190304209.db2.gz MRFCLNYTOSDDHD-LSDHHAIUSA-N 0 1 318.436 3.159 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001567820029 1190309819 /nfs/dbraw/zinc/30/98/19/1190309819.db2.gz VWBRQDHSXUBSDO-FTXHTYHOSA-N 0 1 304.478 3.358 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001567842739 1190317534 /nfs/dbraw/zinc/31/75/34/1190317534.db2.gz QWFABVGLQOESFP-UHFFFAOYSA-N 0 1 320.864 3.242 20 30 DGEDMN C#CCCCCCC(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001567918922 1190353370 /nfs/dbraw/zinc/35/33/70/1190353370.db2.gz RDMFTKUKZHLAAW-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CC1(CC(=O)N(C)[C@H]2CCN(CC#CC)C2)CCCCC1 ZINC001567923929 1190356571 /nfs/dbraw/zinc/35/65/71/1190356571.db2.gz IYWSKLDJTBRGFD-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001567944303 1190369760 /nfs/dbraw/zinc/36/97/60/1190369760.db2.gz QSIRDUCXLCCACB-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1nc(C(C)(C)C)cs1 ZINC001567953625 1190373835 /nfs/dbraw/zinc/37/38/35/1190373835.db2.gz ZDOQLICBVBSFAE-CYBMUJFWSA-N 0 1 323.506 3.391 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(C)cc(F)cc1C ZINC001567971830 1190381408 /nfs/dbraw/zinc/38/14/08/1190381408.db2.gz LBEFPKPWIFOSGL-CQSZACIVSA-N 0 1 312.816 3.293 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](CC)NCc1cc(F)ccc1F ZINC001567973819 1190383340 /nfs/dbraw/zinc/38/33/40/1190383340.db2.gz MYXKJIXABGDWAJ-INIZCTEOSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001567978633 1190385866 /nfs/dbraw/zinc/38/58/66/1190385866.db2.gz WLHQBVPPCBXJPT-RBSFLKMASA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NCc1nc(C)sc1C ZINC001567980611 1190386616 /nfs/dbraw/zinc/38/66/16/1190386616.db2.gz YZCILBQBKPUIBW-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CSCCC ZINC001568419299 1190516002 /nfs/dbraw/zinc/51/60/02/1190516002.db2.gz NRGMLBBVSOVBFF-GXTWGEPZSA-N 0 1 318.914 3.099 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1ncc(C)s1)C(C)C ZINC001568460270 1190528690 /nfs/dbraw/zinc/52/86/90/1190528690.db2.gz GJDIPZOBKWRCBV-RHSMWYFYSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)[C@@H]1CCc2c1cc(F)cc2F ZINC001568475713 1190536120 /nfs/dbraw/zinc/53/61/20/1190536120.db2.gz DJSIHXUBBCFVQU-QGZVFWFLSA-N 0 1 322.399 3.309 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(Cl)cc1Cl ZINC001568503006 1190552164 /nfs/dbraw/zinc/55/21/64/1190552164.db2.gz YFKSSCQJHWQGGA-UHFFFAOYSA-N 0 1 313.228 3.021 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(F)c1Cl ZINC001568779010 1190588387 /nfs/dbraw/zinc/58/83/87/1190588387.db2.gz NHAZQEUJSJWOJV-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001568759376 1190585919 /nfs/dbraw/zinc/58/59/19/1190585919.db2.gz PNDZXSGPDXNYNV-KRWDZBQOSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)Cc1ccccc1 ZINC001568799640 1190589935 /nfs/dbraw/zinc/58/99/35/1190589935.db2.gz LVULLQDFCOBRSF-CQSZACIVSA-N 0 1 308.853 3.056 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1sccc1CC ZINC001568799492 1190589940 /nfs/dbraw/zinc/58/99/40/1190589940.db2.gz HXLPWAISBAJYKI-UHFFFAOYSA-N 0 1 300.855 3.115 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1c(C)cccc1Cl ZINC001568825731 1190592413 /nfs/dbraw/zinc/59/24/13/1190592413.db2.gz OTTJSZTZNUWGQL-WAYWQWQTSA-N 0 1 306.837 3.377 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)CCC(C)(C)C ZINC001568952245 1190602125 /nfs/dbraw/zinc/60/21/25/1190602125.db2.gz VTRUHUBHVPANMC-YBJDMEARSA-N 0 1 300.874 3.463 20 30 DGEDMN C=CCCC(=O)N(C)CCCN[C@@H](C)c1nc2ccccc2o1 ZINC001569187662 1190651206 /nfs/dbraw/zinc/65/12/06/1190651206.db2.gz CKIGGUTVEMLOHQ-AWEZNQCLSA-N 0 1 315.417 3.293 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)CC(C)(C)c1ccc(F)cc1 ZINC001569362287 1190675962 /nfs/dbraw/zinc/67/59/62/1190675962.db2.gz XRRKHVROKALWTD-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001569382622 1190686654 /nfs/dbraw/zinc/68/66/54/1190686654.db2.gz BAIYZBMRACLPHK-AWEZNQCLSA-N 0 1 321.490 3.345 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN(CCCC2CCCC2)C1 ZINC001569487863 1190706984 /nfs/dbraw/zinc/70/69/84/1190706984.db2.gz SOLFTZMUKNAELL-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001569496295 1190708399 /nfs/dbraw/zinc/70/83/99/1190708399.db2.gz JLRTYBQWVZKYRQ-UHFFFAOYSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCCCC(=O)N(C)C1CN(CC2CCC(F)(F)CC2)C1 ZINC001569498451 1190708610 /nfs/dbraw/zinc/70/86/10/1190708610.db2.gz JDWRPFAIFKESJT-UHFFFAOYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001569504645 1190710129 /nfs/dbraw/zinc/71/01/29/1190710129.db2.gz RAQIURKKUAOJEQ-STQMWFEESA-N 0 1 320.399 3.462 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001569507799 1190710308 /nfs/dbraw/zinc/71/03/08/1190710308.db2.gz DQGDAWNIFPVYEU-KRWDZBQOSA-N 0 1 314.473 3.282 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001569582773 1190720100 /nfs/dbraw/zinc/72/01/00/1190720100.db2.gz UCXWUYRHIAVFNG-HNNXBMFYSA-N 0 1 310.457 3.097 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001569633736 1190727701 /nfs/dbraw/zinc/72/77/01/1190727701.db2.gz PVBJLIZJQNNLJD-DNVCBOLYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)C#CC(=O)N[C@@]1(C)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001569637133 1190729816 /nfs/dbraw/zinc/72/98/16/1190729816.db2.gz GKWAYQGDURKQCT-BEFAXECRSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001569685992 1190740855 /nfs/dbraw/zinc/74/08/55/1190740855.db2.gz WWSUOPJWAZYVRF-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2[nH]c(C)cc2C)CC1 ZINC001569702130 1190743447 /nfs/dbraw/zinc/74/34/47/1190743447.db2.gz PELGHCZISXJMHF-UHFFFAOYSA-N 0 1 323.868 3.216 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C/C=C\Cc2ccccc2)C1 ZINC001569716392 1190747564 /nfs/dbraw/zinc/74/75/64/1190747564.db2.gz XDGQYRFKEGNHMQ-NJRZHNRPSA-N 0 1 324.468 3.027 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc3cccc(C)c3o2)C1 ZINC001569725763 1190750508 /nfs/dbraw/zinc/75/05/08/1190750508.db2.gz HAYRIGHAUNXDOO-FQEVSTJZSA-N 0 1 324.424 3.206 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001569738514 1190751768 /nfs/dbraw/zinc/75/17/68/1190751768.db2.gz CBLPHRDRWLFDPC-HWIKDYBBSA-N 0 1 312.404 3.158 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@]1(C)C=CCC1)c1ccccc1CC ZINC001569802718 1190756389 /nfs/dbraw/zinc/75/63/89/1190756389.db2.gz ZLWVYZPORYQBJD-FPOVZHCZSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(CC(C)C)CC(C)C ZINC001569915628 1190774053 /nfs/dbraw/zinc/77/40/53/1190774053.db2.gz SWUJVJHLGLDCRH-RTBURBONSA-N 0 1 318.505 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CCNC/C(Cl)=C\Cl)C1 ZINC001569993877 1190779791 /nfs/dbraw/zinc/77/97/91/1190779791.db2.gz BMAPNBXIBQHHIS-OQZOHAQUSA-N 0 1 319.276 3.346 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(CCF)CC3)CCCCC1 ZINC001570057952 1190789806 /nfs/dbraw/zinc/78/98/06/1190789806.db2.gz VAHKTEXBTLGMDY-UHFFFAOYSA-N 0 1 322.468 3.407 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)nc(C)c1 ZINC001570085628 1190792985 /nfs/dbraw/zinc/79/29/85/1190792985.db2.gz VORQLUPLIILRAX-MRXNPFEDSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001570182076 1190810429 /nfs/dbraw/zinc/81/04/29/1190810429.db2.gz WIZSOVYDFWORKD-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1scnc1C ZINC001570207597 1190820618 /nfs/dbraw/zinc/82/06/18/1190820618.db2.gz WARJFPFWFQBBQB-KRWDZBQOSA-N 0 1 323.506 3.334 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@H](CNC(=O)C(C)(C)C)O2 ZINC001570236137 1190827271 /nfs/dbraw/zinc/82/72/71/1190827271.db2.gz NXURIJUTFPRRPV-MRXNPFEDSA-N 0 1 324.509 3.353 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)/C=C/C(C)(C)C ZINC001570269003 1190833540 /nfs/dbraw/zinc/83/35/40/1190833540.db2.gz MNTCIQNHKVKWRH-CVTTXWKISA-N 0 1 324.468 3.155 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(C)CC=C ZINC001570268516 1190833850 /nfs/dbraw/zinc/83/38/50/1190833850.db2.gz DMFDTQVVFKEHBT-LJQANCHMSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2ccc(F)cc2F)C1 ZINC001570341649 1190840687 /nfs/dbraw/zinc/84/06/87/1190840687.db2.gz FGMGTLOMBNNBCI-DYVFJYSZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2scnc2C)CC1(C)C ZINC001570389289 1190850114 /nfs/dbraw/zinc/85/01/14/1190850114.db2.gz WGSJUADFGQSFJR-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CCN(C/C=C\Cl)CC1(C)C ZINC001570393400 1190851848 /nfs/dbraw/zinc/85/18/48/1190851848.db2.gz IUWMTZLAKYBRMW-APFBBLRHSA-N 0 1 310.869 3.005 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC001570399184 1190853221 /nfs/dbraw/zinc/85/32/21/1190853221.db2.gz AFMQZYGLABKYEL-AEFFLSMTSA-N 0 1 312.457 3.030 20 30 DGEDMN Cc1ccc(C(=O)/C=C/C(=O)Nc2cc(F)cc(F)c2O)cc1 ZINC001570466026 1190865282 /nfs/dbraw/zinc/86/52/82/1190865282.db2.gz ZQMZQULBGRRKNT-VOTSOKGWSA-N 0 1 317.291 3.356 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C(CC)CC ZINC001570476385 1190866542 /nfs/dbraw/zinc/86/65/42/1190866542.db2.gz BHHTYFDYOXJJIS-LJQANCHMSA-N 0 1 312.457 3.162 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@@H](F)CC ZINC001570520687 1190873842 /nfs/dbraw/zinc/87/38/42/1190873842.db2.gz LTUTUNPDMYPXRH-USXIJHARSA-N 0 1 318.436 3.319 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)C=C1CCC1 ZINC001570527700 1190876482 /nfs/dbraw/zinc/87/64/82/1190876482.db2.gz KOVWXTPHGZWSJF-HXUWFJFHSA-N 0 1 322.452 3.071 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C)c2ccccc12 ZINC001570541363 1190882159 /nfs/dbraw/zinc/88/21/59/1190882159.db2.gz DHOAJZVYTFKRMT-KRWDZBQOSA-N 0 1 320.436 3.318 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CCC)CC(C)C ZINC001570549386 1190886032 /nfs/dbraw/zinc/88/60/32/1190886032.db2.gz XTAMKDXRWYFDEA-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(Cl)cc1C ZINC001570631756 1190906498 /nfs/dbraw/zinc/90/64/98/1190906498.db2.gz YXZRQBKDMQBWDZ-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001570631672 1190906547 /nfs/dbraw/zinc/90/65/47/1190906547.db2.gz YBACVZKXOCPFPM-KGLIPLIRSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(C)Cc2cccnc2C)CC1 ZINC001570733757 1190935947 /nfs/dbraw/zinc/93/59/47/1190935947.db2.gz GQNQVINXDBCHCF-OAHLLOKOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1sc(C)cc1C ZINC001571389338 1191011285 /nfs/dbraw/zinc/01/12/85/1191011285.db2.gz JOWPUHPAAICJQN-NSHDSACASA-N 0 1 300.855 3.168 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C(C)(C)C1CCC1 ZINC001570823111 1190959005 /nfs/dbraw/zinc/95/90/05/1190959005.db2.gz RETKPEHRXHSRMO-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@](C)(CC)CCCCC)C1 ZINC001570864307 1190968751 /nfs/dbraw/zinc/96/87/51/1190968751.db2.gz WNDSDGPXZBDRDP-APWZRJJASA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)CC(C)C)C2)C1 ZINC001570881604 1190969401 /nfs/dbraw/zinc/96/94/01/1190969401.db2.gz BUPHLXXLJJHYKD-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)C(CC)CC)[C@@H]2C1 ZINC001570941255 1190976891 /nfs/dbraw/zinc/97/68/91/1190976891.db2.gz YWCCTOMGFYYUTE-QCEMKRCNSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001571357657 1191001396 /nfs/dbraw/zinc/00/13/96/1191001396.db2.gz PIQRFGTYHZVCRI-QXSJWSMHSA-N 0 1 310.869 3.002 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1F ZINC001571400708 1191014664 /nfs/dbraw/zinc/01/46/64/1191014664.db2.gz PNIWWVHXFJVNSV-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001571413106 1191018726 /nfs/dbraw/zinc/01/87/26/1191018726.db2.gz MKBJEGLTOFBCMK-CQSZACIVSA-N 0 1 318.848 3.449 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)c1ccccc1 ZINC001571417197 1191019878 /nfs/dbraw/zinc/01/98/78/1191019878.db2.gz YGEQCMUJQAYCQW-AWEZNQCLSA-N 0 1 308.853 3.153 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2cnc(C)o2)CC1 ZINC001571644666 1191072936 /nfs/dbraw/zinc/07/29/36/1191072936.db2.gz AGLBIRGOVLHJAW-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2ccns2)CC1 ZINC001571648469 1191074623 /nfs/dbraw/zinc/07/46/23/1191074623.db2.gz RKMKHUFTWAZXIR-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#C[C@H](CCC)NC(=O)Nc1ccc(-c2cn[nH]n2)cc1Cl ZINC001574850132 1191454056 /nfs/dbraw/zinc/45/40/56/1191454056.db2.gz NITMJDNJPGKDNE-LLVKDONJSA-N 0 1 317.780 3.049 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1nc(C2CCCCC2)c(C)s1 ZINC001574970611 1191460862 /nfs/dbraw/zinc/46/08/62/1191460862.db2.gz WOHFWLBYYMWACX-CYBMUJFWSA-N 0 1 305.447 3.178 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(C[C@@H]1CCC3(CCCC3)O1)C2 ZINC001620643054 1193785635 /nfs/dbraw/zinc/78/56/35/1193785635.db2.gz UBUMSSCHUPHPGP-LBPRGKRZSA-N 0 1 317.458 3.052 20 30 DGEDMN C=CCC[C@@H](C)N[C@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001621343461 1193831437 /nfs/dbraw/zinc/83/14/37/1193831437.db2.gz PDYAMJNXFRFSDT-VXGBXAGGSA-N 0 1 306.397 3.479 20 30 DGEDMN C[C@H]1CCN(Cc2csc(C#N)c2)C[C@H]1C(=O)OC(C)(C)C ZINC001621409529 1193839599 /nfs/dbraw/zinc/83/95/99/1193839599.db2.gz ZEOFBCJNHYAMLD-SWLSCSKDSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCC1(NS(=O)(=O)c2cc3cn[nH]c3cc2C)CCCC1 ZINC001622360534 1193897505 /nfs/dbraw/zinc/89/75/05/1193897505.db2.gz RBXCLESUTVOCPM-UHFFFAOYSA-N 0 1 319.430 3.039 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3Cc4ccccc4S3)[nH]c2c1 ZINC001623605174 1193940620 /nfs/dbraw/zinc/94/06/20/1193940620.db2.gz SVZZYNUTVOTJRT-OAHLLOKOSA-N 0 1 320.377 3.090 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCC[C@H](O)c2ccccc2)c1 ZINC001624288016 1193990815 /nfs/dbraw/zinc/99/08/15/1193990815.db2.gz SMKVCXHGMWHSQU-INIZCTEOSA-N 0 1 310.353 3.106 20 30 DGEDMN C=C(C)CCC(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001624763832 1194013653 /nfs/dbraw/zinc/01/36/53/1194013653.db2.gz FAGGMYQVJCGMLC-UHFFFAOYSA-N 0 1 307.357 3.315 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)[C@@H](c2ccccc2)N(CC)CC)CC1 ZINC001625400277 1194048962 /nfs/dbraw/zinc/04/89/62/1194048962.db2.gz XYOLZOPYUMCZNH-BHIYHBOVSA-N 0 1 312.457 3.378 20 30 DGEDMN C=C(C)c1cccc(NC(=O)Nc2cc(-c3nccn3C)n[nH]2)c1 ZINC001628031361 1194156123 /nfs/dbraw/zinc/15/61/23/1194156123.db2.gz QEERNVFRLAEHNB-UHFFFAOYSA-N 0 1 322.372 3.487 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001671149242 1194371850 /nfs/dbraw/zinc/37/18/50/1194371850.db2.gz PDVLIIRUPVLDDW-WBVHZDCISA-N 0 1 322.493 3.079 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538483 1194441633 /nfs/dbraw/zinc/44/16/33/1194441633.db2.gz SDPIWQCQHFEAQF-DIFFPNOSSA-N 0 1 301.390 3.351 20 30 DGEDMN O=C(Nc1ccccc1C#Cc1ccccc1)c1ncccc1O ZINC001635299279 1194542953 /nfs/dbraw/zinc/54/29/53/1194542953.db2.gz ALCDFSHUBFZMHO-UHFFFAOYSA-N 0 1 314.344 3.439 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2ccccc2)C1 ZINC001671167689 1194546315 /nfs/dbraw/zinc/54/63/15/1194546315.db2.gz MRTXKNBUYRLTDI-UHFFFAOYSA-N 0 1 300.446 3.118 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc(-n3cccc3)cc2)c1 ZINC001635506968 1194555884 /nfs/dbraw/zinc/55/58/84/1194555884.db2.gz XOKLPNUFLUAUQZ-UHFFFAOYSA-N 0 1 317.348 3.002 20 30 DGEDMN Cc1ccc(C(=O)/C=C/C(=O)Nc2ccccc2CN(C)C)cc1 ZINC001636457622 1194614328 /nfs/dbraw/zinc/61/43/28/1194614328.db2.gz UMXYAXPLBMHOLW-OUKQBFOZSA-N 0 1 322.408 3.434 20 30 DGEDMN C=C(CC)CNC(=O)c1cc(Br)cc2nc[nH]c21 ZINC001638020723 1194698400 /nfs/dbraw/zinc/69/84/00/1194698400.db2.gz WIOUNJWJEMKLMP-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001671183174 1194718826 /nfs/dbraw/zinc/71/88/26/1194718826.db2.gz LWMBFPOGKNEGHV-AWEZNQCLSA-N 0 1 315.461 3.004 20 30 DGEDMN CCc1nc[nH]c1C(=O)Nc1nc(-c2cccc(C#N)c2)cs1 ZINC001638511545 1194722764 /nfs/dbraw/zinc/72/27/64/1194722764.db2.gz YNCLMLLYHXIMTD-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)CCC3CCCC3)n2)c1 ZINC001639130888 1194752145 /nfs/dbraw/zinc/75/21/45/1194752145.db2.gz IXAHEPLESIAHSH-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(CC)C[C@@H]1C ZINC001671186981 1194753306 /nfs/dbraw/zinc/75/33/06/1194753306.db2.gz IRSWZSGXCQPCDI-HNNXBMFYSA-N 0 1 301.434 3.363 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2ccc(F)c(O)c2)c1 ZINC001639421458 1194764681 /nfs/dbraw/zinc/76/46/81/1194764681.db2.gz LVOPGNZNOLVUIK-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001671192730 1194806244 /nfs/dbraw/zinc/80/62/44/1194806244.db2.gz IEQGAAJDNSPFNT-QGZVFWFLSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2cc(F)cc(F)c2O)cc1 ZINC001640361806 1194809256 /nfs/dbraw/zinc/80/92/56/1194809256.db2.gz BYYYILNDFADFSX-UHFFFAOYSA-N 0 1 319.307 3.165 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc2nc(Cc3ccc(F)cc3)[nH]c2c1 ZINC001641061688 1194837822 /nfs/dbraw/zinc/83/78/22/1194837822.db2.gz QNHYKSOBSLPQCZ-LLVKDONJSA-N 0 1 322.343 3.391 20 30 DGEDMN C#CCCCCCCN1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC001641511550 1194857654 /nfs/dbraw/zinc/85/76/54/1194857654.db2.gz KZUWTZXUEVTBIE-MRXNPFEDSA-N 0 1 317.429 3.137 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4cc(F)ccc4[nH]3)[nH]c2c1 ZINC001641796478 1194871366 /nfs/dbraw/zinc/87/13/66/1194871366.db2.gz DECIUGORLMXOQC-UHFFFAOYSA-N 0 1 319.299 3.307 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C1CN(CC(C)C)C1)c1ccccc1 ZINC001671202148 1194889074 /nfs/dbraw/zinc/88/90/74/1194889074.db2.gz OGZIVXHYTMHKEF-SFHVURJKSA-N 0 1 300.446 3.145 20 30 DGEDMN CN(C(=O)CC#Cc1ccccc1)C1CN(CCC(C)(C)C)C1 ZINC001671202362 1194891086 /nfs/dbraw/zinc/89/10/86/1194891086.db2.gz RRLCBYDQWYTFJV-UHFFFAOYSA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](CC=C)c2ccccc2)C1 ZINC001671202393 1194891665 /nfs/dbraw/zinc/89/16/65/1194891665.db2.gz SUTVZWSWTIETKA-IBGZPJMESA-N 0 1 312.457 3.455 20 30 DGEDMN N#Cc1cccc(ONC(=O)CCOc2cccc(Cl)c2)c1 ZINC001642625445 1194923791 /nfs/dbraw/zinc/92/37/91/1194923791.db2.gz QZRQXOHDPNBFTQ-UHFFFAOYSA-N 0 1 316.744 3.091 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(CN(Cc4ccccc4)C3)C2)CC1 ZINC001642638719 1194924255 /nfs/dbraw/zinc/92/42/55/1194924255.db2.gz MJCORKGOLMBCLO-UHFFFAOYSA-N 0 1 310.441 3.077 20 30 DGEDMN Cc1[nH]c2ccccc2c1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001642729906 1194932252 /nfs/dbraw/zinc/93/22/52/1194932252.db2.gz CXLZMQRKTNKCNV-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC001643559369 1195034373 /nfs/dbraw/zinc/03/43/73/1195034373.db2.gz FJWNIQAZOWBXEI-CRAIPNDOSA-N 0 1 314.404 3.056 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1ccc([Si](C)(C)C)cc1 ZINC001643787799 1195054058 /nfs/dbraw/zinc/05/40/58/1195054058.db2.gz YWAJFMZINMOALR-UHFFFAOYSA-N 0 1 319.506 3.007 20 30 DGEDMN N#CCc1ccc(CN2CCN(c3cccc(F)c3)CC2)cc1 ZINC001644195046 1195088089 /nfs/dbraw/zinc/08/80/89/1195088089.db2.gz VVMRIVDNMIVDCK-UHFFFAOYSA-N 0 1 309.388 3.214 20 30 DGEDMN CCC#C[C@@H](C)N1CCC[C@@H](c2ncc(Br)cn2)C1 ZINC001644496821 1195113260 /nfs/dbraw/zinc/11/32/60/1195113260.db2.gz PHKVLAPFBWYZIX-CHWSQXEVSA-N 0 1 322.250 3.220 20 30 DGEDMN CCC#C[C@H](C)N1CCN([C@H](C)c2cccc(OC)c2)CC1 ZINC001644578827 1195117941 /nfs/dbraw/zinc/11/79/41/1195117941.db2.gz FXEPJSHLTDPNQJ-DLBZAZTESA-N 0 1 300.446 3.176 20 30 DGEDMN Cc1nc(C(C)(C)NC[C@H](O)c2cccc(C#N)c2)sc1C ZINC001644885871 1195140574 /nfs/dbraw/zinc/14/05/74/1195140574.db2.gz RFHPJWSMORVZJK-HNNXBMFYSA-N 0 1 315.442 3.190 20 30 DGEDMN N#Cc1cccc2c1CCCN2Cc1cc(O)ccc1[N+](=O)[O-] ZINC001646816420 1195238134 /nfs/dbraw/zinc/23/81/34/1195238134.db2.gz SVFLQKDUUIBXDV-UHFFFAOYSA-N 0 1 309.325 3.125 20 30 DGEDMN Cc1cc2c[nH]nc2c(C(=O)N(C)CCc2cccc(C#N)c2)c1 ZINC001648321802 1195292884 /nfs/dbraw/zinc/29/28/84/1195292884.db2.gz ZIAAANWLDDRQMK-UHFFFAOYSA-N 0 1 318.380 3.058 20 30 DGEDMN C=C(C)CCN1C[C@@H](C(F)(F)F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001648345913 1195294141 /nfs/dbraw/zinc/29/41/41/1195294141.db2.gz XEJHKODJTCTKRU-VXGBXAGGSA-N 0 1 322.371 3.340 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1cccc(C(C)=O)c1 ZINC001648526078 1195306754 /nfs/dbraw/zinc/30/67/54/1195306754.db2.gz LYBOYJKJHYRWRR-KRWDZBQOSA-N 0 1 300.402 3.258 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2CC[C@H]2c2ccc(F)cc2)c1 ZINC001648732117 1195326340 /nfs/dbraw/zinc/32/63/40/1195326340.db2.gz YUOHVWWPHSGPMI-IRXDYDNUSA-N 0 1 310.328 3.301 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2F)c1 ZINC001648731839 1195326605 /nfs/dbraw/zinc/32/66/05/1195326605.db2.gz LZODOUPWWNALOK-LSDHHAIUSA-N 0 1 314.291 3.050 20 30 DGEDMN C#CCO[C@H](C)C(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC001651000509 1195402377 /nfs/dbraw/zinc/40/23/77/1195402377.db2.gz BIBZSPNGSIBVBL-CYBMUJFWSA-N 0 1 319.364 3.207 20 30 DGEDMN C=CCCC[C@@H](NC(=O)Cc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC001651057814 1195409085 /nfs/dbraw/zinc/40/90/85/1195409085.db2.gz OUPXWIDLVXVKLO-MRXNPFEDSA-N 0 1 324.428 3.438 20 30 DGEDMN CCCc1cc(C(=O)N(O)CCCCc2ccccc2)[nH]n1 ZINC001651226349 1195428775 /nfs/dbraw/zinc/42/87/75/1195428775.db2.gz VZVIMAGQDPPTQN-UHFFFAOYSA-N 0 1 301.390 3.217 20 30 DGEDMN C=CCOCSc1nc(-c2cc(Cl)ccc2OC)n[nH]1 ZINC001651640492 1195489431 /nfs/dbraw/zinc/48/94/31/1195489431.db2.gz VELJEOIMHVYJKK-UHFFFAOYSA-N 0 1 311.794 3.386 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@@H]3CCc4ccccc43)C2)cc1 ZINC001652625827 1195628745 /nfs/dbraw/zinc/62/87/45/1195628745.db2.gz MSEFDGNKJIBTAM-KRWDZBQOSA-N 0 1 304.393 3.351 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@]2(CCCc3ccccc32)C1 ZINC001652646052 1195631678 /nfs/dbraw/zinc/63/16/78/1195631678.db2.gz KEAIZRJXLAUKER-UYAOXDASSA-N 0 1 313.441 3.474 20 30 DGEDMN N#Cc1ccnc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC001653015644 1195680078 /nfs/dbraw/zinc/68/00/78/1195680078.db2.gz IXIYBQNKJGOGGT-APWZRJJASA-N 0 1 307.397 3.211 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1c(C)cccc1C#N ZINC001653044057 1195683295 /nfs/dbraw/zinc/68/32/95/1195683295.db2.gz CEXORFXTEUBPFW-UHFFFAOYSA-N 0 1 315.398 3.367 20 30 DGEDMN C=CCCCCN1CCN(C(=O)Cc2ccccc2C)CC1 ZINC001653291606 1195708507 /nfs/dbraw/zinc/70/85/07/1195708507.db2.gz HNLVYJPYMPSYHZ-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C#CCn1ccc(CN(CC)CC2([C@H]3CCCCO3)CCC2)n1 ZINC001653486249 1195728149 /nfs/dbraw/zinc/72/81/49/1195728149.db2.gz GMWXQJVSMOWAOR-GOSISDBHSA-N 0 1 315.461 3.078 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)c1cccc2nc[nH]c21 ZINC001653589325 1195737041 /nfs/dbraw/zinc/73/70/41/1195737041.db2.gz FGHGHZZTGAICOH-AWEZNQCLSA-N 0 1 317.433 3.404 20 30 DGEDMN C=CCNCc1cc(Br)ccc1Br ZINC001655216439 1195892379 /nfs/dbraw/zinc/89/23/79/1195892379.db2.gz LLOXERLBQZPKDP-UHFFFAOYSA-N 0 1 305.013 3.487 20 30 DGEDMN Cc1cc(C)n(-c2ccc(CNCc3csc(C#N)c3)cn2)n1 ZINC001655320707 1195903138 /nfs/dbraw/zinc/90/31/38/1195903138.db2.gz XDVMTVLAFICQLD-UHFFFAOYSA-N 0 1 323.425 3.107 20 30 DGEDMN C=CCOc1cccc(CNCc2cccc(C(=O)NCC)c2)c1 ZINC001655373910 1195909619 /nfs/dbraw/zinc/90/96/19/1195909619.db2.gz RGNWCNHAMZAJTG-UHFFFAOYSA-N 0 1 324.424 3.291 20 30 DGEDMN N#CC1(NC(=O)CN2CCC(CC3CCCCC3)CC2)CCC1 ZINC001655730171 1195957493 /nfs/dbraw/zinc/95/74/93/1195957493.db2.gz PSHOQKIMCJMMPW-UHFFFAOYSA-N 0 1 317.477 3.231 20 30 DGEDMN C#CCCOC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC001655868930 1195971704 /nfs/dbraw/zinc/97/17/04/1195971704.db2.gz UGLMIUKXNAMSAJ-ULQDDVLXSA-N 0 1 314.429 3.130 20 30 DGEDMN Cn1nccc1C[C@@H]1CCCN1Cc1ccc(Cl)cc1C#N ZINC001655918302 1195977901 /nfs/dbraw/zinc/97/79/01/1195977901.db2.gz ADFFMZRCLQRNRI-KRWDZBQOSA-N 0 1 314.820 3.152 20 30 DGEDMN N#Cc1cccc(C2(NCc3ccc4oc(=O)oc4c3)CC2)c1 ZINC001656235761 1196021811 /nfs/dbraw/zinc/02/18/11/1196021811.db2.gz GAJKILTVZHHSCW-UHFFFAOYSA-N 0 1 306.321 3.037 20 30 DGEDMN Cc1cc(C)cc([C@H](O)CN(C)Cc2cc(C#N)cs2)c1 ZINC001656279078 1196026712 /nfs/dbraw/zinc/02/67/12/1196026712.db2.gz FQDXTYVKFRNHIN-QGZVFWFLSA-N 0 1 300.427 3.402 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001656298983 1196028304 /nfs/dbraw/zinc/02/83/04/1196028304.db2.gz TXZPCRQUBBPLTO-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001656341946 1196031422 /nfs/dbraw/zinc/03/14/22/1196031422.db2.gz OOXUOIHGADCRLH-JJRVBVJISA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1C[C@H]1CCC ZINC001656571804 1196051312 /nfs/dbraw/zinc/05/13/12/1196051312.db2.gz UQRVUGCSFIUNTB-DMRZNYOFSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(C)nc2C)[C@@H]1C ZINC001656957266 1196087406 /nfs/dbraw/zinc/08/74/06/1196087406.db2.gz NIEONTFGFYYWAA-AEFFLSMTSA-N 0 1 315.461 3.134 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1CN(C)Cc1ccsc1 ZINC001657063108 1196099548 /nfs/dbraw/zinc/09/95/48/1196099548.db2.gz AESSKCCQEOJAOC-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccccc1C(=O)OC ZINC001657377842 1196135498 /nfs/dbraw/zinc/13/54/98/1196135498.db2.gz LSWIWUJPSLQGRE-UHFFFAOYSA-N 0 1 318.417 3.090 20 30 DGEDMN CCCCCCCCCCN1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001657525790 1196151740 /nfs/dbraw/zinc/15/17/40/1196151740.db2.gz DMOPQWFSZPJVBR-INIZCTEOSA-N 0 1 323.481 3.095 20 30 DGEDMN C=CCNC(=O)CNCc1cccc(Oc2ccc(F)cc2)c1 ZINC001657660286 1196166387 /nfs/dbraw/zinc/16/63/87/1196166387.db2.gz OCDQJXYAEJCVMO-UHFFFAOYSA-N 0 1 314.360 3.010 20 30 DGEDMN CCCCO[C@H]1C[C@@H](N(C)Cc2cc(C#N)ccn2)C1(C)C ZINC001657719657 1196172218 /nfs/dbraw/zinc/17/22/18/1196172218.db2.gz XAYLZFQWJRRKQX-SJORKVTESA-N 0 1 301.434 3.369 20 30 DGEDMN C#Cc1ccc(CNCc2cnn(Cc3ccc(F)cc3)c2)cc1 ZINC001657989084 1196198977 /nfs/dbraw/zinc/19/89/77/1196198977.db2.gz BTPCXRPPZDQFPS-UHFFFAOYSA-N 0 1 319.383 3.342 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN(C)CCNC(=O)OC(C)(C)C ZINC001658901819 1196317073 /nfs/dbraw/zinc/31/70/73/1196317073.db2.gz CTKWYXKQGVEZHU-UHFFFAOYSA-N 0 1 317.433 3.132 20 30 DGEDMN CC1(C)[C@H]2[C@H](CCCN2CC#Cc2ccc(Cl)cc2)[C@@H]1O ZINC001659755673 1196411785 /nfs/dbraw/zinc/41/17/85/1196411785.db2.gz YFGCRQLCVHQAAH-BBWFWOEESA-N 0 1 303.833 3.173 20 30 DGEDMN CC(C(=O)NCCCN(C)CC#Cc1ccccc1)=C1CCCC1 ZINC001660099648 1196444700 /nfs/dbraw/zinc/44/47/00/1196444700.db2.gz UFVMOJHRGANOAD-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001660254362 1196462375 /nfs/dbraw/zinc/46/23/75/1196462375.db2.gz UGIFKDDUKMFAAM-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@H](C)NCc1cnc(C)o1 ZINC001660441868 1196484797 /nfs/dbraw/zinc/48/47/97/1196484797.db2.gz WKSPLVBLYYUSID-YOEHRIQHSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC[C@@H](F)C1 ZINC001660529055 1196494543 /nfs/dbraw/zinc/49/45/43/1196494543.db2.gz KXLPCOJAPCINRW-UONOGXRCSA-N 0 1 304.837 3.095 20 30 DGEDMN CC/C=C(\C)C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001660655896 1196509347 /nfs/dbraw/zinc/50/93/47/1196509347.db2.gz TWGRAWRYBUWPPD-GIJQJNRQSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001660787242 1196523963 /nfs/dbraw/zinc/52/39/63/1196523963.db2.gz HJHVAPGPWBDDQG-SFHVURJKSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCOc1cccc(CN[C@@H](C)C(=O)NCc2ccccc2)c1 ZINC001661137343 1196571870 /nfs/dbraw/zinc/57/18/70/1196571870.db2.gz QSXOAPHBDVMBLL-INIZCTEOSA-N 0 1 324.424 3.046 20 30 DGEDMN CC(C)=CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001661518888 1196615046 /nfs/dbraw/zinc/61/50/46/1196615046.db2.gz DJOSMFKJGMZYAP-IHWFROFDSA-N 0 1 322.452 3.116 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC=C(Cl)Cl ZINC001661523439 1196615480 /nfs/dbraw/zinc/61/54/80/1196615480.db2.gz ODADINGBXHGULJ-YHWZYXNKSA-N 0 1 317.260 3.383 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001661578154 1196621670 /nfs/dbraw/zinc/62/16/70/1196621670.db2.gz ZQJIRLWSJMYQEJ-JCGIZDLHSA-N 0 1 313.445 3.121 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1Cc1ccccc1F ZINC001661861220 1196652744 /nfs/dbraw/zinc/65/27/44/1196652744.db2.gz JFNTWDRMABJLGZ-QGZVFWFLSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1c(F)cccc1F ZINC001661871499 1196655729 /nfs/dbraw/zinc/65/57/29/1196655729.db2.gz TYDLGSBYWHAINP-ZIAGYGMSSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)/C=C/c1ccco1 ZINC001661899911 1196661020 /nfs/dbraw/zinc/66/10/20/1196661020.db2.gz AOFKWXVXXDNFMA-NPQIQWPPSA-N 0 1 308.809 3.016 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1(C(F)F)CCC1 ZINC001661944219 1196664676 /nfs/dbraw/zinc/66/46/76/1196664676.db2.gz ZOQHCVWZPQIWBN-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C(C)=C2CCC2)C1 ZINC001661990988 1196672249 /nfs/dbraw/zinc/67/22/49/1196672249.db2.gz ZGGCDDLKAJXGDI-GOEBONIOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)Cc2ccccc2)C1 ZINC001661998207 1196673893 /nfs/dbraw/zinc/67/38/93/1196673893.db2.gz WWIJSZISANOUGU-RDJZCZTQSA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCCCC(=O)NC1CCC(NCc2cscn2)CC1 ZINC001662125895 1196683521 /nfs/dbraw/zinc/68/35/21/1196683521.db2.gz DMDYVXKJINFFKX-UHFFFAOYSA-N 0 1 307.463 3.016 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001662302587 1196704392 /nfs/dbraw/zinc/70/43/92/1196704392.db2.gz CEPDKWWHJHWXEY-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC/C(Cl)=C/Cl ZINC001662959924 1196777324 /nfs/dbraw/zinc/77/73/24/1196777324.db2.gz QFNHXDUVSMQCKI-LCFDYFRESA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001663553603 1196902777 /nfs/dbraw/zinc/90/27/77/1196902777.db2.gz VGSWAPSNROZLMO-WPGJWXSHSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1c(C)nsc1C ZINC001663677260 1196926311 /nfs/dbraw/zinc/92/63/11/1196926311.db2.gz UNMXYCKTHIYWBA-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C#CCN(CCNC(=O)c1csc2cc(C)ccc12)C1CC1 ZINC001663727455 1196936115 /nfs/dbraw/zinc/93/61/15/1196936115.db2.gz NVTKCSPRLNLSPO-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN CCCCCC(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001663818034 1196948248 /nfs/dbraw/zinc/94/82/48/1196948248.db2.gz KWIJMXCJYZDEET-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001663847336 1196951611 /nfs/dbraw/zinc/95/16/11/1196951611.db2.gz UTTDTBPHXPXYJR-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCCC(=O)N(C)CCN[C@@H](C)c1ccc(F)cc1F ZINC001664155749 1196976119 /nfs/dbraw/zinc/97/61/19/1196976119.db2.gz USUQEWGXGOXNNX-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccoc1)c1ccc(C(C)C)cc1 ZINC001664561722 1197014276 /nfs/dbraw/zinc/01/42/76/1197014276.db2.gz LRPMSWRXLJGURJ-GOSISDBHSA-N 0 1 310.397 3.097 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CCCCCC1)c1ccccc1 ZINC001664572584 1197015355 /nfs/dbraw/zinc/01/53/55/1197015355.db2.gz AGHHNZPRALRDKY-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)c(F)c1)c1ccccc1 ZINC001664583851 1197017161 /nfs/dbraw/zinc/01/71/61/1197017161.db2.gz XCMUBFYDONCQDZ-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC1CCCCC1)c1ccccc1 ZINC001664597882 1197018818 /nfs/dbraw/zinc/01/88/18/1197018818.db2.gz FVCZNZKDMUWGKQ-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc2ccccc2o1)c1ccccc1 ZINC001664599756 1197019639 /nfs/dbraw/zinc/01/96/39/1197019639.db2.gz WDDQYKGCIWQWOL-QGZVFWFLSA-N 0 1 318.376 3.127 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2c(C)noc2C)C1 ZINC001664657641 1197027668 /nfs/dbraw/zinc/02/76/68/1197027668.db2.gz SLESHRIXNQKKBX-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001664656257 1197027716 /nfs/dbraw/zinc/02/77/16/1197027716.db2.gz NCWBOONHPJBDBC-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)cc(F)c2)C1 ZINC001664745081 1197047766 /nfs/dbraw/zinc/04/77/66/1197047766.db2.gz FHKZYOLWUREFAI-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C[C@@H](CCC#N)CN[C@@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001664767136 1197055242 /nfs/dbraw/zinc/05/52/42/1197055242.db2.gz WIARBCIDKRZULC-RYUDHWBXSA-N 0 1 319.396 3.064 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@](C)(F)CCCC)C1 ZINC001664818075 1197062703 /nfs/dbraw/zinc/06/27/03/1197062703.db2.gz OHBRHRUQXWBYLK-GDBMZVCRSA-N 0 1 318.864 3.438 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001665216458 1197110480 /nfs/dbraw/zinc/11/04/80/1197110480.db2.gz IBCXFHCHTPKCLX-RYUDHWBXSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001665225472 1197111346 /nfs/dbraw/zinc/11/13/46/1197111346.db2.gz XMGAMPMTDNVGSU-MRXNPFEDSA-N 0 1 300.446 3.381 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001665332684 1197124373 /nfs/dbraw/zinc/12/43/73/1197124373.db2.gz WVJOEEIHLHTTTE-GOSISDBHSA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001665529238 1197148428 /nfs/dbraw/zinc/14/84/28/1197148428.db2.gz HUFNQCKWAYNDJH-OAHLLOKOSA-N 0 1 308.372 3.281 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001665545655 1197149707 /nfs/dbraw/zinc/14/97/07/1197149707.db2.gz JNSDCCNOCFIXPJ-MRXNPFEDSA-N 0 1 312.413 3.480 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001665637541 1197162193 /nfs/dbraw/zinc/16/21/93/1197162193.db2.gz UXSSGPMHQXRROL-CQSZACIVSA-N 0 1 318.383 3.240 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001665664491 1197166900 /nfs/dbraw/zinc/16/69/00/1197166900.db2.gz NFHFKWKMQISOLG-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001665664785 1197167316 /nfs/dbraw/zinc/16/73/16/1197167316.db2.gz RXGADEYGOLPJGR-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](CC)CC2CCCC2)C1 ZINC001665670340 1197168383 /nfs/dbraw/zinc/16/83/83/1197168383.db2.gz GCBSWMUXIYFKRA-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001665708852 1197178801 /nfs/dbraw/zinc/17/88/01/1197178801.db2.gz DMHOFXVVXXHIKJ-IMTHGLKYSA-N 0 1 324.468 3.426 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001665766251 1197191351 /nfs/dbraw/zinc/19/13/51/1197191351.db2.gz WYBOZSQGQBYKMD-CJNGLKHVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1CCC(F)(F)CC1 ZINC001665850185 1197206537 /nfs/dbraw/zinc/20/65/37/1197206537.db2.gz OKQNEGCBSZWYDU-GFCCVEGCSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C(F)F)cc1 ZINC001665860543 1197208519 /nfs/dbraw/zinc/20/85/19/1197208519.db2.gz GLGNZKPBGFCTIY-CYBMUJFWSA-N 0 1 316.779 3.475 20 30 DGEDMN N#Cc1cccnc1NCC[NH2+]Cc1ccc2ccccc2c1[O-] ZINC001665962027 1197222376 /nfs/dbraw/zinc/22/23/76/1197222376.db2.gz XYWDKMYPEALYDJ-UHFFFAOYSA-N 0 1 318.380 3.014 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2(CF)CCC2)cc1 ZINC001666345258 1197254644 /nfs/dbraw/zinc/25/46/44/1197254644.db2.gz YYTHOHIJROUIIJ-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001666708255 1197279587 /nfs/dbraw/zinc/27/95/87/1197279587.db2.gz MSYQXGXVBFCNQP-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001666708254 1197279698 /nfs/dbraw/zinc/27/96/98/1197279698.db2.gz MSYQXGXVBFCNQP-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CCNCc1csc(C)n1 ZINC001667239334 1197332117 /nfs/dbraw/zinc/33/21/17/1197332117.db2.gz WUHHQMLNWSFSND-INIZCTEOSA-N 0 1 321.490 3.279 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001667642641 1197373470 /nfs/dbraw/zinc/37/34/70/1197373470.db2.gz CLDJQVRZKMCWFP-SFHVURJKSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1cccc(Cl)c1F ZINC001668377330 1197412834 /nfs/dbraw/zinc/41/28/34/1197412834.db2.gz OPYLSCGENWEUOS-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(C)CCC(C)CC1 ZINC001668657023 1197423733 /nfs/dbraw/zinc/42/37/33/1197423733.db2.gz UZEUZRXKEKBHLT-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cc2ccccc2o1 ZINC001668978623 1197435474 /nfs/dbraw/zinc/43/54/74/1197435474.db2.gz LDZKFGABZKLFPX-FPLPWBNLSA-N 0 1 312.413 3.407 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1ccc(Cl)cc1F ZINC001669276467 1197446967 /nfs/dbraw/zinc/44/69/67/1197446967.db2.gz NBQJDEVBJDGGSD-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2nc(C)c(C)s2)CC1 ZINC001669657610 1197468920 /nfs/dbraw/zinc/46/89/20/1197468920.db2.gz KSPJCTNZHNNGKM-LBPRGKRZSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1cc(C)cc(C)c1 ZINC001669708263 1197471867 /nfs/dbraw/zinc/47/18/67/1197471867.db2.gz YZASBZJRGWCCLB-HNNXBMFYSA-N 0 1 308.853 3.083 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1coc(C)n1 ZINC001669968913 1197512564 /nfs/dbraw/zinc/51/25/64/1197512564.db2.gz ZXYCYYZOXGTTQH-NVXWUHKLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@@H]1CNCc1nocc1C ZINC001669967496 1197512657 /nfs/dbraw/zinc/51/26/57/1197512657.db2.gz LXSRGGXJWDLRCA-CVEARBPZSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC12CCC2)C1CCCC1 ZINC001671559262 1197548100 /nfs/dbraw/zinc/54/81/00/1197548100.db2.gz QVHNDCSSVGMRDO-LSDHHAIUSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CCC2(F)F)CC1 ZINC001671842023 1197574920 /nfs/dbraw/zinc/57/49/20/1197574920.db2.gz AYSZOSVIFRBWHR-GFCCVEGCSA-N 0 1 320.811 3.003 20 30 DGEDMN C#CCN[C@@H](CNC(=O)/C=C\C(C)(C)C)c1ccccc1CC ZINC001672176836 1197594945 /nfs/dbraw/zinc/59/49/45/1197594945.db2.gz OTVHPZXDWHFKCF-SQVRQVGWSA-N 0 1 312.457 3.231 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC(C)(C)CC)C2)CC1 ZINC001673009119 1197634195 /nfs/dbraw/zinc/63/41/95/1197634195.db2.gz PKDFNIUICBEXAO-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC(C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1Cl ZINC001673417230 1197659293 /nfs/dbraw/zinc/65/92/93/1197659293.db2.gz NUFQINKSNYWAQR-UHFFFAOYSA-N 0 1 320.864 3.128 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001673420871 1197660335 /nfs/dbraw/zinc/66/03/35/1197660335.db2.gz LSHWZHOVKHYKER-AWEZNQCLSA-N 0 1 321.490 3.336 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1Cc2ccccc2CN1CC(=C)C ZINC001673635196 1197682808 /nfs/dbraw/zinc/68/28/08/1197682808.db2.gz YBFAEKYPQGGDDE-GOSISDBHSA-N 0 1 312.457 3.318 20 30 DGEDMN C=C[C@H](COC)N[C@@H]1CCc2sc(Br)cc21 ZINC000382277211 1197705649 /nfs/dbraw/zinc/70/56/49/1197705649.db2.gz IDVLWLGPMLZOPN-PSASIEDQSA-N 0 1 302.237 3.289 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001674115474 1197732650 /nfs/dbraw/zinc/73/26/50/1197732650.db2.gz QKGMLRGEJZJIIB-UONOGXRCSA-N 0 1 319.474 3.279 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@]1(C)C=CCC1 ZINC001674272186 1197756593 /nfs/dbraw/zinc/75/65/93/1197756593.db2.gz SSSXZUUZXQMEGP-PZJWPPBQSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001674930747 1197924019 /nfs/dbraw/zinc/92/40/19/1197924019.db2.gz CPSJRVMVUWDEIM-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)CC1CC(c2ccccc2)C1 ZINC001674952938 1197933007 /nfs/dbraw/zinc/93/30/07/1197933007.db2.gz AYFPQQCYMZLKFD-HJOIGYKYSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Br)CNC[C@@H](CCCC)NC(=O)C(C)(C)C ZINC001675233562 1198014381 /nfs/dbraw/zinc/01/43/81/1198014381.db2.gz ANLOGOVCIZUEDC-GFCCVEGCSA-N 0 1 319.287 3.206 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1conc1C ZINC001675253543 1198020928 /nfs/dbraw/zinc/02/09/28/1198020928.db2.gz TWDZYCJYJYSACA-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C(C1CCC1)C1CCC1 ZINC001675262797 1198027208 /nfs/dbraw/zinc/02/72/08/1198027208.db2.gz GBKYDCLIGGMAKN-GOSISDBHSA-N 0 1 316.489 3.197 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC001675425775 1198061933 /nfs/dbraw/zinc/06/19/33/1198061933.db2.gz FXFDICGPHLGVDX-GOSISDBHSA-N 0 1 310.482 3.060 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C\Cc2ccccc2)C(C)(C)C1 ZINC001675458678 1198071085 /nfs/dbraw/zinc/07/10/85/1198071085.db2.gz PPXRYOHOBCCICG-VJIACCKLSA-N 0 1 324.468 3.025 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001675751363 1198134711 /nfs/dbraw/zinc/13/47/11/1198134711.db2.gz FXILQBIGVGCIJM-IKDFDVDSSA-N 0 1 305.249 3.146 20 30 DGEDMN C/C=C(/C)C(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001676795750 1198391218 /nfs/dbraw/zinc/39/12/18/1198391218.db2.gz FCWMOTHCBGOVDW-ONGHMOBCSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2c(C)noc2C)[C@@H]1C ZINC001676880850 1198421711 /nfs/dbraw/zinc/42/17/11/1198421711.db2.gz YCERFLUJQGTXAD-PBHICJAKSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](CNCc2ncc(C(C)C)o2)C1 ZINC001676915068 1198434663 /nfs/dbraw/zinc/43/46/63/1198434663.db2.gz ZDEQWXZDDYWUAY-LSDHHAIUSA-N 0 1 319.449 3.139 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1sc(C)cc1C)C(C)C ZINC001677201447 1198486006 /nfs/dbraw/zinc/48/60/06/1198486006.db2.gz MQYAUOWJGLIESL-UHFFFAOYSA-N 0 1 306.475 3.171 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC001679801321 1198825735 /nfs/dbraw/zinc/82/57/35/1198825735.db2.gz AWOWJEQRVYZHKF-UHFFFAOYSA-N 0 1 321.440 3.159 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)NC[C@H](c1ccsc1)N(C)C ZINC001681612796 1199045914 /nfs/dbraw/zinc/04/59/14/1199045914.db2.gz OUBCTMVAZNAUEI-HZPDHXFCSA-N 0 1 321.490 3.491 20 30 DGEDMN C=CCC1(NC(=O)NCc2cc(N(C)C)ccn2)CCCCC1 ZINC001685308483 1199463425 /nfs/dbraw/zinc/46/34/25/1199463425.db2.gz XVHYVHOUGFKWKS-UHFFFAOYSA-N 0 1 316.449 3.226 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1CCCC1 ZINC001688184086 1199711137 /nfs/dbraw/zinc/71/11/37/1199711137.db2.gz UJNOZKXFRODXIS-LJQANCHMSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)c1ccccc1C ZINC001688897663 1199950176 /nfs/dbraw/zinc/95/01/76/1199950176.db2.gz AJTOEHVZPHKAHK-QGZVFWFLSA-N 0 1 314.473 3.381 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1ccncc1Cl ZINC001754061465 1200089015 /nfs/dbraw/zinc/08/90/15/1200089015.db2.gz PQQIQZIBGQOFHG-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CCCCC1(C(=O)N[C@H](C)CNCc2ccccc2C#N)CC1 ZINC001754241074 1200148170 /nfs/dbraw/zinc/14/81/70/1200148170.db2.gz BOIZJXNLNWFNOV-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(Cl)ccc1Cl ZINC001754343501 1200237098 /nfs/dbraw/zinc/23/70/98/1200237098.db2.gz WEEBCOZAHLZJIN-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C12CC(C(C)(C)C)(C1)C2)C1CC1 ZINC001754461864 1200277051 /nfs/dbraw/zinc/27/70/51/1200277051.db2.gz WPBZVWRLFTWRLI-RWBZWWBESA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1C ZINC001754485667 1200296679 /nfs/dbraw/zinc/29/66/79/1200296679.db2.gz ZUFHICYONUNIQY-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1ccc(CCCC)cc1 ZINC001754488769 1200298831 /nfs/dbraw/zinc/29/88/31/1200298831.db2.gz JZAWBTIEVQNOFQ-IBGZPJMESA-N 0 1 314.473 3.338 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001754493332 1200304394 /nfs/dbraw/zinc/30/43/94/1200304394.db2.gz VBGNHWKNKMVWAC-PKOBYXMFSA-N 0 1 300.446 3.022 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001754495934 1200308471 /nfs/dbraw/zinc/30/84/71/1200308471.db2.gz BVRJIZMQIZZDDI-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccsc2COC)C1 ZINC001754577408 1200373942 /nfs/dbraw/zinc/37/39/42/1200373942.db2.gz UQHHYZPMWLOLKB-CYBMUJFWSA-N 0 1 322.474 3.005 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001754587357 1200384152 /nfs/dbraw/zinc/38/41/52/1200384152.db2.gz KUPSIKWSGFRDIU-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)c(C)o2)C[C@H]1C ZINC001754596379 1200387929 /nfs/dbraw/zinc/38/79/29/1200387929.db2.gz WCDOIZQZOSBTRA-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001690087639 1200392130 /nfs/dbraw/zinc/39/21/30/1200392130.db2.gz GOKBDDYLHIMZPL-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN CC[C@H](C)CC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001754609043 1200394900 /nfs/dbraw/zinc/39/49/00/1200394900.db2.gz MFALQZNUJBALQS-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001754680689 1200438096 /nfs/dbraw/zinc/43/80/96/1200438096.db2.gz RHGMNCOEIGUGRT-HKUYNNGSSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)Cc2ccc(C)o2)C1 ZINC001690187554 1200444827 /nfs/dbraw/zinc/44/48/27/1200444827.db2.gz UWDWPKOBIPRFNW-OAHLLOKOSA-N 0 1 324.852 3.053 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN(C)Cc2ccns2)C1 ZINC001690192594 1200447128 /nfs/dbraw/zinc/44/71/28/1200447128.db2.gz PTIGNYIZRJGJBE-HNNXBMFYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001754703456 1200455372 /nfs/dbraw/zinc/45/53/72/1200455372.db2.gz PCVLTWFHXTYMIK-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@]2(C)CCCN(C/C=C\Cl)C2)C1 ZINC001754730075 1200476044 /nfs/dbraw/zinc/47/60/44/1200476044.db2.gz CEAPBZCKUIASFH-WRLNGNIRSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2cc3ccccc3o2)C1 ZINC001754734726 1200480042 /nfs/dbraw/zinc/48/00/42/1200480042.db2.gz XSDCYHSKKPSPRL-HXUWFJFHSA-N 0 1 324.424 3.288 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001754735784 1200482237 /nfs/dbraw/zinc/48/22/37/1200482237.db2.gz KTDJNDHFIWFXHK-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C\Cl ZINC001754866255 1200523507 /nfs/dbraw/zinc/52/35/07/1200523507.db2.gz WNCHBXVJANVXSF-IIQYJXCPSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccncc2Cl)CCC1 ZINC001754983244 1200570264 /nfs/dbraw/zinc/57/02/64/1200570264.db2.gz PZSAPLWMIPQLQO-UHFFFAOYSA-N 0 1 321.852 3.220 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001755075181 1200628579 /nfs/dbraw/zinc/62/85/79/1200628579.db2.gz ASQYQVMDAJXOLM-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C1(C)CCCC1 ZINC001755075939 1200629208 /nfs/dbraw/zinc/62/92/08/1200629208.db2.gz PNEPIQZCTMJLJT-IBGZPJMESA-N 0 1 324.468 3.133 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001690573318 1200632515 /nfs/dbraw/zinc/63/25/15/1200632515.db2.gz SIUXXNPXGIMOQC-ZSMUJPCHSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc(C)no2)CC1(C)C ZINC001755140593 1200657515 /nfs/dbraw/zinc/65/75/15/1200657515.db2.gz AYNPCMIAUFVEDP-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001755157373 1200662760 /nfs/dbraw/zinc/66/27/60/1200662760.db2.gz HQDWUSGSUYPWKJ-HKUYNNGSSA-N 0 1 312.457 3.053 20 30 DGEDMN Cc1ccc(C)c(C(=O)NC[C@H](C)NCC#Cc2ccccc2)c1 ZINC001690641995 1200665036 /nfs/dbraw/zinc/66/50/36/1200665036.db2.gz WHHCCCGRSPVBNA-SFHVURJKSA-N 0 1 320.436 3.063 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@H](C)C1 ZINC001755160680 1200665544 /nfs/dbraw/zinc/66/55/44/1200665544.db2.gz QCFATGOKHQOAHO-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001755168966 1200674358 /nfs/dbraw/zinc/67/43/58/1200674358.db2.gz IZXVWQDEJKSUOM-KBPBESRZSA-N 0 1 317.458 3.055 20 30 DGEDMN Cc1c(C(=O)Nc2cc(C#N)ccc2O)cnn1C1CCCC1 ZINC000127136133 1200675424 /nfs/dbraw/zinc/67/54/24/1200675424.db2.gz SVKRVSNFUYDORR-UHFFFAOYSA-N 0 1 310.357 3.136 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1cc2ccccc2o1 ZINC001755245551 1200730632 /nfs/dbraw/zinc/73/06/32/1200730632.db2.gz FFQJTLUARBPEQY-STQMWFEESA-N 0 1 320.820 3.211 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2ccncc2Cl)C1 ZINC001755252436 1200738370 /nfs/dbraw/zinc/73/83/70/1200738370.db2.gz HWVRMJQPPATEPB-CHWSQXEVSA-N 0 1 321.852 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C)cc1Cl ZINC001755256400 1200741605 /nfs/dbraw/zinc/74/16/05/1200741605.db2.gz JMYHOWQLUFJQFY-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@H]1CCCN1Cc1nccs1 ZINC001690899091 1200774021 /nfs/dbraw/zinc/77/40/21/1200774021.db2.gz ICEPQDLFPGKOHU-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC(C)(C)CC(F)F ZINC001691790329 1200809020 /nfs/dbraw/zinc/80/90/20/1200809020.db2.gz LQMOLTXVXRPBOX-AWEZNQCLSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCC(C2CC2)CC1)c1ccccc1 ZINC001691799454 1200811742 /nfs/dbraw/zinc/81/17/42/1200811742.db2.gz BUSZHZUYDFDMBC-LYBXBRPPSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001691864065 1200845170 /nfs/dbraw/zinc/84/51/70/1200845170.db2.gz FQJOCJCLKQVPLO-HOTGVXAUSA-N 0 1 318.436 3.428 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cc(C)cc(C)c2)C1 ZINC001691866582 1200847914 /nfs/dbraw/zinc/84/79/14/1200847914.db2.gz BJRBXEJZTCCGDT-IBGZPJMESA-N 0 1 312.457 3.253 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCCN1Cc1conc1CC ZINC001755364238 1200851012 /nfs/dbraw/zinc/85/10/12/1200851012.db2.gz GTYLZNZIYWYEPY-INIZCTEOSA-N 0 1 319.449 3.064 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001755387301 1200870778 /nfs/dbraw/zinc/87/07/78/1200870778.db2.gz GWMXPUCZBOMJPQ-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001755401155 1200875179 /nfs/dbraw/zinc/87/51/79/1200875179.db2.gz FAORNUFOADSCII-MOPGFXCFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)C(C)(C)C)C1 ZINC001691969322 1200891629 /nfs/dbraw/zinc/89/16/29/1200891629.db2.gz XCQIHRRDOMZUAR-KBPBESRZSA-N 0 1 300.874 3.201 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)C(C)(C)C)C1 ZINC001691969325 1200891647 /nfs/dbraw/zinc/89/16/47/1200891647.db2.gz XCQIHRRDOMZUAR-ZIAGYGMSSA-N 0 1 300.874 3.201 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2C=CC=CC=C2)CC1 ZINC001692013344 1200910007 /nfs/dbraw/zinc/91/00/07/1200910007.db2.gz DOHZOZGYJNISBU-UHFFFAOYSA-N 0 1 320.864 3.208 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC(CC)CC)CC1 ZINC001755535665 1200942290 /nfs/dbraw/zinc/94/22/90/1200942290.db2.gz OZJWEVNPHREHOZ-UHFFFAOYSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1CCC(=C)CC1 ZINC001755565910 1200956958 /nfs/dbraw/zinc/95/69/58/1200956958.db2.gz ITZROKVPBWFAJV-INIZCTEOSA-N 0 1 310.869 3.312 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001755583081 1200969094 /nfs/dbraw/zinc/96/90/94/1200969094.db2.gz CCTYCCLWBTTXSS-AGKVCYSUSA-N 0 1 318.848 3.094 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)C2CCCCC2)[C@H]1CC ZINC001692209335 1200970161 /nfs/dbraw/zinc/97/01/61/1200970161.db2.gz UAJWXHXJXHTMIP-BPQIPLTHSA-N 0 1 304.478 3.195 20 30 DGEDMN C[C@H](CN(C)C(=O)[C@@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001755593317 1200976039 /nfs/dbraw/zinc/97/60/39/1200976039.db2.gz VNIVSZCRIJCLKF-HUUCEWRRSA-N 0 1 315.461 3.177 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1c(C)onc1CC ZINC001755605378 1200983665 /nfs/dbraw/zinc/98/36/65/1200983665.db2.gz CUWDPPWOLPFUCJ-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(F)cc(Cl)c1 ZINC001755606039 1200985441 /nfs/dbraw/zinc/98/54/41/1200985441.db2.gz JSFLAHRHTSWZQZ-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(c2ccccc2F)CC1 ZINC001755611968 1200989839 /nfs/dbraw/zinc/98/98/39/1200989839.db2.gz XZFIXNHJXNZYRT-ZDUSSCGKSA-N 0 1 324.827 3.046 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001692258657 1200992033 /nfs/dbraw/zinc/99/20/33/1200992033.db2.gz YOEIGMLXHDOWDE-YVEFUNNKSA-N 0 1 318.848 3.135 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H](C)SC)CC(C)(C)C1 ZINC001692272961 1200997894 /nfs/dbraw/zinc/99/78/94/1200997894.db2.gz OGXXHBNBLFOMQL-OLZOCXBDSA-N 0 1 318.914 3.097 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnccc1C ZINC001692359918 1201035178 /nfs/dbraw/zinc/03/51/78/1201035178.db2.gz HZIIWTSWWFOQMB-IRXDYDNUSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(CCOCC)CCCC2)[C@H]1C ZINC001755722023 1201044970 /nfs/dbraw/zinc/04/49/70/1201044970.db2.gz MXWXXWWSECAPHZ-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](CNCc2cscn2)C1 ZINC001755736078 1201054450 /nfs/dbraw/zinc/05/44/50/1201054450.db2.gz QXBDRWUNFCSYKI-ZIAGYGMSSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)SC)C(C)(C)C ZINC001755824135 1201090125 /nfs/dbraw/zinc/09/01/25/1201090125.db2.gz TYYMVLWPRKVAFM-NEPJUHHUSA-N 0 1 306.903 3.001 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001755825117 1201089861 /nfs/dbraw/zinc/08/98/61/1201089861.db2.gz OYIGLESGFWQFPY-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1Cc2ccccc21)C(C)(C)C ZINC001755830717 1201092532 /nfs/dbraw/zinc/09/25/32/1201092532.db2.gz OHEMERORAFBULN-HZPDHXFCSA-N 0 1 320.864 3.199 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)C(C)(C)C ZINC001755838803 1201098337 /nfs/dbraw/zinc/09/83/37/1201098337.db2.gz FUWZJBCHNSLCJL-ZTYXSZCMSA-N 0 1 324.896 3.152 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](C)C2CCCCC2)CC1 ZINC001755895236 1201123166 /nfs/dbraw/zinc/12/31/66/1201123166.db2.gz AUKCNNPUFLTFCO-QGZVFWFLSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001698587197 1201261379 /nfs/dbraw/zinc/26/13/79/1201261379.db2.gz FTAPFMYAUNWROK-AEFFLSMTSA-N 0 1 300.446 3.207 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](CC)NCc1cccc(F)c1F ZINC001698610813 1201280134 /nfs/dbraw/zinc/28/01/34/1201280134.db2.gz TWUHOWAFMSRTEL-OAHLLOKOSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2ccncc2c1 ZINC001698616006 1201287240 /nfs/dbraw/zinc/28/72/40/1201287240.db2.gz IKKMGZRPKIELSZ-INIZCTEOSA-N 0 1 317.820 3.085 20 30 DGEDMN C=CCCC(=O)NC1(CNC/C(Cl)=C\Cl)CCCC1 ZINC001698628054 1201296085 /nfs/dbraw/zinc/29/60/85/1201296085.db2.gz WEWQZHSDMHYREK-FMIVXFBMSA-N 0 1 305.249 3.290 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cscn2)CCCC1 ZINC001698800584 1201429098 /nfs/dbraw/zinc/42/90/98/1201429098.db2.gz MWQXWCWRGKFRMP-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H](C)CNCc1cccc(F)c1F ZINC001698851419 1201505931 /nfs/dbraw/zinc/50/59/31/1201505931.db2.gz CTCQJBYIIXQIHV-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccco1)C1CCCCC1 ZINC001698876791 1201537643 /nfs/dbraw/zinc/53/76/43/1201537643.db2.gz BQONHXQAGVBYOH-CQSZACIVSA-N 0 1 310.825 3.300 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1csc(C)n1 ZINC001698937091 1201587208 /nfs/dbraw/zinc/58/72/08/1201587208.db2.gz ANBTUWKQZCXGPB-CJNGLKHVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cc(C)oc1C ZINC001698949446 1201596827 /nfs/dbraw/zinc/59/68/27/1201596827.db2.gz YEOOPYLBYGQVTN-BDJLRTHQSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(C)oc1C ZINC001698949448 1201597052 /nfs/dbraw/zinc/59/70/52/1201597052.db2.gz YEOOPYLBYGQVTN-MEDUHNTESA-N 0 1 324.852 3.479 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC/C(Cl)=C\Cl ZINC001699132351 1201723374 /nfs/dbraw/zinc/72/33/74/1201723374.db2.gz ZMTXYIFEVMZXAQ-SBNHVRHQSA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(CCc3ccccc3)CC2)[C@H]1C ZINC001750932676 1201730455 /nfs/dbraw/zinc/73/04/55/1201730455.db2.gz UVRGNBSJQMYQFH-MJGOQNOKSA-N 0 1 324.468 3.002 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1sc(C)cc1C ZINC001699182586 1201745853 /nfs/dbraw/zinc/74/58/53/1201745853.db2.gz CBSGGRGOOPDEJO-UHFFFAOYSA-N 0 1 300.855 3.169 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2ccccc2F)C1 ZINC001699202719 1201752535 /nfs/dbraw/zinc/75/25/35/1201752535.db2.gz FUKJFNZLXYVYMW-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)c1ccc(C)cc1 ZINC001699215459 1201758862 /nfs/dbraw/zinc/75/88/62/1201758862.db2.gz TUUKYRZUIWMKQO-UHFFFAOYSA-N 0 1 320.436 3.098 20 30 DGEDMN CC(C(=O)NCCCN(C)Cc1ccc(C#N)s1)=C1CCC1 ZINC001751972325 1201761783 /nfs/dbraw/zinc/76/17/83/1201761783.db2.gz FUWCGUIIQYAKAV-UHFFFAOYSA-N 0 1 317.458 3.058 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(C)Cc1ccccc1Cl ZINC001752040118 1201768518 /nfs/dbraw/zinc/76/85/18/1201768518.db2.gz SBALWSLLOFPRNT-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001699361035 1201810789 /nfs/dbraw/zinc/81/07/89/1201810789.db2.gz KJTKVGNTRRHWMS-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C/C=C/Cc1ccccc1 ZINC001699366661 1201812130 /nfs/dbraw/zinc/81/21/30/1201812130.db2.gz RFUWPUQDSMXVJB-LQYUOIDQSA-N 0 1 306.837 3.022 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](CCC)c2ccccn2)C1 ZINC001752326970 1201829423 /nfs/dbraw/zinc/82/94/23/1201829423.db2.gz WZPOVPDJTDIECO-IAGOWNOFSA-N 0 1 315.461 3.122 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1csc(C)n1)C1CC1 ZINC001752483259 1201852013 /nfs/dbraw/zinc/85/20/13/1201852013.db2.gz XDUGXWWIUDLQOJ-DOTOQJQBSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)cc1F ZINC001752564701 1201874534 /nfs/dbraw/zinc/87/45/34/1201874534.db2.gz JDEPYRZVMOXXGG-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN CC(C)CCCC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001752665357 1201888860 /nfs/dbraw/zinc/88/88/60/1201888860.db2.gz MWWUMBADXFEITN-IYARVYRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1CCC(F)(F)F ZINC001752822091 1201945798 /nfs/dbraw/zinc/94/57/98/1201945798.db2.gz ZBASADWVXZHOKW-ZDUSSCGKSA-N 0 1 306.372 3.266 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CC/C=C\c1ccccc1 ZINC001752822761 1201949884 /nfs/dbraw/zinc/94/98/84/1201949884.db2.gz NMWLISIDKOZRDX-SJAUKRLSSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)CC(F)(F)F ZINC001752823803 1201951020 /nfs/dbraw/zinc/95/10/20/1201951020.db2.gz KGRHRQHPRQHFTO-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@H]1CCCCN1C(=O)CCCF ZINC001752832174 1201958052 /nfs/dbraw/zinc/95/80/52/1201958052.db2.gz UFZSSGVKTVHKNN-LSDHHAIUSA-N 0 1 316.848 3.334 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2nc(C)cs2)C1 ZINC001752836369 1201961223 /nfs/dbraw/zinc/96/12/23/1201961223.db2.gz FOQOZTPTFGAHQZ-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001699628021 1201989443 /nfs/dbraw/zinc/98/94/43/1201989443.db2.gz UHOIWFDRGZIDRY-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1cc(C)cc(C)c1 ZINC001699638390 1202005736 /nfs/dbraw/zinc/00/57/36/1202005736.db2.gz YCKNYCJSZFMWCM-SFHVURJKSA-N 0 1 300.446 3.003 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001752910022 1202007075 /nfs/dbraw/zinc/00/70/75/1202007075.db2.gz OJSYRLIGPVRASD-KXBFYZLASA-N 0 1 315.461 3.369 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC(C)(C)CC(F)(F)F ZINC001752914125 1202009318 /nfs/dbraw/zinc/00/93/18/1202009318.db2.gz NRUSECMLADCBEL-SNVBAGLBSA-N 0 1 314.779 3.202 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2cccc(C(F)F)c2)C1 ZINC001699715795 1202047558 /nfs/dbraw/zinc/04/75/58/1202047558.db2.gz HJSQRUTXXJGETI-UHFFFAOYSA-N 0 1 322.399 3.276 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC[C@H](N(C)CCF)C1)c1ccccc1 ZINC001753001179 1202052219 /nfs/dbraw/zinc/05/22/19/1202052219.db2.gz YBIPSWQWQIGCTO-ZWKOTPCHSA-N 0 1 318.436 3.239 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC001699726559 1202055707 /nfs/dbraw/zinc/05/57/07/1202055707.db2.gz LQEMFQFSGYRPRP-UHFFFAOYSA-N 0 1 315.392 3.029 20 30 DGEDMN C#CCN(C(=O)C/C=C(\C)C=C)C1CCN(C[C@@H](F)CC)CC1 ZINC001699784269 1202077630 /nfs/dbraw/zinc/07/76/30/1202077630.db2.gz CPINHKFLCUVPQX-BDBSJLECSA-N 0 1 320.452 3.183 20 30 DGEDMN C#CCN(C(=O)CCC1CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001699780325 1202077639 /nfs/dbraw/zinc/07/76/39/1202077639.db2.gz RMYYMOZKDYBHRF-NYYWCZLTSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(CCc2ccc(F)c(F)c2)C1 ZINC001699810183 1202089251 /nfs/dbraw/zinc/08/92/51/1202089251.db2.gz FIOFNCWPWFNTSW-GOSISDBHSA-N 0 1 322.399 3.054 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2cccc(F)c2)CC1 ZINC001753063212 1202091780 /nfs/dbraw/zinc/09/17/80/1202091780.db2.gz LQSZQAGCDWFEBR-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H]1CCCN1C/C=C\Cl)c1ccccc1 ZINC001753116353 1202145551 /nfs/dbraw/zinc/14/55/51/1202145551.db2.gz UVFXZVYDMPGOER-ANDZVCCMSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCc1ccccc1CN(C)CC#CC ZINC001700091699 1202177008 /nfs/dbraw/zinc/17/70/08/1202177008.db2.gz SYLQSYLFKVRAFF-QGZVFWFLSA-N 0 1 312.457 3.360 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC001753243818 1202188193 /nfs/dbraw/zinc/18/81/93/1202188193.db2.gz GXOCPXSUYDJBMI-SFHVURJKSA-N 0 1 320.864 3.155 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C(C)(C)C(F)F)C(C)C ZINC001753291900 1202198048 /nfs/dbraw/zinc/19/80/48/1202198048.db2.gz ZAWQJUJZUVEULX-UHFFFAOYSA-N 0 1 310.816 3.247 20 30 DGEDMN CN(CCCN(C)C(=O)CC1CCC1)Cc1ccc(C#N)s1 ZINC001700183718 1202200076 /nfs/dbraw/zinc/20/00/76/1202200076.db2.gz OCJRCXCPHAPCPY-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CCC2(CC2)CC1)c1ccccc1 ZINC001753299760 1202200423 /nfs/dbraw/zinc/20/04/23/1202200423.db2.gz HITLKDCXLJXMFG-LJQANCHMSA-N 0 1 324.468 3.427 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001753306798 1202202496 /nfs/dbraw/zinc/20/24/96/1202202496.db2.gz KOCXGYDFVIGQJJ-HDICACEKSA-N 0 1 312.457 3.464 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@H](CNC(=O)C(C)(C)CCC)O2 ZINC001700204850 1202210373 /nfs/dbraw/zinc/21/03/73/1202210373.db2.gz JQKNVJJFTQFPJC-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1C[C@H](C)[C@H](NCc2ccccc2C#N)C1 ZINC001700263406 1202221303 /nfs/dbraw/zinc/22/13/03/1202221303.db2.gz JLKGYDYVHRZBNZ-DCGDGQDLSA-N 0 1 323.440 3.017 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CC)CCCCC2)C(C)(C)C1 ZINC001700293975 1202229241 /nfs/dbraw/zinc/22/92/41/1202229241.db2.gz MDTHUEZAEWXGNK-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001753393888 1202239773 /nfs/dbraw/zinc/23/97/73/1202239773.db2.gz HPIVAEKZYBDEQR-IAGOWNOFSA-N 0 1 314.473 3.329 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1C ZINC001753421345 1202248545 /nfs/dbraw/zinc/24/85/45/1202248545.db2.gz GNOBSWQKWCPQAT-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(CC(C)C)cc1 ZINC001700364446 1202251682 /nfs/dbraw/zinc/25/16/82/1202251682.db2.gz LEJQCPHNNDOMPB-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC001753433684 1202253080 /nfs/dbraw/zinc/25/30/80/1202253080.db2.gz LXGQFRZOXSYNBV-INIZCTEOSA-N 0 1 304.409 3.133 20 30 DGEDMN C=C(C)CCC(=O)NC1CC(CNCc2ncc(C(C)C)o2)C1 ZINC001700374009 1202254435 /nfs/dbraw/zinc/25/44/35/1202254435.db2.gz SBAKAAHEJBCUFP-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001753453089 1202260845 /nfs/dbraw/zinc/26/08/45/1202260845.db2.gz KSDGTDXOYOTKPF-MRXNPFEDSA-N 0 1 304.409 3.215 20 30 DGEDMN CCN(C(=O)C=C1CCC1)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001753453977 1202260864 /nfs/dbraw/zinc/26/08/64/1202260864.db2.gz ANVMOEYHWINIFX-LJQANCHMSA-N 0 1 323.440 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)c(OC)c(C)c1 ZINC001753474248 1202268780 /nfs/dbraw/zinc/26/87/80/1202268780.db2.gz RZNVJIUMIYDXCN-HNNXBMFYSA-N 0 1 324.852 3.163 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2cc(C)cn2c1 ZINC001753475259 1202269197 /nfs/dbraw/zinc/26/91/97/1202269197.db2.gz NIEWRZPYTWAHHX-OAHLLOKOSA-N 0 1 319.836 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(F)F)o1 ZINC001700425547 1202269279 /nfs/dbraw/zinc/26/92/79/1202269279.db2.gz PNCQGDGBKIPICY-DTWKUNHWSA-N 0 1 306.740 3.066 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1cccc(CC)c1 ZINC001753477133 1202269422 /nfs/dbraw/zinc/26/94/22/1202269422.db2.gz LZHOOMXMIQIZBV-MRXNPFEDSA-N 0 1 308.853 3.028 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)c(CC)s1 ZINC001700428953 1202270468 /nfs/dbraw/zinc/27/04/68/1202270468.db2.gz BLUXNTJXZJYJCC-NEPJUHHUSA-N 0 1 314.882 3.468 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1ccccc1Cl ZINC001753493890 1202274518 /nfs/dbraw/zinc/27/45/18/1202274518.db2.gz SPTRVLKKXWKEQA-CYBMUJFWSA-N 0 1 315.244 3.119 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C(C)(C)CC(C)C ZINC001700525246 1202304907 /nfs/dbraw/zinc/30/49/07/1202304907.db2.gz CDWYBPNAXXLRPN-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CC(C)=C(C)C)C2)C1 ZINC001700561595 1202313170 /nfs/dbraw/zinc/31/31/70/1202313170.db2.gz IFBZLYGPZNYCNS-LJQANCHMSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001753715023 1202319960 /nfs/dbraw/zinc/31/99/60/1202319960.db2.gz NSUBPKOVHTWXBF-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCCCC(C)(C)C)C2)C1 ZINC001700619896 1202323829 /nfs/dbraw/zinc/32/38/29/1202323829.db2.gz PJVCWNMWSZRTOH-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(OC)cc1 ZINC001753741380 1202326367 /nfs/dbraw/zinc/32/63/67/1202326367.db2.gz VTWLBFYJSLTDFA-HNNXBMFYSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cc(C)[nH]c1C ZINC001753811722 1202337956 /nfs/dbraw/zinc/33/79/56/1202337956.db2.gz MMXVBOKEHASLBO-BDJLRTHQSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C#CC(C)(C)C ZINC001753818806 1202339848 /nfs/dbraw/zinc/33/98/48/1202339848.db2.gz MGZPVTGSCQIDCC-HIFRSBDPSA-N 0 1 310.869 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001700779807 1202354066 /nfs/dbraw/zinc/35/40/66/1202354066.db2.gz GAZBXHVRWZUJCP-ZBFHGGJFSA-N 0 1 322.880 3.363 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCC2CCCCC2)[C@@H]1C ZINC001700888203 1202366295 /nfs/dbraw/zinc/36/62/95/1202366295.db2.gz OGJUMVJRGFYCSY-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H](NCC(=C)Cl)C(C)(C)C1 ZINC001700945211 1202371740 /nfs/dbraw/zinc/37/17/40/1202371740.db2.gz RKUZYDSLZQHWJH-OAHLLOKOSA-N 0 1 310.869 3.149 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(C2CC2)c1)C(C)C ZINC001700979670 1202375724 /nfs/dbraw/zinc/37/57/24/1202375724.db2.gz GCHIBNDBYOYSRS-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2ocnc2C)CC1 ZINC001701012327 1202381275 /nfs/dbraw/zinc/38/12/75/1202381275.db2.gz UZFBJPFONWRVAJ-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1CC ZINC001707046354 1202568608 /nfs/dbraw/zinc/56/86/08/1202568608.db2.gz HJMSQDZPSAGIMI-GOSISDBHSA-N 0 1 314.473 3.494 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)CCCF)C1 ZINC001707192263 1202576013 /nfs/dbraw/zinc/57/60/13/1202576013.db2.gz QLQAQRKCSPAKPB-QZTJIDSGSA-N 0 1 318.436 3.287 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1cccc(C)c1 ZINC001707274501 1202580118 /nfs/dbraw/zinc/58/01/18/1202580118.db2.gz DJVDJJAHDAIWEP-MJGOQNOKSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)CCCCC)C2 ZINC001707278498 1202580515 /nfs/dbraw/zinc/58/05/15/1202580515.db2.gz UPBMRAZEXCRFES-UHFFFAOYSA-N 0 1 321.490 3.274 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)c1ccc(C)o1 ZINC001713024647 1202611047 /nfs/dbraw/zinc/61/10/47/1202611047.db2.gz ZIIYZKBFIQAUEW-CQSZACIVSA-N 0 1 312.841 3.272 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2cccnc2C)CC1 ZINC001713055104 1202613330 /nfs/dbraw/zinc/61/33/30/1202613330.db2.gz GLYZGNHIXKWROM-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)/C=C/C(C)(C)C)CC1 ZINC001713091481 1202616277 /nfs/dbraw/zinc/61/62/77/1202616277.db2.gz GVFGMJXRTKFASL-GJBLVYBDSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C)cc2C)CC1 ZINC001713101867 1202617449 /nfs/dbraw/zinc/61/74/49/1202617449.db2.gz DLNNYDUMPOOALU-UHFFFAOYSA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001713144131 1202621609 /nfs/dbraw/zinc/62/16/09/1202621609.db2.gz TXVGVYRCUHAYOG-TWMKSMIVSA-N 0 1 304.478 3.003 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)c1ccccc1 ZINC001713143528 1202621770 /nfs/dbraw/zinc/62/17/70/1202621770.db2.gz IKGQILZNHZTCBX-KSZLIROESA-N 0 1 316.420 3.339 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)CCC(C)(C)C ZINC001713256624 1202632321 /nfs/dbraw/zinc/63/23/21/1202632321.db2.gz KZGFIHLSEZGORD-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001713258469 1202632725 /nfs/dbraw/zinc/63/27/25/1202632725.db2.gz XXMKYLADMKJEHZ-RBUKOAKNSA-N 0 1 314.473 3.412 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2conc2C)C1 ZINC001713277678 1202634639 /nfs/dbraw/zinc/63/46/39/1202634639.db2.gz LHOVEAARUSLWAS-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001713300989 1202637160 /nfs/dbraw/zinc/63/71/60/1202637160.db2.gz VOOOERKOXVRSDL-IAQYHMDHSA-N 0 1 308.372 3.013 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1sccc1Cl ZINC001713329050 1202639445 /nfs/dbraw/zinc/63/94/45/1202639445.db2.gz XNHWPXDAXWJKAJ-JTQLQIEISA-N 0 1 300.855 3.208 20 30 DGEDMN C=CCCCC(=O)NCCN(C)Cc1ccc(Cl)c(F)c1 ZINC001713465271 1202653982 /nfs/dbraw/zinc/65/39/82/1202653982.db2.gz LHRMOUWBGBERJV-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001713471620 1202655228 /nfs/dbraw/zinc/65/52/28/1202655228.db2.gz SPNCUPJGJHDNBV-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001713493350 1202659711 /nfs/dbraw/zinc/65/97/11/1202659711.db2.gz HKJCDBMUDPEVGN-IBGZPJMESA-N 0 1 314.473 3.278 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001713515533 1202663758 /nfs/dbraw/zinc/66/37/58/1202663758.db2.gz WNRASFRAUJNQIW-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCN(CCNC(=O)c1cc(CC)c(CCC)s1)C1CC1 ZINC001713565564 1202671492 /nfs/dbraw/zinc/67/14/92/1202671492.db2.gz RQNSZCQOEZGGML-UHFFFAOYSA-N 0 1 318.486 3.090 20 30 DGEDMN CN(CCNCC#Cc1ccccc1Cl)C(=O)CC(C)(C)C ZINC001713573316 1202671996 /nfs/dbraw/zinc/67/19/96/1202671996.db2.gz NWGXSZCGVHGJLT-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C2CCC2)cc1 ZINC001713597731 1202672836 /nfs/dbraw/zinc/67/28/36/1202672836.db2.gz KRAYBSQDTCGQBW-UHFFFAOYSA-N 0 1 306.837 3.368 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@](C)(CC)CCC ZINC001713647374 1202674904 /nfs/dbraw/zinc/67/49/04/1202674904.db2.gz DOVLHRUSDJAOIL-CQSZACIVSA-N 0 1 319.287 3.159 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccccc1C)c1ccccc1 ZINC001713696707 1202678218 /nfs/dbraw/zinc/67/82/18/1202678218.db2.gz KQYBAQIUOUMEMI-IBGZPJMESA-N 0 1 306.409 3.079 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CC[C@H](CC)CC1)c1ccccc1 ZINC001713703542 1202678973 /nfs/dbraw/zinc/67/89/73/1202678973.db2.gz FYQRMWFNSVGWSO-WDSOQIARSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2ccncc2Cl)C1 ZINC001713725682 1202682685 /nfs/dbraw/zinc/68/26/85/1202682685.db2.gz YVLNYLQNHXGHOD-AWEZNQCLSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2c(C)oc(C)c2C)C1 ZINC001713729910 1202683407 /nfs/dbraw/zinc/68/34/07/1202683407.db2.gz FMIZUOMVHHGTAN-HNNXBMFYSA-N 0 1 324.852 3.399 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001713793044 1202691460 /nfs/dbraw/zinc/69/14/60/1202691460.db2.gz HGFBEIINQRFEEE-FUHWJXTLSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001713830163 1202693657 /nfs/dbraw/zinc/69/36/57/1202693657.db2.gz ZLBSOGSHRZYHQI-WMLDXEAASA-N 0 1 321.490 3.053 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001713843284 1202695164 /nfs/dbraw/zinc/69/51/64/1202695164.db2.gz IMGVCCRDGWPAFJ-HKUYNNGSSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1ncc(C)s1)C1CC1 ZINC001713868591 1202697449 /nfs/dbraw/zinc/69/74/49/1202697449.db2.gz RSEZTFQHEHOLFY-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1(C(F)F)CCCC1)C1CC1 ZINC001713875022 1202697830 /nfs/dbraw/zinc/69/78/30/1202697830.db2.gz RGEYPAGAMBLEHD-GFCCVEGCSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cccc1Cl ZINC001713940850 1202704000 /nfs/dbraw/zinc/70/40/00/1202704000.db2.gz PJGIOJOVSMNMAZ-STQMWFEESA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1sc(C)nc1C ZINC001713941001 1202704048 /nfs/dbraw/zinc/70/40/48/1202704048.db2.gz AUABCBUMKRONLC-CQDKDKBSSA-N 0 1 319.474 3.013 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CCN(Cc2ccc(C3CCC3)cc2)C1 ZINC001713950639 1202704622 /nfs/dbraw/zinc/70/46/22/1202704622.db2.gz XMTXWXRCEUDMCX-FQEVSTJZSA-N 0 1 324.468 3.304 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001713960534 1202706708 /nfs/dbraw/zinc/70/67/08/1202706708.db2.gz JFHLSHMBNPCSKX-NZGPQZMQSA-N 0 1 305.249 3.145 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001714013801 1202727483 /nfs/dbraw/zinc/72/74/83/1202727483.db2.gz HKBKZLIGTNGSQH-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001714028133 1202736947 /nfs/dbraw/zinc/73/69/47/1202736947.db2.gz UVFVXBWBNCJBHG-QAPCUYQASA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001714032914 1202741199 /nfs/dbraw/zinc/74/11/99/1202741199.db2.gz PDOAOLKWDQNVPO-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001714034899 1202742506 /nfs/dbraw/zinc/74/25/06/1202742506.db2.gz BGXYODRTYGTCHT-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001714042004 1202746858 /nfs/dbraw/zinc/74/68/58/1202746858.db2.gz QRQBURIXVJFXDU-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN CC[C@H](CNC(=O)c1ccccc1C)NCc1ccccc1C#N ZINC001714055113 1202754839 /nfs/dbraw/zinc/75/48/39/1202754839.db2.gz CPUKKMCSLYLKHI-GOSISDBHSA-N 0 1 321.424 3.165 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CCC2CCCC2)C1 ZINC001753313659 1202762159 /nfs/dbraw/zinc/76/21/59/1202762159.db2.gz UIPVTFBXMNGEOY-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001714104591 1202778512 /nfs/dbraw/zinc/77/85/12/1202778512.db2.gz SQCZPINLLLAJJJ-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1cccs1 ZINC001714104530 1202778534 /nfs/dbraw/zinc/77/85/34/1202778534.db2.gz PUUBTLSGHSQQIA-RYUDHWBXSA-N 0 1 300.855 3.089 20 30 DGEDMN C[C@@H](NC(=O)C1(C)CC1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001714149302 1202803957 /nfs/dbraw/zinc/80/39/57/1202803957.db2.gz CNRIYUWCNAXNJF-MJGOQNOKSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2nocc2C)CCCC[C@H]1C ZINC001714180331 1202819956 /nfs/dbraw/zinc/81/99/56/1202819956.db2.gz NEVOWHUVPYKLOM-QAPCUYQASA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](CCNCc2nccs2)C1 ZINC001714222458 1202838223 /nfs/dbraw/zinc/83/82/23/1202838223.db2.gz UDPSKRGNMCXCOJ-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2cccc(C)c2)CCC1 ZINC001714262678 1202852462 /nfs/dbraw/zinc/85/24/62/1202852462.db2.gz WEIBWBJUABSMOC-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001714263528 1202853682 /nfs/dbraw/zinc/85/36/82/1202853682.db2.gz DTZCRUIZFRALNO-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@H](NCc2ncc(CC)o2)C1 ZINC001714401802 1202913956 /nfs/dbraw/zinc/91/39/56/1202913956.db2.gz RCTFPFCSBNQRHT-GJZGRUSLSA-N 0 1 319.449 3.110 20 30 DGEDMN CCCCCC(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001714552492 1202995398 /nfs/dbraw/zinc/99/53/98/1202995398.db2.gz ZMGQKHDBRHXFDR-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN CC[C@@H](CC(=O)NCCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001714572472 1203014246 /nfs/dbraw/zinc/01/42/46/1203014246.db2.gz WVLZPBQXMLVJCR-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC001714603723 1203042719 /nfs/dbraw/zinc/04/27/19/1203042719.db2.gz JDRMCPSPGWZLJB-INIZCTEOSA-N 0 1 306.837 3.387 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001714664555 1203088953 /nfs/dbraw/zinc/08/89/53/1203088953.db2.gz YQLNXKSQWIMUNI-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@]1(C)CCC(C)=C(C)C1)C1CC1 ZINC001714675810 1203096946 /nfs/dbraw/zinc/09/69/46/1203096946.db2.gz LVVOGDWOUGRDHR-IBGZPJMESA-N 0 1 302.462 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1ccc(Cl)cc1F ZINC001714744227 1203114229 /nfs/dbraw/zinc/11/42/29/1203114229.db2.gz AMBCUSBJFYWTAQ-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2cc(F)ccc2o1 ZINC001714777950 1203124982 /nfs/dbraw/zinc/12/49/82/1203124982.db2.gz SXTFLPIZMQEUGX-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1Cc2ccccc21)C(C)C ZINC001714826985 1203140833 /nfs/dbraw/zinc/14/08/33/1203140833.db2.gz FBHCYBJSSHQRBQ-QGZVFWFLSA-N 0 1 320.864 3.296 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CCC(C)(C)C1)c1ccccc1 ZINC001714838411 1203146661 /nfs/dbraw/zinc/14/66/61/1203146661.db2.gz GQIAQIAKFDAKRO-MSOLQXFVSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccoc2CC)C1 ZINC001714856344 1203156051 /nfs/dbraw/zinc/15/60/51/1203156051.db2.gz PDQUVDFGTOQPQC-OAHLLOKOSA-N 0 1 304.434 3.345 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CCC2CCCC2)C1 ZINC001714889152 1203180097 /nfs/dbraw/zinc/18/00/97/1203180097.db2.gz DUHMJLSSPDGRPZ-MRXNPFEDSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001714917238 1203198901 /nfs/dbraw/zinc/19/89/01/1203198901.db2.gz KECYZPGYOWFXIR-LOKCTGBGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001714966100 1203219720 /nfs/dbraw/zinc/21/97/20/1203219720.db2.gz QACJEBQQPXHTRR-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001715018510 1203240159 /nfs/dbraw/zinc/24/01/59/1203240159.db2.gz HSQSQPRUTSALRL-NVXWUHKLSA-N 0 1 306.475 3.383 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001715021989 1203240654 /nfs/dbraw/zinc/24/06/54/1203240654.db2.gz CSAXKMAPBKQJEB-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H]2CCN(C[C@H](F)CC)C2)CCCC1 ZINC001715033766 1203245750 /nfs/dbraw/zinc/24/57/50/1203245750.db2.gz ZGURIKKXTCDZBG-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001715046403 1203252827 /nfs/dbraw/zinc/25/28/27/1203252827.db2.gz RBOPVMQGFDKIOF-NSHGMRRFSA-N 0 1 312.457 3.289 20 30 DGEDMN CC[C@H](CNC(=O)CCC1CCC1)NCc1ccccc1C#N ZINC001715054092 1203256421 /nfs/dbraw/zinc/25/64/21/1203256421.db2.gz UBXRSJVSJICTKJ-GOSISDBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1c(C)cc(C)cc1C ZINC001715074493 1203263785 /nfs/dbraw/zinc/26/37/85/1203263785.db2.gz VCUNQJZWZFCGIB-INIZCTEOSA-N 0 1 322.880 3.391 20 30 DGEDMN C=CCCCCn1c(C(C)C)nnc1N1CCN(CC)[C@H](C)C1 ZINC001740857788 1203280056 /nfs/dbraw/zinc/28/00/56/1203280056.db2.gz CIKKJEFSDCQZJH-MRXNPFEDSA-N 0 1 319.497 3.288 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2scnc2C)C1 ZINC001753441662 1203287383 /nfs/dbraw/zinc/28/73/83/1203287383.db2.gz NGQRAOKBZIDJBB-HUUCEWRRSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001753441662 1203287384 /nfs/dbraw/zinc/28/73/84/1203287384.db2.gz NGQRAOKBZIDJBB-HUUCEWRRSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)CN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001715220032 1203298366 /nfs/dbraw/zinc/29/83/66/1203298366.db2.gz IOHVHLMHOCJMKC-KBPBESRZSA-N 0 1 321.465 3.341 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CN(CCC2=CCCCC2)CCO1 ZINC001715295295 1203308505 /nfs/dbraw/zinc/30/85/05/1203308505.db2.gz VQJYWXSQYFEKFX-SFHVURJKSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cscn2)CCCC1 ZINC001715303123 1203310970 /nfs/dbraw/zinc/31/09/70/1203310970.db2.gz JFSQZAZOTPNBNA-MRXNPFEDSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001715361463 1203324421 /nfs/dbraw/zinc/32/44/21/1203324421.db2.gz HDPJALDLXDCILX-RRFJBIMHSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CCC(C)(F)F ZINC001715382347 1203329906 /nfs/dbraw/zinc/32/99/06/1203329906.db2.gz CPFUJAHDFAYOKX-AAEUAGOBSA-N 0 1 322.827 3.391 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H](CNCc1ccccc1C#N)CC(C)C ZINC001715382742 1203329993 /nfs/dbraw/zinc/32/99/93/1203329993.db2.gz GTLFEQRYVWLGNL-ZPZLLIBOSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C1(CCF)CC1 ZINC001715386984 1203331081 /nfs/dbraw/zinc/33/10/81/1203331081.db2.gz LMYFJHQYSQGUAN-JSGCOSHPSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1coc(C)c1 ZINC001715469147 1203345980 /nfs/dbraw/zinc/34/59/80/1203345980.db2.gz HFZOGZLYFKBAMR-ABAIWWIYSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2(C)CCC2)CC1 ZINC001715479744 1203348446 /nfs/dbraw/zinc/34/84/46/1203348446.db2.gz BYYNGVMNFCLTTQ-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C(C)(C)C)CC1 ZINC001715497919 1203352696 /nfs/dbraw/zinc/35/26/96/1203352696.db2.gz JMVYRBUCWUCZCT-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001715533182 1203364640 /nfs/dbraw/zinc/36/46/40/1203364640.db2.gz ZXLTWSBYSOMXRS-QGZVFWFLSA-N 0 1 317.477 3.191 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@@H]1CNCc1nc(C)oc1C ZINC001715572443 1203372715 /nfs/dbraw/zinc/37/27/15/1203372715.db2.gz UCYDCKFPCDSMDG-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@@H]1CNCc1nc(C)oc1C ZINC001715572445 1203372893 /nfs/dbraw/zinc/37/28/93/1203372893.db2.gz UCYDCKFPCDSMDG-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN CCC[C@@H](C)C(=O)N(CC)CCNCC#Cc1ccc(F)cc1 ZINC001715724839 1203384504 /nfs/dbraw/zinc/38/45/04/1203384504.db2.gz ZMHMSRYTZWJOHD-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001715910876 1203402461 /nfs/dbraw/zinc/40/24/61/1203402461.db2.gz DOEJVPKFEKWQKQ-AWFCHZOYSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2cc(F)ccc2C)C1 ZINC001715939770 1203407054 /nfs/dbraw/zinc/40/70/54/1203407054.db2.gz FVBWCQUCNJKFIQ-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1cc(F)ccc1OC ZINC001715990926 1203417179 /nfs/dbraw/zinc/41/71/79/1203417179.db2.gz ZFJQPXAAGZOYCL-ZDUSSCGKSA-N 0 1 322.424 3.031 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1cccc(Cl)c1F ZINC001715990936 1203417307 /nfs/dbraw/zinc/41/73/07/1203417307.db2.gz ZSGPLYQVKFKILW-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2cncc(C)c2)CCC1 ZINC001716039657 1203432243 /nfs/dbraw/zinc/43/22/43/1203432243.db2.gz KDNUCRAHNRSODK-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)CCC)CC[C@H]21 ZINC001716051590 1203435645 /nfs/dbraw/zinc/43/56/45/1203435645.db2.gz OYMKBOBXNYPHSS-HUUCEWRRSA-N 0 1 312.885 3.488 20 30 DGEDMN CCN(CCN[C@@H](C)c1cc(F)ccc1F)C(=O)C#CC(C)C ZINC001716217061 1203450668 /nfs/dbraw/zinc/45/06/68/1203450668.db2.gz VUEGFNWSLVVIKJ-AWEZNQCLSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(Cl)c1F ZINC001716340191 1203460773 /nfs/dbraw/zinc/46/07/73/1203460773.db2.gz VHSUCRIKQFIXTL-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1cc(Cl)ccc1F ZINC001716392568 1203465733 /nfs/dbraw/zinc/46/57/33/1203465733.db2.gz AHCVSLFRFKVMSB-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001716400810 1203466808 /nfs/dbraw/zinc/46/68/08/1203466808.db2.gz QFAOFVHQQGNYSI-LLVKDONJSA-N 0 1 321.852 3.230 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](CC)c1ccccc1 ZINC001716459469 1203477989 /nfs/dbraw/zinc/47/79/89/1203477989.db2.gz KJCAQMZVTAZWHF-GJZGRUSLSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)C[C@@H](C)CC(C)C ZINC001716459280 1203478189 /nfs/dbraw/zinc/47/81/89/1203478189.db2.gz CFOSYUNNHCXIBL-AAEUAGOBSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CCC)c1ccccc1 ZINC001716462719 1203478518 /nfs/dbraw/zinc/47/85/18/1203478518.db2.gz QNQUOMQNMVAGHS-HOCLYGCPSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1Cc1ccccc1C(C)C ZINC001716645054 1203512303 /nfs/dbraw/zinc/51/23/03/1203512303.db2.gz HHDVUMSMGAUCGH-QGZVFWFLSA-N 0 1 300.446 3.467 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1[C@@H](C)c1ccc2c(c1)CCC2 ZINC001716645944 1203512316 /nfs/dbraw/zinc/51/23/16/1203512316.db2.gz SWUOFQBTQMZMNC-KXBFYZLASA-N 0 1 312.457 3.393 20 30 DGEDMN C[C@@H]1CCCC[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716691536 1203526299 /nfs/dbraw/zinc/52/62/99/1203526299.db2.gz MXIYTLSYLZJHKW-IEBWSBKVSA-N 0 1 324.468 3.103 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)C1CN(CCC2CCCCC2)C1 ZINC001716741368 1203535467 /nfs/dbraw/zinc/53/54/67/1203535467.db2.gz XBDDMBJFCFUMIE-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001716744741 1203535882 /nfs/dbraw/zinc/53/58/82/1203535882.db2.gz NVKWFNUGFCJLJE-CYBMUJFWSA-N 0 1 319.449 3.083 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2c(C)cc(C)cc2C)C1 ZINC001716752926 1203537934 /nfs/dbraw/zinc/53/79/34/1203537934.db2.gz MLIPQKNAVDPNLV-UHFFFAOYSA-N 0 1 300.446 3.334 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001716753919 1203538509 /nfs/dbraw/zinc/53/85/09/1203538509.db2.gz BDANXOZNBVKRRV-HNNXBMFYSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2nocc2C)C[C@H]1C ZINC001716761253 1203539833 /nfs/dbraw/zinc/53/98/33/1203539833.db2.gz IUCTUEQCQGROLS-HUUCEWRRSA-N 0 1 319.449 3.054 20 30 DGEDMN CCC(=O)NC1(C)CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC001716792159 1203543379 /nfs/dbraw/zinc/54/33/79/1203543379.db2.gz WVIOSXLNXWWMAX-UHFFFAOYSA-N 0 1 318.848 3.072 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCC1(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001716809616 1203545450 /nfs/dbraw/zinc/54/54/50/1203545450.db2.gz NBNQOHXZXOAOHV-RDJZCZTQSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCC1(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001716809617 1203545758 /nfs/dbraw/zinc/54/57/58/1203545758.db2.gz NBNQOHXZXOAOHV-WBVHZDCISA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)C(C)C)c1ccccc1CC ZINC001716953898 1203569376 /nfs/dbraw/zinc/56/93/76/1203569376.db2.gz KQZSYEHHMMGVHK-GOSISDBHSA-N 0 1 314.473 3.311 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCCC12CC2 ZINC001717113891 1203586292 /nfs/dbraw/zinc/58/62/92/1203586292.db2.gz SLMUGOUMRAGMPE-LJQANCHMSA-N 0 1 324.468 3.338 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H](C)CCC)C2)CC1 ZINC001717126730 1203588795 /nfs/dbraw/zinc/58/87/95/1203588795.db2.gz FDQCDXGEXBXPRW-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(F)cc1 ZINC001717144088 1203590248 /nfs/dbraw/zinc/59/02/48/1203590248.db2.gz OAKCIWPEBVVBOP-OAHLLOKOSA-N 0 1 310.800 3.163 20 30 DGEDMN CN(CCCN(C)C(=O)C#CC(C)(C)C)Cc1ccccc1F ZINC001717206154 1203601103 /nfs/dbraw/zinc/60/11/03/1203601103.db2.gz CTJMTIZJXGLYGP-UHFFFAOYSA-N 0 1 318.436 3.156 20 30 DGEDMN CN(CCCN(C)C(=O)C(C)(C)C)Cc1cc(C#N)ccc1F ZINC001717214366 1203604835 /nfs/dbraw/zinc/60/48/35/1203604835.db2.gz SXNBXUMZDCETLP-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CCCC1CC1 ZINC001717243417 1203608860 /nfs/dbraw/zinc/60/88/60/1203608860.db2.gz DIPDQNSDVYXAOR-FQEVSTJZSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](C)CSC)cc2C1 ZINC001717269352 1203610363 /nfs/dbraw/zinc/61/03/63/1203610363.db2.gz KOAWFZXVDOGZEW-CQSZACIVSA-N 0 1 318.486 3.194 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H](NCC(=C)Cl)[C@@H](C)C2)CCCC1 ZINC001717293528 1203613782 /nfs/dbraw/zinc/61/37/82/1203613782.db2.gz NCALSTGCHLCENW-ZFWWWQNUSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H](C)[C@H](NCC(=C)Cl)C2)CCCC1 ZINC001717293526 1203613804 /nfs/dbraw/zinc/61/38/04/1203613804.db2.gz NCALSTGCHLCENW-DZGCQCFKSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@H](C)C1 ZINC001717337699 1203621052 /nfs/dbraw/zinc/62/10/52/1203621052.db2.gz MPAJSZFBRPFJCN-CABCVRRESA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC001717338088 1203621122 /nfs/dbraw/zinc/62/11/22/1203621122.db2.gz PZHBNGBOWKIQGU-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@H](C)NCc2nc(C)oc2C)CC1 ZINC001717341877 1203621811 /nfs/dbraw/zinc/62/18/11/1203621811.db2.gz HTEBNRMDTBYACB-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CN(C)CC#CC)CCCCC1 ZINC001717376086 1203630527 /nfs/dbraw/zinc/63/05/27/1203630527.db2.gz JXVHCMOZDRAEAC-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)c1ccccc1C ZINC001717376381 1203631443 /nfs/dbraw/zinc/63/14/43/1203631443.db2.gz PPGZTRBBZXFGPC-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001717399005 1203636072 /nfs/dbraw/zinc/63/60/72/1203636072.db2.gz MINPVZIATWQQAC-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001717401387 1203636642 /nfs/dbraw/zinc/63/66/42/1203636642.db2.gz RFUTXPWOLWVFFV-BARLUBHISA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(F)cc(C)cc1F ZINC001717418488 1203639678 /nfs/dbraw/zinc/63/96/78/1203639678.db2.gz HLKGHTVPXCJOFC-MNOVXSKESA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001717425631 1203641673 /nfs/dbraw/zinc/64/16/73/1203641673.db2.gz UZQVJVQMKLSLNT-NEPJUHHUSA-N 0 1 309.479 3.099 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC001717426922 1203641972 /nfs/dbraw/zinc/64/19/72/1203641972.db2.gz MOEGAAZNYPZVKJ-LSDHHAIUSA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1csc(C(C)C)n1 ZINC001717430459 1203642342 /nfs/dbraw/zinc/64/23/42/1203642342.db2.gz GGRKFPVHGAIXIT-GHMZBOCLSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccsc1Cl ZINC001717429703 1203642386 /nfs/dbraw/zinc/64/23/86/1203642386.db2.gz XEGZHDMXAXSLHA-BDAKNGLRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C)c2c1CCC2 ZINC001717440833 1203645020 /nfs/dbraw/zinc/64/50/20/1203645020.db2.gz FOKNZEUIJMECST-UONOGXRCSA-N 0 1 320.864 3.333 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001717470842 1203654332 /nfs/dbraw/zinc/65/43/32/1203654332.db2.gz PRGZAYIEGUYQMM-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001717551259 1203680132 /nfs/dbraw/zinc/68/01/32/1203680132.db2.gz PRIAWCOINVXYNF-AWEZNQCLSA-N 0 1 306.475 3.349 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CCC2CCCCCC2)C1 ZINC001717552488 1203680584 /nfs/dbraw/zinc/68/05/84/1203680584.db2.gz GBABAXCUEJZIOC-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584809 1203686802 /nfs/dbraw/zinc/68/68/02/1203686802.db2.gz DDAUYYNMVACMAB-OLZOCXBDSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(Cl)cc1C ZINC001717742877 1203708157 /nfs/dbraw/zinc/70/81/57/1203708157.db2.gz SFYDVFMTQPPNMH-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)CC[C@H](C)CC ZINC001717747684 1203709173 /nfs/dbraw/zinc/70/91/73/1203709173.db2.gz QMOCRGOGRSWJNZ-YPMHNXCESA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCCc2ccccc2)C1 ZINC001717822476 1203716427 /nfs/dbraw/zinc/71/64/27/1203716427.db2.gz SKFLGRLHWZCBRY-WBVHZDCISA-N 0 1 320.864 3.341 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC12CCCC2)C(C)(C)C ZINC001717970314 1203738018 /nfs/dbraw/zinc/73/80/18/1203738018.db2.gz NJVOGFLMJKDUCN-KBPBESRZSA-N 0 1 312.885 3.440 20 30 DGEDMN CCCCCC(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001717988333 1203740581 /nfs/dbraw/zinc/74/05/81/1203740581.db2.gz DVDIYQDSKHLJOB-UHFFFAOYSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CC[C@@H](C(=O)NCC1=CCN(CC#CC)CC1)c1ccccc1 ZINC001718033918 1203745313 /nfs/dbraw/zinc/74/53/13/1203745313.db2.gz ZWPFSYJJVWQJMV-HXUWFJFHSA-N 0 1 322.452 3.118 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC001718035634 1203745653 /nfs/dbraw/zinc/74/56/53/1203745653.db2.gz JWZXBDJJDJIGER-HXUWFJFHSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc2occc2s1)C1CC1 ZINC001723333316 1203964308 /nfs/dbraw/zinc/96/43/08/1203964308.db2.gz PNLMPTHDBGISCB-NSHDSACASA-N 0 1 324.833 3.345 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CNC(=O)c1c(C)noc1C ZINC001723397637 1203967840 /nfs/dbraw/zinc/96/78/40/1203967840.db2.gz LLMSGGSXGDIISM-HNNXBMFYSA-N 0 1 307.438 3.066 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1c(C)noc1C ZINC001723397637 1203967844 /nfs/dbraw/zinc/96/78/44/1203967844.db2.gz LLMSGGSXGDIISM-HNNXBMFYSA-N 0 1 307.438 3.066 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccoc2CCC)C1 ZINC001723812548 1203994219 /nfs/dbraw/zinc/99/42/19/1203994219.db2.gz GBDSWAPTJYLDBJ-AWEZNQCLSA-N 0 1 304.434 3.343 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(Cl)c2)C1 ZINC001723814427 1203994421 /nfs/dbraw/zinc/99/44/21/1203994421.db2.gz CZSSPTXVODTSBL-CYBMUJFWSA-N 0 1 306.837 3.451 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccccc1Cl ZINC001723967007 1203999670 /nfs/dbraw/zinc/99/96/70/1203999670.db2.gz JTGWSIMLCDHXNB-LBPRGKRZSA-N 0 1 313.228 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1nccs1)C1CCCC1 ZINC001724099608 1204005182 /nfs/dbraw/zinc/00/51/82/1204005182.db2.gz VDRWLNMNDJMNHV-CQSZACIVSA-N 0 1 321.490 3.120 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001724174550 1204011300 /nfs/dbraw/zinc/01/13/00/1204011300.db2.gz IVOBKNNHISLNGD-QFBILLFUSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H]3CC[C@H](C)C3)cccc2C1 ZINC001724777001 1204045409 /nfs/dbraw/zinc/04/54/09/1204045409.db2.gz MPNZDHOLJIDZBS-DLBZAZTESA-N 0 1 324.468 3.120 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001724840022 1204052469 /nfs/dbraw/zinc/05/24/69/1204052469.db2.gz DHXSFPAIUIMKCK-CRAIPNDOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(C)C(=C)C)cc2C1 ZINC001724923538 1204066311 /nfs/dbraw/zinc/06/63/11/1204066311.db2.gz MCWJIFNOWGWLKN-UHFFFAOYSA-N 0 1 310.441 3.244 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1(C)CC=CC1 ZINC001725011683 1204079323 /nfs/dbraw/zinc/07/93/23/1204079323.db2.gz DWAFNKVFBYMLBF-IBGZPJMESA-N 0 1 322.452 3.082 20 30 DGEDMN CC/C=C(\C)C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001725034768 1204083236 /nfs/dbraw/zinc/08/32/36/1204083236.db2.gz HGCHBPSFELVXSH-ACEGTKJHSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001725040450 1204084154 /nfs/dbraw/zinc/08/41/54/1204084154.db2.gz JDWSSTSZDHDAKE-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2cscc2s1 ZINC001725046287 1204085217 /nfs/dbraw/zinc/08/52/17/1204085217.db2.gz YYPCDQIORBNAFC-ZDUSSCGKSA-N 0 1 318.467 3.132 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001725110525 1204096867 /nfs/dbraw/zinc/09/68/67/1204096867.db2.gz ILDYFXFSLSWQCD-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1oc(CCC)nc1C ZINC001725135294 1204101010 /nfs/dbraw/zinc/10/10/10/1204101010.db2.gz QVBSPCZVQPVAHE-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001725155735 1204105201 /nfs/dbraw/zinc/10/52/01/1204105201.db2.gz JKWZSXSTXIPTKI-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2csc(C)n2)CCCCC1 ZINC001725218134 1204120743 /nfs/dbraw/zinc/12/07/43/1204120743.db2.gz PYEMAMIULAJAHJ-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccc(F)cc1 ZINC001725229301 1204122278 /nfs/dbraw/zinc/12/22/78/1204122278.db2.gz OZCVDRSSDCFJHX-HNNXBMFYSA-N 0 1 312.816 3.456 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725261832 1204129654 /nfs/dbraw/zinc/12/96/54/1204129654.db2.gz ZQLAJOBYODADHO-KUHUBIRLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CCCC1(C)C ZINC001725466690 1204153682 /nfs/dbraw/zinc/15/36/82/1204153682.db2.gz LDVFOFMFPQXTSZ-LSDHHAIUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1cccc(C(C)C)n1 ZINC001725475544 1204155499 /nfs/dbraw/zinc/15/54/99/1204155499.db2.gz FKGIRTOEEAPZOP-HNNXBMFYSA-N 0 1 303.450 3.108 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001725475549 1204155553 /nfs/dbraw/zinc/15/55/53/1204155553.db2.gz FKGIRTOEEAPZOP-OAHLLOKOSA-N 0 1 303.450 3.108 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@@H]1C ZINC001725598948 1204175485 /nfs/dbraw/zinc/17/54/85/1204175485.db2.gz UVWNXQOVJYLRLU-SZCJBMAUSA-N 0 1 323.440 3.160 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]12CCC[C@H]1N(Cc1cncs1)CC2 ZINC001725611986 1204179064 /nfs/dbraw/zinc/17/90/64/1204179064.db2.gz ZXKVSLOARFNNKS-NVXWUHKLSA-N 0 1 319.474 3.113 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(CC(C)C)CCC2)[C@H]1C ZINC001725623661 1204183706 /nfs/dbraw/zinc/18/37/06/1204183706.db2.gz LLTJMAGURGWZCS-IAGOWNOFSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2oc(C)nc2C)[C@H]1C ZINC001725629585 1204185924 /nfs/dbraw/zinc/18/59/24/1204185924.db2.gz NKFSMHJPZPFGES-GOEBONIOSA-N 0 1 319.449 3.117 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccccc2F)[C@@H]1C ZINC001725637967 1204188347 /nfs/dbraw/zinc/18/83/47/1204188347.db2.gz WWTAIJCINLOFCM-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](CNCc2nc(C)oc2C)C1 ZINC001725661818 1204194426 /nfs/dbraw/zinc/19/44/26/1204194426.db2.gz RKPYHJSEWBJNOZ-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC(F)(F)F)C(C)(C)C ZINC001725735275 1204206647 /nfs/dbraw/zinc/20/66/47/1204206647.db2.gz HUPFKASLDCYHMI-JTQLQIEISA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccs1)C(C)(C)C ZINC001725740033 1204208587 /nfs/dbraw/zinc/20/85/87/1204208587.db2.gz ZWXSFDAPTXVDQZ-GFCCVEGCSA-N 0 1 300.855 3.235 20 30 DGEDMN C=CCCC(=O)N(C)C1CC(NCc2csc(CCC)n2)C1 ZINC001725773411 1204218787 /nfs/dbraw/zinc/21/87/87/1204218787.db2.gz ISFGNNNIKXMPRJ-UHFFFAOYSA-N 0 1 321.490 3.141 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)C(F)(F)F)C(C)(C)C ZINC001725787928 1204222719 /nfs/dbraw/zinc/22/27/19/1204222719.db2.gz DWXHHDNALBIKHI-UWVGGRQHSA-N 0 1 314.779 3.058 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1conc1CC ZINC001731289012 1204362953 /nfs/dbraw/zinc/36/29/53/1204362953.db2.gz SQDPNTJXOHZTBB-PBHICJAKSA-N 0 1 319.449 3.062 20 30 DGEDMN C/C=C(/C)C(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001731331398 1204375919 /nfs/dbraw/zinc/37/59/19/1204375919.db2.gz UMVDPZRKRARXHU-DXNYSGJVSA-N 0 1 317.458 3.057 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H](C)c2ccccc2C)CC1 ZINC001731350284 1204381765 /nfs/dbraw/zinc/38/17/65/1204381765.db2.gz BJOAJHSMBSBBFN-KRWDZBQOSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](CCCC)C(C)C)CC1 ZINC001731350983 1204381816 /nfs/dbraw/zinc/38/18/16/1204381816.db2.gz QSJNCGFWFXIIQE-SFHVURJKSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001731374169 1204387723 /nfs/dbraw/zinc/38/77/23/1204387723.db2.gz LCRZIHNRKYPMGT-APWZRJJASA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cc(C)c(C)o2)CC1 ZINC001731384779 1204390908 /nfs/dbraw/zinc/39/09/08/1204390908.db2.gz UOGHFFVNZKNTOR-UHFFFAOYSA-N 0 1 316.445 3.236 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cc(C(C)C)no2)CC1 ZINC001731387333 1204392936 /nfs/dbraw/zinc/39/29/36/1204392936.db2.gz MNFOMXOVVZYLEB-UHFFFAOYSA-N 0 1 319.449 3.301 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1ccncc1Cl)C1CC1 ZINC001731395607 1204396208 /nfs/dbraw/zinc/39/62/08/1204396208.db2.gz NVQWAODEBJOFDF-MRXNPFEDSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1ncc(C)s1)C1CC1 ZINC001731405079 1204399474 /nfs/dbraw/zinc/39/94/74/1204399474.db2.gz JBTNOEDNEBODAI-YOEHRIQHSA-N 0 1 321.490 3.038 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCO1 ZINC001731423927 1204408823 /nfs/dbraw/zinc/40/88/23/1204408823.db2.gz BJBLKBZASLGLJJ-KSZLIROESA-N 0 1 322.493 3.201 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1cccc(F)c1 ZINC001731543322 1204453206 /nfs/dbraw/zinc/45/32/06/1204453206.db2.gz WUSWHUNFJWBRDT-PBHICJAKSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001731593546 1204475406 /nfs/dbraw/zinc/47/54/06/1204475406.db2.gz GCGALUGIPZLCBR-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1oc2c(cccc2F)c1C ZINC001731599228 1204477741 /nfs/dbraw/zinc/47/77/41/1204477741.db2.gz YKRZFBDSQJDBMF-SNVBAGLBSA-N 0 1 324.783 3.341 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(F)c(Cl)c1F ZINC001731614751 1204483598 /nfs/dbraw/zinc/48/35/98/1204483598.db2.gz KDJVFNBOFJQOJE-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCC#Cc2ccccc2)CCCC1 ZINC001731643039 1204495059 /nfs/dbraw/zinc/49/50/59/1204495059.db2.gz UDPFVBMMDAZIGU-SFHVURJKSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C2CC2)oc1C1CC1 ZINC001731936972 1204631998 /nfs/dbraw/zinc/63/19/98/1204631998.db2.gz JTQUHQHNZUOUMG-UHFFFAOYSA-N 0 1 322.836 3.449 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1(F)CCCC1)C(C)C ZINC001732010405 1204647437 /nfs/dbraw/zinc/64/74/37/1204647437.db2.gz HFLAFMOPAHFOGR-UHFFFAOYSA-N 0 1 304.837 3.238 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)F)c1ccc(C(C)C)cc1 ZINC001732016594 1204649757 /nfs/dbraw/zinc/64/97/57/1204649757.db2.gz QGPZISGDVRQPGD-KRWDZBQOSA-N 0 1 318.436 3.328 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)c1ccccc1 ZINC001732024254 1204653222 /nfs/dbraw/zinc/65/32/22/1204653222.db2.gz WBQNMYMLNMDJOI-HAGHYFMRSA-N 0 1 324.468 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)c1ccccc1 ZINC001732024256 1204653308 /nfs/dbraw/zinc/65/33/08/1204653308.db2.gz WBQNMYMLNMDJOI-YRPNKDGESA-N 0 1 324.468 3.283 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(F)ccc1C)c1ccccc1 ZINC001732023743 1204653323 /nfs/dbraw/zinc/65/33/23/1204653323.db2.gz TWSWQWNGYPTOJU-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)c1cccc(C)c1)c1ccccc1 ZINC001732024884 1204653489 /nfs/dbraw/zinc/65/34/89/1204653489.db2.gz YHURJOURZOUVTL-FXAWDEMLSA-N 0 1 320.436 3.179 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H](CC)C(C)C)c1ccccc1 ZINC001732025842 1204654403 /nfs/dbraw/zinc/65/44/03/1204654403.db2.gz RULBFCNIVMGGRY-WMZOPIPTSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC=C(C)C)CCC1 ZINC001732030683 1204655050 /nfs/dbraw/zinc/65/50/50/1204655050.db2.gz UVCPWYRSGBDPRZ-CALCHBBNSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2sccc2F)C1 ZINC001732037553 1204660735 /nfs/dbraw/zinc/66/07/35/1204660735.db2.gz TZYGYMQSCXCXCI-ZDUSSCGKSA-N 0 1 310.438 3.390 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC2(C)CCCCC2)C1 ZINC001732039706 1204660972 /nfs/dbraw/zinc/66/09/72/1204660972.db2.gz RVDQHAIVLTVDDT-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2c(F)cccc2F)C1 ZINC001732045975 1204666042 /nfs/dbraw/zinc/66/60/42/1204666042.db2.gz BVQFCJOYYVBGLY-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)cc(F)c2)C1 ZINC001732070137 1204678656 /nfs/dbraw/zinc/67/86/56/1204678656.db2.gz BXTCJNUGBPMJBX-KRWDZBQOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc[nH]c2CCC)C1 ZINC001732076813 1204682389 /nfs/dbraw/zinc/68/23/89/1204682389.db2.gz SMQFBLAWJNYTTN-CQSZACIVSA-N 0 1 323.868 3.114 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccoc2C)CC1 ZINC001732080988 1204684327 /nfs/dbraw/zinc/68/43/27/1204684327.db2.gz DHEHQQQWXWFXSN-UHFFFAOYSA-N 0 1 310.825 3.125 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001732087192 1204687656 /nfs/dbraw/zinc/68/76/56/1204687656.db2.gz IZSVQAMIMYFBPO-HNNXBMFYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)cc2F)C1 ZINC001732084967 1204688017 /nfs/dbraw/zinc/68/80/17/1204688017.db2.gz ZQESWNSAQHNCNC-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@@H]2CCc3c2cccc3F)C1 ZINC001732127830 1204704403 /nfs/dbraw/zinc/70/44/03/1204704403.db2.gz URLTZSONBSHXMD-GOSISDBHSA-N 0 1 316.420 3.217 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(C)c1 ZINC001732141894 1204711403 /nfs/dbraw/zinc/71/14/03/1204711403.db2.gz KPKMROWZWQIRHX-ROUUACIJSA-N 0 1 313.445 3.035 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001732197546 1204745879 /nfs/dbraw/zinc/74/58/79/1204745879.db2.gz CLDGJOQMXNGKKN-BFHYXJOUSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C2CC2)nc1C ZINC001732214256 1204753484 /nfs/dbraw/zinc/75/34/84/1204753484.db2.gz SZPVGWQOEHJTNQ-AWEZNQCLSA-N 0 1 321.852 3.118 20 30 DGEDMN CCCCCC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001732407518 1204825449 /nfs/dbraw/zinc/82/54/49/1204825449.db2.gz ARQFNAXHRGCKNZ-OAHLLOKOSA-N 0 1 301.434 3.075 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cscc1Cl ZINC001732780097 1204942693 /nfs/dbraw/zinc/94/26/93/1204942693.db2.gz DWVUWGYLJJUWCV-UHFFFAOYSA-N 0 1 307.246 3.206 20 30 DGEDMN CCC1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CCCC1 ZINC001732915671 1204958963 /nfs/dbraw/zinc/95/89/63/1204958963.db2.gz AQRTVJIBUYTPHF-CMDGGOBGSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1coc2ccc(C)cc12 ZINC001732958674 1204965226 /nfs/dbraw/zinc/96/52/26/1204965226.db2.gz WSBPBOCNXOFNSZ-CYBMUJFWSA-N 0 1 320.820 3.131 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2ccccc2n1CC ZINC001732983159 1204972727 /nfs/dbraw/zinc/97/27/27/1204972727.db2.gz JLQMVISNVVCHBK-CYBMUJFWSA-N 0 1 319.836 3.122 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCC(C)(C)c1ccccc1 ZINC001733069273 1205018098 /nfs/dbraw/zinc/01/80/98/1205018098.db2.gz ZMPVAKLBIDHZGL-KRWDZBQOSA-N 0 1 314.473 3.204 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001733238521 1205064103 /nfs/dbraw/zinc/06/41/03/1205064103.db2.gz UUWJSNJNEWVWDB-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)CC(F)(F)F ZINC001733275733 1205078430 /nfs/dbraw/zinc/07/84/30/1205078430.db2.gz FVYOZRLTJGRXDD-OLZOCXBDSA-N 0 1 318.383 3.216 20 30 DGEDMN C=C(Cl)CN(CCNC(=O)C#CC1CC1)C1CCCCCC1 ZINC001733278259 1205080080 /nfs/dbraw/zinc/08/00/80/1205080080.db2.gz OKJUCBFZCRDFLX-UHFFFAOYSA-N 0 1 322.880 3.293 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001733306884 1205089940 /nfs/dbraw/zinc/08/99/40/1205089940.db2.gz JOKFECXGMDIQKA-GFCCVEGCSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001733311945 1205091555 /nfs/dbraw/zinc/09/15/55/1205091555.db2.gz CRFSHUCXMCHVBS-INIZCTEOSA-N 0 1 300.446 3.207 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC/C=C\c2ccccc2)C1 ZINC001733409813 1205123074 /nfs/dbraw/zinc/12/30/74/1205123074.db2.gz FZCNYPBEMLWLHV-CRLZUZNUSA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001733413313 1205125322 /nfs/dbraw/zinc/12/53/22/1205125322.db2.gz RVIZPAWCURZHFP-XLIONFOSSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H](C)SC)CC1 ZINC001733447832 1205135602 /nfs/dbraw/zinc/13/56/02/1205135602.db2.gz IUPAFYIQXYLIHL-CYBMUJFWSA-N 0 1 318.914 3.099 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccccc2CCC)C1 ZINC001733459658 1205142279 /nfs/dbraw/zinc/14/22/79/1205142279.db2.gz BUWAHFRRVPWBQL-HXUWFJFHSA-N 0 1 312.457 3.104 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(C(C)C)cc2)C1 ZINC001733463736 1205145424 /nfs/dbraw/zinc/14/54/24/1205145424.db2.gz SPGLVMXOOFBWSJ-HXUWFJFHSA-N 0 1 312.457 3.275 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC2CC(C)(C)C2)C1 ZINC001733476750 1205149640 /nfs/dbraw/zinc/14/96/40/1205149640.db2.gz KZZHEUQDOQEZMD-AWEZNQCLSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C(=C)C)c1ccccc1CC ZINC001733519438 1205152838 /nfs/dbraw/zinc/15/28/38/1205152838.db2.gz YKMQFGDCLDRKLW-SFHVURJKSA-N 0 1 312.457 3.231 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)C1CC1 ZINC001733680353 1205182470 /nfs/dbraw/zinc/18/24/70/1205182470.db2.gz PBPGVCRAIWHRMJ-UHFFFAOYSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C(CC)CC ZINC001733679701 1205182601 /nfs/dbraw/zinc/18/26/01/1205182601.db2.gz CWIDSSZCSBSWAP-MRXNPFEDSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C(CC=C)CC=C)cccc2C1 ZINC001733708492 1205188854 /nfs/dbraw/zinc/18/88/54/1205188854.db2.gz FAHYIXWZXSTSSW-UHFFFAOYSA-N 0 1 322.452 3.063 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@]2(CCN(CC(=C)Cl)C2)C1 ZINC001733814131 1205224996 /nfs/dbraw/zinc/22/49/96/1205224996.db2.gz OMWNHBAZFSLZHM-KRWDZBQOSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCC(=O)N1CCC(C)(NCc2nc(C)oc2C)CC1 ZINC001733868017 1205235722 /nfs/dbraw/zinc/23/57/22/1205235722.db2.gz UVZVAZMXRMZQKJ-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)C(=C)C ZINC001733907955 1205251737 /nfs/dbraw/zinc/25/17/37/1205251737.db2.gz YFKLFUHGYGUEKY-IBGZPJMESA-N 0 1 324.468 3.328 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001733924510 1205256603 /nfs/dbraw/zinc/25/66/03/1205256603.db2.gz BKPIEQDBQBVRCZ-MJGOQNOKSA-N 0 1 312.457 3.007 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001733924508 1205257121 /nfs/dbraw/zinc/25/71/21/1205257121.db2.gz BKPIEQDBQBVRCZ-IEBWSBKVSA-N 0 1 312.457 3.007 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC/C=C\c1ccccc1 ZINC001733935723 1205264485 /nfs/dbraw/zinc/26/44/85/1205264485.db2.gz MTYDICOMKLTMJB-DIBVOSKASA-N 0 1 310.441 3.036 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001733942997 1205267819 /nfs/dbraw/zinc/26/78/19/1205267819.db2.gz FQRGRXDCRUEFBY-OKZBNKHCSA-N 0 1 316.420 3.038 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1Cl ZINC001733974336 1205276680 /nfs/dbraw/zinc/27/66/80/1205276680.db2.gz MBRVTNJUUNMSRB-OLZOCXBDSA-N 0 1 324.827 3.121 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001733989685 1205280492 /nfs/dbraw/zinc/28/04/92/1205280492.db2.gz KWCPTRGZKZEPBO-CABCVRRESA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1cc(C)ccc1C ZINC001733992028 1205281035 /nfs/dbraw/zinc/28/10/35/1205281035.db2.gz VFHPTWBWHGAVJJ-GJZGRUSLSA-N 0 1 308.853 3.081 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001733992399 1205281699 /nfs/dbraw/zinc/28/16/99/1205281699.db2.gz WRNJXAPUYGHGLF-RYUDHWBXSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(F)c2occc21 ZINC001734004821 1205285591 /nfs/dbraw/zinc/28/55/91/1205285591.db2.gz RQRPOXFIYFAPBG-QWRGUYRKSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001734121771 1205329329 /nfs/dbraw/zinc/32/93/29/1205329329.db2.gz KATHDANHJWEWKM-AWEZNQCLSA-N 0 1 318.436 3.365 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001734129321 1205331418 /nfs/dbraw/zinc/33/14/18/1205331418.db2.gz CUJSSXHUPWRQDA-MRXNPFEDSA-N 0 1 306.494 3.155 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)Cc2ccncc2Cl)CC1 ZINC001734267088 1205351566 /nfs/dbraw/zinc/35/15/66/1205351566.db2.gz TWPCWBCLEOCCFB-UHFFFAOYSA-N 0 1 321.852 3.124 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1nc(C(C)(C)C)cs1 ZINC001734316688 1205363293 /nfs/dbraw/zinc/36/32/93/1205363293.db2.gz JTYGWDARXDPOSI-ZDUSSCGKSA-N 0 1 323.506 3.343 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1nc(C(C)(C)C)cs1 ZINC001734316687 1205363427 /nfs/dbraw/zinc/36/34/27/1205363427.db2.gz JTYGWDARXDPOSI-CYBMUJFWSA-N 0 1 323.506 3.343 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001734341381 1205367525 /nfs/dbraw/zinc/36/75/25/1205367525.db2.gz OTCSRKGWHIUVQN-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)[C@@H](CC)CCC ZINC001734349521 1205369185 /nfs/dbraw/zinc/36/91/85/1205369185.db2.gz QINJNBMDEKLABU-STQMWFEESA-N 0 1 319.287 3.158 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1c(C)onc1CC ZINC001734346408 1205368522 /nfs/dbraw/zinc/36/85/22/1205368522.db2.gz VHXKHXLKPRLLES-UGSOOPFHSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cccc(OC)c2)[C@H]1C ZINC001734451178 1205378807 /nfs/dbraw/zinc/37/88/07/1205378807.db2.gz QVUDJDSOYXRJJJ-MAUKXSAKSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001734457063 1205380949 /nfs/dbraw/zinc/38/09/49/1205380949.db2.gz IHOOHVYQRYJXLF-ZFWWWQNUSA-N 0 1 306.475 3.429 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2nc(C)sc2C)C(C)(C)C1 ZINC001734491230 1205386733 /nfs/dbraw/zinc/38/67/33/1205386733.db2.gz PAYQRJYAGFPSSR-OAHLLOKOSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(Cl)o1)C(C)(C)C ZINC001734559406 1205394469 /nfs/dbraw/zinc/39/44/69/1205394469.db2.gz WGLVUKIZVSSEQC-LLVKDONJSA-N 0 1 319.232 3.420 20 30 DGEDMN CC(C)CCC(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001734588860 1205401905 /nfs/dbraw/zinc/40/19/05/1205401905.db2.gz DNOBEXTUBVBQCZ-UHFFFAOYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CC(NC/C(Cl)=C/Cl)C2)C1 ZINC001734598051 1205403719 /nfs/dbraw/zinc/40/37/19/1205403719.db2.gz KQGFIHBMKHSKAT-FLIBITNWSA-N 0 1 317.260 3.241 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001734632635 1205407264 /nfs/dbraw/zinc/40/72/64/1205407264.db2.gz NEWBBOOWPRPCPV-FUHWJXTLSA-N 0 1 316.489 3.220 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001734632637 1205407780 /nfs/dbraw/zinc/40/77/80/1205407780.db2.gz NEWBBOOWPRPCPV-WMZOPIPTSA-N 0 1 316.489 3.220 20 30 DGEDMN Cc1c(Cl)c(Cl)nc(N2C[C@@H](C)N(C)C[C@@H]2C)c1C#N ZINC001164643059 719170471 /nfs/dbraw/zinc/17/04/71/719170471.db2.gz ZEUZREPHMMJCNU-BDAKNGLRSA-N 0 1 313.232 3.097 20 30 DGEDMN C[C@@H]1CN(C)[C@@H](C)CN1c1nc(-c2cccs2)ccc1C#N ZINC001164636402 719239745 /nfs/dbraw/zinc/23/97/45/719239745.db2.gz SOCAPVXJOCZCPM-QWHCGFSZSA-N 0 1 312.442 3.211 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2CCC[C@H](CC(=O)[O-])C2)cc1 ZINC001602830930 971655389 /nfs/dbraw/zinc/65/53/89/971655389.db2.gz DLELDUNHJWWWOP-OAHLLOKOSA-N 0 1 300.402 3.174 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)CCOc1ccccc1C(=O)[O-] ZINC001589174985 956488160 /nfs/dbraw/zinc/48/81/60/956488160.db2.gz LQXFHIQTVGEOBJ-CQSZACIVSA-N 0 1 324.380 3.328 20 30 DGEDMN C#CC[N@H+](CC(=O)[O-])Cc1ccccc1-c1ccc(C)cc1C ZINC001588424164 958213252 /nfs/dbraw/zinc/21/32/52/958213252.db2.gz KMEZSJGDZYSFGM-UHFFFAOYSA-N 0 1 307.393 3.490 20 30 DGEDMN C#CC[N@@H+](CC(=O)[O-])Cc1ccccc1-c1ccc(C)cc1C ZINC001588424164 958213266 /nfs/dbraw/zinc/21/32/66/958213266.db2.gz KMEZSJGDZYSFGM-UHFFFAOYSA-N 0 1 307.393 3.490 20 30 DGEDMN CC(C)(CCC#N)C[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001588757376 961226280 /nfs/dbraw/zinc/22/62/80/961226280.db2.gz BDQRBXLQZCCXHP-JKSUJKDBSA-N 0 1 300.402 3.117 20 30 DGEDMN C#CC[N@H+](Cc1cccc(C(=O)[O-])n1)[C@@H]1CCCc2ccccc21 ZINC001573311237 962697623 /nfs/dbraw/zinc/69/76/23/962697623.db2.gz KITAACNNRJOCID-LJQANCHMSA-N 0 1 320.392 3.293 20 30 DGEDMN C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@@H](CCCC)C(=O)[O-] ZINC001573323929 962778767 /nfs/dbraw/zinc/77/87/67/962778767.db2.gz JHJCLZATWIXZHR-INIZCTEOSA-N 0 1 307.438 3.486 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCc2cc(C)c(Br)cc2C1 ZINC001573351199 962976525 /nfs/dbraw/zinc/97/65/25/962976525.db2.gz QSWLEAXNSVFGHV-AWEZNQCLSA-N 0 1 324.218 3.145 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)CC[C@@H]1C(=O)[O-] ZINC001603463810 973323967 /nfs/dbraw/zinc/32/39/67/973323967.db2.gz KHZJVMAZUWSABN-HIFRSBDPSA-N 0 1 300.402 3.030 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[NH2+][C@H](C(=O)[O-])c1ccc(F)cc1 ZINC001605591818 973889375 /nfs/dbraw/zinc/88/93/75/973889375.db2.gz BATWTKNBUVTWDN-HNNXBMFYSA-N 0 1 318.735 3.266 20 30 DGEDMN C[C@H](C[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N)c1ccccc1 ZINC001592584565 978503606 /nfs/dbraw/zinc/50/36/06/978503606.db2.gz JNUXAKDRBXAGEM-CQSZACIVSA-N 0 1 308.381 3.492 20 30 DGEDMN C[C@H](Cc1ccc(O)cc1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001592883763 979763376 /nfs/dbraw/zinc/76/33/76/979763376.db2.gz VXUBPQQGDVSWNJ-CYBMUJFWSA-N 0 1 324.380 3.025 20 30 DGEDMN CCC1(CC)C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CCS1 ZINC001595375549 980896932 /nfs/dbraw/zinc/89/69/32/980896932.db2.gz QDLZKGCYWHAMGD-HNNXBMFYSA-N 0 1 318.442 3.292 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](CC)Cc1ccccc1Br ZINC001588728485 983982778 /nfs/dbraw/zinc/98/27/78/983982778.db2.gz KFVQENQVLWCSMA-CYBMUJFWSA-N 0 1 312.207 3.300 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](c2nc3ccccc3o2)C1 ZINC001588729077 983985915 /nfs/dbraw/zinc/98/59/15/983985915.db2.gz GYWLCKWQARVYTN-OCCSQVGLSA-N 0 1 300.358 3.037 20 30 DGEDMN C=CC[N@@H+](CCc1ccccc1)[C@@H](C)c1nc(C(=O)[O-])co1 ZINC001588828683 984308726 /nfs/dbraw/zinc/30/87/26/984308726.db2.gz IRALNFCIDVRPLH-ZDUSSCGKSA-N 0 1 300.358 3.165 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)[C@@H](C)c1nc(C(=O)[O-])co1 ZINC001588828683 984308732 /nfs/dbraw/zinc/30/87/32/984308732.db2.gz IRALNFCIDVRPLH-ZDUSSCGKSA-N 0 1 300.358 3.165 20 30 DGEDMN C=CCOc1ccc(CNc2[nH]c3cccc(C(=O)[O-])c3[nH+]2)cc1 ZINC001588872911 984443184 /nfs/dbraw/zinc/44/31/84/984443184.db2.gz SDNRFYAUVBLSNT-UHFFFAOYSA-N 0 1 323.352 3.438 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CCC[C@@H](CCC(=O)[O-])C2)cc1 ZINC001589336310 986220871 /nfs/dbraw/zinc/22/08/71/986220871.db2.gz YXBLNSTYHIEFAQ-CABCVRRESA-N 0 1 316.401 3.054 20 30 DGEDMN CC(C)(C)C[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001589764315 988099575 /nfs/dbraw/zinc/09/95/75/988099575.db2.gz GJDOBZBNEQWNSU-ZBFHGGJFSA-N 0 1 300.402 3.442 20 30 DGEDMN COc1cccc([C@@](C)(CC(=O)[O-])[NH2+]Cc2ccccc2C#N)c1 ZINC001599654874 994562224 /nfs/dbraw/zinc/56/22/24/994562224.db2.gz AXWCLHZTKPLPAO-LJQANCHMSA-N 0 1 324.380 3.047 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001593698705 996166590 /nfs/dbraw/zinc/16/65/90/996166590.db2.gz MBJAOZOHKAQXJH-ZDUSSCGKSA-N 0 1 306.365 3.376 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cc(F)c(O)c(Br)c2)C1 ZINC001232632986 1098101844 /nfs/dbraw/zinc/10/18/44/1098101844.db2.gz AOAHPULDCSGMPO-VIFPVBQESA-N 0 1 313.170 3.029 20 30 DGEDMN C=CCC[C@H](CC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234567034 1098603049 /nfs/dbraw/zinc/60/30/49/1098603049.db2.gz AIRYZDPNBPRVFY-VIFPVBQESA-N 0 1 301.184 3.377 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCN[C@H](C)c1ncc(C)o1 ZINC001169706049 1081634968 /nfs/dbraw/zinc/63/49/68/1081634968.db2.gz PQIHYUIGZDAXOT-UKRRQHHQSA-N 0 1 307.438 3.275 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2cccc(C(C)(C)C)c2)C1=O ZINC001169780149 1081653865 /nfs/dbraw/zinc/65/38/65/1081653865.db2.gz IFAYKOYJRZBHHN-QGZVFWFLSA-N 0 1 300.446 3.203 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001266336320 1081676712 /nfs/dbraw/zinc/67/67/12/1081676712.db2.gz NFWMELIUHAFUMD-DOTOQJQBSA-N 0 1 316.420 3.216 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC001266386518 1081729390 /nfs/dbraw/zinc/72/93/90/1081729390.db2.gz IYUVFQICJOXEOH-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCCCC[NH+]1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001209436428 1081751672 /nfs/dbraw/zinc/75/16/72/1081751672.db2.gz RDRCSJBIPVOZDR-UHFFFAOYSA-N 0 1 306.372 3.266 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001109260960 1081793456 /nfs/dbraw/zinc/79/34/56/1081793456.db2.gz QLJXOETWCHKBSJ-PMPSAXMXSA-N 0 1 316.470 3.019 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccccc1-c1ccccc1 ZINC001266434776 1081804285 /nfs/dbraw/zinc/80/42/85/1081804285.db2.gz NMOXVNKBRXWBFK-UHFFFAOYSA-N 0 1 320.436 3.429 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C\c1ccccc1F)C2 ZINC001109443230 1081837748 /nfs/dbraw/zinc/83/77/48/1081837748.db2.gz ZEZWLAUESYXCLN-JGJCQLSGSA-N 0 1 314.404 3.137 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)C(C)(F)F)C1 ZINC001266466310 1081864342 /nfs/dbraw/zinc/86/43/42/1081864342.db2.gz MGLIXQCCQHODAS-STQMWFEESA-N 0 1 322.827 3.201 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCc1ccc(C)cc1)C2 ZINC001109671629 1081898677 /nfs/dbraw/zinc/89/86/77/1081898677.db2.gz UTARNSBRDCGULY-QYZOEREBSA-N 0 1 312.457 3.225 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1ccccc1C)C2 ZINC001109799252 1081914890 /nfs/dbraw/zinc/91/48/90/1081914890.db2.gz PXBSRLZHMGJFKN-HNJRGHQBSA-N 0 1 324.468 3.233 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1ccco1)C2 ZINC001109989712 1081943655 /nfs/dbraw/zinc/94/36/55/1081943655.db2.gz VQXYJYBMYHFTAB-FZKCQIBNSA-N 0 1 302.418 3.071 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)CC(F)(F)F)C2 ZINC001110036764 1081953579 /nfs/dbraw/zinc/95/35/79/1081953579.db2.gz SLRBNELCFALXPV-AGIUHOORSA-N 0 1 318.383 3.263 20 30 DGEDMN C=C(CC(C)C)C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC001347034091 1081962428 /nfs/dbraw/zinc/96/24/28/1081962428.db2.gz MSTNRCMVEHNNNY-UHFFFAOYSA-N 0 1 320.399 3.464 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2cccs2)CC1 ZINC001112597692 1081969305 /nfs/dbraw/zinc/96/93/05/1081969305.db2.gz PIHHZMLACIARIS-UHFFFAOYSA-N 0 1 308.491 3.405 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCN(CCC2CCCCC2)CC1 ZINC001112676197 1081985753 /nfs/dbraw/zinc/98/57/53/1081985753.db2.gz FRUIHKFNQPTWGU-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCN(CCNC(=O)C1CCCC1)Cc1ccc(C#N)s1 ZINC001266554094 1081992301 /nfs/dbraw/zinc/99/23/01/1081992301.db2.gz OCSQQBJZGFTYIR-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cncc(C)c2)CC1 ZINC001112741794 1081995327 /nfs/dbraw/zinc/99/53/27/1081995327.db2.gz ATAQQUNDYZHOPY-UHFFFAOYSA-N 0 1 303.450 3.118 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cccc(F)c2C)C1 ZINC001204516047 1081999023 /nfs/dbraw/zinc/99/90/23/1081999023.db2.gz ULIKOGQBKSTQQS-OAHLLOKOSA-N 0 1 306.381 3.011 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001112809747 1082008689 /nfs/dbraw/zinc/00/86/89/1082008689.db2.gz PBUQNQWMMMJRBU-MSOLQXFVSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCC[C@H](F)C2)CC1 ZINC001112835901 1082019825 /nfs/dbraw/zinc/01/98/25/1082019825.db2.gz TUPPHFPKWWSSBH-IRXDYDNUSA-N 0 1 310.457 3.405 20 30 DGEDMN C#CC[N@@H+](CCC)CCNC(=O)c1cccc(Cl)c1Cl ZINC001266577519 1082020429 /nfs/dbraw/zinc/02/04/29/1082020429.db2.gz NRPWTAJQSLOMKV-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001112850979 1082022889 /nfs/dbraw/zinc/02/28/89/1082022889.db2.gz OKQVRQIMYDIASC-QZTJIDSGSA-N 0 1 324.509 3.471 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)[C@@H]2CCCCO2)CC1 ZINC001112860219 1082026368 /nfs/dbraw/zinc/02/63/68/1082026368.db2.gz WCOFABOIMICVCX-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cscc2C(F)F)CC1 ZINC001112859953 1082026486 /nfs/dbraw/zinc/02/64/86/1082026486.db2.gz KHKMOYCKLXNMDQ-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CC[C@H](CC)O2)CC1 ZINC001112904355 1082046744 /nfs/dbraw/zinc/04/67/44/1082046744.db2.gz PZRFWQVJJAAJCB-IRXDYDNUSA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(COC)CCCCC2)CC1 ZINC001112932881 1082058091 /nfs/dbraw/zinc/05/80/91/1082058091.db2.gz GOMTTWOCHKOSGB-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C/Cc2ccccc2)CC1 ZINC001112986126 1082077386 /nfs/dbraw/zinc/07/73/86/1082077386.db2.gz ZSHWADJOVOBQIZ-BQYQJAHWSA-N 0 1 312.457 3.286 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCC2(F)F)CC1 ZINC001113000128 1082081337 /nfs/dbraw/zinc/08/13/37/1082081337.db2.gz QSEVFUIEOAHWAT-AWEZNQCLSA-N 0 1 302.409 3.146 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H](c1ccccc1)[C@H](C)CC)C1CC1 ZINC001266619640 1082084374 /nfs/dbraw/zinc/08/43/74/1082084374.db2.gz VSRSVBDDIREFLC-VQIMIIECSA-N 0 1 312.457 3.030 20 30 DGEDMN CC(C)N(C)c1ccccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001625866936 1082087249 /nfs/dbraw/zinc/08/72/49/1082087249.db2.gz SEDIISPCRRNADC-UHFFFAOYSA-N 0 1 309.369 3.361 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccccc2)CC1 ZINC001113022856 1082092374 /nfs/dbraw/zinc/09/23/74/1082092374.db2.gz XNMINIBYFPFWFE-UHFFFAOYSA-N 0 1 302.462 3.344 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001113039342 1082098000 /nfs/dbraw/zinc/09/80/00/1082098000.db2.gz BJDZCJADMWJLNA-OKZBNKHCSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(-n3cccc3)c2)CC1 ZINC001113077253 1082109595 /nfs/dbraw/zinc/10/95/95/1082109595.db2.gz ZGUKDEAYAHBGKG-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(-n3cccc3)cc2)CC1 ZINC001113076131 1082109677 /nfs/dbraw/zinc/10/96/77/1082109677.db2.gz RXRDWXFJKSEQBU-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@]2(C)c2ccccc2)CC1 ZINC001113128918 1082122594 /nfs/dbraw/zinc/12/25/94/1082122594.db2.gz KPWHDYRYEWJZAM-AZUAARDMSA-N 0 1 312.457 3.075 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001266662822 1082122666 /nfs/dbraw/zinc/12/26/66/1082122666.db2.gz RHMMZXHRLSQXLR-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)CC(F)(F)F)CC1 ZINC001113141684 1082124477 /nfs/dbraw/zinc/12/44/77/1082124477.db2.gz FPPMBHYPVOQUDS-CQSZACIVSA-N 0 1 320.399 3.466 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2cncc(C)c2)CC1 ZINC001113156607 1082127920 /nfs/dbraw/zinc/12/79/20/1082127920.db2.gz OAAQRQPNSRHAPJ-UHFFFAOYSA-N 0 1 317.477 3.047 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113217328 1082141086 /nfs/dbraw/zinc/14/10/86/1082141086.db2.gz CLWQRFPINPCLPY-ROUUACIJSA-N 0 1 314.473 3.222 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](CCC)OCC)CC1 ZINC001113237459 1082147000 /nfs/dbraw/zinc/14/70/00/1082147000.db2.gz SINISKGAPFWNPI-QGZVFWFLSA-N 0 1 312.498 3.306 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001113247954 1082147398 /nfs/dbraw/zinc/14/73/98/1082147398.db2.gz LOMIWKNJFDOBHC-LSDHHAIUSA-N 0 1 314.420 3.168 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001266692000 1082150128 /nfs/dbraw/zinc/15/01/28/1082150128.db2.gz CQJJHOTWVMNFTC-PFONDFGASA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(C(F)F)c1F ZINC001266702055 1082155489 /nfs/dbraw/zinc/15/54/89/1082155489.db2.gz NSIRZMSDCPOORJ-UHFFFAOYSA-N 0 1 320.742 3.177 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](CCC)c2ccccn2)CC1 ZINC001113263437 1082159214 /nfs/dbraw/zinc/15/92/14/1082159214.db2.gz XCQKEGQDJSNKRA-KRWDZBQOSA-N 0 1 315.461 3.076 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc[nH]c2C2CC2)CC1 ZINC001113263011 1082159381 /nfs/dbraw/zinc/15/93/81/1082159381.db2.gz CFJJIJCCIBMUEM-UHFFFAOYSA-N 0 1 315.461 3.396 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2ccc(C)cc2)CC1 ZINC001113291790 1082166813 /nfs/dbraw/zinc/16/68/13/1082166813.db2.gz HMXIVEQSNIYLBO-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)CC(F)(F)F)CC1 ZINC001113294917 1082168783 /nfs/dbraw/zinc/16/87/83/1082168783.db2.gz WXOCMHLRHOEHDE-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)c2ccsc2)CC1 ZINC001113337569 1082180577 /nfs/dbraw/zinc/18/05/77/1082180577.db2.gz YSJONHDEVFGXLL-CABCVRRESA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)c2ccsc2)CC1 ZINC001113337570 1082180660 /nfs/dbraw/zinc/18/06/60/1082180660.db2.gz YSJONHDEVFGXLL-GJZGRUSLSA-N 0 1 306.475 3.351 20 30 DGEDMN O=C(CC1CCC1)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001266747020 1082185191 /nfs/dbraw/zinc/18/51/91/1082185191.db2.gz MANIANHHQFGWPP-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN(C/C=C/Cl)C2)CCC1 ZINC001266760225 1082197792 /nfs/dbraw/zinc/19/77/92/1082197792.db2.gz AFERINAERWNALY-RNOHYWCBSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)Cc2ccco2)CC1 ZINC001113421843 1082207870 /nfs/dbraw/zinc/20/78/70/1082207870.db2.gz DDPKKEGNXYVDLZ-KRWDZBQOSA-N 0 1 318.461 3.349 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2coc3ccccc23)CC1 ZINC001113455655 1082222236 /nfs/dbraw/zinc/22/22/36/1082222236.db2.gz SQWCLEWISFJSET-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC001113501048 1082235776 /nfs/dbraw/zinc/23/57/76/1082235776.db2.gz WVCHKMAAUAMGGI-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)c2cccnc2)CC1 ZINC001113550763 1082247685 /nfs/dbraw/zinc/24/76/85/1082247685.db2.gz NRWNYXKFKRMLKG-QGZVFWFLSA-N 0 1 315.461 3.076 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC[C@@H]2CCCO2)CC1 ZINC001113575832 1082255241 /nfs/dbraw/zinc/25/52/41/1082255241.db2.gz RGFBNCNKGVQBFS-SFHVURJKSA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2sccc2C)CC1 ZINC001113592019 1082259357 /nfs/dbraw/zinc/25/93/57/1082259357.db2.gz WTRKVLZEWVTSNH-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccc(F)cc2)CC1 ZINC001113598681 1082262227 /nfs/dbraw/zinc/26/22/27/1082262227.db2.gz CEFWDKYKQATSMN-UHFFFAOYSA-N 0 1 320.452 3.483 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001113612743 1082264352 /nfs/dbraw/zinc/26/43/52/1082264352.db2.gz QPNNERRIYXQPSA-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c[nH]cc2-c2ccccc2)CC1 ZINC001113631198 1082268394 /nfs/dbraw/zinc/26/83/94/1082268394.db2.gz YUKWMHFXGQFOBC-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCc2ccccc21 ZINC001266855309 1082270151 /nfs/dbraw/zinc/27/01/51/1082270151.db2.gz AMNMZDNSBJDDCQ-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CC2CCC2)CC1)c1ccccc1 ZINC001113667038 1082273631 /nfs/dbraw/zinc/27/36/31/1082273631.db2.gz LMPULALQRCMVRT-GOSISDBHSA-N 0 1 312.457 3.291 20 30 DGEDMN CCC/C=C/CCN1CCN(C(=O)CC#Cc2ccccc2)CC1 ZINC001113668549 1082274255 /nfs/dbraw/zinc/27/42/55/1082274255.db2.gz NQIMOOQSETZCHP-SNAWJCMRSA-N 0 1 324.468 3.319 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001266859906 1082275292 /nfs/dbraw/zinc/27/52/92/1082275292.db2.gz SANMOXUJLCSTKI-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)n2c(C)ccc2C)CC1 ZINC001113679206 1082277700 /nfs/dbraw/zinc/27/77/00/1082277700.db2.gz HSAWCEDEAGSMSA-MAUKXSAKSA-N 0 1 317.477 3.165 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)n2c(C)ccc2C)CC1 ZINC001113679208 1082278302 /nfs/dbraw/zinc/27/83/02/1082278302.db2.gz HSAWCEDEAGSMSA-YJBOKZPZSA-N 0 1 317.477 3.165 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1nc(C)c(C)s1 ZINC001266863110 1082280361 /nfs/dbraw/zinc/28/03/61/1082280361.db2.gz DNIZWUDBBYDKTJ-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCCC[C@H]2OC)CC1 ZINC001113703866 1082284846 /nfs/dbraw/zinc/28/48/46/1082284846.db2.gz QKBMHZRXDPCWDR-QZTJIDSGSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)C[C@H]2CCCO2)CC1 ZINC001113703590 1082284968 /nfs/dbraw/zinc/28/49/68/1082284968.db2.gz CWSYVIXCUUSKIP-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)CC1 ZINC001113700031 1082287869 /nfs/dbraw/zinc/28/78/69/1082287869.db2.gz HJIYLSWXFBGZSH-YANVKFGNSA-N 0 1 316.489 3.169 20 30 DGEDMN C=CCCOCC(=O)N1CCN(CCC2CCCCCC2)CC1 ZINC001113709485 1082288775 /nfs/dbraw/zinc/28/87/75/1082288775.db2.gz SIJFDEMMPWJKBS-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C#CCC1(C(=O)N2CCN([C@H](C)CCC=C)CC2)CCCCC1 ZINC001113705121 1082289790 /nfs/dbraw/zinc/28/97/90/1082289790.db2.gz OLGRMZHVJGTFHJ-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN N#Cc1ccc2c(c1)CN(C(=O)c1cnc(-c3ccccc3)[nH]1)C2 ZINC001143407695 1082291455 /nfs/dbraw/zinc/29/14/55/1082291455.db2.gz CWFJCMNMURIHBR-UHFFFAOYSA-N 0 1 314.348 3.104 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCCOC(C)C)CC1 ZINC001113728846 1082292246 /nfs/dbraw/zinc/29/22/46/1082292246.db2.gz OWLGFSASWOZWOR-UHFFFAOYSA-N 0 1 312.498 3.306 20 30 DGEDMN CC(C(=O)NC[C@H](C)NCC#Cc1ccccc1)=C1CCCC1 ZINC001266930902 1082350674 /nfs/dbraw/zinc/35/06/74/1082350674.db2.gz DNAPGMXMBUAJID-INIZCTEOSA-N 0 1 310.441 3.023 20 30 DGEDMN Cn1ncc2c1CCN(C1CCC(C#N)(c3ccccc3)CC1)C2 ZINC001170396067 1082359840 /nfs/dbraw/zinc/35/98/40/1082359840.db2.gz UNGCDDCAKYLFKE-UHFFFAOYSA-N 0 1 320.440 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C/C=C\c1ccc(F)cc1 ZINC001266947468 1082365075 /nfs/dbraw/zinc/36/50/75/1082365075.db2.gz HNSVBIFUMMZZLM-DHCBQETCSA-N 0 1 310.800 3.076 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1ccc(C)c(OC)c1 ZINC001480906597 1082377392 /nfs/dbraw/zinc/37/73/92/1082377392.db2.gz CTCMHSMBGGLNDV-LJQANCHMSA-N 0 1 318.461 3.154 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1nsc2ccccc12 ZINC001266968446 1082387340 /nfs/dbraw/zinc/38/73/40/1082387340.db2.gz VSGGZWMSNBVDIL-ZDUSSCGKSA-N 0 1 317.458 3.247 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@H](NCc2sccc2Cl)C1 ZINC001267010470 1082428213 /nfs/dbraw/zinc/42/82/13/1082428213.db2.gz XROSEZUEYHPVBY-LBPRGKRZSA-N 0 1 324.877 3.142 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001267099368 1082508980 /nfs/dbraw/zinc/50/89/80/1082508980.db2.gz AETAQXGZQWMEAR-IEBWSBKVSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001267104307 1082520743 /nfs/dbraw/zinc/52/07/43/1082520743.db2.gz PUHTUFRTGVDUFQ-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001267112435 1082529896 /nfs/dbraw/zinc/52/98/96/1082529896.db2.gz YMARLLIMVYHGTF-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C#C[C@H](N[C@H](CCCC)C(=O)Nc1cc(C)on1)C(C)(C)C ZINC000806622317 1082607074 /nfs/dbraw/zinc/60/70/74/1082607074.db2.gz ILGXQVCWYJAUOT-KGLIPLIRSA-N 0 1 305.422 3.118 20 30 DGEDMN CCCc1ccccc1C(=O)NCCNCc1ccccc1C#N ZINC001131124090 1082644562 /nfs/dbraw/zinc/64/45/62/1082644562.db2.gz OLKHRKHOBKLAKU-UHFFFAOYSA-N 0 1 321.424 3.030 20 30 DGEDMN O=C(NCCNCC#Cc1ccccc1)C1CCCCCCC1 ZINC001131145715 1082647602 /nfs/dbraw/zinc/64/76/02/1082647602.db2.gz HOBRFKIXEYRJRB-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC[N@@H+](C)CCc1c(F)cccc1F ZINC001267215919 1082649210 /nfs/dbraw/zinc/64/92/10/1082649210.db2.gz QTZHCLJEQCCXFG-SFHVURJKSA-N 0 1 324.415 3.158 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)CCc1c(F)cccc1F ZINC001267215919 1082649211 /nfs/dbraw/zinc/64/92/11/1082649211.db2.gz QTZHCLJEQCCXFG-SFHVURJKSA-N 0 1 324.415 3.158 20 30 DGEDMN CC#CCN(C)CCNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001267229142 1082667236 /nfs/dbraw/zinc/66/72/36/1082667236.db2.gz BBGLTRWQUIIGSB-UHFFFAOYSA-N 0 1 306.409 3.039 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1CC(C)(C)C1)C(C)C ZINC001267247943 1082698989 /nfs/dbraw/zinc/69/89/89/1082698989.db2.gz GXDMSMNORRHEGL-UHFFFAOYSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)C(CCC)CCC)C[C@@H](C)O2 ZINC001131681549 1082702710 /nfs/dbraw/zinc/70/27/10/1082702710.db2.gz DKRLNCPIFMYLAD-APWZRJJASA-N 0 1 322.493 3.081 20 30 DGEDMN C[C@@H](Cc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131674084 1082705253 /nfs/dbraw/zinc/70/52/53/1082705253.db2.gz IEVSBWVMUSUQKZ-LBPRGKRZSA-N 0 1 304.353 3.252 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001267267195 1082727695 /nfs/dbraw/zinc/72/76/95/1082727695.db2.gz LXUASEBXVXKUDE-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CC(C)(C)/C=C/C(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001267287390 1082750879 /nfs/dbraw/zinc/75/08/79/1082750879.db2.gz IIDXSZOWCKWQBV-BPOBUFBUSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CC[N@@H+](Cc2ccccc2Cl)C1 ZINC001267298930 1082761446 /nfs/dbraw/zinc/76/14/46/1082761446.db2.gz TVILAOZKOJMYFI-AWEZNQCLSA-N 0 1 320.864 3.490 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cccc(F)c2)C1 ZINC001131895194 1082761914 /nfs/dbraw/zinc/76/19/14/1082761914.db2.gz GXFZZFIGGSVVEB-YOEHRIQHSA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1cncc(C)c1 ZINC001267316376 1082784478 /nfs/dbraw/zinc/78/44/78/1082784478.db2.gz NINXRZLBXSSBMS-UHFFFAOYSA-N 0 1 317.477 3.321 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2csc(C)c2)C1 ZINC001132003440 1082791055 /nfs/dbraw/zinc/79/10/55/1082791055.db2.gz VKTQPYKNZILMDD-RHSMWYFYSA-N 0 1 318.486 3.329 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccnc2)C1 ZINC001132069283 1082807684 /nfs/dbraw/zinc/80/76/84/1082807684.db2.gz RUAQXEPXXZQBGD-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(C)C[N@@H+](CCNC(=O)c1cnc2ccccc2c1)C1CC1 ZINC001267333801 1082810670 /nfs/dbraw/zinc/81/06/70/1082810670.db2.gz NDRKTBBUPILQJT-UHFFFAOYSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2c(C)noc2C)C1 ZINC001132064912 1082813581 /nfs/dbraw/zinc/81/35/81/1082813581.db2.gz OHVFFNWYYOFLMU-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cncc(C)c2)C1 ZINC001132065581 1082813965 /nfs/dbraw/zinc/81/39/65/1082813965.db2.gz WJWBPVGYKJWKIV-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CC[N@H+](CCNC(=O)c1cc2c(s1)CC[C@@H](C)C2)C1CC1 ZINC001267337186 1082814972 /nfs/dbraw/zinc/81/49/72/1082814972.db2.gz KFIJXGOTGDOWBY-CYBMUJFWSA-N 0 1 318.486 3.253 20 30 DGEDMN CC#CC[N@@H+](CCNC(=O)C1(c2ccccc2C)CCC1)C1CC1 ZINC001267337361 1082815618 /nfs/dbraw/zinc/81/56/18/1082815618.db2.gz SCNHPJJMQSHRSV-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN(CCNC(=O)C1(c2ccccc2C)CCC1)C1CC1 ZINC001267337361 1082815619 /nfs/dbraw/zinc/81/56/19/1082815619.db2.gz SCNHPJJMQSHRSV-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@@H]1C ZINC001132111027 1082820785 /nfs/dbraw/zinc/82/07/85/1082820785.db2.gz NXRDQDOYJKIQGZ-HKUYNNGSSA-N 0 1 324.468 3.002 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C\Cc2ccccc2)CC[C@H]1C ZINC001132113524 1082822124 /nfs/dbraw/zinc/82/21/24/1082822124.db2.gz CAOLGGRVQLUFDI-WDJFIJIBSA-N 0 1 324.468 3.168 20 30 DGEDMN O=C(/C=C\c1ccc([N+](=O)[O-])cc1[N+](=O)[O-])c1ccccc1O ZINC000588594729 1082831348 /nfs/dbraw/zinc/83/13/48/1082831348.db2.gz OHRIIBJCUUSMSF-VURMDHGXSA-N 0 1 314.253 3.105 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](CCC)C(C)C)c1ccccc1 ZINC001267371223 1082840507 /nfs/dbraw/zinc/84/05/07/1082840507.db2.gz WRZGUUCOSMZYGI-MSOLQXFVSA-N 0 1 300.446 3.139 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001132234539 1082843408 /nfs/dbraw/zinc/84/34/08/1082843408.db2.gz DFWNMTRVYACHBC-DOTOQJQBSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2cncc(C)c2)C1 ZINC001132218173 1082844903 /nfs/dbraw/zinc/84/49/03/1082844903.db2.gz NIBYVNDYFKMDFH-DOTOQJQBSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2ncccc2C)C1 ZINC001132217615 1082845144 /nfs/dbraw/zinc/84/51/44/1082845144.db2.gz DXJYIBORNASEHQ-HZPDHXFCSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ncccc2C)C1 ZINC001132256739 1082859785 /nfs/dbraw/zinc/85/97/85/1082859785.db2.gz PRCOZCSBRZDGKM-SJORKVTESA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCc2ccccc2)CC[C@@H]1C ZINC001132260793 1082860810 /nfs/dbraw/zinc/86/08/10/1082860810.db2.gz HWLHXRINUZNVSG-PKOBYXMFSA-N 0 1 312.457 3.002 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(CCCC)cc1 ZINC001267399561 1082869874 /nfs/dbraw/zinc/86/98/74/1082869874.db2.gz KFBCGTCFEMXGGH-UHFFFAOYSA-N 0 1 308.853 3.443 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001267421447 1082874566 /nfs/dbraw/zinc/87/45/66/1082874566.db2.gz BCAHMOBCEZJFHK-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC/C=C/c2ccccc2)CC[C@@H]1C ZINC001132296531 1082874775 /nfs/dbraw/zinc/87/47/75/1082874775.db2.gz RXCVLNJXUXHVJT-GTZJFBCFSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H](C)c1ccccc1C ZINC001267435854 1082882563 /nfs/dbraw/zinc/88/25/63/1082882563.db2.gz CNLVIOCWDDROPD-CQSZACIVSA-N 0 1 308.853 3.289 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H](C)c1ccccc1F ZINC001267441805 1082883704 /nfs/dbraw/zinc/88/37/04/1082883704.db2.gz VNLIWADTFALFRS-GFCCVEGCSA-N 0 1 312.816 3.120 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccncc2Cl)C1 ZINC001267480970 1082935666 /nfs/dbraw/zinc/93/56/66/1082935666.db2.gz CKZVMOFPVAJANP-CQSZACIVSA-N 0 1 321.852 3.238 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccsc2)C1 ZINC001267482827 1082939571 /nfs/dbraw/zinc/93/95/71/1082939571.db2.gz WZUFDFSQJXYGNJ-ZBFHGGJFSA-N 0 1 306.475 3.351 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132574231 1082950012 /nfs/dbraw/zinc/95/00/12/1082950012.db2.gz XRPPHTLSDDXHIS-OCCSQVGLSA-N 0 1 308.853 3.312 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132574232 1082950365 /nfs/dbraw/zinc/95/03/65/1082950365.db2.gz XRPPHTLSDDXHIS-TZMCWYRMSA-N 0 1 308.853 3.312 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001267496332 1082955071 /nfs/dbraw/zinc/95/50/71/1082955071.db2.gz QZTRPVJLLMYDAL-ROUUACIJSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@H](C=C)c2ccccc2)C1 ZINC001267496585 1082955572 /nfs/dbraw/zinc/95/55/72/1082955572.db2.gz WEOAIIBSVKZCOV-ICSRJNTNSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132653102 1082968217 /nfs/dbraw/zinc/96/82/17/1082968217.db2.gz FIPIYOMZCGEPBE-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ccc(C(C)C)cc1 ZINC001267512873 1082971005 /nfs/dbraw/zinc/97/10/05/1082971005.db2.gz YBFYUHLJTNPMAS-ZGXWSNOMSA-N 0 1 324.468 3.236 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1coc(C)c1)c1ccc(C(C)C)cc1 ZINC001267512501 1082972187 /nfs/dbraw/zinc/97/21/87/1082972187.db2.gz LXXXKAXKLCBSIF-IBGZPJMESA-N 0 1 324.424 3.405 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cccc(F)c1Cl ZINC001132660914 1082974465 /nfs/dbraw/zinc/97/44/65/1082974465.db2.gz WPGLDOMCEWDQED-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132667861 1082975832 /nfs/dbraw/zinc/97/58/32/1082975832.db2.gz VAISVRBFZDLUNT-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccsc1Cl)c1ccccc1 ZINC001267523429 1082984525 /nfs/dbraw/zinc/98/45/25/1082984525.db2.gz TVCIGKCSLTWMEG-CQSZACIVSA-N 0 1 318.829 3.095 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(CF)cc1)c1ccccc1 ZINC001267526104 1082988516 /nfs/dbraw/zinc/98/85/16/1082988516.db2.gz KXGPDFQQORWNHJ-LJQANCHMSA-N 0 1 324.399 3.240 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(CC)cc1 ZINC001267528045 1082990108 /nfs/dbraw/zinc/99/01/08/1082990108.db2.gz SHZQYHDGXGVFGR-KDURUIRLSA-N 0 1 312.457 3.390 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc3ccsc3[nH]2)C1 ZINC001267547154 1083016921 /nfs/dbraw/zinc/01/69/21/1083016921.db2.gz VIMVCGPFUOKUSI-AWEZNQCLSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC001267562928 1083041873 /nfs/dbraw/zinc/04/18/73/1083041873.db2.gz OLAQVJQBFOEXSW-CABCVRRESA-N 0 1 319.449 3.084 20 30 DGEDMN N#CC12CCC(Nc3nc(Cl)nc4[nH]cnc43)(CC1)CC2 ZINC001158785887 1083056201 /nfs/dbraw/zinc/05/62/01/1083056201.db2.gz TYLBTJOWMMYIIG-UHFFFAOYSA-N 0 1 302.769 3.035 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H]2CCN(CC(=C)Cl)C2)CCC1 ZINC001267570049 1083058091 /nfs/dbraw/zinc/05/80/91/1083058091.db2.gz HOQBSRQZTKWGHX-OAHLLOKOSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C)c(C)c1Cl ZINC001481011803 1083079611 /nfs/dbraw/zinc/07/96/11/1083079611.db2.gz OINGPRGIGHOYJB-UHFFFAOYSA-N 0 1 306.837 3.032 20 30 DGEDMN C=C1CCC(C(=O)NCCN[C@@H](C)c2ccccc2F)CC1 ZINC001133375863 1083106411 /nfs/dbraw/zinc/10/64/11/1083106411.db2.gz MGJBCHUESLRQHD-AWEZNQCLSA-N 0 1 304.409 3.339 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001133382887 1083111325 /nfs/dbraw/zinc/11/13/25/1083111325.db2.gz CJRITMPZIBJOKK-YVEFUNNKSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H](C)SC)CC1 ZINC001267598859 1083132972 /nfs/dbraw/zinc/13/29/72/1083132972.db2.gz UIWSZSNBRAZQJJ-CYBMUJFWSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cc(C)ccc1F ZINC001481051577 1083135603 /nfs/dbraw/zinc/13/56/03/1083135603.db2.gz GOBQPMIFEWZLRP-MRXNPFEDSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2cc(F)ccc2Cl)C1 ZINC001133570350 1083153104 /nfs/dbraw/zinc/15/31/04/1083153104.db2.gz WYOIDFBCVYNPJV-UHFFFAOYSA-N 0 1 310.800 3.041 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2ccc(Cl)cc2F)C1 ZINC001133570335 1083153165 /nfs/dbraw/zinc/15/31/65/1083153165.db2.gz WLYSWAIUIBCYDL-UHFFFAOYSA-N 0 1 310.800 3.041 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2oc(C(C)C)nc2C)C1 ZINC001481083921 1083155092 /nfs/dbraw/zinc/15/50/92/1083155092.db2.gz QHTAZYKRMMHVDQ-OAHLLOKOSA-N 0 1 319.449 3.011 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncoc1C(C)C ZINC001133883600 1083205798 /nfs/dbraw/zinc/20/57/98/1083205798.db2.gz CYQNXHIPWBPJRF-KGLIPLIRSA-N 0 1 307.438 3.137 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1nnc(C(C)C)s1 ZINC001133883954 1083205803 /nfs/dbraw/zinc/20/58/03/1083205803.db2.gz ITVVZVQIFHWDCQ-STQMWFEESA-N 0 1 324.494 3.001 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)/C=C(/C)CC ZINC001481134865 1083207316 /nfs/dbraw/zinc/20/73/16/1083207316.db2.gz AJERONKLSIREJW-ZCXUNETKSA-N 0 1 312.457 3.223 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001133889339 1083208307 /nfs/dbraw/zinc/20/83/07/1083208307.db2.gz UZKRRLAOMSQLCL-UONOGXRCSA-N 0 1 321.465 3.311 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cnc3ccccc3c2C)C1 ZINC001267654418 1083248086 /nfs/dbraw/zinc/24/80/86/1083248086.db2.gz XFTVRTSTTFRTNW-AWEZNQCLSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2c(C)cc(C)cc2C)C1 ZINC001267656988 1083249703 /nfs/dbraw/zinc/24/97/03/1083249703.db2.gz CKAKAWYVPPILRU-KRWDZBQOSA-N 0 1 314.473 3.309 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001267691515 1083266782 /nfs/dbraw/zinc/26/67/82/1083266782.db2.gz PLIWIVWFDKJJTA-CYBMUJFWSA-N 0 1 306.837 3.068 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1C ZINC001267701945 1083273352 /nfs/dbraw/zinc/27/33/52/1083273352.db2.gz BUKFGNXJBHWXPI-GJZGRUSLSA-N 0 1 321.490 3.321 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001134409996 1083285265 /nfs/dbraw/zinc/28/52/65/1083285265.db2.gz CJZXOTWKRRZVSF-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN N#Cc1ccccc1CNCCNC(=O)CC1CCCCCC1 ZINC001134413117 1083285864 /nfs/dbraw/zinc/28/58/64/1083285864.db2.gz PBIYSPDMPFBNGQ-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CCCCC(F)(F)F)C1 ZINC001267742832 1083309339 /nfs/dbraw/zinc/30/93/39/1083309339.db2.gz BFMBHQXVCMCEMX-CYBMUJFWSA-N 0 1 306.372 3.266 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC/C=C\c2ccccc2)C1 ZINC001267791942 1083350776 /nfs/dbraw/zinc/35/07/76/1083350776.db2.gz RQUIVEBEKZMZNM-KEEQEYLASA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2c1ccn2C ZINC001267823571 1083387343 /nfs/dbraw/zinc/38/73/43/1083387343.db2.gz KUSBVLDUASQUFF-CYBMUJFWSA-N 0 1 319.836 3.029 20 30 DGEDMN CC[C@H](C)CC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001493364662 1083420940 /nfs/dbraw/zinc/42/09/40/1083420940.db2.gz YXYVFORRXRYVEB-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC[C@@H]1N(Cc2cc(C(=O)OCC)n[nH]2)CCCC1(C)C ZINC001181297766 1083442992 /nfs/dbraw/zinc/44/29/92/1083442992.db2.gz WASMTMDZFHBKMH-HNNXBMFYSA-N 0 1 305.422 3.153 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cccc(OC)c2Cl)C1 ZINC001181534841 1083486408 /nfs/dbraw/zinc/48/64/08/1083486408.db2.gz FLDHHSTUHFGZHZ-CQSZACIVSA-N 0 1 322.836 3.005 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2c(Cl)cccc2OC)C1 ZINC001181517386 1083493045 /nfs/dbraw/zinc/49/30/45/1083493045.db2.gz LLJIRCWKKSDMQJ-CYBMUJFWSA-N 0 1 322.836 3.005 20 30 DGEDMN C#CCCCCCCCCC(=O)Nc1nc(C)nc2nc[nH]c21 ZINC001182185628 1083641343 /nfs/dbraw/zinc/64/13/43/1083641343.db2.gz KOQRFONTIHBRSD-UHFFFAOYSA-N 0 1 313.405 3.354 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccccc1OCC ZINC001267970218 1083672364 /nfs/dbraw/zinc/67/23/64/1083672364.db2.gz LKDDOIIFXSWOQC-CQSZACIVSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C(C)(C)c1ccc(CC)cc1 ZINC001481300941 1083723182 /nfs/dbraw/zinc/72/31/82/1083723182.db2.gz LKEWJGSMVPHAEH-UHFFFAOYSA-N 0 1 322.880 3.327 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(Cl)c(Cl)c1 ZINC001481307313 1083746077 /nfs/dbraw/zinc/74/60/77/1083746077.db2.gz SKCZITQZHVCKRH-UHFFFAOYSA-N 0 1 321.635 3.408 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1oc(C)cc1C)C(C)C ZINC001481347866 1083799191 /nfs/dbraw/zinc/79/91/91/1083799191.db2.gz WUPIEVBFERQJCY-UHFFFAOYSA-N 0 1 312.841 3.479 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)C1CC1)c1ccc(C(C)C)cc1 ZINC001481357751 1083818339 /nfs/dbraw/zinc/81/83/39/1083818339.db2.gz JQYGCLGTWUTZHR-HNAYVOBHSA-N 0 1 312.457 3.236 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182841476 1083819010 /nfs/dbraw/zinc/81/90/10/1083819010.db2.gz SPXTZYDPUPNKBC-UHFFFAOYSA-N 0 1 321.384 3.172 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001268028286 1083830294 /nfs/dbraw/zinc/83/02/94/1083830294.db2.gz BUTZDBURWSPOPM-INIZCTEOSA-N 0 1 324.509 3.232 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1occc1Cl)c1ccccc1 ZINC001481369391 1083833073 /nfs/dbraw/zinc/83/30/73/1083833073.db2.gz PCTWRLTVMYGFFH-HNNXBMFYSA-N 0 1 316.788 3.017 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ccoc2)C1 ZINC001087421621 1083841887 /nfs/dbraw/zinc/84/18/87/1083841887.db2.gz OEIOAJKQRCBUNY-RTBURBONSA-N 0 1 324.424 3.444 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(F)cc2F)C1 ZINC001481410824 1083881720 /nfs/dbraw/zinc/88/17/20/1083881720.db2.gz OELBODADPDKWIJ-CQSZACIVSA-N 0 1 308.372 3.077 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001183602633 1083985612 /nfs/dbraw/zinc/98/56/12/1083985612.db2.gz WNFMWVBDCGSYLA-WOMSROEHSA-N 0 1 322.811 3.172 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001481524843 1084072637 /nfs/dbraw/zinc/07/26/37/1084072637.db2.gz WCFUDMILXGXASV-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001481524841 1084073203 /nfs/dbraw/zinc/07/32/03/1084073203.db2.gz WCFUDMILXGXASV-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001481528848 1084083654 /nfs/dbraw/zinc/08/36/54/1084083654.db2.gz NYPPONPULWJNEJ-ZWKOTPCHSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1cccc(Cl)n1 ZINC001268077996 1084111149 /nfs/dbraw/zinc/11/11/49/1084111149.db2.gz SPOMFKYVIIWIEA-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(c2ccccc2F)CC1 ZINC001268091585 1084144867 /nfs/dbraw/zinc/14/48/67/1084144867.db2.gz HSRGHMJCVAIJIM-ZDUSSCGKSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001481589909 1084230400 /nfs/dbraw/zinc/23/04/00/1084230400.db2.gz LWSOVARRBPSMCZ-AWEZNQCLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(OC)c(C)c2)C1 ZINC001268170577 1084279150 /nfs/dbraw/zinc/27/91/50/1084279150.db2.gz YZBHWEDANZTSIN-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN CC1(C)CCC[C@@H]1C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001184961836 1084297264 /nfs/dbraw/zinc/29/72/64/1084297264.db2.gz HIXGDSPBIAQEHP-RTBURBONSA-N 0 1 324.468 3.055 20 30 DGEDMN Cc1cccc(CN2CCC[C@H](NC(=O)C#CC(C)(C)C)C2)c1 ZINC001268194961 1084304163 /nfs/dbraw/zinc/30/41/63/1084304163.db2.gz MIMQOJNIFVUQJX-SFHVURJKSA-N 0 1 312.457 3.125 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN([C@@H](C)c2cccc(F)c2)C1 ZINC001268195835 1084304218 /nfs/dbraw/zinc/30/42/18/1084304218.db2.gz YVMXADFFOYDSMQ-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CNCc2cscn2)[C@H](C)C1 ZINC001185060128 1084324997 /nfs/dbraw/zinc/32/49/97/1084324997.db2.gz DRIZXLKJLFEQIX-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(Cc2ccccc2C)CC1 ZINC001185198085 1084377072 /nfs/dbraw/zinc/37/70/72/1084377072.db2.gz HCVGRKKQZGAEBM-SFHVURJKSA-N 0 1 312.457 3.084 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2oc(C(C)C)nc2C)C1 ZINC001185296186 1084408514 /nfs/dbraw/zinc/40/85/14/1084408514.db2.gz JSRWKSHJXOTBLW-OAHLLOKOSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001268270643 1084438063 /nfs/dbraw/zinc/43/80/63/1084438063.db2.gz YMCCIXRQAVBKMJ-ONWJVOKSSA-N 0 1 308.853 3.184 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@@H]2[C@@H](CC[N@H+]2CC(=C)Cl)C1 ZINC001268270644 1084437742 /nfs/dbraw/zinc/43/77/42/1084437742.db2.gz YMCCIXRQAVBKMJ-PRHGYYBESA-N 0 1 308.853 3.184 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001268270644 1084437746 /nfs/dbraw/zinc/43/77/46/1084437746.db2.gz YMCCIXRQAVBKMJ-PRHGYYBESA-N 0 1 308.853 3.184 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@@H]2[C@@H](CC[N@H+]2CC(=C)Cl)C1 ZINC001268270643 1084438059 /nfs/dbraw/zinc/43/80/59/1084438059.db2.gz YMCCIXRQAVBKMJ-ONWJVOKSSA-N 0 1 308.853 3.184 20 30 DGEDMN C#CCCCC(=O)NCCN[C@H](C)c1cccc(Cl)c1F ZINC001126338496 1084452119 /nfs/dbraw/zinc/45/21/19/1084452119.db2.gz CILVDESRDAJKPZ-GFCCVEGCSA-N 0 1 310.800 3.049 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC001481699349 1084488755 /nfs/dbraw/zinc/48/87/55/1084488755.db2.gz ULRUTUHDKJWNDA-MRXNPFEDSA-N 0 1 306.837 3.305 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001481705506 1084497147 /nfs/dbraw/zinc/49/71/47/1084497147.db2.gz MYUJZBMJQAIRLU-MAUKXSAKSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCc2ccc(F)cc2)C1 ZINC001185841913 1084540748 /nfs/dbraw/zinc/54/07/48/1084540748.db2.gz PXLKJOAGDTWGNH-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2csc(C)n2)CCC1 ZINC001268396064 1084559104 /nfs/dbraw/zinc/55/91/04/1084559104.db2.gz HNOWFDYZQIEZFJ-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001481762030 1084606101 /nfs/dbraw/zinc/60/61/01/1084606101.db2.gz QKEJXTUQHLUSAJ-QGZVFWFLSA-N 0 1 316.445 3.084 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C)c(F)c1 ZINC001110379009 1084630022 /nfs/dbraw/zinc/63/00/22/1084630022.db2.gz RLBOZPCYDVAAGS-NXHRZFHOSA-N 0 1 316.420 3.322 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)N[C@@H]2CCCc3ccccc32)c1 ZINC001186457378 1084656299 /nfs/dbraw/zinc/65/62/99/1084656299.db2.gz KHFALCADAHZDHS-OAHLLOKOSA-N 0 1 307.353 3.463 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1cccc(F)c1F ZINC001481840619 1084658899 /nfs/dbraw/zinc/65/88/99/1084658899.db2.gz LDGHTNLPRRVGAB-ZDUSSCGKSA-N 0 1 310.388 3.161 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001493488043 1084692914 /nfs/dbraw/zinc/69/29/14/1084692914.db2.gz OYSIBJJNDANEAD-GIDUJCDVSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001481969471 1084739501 /nfs/dbraw/zinc/73/95/01/1084739501.db2.gz IPEWODNGGLAQJI-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001482023457 1084775200 /nfs/dbraw/zinc/77/52/00/1084775200.db2.gz YUCIEWSLKXWPRJ-BZUAXINKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1ccccc1F ZINC001482066476 1084817413 /nfs/dbraw/zinc/81/74/13/1084817413.db2.gz OUFBBQHMUHNNPK-OAHLLOKOSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CCC1(C(=O)NC/C=C/CNCc2ccns2)CCCC1 ZINC001268632065 1084903141 /nfs/dbraw/zinc/90/31/41/1084903141.db2.gz GBNTXACJHVXAPR-AATRIKPKSA-N 0 1 319.474 3.042 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(CCCC)cc1 ZINC001268653775 1084921138 /nfs/dbraw/zinc/92/11/38/1084921138.db2.gz ZLDMHLBEBVCEJA-CQSZACIVSA-N 0 1 308.853 3.490 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)C=C1CCCCC1 ZINC001268680548 1084940616 /nfs/dbraw/zinc/94/06/16/1084940616.db2.gz QIUZYAHTJXVOQE-HNNXBMFYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(OCC(C)C)cc1 ZINC001268714233 1084965745 /nfs/dbraw/zinc/96/57/45/1084965745.db2.gz WANUZFWKTWWAFY-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001268727519 1084985508 /nfs/dbraw/zinc/98/55/08/1084985508.db2.gz ZKGXABCAVYCVPH-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1cc(F)ccc1Cl)C1CC1 ZINC001269050156 1085105915 /nfs/dbraw/zinc/10/59/15/1085105915.db2.gz CVSWEXFLZDXYPN-INIZCTEOSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001269056779 1085107567 /nfs/dbraw/zinc/10/75/67/1085107567.db2.gz LHPUMAQLSCGXQC-XCUKTARSSA-N 0 1 312.457 3.493 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001269223528 1085216463 /nfs/dbraw/zinc/21/64/63/1085216463.db2.gz PMZXQYYVVMRNIT-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1csnc1C ZINC001269222812 1085218935 /nfs/dbraw/zinc/21/89/35/1085218935.db2.gz IYJDDZIXIBAWQA-AWEZNQCLSA-N 0 1 309.479 3.226 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001269273801 1085257923 /nfs/dbraw/zinc/25/79/23/1085257923.db2.gz BWRJJWQOTQTFSF-APWZRJJASA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1sccc1C(F)F ZINC001269336293 1085313053 /nfs/dbraw/zinc/31/30/53/1085313053.db2.gz AKMRYJXMXJLWLQ-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001269356601 1085333138 /nfs/dbraw/zinc/33/31/38/1085333138.db2.gz SAVYRAAUAVXQHO-RHSMWYFYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(CCC)cc1 ZINC001269459896 1085397596 /nfs/dbraw/zinc/39/75/96/1085397596.db2.gz CCOHOYSFTOEGKY-MRXNPFEDSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NCc1ncc(C)s1 ZINC001269465916 1085402275 /nfs/dbraw/zinc/40/22/75/1085402275.db2.gz AHSMPXZQYVMVEW-BBRMVZONSA-N 0 1 309.479 3.038 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1cc(C)ccc1C)C(C)C ZINC001284543283 1085460973 /nfs/dbraw/zinc/46/09/73/1085460973.db2.gz ADZHQSCHNHGQOK-UHFFFAOYSA-N 0 1 314.473 3.038 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cnc(C)o2)CCCC[C@H]1C ZINC001269660063 1085517709 /nfs/dbraw/zinc/51/77/09/1085517709.db2.gz ZPPCAWHPJGOPRJ-KDOFPFPSSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(CC(C)C)CCC1)CC2 ZINC001482242728 1085565144 /nfs/dbraw/zinc/56/51/44/1085565144.db2.gz JDFGCSRBNNQVPA-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@H](C)c2ccccc2F)C1 ZINC001269861592 1085590753 /nfs/dbraw/zinc/59/07/53/1085590753.db2.gz JZPYAVCUNYJWIL-HNNXBMFYSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001270059793 1085675121 /nfs/dbraw/zinc/67/51/21/1085675121.db2.gz ZLUHWGGXEVAEPX-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001270112599 1085696551 /nfs/dbraw/zinc/69/65/51/1085696551.db2.gz HQHFYNVKNURKTM-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN(C(=O)[C@H](C)[C@H](C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001270351858 1085781650 /nfs/dbraw/zinc/78/16/50/1085781650.db2.gz WNSHDELLNGWFGZ-XKQHDHNFSA-N 0 1 324.896 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)c1cccs1 ZINC001482330642 1086022005 /nfs/dbraw/zinc/02/20/05/1086022005.db2.gz LLQDHYTUPRXZNL-VXGBXAGGSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc2ccncc21 ZINC001482348063 1086057280 /nfs/dbraw/zinc/05/72/80/1086057280.db2.gz SJJRRYRMLJXZKE-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCC1(C)CCN(CC(=C)Cl)CC1 ZINC001270899375 1086164517 /nfs/dbraw/zinc/16/45/17/1086164517.db2.gz KVTIXRSQCASNPF-NSIKDUERSA-N 0 1 310.869 3.480 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001270968861 1086221339 /nfs/dbraw/zinc/22/13/39/1086221339.db2.gz VQDWPTNKBILKOA-AWEZNQCLSA-N 0 1 324.852 3.115 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@]1(C)CCCN(CCF)C1)c1ccccc1 ZINC001271016547 1086251857 /nfs/dbraw/zinc/25/18/57/1086251857.db2.gz HUENENFFONSBPC-IEBWSBKVSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCN(C/C=C/Cl)[C@@H]1CC ZINC001087603125 1086324621 /nfs/dbraw/zinc/32/46/21/1086324621.db2.gz YUCCKCPFPDHBEV-OVPGCVMQSA-N 0 1 321.852 3.009 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001087732881 1086382569 /nfs/dbraw/zinc/38/25/69/1086382569.db2.gz VGGPOEOKOXBLHY-YSTOQKLRSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2scnc2C(C)C)[C@H]1CC ZINC001087754542 1086398356 /nfs/dbraw/zinc/39/83/56/1086398356.db2.gz MRJVKDFNRPKBOZ-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)[C@H]1CC ZINC001087789332 1086409291 /nfs/dbraw/zinc/40/92/91/1086409291.db2.gz KTALEPFMISDXDE-RWMBFGLXSA-N 0 1 324.877 3.107 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)[C@H]1CC ZINC001087789332 1086409296 /nfs/dbraw/zinc/40/92/96/1086409296.db2.gz KTALEPFMISDXDE-RWMBFGLXSA-N 0 1 324.877 3.107 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)[C@H]1CC ZINC001087844699 1086441512 /nfs/dbraw/zinc/44/15/12/1086441512.db2.gz LZPBOOYZIJVXRP-YESZJQIVSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CC(c3ccccc3)C2)[C@H]1CC ZINC001087846149 1086443036 /nfs/dbraw/zinc/44/30/36/1086443036.db2.gz LLPMXSHUEXXDRU-GHBBCFCZSA-N 0 1 324.468 3.173 20 30 DGEDMN CSc1nc(CN(C)CCc2ccccc2F)ccc1C#N ZINC001141945846 1086530055 /nfs/dbraw/zinc/53/00/55/1086530055.db2.gz ONLKSGYKZAJJPM-UHFFFAOYSA-N 0 1 315.417 3.489 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001482508083 1086734595 /nfs/dbraw/zinc/73/45/95/1086734595.db2.gz WYRDLQILKKXZMQ-DLBZAZTESA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1nc(C)cs1)C(C)C ZINC001482534180 1086792017 /nfs/dbraw/zinc/79/20/17/1086792017.db2.gz UICNJGLJSXSNML-AWEZNQCLSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccccc1C ZINC001280429310 1086798647 /nfs/dbraw/zinc/79/86/47/1086798647.db2.gz DHDLGLOZGIILCK-OAHLLOKOSA-N 0 1 306.837 3.332 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)CC(C)C ZINC001272042348 1087022675 /nfs/dbraw/zinc/02/26/75/1087022675.db2.gz DCMXNPMAQJJLMA-IRXDYDNUSA-N 0 1 304.478 3.147 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001482593329 1087023130 /nfs/dbraw/zinc/02/31/30/1087023130.db2.gz HLQIAYCTOFRREA-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CCCC)C(C)C ZINC001272052580 1087031824 /nfs/dbraw/zinc/03/18/24/1087031824.db2.gz CQHVYXMGTUUONR-KZNAEPCWSA-N 0 1 304.478 3.147 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H]1C[N@H+](CCCCCCC)C[C@H]1OC ZINC001211967509 1087105020 /nfs/dbraw/zinc/10/50/20/1087105020.db2.gz KZWFBVPVYALESO-OIORMYRMSA-N 0 1 310.482 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(CCC)CC3)CC[C@H]21 ZINC001482662300 1087105790 /nfs/dbraw/zinc/10/57/90/1087105790.db2.gz JNSGSOCCZRMTPJ-HUUCEWRRSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)[C@H](CCC)CC1CCCCC1 ZINC001496794075 1087193294 /nfs/dbraw/zinc/19/32/94/1087193294.db2.gz SAVGAYKIFOTJOL-GOSISDBHSA-N 0 1 306.494 3.397 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1cccc(Cl)c1 ZINC001482947719 1087197574 /nfs/dbraw/zinc/19/75/74/1087197574.db2.gz BQMMKDQRWRIAJJ-UHFFFAOYSA-N 0 1 315.244 3.073 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001482970885 1087205359 /nfs/dbraw/zinc/20/53/59/1087205359.db2.gz NGSKHJMKLINFPN-JKSUJKDBSA-N 0 1 324.827 3.120 20 30 DGEDMN C[C@@H](NC/C=C/CNC(=O)C#CC1CC1)c1ccccc1Cl ZINC001483008311 1087232955 /nfs/dbraw/zinc/23/29/55/1087232955.db2.gz UYCRNJWWJGZXMH-ISZGNANSSA-N 0 1 316.832 3.076 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2oc3ccc(F)cc3c2C)[C@H]1C ZINC001088622533 1087234143 /nfs/dbraw/zinc/23/41/43/1087234143.db2.gz BKDJTZVXPUDNKN-DOMZBBRYSA-N 0 1 316.376 3.259 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2C(C)(C)CC)[C@H]1C ZINC001088647303 1087243768 /nfs/dbraw/zinc/24/37/68/1087243768.db2.gz IZMNRINXYRQDSE-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C[C@@H]1CCC[C@H](C(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001483054914 1087306209 /nfs/dbraw/zinc/30/62/09/1087306209.db2.gz QMWDBBAMBGOYQG-OANMOWAGSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001483083371 1087334222 /nfs/dbraw/zinc/33/42/22/1087334222.db2.gz IATDNUSGWVLXFR-NFAHFFEMSA-N 0 1 316.848 3.309 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1C ZINC001088769363 1087346877 /nfs/dbraw/zinc/34/68/77/1087346877.db2.gz FWOBLZOOHANLLK-BEFAXECRSA-N 0 1 310.441 3.088 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3ncccc3s2)[C@H]1C ZINC001088792055 1087356845 /nfs/dbraw/zinc/35/68/45/1087356845.db2.gz SEZRWQJCUWMYOK-OLZOCXBDSA-N 0 1 315.442 3.065 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001483182468 1087411955 /nfs/dbraw/zinc/41/19/55/1087411955.db2.gz ACAALJLIOPAOHW-CVEARBPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)/C(C)=C/CC)CC2)C1 ZINC001105695189 1087435625 /nfs/dbraw/zinc/43/56/25/1087435625.db2.gz GIGOZBNHHYMRQH-LZYBPNLTSA-N 0 1 320.477 3.001 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001483296071 1087462359 /nfs/dbraw/zinc/46/23/59/1087462359.db2.gz XKXHZSCPTMCQJR-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(OC2CN(CCC(=C)C)C2)CC1 ZINC001105709833 1087477209 /nfs/dbraw/zinc/47/72/09/1087477209.db2.gz XJJUJSXBDKEXOS-UHFFFAOYSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1coc(C)n1 ZINC001483433428 1087501151 /nfs/dbraw/zinc/50/11/51/1087501151.db2.gz FWHHEEOTQQLTOW-NVXWUHKLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccccc1C1CC1 ZINC001483648867 1087603183 /nfs/dbraw/zinc/60/31/83/1087603183.db2.gz VXOWNQRZLJCIOX-GOSISDBHSA-N 0 1 310.441 3.058 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc3sccc3c2)[C@H]1C ZINC001088951248 1087608630 /nfs/dbraw/zinc/60/86/30/1087608630.db2.gz HAFYIGSSDRMXSW-DOMZBBRYSA-N 0 1 300.427 3.280 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)[C@H]1C ZINC001089023140 1087623311 /nfs/dbraw/zinc/62/33/11/1087623311.db2.gz FXHATJDHRQXZNT-CJNGLKHVSA-N 0 1 318.848 3.137 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1[C@@H](C)c1ccccc1C ZINC001483671340 1087623913 /nfs/dbraw/zinc/62/39/13/1087623913.db2.gz KLXBBMDQTXCZAX-JKSUJKDBSA-N 0 1 300.446 3.459 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@H]1C ZINC001089055048 1087627952 /nfs/dbraw/zinc/62/79/52/1087627952.db2.gz SVBTVQGAUPTLJC-OLZOCXBDSA-N 0 1 306.475 3.424 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(C(C)(C)C)s2)[C@H]1C ZINC001089063312 1087629337 /nfs/dbraw/zinc/62/93/37/1087629337.db2.gz XDRDPTDPTNBFDX-OLZOCXBDSA-N 0 1 321.490 3.209 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)[C@H]1C ZINC001089069035 1087630414 /nfs/dbraw/zinc/63/04/14/1087630414.db2.gz JICMBCNYWWNJEO-CJNGLKHVSA-N 0 1 312.413 3.374 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2cnc(CC(C)C)s2)[C@H]1C ZINC001089073613 1087631792 /nfs/dbraw/zinc/63/17/92/1087631792.db2.gz ONNIJUDXWMMDKW-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](OCC)C(=C)C ZINC001099098217 1087631856 /nfs/dbraw/zinc/63/18/56/1087631856.db2.gz MCMUINRXFOFDFX-SJORKVTESA-N 0 1 322.493 3.151 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(C)cc1F ZINC001272393811 1087690204 /nfs/dbraw/zinc/69/02/04/1087690204.db2.gz ATOIUIWOBALJAM-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2ccc(C)cc2Cl)CC1 ZINC001483730474 1087713047 /nfs/dbraw/zinc/71/30/47/1087713047.db2.gz NVHZCPLKVHWFPK-UHFFFAOYSA-N 0 1 318.848 3.190 20 30 DGEDMN CCCCCCCCN1CC2(C1)COCC(=O)N2CCCC ZINC001272477777 1087721719 /nfs/dbraw/zinc/72/17/19/1087721719.db2.gz BPRIWINIJOHNEM-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COc2cccc3ccccc32)c1 ZINC000176396132 1087722673 /nfs/dbraw/zinc/72/26/73/1087722673.db2.gz LWBDRICQELKMES-UHFFFAOYSA-N 0 1 318.332 3.435 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1cccc2nc[nH]c21)c1ccccc1 ZINC001151671906 1087753689 /nfs/dbraw/zinc/75/36/89/1087753689.db2.gz VFMNKQOVRWHSDR-OAHLLOKOSA-N 0 1 304.353 3.338 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001483737875 1087788431 /nfs/dbraw/zinc/78/84/31/1087788431.db2.gz OTAZNOPQULYMNA-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC1(C=C)CCCCC1 ZINC001158597487 1087926367 /nfs/dbraw/zinc/92/63/67/1087926367.db2.gz GACJPEOAYLYJEZ-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H]1CCc2ccccc21 ZINC001158616762 1087942491 /nfs/dbraw/zinc/94/24/91/1087942491.db2.gz TYUSGOXLEJAUSW-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001152460022 1087982389 /nfs/dbraw/zinc/98/23/89/1087982389.db2.gz NNEXQZNZNIXWOI-ZIAGYGMSSA-N 0 1 321.490 3.383 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1ccccc1 ZINC001158758050 1088012804 /nfs/dbraw/zinc/01/28/04/1088012804.db2.gz IRCNOHZWMSKNFE-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(F)cc1C ZINC001158768373 1088020110 /nfs/dbraw/zinc/02/01/10/1088020110.db2.gz VCYVXUYNHJIUBP-QGZVFWFLSA-N 0 1 316.420 3.084 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001158768201 1088020383 /nfs/dbraw/zinc/02/03/83/1088020383.db2.gz NZGAGDFLLKQBTK-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCCc1cccs1 ZINC001158847298 1088069082 /nfs/dbraw/zinc/06/90/82/1088069082.db2.gz OGHHIYMUKRKOAC-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccc(F)cc1C ZINC001153025295 1088145448 /nfs/dbraw/zinc/14/54/48/1088145448.db2.gz RAAZGWAZHGXJAO-MRXNPFEDSA-N 0 1 318.436 3.264 20 30 DGEDMN CCc1cc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)on1 ZINC001203226643 1088159490 /nfs/dbraw/zinc/15/94/90/1088159490.db2.gz QDWGOJWAKDTVTE-QGZVFWFLSA-N 0 1 311.385 3.152 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001153588039 1088295368 /nfs/dbraw/zinc/29/53/68/1088295368.db2.gz KAEUYOFYHJYOBS-CYBMUJFWSA-N 0 1 323.868 3.187 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001153593681 1088296718 /nfs/dbraw/zinc/29/67/18/1088296718.db2.gz FCNLTFULNHRJMN-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN CC(C)(C#N)C(=O)Nc1ccc2nc(-c3ccncc3)[nH]c2c1 ZINC001649015679 1088309217 /nfs/dbraw/zinc/30/92/17/1088309217.db2.gz ZNFYPFQZRUKHPW-UHFFFAOYSA-N 0 1 305.341 3.113 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)c1cnc2nc[nH]c2c1 ZINC001153724952 1088338646 /nfs/dbraw/zinc/33/86/46/1088338646.db2.gz IYXVMEUCOQXQGE-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/c1ccc(C)cc1)C2 ZINC001111527636 1088366164 /nfs/dbraw/zinc/36/61/64/1088366164.db2.gz IWLFMKQFDBPJKH-IBMHEYTHSA-N 0 1 310.441 3.306 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001153872810 1088392613 /nfs/dbraw/zinc/39/26/13/1088392613.db2.gz UWLNMGDZMOOHQD-CYBMUJFWSA-N 0 1 307.442 3.082 20 30 DGEDMN Cc1cc(CCC(=O)N[C@H](CCCC#N)c2ccccc2)n[nH]1 ZINC001159626726 1088424634 /nfs/dbraw/zinc/42/46/34/1088424634.db2.gz DJHZJECKHONUMD-QGZVFWFLSA-N 0 1 310.401 3.202 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1cccc(Cl)c1)CC2 ZINC001272659734 1088503140 /nfs/dbraw/zinc/50/31/40/1088503140.db2.gz DYORGCIURDVFLI-MRXNPFEDSA-N 0 1 318.848 3.244 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001483757405 1088526448 /nfs/dbraw/zinc/52/64/48/1088526448.db2.gz XHYPIGACBZOJET-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC(C)(C)C1 ZINC001089471439 1088663536 /nfs/dbraw/zinc/66/35/36/1088663536.db2.gz TZUYASSWDYQVJQ-JJXSEGSLSA-N 0 1 324.896 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccccc1F ZINC001154620442 1088680066 /nfs/dbraw/zinc/68/00/66/1088680066.db2.gz JGFLHNPFKYEBJE-CQSZACIVSA-N 0 1 306.425 3.365 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccnc(Cl)c1 ZINC001154620461 1088680614 /nfs/dbraw/zinc/68/06/14/1088680614.db2.gz JVUNOIUNNTWNNN-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@]1(C)C=CCC1 ZINC001154683409 1088712920 /nfs/dbraw/zinc/71/29/20/1088712920.db2.gz YTXUJFLYKWGWAB-WIYYLYMNSA-N 0 1 324.468 3.221 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C1CCC1 ZINC001272669468 1088725337 /nfs/dbraw/zinc/72/53/37/1088725337.db2.gz DAYBAFKBVJAYRY-MRXNPFEDSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(C)CCC(=O)NCCC1=CCN(Cc2cccnc2)CC1 ZINC001160268597 1088730465 /nfs/dbraw/zinc/73/04/65/1088730465.db2.gz FVJGKQKYCSDLGY-UHFFFAOYSA-N 0 1 313.445 3.076 20 30 DGEDMN C=C(C)CCC(=O)NCCC1=CCN(Cc2cncs2)CC1 ZINC001160264442 1088731266 /nfs/dbraw/zinc/73/12/66/1088731266.db2.gz PRKOMAYOAQILFK-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cscc1C ZINC001089622865 1088840400 /nfs/dbraw/zinc/84/04/00/1088840400.db2.gz KHSCAZLIJKJYLW-CQSZACIVSA-N 0 1 306.475 3.463 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1=CCCCCC1 ZINC001089632338 1088854206 /nfs/dbraw/zinc/85/42/06/1088854206.db2.gz VXWOSSRUPFRBSE-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001154957319 1088854205 /nfs/dbraw/zinc/85/42/05/1088854205.db2.gz CJDMZJONJZCLRP-OAHLLOKOSA-N 0 1 303.450 3.073 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(CCCC)cc2)CC1 ZINC001160628072 1088896415 /nfs/dbraw/zinc/89/64/15/1088896415.db2.gz IRQDQKOMYBNPPW-UHFFFAOYSA-N 0 1 324.468 3.414 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(CC)Cc2ccccn2)CC1 ZINC001155027994 1088904878 /nfs/dbraw/zinc/90/48/78/1088904878.db2.gz GWZCQGAGMBGRLM-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1conc1C(C)C ZINC001089650000 1088911561 /nfs/dbraw/zinc/91/15/61/1088911561.db2.gz RCPDWXGKHAHWOD-CQSZACIVSA-N 0 1 319.449 3.204 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001155066376 1088928201 /nfs/dbraw/zinc/92/82/01/1088928201.db2.gz GZFMWRWOOCQDAV-DNVCBOLYSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CCOCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC/C=C/CCC ZINC001483822898 1088939285 /nfs/dbraw/zinc/93/92/85/1088939285.db2.gz PQIHSOATXWSOEE-QCUYKYDPSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001483840226 1088955091 /nfs/dbraw/zinc/95/50/91/1088955091.db2.gz ASUNTEYNOUMAQT-JZLODUJNSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1nc2ccccc2c(C)c1C ZINC001155218393 1089013700 /nfs/dbraw/zinc/01/37/00/1089013700.db2.gz UCBFZGFAGJSUCL-INIZCTEOSA-N 0 1 323.440 3.232 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@H](C)c1ccco1 ZINC001483951436 1089041253 /nfs/dbraw/zinc/04/12/53/1089041253.db2.gz FOMZRGTVHZMZAW-JSGCOSHPSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160992530 1089063317 /nfs/dbraw/zinc/06/33/17/1089063317.db2.gz ZDBNBJOUYHXFMF-UVCVVPOWSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN(C(=O)c1cccs1)C1CCN(C[C@H](F)CC)CC1 ZINC001483979101 1089063615 /nfs/dbraw/zinc/06/36/15/1089063615.db2.gz ODYCAYLIEANBDM-CQSZACIVSA-N 0 1 322.449 3.036 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001089675763 1089114811 /nfs/dbraw/zinc/11/48/11/1089114811.db2.gz PFNMVKBGRKSMJR-XMTFNYHQSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(OC)cs1 ZINC001089680054 1089133765 /nfs/dbraw/zinc/13/37/65/1089133765.db2.gz FLXZDZPCLKRJKI-ZDUSSCGKSA-N 0 1 322.474 3.163 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001155489555 1089135026 /nfs/dbraw/zinc/13/50/26/1089135026.db2.gz FVNGSYGEBAWSCO-CYBMUJFWSA-N 0 1 323.506 3.380 20 30 DGEDMN C=C1CC(C)(C(=O)NCCC2=CCN(C[C@@H](F)CC)CC2)C1 ZINC001161178582 1089141521 /nfs/dbraw/zinc/14/15/21/1089141521.db2.gz VUKYSNOJJBUMBN-INIZCTEOSA-N 0 1 308.441 3.229 20 30 DGEDMN C=CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001089690994 1089182989 /nfs/dbraw/zinc/18/29/89/1089182989.db2.gz HUVJMQFPEVNCIW-LBPRGKRZSA-N 0 1 309.841 3.075 20 30 DGEDMN C=CC[N@@H+]1CC=C(CCNC(=O)c2cc(F)c(F)c(F)c2)CC1 ZINC001161314231 1089187063 /nfs/dbraw/zinc/18/70/63/1089187063.db2.gz POEDLXJISBLKBM-UHFFFAOYSA-N 0 1 324.346 3.042 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001484139426 1089216773 /nfs/dbraw/zinc/21/67/73/1089216773.db2.gz MJCCITHPKAGCIR-HOTGVXAUSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)CC2CCCCC2)CC1 ZINC001161375785 1089216954 /nfs/dbraw/zinc/21/69/54/1089216954.db2.gz AFRYKUQPAZMURL-KRWDZBQOSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc2cc[nH]c21 ZINC001089698799 1089232005 /nfs/dbraw/zinc/23/20/05/1089232005.db2.gz CMUMKEYWYNEJMC-MRXNPFEDSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1Cl ZINC001089723689 1089303332 /nfs/dbraw/zinc/30/33/32/1089303332.db2.gz NLZBNNNBXQKGGF-AWEZNQCLSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1Cl ZINC001089723689 1089303350 /nfs/dbraw/zinc/30/33/50/1089303350.db2.gz NLZBNNNBXQKGGF-AWEZNQCLSA-N 0 1 318.848 3.194 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1coc(CCC)n1 ZINC001089725665 1089304940 /nfs/dbraw/zinc/30/49/40/1089304940.db2.gz KFITUUAPLJIJRX-AWEZNQCLSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(Cl)c1 ZINC001089727211 1089307213 /nfs/dbraw/zinc/30/72/13/1089307213.db2.gz VRVCEERHBFCHJX-MRXNPFEDSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(C)c1C ZINC001089729624 1089307315 /nfs/dbraw/zinc/30/73/15/1089307315.db2.gz RGRVVUPBJVLUKM-QGZVFWFLSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(CC)cc1 ZINC001089728809 1089307422 /nfs/dbraw/zinc/30/74/22/1089307422.db2.gz DDWYQHFEBUWKBX-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CC(C(C)(C)C)C1 ZINC001089734468 1089315366 /nfs/dbraw/zinc/31/53/66/1089315366.db2.gz QXNGZZPBBCJKNT-OFLPRAFFSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(CF)cc1 ZINC001089735270 1089315643 /nfs/dbraw/zinc/31/56/43/1089315643.db2.gz AUYFYAYTVMSRNJ-KRWDZBQOSA-N 0 1 316.420 3.010 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CC(C)(C)C1)c1ccccc1CC ZINC001484324507 1089337412 /nfs/dbraw/zinc/33/74/12/1089337412.db2.gz LRDGROJVOXCXNI-GOSISDBHSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C[C@@H](CC)c1ccccc1 ZINC001484464959 1089434932 /nfs/dbraw/zinc/43/49/32/1089434932.db2.gz LRBRBEJMRSTBJD-YZGWKJHDSA-N 0 1 324.468 3.269 20 30 DGEDMN O=C([C@@H]1C[C@H]1c1cnc[nH]1)N(O)Cc1cccc2ccccc21 ZINC001161843064 1089437048 /nfs/dbraw/zinc/43/70/48/1089437048.db2.gz ZKCUJABWJSGWSU-HZPDHXFCSA-N 0 1 307.353 3.084 20 30 DGEDMN C[C@H]1CCCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913587 1089467122 /nfs/dbraw/zinc/46/71/22/1089467122.db2.gz BNKGIOBEGHQKRO-SMDDNHRTSA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@@H]1CC(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@@H](C)C1 ZINC001161914169 1089467292 /nfs/dbraw/zinc/46/72/92/1089467292.db2.gz NLLBOYJUUCUJBH-RYUDHWBXSA-N 0 1 323.400 3.354 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1C=CCC1 ZINC001484553725 1089488535 /nfs/dbraw/zinc/48/85/35/1089488535.db2.gz UUCPIUYMSWCYBN-KRWDZBQOSA-N 0 1 310.441 3.114 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1oc(C)cc1C ZINC001484555521 1089496941 /nfs/dbraw/zinc/49/69/41/1089496941.db2.gz PNBQBTJUWCGQBX-UHFFFAOYSA-N 0 1 324.424 3.282 20 30 DGEDMN CC(CC(=O)OC(C)C)=Nc1nc(-c2ccncc2)ccc1C#N ZINC001156515131 1089559141 /nfs/dbraw/zinc/55/91/41/1089559141.db2.gz XCFQUVKMVBVFLF-RAXLEYEMSA-N 0 1 322.368 3.283 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2C2CCCC2)CCC1 ZINC001484655636 1089573157 /nfs/dbraw/zinc/57/31/57/1089573157.db2.gz GAJLIVXKDUVAIF-LSDHHAIUSA-N 0 1 310.869 3.194 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001484704015 1089589050 /nfs/dbraw/zinc/58/90/50/1089589050.db2.gz LAFGGIQCTYAXKU-CQSZACIVSA-N 0 1 317.458 3.057 20 30 DGEDMN CN1CCC(C#N)(Nc2cc(Cl)nc3ccsc32)CC1 ZINC001162227155 1089619435 /nfs/dbraw/zinc/61/94/35/1089619435.db2.gz KNPUCOWAAFMCSU-UHFFFAOYSA-N 0 1 306.822 3.350 20 30 DGEDMN CN1CCC(C#N)(Nc2ccc3ccc4cccnc4c3n2)CC1 ZINC001162227416 1089619986 /nfs/dbraw/zinc/61/99/86/1089619986.db2.gz SPAYHTHZJIQFOQ-UHFFFAOYSA-N 0 1 317.396 3.183 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@@H]1CCCN(CCF)C1 ZINC001484772286 1089671096 /nfs/dbraw/zinc/67/10/96/1089671096.db2.gz QBUVADGGHUPJSK-SJORKVTESA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)/C(C)=C\CC)O2 ZINC001484781243 1089673462 /nfs/dbraw/zinc/67/34/62/1089673462.db2.gz KGYCADATJGNNIC-LYXSOASSSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCCCOC)cc2C1 ZINC001484875111 1089719601 /nfs/dbraw/zinc/71/96/01/1089719601.db2.gz URWLBZIUPWTLBE-UHFFFAOYSA-N 0 1 316.445 3.011 20 30 DGEDMN C[C@H](Nc1nc[nH]c2nc(=S)sc1-2)c1cccc(C#N)c1 ZINC001156875978 1089727384 /nfs/dbraw/zinc/72/73/84/1089727384.db2.gz DSYOLZJOBQUMJN-QMMMGPOBSA-N 0 1 313.411 3.420 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C(C)(C)CCCCC)C(C)(C)C1 ZINC001484943255 1089776549 /nfs/dbraw/zinc/77/65/49/1089776549.db2.gz WVJCAFLJEZYNTB-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCC(C)(C)C1 ZINC001485044685 1089865237 /nfs/dbraw/zinc/86/52/37/1089865237.db2.gz WWRJJEXSVMTHQB-QXAKKESOSA-N 0 1 324.468 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC1CCCCC1 ZINC001157486984 1089993272 /nfs/dbraw/zinc/99/32/72/1089993272.db2.gz KWUGZPAEUAWSGZ-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C(C)(C)C1CCCC1 ZINC001485159357 1089997921 /nfs/dbraw/zinc/99/79/21/1089997921.db2.gz WXKBSKOVPIQPTQ-CHWSQXEVSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@H](C)NCc2nc(C)cs2)CC1 ZINC001485186943 1090015690 /nfs/dbraw/zinc/01/56/90/1090015690.db2.gz AEDYLTWONFWOOV-KBPBESRZSA-N 0 1 321.490 3.181 20 30 DGEDMN N#Cc1ccc(-c2ccc(NCc3noc4c3CNCC4)cc2)o1 ZINC001163133759 1090086718 /nfs/dbraw/zinc/08/67/18/1090086718.db2.gz CYHSIIQAASHFLG-UHFFFAOYSA-N 0 1 320.352 3.064 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C1=CCCCCC1 ZINC001157871511 1090135938 /nfs/dbraw/zinc/13/59/38/1090135938.db2.gz BREOFGPOSFIFSK-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCCc1ccsc1 ZINC001158107580 1090184789 /nfs/dbraw/zinc/18/47/89/1090184789.db2.gz WTYUMUATSTVJKS-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001158123827 1090187429 /nfs/dbraw/zinc/18/74/29/1090187429.db2.gz DHIVNDKSMNUSHJ-RTBURBONSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001485517977 1090199292 /nfs/dbraw/zinc/19/92/92/1090199292.db2.gz TWOLOHJLVRUHEY-GKUQOKNUSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CC[C@H](C)CC)C2)C1 ZINC001485554916 1090208010 /nfs/dbraw/zinc/20/80/10/1090208010.db2.gz FTKKXCFGLNMWCA-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)[C@@H](C)CC)C2)C1 ZINC001485553596 1090208028 /nfs/dbraw/zinc/20/80/28/1090208028.db2.gz SXUQHURGUCXVGN-PKOBYXMFSA-N 0 1 324.509 3.306 20 30 DGEDMN Cn1nnc2ccc(NC(=N)c3ccc(C(F)(F)F)cc3)cc21 ZINC001163683419 1090232597 /nfs/dbraw/zinc/23/25/97/1090232597.db2.gz BVFRMYKEVKYPTM-UHFFFAOYSA-N 0 1 319.290 3.024 20 30 DGEDMN CC(=O)C=C(C)Nc1nc(CN(C)C)nc2sc(C)c(C)c21 ZINC001163737012 1090246042 /nfs/dbraw/zinc/24/60/42/1090246042.db2.gz LEKQNIJMFOXLCA-CLFYSBASSA-N 0 1 318.446 3.274 20 30 DGEDMN COc1ccc(O[C@H](C)CNc2c[nH]c3c(C#N)cnc-3c2)cc1 ZINC001164050559 1090327074 /nfs/dbraw/zinc/32/70/74/1090327074.db2.gz MQTDMQWCEFKLEB-GFCCVEGCSA-N 0 1 322.368 3.323 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164233415 1090382173 /nfs/dbraw/zinc/38/21/73/1090382173.db2.gz GCHYHBFWDBSQQN-ZIAGYGMSSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C=C(\C)C3CC3)CCC[C@@H]12 ZINC001107305330 1090416505 /nfs/dbraw/zinc/41/65/05/1090416505.db2.gz LLJISSMZUUPKSB-ZODAKWMJSA-N 0 1 322.880 3.456 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(c1nc3[nH]cnc3cc1Cl)C2 ZINC001164482064 1090430821 /nfs/dbraw/zinc/43/08/21/1090430821.db2.gz QFIVCAMJDGYTFA-UHFFFAOYSA-N 0 1 309.760 3.046 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]12CCC[C@H]1N(CC(=C)Cl)CC2 ZINC001107495072 1090448528 /nfs/dbraw/zinc/44/85/28/1090448528.db2.gz INNFBFOFUUQXCY-RHSMWYFYSA-N 0 1 310.869 3.312 20 30 DGEDMN CC(C)(C)N1CCN(c2nc(Cl)cc(Cl)c2C#N)CC1 ZINC001165092481 1090463872 /nfs/dbraw/zinc/46/38/72/1090463872.db2.gz BUKVPPINWBUXGI-UHFFFAOYSA-N 0 1 313.232 3.181 20 30 DGEDMN CC(C)N1CCN(c2nc(Cl)cc(Cl)c2C#N)C[C@H]1C ZINC001165200259 1090478964 /nfs/dbraw/zinc/47/89/64/1090478964.db2.gz DJYWRPIMCPGUMN-SNVBAGLBSA-N 0 1 313.232 3.179 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C(CC)CC)C1 ZINC001107755675 1090499288 /nfs/dbraw/zinc/49/92/88/1090499288.db2.gz HZXJOIITQTULLP-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CCCC(C)(C)C)C1 ZINC001107810799 1090507205 /nfs/dbraw/zinc/50/72/05/1090507205.db2.gz HFYWLGFORYSBGR-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CN(CCC2=CCCCC2)CCO1 ZINC001107802565 1090507765 /nfs/dbraw/zinc/50/77/65/1090507765.db2.gz UMXJAWKJFDFNFY-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN Cc1nc(NCC2CN(Cc3ccccc3)C2)c(C#N)c(C)c1C ZINC001165442157 1090521371 /nfs/dbraw/zinc/52/13/71/1090521371.db2.gz LJFQKAFRYFEPTP-UHFFFAOYSA-N 0 1 320.440 3.422 20 30 DGEDMN C=CCCCC(=O)NCCCN[C@@H](C)c1nc2ccccc2o1 ZINC001165542839 1090529142 /nfs/dbraw/zinc/52/91/42/1090529142.db2.gz NQDZOICSGLRQPU-AWEZNQCLSA-N 0 1 315.417 3.341 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CCC(=C)C)C1 ZINC001107976440 1090606638 /nfs/dbraw/zinc/60/66/38/1090606638.db2.gz MMNXCOLRTJPRIZ-IBGZPJMESA-N 0 1 322.493 3.296 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCCCC(C)(C)C ZINC001128044517 1090633859 /nfs/dbraw/zinc/63/38/59/1090633859.db2.gz SIWXKGZHRLXIMX-UHFFFAOYSA-N 0 1 319.287 3.207 20 30 DGEDMN Nc1ccc(C=[NH+][N-]c2ncnc3ccsc32)cc1Cl ZINC001116364923 1090640051 /nfs/dbraw/zinc/64/00/51/1090640051.db2.gz BAVBNCQOYKFMKK-UHFFFAOYSA-N 0 1 303.778 3.373 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCC1(CNCc2cscn2)CC1 ZINC001166755364 1090652058 /nfs/dbraw/zinc/65/20/58/1090652058.db2.gz ITYBBOWUFZDQKY-CQSZACIVSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C2(C)CCCCCC2)C1 ZINC001108001403 1090658099 /nfs/dbraw/zinc/65/80/99/1090658099.db2.gz CIYOHMDMVPCNFN-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@]23CCN(C2CCCC2)C3=O)cc1 ZINC001272908452 1090677726 /nfs/dbraw/zinc/67/77/26/1090677726.db2.gz WPTOITKKFIGFFX-HXUWFJFHSA-N 0 1 323.440 3.068 20 30 DGEDMN CCC[C@@H](C)CCCC(=O)NCCNCc1ccccc1C#N ZINC001128151696 1090695577 /nfs/dbraw/zinc/69/55/77/1090695577.db2.gz AHCTUSCQYXDGMY-MRXNPFEDSA-N 0 1 315.461 3.371 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001485854250 1090709142 /nfs/dbraw/zinc/70/91/42/1090709142.db2.gz QCWYLHXDVOUTSZ-CYBMUJFWSA-N 0 1 307.438 3.009 20 30 DGEDMN CC[C@@H](C)CCCCC(=O)NCCNCC#Cc1ccccc1 ZINC001128158268 1090740785 /nfs/dbraw/zinc/74/07/85/1090740785.db2.gz DXOUDJKNURXKKU-GOSISDBHSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(Br)CN[C@@H]1CCN(C(=O)CCCCC)[C@@H]1C ZINC001486070450 1090742104 /nfs/dbraw/zinc/74/21/04/1090742104.db2.gz CZPLTZKSOQNBCQ-CHWSQXEVSA-N 0 1 317.271 3.054 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2c(F)cccc2F)C[C@H]1C ZINC001486109250 1090751750 /nfs/dbraw/zinc/75/17/50/1090751750.db2.gz WMLKEIYDKOPHCO-CHWSQXEVSA-N 0 1 308.372 3.010 20 30 DGEDMN CC#CCCCC(=O)N[C@]12CCC[C@H]1N(C/C=C\Cl)CC2 ZINC001486149745 1090765078 /nfs/dbraw/zinc/76/50/78/1090765078.db2.gz PVHQPDXBPGEHAX-DLCBVTIDSA-N 0 1 308.853 3.046 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cc(Br)ccc2O)CC1 ZINC001203645968 1090772904 /nfs/dbraw/zinc/77/29/04/1090772904.db2.gz XFRDTLLDFNBYRJ-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN Cc1cc(CN(C)CCN(C(=O)C#CC2CC2)C(C)C)cs1 ZINC001486368601 1090809138 /nfs/dbraw/zinc/80/91/38/1090809138.db2.gz URLLYXRLJFAEHA-UHFFFAOYSA-N 0 1 318.486 3.139 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C)c(C)c1Cl)C(C)C ZINC001486378398 1090815195 /nfs/dbraw/zinc/81/51/95/1090815195.db2.gz IOXJXVPOYVXSJD-UHFFFAOYSA-N 0 1 320.864 3.372 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](C=C)c1ccccc1)C(C)C ZINC001486380707 1090816952 /nfs/dbraw/zinc/81/69/52/1090816952.db2.gz QRHDXVWMDMATLU-GOSISDBHSA-N 0 1 312.457 3.148 20 30 DGEDMN N#Cc1ccc(CN2CCC(O)(c3ccccc3F)CC2)cc1 ZINC001203904062 1090833987 /nfs/dbraw/zinc/83/39/87/1090833987.db2.gz SLYANNYSHQBXKT-UHFFFAOYSA-N 0 1 310.372 3.181 20 30 DGEDMN Cc1ccnc(O[C@H]2CCCN(Cc3ccc(C#N)cc3)C2)c1 ZINC001203906268 1090835802 /nfs/dbraw/zinc/83/58/02/1090835802.db2.gz LELJEXCNTKQYST-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CC(=O)Nc1ccc(CN2CCc3ccc(C#N)cc3C2)cc1 ZINC001203924067 1090839801 /nfs/dbraw/zinc/83/98/01/1090839801.db2.gz UEPISPGYSVVKJX-UHFFFAOYSA-N 0 1 305.381 3.075 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NCc2nc(C)c(C)s2)C1 ZINC001486463232 1090847893 /nfs/dbraw/zinc/84/78/93/1090847893.db2.gz WQUKXVTWSHQVJT-UHFFFAOYSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCCCCC(=O)NCC1=CCN(Cc2ccsc2)CC1 ZINC001486506636 1090857410 /nfs/dbraw/zinc/85/74/10/1090857410.db2.gz HFLCGUPFXZGGFJ-UHFFFAOYSA-N 0 1 316.470 3.190 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001128246046 1090923242 /nfs/dbraw/zinc/92/32/42/1090923242.db2.gz OTKTWVGPPYKFLT-OAHLLOKOSA-N 0 1 322.399 3.260 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1c(C)cccc1Cl ZINC001128246176 1090923596 /nfs/dbraw/zinc/92/35/96/1090923596.db2.gz SKDGXWJTEZZASC-UHFFFAOYSA-N 0 1 308.853 3.457 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2C#N)CCC[C@@]1(O)C(F)(F)F ZINC001204368308 1090957398 /nfs/dbraw/zinc/95/73/98/1090957398.db2.gz MZGVXBOBLQYVPB-WFASDCNBSA-N 0 1 312.335 3.084 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2C#N)CCC[C@@]1(O)C(F)(F)F ZINC001204368308 1090957400 /nfs/dbraw/zinc/95/74/00/1090957400.db2.gz MZGVXBOBLQYVPB-WFASDCNBSA-N 0 1 312.335 3.084 20 30 DGEDMN C=CCN1C(=O)CCC[C@@]12CCCN(Cc1ccccc1C#N)C2 ZINC001204367362 1090957852 /nfs/dbraw/zinc/95/78/52/1090957852.db2.gz IKIKSADPXLAGQD-FQEVSTJZSA-N 0 1 323.440 3.091 20 30 DGEDMN CC(C)Oc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001204453399 1090972571 /nfs/dbraw/zinc/97/25/71/1090972571.db2.gz MJDCYWNOZMVBQP-INIZCTEOSA-N 0 1 303.427 3.248 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001276469396 1090985907 /nfs/dbraw/zinc/98/59/07/1090985907.db2.gz WDYAMHDOUORTFM-KSSFIOAISA-N 0 1 318.436 3.188 20 30 DGEDMN CN1CC[NH+](Cc2ccc(Nc3ccc(C#N)cc3)cc2)CC1 ZINC001204746821 1091027948 /nfs/dbraw/zinc/02/79/48/1091027948.db2.gz FGALTFYUKFQGMF-UHFFFAOYSA-N 0 1 306.413 3.049 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)CC2(C)CC2)C1 ZINC001108080003 1091030731 /nfs/dbraw/zinc/03/07/31/1091030731.db2.gz BGLUSSYSKUBMIC-LJQANCHMSA-N 0 1 324.509 3.354 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@H](CC)CCCC)C1 ZINC001108080045 1091030745 /nfs/dbraw/zinc/03/07/45/1091030745.db2.gz CUQKORQSWBUNCV-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CC2(C)CC2)C1 ZINC001108081074 1091031773 /nfs/dbraw/zinc/03/17/73/1091031773.db2.gz SUERSXPLQBDQNQ-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN N#C[C@H]1CN(Cc2cccc(C(F)(F)F)c2Cl)CCC1=O ZINC001205050732 1091090804 /nfs/dbraw/zinc/09/08/04/1091090804.db2.gz YIYIXSOTBKXNSA-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CN(CC/C=C\CCC)CCO1 ZINC001108120376 1091101212 /nfs/dbraw/zinc/10/12/12/1091101212.db2.gz YWUVHKWJXFQQBE-ZBTAVZRMSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108147879 1091154187 /nfs/dbraw/zinc/15/41/87/1091154187.db2.gz FOMXJMJUPBOTNK-TXKXQZRUSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C(CCC)CCC)C1 ZINC001108164050 1091192036 /nfs/dbraw/zinc/19/20/36/1091192036.db2.gz SWPPUTTUPVQWLQ-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C#CCOc1ccc(CN2CCC(Oc3ccccn3)CC2)cc1 ZINC001138558736 1091228380 /nfs/dbraw/zinc/22/83/80/1091228380.db2.gz GWFIZPGHOHJORD-UHFFFAOYSA-N 0 1 322.408 3.137 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccc3c2OCO3)cc1 ZINC001138560638 1091229405 /nfs/dbraw/zinc/22/94/05/1091229405.db2.gz DRPFWGDGVNITLO-UHFFFAOYSA-N 0 1 309.365 3.059 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1ccc(F)c(C#N)c1 ZINC001205496679 1091235332 /nfs/dbraw/zinc/23/53/32/1091235332.db2.gz KUSYRONDUGZUHS-OAHLLOKOSA-N 0 1 318.392 3.394 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC001108168504 1091256293 /nfs/dbraw/zinc/25/62/93/1091256293.db2.gz BOLAKWOAJKNKQO-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C(C)(C)CC)C1 ZINC001108171868 1091273871 /nfs/dbraw/zinc/27/38/71/1091273871.db2.gz TYPVAXIUGQQKQM-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(F)(F)[C@@H](CO)C1 ZINC001138662098 1091279637 /nfs/dbraw/zinc/27/96/37/1091279637.db2.gz ONAUCUMGIFHKOM-OAHLLOKOSA-N 0 1 311.372 3.091 20 30 DGEDMN N#CCc1ccc(F)cc1-c1ccc(CCN2CCOCC2)cc1 ZINC001205655570 1091300286 /nfs/dbraw/zinc/30/02/86/1091300286.db2.gz YBTIQKLFIMNJLK-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN N#CCc1c(F)cccc1-c1ccc(CCN2CCOCC2)cc1 ZINC001205679312 1091310818 /nfs/dbraw/zinc/31/08/18/1091310818.db2.gz HUNGJWOGPNLQLH-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108188640 1091374865 /nfs/dbraw/zinc/37/48/65/1091374865.db2.gz WJPBTEZUBMGNFL-MOPGFXCFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108190271 1091375883 /nfs/dbraw/zinc/37/58/83/1091375883.db2.gz SQMVIDQRKXCKSE-RBUKOAKNSA-N 0 1 324.509 3.376 20 30 DGEDMN CC(C)Oc1cccc(C[N@@H+]2CC[C@@H]3SC(=O)C=C3C2)c1 ZINC001138982692 1091407595 /nfs/dbraw/zinc/40/75/95/1091407595.db2.gz HKRLRVFCNIDGIV-INIZCTEOSA-N 0 1 303.427 3.248 20 30 DGEDMN CC(C)Oc1cccc(CN2CCC3SC(=O)C=C3C2)c1 ZINC001138982692 1091407606 /nfs/dbraw/zinc/40/76/06/1091407606.db2.gz HKRLRVFCNIDGIV-INIZCTEOSA-N 0 1 303.427 3.248 20 30 DGEDMN C=CCOc1ccccc1CN1CC[C@]2(C1)CC(F)(F)CO2 ZINC001139030369 1091425494 /nfs/dbraw/zinc/42/54/94/1091425494.db2.gz LOZGHZHMVMVFHI-MRXNPFEDSA-N 0 1 309.356 3.252 20 30 DGEDMN C#C[C@H](NC1CC(C)(C(=O)OCC)C1)c1ccc(Cl)cc1 ZINC001206084416 1091485727 /nfs/dbraw/zinc/48/57/27/1091485727.db2.gz JURHXAOUZWJIQP-CKDBGZEDSA-N 0 1 305.805 3.336 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3oc(C(F)F)cc3C)[C@@H]2C1 ZINC001084423925 1091488042 /nfs/dbraw/zinc/48/80/42/1091488042.db2.gz HLOVHNDIPGGJMX-CHWSQXEVSA-N 0 1 324.371 3.248 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001084574038 1091504506 /nfs/dbraw/zinc/50/45/06/1091504506.db2.gz NJIRMNDXAQDZIB-HZPDHXFCSA-N 0 1 318.486 3.383 20 30 DGEDMN C#CCN(C)Cc1ccc(OCc2cnc(Cl)s2)cc1 ZINC001139304787 1091517413 /nfs/dbraw/zinc/51/74/13/1091517413.db2.gz OYGIWIGNKLCQQJ-UHFFFAOYSA-N 0 1 306.818 3.441 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3ccc4cc(O)ccc4c3)CCC2S1 ZINC001139336474 1091522696 /nfs/dbraw/zinc/52/26/96/1091522696.db2.gz PPZXUQICXFDZGE-KRWDZBQOSA-N 0 1 311.406 3.320 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](C)c3ccccc3C)[C@@H]2C1 ZINC001084700259 1091544948 /nfs/dbraw/zinc/54/49/48/1091544948.db2.gz YMGMZNLNCMHIHZ-NLWGTHIKSA-N 0 1 324.468 3.045 20 30 DGEDMN COC(=O)c1[nH]c2ccccc2c1CN1CCC[C@@H](C#N)CC1 ZINC001139399479 1091546651 /nfs/dbraw/zinc/54/66/51/1091546651.db2.gz LRVPUVOOSZFZKN-CYBMUJFWSA-N 0 1 311.385 3.080 20 30 DGEDMN C[C@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)C[C@H](C)O1 ZINC001139400566 1091547759 /nfs/dbraw/zinc/54/77/59/1091547759.db2.gz XJJQSPHXGOYJAJ-GJZGRUSLSA-N 0 1 307.397 3.229 20 30 DGEDMN CC(C)[C@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCO1 ZINC001139405553 1091550328 /nfs/dbraw/zinc/55/03/28/1091550328.db2.gz UIQLNSDPWJZMCT-HXUWFJFHSA-N 0 1 321.424 3.477 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(c4ccccc4)CC3(C)C)[C@@H]2C1 ZINC001084735961 1091551282 /nfs/dbraw/zinc/55/12/82/1091551282.db2.gz NWSGKJAUYKIXET-HGHGUNKESA-N 0 1 324.468 3.073 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001084774989 1091561596 /nfs/dbraw/zinc/56/15/96/1091561596.db2.gz NVSSVUNSAWWVLH-GVDBMIGSSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(Cl)cc3)[C@@H]2C1 ZINC001084791337 1091570516 /nfs/dbraw/zinc/57/05/16/1091570516.db2.gz XIKCTRAMJGUSCC-GDBMZVCRSA-N 0 1 304.821 3.062 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(CC(C)C)cc3)[C@@H]2C1 ZINC001084821991 1091583090 /nfs/dbraw/zinc/58/30/90/1091583090.db2.gz AZMKNICVQMWAHE-RTBURBONSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001084872224 1091601671 /nfs/dbraw/zinc/60/16/71/1091601671.db2.gz AYHKYLLAYYPKMR-BRWVUGGUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CCN(C[C@H](F)CC)[C@H]2C1 ZINC001085004734 1091636566 /nfs/dbraw/zinc/63/65/66/1091636566.db2.gz GELAPUWACJILME-OAGGEKHMSA-N 0 1 310.457 3.260 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1cccc(C)c1F ZINC001273253170 1091638350 /nfs/dbraw/zinc/63/83/50/1091638350.db2.gz HFKDBIGGFWXADR-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN CCOC(=O)C[C@H]1CCCN(Cc2ccc(C)c(C#N)c2)C1 ZINC001139722814 1091654305 /nfs/dbraw/zinc/65/43/05/1091654305.db2.gz HOHKEAXLZMVCKM-OAHLLOKOSA-N 0 1 300.402 3.032 20 30 DGEDMN C=CCn1cc(CN2CCC[C@@H](Oc3ccc(C)cc3)C2)cn1 ZINC001139770124 1091667845 /nfs/dbraw/zinc/66/78/45/1091667845.db2.gz DMFMGTWEWDJTHH-LJQANCHMSA-N 0 1 311.429 3.421 20 30 DGEDMN COc1ncccc1CN1CCC(C#Cc2ccccc2)CC1 ZINC001206595405 1091683146 /nfs/dbraw/zinc/68/31/46/1091683146.db2.gz APAGVRXIEFPQGV-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN Cc1ccc(CN2CCC(Oc3ccccc3C#N)CC2)nc1 ZINC001139840577 1091688105 /nfs/dbraw/zinc/68/81/05/1091688105.db2.gz ZHIGMJTUPLZGJV-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN N#Cc1cc(Nc2ccc3cc4ccc(N)cc4nc3c2)ccn1 ZINC001206627348 1091694921 /nfs/dbraw/zinc/69/49/21/1091694921.db2.gz WGWFEPSOULCTOO-UHFFFAOYSA-N 0 1 311.348 3.402 20 30 DGEDMN C=CCN1CC[C@@]2(CCN(Cc3cccc(Cl)c3F)C2)C1=O ZINC001273291030 1091707271 /nfs/dbraw/zinc/70/72/71/1091707271.db2.gz NDBFUZYCBZSVCH-QGZVFWFLSA-N 0 1 322.811 3.090 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@]2(C)CN(CCCCCC)CCO2)C1 ZINC001108201659 1091712270 /nfs/dbraw/zinc/71/22/70/1091712270.db2.gz PKXVXSSJHAXDSB-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN Cn1ncc2cc(CN3CCc4ccc(C#N)cc4C3)ccc21 ZINC001139948492 1091721164 /nfs/dbraw/zinc/72/11/64/1091721164.db2.gz GBPYXIKQZJGAKB-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCCN(Cc2ccc(C#N)cc2F)C1 ZINC001140136321 1091780890 /nfs/dbraw/zinc/78/08/90/1091780890.db2.gz VKMGKEJOEHIDCX-GOSISDBHSA-N 0 1 318.392 3.253 20 30 DGEDMN N#Cc1cccc(CNCc2ccnc(-c3ccccc3)c2)n1 ZINC001207026812 1091823113 /nfs/dbraw/zinc/82/31/13/1091823113.db2.gz VATAZALMAWZRQF-UHFFFAOYSA-N 0 1 300.365 3.305 20 30 DGEDMN C#CCCCC(=O)N(CC)CCN[C@@H](C)c1ccc(F)cc1F ZINC001273347956 1091848271 /nfs/dbraw/zinc/84/82/71/1091848271.db2.gz IZUXNIIOIJQBLT-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1coc2ccc(CC)cc21 ZINC001085596981 1091848740 /nfs/dbraw/zinc/84/87/40/1091848740.db2.gz NNIGHQODDMXLDL-MRXNPFEDSA-N 0 1 324.424 3.165 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2cnc(-c3ccccc3F)nc2)C1 ZINC001140400041 1091851468 /nfs/dbraw/zinc/85/14/68/1091851468.db2.gz NLWFJLQVPIPVBQ-CQSZACIVSA-N 0 1 310.376 3.408 20 30 DGEDMN C=CCn1cccc1C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001085641382 1091867314 /nfs/dbraw/zinc/86/73/14/1091867314.db2.gz KWRCCRSELJAJAI-SFHVURJKSA-N 0 1 323.440 3.021 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001085648328 1091870608 /nfs/dbraw/zinc/87/06/08/1091870608.db2.gz JIZLULOEXCCUED-GUDVDZBRSA-N 0 1 316.489 3.149 20 30 DGEDMN CC(C)n1nccc1CN1CCC[C@H](Oc2cccc(C#N)c2)C1 ZINC001207175041 1091874679 /nfs/dbraw/zinc/87/46/79/1091874679.db2.gz VEJXQXDXKQWXMQ-IBGZPJMESA-N 0 1 324.428 3.379 20 30 DGEDMN C=CCN(CCN(C)Cc1ccc(C)nc1)C(=O)OC(C)(C)C ZINC001207204387 1091886600 /nfs/dbraw/zinc/88/66/00/1091886600.db2.gz LNDRMUVFBZWBFH-UHFFFAOYSA-N 0 1 319.449 3.245 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1ccc2c3c(cccc31)CC2 ZINC001085709089 1091892000 /nfs/dbraw/zinc/89/20/00/1091892000.db2.gz YIHVIZJTXGWTEO-QGZVFWFLSA-N 0 1 320.436 3.271 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccccc1CCC ZINC001085713293 1091896648 /nfs/dbraw/zinc/89/66/48/1091896648.db2.gz GWBMMEAYSYMJTL-KRWDZBQOSA-N 0 1 300.446 3.362 20 30 DGEDMN COc1cccc(CC2CCN(Cc3ccc(C#N)nc3)CC2)c1 ZINC001140545578 1091903483 /nfs/dbraw/zinc/90/34/83/1091903483.db2.gz FBNRHZHPHGGQSW-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](OCc3ccccc3)C2)cn1 ZINC001140545339 1091904454 /nfs/dbraw/zinc/90/44/54/1091904454.db2.gz ADOQCOWSVQVHIS-LJQANCHMSA-N 0 1 307.397 3.134 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CC[N@H+]2CC2=CCCCC2)cc1 ZINC001085756962 1091914773 /nfs/dbraw/zinc/91/47/73/1091914773.db2.gz VMOYSVPZEZJNQW-FQEVSTJZSA-N 0 1 322.452 3.315 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2CC2=CCCCC2)cc1 ZINC001085756962 1091914786 /nfs/dbraw/zinc/91/47/86/1091914786.db2.gz VMOYSVPZEZJNQW-FQEVSTJZSA-N 0 1 322.452 3.315 20 30 DGEDMN SC1CCN(CCc2cccc(Br)c2)CC1 ZINC001207510803 1091997492 /nfs/dbraw/zinc/99/74/92/1091997492.db2.gz YRZBPAAZMZZILB-UHFFFAOYSA-N 0 1 300.265 3.386 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cncc2sccc21 ZINC001085783065 1091931620 /nfs/dbraw/zinc/93/16/20/1091931620.db2.gz MGMPGOUPXDEAFR-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(Cl)c(OC)c1 ZINC001085854994 1091983829 /nfs/dbraw/zinc/98/38/29/1091983829.db2.gz MRCOKFYWOCZKBW-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(CCCc2ccccc2F)C1 ZINC001207496755 1091989714 /nfs/dbraw/zinc/98/97/14/1091989714.db2.gz GOTLIKJCABTRAR-MRXNPFEDSA-N 0 1 320.408 3.135 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](COCC3CC3)C2)c1Cl ZINC001140812990 1092013006 /nfs/dbraw/zinc/01/30/06/1092013006.db2.gz RGGRBXSILWIFKU-AWEZNQCLSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C2CC2)s1 ZINC001085925163 1092013877 /nfs/dbraw/zinc/01/38/77/1092013877.db2.gz PVMUMSYKFFOQTG-AWEZNQCLSA-N 0 1 304.459 3.348 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2cccc(C#N)c2Cl)CC1 ZINC001140812358 1092014541 /nfs/dbraw/zinc/01/45/41/1092014541.db2.gz WLOKVFAKLZACFR-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001085951700 1092039788 /nfs/dbraw/zinc/03/97/88/1092039788.db2.gz LAPGHSZFHLREFY-DOTOQJQBSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)nc2ccccc21 ZINC001085957688 1092047900 /nfs/dbraw/zinc/04/79/00/1092047900.db2.gz IECDZEMCICJJQV-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1sc(C(C)C)nc1C ZINC001085967724 1092063212 /nfs/dbraw/zinc/06/32/12/1092063212.db2.gz UDSVLAWROWXRAI-AWEZNQCLSA-N 0 1 321.490 3.297 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3ccc4c(C#N)c[nH]c4c3)[nH]c2c1 ZINC001298567469 1092073497 /nfs/dbraw/zinc/07/34/97/1092073497.db2.gz WOFVPAGEWXFSQK-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(CCCCC(F)(F)F)C1 ZINC001494719481 1092073703 /nfs/dbraw/zinc/07/37/03/1092073703.db2.gz AEXDWFRPXXDMRM-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)C)s1 ZINC001086008057 1092094434 /nfs/dbraw/zinc/09/44/34/1092094434.db2.gz AGDGGHBXKWSZCG-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(C)(CN2CCC[C@H](C#N)C2)CC1 ZINC001207815457 1092107445 /nfs/dbraw/zinc/10/74/45/1092107445.db2.gz UAVYLKXSDWKTPZ-OAHLLOKOSA-N 0 1 321.465 3.259 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)C(C)(C)C)C2)C1 ZINC001276546828 1092109287 /nfs/dbraw/zinc/10/92/87/1092109287.db2.gz BNUKUJNUUPIVKM-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN N#C[C@@H]1CN(CCCc2cccc(C(F)(F)F)c2)CCC1=O ZINC001207845668 1092118903 /nfs/dbraw/zinc/11/89/03/1092118903.db2.gz IPUJCZVGCPDWRW-CYBMUJFWSA-N 0 1 310.319 3.053 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001207859501 1092121950 /nfs/dbraw/zinc/12/19/50/1092121950.db2.gz AIBBAROJAUMSMN-UELRPHRMSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108246952 1092147426 /nfs/dbraw/zinc/14/74/26/1092147426.db2.gz YNEXAJNUDLYWLL-QWUACUGRSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1nc(C)c(C)s1 ZINC001273404168 1092148144 /nfs/dbraw/zinc/14/81/44/1092148144.db2.gz FRLDHBBEJVBGMX-UHFFFAOYSA-N 0 1 309.479 3.054 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)C(C)C)C1 ZINC001108253461 1092159247 /nfs/dbraw/zinc/15/92/47/1092159247.db2.gz KINJHIYKNDWXGR-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@H](CCC)C(C)C)C1 ZINC001108252760 1092161470 /nfs/dbraw/zinc/16/14/70/1092161470.db2.gz ZADLHRCRQLOACJ-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001317176030 1092176508 /nfs/dbraw/zinc/17/65/08/1092176508.db2.gz IYYRQXXIBRBYAI-QWHCGFSZSA-N 0 1 324.877 3.109 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1oc2ccccc2c1CC ZINC001491691135 1092200106 /nfs/dbraw/zinc/20/01/06/1092200106.db2.gz QUDDYNWYXJAVQF-GFCCVEGCSA-N 0 1 320.820 3.456 20 30 DGEDMN CN1CC2(C1)CN(Cc1ccc(Oc3ccc(C#N)cc3)cc1)C2 ZINC001141298588 1092200429 /nfs/dbraw/zinc/20/04/29/1092200429.db2.gz XUULQKXSKXQEPA-UHFFFAOYSA-N 0 1 319.408 3.098 20 30 DGEDMN CCCCC(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001491907841 1092287165 /nfs/dbraw/zinc/28/71/65/1092287165.db2.gz BMDVRIJBMDCPLA-CQSZACIVSA-N 0 1 319.474 3.233 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCCN(CC(=C)Cl)CC1 ZINC001273437160 1092295304 /nfs/dbraw/zinc/29/53/04/1092295304.db2.gz JIEYWHQIOYQPHI-INIZCTEOSA-N 0 1 310.869 3.293 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2cc(F)cc(F)c2O)cc1 ZINC001141620852 1092295333 /nfs/dbraw/zinc/29/53/33/1092295333.db2.gz STOCNXHKTRETMR-OAHLLOKOSA-N 0 1 316.307 3.227 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2cc(F)cc(F)c2O)cc1 ZINC001141620821 1092295345 /nfs/dbraw/zinc/29/53/45/1092295345.db2.gz STOCNXHKTRETMR-HNNXBMFYSA-N 0 1 316.307 3.227 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)CCCCC(C)C)C1 ZINC001108289869 1092309882 /nfs/dbraw/zinc/30/98/82/1092309882.db2.gz SKVKEIYAYNSZNH-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CCCCC(C)C)C1 ZINC001108289869 1092309894 /nfs/dbraw/zinc/30/98/94/1092309894.db2.gz SKVKEIYAYNSZNH-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1CN(Cc2ccc(C#N)cc2)C[C@H]1C ZINC001208350247 1092323706 /nfs/dbraw/zinc/32/37/06/1092323706.db2.gz GJVLHZCRTBHWLO-KFFNDLQMSA-N 0 1 323.440 3.017 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@](C)(CC)CCC)C1 ZINC001108306459 1092324087 /nfs/dbraw/zinc/32/40/87/1092324087.db2.gz KCVZRTBSNWTVAU-MOPGFXCFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001108306461 1092324516 /nfs/dbraw/zinc/32/45/16/1092324516.db2.gz KCVZRTBSNWTVAU-RBUKOAKNSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001108306460 1092325815 /nfs/dbraw/zinc/32/58/15/1092325815.db2.gz KCVZRTBSNWTVAU-OALUTQOASA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCCC(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001108359644 1092355703 /nfs/dbraw/zinc/35/57/03/1092355703.db2.gz HNMLHRCGXLBVGF-SFHVURJKSA-N 0 1 312.498 3.354 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208452476 1092357493 /nfs/dbraw/zinc/35/74/93/1092357493.db2.gz MTJHRIDCCWSUCH-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)C(CC)CC)C1 ZINC001208462668 1092359051 /nfs/dbraw/zinc/35/90/51/1092359051.db2.gz DOTMGEPXBOWLBX-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CCCCCC)C1 ZINC001108378977 1092361929 /nfs/dbraw/zinc/36/19/29/1092361929.db2.gz QZRDEQCQQNOHKZ-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN OC[C@@H]1CCCC[N@H+]1Cc1ccc(C#Cc2ccccc2)s1 ZINC001141972618 1092373419 /nfs/dbraw/zinc/37/34/19/1092373419.db2.gz NYBWFIXLTMSAEC-KRWDZBQOSA-N 0 1 311.450 3.495 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208508690 1092378731 /nfs/dbraw/zinc/37/87/31/1092378731.db2.gz FCHRBBMRRXYDBI-LSBZLQRGSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C2(CC)CCCCC2)C1 ZINC001108415117 1092426311 /nfs/dbraw/zinc/42/63/11/1092426311.db2.gz BSUBWKSZYZCCPV-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](CC)CCCCC)C1 ZINC001108414659 1092442149 /nfs/dbraw/zinc/44/21/49/1092442149.db2.gz QSZSNVJHRJFJBV-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001492310739 1092472315 /nfs/dbraw/zinc/47/23/15/1092472315.db2.gz IZSXPMYOAFPBNG-OAHLLOKOSA-N 0 1 315.461 3.179 20 30 DGEDMN CC[C@@H](NC[C@@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001169103624 1092533757 /nfs/dbraw/zinc/53/37/57/1092533757.db2.gz XBFLLQFJWSIMOF-HUUCEWRRSA-N 0 1 301.415 3.098 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1cccc2[nH]cnc21 ZINC001142772901 1092548668 /nfs/dbraw/zinc/54/86/68/1092548668.db2.gz DQJBLHJONKYMEE-GFCCVEGCSA-N 0 1 304.353 3.268 20 30 DGEDMN N#Cc1ccc(CCCN2CC3(C2)CC(F)(F)CS3)cc1 ZINC001208862646 1092548887 /nfs/dbraw/zinc/54/88/87/1092548887.db2.gz MFBBKAMROGMBPQ-UHFFFAOYSA-N 0 1 308.397 3.317 20 30 DGEDMN CCOC(=O)[C@H]1C[C@H](C)CCN1CCCc1ccc(C#N)cc1 ZINC001208862317 1092549236 /nfs/dbraw/zinc/54/92/36/1092549236.db2.gz ICFFYIGNWOVMPD-CRAIPNDOSA-N 0 1 314.429 3.154 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001276596351 1092559381 /nfs/dbraw/zinc/55/93/81/1092559381.db2.gz YGMBOGOJBWFMQG-FSBNRTBOSA-N 0 1 319.276 3.392 20 30 DGEDMN Cc1nc([C@H]2CCC[N@@H+](Cc3c[nH]c4cc(C#N)ccc34)C2)no1 ZINC001142912174 1092583229 /nfs/dbraw/zinc/58/32/29/1092583229.db2.gz WZRBJAXHMOHJHC-AWEZNQCLSA-N 0 1 321.384 3.111 20 30 DGEDMN N#Cc1ccc2c(CN3CCc4cc(O)ccc4C3)c[nH]c2c1 ZINC001142915498 1092584925 /nfs/dbraw/zinc/58/49/25/1092584925.db2.gz BKOIYIOWDDDSGQ-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN N#Cc1ccc(CCN2CC3(CCN3Cc3ccccc3)C2)cc1 ZINC001208926642 1092590982 /nfs/dbraw/zinc/59/09/82/1092590982.db2.gz WSHIYIQYUDPYBD-UHFFFAOYSA-N 0 1 317.436 3.061 20 30 DGEDMN COc1cc2c(cc1OC)CN(CCc1ccc(C#N)cc1)C2 ZINC001208929995 1092594324 /nfs/dbraw/zinc/59/43/24/1092594324.db2.gz YEPCZVSRFRFNMB-UHFFFAOYSA-N 0 1 308.381 3.134 20 30 DGEDMN COc1cc(C)cc(CN2CCN(c3cccc(C#N)c3)CC2)c1 ZINC001143160115 1092623866 /nfs/dbraw/zinc/62/38/66/1092623866.db2.gz KJHYSGKNCRMFHP-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN N#Cc1cnn(-c2ccccn2)c1Nc1ccc(Cl)c(O)c1 ZINC001212589617 1092658510 /nfs/dbraw/zinc/65/85/10/1092658510.db2.gz VOSPMYHDBRZUOA-UHFFFAOYSA-N 0 1 311.732 3.242 20 30 DGEDMN N#CCc1cc(NCCc2ccc(OC(F)(F)F)cc2)ccn1 ZINC001169353588 1092663920 /nfs/dbraw/zinc/66/39/20/1092663920.db2.gz QHUBJECKNSHDRW-UHFFFAOYSA-N 0 1 321.302 3.123 20 30 DGEDMN C=CCCCN1Cc2nn(CC3CC3)cc2[C@@H](COCC)C1 ZINC001209158918 1092675645 /nfs/dbraw/zinc/67/56/45/1092675645.db2.gz WJAJVTMFQBBGOA-MRXNPFEDSA-N 0 1 303.450 3.195 20 30 DGEDMN C=CCCCN1Cc2c(cnn2CC2CC2)[C@H](COCC)C1 ZINC001209159072 1092676718 /nfs/dbraw/zinc/67/67/18/1092676718.db2.gz ZQNMBEHQJJYQSG-INIZCTEOSA-N 0 1 303.450 3.195 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001209183441 1092683871 /nfs/dbraw/zinc/68/38/71/1092683871.db2.gz MVGKAFXRGSKHTB-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCCCC1(F)F)C1CC1 ZINC001276633472 1092737302 /nfs/dbraw/zinc/73/73/02/1092737302.db2.gz HFPFAHNAJGBKGV-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN Cc1ccc2cc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)[nH]c2c1 ZINC001149883434 1092738798 /nfs/dbraw/zinc/73/87/98/1092738798.db2.gz GEFDEUCKHOMQLF-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2c(s1)CCCCC2 ZINC001149914879 1092741658 /nfs/dbraw/zinc/74/16/58/1092741658.db2.gz KRISYIFBYMZOCJ-UHFFFAOYSA-N 0 1 312.866 3.089 20 30 DGEDMN C=CCCCCCN1CCCn2c(Cn3cccc3)nnc2C1 ZINC001209424529 1092769719 /nfs/dbraw/zinc/76/97/19/1092769719.db2.gz RZKRSUVASYLESI-UHFFFAOYSA-N 0 1 313.449 3.080 20 30 DGEDMN C=CCCCCCCN1CCO[C@@H]2C[C@@H](C(=O)NC(C)C)C[C@H]21 ZINC001209436878 1092775375 /nfs/dbraw/zinc/77/53/75/1092775375.db2.gz CJMSXQVHOIXFFO-RCCFBDPRSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCCCCCCCN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001209442132 1092776310 /nfs/dbraw/zinc/77/63/10/1092776310.db2.gz AQGCLLIPBQOBSQ-ZWKOTPCHSA-N 0 1 312.473 3.258 20 30 DGEDMN Cc1ccc(C)n1-c1ccc(CN2CCN(CCC#N)CC2)cc1 ZINC001209517362 1092805650 /nfs/dbraw/zinc/80/56/50/1092805650.db2.gz GBLPMHKWAABIPL-UHFFFAOYSA-N 0 1 322.456 3.125 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)CCCC2CCCCC2)C1 ZINC001150676908 1092807738 /nfs/dbraw/zinc/80/77/38/1092807738.db2.gz BMWHJMDAAOEWLE-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN N#CCOc1cc(F)ccc1NC(=O)c1cc(Cl)ccc1O ZINC001150696596 1092808910 /nfs/dbraw/zinc/80/89/10/1092808910.db2.gz ZUSJXYDMLMELLK-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN N#Cc1ccc(Nc2ccc(F)c(Br)c2O)cn1 ZINC001209646504 1092860666 /nfs/dbraw/zinc/86/06/66/1092860666.db2.gz KSUQADFAWAUOEZ-UHFFFAOYSA-N 0 1 308.110 3.304 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001209875037 1092960166 /nfs/dbraw/zinc/96/01/66/1092960166.db2.gz MMMRVACZDBHKHR-KIWPFMIBSA-N 0 1 319.276 3.488 20 30 DGEDMN N#Cc1cccc(C(=O)CO[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC001210369017 1093142580 /nfs/dbraw/zinc/14/25/80/1093142580.db2.gz LXYSJOIALNUYKC-IBGZPJMESA-N 0 1 320.392 3.032 20 30 DGEDMN CCS(=O)(=O)c1ccc(O)c(Nc2cc(C#N)ccc2C)c1 ZINC001210501075 1093190078 /nfs/dbraw/zinc/19/00/78/1093190078.db2.gz MWJGWMYZPOLOBJ-UHFFFAOYSA-N 0 1 316.382 3.110 20 30 DGEDMN C=C[C@@H](C(=O)N[C@@H]1CN(C/C=C\Cl)C[C@H]1C)c1ccccc1 ZINC001211241623 1093430892 /nfs/dbraw/zinc/43/08/92/1093430892.db2.gz NRMNOZQBWLISEY-OCNQGMCOSA-N 0 1 318.848 3.145 20 30 DGEDMN Cc1cc(Nc2cc(C#N)cc([N+](=O)[O-])c2)c2ccccc2n1 ZINC001211326887 1093460162 /nfs/dbraw/zinc/46/01/62/1093460162.db2.gz IKYNXQKIFPQZAR-UHFFFAOYSA-N 0 1 304.309 3.489 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1C[N@H+](CC/C=C\CCC)C[C@H]1OC ZINC001213320230 1093565845 /nfs/dbraw/zinc/56/58/45/1093565845.db2.gz PVRNUXQTYBUYCT-FSTZAIAGSA-N 0 1 322.493 3.151 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@](C)(CC)CCCCC)[C@H](OC)C1 ZINC001213943746 1093683958 /nfs/dbraw/zinc/68/39/58/1093683958.db2.gz HAWVYAYJTIUOEW-LMMKCTJWSA-N 0 1 324.509 3.375 20 30 DGEDMN COc1ccc(F)c(Nc2cc(C#N)c(F)cc2O)c1OC ZINC001214702663 1093836226 /nfs/dbraw/zinc/83/62/26/1093836226.db2.gz YGMVOUNKYMCJFW-UHFFFAOYSA-N 0 1 306.268 3.303 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215379728 1093972750 /nfs/dbraw/zinc/97/27/50/1093972750.db2.gz XUHVZKGLTNCCHH-XOFMHJBASA-N 0 1 319.276 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@](C)(CC)CCC)C[C@H]1C ZINC001216082221 1094119313 /nfs/dbraw/zinc/11/93/13/1094119313.db2.gz WDZDXLUKGQXYJQ-XPKDYRNWSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)n1ncc(C#N)c1Nc1cccc(CN(C)C)c1F ZINC001216228305 1094152761 /nfs/dbraw/zinc/15/27/61/1094152761.db2.gz ZZYYOKPLMXQMJM-UHFFFAOYSA-N 0 1 301.369 3.280 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](F)CCCCCC)C[C@H]1C ZINC001216392502 1094189238 /nfs/dbraw/zinc/18/92/38/1094189238.db2.gz GJXAGNJKIUNAIZ-BPLDGKMQSA-N 0 1 318.864 3.484 20 30 DGEDMN COc1c(F)ccc(Nc2cc(C#N)c(F)cc2O)c1OC ZINC001216394946 1094189799 /nfs/dbraw/zinc/18/97/99/1094189799.db2.gz AHHUADPNEBDSJO-UHFFFAOYSA-N 0 1 306.268 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H](CC)C(C)C)C[C@H]1C ZINC001216452427 1094201429 /nfs/dbraw/zinc/20/14/29/1094201429.db2.gz SAUVPIYOALVNFI-BPLDGKMQSA-N 0 1 300.874 3.248 20 30 DGEDMN CC(C)Oc1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)cn1 ZINC001216969794 1094285610 /nfs/dbraw/zinc/28/56/10/1094285610.db2.gz QXXHJMWQHMUBJZ-UHFFFAOYSA-N 0 1 322.324 3.163 20 30 DGEDMN CCCCC[C@H](C)CC(=O)NCCNCC#Cc1ccccc1 ZINC001134823602 1094301466 /nfs/dbraw/zinc/30/14/66/1094301466.db2.gz APQQEEIYNVCDHY-SFHVURJKSA-N 0 1 314.473 3.350 20 30 DGEDMN COc1cccc2c(-c3nc(-c4cccc(C#N)c4)no3)n[nH]c21 ZINC001217490875 1094423674 /nfs/dbraw/zinc/42/36/74/1094423674.db2.gz ZGIWNEUCWOJRKZ-UHFFFAOYSA-N 0 1 317.308 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001135182216 1094432025 /nfs/dbraw/zinc/43/20/25/1094432025.db2.gz ZVZARUXBKACSJH-STQMWFEESA-N 0 1 321.465 3.267 20 30 DGEDMN C=CCN(CC=C)C(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC001135408898 1094524101 /nfs/dbraw/zinc/52/41/01/1094524101.db2.gz KIDSOGNKBXYPML-UHFFFAOYSA-N 0 1 311.337 3.279 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@H](C)NCc2coc(C)n2)CC1 ZINC001135414764 1094527177 /nfs/dbraw/zinc/52/71/77/1094527177.db2.gz CZMBNZLDRQHWDL-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1ncc(CC)o1 ZINC001135560845 1094577346 /nfs/dbraw/zinc/57/73/46/1094577346.db2.gz MEVUFDQAYLJKDW-KBPBESRZSA-N 0 1 321.465 3.212 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135565609 1094579140 /nfs/dbraw/zinc/57/91/40/1094579140.db2.gz YDSYYNMBXDCHIS-STQMWFEESA-N 0 1 323.506 3.427 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135612130 1094599177 /nfs/dbraw/zinc/59/91/77/1094599177.db2.gz QABCFPWPQHFRDP-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN Cc1ccc2c(Cl)cc(Cl)c(O[C@H]3CNC[C@@H]3C#N)c2n1 ZINC001218200756 1094654299 /nfs/dbraw/zinc/65/42/99/1094654299.db2.gz NHDJVIUNEVPXFF-ZANVPECISA-N 0 1 322.195 3.340 20 30 DGEDMN CC(C)(c1ccc(O)cc1)c1ccc(O[C@@H]2CNC[C@H]2C#N)cc1 ZINC001218199141 1094654806 /nfs/dbraw/zinc/65/48/06/1094654806.db2.gz APLNTSWQLRAAIL-AUUYWEPGSA-N 0 1 322.408 3.208 20 30 DGEDMN CC(C)c1cc(O[C@@H]2CNC[C@H]2C#N)ccc1Br ZINC001218199870 1094654981 /nfs/dbraw/zinc/65/49/81/1094654981.db2.gz CKZSJVUHOPXWGQ-QMTHXVAHSA-N 0 1 309.207 3.063 20 30 DGEDMN Cc1ccc2c(Cl)cc(Cl)c(O[C@H]3CNC[C@H]3C#N)c2n1 ZINC001218200754 1094655121 /nfs/dbraw/zinc/65/51/21/1094655121.db2.gz NHDJVIUNEVPXFF-RNCFNFMXSA-N 0 1 322.195 3.340 20 30 DGEDMN Cc1ccc2nc(O[C@H]3CNC[C@H]3C#N)cc(C(F)(F)F)c2c1 ZINC001218203770 1094658546 /nfs/dbraw/zinc/65/85/46/1094658546.db2.gz RYEXGJYPHSTDAN-YGRLFVJLSA-N 0 1 321.302 3.052 20 30 DGEDMN C=C[C@H](CCCCC)Oc1ccc(C(=O)N2CCNCC2)cc1 ZINC001218212488 1094663678 /nfs/dbraw/zinc/66/36/78/1094663678.db2.gz OKYXOXBPGRPJFN-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN CCC(CC)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135856241 1094713580 /nfs/dbraw/zinc/71/35/80/1094713580.db2.gz WJQRGWAZQKUOJI-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCc2cc(C)ccc21 ZINC001121612985 1094727080 /nfs/dbraw/zinc/72/70/80/1094727080.db2.gz RPTTWVOJZSOJCJ-UHFFFAOYSA-N 0 1 320.400 3.165 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135878396 1094730506 /nfs/dbraw/zinc/73/05/06/1094730506.db2.gz SDXPGVKBVKRRRD-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCn1cc(CNCCc2cc(Cl)cc(Cl)c2)nn1 ZINC001336826274 1094750965 /nfs/dbraw/zinc/75/09/65/1094750965.db2.gz QLIBBUPDMKYLFX-UHFFFAOYSA-N 0 1 311.216 3.103 20 30 DGEDMN COc1cccc(/C=C/c2ccccc2O[C@H]2CNC[C@@H]2C#N)c1 ZINC001218409068 1094760429 /nfs/dbraw/zinc/76/04/29/1094760429.db2.gz NXRGWWJVANOKLS-KQLHMXSUSA-N 0 1 320.392 3.356 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001103977835 1094825152 /nfs/dbraw/zinc/82/51/52/1094825152.db2.gz ISUUKJHBDOINTH-FVQBIDKESA-N 0 1 319.449 3.084 20 30 DGEDMN N#Cc1cnc(CN[C@H]2CCc3cccc(Cl)c3C2)s1 ZINC001170910806 1094910345 /nfs/dbraw/zinc/91/03/45/1094910345.db2.gz OIJGPFACLSFIMH-NSHDSACASA-N 0 1 303.818 3.315 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H](F)CC ZINC001122448942 1094977569 /nfs/dbraw/zinc/97/75/69/1094977569.db2.gz QLFWRPPOWNOTBU-UONOGXRCSA-N 0 1 304.365 3.207 20 30 DGEDMN Cc1cc(Br)c(C(=O)C(C#N)c2nccn2C)s1 ZINC001122519101 1094996598 /nfs/dbraw/zinc/99/65/98/1094996598.db2.gz UPEKPEULLYZDBL-QMMMGPOBSA-N 0 1 324.203 3.043 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)CSCc1ccc(Cl)cc1 ZINC001122523446 1094998807 /nfs/dbraw/zinc/99/88/07/1094998807.db2.gz KEFYQUNYVFDHDY-ZDUSSCGKSA-N 0 1 319.817 3.183 20 30 DGEDMN CCCc1sc(C(=O)C(C#N)c2nccn2C)cc1CC ZINC001122523880 1094998960 /nfs/dbraw/zinc/99/89/60/1094998960.db2.gz OONOQINHMPBHTF-GFCCVEGCSA-N 0 1 301.415 3.487 20 30 DGEDMN Cc1cc(CNc2ccn(-c3ccc(C#N)cc3Cl)n2)n[nH]1 ZINC001337560051 1095020220 /nfs/dbraw/zinc/02/02/20/1095020220.db2.gz INVYTLZSBJWMBL-UHFFFAOYSA-N 0 1 312.764 3.041 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC/C=C\Cl)C1 ZINC001112157061 1095038283 /nfs/dbraw/zinc/03/82/83/1095038283.db2.gz PCFVADHEHWSEJH-LWSHCTJVSA-N 0 1 324.774 3.074 20 30 DGEDMN N#CC(C(=O)c1cnc([C@H]2CCCO2)s1)c1cccc(F)n1 ZINC001122715218 1095044592 /nfs/dbraw/zinc/04/45/92/1095044592.db2.gz ZZUSRYIBLFRLOI-GXSJLCMTSA-N 0 1 317.345 3.019 20 30 DGEDMN CC(C)c1noc2ncc(C(=O)C(C#N)c3cccc(F)n3)cc21 ZINC001122715217 1095045038 /nfs/dbraw/zinc/04/50/38/1095045038.db2.gz ZXMMUMDMCMEPRY-LBPRGKRZSA-N 0 1 324.315 3.370 20 30 DGEDMN Cc1cc(CNc2ncc(Cc3ccc(C#N)cc3)s2)n[nH]1 ZINC001337816663 1095104514 /nfs/dbraw/zinc/10/45/14/1095104514.db2.gz ZIJULQLUWOQOER-UHFFFAOYSA-N 0 1 309.398 3.249 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CCc2c1cccc2Cl ZINC001123233656 1095195233 /nfs/dbraw/zinc/19/52/33/1095195233.db2.gz MLQQDZQSCIMIMO-KBPBESRZSA-N 0 1 313.788 3.463 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1nc(C)no1 ZINC001171052990 1095204353 /nfs/dbraw/zinc/20/43/53/1095204353.db2.gz MIGSSJOOGGTEQW-KBPBESRZSA-N 0 1 322.453 3.060 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+]([C@H](C)c3cccc(F)c3)C[C@H]21 ZINC001221424766 1095261998 /nfs/dbraw/zinc/26/19/98/1095261998.db2.gz NUQQHRVTQJEXAB-QGPMSJSTSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN([C@H](C)c3cccc(F)c3)C[C@H]21 ZINC001221424766 1095262009 /nfs/dbraw/zinc/26/20/09/1095262009.db2.gz NUQQHRVTQJEXAB-QGPMSJSTSA-N 0 1 316.420 3.386 20 30 DGEDMN O=C1C=C2CN(Cc3c[nH]c4cccc(F)c34)CCC2S1 ZINC001136981712 1095266457 /nfs/dbraw/zinc/26/64/57/1095266457.db2.gz YLAYAGPCEQQXMH-CQSZACIVSA-N 0 1 302.374 3.081 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2nocc2C)CCCCC1 ZINC001115557039 1095276789 /nfs/dbraw/zinc/27/67/89/1095276789.db2.gz POKHHOWRHUZQGO-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2coc(C)n2)CCCCC1 ZINC001115557127 1095278006 /nfs/dbraw/zinc/27/80/06/1095278006.db2.gz VDBVDXVPDRGKGS-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN FC[C@@]1(C(F)(F)F)CCN(Cc2ncc(C(F)(F)F)[nH]2)C1 ZINC001137010355 1095285730 /nfs/dbraw/zinc/28/57/30/1095285730.db2.gz ONEWZJBNSSSFHM-VIFPVBQESA-N 0 1 319.224 3.152 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H](C)OCCCCCC)[C@@H]2C1 ZINC001221547451 1095300730 /nfs/dbraw/zinc/30/07/30/1095300730.db2.gz ODVZCEFXUZGQIP-RCCFBDPRSA-N 0 1 322.493 3.081 20 30 DGEDMN COc1ccc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001137039676 1095301332 /nfs/dbraw/zinc/30/13/32/1095301332.db2.gz HUVQTLKVNHQQMN-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cc(C)on2)CCCCC1 ZINC001115701295 1095342525 /nfs/dbraw/zinc/34/25/25/1095342525.db2.gz HOCPMDKGCNHWCV-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221762031 1095351014 /nfs/dbraw/zinc/35/10/14/1095351014.db2.gz LDRSLNIUCYOIKQ-USXIJHARSA-N 0 1 318.486 3.383 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001221827923 1095367600 /nfs/dbraw/zinc/36/76/00/1095367600.db2.gz ODOWOFKLVMQGJR-IPMKNSEASA-N 0 1 316.489 3.315 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](C)CCCCCC)[C@@H]2C1 ZINC001221883102 1095379740 /nfs/dbraw/zinc/37/97/40/1095379740.db2.gz PSEKAOICHWHTGG-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C)C(C)(C)C1 ZINC001338773437 1095385164 /nfs/dbraw/zinc/38/51/64/1095385164.db2.gz PKVQKAYUPBWPQR-LBPRGKRZSA-N 0 1 314.437 3.035 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](CC)C(C)(C)C)[C@@H]2C1 ZINC001221939417 1095394102 /nfs/dbraw/zinc/39/41/02/1095394102.db2.gz LMIUZFPEMJDKHN-BRWVUGGUSA-N 0 1 304.478 3.005 20 30 DGEDMN N#CC1CCN(Cc2[nH]nc3ccc(Br)cc32)CC1 ZINC001139654696 1095429978 /nfs/dbraw/zinc/42/99/78/1095429978.db2.gz JONBEQCKDLGWBK-UHFFFAOYSA-N 0 1 319.206 3.061 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(CCc4ccccc4)CC3)[C@@H]2C1 ZINC001222181217 1095481135 /nfs/dbraw/zinc/48/11/35/1095481135.db2.gz XOMUJZHMXSYSDK-RTBURBONSA-N 0 1 324.468 3.118 20 30 DGEDMN CCc1cc(CN2CCC3SC(=O)C=C3C2)cc(CC)c1O ZINC001144139664 1095530311 /nfs/dbraw/zinc/53/03/11/1095530311.db2.gz KIQGLTZGBYQMGV-INIZCTEOSA-N 0 1 317.454 3.291 20 30 DGEDMN Cc1cc(NN=Cc2c[nH]nc2-c2ccc(Cl)cc2)ncn1 ZINC001116379940 1095533062 /nfs/dbraw/zinc/53/30/62/1095533062.db2.gz HHODVQPJGOYFHZ-UHFFFAOYSA-N 0 1 312.764 3.275 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3nc4ccccc4o3)CC2)nc1 ZINC001144149560 1095536343 /nfs/dbraw/zinc/53/63/43/1095536343.db2.gz HJXUKRXLVYBQJG-UHFFFAOYSA-N 0 1 318.380 3.474 20 30 DGEDMN CN(Cc1ccc(OCC#N)cc1)Cc1cc(F)cc(F)c1 ZINC001339195731 1095536882 /nfs/dbraw/zinc/53/68/82/1095536882.db2.gz UOCYXKMTUQYETL-UHFFFAOYSA-N 0 1 302.324 3.499 20 30 DGEDMN CC[C@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C#N)cn1 ZINC001144166846 1095545068 /nfs/dbraw/zinc/54/50/68/1095545068.db2.gz YZIHXBZCLFLPQS-FQEVSTJZSA-N 0 1 320.440 3.050 20 30 DGEDMN Cc1c(C(=O)N[C@H](CCC#N)c2ccccc2)ccc2cncn21 ZINC001116530700 1095588947 /nfs/dbraw/zinc/58/89/47/1095588947.db2.gz PLCDPPJLSXDSRN-GOSISDBHSA-N 0 1 318.380 3.418 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cccc(CC)c2)C1 ZINC001144328631 1095608244 /nfs/dbraw/zinc/60/82/44/1095608244.db2.gz BCVRDMZIIKXORP-KRWDZBQOSA-N 0 1 302.418 3.126 20 30 DGEDMN N#C[C@H]1CCCN(Cc2ccc(OCC(F)(F)F)nc2)CC1 ZINC001144353906 1095619707 /nfs/dbraw/zinc/61/97/07/1095619707.db2.gz LLMHWIUYGBHIBG-LBPRGKRZSA-N 0 1 313.323 3.148 20 30 DGEDMN Cc1ccc2c(c1)[C@H](N[C@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171174486 1095642999 /nfs/dbraw/zinc/64/29/99/1095642999.db2.gz AAYBOCQIJZZTKP-ACJLOTCBSA-N 0 1 305.381 3.081 20 30 DGEDMN Cc1cccc2c1[C@@H](N[C@@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171179559 1095649521 /nfs/dbraw/zinc/64/95/21/1095649521.db2.gz UBDBMJYTABWAMY-SCLBCKFNSA-N 0 1 305.381 3.081 20 30 DGEDMN COc1ccc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)nc1 ZINC001144437497 1095663561 /nfs/dbraw/zinc/66/35/61/1095663561.db2.gz XHLHCKTZZSYIEX-IBGZPJMESA-N 0 1 323.396 3.005 20 30 DGEDMN CCCN1CCCC[C@@H]1C(=O)OCc1ccc(C#N)c(C)c1 ZINC001116818045 1095669766 /nfs/dbraw/zinc/66/97/66/1095669766.db2.gz KLVJRODDUISTDD-QGZVFWFLSA-N 0 1 300.402 3.174 20 30 DGEDMN C=CCCC(=O)NCC1CCN(Cc2cc(F)cc(F)c2)CC1 ZINC001222578638 1095674549 /nfs/dbraw/zinc/67/45/49/1095674549.db2.gz CCYGJSZPKCRJSW-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C#Cc1ccc(CN(Cc2ccccc2)CC(OC)OC)cc1 ZINC001144568251 1095720353 /nfs/dbraw/zinc/72/03/53/1095720353.db2.gz OIWZQZVCZUKVOB-UHFFFAOYSA-N 0 1 309.409 3.289 20 30 DGEDMN C#Cc1cncc(C(=O)Nc2cccc3c2CN(CC(C)C)C3)c1 ZINC001137748125 1095730819 /nfs/dbraw/zinc/73/08/19/1095730819.db2.gz QFMRDCDYXHEBNO-UHFFFAOYSA-N 0 1 319.408 3.287 20 30 DGEDMN COc1ccc(-c2cc(COC3=C(C)O[C@H](C)C3=O)on2)cc1 ZINC001222927326 1095791260 /nfs/dbraw/zinc/79/12/60/1095791260.db2.gz MJPVVNKRMAQPJI-SNVBAGLBSA-N 0 1 315.325 3.086 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccn(Cc3ccccc3)c2)c1 ZINC001137932981 1095795324 /nfs/dbraw/zinc/79/53/24/1095795324.db2.gz QIOKNBWZORQFGK-UHFFFAOYSA-N 0 1 317.348 3.366 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2ccc(C#N)nc2)C1 ZINC001222966966 1095804342 /nfs/dbraw/zinc/80/43/42/1095804342.db2.gz VUTNUOJYDWXGFM-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001117697940 1095805513 /nfs/dbraw/zinc/80/55/13/1095805513.db2.gz RVPSINGMKLXSPE-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN C[S@](=O)c1ccc(CN=Nc2ccc(F)c(F)c2F)cc1 ZINC001117742152 1095822450 /nfs/dbraw/zinc/82/24/50/1095822450.db2.gz OBQJICDYVPRUKZ-NRFANRHFSA-N 0 1 312.316 3.287 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2cccnc2C#N)C1 ZINC001223060138 1095848135 /nfs/dbraw/zinc/84/81/35/1095848135.db2.gz KYHZZWDKPFXTOD-DOTOQJQBSA-N 0 1 322.412 3.090 20 30 DGEDMN CC/C(C)=C/C(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001223081142 1095860519 /nfs/dbraw/zinc/86/05/19/1095860519.db2.gz CYFWOXOJPPHBNX-FBMGVBCBSA-N 0 1 324.468 3.223 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001171230576 1095866047 /nfs/dbraw/zinc/86/60/47/1095866047.db2.gz WOPOMIIEHAWGNO-UTKZUKDTSA-N 0 1 320.436 3.433 20 30 DGEDMN CCOC(=O)CN([C@H](C)Cc1cccc(C#N)c1)C1CCCC1 ZINC001171231922 1095867319 /nfs/dbraw/zinc/86/73/19/1095867319.db2.gz NZLKQLCINQQJFR-OAHLLOKOSA-N 0 1 314.429 3.297 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(C(F)(F)F)ccc2Cl)CCC1=O ZINC001138085625 1095871588 /nfs/dbraw/zinc/87/15/88/1095871588.db2.gz PXFTXVFHXBCGTF-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001223343858 1095942363 /nfs/dbraw/zinc/94/23/63/1095942363.db2.gz ALOUUMDYHJMUJK-UHKIINPBSA-N 0 1 310.869 3.264 20 30 DGEDMN CC(C)n1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)cn1 ZINC001171275653 1096002517 /nfs/dbraw/zinc/00/25/17/1096002517.db2.gz YYLSJMKAOPDZSC-UHFFFAOYSA-N 0 1 323.444 3.434 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1CC1CC2(CCC2)C1 ZINC001276902532 1096008935 /nfs/dbraw/zinc/00/89/35/1096008935.db2.gz ZYHFSLNLPMRMSY-MRXNPFEDSA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3(CC(C)C)CCCC3)C[C@@H]21 ZINC001223697566 1096039847 /nfs/dbraw/zinc/03/98/47/1096039847.db2.gz FZQIFLICQJKQJH-MSOLQXFVSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCCc1ccccc1 ZINC001276916610 1096053658 /nfs/dbraw/zinc/05/36/58/1096053658.db2.gz SKUBVPFYZQMZBF-GOSISDBHSA-N 0 1 300.446 3.166 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)OC2Cc3ccccc3C2)c1 ZINC001223888905 1096086682 /nfs/dbraw/zinc/08/66/82/1096086682.db2.gz JJSSVCOXWHGZTP-HNENSFHCSA-N 0 1 313.353 3.024 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H]2CC3CCC2CC3)c1 ZINC001223892736 1096086739 /nfs/dbraw/zinc/08/67/39/1096086739.db2.gz LDHVORQYMVQUCM-WUCHKYDRSA-N 0 1 305.374 3.435 20 30 DGEDMN CCCCOC[C@@H](C)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223892496 1096087160 /nfs/dbraw/zinc/08/71/60/1096087160.db2.gz JCGHZLFODTYYOM-WGKGOADCSA-N 0 1 311.378 3.061 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H](C)c1ccccc1C ZINC001276933805 1096103311 /nfs/dbraw/zinc/10/33/11/1096103311.db2.gz ZTCYGLLMOGSRJD-SJORKVTESA-N 0 1 300.446 3.255 20 30 DGEDMN CC(C)(c1nc([C@@H]2CN3CCC2CC3)no1)c1ccc(C#N)cc1 ZINC001118931751 1096108277 /nfs/dbraw/zinc/10/82/77/1096108277.db2.gz KQFTVLADDRIPPV-MRXNPFEDSA-N 0 1 322.412 3.076 20 30 DGEDMN C#CCN(CC1CC1)Cn1ncc2c1cccc2Br ZINC001341077773 1096111789 /nfs/dbraw/zinc/11/17/89/1096111789.db2.gz QAEGOGYOLNANES-UHFFFAOYSA-N 0 1 318.218 3.102 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3ccncc3C#N)C2)[nH]n1 ZINC001119324663 1096167916 /nfs/dbraw/zinc/16/79/16/1096167916.db2.gz ZKLNOZLLVXXZRB-HNNXBMFYSA-N 0 1 309.417 3.179 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CCC)CC(C)C)C[C@@H]21 ZINC001224264155 1096176326 /nfs/dbraw/zinc/17/63/26/1096176326.db2.gz ZWZJYHLMVLVSNF-SQNIBIBYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCN(Cc1ccc(C)c(F)c1)C2 ZINC001147188111 1096179512 /nfs/dbraw/zinc/17/95/12/1096179512.db2.gz RHRHEYNKMSVYKU-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN Cc1ncsc1CN1C[C@H]2CC[C@@H](C1)N2c1ccc(C#N)cc1 ZINC001276960722 1096180241 /nfs/dbraw/zinc/18/02/41/1096180241.db2.gz PGZBNPHNTXCYLO-CALCHBBNSA-N 0 1 324.453 3.176 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224386824 1096200273 /nfs/dbraw/zinc/20/02/73/1096200273.db2.gz VHCWMSPRFIDQLZ-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1ccc2[nH]c(C)cc2c1 ZINC001276972567 1096213869 /nfs/dbraw/zinc/21/38/69/1096213869.db2.gz AJROKJAUPYGGJW-UZLBHIALSA-N 0 1 321.424 3.293 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@@H](CC)C(C)(C)C ZINC001147466768 1096225648 /nfs/dbraw/zinc/22/56/48/1096225648.db2.gz VVXCEBHYTVFOIO-GFCCVEGCSA-N 0 1 319.287 3.063 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001147451807 1096229543 /nfs/dbraw/zinc/22/95/43/1096229543.db2.gz YCUGIEYNLNEBCF-GOSISDBHSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@H](C)CCC(C)(C)C ZINC001147786547 1096271331 /nfs/dbraw/zinc/27/13/31/1096271331.db2.gz HHNKYGROWXHLGB-LLVKDONJSA-N 0 1 319.287 3.063 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001224860238 1096302013 /nfs/dbraw/zinc/30/20/13/1096302013.db2.gz HXDSTCGPUHNLEQ-BMGDILEWSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCCC(=O)N1CC2(C1)CCN(Cc1ccccc1F)C2 ZINC001148193664 1096319766 /nfs/dbraw/zinc/31/97/66/1096319766.db2.gz CFIDVHCTNAPJIU-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C#C[C@H](CC)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152056 1096353265 /nfs/dbraw/zinc/35/32/65/1096353265.db2.gz JALLKFPLEXQFEM-UYAOXDASSA-N 0 1 315.457 3.485 20 30 DGEDMN N#CCc1ccc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001225266443 1096379172 /nfs/dbraw/zinc/37/91/72/1096379172.db2.gz KEEODPLGSPWNTM-HXUWFJFHSA-N 0 1 317.392 3.278 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1csc(CC)n1 ZINC001225307138 1096390403 /nfs/dbraw/zinc/39/04/03/1096390403.db2.gz AOTPZXSFLBIZHC-HUUCEWRRSA-N 0 1 321.490 3.189 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1cc(C)ns1 ZINC001148721358 1096428401 /nfs/dbraw/zinc/42/84/01/1096428401.db2.gz BESPYJCMLNWIEZ-UHFFFAOYSA-N 0 1 311.495 3.408 20 30 DGEDMN CC(C)Oc1ccc(C(=O)Nc2ccc(C#N)cc2O)cc1C#N ZINC001148775492 1096446081 /nfs/dbraw/zinc/44/60/81/1096446081.db2.gz YHUAGMGIHULHRK-UHFFFAOYSA-N 0 1 321.336 3.175 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001148870434 1096460951 /nfs/dbraw/zinc/46/09/51/1096460951.db2.gz CACWMNCTISPGRW-UHFFFAOYSA-N 0 1 310.869 3.051 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@H](C)CC)C2)CC1 ZINC001086905105 1096503439 /nfs/dbraw/zinc/50/34/39/1096503439.db2.gz SZBAOCLYLHDWGD-HIFRSBDPSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C=C)c1ccccc1)C2 ZINC001110134361 1096619882 /nfs/dbraw/zinc/61/98/82/1096619882.db2.gz XVMNXYZBGWRFJR-NQYYFHDYSA-N 0 1 310.441 3.254 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccoc2C2CC2)[C@H](C)C1 ZINC001092912306 1096637862 /nfs/dbraw/zinc/63/78/62/1096637862.db2.gz GYYPKFBIUFQDKI-BXUZGUMPSA-N 0 1 322.836 3.207 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(C)cc2C)[C@H](C)C1 ZINC001093174213 1096668351 /nfs/dbraw/zinc/66/83/51/1096668351.db2.gz HSQNUOOVZIWYIZ-GDBMZVCRSA-N 0 1 320.864 3.354 20 30 DGEDMN C=C(Cl)CN1CC2(CCC2)[C@H]1C1CCN(C(=O)CC)CC1 ZINC001093347166 1096677573 /nfs/dbraw/zinc/67/75/73/1096677573.db2.gz JDMRQTZEELIUKP-MRXNPFEDSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001093569330 1096709051 /nfs/dbraw/zinc/70/90/51/1096709051.db2.gz BQZKEGPJAZENPK-IBGZPJMESA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3c[nH]nc3c2)C1 ZINC001226586952 1096727404 /nfs/dbraw/zinc/72/74/04/1096727404.db2.gz WSPGSHCYBBEFRN-SFHVURJKSA-N 0 1 318.380 3.088 20 30 DGEDMN C[C@H](c1ccccc1Cl)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226649944 1096741484 /nfs/dbraw/zinc/74/14/84/1096741484.db2.gz ZGVZGPRYTSJKSZ-QWHCGFSZSA-N 0 1 319.836 3.141 20 30 DGEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001226649860 1096741804 /nfs/dbraw/zinc/74/18/04/1096741804.db2.gz XSMMWSNUTYLADJ-OLZOCXBDSA-N 0 1 319.836 3.141 20 30 DGEDMN CCC[C@H](C)C(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001226665159 1096746385 /nfs/dbraw/zinc/74/63/85/1096746385.db2.gz UAFHPHPIDOISTG-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC[C@H](CC)Oc1ncnc2[nH]nc(Br)c21 ZINC001226669349 1096748480 /nfs/dbraw/zinc/74/84/80/1096748480.db2.gz ODMKJBAKZBJZCL-QMMMGPOBSA-N 0 1 311.183 3.239 20 30 DGEDMN C=CCC[C@H](CC)Oc1nc(=O)[nH]c(C)c1Br ZINC001226787943 1096777090 /nfs/dbraw/zinc/77/70/90/1096777090.db2.gz QEMZLDWHJBCHKO-VIFPVBQESA-N 0 1 301.184 3.377 20 30 DGEDMN Cc1cccc(O[C@H]2COCCN(Cc3ccccc3)C2)c1C#N ZINC001226920144 1096815626 /nfs/dbraw/zinc/81/56/26/1096815626.db2.gz NAXXCHUIEPRYBM-GOSISDBHSA-N 0 1 322.408 3.147 20 30 DGEDMN C=CCCCCCCCN1CC[C@H]2C[C@]21C(=O)Nc1cn[nH]c1 ZINC001277167145 1096818373 /nfs/dbraw/zinc/81/83/73/1096818373.db2.gz JRBAQHXDUVCBIF-MAUKXSAKSA-N 0 1 316.449 3.339 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@]34C[C@H]3CCC4)CCC[C@@H]12 ZINC001094473553 1096836512 /nfs/dbraw/zinc/83/65/12/1096836512.db2.gz QFTIFAZOWLGSNB-JOCBIADPSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H]3C[C@H]3CC)CCC[C@@H]12 ZINC001094517675 1096844457 /nfs/dbraw/zinc/84/44/57/1096844457.db2.gz DWACVPIQSIXNNX-KCYZZUKISA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H]3CC34CCC4)CCC[C@@H]12 ZINC001094526149 1096845847 /nfs/dbraw/zinc/84/58/47/1096845847.db2.gz SPEPLDGMCLJOIX-HDMKZQKVSA-N 0 1 322.880 3.290 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cc(C#N)ccc2F)C1 ZINC001227053078 1096853215 /nfs/dbraw/zinc/85/32/15/1096853215.db2.gz KSNZVZKDOVDGAB-DZGCQCFKSA-N 0 1 311.360 3.307 20 30 DGEDMN C#CCCCC(=O)NC1CCN([C@@H](C)c2ccccc2F)CC1 ZINC001227107527 1096870206 /nfs/dbraw/zinc/87/02/06/1096870206.db2.gz FFYQJOREPQRTPE-HNNXBMFYSA-N 0 1 316.420 3.271 20 30 DGEDMN C[C@H](COc1nc2ccc(C#N)cc2[nH]1)OCc1ccccc1 ZINC001227215931 1096896750 /nfs/dbraw/zinc/89/67/50/1096896750.db2.gz LWXVUELGJCFRPZ-CYBMUJFWSA-N 0 1 307.353 3.419 20 30 DGEDMN C=CCOCC(COCC=C)Oc1nc2ccc(Cl)cc2[nH]1 ZINC001227262512 1096906736 /nfs/dbraw/zinc/90/67/36/1096906736.db2.gz QNIJBIBPRANEDX-UHFFFAOYSA-N 0 1 322.792 3.369 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](CC(=O)NCc2ccc(F)cc2)C1 ZINC001171544838 1096912912 /nfs/dbraw/zinc/91/29/12/1096912912.db2.gz CQIHCUWPLWGDJO-OAHLLOKOSA-N 0 1 324.827 3.297 20 30 DGEDMN C=C(C)CCC(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC001227588782 1096956592 /nfs/dbraw/zinc/95/65/92/1096956592.db2.gz WIQODIQMOMPITF-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1nocc1C ZINC001171818420 1096974099 /nfs/dbraw/zinc/97/40/99/1096974099.db2.gz LCKXRISYXVOKHK-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cc(O)ccc2C#N)C1 ZINC001228007117 1097031213 /nfs/dbraw/zinc/03/12/13/1097031213.db2.gz MYSIZUZZAJGXDX-YOEHRIQHSA-N 0 1 323.396 3.263 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228131274 1097058447 /nfs/dbraw/zinc/05/84/47/1097058447.db2.gz YONORRBHLQVGKN-USXIJHARSA-N 0 1 319.449 3.102 20 30 DGEDMN N=C(N[C@@H]1CSc2ccccc2C1)c1ccc(Cl)cn1 ZINC001172188271 1097068671 /nfs/dbraw/zinc/06/86/71/1097068671.db2.gz RYSIIMIEZQJNDW-LBPRGKRZSA-N 0 1 303.818 3.157 20 30 DGEDMN C#C[C@@H](COc1cccc(Cl)c1)Oc1ccc2[nH]nnc2c1 ZINC001228662438 1097161525 /nfs/dbraw/zinc/16/15/25/1097161525.db2.gz HNERGFXVDWCMPV-LBPRGKRZSA-N 0 1 313.744 3.071 20 30 DGEDMN N#C[C@H]1CC[C@@H](Oc2nc3cccc(Br)c3[nH]2)C1 ZINC001228682164 1097167071 /nfs/dbraw/zinc/16/70/71/1097167071.db2.gz RTJIPJCFXSWBDW-DTWKUNHWSA-N 0 1 306.163 3.396 20 30 DGEDMN CC(C)COC[C@H]1CN([C@@H](C)CCCC#N)Cc2ncn(C)c21 ZINC001172496918 1097170892 /nfs/dbraw/zinc/17/08/92/1097170892.db2.gz UHBDSLFNDYCKBO-JKSUJKDBSA-N 0 1 318.465 3.074 20 30 DGEDMN C[C@H](CCCC#N)N1CCC[C@@H]2[C@H]1CN2C(=O)OC(C)(C)C ZINC001172497060 1097171080 /nfs/dbraw/zinc/17/10/80/1097171080.db2.gz XDIQXJLCMIQAET-RBSFLKMASA-N 0 1 307.438 3.152 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001228703448 1097172942 /nfs/dbraw/zinc/17/29/42/1097172942.db2.gz YNUZQKIKUYAOET-XRVIQIRUSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1noc2ccc(Br)cc21 ZINC001228792451 1097191356 /nfs/dbraw/zinc/19/13/56/1097191356.db2.gz HZRDQEQNBPIEDO-ZCFIWIBFSA-N 0 1 324.130 3.188 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228809054 1097194765 /nfs/dbraw/zinc/19/47/65/1097194765.db2.gz DFJCUKDMGVHNIZ-AWEZNQCLSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001228810404 1097195663 /nfs/dbraw/zinc/19/56/63/1097195663.db2.gz VGBNYXYEDPYMMM-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CC(C)OC(=O)CC[C@H](C)N1CCc2cc(C#N)ccc2C1 ZINC001172753642 1097229991 /nfs/dbraw/zinc/22/99/91/1097229991.db2.gz CIABZMACAGOLOB-AWEZNQCLSA-N 0 1 300.402 3.037 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001229049304 1097245653 /nfs/dbraw/zinc/24/56/53/1097245653.db2.gz ZAHPJXDMSSSYTL-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN CN1CCC(C#N)(NC2CCC(Cc3ccccc3)CC2)CC1 ZINC001172819681 1097247059 /nfs/dbraw/zinc/24/70/59/1097247059.db2.gz FKSMVLOXCSPOQM-UHFFFAOYSA-N 0 1 311.473 3.365 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H](NCc2nccs2)C12CCC2 ZINC001203341672 1097254315 /nfs/dbraw/zinc/25/43/15/1097254315.db2.gz SPMXJNUGIIUJTP-UONOGXRCSA-N 0 1 319.474 3.016 20 30 DGEDMN CCCN(CCC#N)C1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001173001275 1097305084 /nfs/dbraw/zinc/30/50/84/1097305084.db2.gz HXDVWVXNTGXSMB-UHFFFAOYSA-N 0 1 317.408 3.056 20 30 DGEDMN C[C@H]1CC[C@@H](N2CCC(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001173058819 1097314931 /nfs/dbraw/zinc/31/49/31/1097314931.db2.gz ZWMWKRJQSJHIDR-DZGCQCFKSA-N 0 1 307.438 3.010 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1ccc(F)cc1Cl ZINC001491553594 1097337209 /nfs/dbraw/zinc/33/72/09/1097337209.db2.gz JAXYRJRLDJWZSJ-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN Cc1ccc(C#N)c(Nc2cccc(N3CCN(C)CC3)c2)c1 ZINC001212713707 1097370213 /nfs/dbraw/zinc/37/02/13/1097370213.db2.gz CIMAHWBJZHLKPC-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(C)c(Cl)cc1C(=O)OC ZINC001229856613 1097400226 /nfs/dbraw/zinc/40/02/26/1097400226.db2.gz KYRVWJBFIAYUAY-MRVPVSSYSA-N 0 1 310.733 3.033 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(CCN2C2CCC(C)(C#N)CC2)C1 ZINC001173593445 1097466159 /nfs/dbraw/zinc/46/61/59/1097466159.db2.gz JBXNRZUSPILPDX-UHFFFAOYSA-N 0 1 319.449 3.154 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(Cc1cccs1)CCO2 ZINC000503748286 1097468049 /nfs/dbraw/zinc/46/80/49/1097468049.db2.gz TYXZRGUGDOPQCL-NVXWUHKLSA-N 0 1 307.459 3.322 20 30 DGEDMN Cc1ccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)cc1 ZINC001173802442 1097515646 /nfs/dbraw/zinc/51/56/46/1097515646.db2.gz UTQVUPMZDLIQDC-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C[C@@H](C#C[Si](C)(C)C)Oc1cc2[nH]ncc2cc1[N+](=O)[O-] ZINC001230602017 1097527794 /nfs/dbraw/zinc/52/77/94/1097527794.db2.gz UCRDNUZHWZQZPN-JTQLQIEISA-N 0 1 303.394 3.119 20 30 DGEDMN C=CCN(CCCNC(=O)CC(C)(C)C)Cc1cccnc1 ZINC001230674787 1097552828 /nfs/dbraw/zinc/55/28/28/1097552828.db2.gz DWAQECDDJNQWHV-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2nccc3[nH]ccc32)C1 ZINC001230688926 1097557451 /nfs/dbraw/zinc/55/74/51/1097557451.db2.gz QPGKHMCDLJROHW-MRXNPFEDSA-N 0 1 318.380 3.088 20 30 DGEDMN COc1ccc2nc(=O)[nH]c(O[C@@H](CC#N)c3ccccc3)c2c1 ZINC001230861958 1097608925 /nfs/dbraw/zinc/60/89/25/1097608925.db2.gz HSOSMWCBZOXKSN-INIZCTEOSA-N 0 1 321.336 3.378 20 30 DGEDMN C=CCN(CCCNC(=O)CCC(C)(C)C)Cc1cccnc1 ZINC001230871950 1097610756 /nfs/dbraw/zinc/61/07/56/1097610756.db2.gz WEURRRBYMNCSII-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)COC1CCCC1 ZINC001230900938 1097618113 /nfs/dbraw/zinc/61/81/13/1097618113.db2.gz XNTMLKFGPDPIMQ-KRWDZBQOSA-N 0 1 324.509 3.449 20 30 DGEDMN CC(C)NC(=O)c1ccc(Nc2cc(C#N)c(F)cc2O)cc1 ZINC001174151554 1097626224 /nfs/dbraw/zinc/62/62/24/1097626224.db2.gz UUTPFFPXJYYCQV-UHFFFAOYSA-N 0 1 313.332 3.285 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](C(C)(C)Oc2nc(Cl)nc3[nH]ncc32)O1 ZINC001231046875 1097654473 /nfs/dbraw/zinc/65/44/73/1097654473.db2.gz HEIFDOBACCVNOS-BONVTDFDSA-N 0 1 322.796 3.287 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](Oc2nc(Cl)nc3[nH]ncc32)C(C)(C)O1 ZINC001231047392 1097654669 /nfs/dbraw/zinc/65/46/69/1097654669.db2.gz RKSJGYFBOCWUBK-MEBBXXQBSA-N 0 1 322.796 3.287 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001231121305 1097674373 /nfs/dbraw/zinc/67/43/73/1097674373.db2.gz BKYSRXKCGGTMNM-IBGZPJMESA-N 0 1 312.457 3.174 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc4n[nH]cc43)sc2C1 ZINC001174342803 1097682606 /nfs/dbraw/zinc/68/26/06/1097682606.db2.gz ONDYFQGXIUTVFA-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CCCCC(F)(F)F ZINC001231168961 1097685827 /nfs/dbraw/zinc/68/58/27/1097685827.db2.gz CIPQQEODHQFHGZ-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1[C@H]1CCc2ccc(F)cc21 ZINC001231178024 1097688914 /nfs/dbraw/zinc/68/89/14/1097688914.db2.gz IJGFALLAVKTVFN-AEFFLSMTSA-N 0 1 316.420 3.312 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1[C@@H]1CCc2ccc(F)cc21 ZINC001231178026 1097689477 /nfs/dbraw/zinc/68/94/77/1097689477.db2.gz IJGFALLAVKTVFN-SJLPKXTDSA-N 0 1 316.420 3.312 20 30 DGEDMN CC(C)CC(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001231309543 1097717066 /nfs/dbraw/zinc/71/70/66/1097717066.db2.gz KYKNLQZULCDGFV-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CCOC(=O)c1c(C)oc(Nc2ccc3cn[nH]c3c2)c1C#N ZINC001174498783 1097729192 /nfs/dbraw/zinc/72/91/92/1097729192.db2.gz SLKHMRCOLCEKLS-UHFFFAOYSA-N 0 1 310.313 3.256 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)ccc3O)sc2C1 ZINC001174627381 1097782690 /nfs/dbraw/zinc/78/26/90/1097782690.db2.gz UPXXFAVAUFBPCD-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001174673240 1097795990 /nfs/dbraw/zinc/79/59/90/1097795990.db2.gz VXDMXLWMMUBTEA-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)Cc1ccc(C)cc1)C2 ZINC001110171635 1097807219 /nfs/dbraw/zinc/80/72/19/1097807219.db2.gz MZKCEZIJHFEVJC-NQYYFHDYSA-N 0 1 312.457 3.081 20 30 DGEDMN C#Cc1cccc(CN2C[C@@H](NC(=O)OC(C)(C)C)C[C@H]2C)c1 ZINC001231663554 1097808515 /nfs/dbraw/zinc/80/85/15/1097808515.db2.gz NSMTUBIRAPSIJL-PBHICJAKSA-N 0 1 314.429 3.155 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001174849593 1097867669 /nfs/dbraw/zinc/86/76/69/1097867669.db2.gz OQKHVZZWKDWBHF-GJZGRUSLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001174849595 1097867860 /nfs/dbraw/zinc/86/78/60/1097867860.db2.gz OQKHVZZWKDWBHF-LSDHHAIUSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001174979040 1097913768 /nfs/dbraw/zinc/91/37/68/1097913768.db2.gz SXJIMFMZDBYVRU-OAHLLOKOSA-N 0 1 307.438 3.104 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1nc(C)no1 ZINC001174979435 1097914694 /nfs/dbraw/zinc/91/46/94/1097914694.db2.gz ZWTMHCQTQMBCEC-KBPBESRZSA-N 0 1 322.453 3.060 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1ncc(CC)o1 ZINC001174986152 1097917515 /nfs/dbraw/zinc/91/75/15/1097917515.db2.gz GDPDWQJVALKICY-OAHLLOKOSA-N 0 1 321.465 3.358 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCCNCc2ncc(C)o2)C1 ZINC001175150319 1097946647 /nfs/dbraw/zinc/94/66/47/1097946647.db2.gz MDFTVGLIQIEWNC-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCCNCc2coc(C)n2)C1 ZINC001175151348 1097947875 /nfs/dbraw/zinc/94/78/75/1097947875.db2.gz YJIBDDBBJIXTMZ-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1ccc(O)c3ncccc13)C2 ZINC001232272412 1097988712 /nfs/dbraw/zinc/98/87/12/1097988712.db2.gz NOUPCPVISLMOOS-UHFFFAOYSA-N 0 1 315.376 3.370 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001277652541 1098013627 /nfs/dbraw/zinc/01/36/27/1098013627.db2.gz WGGBNKRZNIBXGR-VXGBXAGGSA-N 0 1 306.372 3.072 20 30 DGEDMN Cc1nc(N)ccc1CN1CCC(C#N)(c2ccccc2)CC1 ZINC001232337106 1098008810 /nfs/dbraw/zinc/00/88/10/1098008810.db2.gz JISKEEABFVOMJG-UHFFFAOYSA-N 0 1 306.413 3.030 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CCc1ccccc1)C2 ZINC001110184596 1098009828 /nfs/dbraw/zinc/00/98/28/1098009828.db2.gz PDGDGBBTMWUJRO-RNQOJCNYSA-N 0 1 324.468 3.000 20 30 DGEDMN COC1(c2ccccc2)CCN(Cc2cc(C#N)ccn2)CC1 ZINC001232480181 1098052260 /nfs/dbraw/zinc/05/22/60/1098052260.db2.gz MNEXCZMHBXLXHD-UHFFFAOYSA-N 0 1 307.397 3.091 20 30 DGEDMN C=CC[NH+]1CCN(Cc2ccc(Cl)c([O-])c2Cl)CC1 ZINC001232629068 1098101116 /nfs/dbraw/zinc/10/11/16/1098101116.db2.gz BMFBTVLVWCDBEW-UHFFFAOYSA-N 0 1 301.217 3.003 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(F)c(O)c(Br)c2)C1 ZINC001232634415 1098103286 /nfs/dbraw/zinc/10/32/86/1098103286.db2.gz GWWTWPKVVXGZND-SNVBAGLBSA-N 0 1 312.182 3.139 20 30 DGEDMN CC(=O)Nc1ccc(Nc2ccc3c(C)[nH]nc3c2)cc1C#N ZINC001175662609 1098130453 /nfs/dbraw/zinc/13/04/53/1098130453.db2.gz UPUBHGXQXOPZIX-UHFFFAOYSA-N 0 1 305.341 3.445 20 30 DGEDMN CCOc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cn1 ZINC001175736421 1098149984 /nfs/dbraw/zinc/14/99/84/1098149984.db2.gz XQKIQOUDOHCHTJ-UHFFFAOYSA-N 0 1 314.414 3.145 20 30 DGEDMN Cc1ccc(CN2CCN(C)[C@@H](c3ccccc3)C2)c(C#N)c1 ZINC001232966486 1098185346 /nfs/dbraw/zinc/18/53/46/1098185346.db2.gz NNSXBMOLXTZELV-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN Cc1ccc(CN2CCCN(c3cccc(C)n3)CC2)c(C#N)c1 ZINC001232967796 1098186940 /nfs/dbraw/zinc/18/69/40/1098186940.db2.gz WPUXCNIBXDOMCS-UHFFFAOYSA-N 0 1 320.440 3.282 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@](O)(C(F)(F)F)[C@H](C)C1 ZINC001233080054 1098220858 /nfs/dbraw/zinc/22/08/58/1098220858.db2.gz CBFBCXVVAWTZRD-DOMZBBRYSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccc(-n2ncc(C#N)c2Nc2ccc3nn[nH]c3c2)cc1 ZINC001175999229 1098226471 /nfs/dbraw/zinc/22/64/71/1098226471.db2.gz OULYDWQEAIPEEJ-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN Cc1ccc(-n2ncc(C#N)c2Nc2ccc3n[nH]nc3c2)cc1 ZINC001175999229 1098226474 /nfs/dbraw/zinc/22/64/74/1098226474.db2.gz OULYDWQEAIPEEJ-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN COCCC1CCN(Cc2ccc(N(C)C)c(C#N)c2F)CC1 ZINC001233100185 1098227131 /nfs/dbraw/zinc/22/71/31/1098227131.db2.gz BVTPLFFUZRJJCI-UHFFFAOYSA-N 0 1 319.424 3.012 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1cnc(C)c(C)c1)C2 ZINC001233163996 1098240433 /nfs/dbraw/zinc/24/04/33/1098240433.db2.gz OVVFWGAAGHJNAD-RBUKOAKNSA-N 0 1 316.445 3.025 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2cnn3ccccc23)CC1 ZINC001233235955 1098260101 /nfs/dbraw/zinc/26/01/01/1098260101.db2.gz KQBRGAVZGKLHLW-UHFFFAOYSA-N 0 1 316.408 3.392 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)sn1 ZINC001233312926 1098282652 /nfs/dbraw/zinc/28/26/52/1098282652.db2.gz UOFWIRBCEXKHSP-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)sn1 ZINC001233313934 1098283498 /nfs/dbraw/zinc/28/34/98/1098283498.db2.gz WZKFXTVYUQYTBV-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1cc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)sn1 ZINC001233313933 1098284002 /nfs/dbraw/zinc/28/40/02/1098284002.db2.gz WZKFXTVYUQYTBV-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN C[N@@H+](Cc1ccc(-n2ccnc2)cc1)Cc1ccc(C#N)cc1[O-] ZINC001233387643 1098310443 /nfs/dbraw/zinc/31/04/43/1098310443.db2.gz RHRJARYRFUZENC-UHFFFAOYSA-N 0 1 318.380 3.082 20 30 DGEDMN CC(C)=CC(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001233535974 1098367734 /nfs/dbraw/zinc/36/77/34/1098367734.db2.gz ZXSGKTRFNKESLD-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN N#Cc1cccc(Cl)c1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001233548037 1098372171 /nfs/dbraw/zinc/37/21/71/1098372171.db2.gz QPOQWYYBGLEPLW-SHTZXODSSA-N 0 1 320.820 3.234 20 30 DGEDMN CC(C)CCC(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001233695273 1098410855 /nfs/dbraw/zinc/41/08/55/1098410855.db2.gz DTNXSGDTZDBNKD-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)[C@H](C)CCCC ZINC001277812187 1098441930 /nfs/dbraw/zinc/44/19/30/1098441930.db2.gz WGBCFHMSWXFDTO-DGCLKSJQSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCC[N@@H+](Cc1ccccc1)Cc1ccc2c(n1)OCCO2 ZINC001233876971 1098447182 /nfs/dbraw/zinc/44/71/82/1098447182.db2.gz MIVRQHAFBFEOEH-UHFFFAOYSA-N 0 1 310.397 3.431 20 30 DGEDMN C=CCCN(Cc1ccccc1)Cc1ccc2c(n1)OCCO2 ZINC001233876971 1098447183 /nfs/dbraw/zinc/44/71/83/1098447183.db2.gz MIVRQHAFBFEOEH-UHFFFAOYSA-N 0 1 310.397 3.431 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177173397 1098481478 /nfs/dbraw/zinc/48/14/78/1098481478.db2.gz VUOATHJXGOKTQF-JTQLQIEISA-N 0 1 322.393 3.240 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001234159471 1098506390 /nfs/dbraw/zinc/50/63/90/1098506390.db2.gz ASFWQKFFGASTOF-FQEVSTJZSA-N 0 1 324.468 3.256 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCN1Cc1ccccc1C1CC1 ZINC001234162258 1098507229 /nfs/dbraw/zinc/50/72/29/1098507229.db2.gz QXKJECNKBKXECQ-LJQANCHMSA-N 0 1 324.468 3.256 20 30 DGEDMN Cc1ccc(CN2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)cc1 ZINC001234194807 1098512351 /nfs/dbraw/zinc/51/23/51/1098512351.db2.gz HBLNXOMALUFEJL-SFHVURJKSA-N 0 1 312.457 3.077 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(OC(F)(F)F)ccc1F ZINC001234370959 1098544830 /nfs/dbraw/zinc/54/48/30/1098544830.db2.gz PENVWYWQOCFIDZ-ZCFIWIBFSA-N 0 1 306.211 3.322 20 30 DGEDMN C[C@@H](COc1ccccc1)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234511904 1098575567 /nfs/dbraw/zinc/57/55/67/1098575567.db2.gz YMDIWVAIOMIVEL-LBPRGKRZSA-N 0 1 321.336 3.053 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CCCC[C@H](C)CC ZINC001234544739 1098592161 /nfs/dbraw/zinc/59/21/61/1098592161.db2.gz CDBNHLQIZRPORB-MSOLQXFVSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1 ZINC001234592807 1098613217 /nfs/dbraw/zinc/61/32/17/1098613217.db2.gz FESOMZAWJGQJTI-GOSISDBHSA-N 0 1 300.446 3.384 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N1CCC[C@@H]1c1nccs1 ZINC001177910219 1098645630 /nfs/dbraw/zinc/64/56/30/1098645630.db2.gz XIXLUWGMRZQQND-WCQYABFASA-N 0 1 317.439 3.251 20 30 DGEDMN COC(=O)[C@H](Oc1ccccc1C=NO)c1ccccc1Cl ZINC001234818537 1098670814 /nfs/dbraw/zinc/67/08/14/1098670814.db2.gz AUEWKYINVLKRNB-OAHLLOKOSA-N 0 1 319.744 3.441 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(N(C)C(=O)C(C)C)cc1 ZINC001234837804 1098675874 /nfs/dbraw/zinc/67/58/74/1098675874.db2.gz ROSUGJAUIQOFLZ-HNNXBMFYSA-N 0 1 317.385 3.294 20 30 DGEDMN C=CCCC(=O)NCCCCCCNCc1nc(C)c(C)o1 ZINC001178018356 1098679584 /nfs/dbraw/zinc/67/95/84/1098679584.db2.gz PSAZMRVNWOCVMR-UHFFFAOYSA-N 0 1 307.438 3.024 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(Cl)c(OC)c1F ZINC001234962871 1098728748 /nfs/dbraw/zinc/72/87/48/1098728748.db2.gz KQHWKBUSVJHXPI-SECBINFHSA-N 0 1 300.713 3.476 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2ccc(-c3nnc[nH]3)cc2)cc1 ZINC001178219488 1098759031 /nfs/dbraw/zinc/75/90/31/1098759031.db2.gz HVZDSOHTKFQCJK-UHFFFAOYSA-N 0 1 317.352 3.180 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CCc3ccccc3)CC1)C2 ZINC001110222467 1098894265 /nfs/dbraw/zinc/89/42/65/1098894265.db2.gz PVBWHQODWAUKNH-QYZOEREBSA-N 0 1 324.468 3.307 20 30 DGEDMN C#Cc1ccc(CNCc2ccnn2-c2ccccc2C)cc1 ZINC001178758705 1098926852 /nfs/dbraw/zinc/92/68/52/1098926852.db2.gz FSMLWPLEZKPXNH-UHFFFAOYSA-N 0 1 301.393 3.452 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ccncc2Cl)[C@@H]1C ZINC001178802203 1098935363 /nfs/dbraw/zinc/93/53/63/1098935363.db2.gz AYTXFFIXMUPQED-BBRMVZONSA-N 0 1 321.852 3.170 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1cc(F)cc(Br)c1O ZINC001235300932 1098949300 /nfs/dbraw/zinc/94/93/00/1098949300.db2.gz AVYINIHNBACKNT-LLVKDONJSA-N 0 1 313.170 3.172 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001235364939 1098980501 /nfs/dbraw/zinc/98/05/01/1098980501.db2.gz PTPXEXQPMQJXDU-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001235364941 1098981790 /nfs/dbraw/zinc/98/17/90/1098981790.db2.gz PTPXEXQPMQJXDU-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)CN(C(=O)OC(C)(C)C)C2 ZINC001235388107 1098991135 /nfs/dbraw/zinc/99/11/35/1098991135.db2.gz AAQBXKVSWJBAQV-UHFFFAOYSA-N 0 1 300.402 3.002 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2sccc2C#N)n[nH]1 ZINC001179151632 1099028100 /nfs/dbraw/zinc/02/81/00/1099028100.db2.gz DVOVVVPRWHYHJG-ZDUSSCGKSA-N 0 1 300.387 3.019 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCCCCNCc1nocc1C ZINC001179212448 1099038490 /nfs/dbraw/zinc/03/84/90/1099038490.db2.gz ORKGTMZVCVYTLO-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@H](C)c1cc(F)ccc1F ZINC001235463691 1099048494 /nfs/dbraw/zinc/04/84/94/1099048494.db2.gz ATHCWOIFEXNFLJ-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CC(=O)Nc1ccc2cncn2c1 ZINC001179310161 1099060645 /nfs/dbraw/zinc/06/06/45/1099060645.db2.gz OKGRYCORCXTBHB-UHFFFAOYSA-N 0 1 304.353 3.004 20 30 DGEDMN COC(=O)c1ccc2c(c1)CN(Cc1ccccc1CC#N)CC2 ZINC001235522774 1099070543 /nfs/dbraw/zinc/07/05/43/1099070543.db2.gz JFSGMFJPEKUNJJ-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179340228 1099077628 /nfs/dbraw/zinc/07/76/28/1099077628.db2.gz WIZAUNPYGLUCIA-INIZCTEOSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C\Cc1ccccc1 ZINC001235551566 1099086885 /nfs/dbraw/zinc/08/68/85/1099086885.db2.gz VGWCWFJNFANTTP-QULHZPMSSA-N 0 1 312.457 3.284 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@@H](C)c1ncccn1 ZINC001179425834 1099102356 /nfs/dbraw/zinc/10/23/56/1099102356.db2.gz HDMCKFRTKZTUIX-INIZCTEOSA-N 0 1 318.465 3.160 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)[C@@H]1C ZINC001179451587 1099104431 /nfs/dbraw/zinc/10/44/31/1099104431.db2.gz BWDXYFRBMDKIEF-GUYCJALGSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCOCC(=O)NCCCN(C)[C@H](C)c1ccccc1Cl ZINC001235699454 1099134313 /nfs/dbraw/zinc/13/43/13/1099134313.db2.gz WHDWDNBYPJJOLH-CQSZACIVSA-N 0 1 324.852 3.042 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)CC1=CCCCC1 ZINC001235766791 1099172657 /nfs/dbraw/zinc/17/26/57/1099172657.db2.gz QVNMIOMZRZFEIN-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)CC(C)(C)C)[C@H]1C ZINC001179678018 1099173713 /nfs/dbraw/zinc/17/37/13/1099173713.db2.gz CZXHEVIVNVZVKK-UBHSHLNASA-N 0 1 300.874 3.390 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(F)c(C#N)c3)cc2[nH]1 ZINC001235897760 1099240659 /nfs/dbraw/zinc/24/06/59/1099240659.db2.gz MVWSCVHPYNHGLJ-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001180696942 1099492514 /nfs/dbraw/zinc/49/25/14/1099492514.db2.gz ARKQDTSRRZWSFB-FZMZJTMJSA-N 0 1 307.825 3.174 20 30 DGEDMN Cc1nc(N2CCN([C@H](C)c3ccccc3)CC2)ccc1C#N ZINC001187463325 1099524099 /nfs/dbraw/zinc/52/40/99/1099524099.db2.gz UWQWPLCEPRZZGI-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN CCCCc1ccc(NC(=O)NC2(C#N)CCN(C)CC2)cc1 ZINC001187752150 1099597431 /nfs/dbraw/zinc/59/74/31/1099597431.db2.gz HDQIEYBMFJITJO-UHFFFAOYSA-N 0 1 314.433 3.139 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](CCCC)C(C)C)C1 ZINC001188195344 1099709548 /nfs/dbraw/zinc/70/95/48/1099709548.db2.gz HBGYAZKELINFKH-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(F)F)o1 ZINC001278002365 1099761302 /nfs/dbraw/zinc/76/13/02/1099761302.db2.gz JJJWFKCQVCKWHY-VIFPVBQESA-N 0 1 306.740 3.020 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)Cc2cc(C)ccc2F)C1 ZINC001189317772 1100023728 /nfs/dbraw/zinc/02/37/28/1100023728.db2.gz DGEHREANTDJDLZ-MRXNPFEDSA-N 0 1 304.409 3.133 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(Cc2cccc(N)c2)CC1 ZINC001237223736 1100037692 /nfs/dbraw/zinc/03/76/92/1100037692.db2.gz UDETWXYZMNVINR-UHFFFAOYSA-N 0 1 309.388 3.465 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2cc(C)ns2)CC1 ZINC001278072540 1100046725 /nfs/dbraw/zinc/04/67/25/1100046725.db2.gz WXIJRHRCJYPNQF-UHFFFAOYSA-N 0 1 321.490 3.279 20 30 DGEDMN N#CCc1cccc(NC(=O)c2cn[nH]c2-c2ccccc2)c1 ZINC001189696314 1100115261 /nfs/dbraw/zinc/11/52/61/1100115261.db2.gz BBUZMYKOWOBQDO-UHFFFAOYSA-N 0 1 302.337 3.395 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(CN2CCCCC2)o1)c1ccccc1 ZINC001189737982 1100128203 /nfs/dbraw/zinc/12/82/03/1100128203.db2.gz YFYQEYOXWKHIBF-KRWDZBQOSA-N 0 1 323.396 3.260 20 30 DGEDMN COc1cccc([C@H]2CCC[N@@H+](Cc3ccnc(C#N)c3)C2)c1 ZINC001237463234 1100132361 /nfs/dbraw/zinc/13/23/61/1100132361.db2.gz OOZFSWMTVZXYQS-KRWDZBQOSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](Sc3ccc(F)cc3)C2)ccn1 ZINC001237469575 1100134117 /nfs/dbraw/zinc/13/41/17/1100134117.db2.gz YJRBDIRUQPRMJM-KRWDZBQOSA-N 0 1 313.401 3.459 20 30 DGEDMN Cn1cc(CN2CC(c3cccnc3)C2)c2cc(C#N)ccc21 ZINC001237470428 1100135778 /nfs/dbraw/zinc/13/57/78/1100135778.db2.gz CYSJGQUDGLZTMR-UHFFFAOYSA-N 0 1 302.381 3.044 20 30 DGEDMN N#Cc1ccc2nc(CN3CC[C@H](c4ccc(F)cc4)C3)cn2c1 ZINC001237607264 1100185381 /nfs/dbraw/zinc/18/53/81/1100185381.db2.gz VBMGIPSBPREXAP-INIZCTEOSA-N 0 1 320.371 3.335 20 30 DGEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@@H](C)c2ccccc2F)C1 ZINC001190004173 1100185639 /nfs/dbraw/zinc/18/56/39/1100185639.db2.gz NPZVCXUJASAVFF-HOTGVXAUSA-N 0 1 316.420 3.079 20 30 DGEDMN CN(CCc1cccc(F)c1)Cc1cn2cc(C#N)ccc2n1 ZINC001237618964 1100190049 /nfs/dbraw/zinc/19/00/49/1100190049.db2.gz VILKSBHSEXOPPB-UHFFFAOYSA-N 0 1 308.360 3.020 20 30 DGEDMN COc1cc(CN(CCC#N)C2CCCC2)c(O)c(OC)c1 ZINC001237675851 1100210492 /nfs/dbraw/zinc/21/04/92/1100210492.db2.gz HOPQDNAIMYDODN-UHFFFAOYSA-N 0 1 304.390 3.068 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)NCc2ccc3[nH]nnc3c2)cc1 ZINC001190233010 1100221127 /nfs/dbraw/zinc/22/11/27/1100221127.db2.gz MEOKTAORRLNLMZ-UHFFFAOYSA-N 0 1 306.369 3.007 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)NCc2ccc3nn[nH]c3c2)cc1 ZINC001190233010 1100221132 /nfs/dbraw/zinc/22/11/32/1100221132.db2.gz MEOKTAORRLNLMZ-UHFFFAOYSA-N 0 1 306.369 3.007 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC3(C[C@@H]3CCO)CC2)c1 ZINC001237747115 1100236555 /nfs/dbraw/zinc/23/65/55/1100236555.db2.gz RJDVWNMPRFHMBN-HNNXBMFYSA-N 0 1 304.821 3.196 20 30 DGEDMN N#Cc1cc(Cl)cc(CN(CCO)Cc2cccs2)c1 ZINC001237757715 1100240848 /nfs/dbraw/zinc/24/08/48/1100240848.db2.gz SNSQDDUQKOBLCU-UHFFFAOYSA-N 0 1 306.818 3.268 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@]23C[C@H]2CCN3CCC(C)C)c1 ZINC001278176699 1100348752 /nfs/dbraw/zinc/34/87/52/1100348752.db2.gz UZOHFXDLWVXNAF-APWZRJJASA-N 0 1 311.429 3.316 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3sccc3s2)C1 ZINC001190934587 1100363987 /nfs/dbraw/zinc/36/39/87/1100363987.db2.gz PMGSQTIELXGGEL-GFCCVEGCSA-N 0 1 318.467 3.132 20 30 DGEDMN COc1cc(CN2CCC[C@H](Oc3cccnc3)C2)ccc1C#N ZINC001238408090 1100435460 /nfs/dbraw/zinc/43/54/60/1100435460.db2.gz YCPLJHPRYKVIQY-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cc(CN2CCC[C@H](Oc3ccncc3)C2)ccc1C#N ZINC001238417084 1100436423 /nfs/dbraw/zinc/43/64/23/1100436423.db2.gz VSFPIKAWRVJOPS-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN CCC#C[C@@H](C)N1CC[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001191400184 1100456793 /nfs/dbraw/zinc/45/67/93/1100456793.db2.gz OGJJDNQWZZKMEP-OAGGEKHMSA-N 0 1 306.450 3.262 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C#CC(C)(C)C)C1CCCC1 ZINC001278242986 1100469294 /nfs/dbraw/zinc/46/92/94/1100469294.db2.gz ZKOKEUVJRAYUHS-OAHLLOKOSA-N 0 1 310.869 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccnc1C)C1CCCC1 ZINC001278267177 1100502456 /nfs/dbraw/zinc/50/24/56/1100502456.db2.gz JSIKXIUPJIKEOJ-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191867604 1100536441 /nfs/dbraw/zinc/53/64/41/1100536441.db2.gz FQZBNNDHOIPJSN-QUCCMNQESA-N 0 1 324.468 3.173 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001191911677 1100536796 /nfs/dbraw/zinc/53/67/96/1100536796.db2.gz ILPFZGVAWCVBMJ-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191867605 1100537531 /nfs/dbraw/zinc/53/75/31/1100537531.db2.gz FQZBNNDHOIPJSN-UYAOXDASSA-N 0 1 324.468 3.173 20 30 DGEDMN Cc1nc(-c2ccc(C(F)(F)F)cc2C#N)nc2nc[nH]c21 ZINC001238838719 1100543268 /nfs/dbraw/zinc/54/32/68/1100543268.db2.gz WGDBDCQXQZGMBP-UHFFFAOYSA-N 0 1 303.247 3.170 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC001191913626 1100549416 /nfs/dbraw/zinc/54/94/16/1100549416.db2.gz ZSRBDRIHNLIXQE-IRXDYDNUSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1cccc([C@@H](O)CNC2(c3nccs3)CCCC2)c1 ZINC001191975775 1100557369 /nfs/dbraw/zinc/55/73/69/1100557369.db2.gz CJLPBOLXYAVLRT-HNNXBMFYSA-N 0 1 313.426 3.107 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC001192073415 1100566194 /nfs/dbraw/zinc/56/61/94/1100566194.db2.gz SVTCIYQPSKQFOZ-QGZVFWFLSA-N 0 1 322.399 3.354 20 30 DGEDMN CCN(CC#Cc1ccccc1Cl)[C@H](C)CNC(=O)C(C)C ZINC001151991243 1100575812 /nfs/dbraw/zinc/57/58/12/1100575812.db2.gz FIHUOKVLJMXFOA-OAHLLOKOSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCNC3(CC3)C2)c1 ZINC001239287805 1100622166 /nfs/dbraw/zinc/62/21/66/1100622166.db2.gz DMRNGQIMLDSHCI-UHFFFAOYSA-N 0 1 313.445 3.102 20 30 DGEDMN CCCCCCC[C@H](C)NC(=O)N1CC[C@H](N)C(F)(F)C1 ZINC001239288255 1100622429 /nfs/dbraw/zinc/62/24/29/1100622429.db2.gz KYSVBYHIBSCBEL-STQMWFEESA-N 0 1 305.413 3.113 20 30 DGEDMN COCCN(C)Cc1ccnc(/C=C/c2ccc(C#N)cc2)c1 ZINC001239292594 1100624218 /nfs/dbraw/zinc/62/42/18/1100624218.db2.gz ZTUXGVWUAFTRNO-BQYQJAHWSA-N 0 1 307.397 3.202 20 30 DGEDMN C#CC[C@H](COC)NCc1cc2cccc(C)c2nc1Cl ZINC001203294025 1100624738 /nfs/dbraw/zinc/62/47/38/1100624738.db2.gz DOQUEFLMLPAIGY-OAHLLOKOSA-N 0 1 302.805 3.325 20 30 DGEDMN CN1CCN(c2ccc(/C=C/c3ccc(C#N)cc3)cc2)CC1 ZINC001239296910 1100625094 /nfs/dbraw/zinc/62/50/94/1100625094.db2.gz KOJKMXKLFUVBDA-NSCUHMNNSA-N 0 1 303.409 3.480 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C)c3ccccc23)C1 ZINC001192551223 1100632172 /nfs/dbraw/zinc/63/21/72/1100632172.db2.gz OZSXUTMCROQNSG-KRWDZBQOSA-N 0 1 320.436 3.318 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001192587071 1100639954 /nfs/dbraw/zinc/63/99/54/1100639954.db2.gz ZMLFEQJAMCATPD-LJQANCHMSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001192642392 1100648030 /nfs/dbraw/zinc/64/80/30/1100648030.db2.gz SNLXDTSJNQLQDJ-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001192687946 1100652009 /nfs/dbraw/zinc/65/20/09/1100652009.db2.gz MUEXACZUDATLRF-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)[N@H+]1CCCC(C)(C)C1 ZINC001193430439 1100744378 /nfs/dbraw/zinc/74/43/78/1100744378.db2.gz JHHHAPDQPBSPEJ-KOHRHEQBSA-N 0 1 319.493 3.428 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCCC(C)(C)C1 ZINC001193430439 1100744382 /nfs/dbraw/zinc/74/43/82/1100744382.db2.gz JHHHAPDQPBSPEJ-KOHRHEQBSA-N 0 1 319.493 3.428 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001193463707 1100747197 /nfs/dbraw/zinc/74/71/97/1100747197.db2.gz XASHLPXSPJPCJJ-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc(C(C)=O)c(NC(=O)c2ccc(O)c(C#N)c2)c1C ZINC001193505404 1100757461 /nfs/dbraw/zinc/75/74/61/1100757461.db2.gz KHUHCASFHADXPM-UHFFFAOYSA-N 0 1 324.336 3.036 20 30 DGEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001193600692 1100780395 /nfs/dbraw/zinc/78/03/95/1100780395.db2.gz JJAAAGWDKPDEPN-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001193600692 1100780403 /nfs/dbraw/zinc/78/04/03/1100780403.db2.gz JJAAAGWDKPDEPN-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2ccccc2C#N)cc1)[C@H]1CCCO1 ZINC001193604798 1100781779 /nfs/dbraw/zinc/78/17/79/1100781779.db2.gz HIBMKFYDBSPXLJ-LEWJYISDSA-N 0 1 316.404 3.496 20 30 DGEDMN N#Cc1cccc(C(=O)N2CCc3c(oc4ccccc43)C2)c1O ZINC001193646504 1100782772 /nfs/dbraw/zinc/78/27/72/1100782772.db2.gz KZSKQINJPHYQHQ-UHFFFAOYSA-N 0 1 318.332 3.209 20 30 DGEDMN Cc1[nH]c2ccc(CNC(=O)c3cccc(C#N)c3O)cc2c1C ZINC001193657821 1100786040 /nfs/dbraw/zinc/78/60/40/1100786040.db2.gz HKLLONIRMFWHDJ-UHFFFAOYSA-N 0 1 319.364 3.292 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN(Cc3cccc(F)c3)C2)CC1 ZINC001203784371 1100850376 /nfs/dbraw/zinc/85/03/76/1100850376.db2.gz XUALNOKGCHBKHU-UHFFFAOYSA-N 0 1 318.392 3.046 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)CC(CC)CC)O2 ZINC001273668929 1100852498 /nfs/dbraw/zinc/85/24/98/1100852498.db2.gz JLRLZVHEZOWDSZ-QGZVFWFLSA-N 0 1 322.493 3.129 20 30 DGEDMN N#Cc1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1F ZINC001240161132 1100884232 /nfs/dbraw/zinc/88/42/32/1100884232.db2.gz YCRWAPJENFNLMS-UHFFFAOYSA-N 0 1 310.372 3.239 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(C(C)C)s2)C1 ZINC001194326917 1100914383 /nfs/dbraw/zinc/91/43/83/1100914383.db2.gz TYJGIJDZHCHNED-CQSZACIVSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(C)C)s2)C1 ZINC001194326917 1100914387 /nfs/dbraw/zinc/91/43/87/1100914387.db2.gz TYJGIJDZHCHNED-CQSZACIVSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@@H](N(C)CC#CC)C1)c1ccccc1 ZINC001194555493 1100965730 /nfs/dbraw/zinc/96/57/30/1100965730.db2.gz MKQNOQQVYYBCCV-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN COc1cc(C#N)nc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240385959 1100968680 /nfs/dbraw/zinc/96/86/80/1100968680.db2.gz SSJWBQBZPLRIFQ-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN CNc1ncc2cc(-c3nccc4[nH]cc(C#N)c43)ccc2n1 ZINC001240599460 1101066899 /nfs/dbraw/zinc/06/68/99/1101066899.db2.gz PHDSBNNPBJSXMO-UHFFFAOYSA-N 0 1 300.325 3.086 20 30 DGEDMN COCCn1cc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)cn1 ZINC001240631501 1101078565 /nfs/dbraw/zinc/07/85/65/1101078565.db2.gz WTAFKFLQABIKJX-FBCYGCLPSA-N 0 1 313.279 3.023 20 30 DGEDMN CCCCCCC[N@H+]1CCCN(C(=O)C(=O)C(C)(C)C)CC1 ZINC001195321928 1101113089 /nfs/dbraw/zinc/11/30/89/1101113089.db2.gz RLDFIPKPEJQCDM-UHFFFAOYSA-N 0 1 310.482 3.106 20 30 DGEDMN CCCCCCCN1CCCN(C(=O)C(=O)C(C)(C)C)CC1 ZINC001195321928 1101113092 /nfs/dbraw/zinc/11/30/92/1101113092.db2.gz RLDFIPKPEJQCDM-UHFFFAOYSA-N 0 1 310.482 3.106 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2c(C)cccc2Cl)c1 ZINC001195309042 1101119011 /nfs/dbraw/zinc/11/90/11/1101119011.db2.gz YJVYJZRZJLFOIG-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc4[nH]cc(C#N)c4c3)cc2)CC1 ZINC001240851984 1101157862 /nfs/dbraw/zinc/15/78/62/1101157862.db2.gz HPXPZFYGQFVMKK-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(CC#N)c(C#N)c3)cc2)CC1 ZINC001240851989 1101157868 /nfs/dbraw/zinc/15/78/68/1101157868.db2.gz HTZIZHNLYUWELP-UHFFFAOYSA-N 0 1 316.408 3.043 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CCCN(C(=O)c2sccc2F)CC1 ZINC001195726232 1101181399 /nfs/dbraw/zinc/18/13/99/1101181399.db2.gz BJXGGRBMNBFSIC-CYBMUJFWSA-N 0 1 310.438 3.390 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CCCN(C(=O)c2sccc2F)CC1 ZINC001195726234 1101181721 /nfs/dbraw/zinc/18/17/21/1101181721.db2.gz BJXGGRBMNBFSIC-ZDUSSCGKSA-N 0 1 310.438 3.390 20 30 DGEDMN COc1ccc2ncc(NC(=O)c3ccc(C#N)cc3O)cc2c1 ZINC001195733400 1101182716 /nfs/dbraw/zinc/18/27/16/1101182716.db2.gz NSYDUDGRRNJJSK-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(-c3ccc(F)cc3)no2)c(O)c1 ZINC001195733607 1101182762 /nfs/dbraw/zinc/18/27/62/1101182762.db2.gz SXHBGJPJSGVTGX-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN COc1cnc2c(cccc2NC(=O)c2ccc(C#N)cc2O)c1 ZINC001195758719 1101190103 /nfs/dbraw/zinc/19/01/03/1101190103.db2.gz QNJHMNXMRPUZAG-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN N#Cc1ccc(C(=O)NC(=N)C23CC4CC(CC(C4)C2)C3)c(O)c1 ZINC001195764074 1101193810 /nfs/dbraw/zinc/19/38/10/1101193810.db2.gz GGAVFBHTCQHDPI-UHFFFAOYSA-N 0 1 323.396 3.187 20 30 DGEDMN C[C@H](C#N)N(C)C(=O)c1cc(-c2ccc(C(F)(F)F)cc2)[nH]n1 ZINC001195942721 1101218922 /nfs/dbraw/zinc/21/89/22/1101218922.db2.gz GFDADUDOCOIFIX-SECBINFHSA-N 0 1 322.290 3.080 20 30 DGEDMN C=CCCCCCN1CCCN(C(=O)c2ccns2)CC1 ZINC001196003280 1101234744 /nfs/dbraw/zinc/23/47/44/1101234744.db2.gz CSNUZPSPNQHZQF-UHFFFAOYSA-N 0 1 307.463 3.037 20 30 DGEDMN CCCCCN1CCN(C(=S)Nc2ccccc2C#N)CC1 ZINC001196305715 1101278753 /nfs/dbraw/zinc/27/87/53/1101278753.db2.gz SRXLHTABPAJQPY-UHFFFAOYSA-N 0 1 316.474 3.063 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CC2(C)CCCCC2)CC1 ZINC001196471233 1101312647 /nfs/dbraw/zinc/31/26/47/1101312647.db2.gz OAEMGKQUTVBRRF-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(F)c(C)c1F ZINC001273721688 1101312970 /nfs/dbraw/zinc/31/29/70/1101312970.db2.gz YGKQXQDZLZOMGY-HZPDHXFCSA-N 0 1 320.383 3.025 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[N@@H+](Cc2ccsc2)CC1 ZINC001196776073 1101398164 /nfs/dbraw/zinc/39/81/64/1101398164.db2.gz ZEYFKHHHEGKECM-UHFFFAOYSA-N 0 1 318.486 3.366 20 30 DGEDMN C#CCCCCCC(=O)N1CCCN(Cc2ccsc2)CC1 ZINC001196776073 1101398172 /nfs/dbraw/zinc/39/81/72/1101398172.db2.gz ZEYFKHHHEGKECM-UHFFFAOYSA-N 0 1 318.486 3.366 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCN(Cc2ccsc2)CC1 ZINC001196895561 1101429532 /nfs/dbraw/zinc/42/95/32/1101429532.db2.gz MDORKCRGVRRZFA-HNNXBMFYSA-N 0 1 306.475 3.385 20 30 DGEDMN C=CCOCC[N@@H+]1CCCN(C(=O)C[C@H](C)CC(C)(C)C)CC1 ZINC001197262873 1101512995 /nfs/dbraw/zinc/51/29/95/1101512995.db2.gz RGLSCIDWDQVCCK-KRWDZBQOSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@H](C)CC(C)(C)C)CC1 ZINC001197262873 1101513003 /nfs/dbraw/zinc/51/30/03/1101513003.db2.gz RGLSCIDWDQVCCK-KRWDZBQOSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)c2ccoc2CCC)CC1 ZINC001197809971 1101671871 /nfs/dbraw/zinc/67/18/71/1101671871.db2.gz PHZCOZMZXIIMBD-UHFFFAOYSA-N 0 1 304.434 3.346 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C(C)(C)CCCCC)CC1 ZINC001198204224 1101777583 /nfs/dbraw/zinc/77/75/83/1101777583.db2.gz DTXBYXZZQKIKNG-UHFFFAOYSA-N 0 1 324.509 3.330 20 30 DGEDMN C#CCCN[C@@H](CNC(=O)CCCCC)c1ccccc1OC ZINC001198530032 1101895295 /nfs/dbraw/zinc/89/52/95/1101895295.db2.gz MENNIQIZBDZBJQ-KRWDZBQOSA-N 0 1 316.445 3.046 20 30 DGEDMN C=CCN[C@H](CNC(=O)/C=C\C(C)(C)C)c1ccccc1OC ZINC001198616120 1101929078 /nfs/dbraw/zinc/92/90/78/1101929078.db2.gz DUOKLIVLNURIQN-YUQCYMQKSA-N 0 1 316.445 3.230 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C1CC(C)C1)c1ccccc1OC ZINC001198657176 1101943510 /nfs/dbraw/zinc/94/35/10/1101943510.db2.gz QRFFKSFYLWFXLQ-DQPZFDDXSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](C)C1CCC1)c1ccccc1OC ZINC001198766401 1101981655 /nfs/dbraw/zinc/98/16/55/1101981655.db2.gz BLCATRLREGTXOQ-RHSMWYFYSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C1(C)CCCC1)c1ccccc1OC ZINC001198820110 1101995832 /nfs/dbraw/zinc/99/58/32/1101995832.db2.gz XYBKESBQNGWRRH-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](C)CC(=C)C)c1ccccc1OC ZINC001198854913 1102002427 /nfs/dbraw/zinc/00/24/27/1102002427.db2.gz ASGWSLUXJQWOET-RDJZCZTQSA-N 0 1 316.445 3.230 20 30 DGEDMN COCOc1cnc(-c2cc(C)cc(C#N)c2)cc1/C=C/N(C)C ZINC001242478744 1102156164 /nfs/dbraw/zinc/15/61/64/1102156164.db2.gz KGQISCQSIKSACW-AATRIKPKSA-N 0 1 323.396 3.444 20 30 DGEDMN C=CCCC(=O)N1CCC(NCc2ncc(C(C)(C)C)o2)CC1 ZINC001199707604 1102188821 /nfs/dbraw/zinc/18/88/21/1102188821.db2.gz ZHHQCLHHZKTXPR-UHFFFAOYSA-N 0 1 319.449 3.019 20 30 DGEDMN COc1ccc(-c2cnc(-c3ccc(O)c(C#N)c3)nc2)cc1 ZINC001242561954 1102208799 /nfs/dbraw/zinc/20/87/99/1102208799.db2.gz FLUBEGZEIWCMCU-UHFFFAOYSA-N 0 1 303.321 3.396 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2ccc(F)c(O)c2)nc1C#N ZINC001243049658 1102498764 /nfs/dbraw/zinc/49/87/64/1102498764.db2.gz NJHISBMSKNDVER-UHFFFAOYSA-N 0 1 316.295 3.399 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1C[C@@H](CC)CCCC ZINC001201559125 1102650593 /nfs/dbraw/zinc/65/05/93/1102650593.db2.gz JBUMUODKDLETGO-DOTOQJQBSA-N 0 1 320.481 3.133 20 30 DGEDMN N#Cc1cc(-c2cccc([O-])c2F)ccc1OC1CC[NH2+]CC1 ZINC001243547663 1102797165 /nfs/dbraw/zinc/79/71/65/1102797165.db2.gz UFAHXFMMTFGRPA-UHFFFAOYSA-N 0 1 312.344 3.201 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(F)ccc3CC#N)c2)CC1 ZINC001243670001 1102904025 /nfs/dbraw/zinc/90/40/25/1102904025.db2.gz ZKXATSNPSDFOHD-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(CC#N)ccc3F)c2)CC1 ZINC001243671424 1102905202 /nfs/dbraw/zinc/90/52/02/1102905202.db2.gz ZWZWZWLDXPQDMZ-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C(F)(F)c2cc(F)cc(F)c2)c1 ZINC001153000712 1103003843 /nfs/dbraw/zinc/00/38/43/1103003843.db2.gz XXPREJZZASIYGV-UHFFFAOYSA-N 0 1 324.233 3.273 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CCCCC)C2 ZINC001111104233 1103046353 /nfs/dbraw/zinc/04/63/53/1103046353.db2.gz WFBSVTUAZILELF-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1(C=C)CCCCC1)C2 ZINC001111137284 1103053246 /nfs/dbraw/zinc/05/32/46/1103053246.db2.gz VSBMIRKTGIKXQV-ZACQAIPSSA-N 0 1 302.462 3.421 20 30 DGEDMN COc1ccnc(Br)c1N=C1CCC(C#N)CC1 ZINC001212857402 1103073639 /nfs/dbraw/zinc/07/36/39/1103073639.db2.gz IJLGIVWVBVXGKQ-VIFPVBQESA-N 0 1 308.179 3.472 20 30 DGEDMN N#CC1CCC(=Nc2cn3cc(Br)ncc3n2)CC1 ZINC001212858034 1103074675 /nfs/dbraw/zinc/07/46/75/1103074675.db2.gz YOYSTYYQCHZSRR-VIFPVBQESA-N 0 1 318.178 3.111 20 30 DGEDMN CC(=NC#N)N(C)Cc1ccc(-c2ccc3[nH]c(C)nc3c2)nc1 ZINC001243965500 1103090824 /nfs/dbraw/zinc/09/08/24/1103090824.db2.gz WVZMORQGJJWWOR-FYJGNVAPSA-N 0 1 318.384 3.265 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C)c1Cl)C2 ZINC001095749909 1103132306 /nfs/dbraw/zinc/13/23/06/1103132306.db2.gz ZJXQBSNAQPCMPO-PMPSAXMXSA-N 0 1 318.848 3.098 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](CC)c1ccccc1)C2 ZINC001111271079 1103151923 /nfs/dbraw/zinc/15/19/23/1103151923.db2.gz AYTSMWJRXMXIDA-AFYVEPGGSA-N 0 1 324.468 3.315 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@H](C)SC ZINC001489032194 1103253859 /nfs/dbraw/zinc/25/38/59/1103253859.db2.gz ZBJPLOSPUASMIO-XBFCOCLRSA-N 0 1 318.914 3.097 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001326330910 1103277071 /nfs/dbraw/zinc/27/70/71/1103277071.db2.gz HVMVHKFOXZIAAH-CABCVRRESA-N 0 1 319.449 3.084 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C12CCC(CC1)CC2 ZINC001326495408 1103342805 /nfs/dbraw/zinc/34/28/05/1103342805.db2.gz UCWJCZIRBZXOQD-UHFFFAOYSA-N 0 1 324.468 3.338 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001489321868 1103358585 /nfs/dbraw/zinc/35/85/85/1103358585.db2.gz DHIVNDKSMNUSHJ-MOPGFXCFSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3ccccc3F)C[C@H]21 ZINC001114692434 1103392034 /nfs/dbraw/zinc/39/20/34/1103392034.db2.gz HBZOJDFEVGOPMX-MKVSYHDVSA-N 0 1 316.420 3.145 20 30 DGEDMN CC(C)(C)/C=C\C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001326797863 1103446100 /nfs/dbraw/zinc/44/61/00/1103446100.db2.gz ITTOUUFDHOHEKJ-QINSGFPZSA-N 0 1 324.468 3.269 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCC[N@@H+](C)Cc1ccc(C#N)s1 ZINC001327201711 1103583993 /nfs/dbraw/zinc/58/39/93/1103583993.db2.gz UHEZFDLUOJNWOM-AWEZNQCLSA-N 0 1 321.490 3.336 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001327201711 1103583994 /nfs/dbraw/zinc/58/39/94/1103583994.db2.gz UHEZFDLUOJNWOM-AWEZNQCLSA-N 0 1 321.490 3.336 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C/C=C\Cc2ccccc2)CC1 ZINC001490408818 1103611199 /nfs/dbraw/zinc/61/11/99/1103611199.db2.gz BOPNIOMDECEBQD-HJWRWDBZSA-N 0 1 324.468 3.122 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001490538456 1103638983 /nfs/dbraw/zinc/63/89/83/1103638983.db2.gz SNBMZZSMHLYALB-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001327467282 1103651623 /nfs/dbraw/zinc/65/16/23/1103651623.db2.gz LNRKAIZTWYJTIQ-UHFFFAOYSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001490557468 1103654930 /nfs/dbraw/zinc/65/49/30/1103654930.db2.gz MYUJZBMJQAIRLU-YJBOKZPZSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@H](C)CC)C1 ZINC001490758793 1103727014 /nfs/dbraw/zinc/72/70/14/1103727014.db2.gz HILDKELEKSZXQZ-HIFRSBDPSA-N 0 1 300.874 3.346 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC001490622507 1103683868 /nfs/dbraw/zinc/68/38/68/1103683868.db2.gz UWVDVZKKPXGKQW-AZUAARDMSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN(CCCOC)C2 ZINC001327685784 1103707815 /nfs/dbraw/zinc/70/78/15/1103707815.db2.gz QWLDQEPDTVXLHI-UHFFFAOYSA-N 0 1 316.445 3.011 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc(Cl)c1)C1CC1 ZINC001490732056 1103711534 /nfs/dbraw/zinc/71/15/34/1103711534.db2.gz HSFOYASVCJRFNU-AWEZNQCLSA-N 0 1 313.228 3.191 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@]3(C)CCC[C@H]3C)cc2C1 ZINC001327695491 1103712069 /nfs/dbraw/zinc/71/20/69/1103712069.db2.gz HTCHETVVTAMWHO-IIBYNOLFSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@H](C)c1ccccc1)C1CC1 ZINC001490738009 1103713776 /nfs/dbraw/zinc/71/37/76/1103713776.db2.gz MDHCIEHLSWJNPM-SUMWQHHRSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@H](C)CC)C1 ZINC001490758795 1103727540 /nfs/dbraw/zinc/72/75/40/1103727540.db2.gz HILDKELEKSZXQZ-UKRRQHHQSA-N 0 1 300.874 3.346 20 30 DGEDMN CN(C)Cc1cccc(-c2ccc3ncnc(C#N)c3c2)c1F ZINC001244863302 1103731166 /nfs/dbraw/zinc/73/11/66/1103731166.db2.gz HZBQNBZNWHJOJH-UHFFFAOYSA-N 0 1 306.344 3.369 20 30 DGEDMN COC(=O)c1cc(-c2cccc(CN(C)C)c2F)ccc1C#N ZINC001244866374 1103733693 /nfs/dbraw/zinc/73/36/93/1103733693.db2.gz SFINXNHZSBLCIZ-UHFFFAOYSA-N 0 1 312.344 3.213 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN(C)Cc2conc2C)C1 ZINC001490772269 1103735655 /nfs/dbraw/zinc/73/56/55/1103735655.db2.gz LNPCCWUGFDXJSU-MRXNPFEDSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(-c3ccccc3)o1)C2 ZINC001096049670 1103738666 /nfs/dbraw/zinc/73/86/66/1103738666.db2.gz QVSOZRGFERNYDL-IKGGRYGDSA-N 0 1 322.408 3.468 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H](NC/C(Cl)=C\Cl)[C@@H](C)C2)C1 ZINC001327906695 1103763582 /nfs/dbraw/zinc/76/35/82/1103763582.db2.gz ZAUGGLOIPZJPCZ-MZGZCWAVSA-N 0 1 317.260 3.098 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCCc3ccccc31)C2 ZINC001096174030 1103776861 /nfs/dbraw/zinc/77/68/61/1103776861.db2.gz OIJQVWILKWWJMT-SAJDGTNDSA-N 0 1 324.468 3.188 20 30 DGEDMN C=C[C@](C)(O)CN1Cc2c(Br)cccc2C[C@@H]1C ZINC001252561503 1103841860 /nfs/dbraw/zinc/84/18/60/1103841860.db2.gz GWRSDRXWJMIIKU-NHYWBVRUSA-N 0 1 310.235 3.133 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(-c3ccccc3)[nH]1)C2 ZINC001096652563 1103896587 /nfs/dbraw/zinc/89/65/87/1103896587.db2.gz SLEBBEIFTBTIQH-MNEFBYGVSA-N 0 1 321.424 3.203 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1CCCCCC1)C2 ZINC001110824196 1103910071 /nfs/dbraw/zinc/91/00/71/1103910071.db2.gz AIHZQNPQWHLOPZ-KURKYZTESA-N 0 1 302.462 3.092 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CCC1CC1 ZINC001328465037 1103954394 /nfs/dbraw/zinc/95/43/94/1103954394.db2.gz UEHPTTPPUYOISO-LJQANCHMSA-N 0 1 312.457 3.468 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)CC1CC(c2ccccc2)C1 ZINC001328733998 1104045695 /nfs/dbraw/zinc/04/56/95/1104045695.db2.gz UMBGTVUWYSARML-LYBXBRPPSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC1CC(c2ccccc2)C1 ZINC001328733998 1104045707 /nfs/dbraw/zinc/04/57/07/1104045707.db2.gz UMBGTVUWYSARML-LYBXBRPPSA-N 0 1 324.468 3.126 20 30 DGEDMN N#Cc1cnc2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc2c1 ZINC001245426959 1104048174 /nfs/dbraw/zinc/04/81/74/1104048174.db2.gz LFFWXGRYDVJMRJ-IBGZPJMESA-N 0 1 319.408 3.375 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001328795655 1104074820 /nfs/dbraw/zinc/07/48/20/1104074820.db2.gz COEMJNDYLUWFGH-ICSRJNTNSA-N 0 1 316.489 3.459 20 30 DGEDMN Cc1ccc2nc(CN3CCC4SC(=O)C=C4C3)ccc2c1 ZINC001137211242 1104147645 /nfs/dbraw/zinc/14/76/45/1104147645.db2.gz MZNLVSRBNIUFSS-QGZVFWFLSA-N 0 1 310.422 3.317 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(F)F)cc1 ZINC001329148832 1104170337 /nfs/dbraw/zinc/17/03/37/1104170337.db2.gz SHBJUECTSJBRON-GHMZBOCLSA-N 0 1 316.779 3.473 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001278413343 1104188600 /nfs/dbraw/zinc/18/86/00/1104188600.db2.gz BLXYLSHNTJGGNO-XYZUORRGSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001278413344 1104190087 /nfs/dbraw/zinc/19/00/87/1104190087.db2.gz BLXYLSHNTJGGNO-ZLONAYKRSA-N 0 1 323.440 3.161 20 30 DGEDMN O[C@]1(c2ccccc2)CCCN(CC#Cc2ccc(F)cc2)C1 ZINC001329343952 1104225632 /nfs/dbraw/zinc/22/56/32/1104225632.db2.gz ILCMGTJBZMXNIK-HXUWFJFHSA-N 0 1 309.384 3.161 20 30 DGEDMN COc1c(F)c(Cl)ccc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001245800450 1104245794 /nfs/dbraw/zinc/24/57/94/1104245794.db2.gz ULODCWZJCGCLIP-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001329530736 1104301454 /nfs/dbraw/zinc/30/14/54/1104301454.db2.gz HULJGWISSFNIBO-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN Cc1cc(=O)n(-c2c(F)c(F)c(C(F)(F)F)c(F)c2F)[nH]1 ZINC001245924905 1104313812 /nfs/dbraw/zinc/31/38/12/1104313812.db2.gz ICIUCQVGQFVRRG-UHFFFAOYSA-N 0 1 314.160 3.462 20 30 DGEDMN COc1cccc(Cc2cc(=O)n(Cc3cccc(C#N)c3)[nH]2)c1 ZINC001245940249 1104327223 /nfs/dbraw/zinc/32/72/23/1104327223.db2.gz OLMOLDWVYVQPBL-UHFFFAOYSA-N 0 1 319.364 3.108 20 30 DGEDMN C=CCC1(C(=O)NC/C=C\CNCC=C(Cl)Cl)CCC1 ZINC001274340929 1104357416 /nfs/dbraw/zinc/35/74/16/1104357416.db2.gz YVGDQMUUKCLNQZ-ARJAWSKDSA-N 0 1 317.260 3.314 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cc(C)cc(Cl)c2)C1=O ZINC001274350311 1104359138 /nfs/dbraw/zinc/35/91/38/1104359138.db2.gz PYRTYFXEEXCQRD-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccccc1C1(C)CC1 ZINC001274360757 1104362106 /nfs/dbraw/zinc/36/21/06/1104362106.db2.gz NKSMBUTZQHGNKM-WAYWQWQTSA-N 0 1 318.848 3.366 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@@H]1CCC1(C)C ZINC001329887514 1104409516 /nfs/dbraw/zinc/40/95/16/1104409516.db2.gz NHPGRJSXINXANT-KBPBESRZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)CC(C)(C)CC ZINC001320743867 1104455146 /nfs/dbraw/zinc/45/51/46/1104455146.db2.gz IMHSHLVKUNOFPD-UHFFFAOYSA-N 0 1 319.287 3.159 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C(F)F)ccc1F ZINC001274760665 1104481762 /nfs/dbraw/zinc/48/17/62/1104481762.db2.gz PLCAJQLNTILNOT-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)Cc2ccccc2)C(C)(C)C1 ZINC001330245602 1104521084 /nfs/dbraw/zinc/52/10/84/1104521084.db2.gz ZZUBCLDEASBADP-KRWDZBQOSA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CNCc1oc(C)nc1C ZINC001275024652 1104581804 /nfs/dbraw/zinc/58/18/04/1104581804.db2.gz MDTBFEQDUGXBLP-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c(C)cc(F)cc1C ZINC001275129319 1104640890 /nfs/dbraw/zinc/64/08/90/1104640890.db2.gz SEIOCZKESPSPAS-QGZVFWFLSA-N 0 1 316.420 3.002 20 30 DGEDMN CCCCCCCN1CC2(CCN2C(=O)Nc2cccnc2)C1 ZINC001275130569 1104640930 /nfs/dbraw/zinc/64/09/30/1104640930.db2.gz AEXXOYBCVOOKNX-UHFFFAOYSA-N 0 1 316.449 3.344 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](C)CCCNCc1cc(F)ccc1C#N ZINC001330779334 1104643094 /nfs/dbraw/zinc/64/30/94/1104643094.db2.gz GPQXDLWZCMVJAA-HNFXJGKRSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]2CN(C)C/C=C/Cl)CC1 ZINC001275142319 1104647111 /nfs/dbraw/zinc/64/71/11/1104647111.db2.gz ANBUYLJRQLZCOA-WJXUGBSRSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](C)C1CCCCC1 ZINC001275150666 1104651763 /nfs/dbraw/zinc/65/17/63/1104651763.db2.gz LDCZCAVZBLKNNN-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C[C@H](CC)C(C)(C)C ZINC001275161073 1104658159 /nfs/dbraw/zinc/65/81/59/1104658159.db2.gz XWGLAUWOXSJAET-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](CC)C(C)(C)C ZINC001275161073 1104658164 /nfs/dbraw/zinc/65/81/64/1104658164.db2.gz XWGLAUWOXSJAET-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCCCC(=O)N1CCC([C@@H]2CCC[N@@H+](CCF)C2)CC1 ZINC001275183383 1104668340 /nfs/dbraw/zinc/66/83/40/1104668340.db2.gz FFMGVHDLRRGIIA-GOSISDBHSA-N 0 1 322.468 3.100 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2ccccc2cc1F ZINC001275232370 1104684365 /nfs/dbraw/zinc/68/43/65/1104684365.db2.gz WYTOEHQXHHYHCI-LBPRGKRZSA-N 0 1 320.795 3.439 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1ccc(C#N)cc1)[C@H]1CNC(=O)C1 ZINC001246889110 1104724181 /nfs/dbraw/zinc/72/41/81/1104724181.db2.gz DDIWRHFCKIGYMP-DNVCBOLYSA-N 0 1 319.408 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(Cl)ccc1F ZINC001275444118 1104761689 /nfs/dbraw/zinc/76/16/89/1104761689.db2.gz QCJGOEIKOHJHCR-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2ccncc2c1 ZINC001275530026 1104795324 /nfs/dbraw/zinc/79/53/24/1104795324.db2.gz SPXKSHCSTSAUJE-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001275535451 1104797507 /nfs/dbraw/zinc/79/75/07/1104797507.db2.gz QSGAZNIAOGAXDI-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CCC)CC1CCCC1 ZINC001275666056 1104855072 /nfs/dbraw/zinc/85/50/72/1104855072.db2.gz RHQFMPIMJXCPDO-WMZOPIPTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccn2)CC1 ZINC001280742738 1104897895 /nfs/dbraw/zinc/89/78/95/1104897895.db2.gz BRPOHOSZVXHDCT-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1coc2cc(C)c(C)cc12 ZINC001275887671 1104944554 /nfs/dbraw/zinc/94/45/54/1104944554.db2.gz QPMKQJULYRDTOC-OAHLLOKOSA-N 0 1 312.413 3.123 20 30 DGEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001275929003 1104959524 /nfs/dbraw/zinc/95/95/24/1104959524.db2.gz WGUBHSXNWSIWKW-HOTGVXAUSA-N 0 1 302.462 3.365 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(-c2ccco2)s1 ZINC001275970964 1104972574 /nfs/dbraw/zinc/97/25/74/1104972574.db2.gz UXYQYCLVMSWZAY-CYBMUJFWSA-N 0 1 316.426 3.082 20 30 DGEDMN C=CCCCCCCN1CC2(CCN2Cc2nccn2CC)C1 ZINC001276041811 1105003954 /nfs/dbraw/zinc/00/39/54/1105003954.db2.gz QMIHSOBKMZPDKY-UHFFFAOYSA-N 0 1 316.493 3.300 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)C1CC(C)(C)C1 ZINC001276251188 1105062526 /nfs/dbraw/zinc/06/25/26/1105062526.db2.gz SBEMVDMXFUHRER-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCC[N@@H+]1Cc1cncc(C)c1 ZINC001276289647 1105079665 /nfs/dbraw/zinc/07/96/65/1105079665.db2.gz OBPWNECVKSRKAU-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001095687646 1105096832 /nfs/dbraw/zinc/09/68/32/1105096832.db2.gz MALKCIMPZGWDBP-AABGKKOBSA-N 0 1 324.468 3.097 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001095687646 1105096836 /nfs/dbraw/zinc/09/68/36/1105096836.db2.gz MALKCIMPZGWDBP-AABGKKOBSA-N 0 1 324.468 3.097 20 30 DGEDMN C[C@]1(c2nc(-c3cc(Cl)c(C#N)cc3Cl)no2)CCN1 ZINC001248726125 1105152807 /nfs/dbraw/zinc/15/28/07/1105152807.db2.gz ZWLKLADGQZETSN-CYBMUJFWSA-N 0 1 309.156 3.124 20 30 DGEDMN O=C1C=C2C[N@H+](Cc3ccc(F)c4cc[nH]c43)CC[C@H]2S1 ZINC001248816040 1105169001 /nfs/dbraw/zinc/16/90/01/1105169001.db2.gz VAJRNPARQKRABF-CQSZACIVSA-N 0 1 302.374 3.081 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(F)c4cc[nH]c43)CCC2S1 ZINC001248816040 1105169006 /nfs/dbraw/zinc/16/90/06/1105169006.db2.gz VAJRNPARQKRABF-CQSZACIVSA-N 0 1 302.374 3.081 20 30 DGEDMN N#CC1CCN(Cc2n[nH]c3cc(C(F)(F)F)ccc32)CC1 ZINC001248844379 1105177634 /nfs/dbraw/zinc/17/76/34/1105177634.db2.gz ZKEGUUPRRNOUDA-UHFFFAOYSA-N 0 1 308.307 3.317 20 30 DGEDMN CN(C/C=C/c1ccccc1)Cc1cnn2ccc(C#N)cc12 ZINC001249114340 1105227736 /nfs/dbraw/zinc/22/77/36/1105227736.db2.gz ZADZJFZCZVILQU-VMPITWQZSA-N 0 1 302.381 3.351 20 30 DGEDMN CCN(Cc1cnn2ccc(C#N)cc12)Cc1ccc(F)cc1 ZINC001249113952 1105228124 /nfs/dbraw/zinc/22/81/24/1105228124.db2.gz RDENXODPKDKVOV-UHFFFAOYSA-N 0 1 308.360 3.367 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccccc3-c3cnco3)no2)C1 ZINC001249231906 1105252208 /nfs/dbraw/zinc/25/22/08/1105252208.db2.gz SCOAZJWFEXRLFL-KRWDZBQOSA-N 0 1 308.341 3.156 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc4sc(C)nc4c3)no2)C1 ZINC001249232130 1105252455 /nfs/dbraw/zinc/25/24/55/1105252455.db2.gz WPOTVBUURQDBFR-INIZCTEOSA-N 0 1 312.398 3.419 20 30 DGEDMN Cc1csc(N=C2CCC(N3CCOCC3)CC2)c1C#N ZINC001249552738 1105310497 /nfs/dbraw/zinc/31/04/97/1105310497.db2.gz PHUCNIGCPFJIAH-CQSZACIVSA-N 0 1 303.431 3.109 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)oc3ccccc31)C2 ZINC001097130212 1105352821 /nfs/dbraw/zinc/35/28/21/1105352821.db2.gz XGYMGINUFCOGFT-BMFZPTHFSA-N 0 1 310.397 3.262 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C3CCC3)cc1)C2 ZINC001097175418 1105359423 /nfs/dbraw/zinc/35/94/23/1105359423.db2.gz JCTAPBBLKKSSGR-QRVBRYPASA-N 0 1 310.441 3.475 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1-c1ccccc1)C2 ZINC001097484673 1105401984 /nfs/dbraw/zinc/40/19/84/1105401984.db2.gz FJIPGXLVSYJNPA-NXHRZFHOSA-N 0 1 322.408 3.468 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1cc(O)c(F)c(F)c1F ZINC001249965025 1105418105 /nfs/dbraw/zinc/41/81/05/1105418105.db2.gz RHRZEPGJKLIKFR-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(Cl)c1F)C2 ZINC001097763751 1105439490 /nfs/dbraw/zinc/43/94/90/1105439490.db2.gz SJOMALRZOJOYND-DFBGVHRSSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)c(C)c1)C2 ZINC001097773710 1105441123 /nfs/dbraw/zinc/44/11/23/1105441123.db2.gz AMUMTEZSMGWXIG-BMFZPTHFSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)cs1)C2 ZINC001097977442 1105488859 /nfs/dbraw/zinc/48/88/59/1105488859.db2.gz STOYKMGWBASSTG-UPJWGTAASA-N 0 1 310.850 3.313 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc([C@H](C)CC)no1)C2 ZINC001097991544 1105493317 /nfs/dbraw/zinc/49/33/17/1105493317.db2.gz AAYFJPHUNMXMAL-XOUADPBQSA-N 0 1 317.433 3.099 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCCc3ccccc31)C2 ZINC001098041964 1105534476 /nfs/dbraw/zinc/53/44/76/1105534476.db2.gz OIJQVWILKWWJMT-RBAQFSTRSA-N 0 1 324.468 3.188 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(c3ccccc3)CC1(C)C)C2 ZINC001098089874 1105547808 /nfs/dbraw/zinc/54/78/08/1105547808.db2.gz DPBLEFILMPJUFX-WIRSXHRWSA-N 0 1 324.468 3.262 20 30 DGEDMN COc1ccc(-c2nc3ccc(NC(C)=O)cc3[nH]2)c(C#N)c1 ZINC001250668967 1105557008 /nfs/dbraw/zinc/55/70/08/1105557008.db2.gz CVMQMYDIGRSARS-UHFFFAOYSA-N 0 1 306.325 3.069 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCCC(C)(C)C1)C2 ZINC001098216211 1105562317 /nfs/dbraw/zinc/56/23/17/1105562317.db2.gz MACUSXMNNXHAPR-TVFCKZIOSA-N 0 1 316.489 3.338 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C1CCC1)C2 ZINC001098299328 1105566655 /nfs/dbraw/zinc/56/66/55/1105566655.db2.gz HXBSJEGKECMLEI-AHRSYUTCSA-N 0 1 322.452 3.313 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)cc1Cl)C2 ZINC001098320928 1105568890 /nfs/dbraw/zinc/56/88/90/1105568890.db2.gz QOFGQAQDMPDVNA-WQVCFCJDSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(c3ccccc3)CCCC1)C2 ZINC001098335577 1105569705 /nfs/dbraw/zinc/56/97/05/1105569705.db2.gz DKHSCLFLODVZPH-QRVBRYPASA-N 0 1 324.468 3.406 20 30 DGEDMN N#Cc1ccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)cc1F ZINC001250786597 1105583147 /nfs/dbraw/zinc/58/31/47/1105583147.db2.gz YZQKWMHFNUUVCX-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3occc3c2)C1 ZINC001098850549 1105608992 /nfs/dbraw/zinc/60/89/92/1105608992.db2.gz GQJCOOAOSMMKFK-PXNSSMCTSA-N 0 1 322.408 3.040 20 30 DGEDMN N#Cc1ccc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)nc1 ZINC001250996016 1105617682 /nfs/dbraw/zinc/61/76/82/1105617682.db2.gz OFOSQYFWJABOBP-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN C=C1CCC(C(=O)N[C@]23CCC[C@H]2CN(C[C@@H](F)CC)C3)CC1 ZINC001099012845 1105627705 /nfs/dbraw/zinc/62/77/05/1105627705.db2.gz PVXDRSKTHOPBSD-LNLFQRSKSA-N 0 1 322.468 3.452 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC(C)(C)CN1C[C@H](F)CC ZINC001099043284 1105634150 /nfs/dbraw/zinc/63/41/50/1105634150.db2.gz MEKGCTZDRSMVOY-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN N#Cc1cc(Cl)ccc1-c1nc2cc3c(cc2[nH]1)OCC(=O)N3 ZINC001251163510 1105634510 /nfs/dbraw/zinc/63/45/10/1105634510.db2.gz WXUJBRGOAVSVLC-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)CCCC ZINC001099048307 1105634958 /nfs/dbraw/zinc/63/49/58/1105634958.db2.gz FBBPACLEWOUPSH-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2csc(Cl)c2)C1 ZINC001099059427 1105636734 /nfs/dbraw/zinc/63/67/34/1105636734.db2.gz HFGXINWDGBIMIF-BBRMVZONSA-N 0 1 322.861 3.009 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CC(C)C)CC1 ZINC001099106981 1105642692 /nfs/dbraw/zinc/64/26/92/1105642692.db2.gz ULUUJJXKWKJXOV-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCNC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC001251233794 1105643152 /nfs/dbraw/zinc/64/31/52/1105643152.db2.gz AZFQYFURWJOYNN-GOSISDBHSA-N 0 1 315.461 3.259 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)CC(C)C ZINC001099302965 1105665945 /nfs/dbraw/zinc/66/59/45/1105665945.db2.gz DVGJVTRSXZUNKM-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](CC)CC(C)C ZINC001099305656 1105666846 /nfs/dbraw/zinc/66/68/46/1105666846.db2.gz ZFAKSEPNJZGCMR-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)OCCC(C)C ZINC001099358640 1105672274 /nfs/dbraw/zinc/67/22/74/1105672274.db2.gz JNHSCOBLQJGLSJ-DLBZAZTESA-N 0 1 324.509 3.230 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001099358641 1105672562 /nfs/dbraw/zinc/67/25/62/1105672562.db2.gz JNHSCOBLQJGLSJ-IAGOWNOFSA-N 0 1 324.509 3.230 20 30 DGEDMN CSc1ccc2nc(-c3cn4cc(C#N)ccc4n3)[nH]c2c1 ZINC001251632317 1105675678 /nfs/dbraw/zinc/67/56/78/1105675678.db2.gz OJTWJILFVCXRAA-UHFFFAOYSA-N 0 1 305.366 3.471 20 30 DGEDMN N#Cc1cc(Cl)cc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)c1 ZINC001251651610 1105677473 /nfs/dbraw/zinc/67/74/73/1105677473.db2.gz DZPZGISWTGJYKL-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN N#Cc1ccn2ncc(-c3nc4ccc5ncccc5c4[nH]3)c2c1 ZINC001251809796 1105698686 /nfs/dbraw/zinc/69/86/86/1105698686.db2.gz NYODKQKGOAXOMO-UHFFFAOYSA-N 0 1 310.320 3.297 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@@H](NCc3ccccc3C#N)C2)CCC1 ZINC001491052460 1105742972 /nfs/dbraw/zinc/74/29/72/1105742972.db2.gz MSSRVBXJBDDLJN-HDICACEKSA-N 0 1 323.440 3.041 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001252139412 1105749699 /nfs/dbraw/zinc/74/96/99/1105749699.db2.gz NRZLZDHNFAYROX-QGZVFWFLSA-N 0 1 323.481 3.465 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](CC)C(C)(C)C ZINC001491229189 1105782189 /nfs/dbraw/zinc/78/21/89/1105782189.db2.gz CYWKCJYYDJWRRH-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001491235056 1105783620 /nfs/dbraw/zinc/78/36/20/1105783620.db2.gz AIBRYNOBMYDHNG-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001491236608 1105784323 /nfs/dbraw/zinc/78/43/23/1105784323.db2.gz MNGJFIPMBRMTPD-ZWKOTPCHSA-N 0 1 318.436 3.476 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001491237877 1105785284 /nfs/dbraw/zinc/78/52/84/1105785284.db2.gz SPYDSXWKVKRZTR-LIXSYLKWSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1CCC=CCC1 ZINC001491272591 1105794610 /nfs/dbraw/zinc/79/46/10/1105794610.db2.gz YCMHWIGMFWDUOQ-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CC(C)(C)C)C1 ZINC001491285646 1105795652 /nfs/dbraw/zinc/79/56/52/1105795652.db2.gz WIEZZAHEGFJXRF-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC001278672956 1105796750 /nfs/dbraw/zinc/79/67/50/1105796750.db2.gz NQOHUEDVDAPVOR-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCC[C@H](O)CNCc1cc(F)ccc1Br ZINC001252616909 1105805837 /nfs/dbraw/zinc/80/58/37/1105805837.db2.gz VLQQLFBOMZEKNM-LBPRGKRZSA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCC[C@H](O)CNCc1cn(Cc2cccc(Cl)c2)cn1 ZINC001252623324 1105806589 /nfs/dbraw/zinc/80/65/89/1105806589.db2.gz JBGWFCYHWXEVQO-KRWDZBQOSA-N 0 1 319.836 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2ccccc2cc1F ZINC001491522039 1105837580 /nfs/dbraw/zinc/83/75/80/1105837580.db2.gz BCZKVGBOWTYEJU-LBPRGKRZSA-N 0 1 320.795 3.439 20 30 DGEDMN CCCC[C@H](CC)COC[C@H](O)CNC1(CC#N)CCCC1 ZINC001253034369 1105880359 /nfs/dbraw/zinc/88/03/59/1105880359.db2.gz PLVHJFUPOBDULC-DLBZAZTESA-N 0 1 310.482 3.396 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101249593 1105903591 /nfs/dbraw/zinc/90/35/91/1105903591.db2.gz CXOYMMMZFANJKF-OTTBVJJXSA-N 0 1 322.880 3.288 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001253228159 1105905378 /nfs/dbraw/zinc/90/53/78/1105905378.db2.gz PUPLQARCCQLTGV-JKSUJKDBSA-N 0 1 316.449 3.267 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN1CCN(c2nccs2)CC1 ZINC001253228203 1105905702 /nfs/dbraw/zinc/90/57/02/1105905702.db2.gz QZNJSZRBLYWLQX-MRXNPFEDSA-N 0 1 323.506 3.153 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)c1ccccc1OC ZINC001253250645 1105912262 /nfs/dbraw/zinc/91/22/62/1105912262.db2.gz CNJPUOUVDWYQRG-MRXNPFEDSA-N 0 1 319.445 3.355 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)=C2CCC2)C1 ZINC001278741062 1105987902 /nfs/dbraw/zinc/98/79/02/1105987902.db2.gz KPWORTLHQXMWRD-HNNXBMFYSA-N 0 1 312.404 3.136 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](N2CC[C@@](C)(C#N)C2)CC1 ZINC001254311577 1106054395 /nfs/dbraw/zinc/05/43/95/1106054395.db2.gz GIUMFHBKDVJQGW-PBHICJAKSA-N 0 1 307.438 3.012 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](N2CC[C@@](C)(C#N)C2)CC1 ZINC001254311580 1106054525 /nfs/dbraw/zinc/05/45/25/1106054525.db2.gz GIUMFHBKDVJQGW-YOEHRIQHSA-N 0 1 307.438 3.012 20 30 DGEDMN CCOC(=O)C[N@@H+](C)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254353591 1106059390 /nfs/dbraw/zinc/05/93/90/1106059390.db2.gz FPGCJJHGHOARMM-UHFFFAOYSA-N 0 1 318.392 3.025 20 30 DGEDMN CCOC(=O)CN(C)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254353591 1106059397 /nfs/dbraw/zinc/05/93/97/1106059397.db2.gz FPGCJJHGHOARMM-UHFFFAOYSA-N 0 1 318.392 3.025 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H](C)CC(C)C)[C@H](C)C1 ZINC001102507997 1106066202 /nfs/dbraw/zinc/06/62/02/1106066202.db2.gz XSIBECUBHHAEHG-IIAWOOMASA-N 0 1 314.901 3.495 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(CC)CC)[C@H](C)C1 ZINC001102586498 1106074366 /nfs/dbraw/zinc/07/43/66/1106074366.db2.gz DVJUUYBUYJEXRJ-TZMCWYRMSA-N 0 1 300.874 3.249 20 30 DGEDMN Cc1ccccc1S(=O)(=O)Nc1c(Cl)cccc1C#N ZINC001254602454 1106111548 /nfs/dbraw/zinc/11/15/48/1106111548.db2.gz YJKCNGPXXLVGDB-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN N#C[C@@H]1CC[C@H](N[C@H]2COc3ccc(Br)cc32)C1 ZINC001254642719 1106119881 /nfs/dbraw/zinc/11/98/81/1106119881.db2.gz GGBQAXZFIKZDRW-CDMKHQONSA-N 0 1 307.191 3.164 20 30 DGEDMN C[C@H](N[C@H]1CC[C@H](C#N)C1)C(=O)Nc1ccc2ccccc2c1 ZINC001254644101 1106120898 /nfs/dbraw/zinc/12/08/98/1106120898.db2.gz QNIIXKKLJRUMNJ-ZQIUZPCESA-N 0 1 307.397 3.449 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CCCCN2[C@@H]1CC[C@@H](C#N)C1 ZINC001254658491 1106125812 /nfs/dbraw/zinc/12/58/12/1106125812.db2.gz IOUWWIYFCFBIHP-HUUCEWRRSA-N 0 1 319.449 3.154 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCC3(CC2)CC(=O)c2ccccc2O3)C1 ZINC001254669279 1106128237 /nfs/dbraw/zinc/12/82/37/1106128237.db2.gz QFIGQCYJVJSHTK-LSDHHAIUSA-N 0 1 310.397 3.179 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCN(c3nccc4ccccc43)CC2)C1 ZINC001254668215 1106128626 /nfs/dbraw/zinc/12/86/26/1106128626.db2.gz GQMUGHMWTRTVNB-RDJZCZTQSA-N 0 1 306.413 3.049 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)C1 ZINC001254671037 1106129796 /nfs/dbraw/zinc/12/97/96/1106129796.db2.gz NXZUKCYPQKIUOY-APIJFGDWSA-N 0 1 304.397 3.209 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001255167280 1106197642 /nfs/dbraw/zinc/19/76/42/1106197642.db2.gz XMDKTNQATVFFQM-INIZCTEOSA-N 0 1 321.465 3.258 20 30 DGEDMN CC[C@H](CC#N)NC[C@]1(CC)CCCN1C(=O)OC(C)(C)C ZINC001255165675 1106197711 /nfs/dbraw/zinc/19/77/11/1106197711.db2.gz ZYWPADUJOODAAO-PBHICJAKSA-N 0 1 309.454 3.448 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@@]2(C1)c1ccccc1N(CC1CC1)C2=O ZINC001255172402 1106198630 /nfs/dbraw/zinc/19/86/30/1106198630.db2.gz OSBOHARXDXYVAZ-OXJNMPFZSA-N 0 1 323.440 3.079 20 30 DGEDMN CC[C@@H](CC#N)N(C)C[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255174648 1106199645 /nfs/dbraw/zinc/19/96/45/1106199645.db2.gz HFXJUFUPAGNQIO-GJZGRUSLSA-N 0 1 309.454 3.258 20 30 DGEDMN CC#CC[N@@H+](C)CCN(C(=O)[C@@H](C)c1cccc(C)c1)C(C)C ZINC001278921966 1106396115 /nfs/dbraw/zinc/39/61/15/1106396115.db2.gz DDYQNKHGCGSTQH-SFHVURJKSA-N 0 1 314.473 3.291 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)c1cccc(C)c1)C(C)C ZINC001278921966 1106396116 /nfs/dbraw/zinc/39/61/16/1106396116.db2.gz DDYQNKHGCGSTQH-SFHVURJKSA-N 0 1 314.473 3.291 20 30 DGEDMN C=C(C)CN(Cc1c(C)nn(CCO)c1C)Cc1ccccc1 ZINC001256332826 1106407647 /nfs/dbraw/zinc/40/76/47/1106407647.db2.gz VLFBNCNIRKHQAP-UHFFFAOYSA-N 0 1 313.445 3.071 20 30 DGEDMN C=CCCC(=O)N[C@H](CNC/C(Cl)=C\Cl)C(C)(C)C ZINC001278945683 1106442353 /nfs/dbraw/zinc/44/23/53/1106442353.db2.gz MZISBOXXNZMJHQ-JATZPVMKSA-N 0 1 307.265 3.392 20 30 DGEDMN N#C[C@H](NC1CCN(Cc2ccncc2)CC1)c1ccc(F)cc1 ZINC001256582254 1106450116 /nfs/dbraw/zinc/45/01/16/1106450116.db2.gz NVYRYLQJMKOJMB-IBGZPJMESA-N 0 1 324.403 3.040 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CCC(N2CCC[C@H](C#N)C2)CC1 ZINC001256673679 1106466295 /nfs/dbraw/zinc/46/62/95/1106466295.db2.gz DLKKEKOGSUFKML-QQFBHYJXSA-N 0 1 321.465 3.400 20 30 DGEDMN CCN(CCC#N)C1CCC(N(C)C(=O)OC(C)(C)C)CC1 ZINC001256671821 1106466334 /nfs/dbraw/zinc/46/63/34/1106466334.db2.gz XXMIVBCQVKCKOI-UHFFFAOYSA-N 0 1 309.454 3.400 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cccs1)c1ccccc1CC ZINC001278964202 1106481505 /nfs/dbraw/zinc/48/15/05/1106481505.db2.gz OVFLGFRJFFTJHE-MRXNPFEDSA-N 0 1 312.438 3.004 20 30 DGEDMN C=CCN1CCN([C@@H](C)Cc2ccccc2Br)CC1 ZINC001256844770 1106495944 /nfs/dbraw/zinc/49/59/44/1106495944.db2.gz PSVAVKOWPOABGY-AWEZNQCLSA-N 0 1 323.278 3.184 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(C#N)CC2)C2(CC2)C1 ZINC001256964463 1106516640 /nfs/dbraw/zinc/51/66/40/1106516640.db2.gz WKOVDTRAEIHJKM-UHFFFAOYSA-N 0 1 319.449 3.154 20 30 DGEDMN N#Cc1ccc(C2CCC(N3Cc4cncnc4C3)CC2)cc1 ZINC001257016354 1106524610 /nfs/dbraw/zinc/52/46/10/1106524610.db2.gz ATBAHFVYZCTAOB-UHFFFAOYSA-N 0 1 304.397 3.390 20 30 DGEDMN CCOC(=O)c1ccc(C[C@@H](C)N2CC[C@@](C)(C#N)C2)cc1 ZINC001257167955 1106548928 /nfs/dbraw/zinc/54/89/28/1106548928.db2.gz RYRUBQZHBUJNAS-KDOFPFPSSA-N 0 1 300.402 3.030 20 30 DGEDMN CCCCOC(=O)CC[C@H](C)N1CCc2ccc(C#N)cc2C1 ZINC001257393125 1106580586 /nfs/dbraw/zinc/58/05/86/1106580586.db2.gz KXDUILVHTBGYAL-HNNXBMFYSA-N 0 1 314.429 3.428 20 30 DGEDMN C[C@H]1CC(N2CC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257591615 1106609664 /nfs/dbraw/zinc/60/96/64/1106609664.db2.gz QBOWTZAAIULXBR-MELADBBJSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@@H]1C[C@@H](N2CC[C@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257591614 1106610017 /nfs/dbraw/zinc/61/00/17/1106610017.db2.gz QBOWTZAAIULXBR-LXTVHRRPSA-N 0 1 307.438 3.008 20 30 DGEDMN C=C1C[C@@H]2CC(N3Cc4cn(C)nc4[C@H](COC)C3)C[C@H](C1)C2 ZINC001257891617 1106641526 /nfs/dbraw/zinc/64/15/26/1106641526.db2.gz NVXCBOXJCXKHJK-HZSIZEEPSA-N 0 1 315.461 3.101 20 30 DGEDMN C=C1C[C@@H]2CC(N3CCSC[C@@H]3C(=O)OCC)C[C@H](C1)C2 ZINC001257895666 1106643280 /nfs/dbraw/zinc/64/32/80/1106643280.db2.gz XGYFQAUEEONZSH-VFSICIBPSA-N 0 1 309.475 3.102 20 30 DGEDMN CC(C)(C)c1cncc(NS(=O)(=O)c2ccc(C#N)cc2)c1 ZINC001258054190 1106664655 /nfs/dbraw/zinc/66/46/55/1106664655.db2.gz YPYGFSWABJHJKJ-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN C[C@H](Cc1ccco1)N1CCN(c2ccc(C#N)cc2F)CC1 ZINC001258196160 1106693878 /nfs/dbraw/zinc/69/38/78/1106693878.db2.gz VRIKDAZNMYJWCZ-CQSZACIVSA-N 0 1 313.376 3.044 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CC[N@@H+]1C1CCCCCCC1 ZINC001258237407 1106703389 /nfs/dbraw/zinc/70/33/89/1106703389.db2.gz JRBLZZLMHLCLHW-QGZVFWFLSA-N 0 1 318.465 3.030 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1C1CCCCCCC1 ZINC001258237407 1106703394 /nfs/dbraw/zinc/70/33/94/1106703394.db2.gz JRBLZZLMHLCLHW-QGZVFWFLSA-N 0 1 318.465 3.030 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](CCC)CC(C)C)CC1 ZINC001316938335 1106787922 /nfs/dbraw/zinc/78/79/22/1106787922.db2.gz WMZOEHDFWLCPGZ-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCN(C)Cc2cccnc2C)CC1 ZINC001320043361 1106815213 /nfs/dbraw/zinc/81/52/13/1106815213.db2.gz UHJYXLSSPXWSBZ-UHFFFAOYSA-N 0 1 315.461 3.027 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001316954288 1106817532 /nfs/dbraw/zinc/81/75/32/1106817532.db2.gz REXFDMIZAZMWTL-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)/C=C\c2ccco2)CC1 ZINC001317000123 1106880701 /nfs/dbraw/zinc/88/07/01/1106880701.db2.gz IWROCFSWRGYDSQ-FOSCPCJNSA-N 0 1 320.820 3.016 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001317003747 1106886808 /nfs/dbraw/zinc/88/68/08/1106886808.db2.gz YMIJWWZTXVPGRU-LSDHHAIUSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001320202115 1106925479 /nfs/dbraw/zinc/92/54/79/1106925479.db2.gz HGXCDDWXCSUMLE-HKUYNNGSSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2c[nH]nc2-c2c(F)cccc2F)C1 ZINC001320208175 1106928140 /nfs/dbraw/zinc/92/81/40/1106928140.db2.gz FWXMNMOWXXUEKM-CYBMUJFWSA-N 0 1 319.355 3.132 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001258725097 1106929104 /nfs/dbraw/zinc/92/91/04/1106929104.db2.gz IAHMBUYPSSTGLB-OWCLPIDISA-N 0 1 324.465 3.051 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)oc1C ZINC001317044039 1106944073 /nfs/dbraw/zinc/94/40/73/1106944073.db2.gz PPHNQUFEPDPGLZ-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN CC1(C)CC[C@@H](C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)C1 ZINC001317062295 1106959825 /nfs/dbraw/zinc/95/98/25/1106959825.db2.gz GEYDGBXRQJWREW-MOPGFXCFSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001317064437 1106961319 /nfs/dbraw/zinc/96/13/19/1106961319.db2.gz QJGUPSSXMOJFKZ-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001320397343 1106993508 /nfs/dbraw/zinc/99/35/08/1106993508.db2.gz VPWJRCXXDWZZJN-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCNCc2nc(C)oc2C)CC1 ZINC001320542315 1107047886 /nfs/dbraw/zinc/04/78/86/1107047886.db2.gz GCORCFDFEFAHFH-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1Cc1cccc(F)c1 ZINC001317170862 1107079036 /nfs/dbraw/zinc/07/90/36/1107079036.db2.gz JCCOUSLNIHDASC-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001317178264 1107097394 /nfs/dbraw/zinc/09/73/94/1107097394.db2.gz AYKZEDFLCKEMKH-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN Cc1cc(C#N)cc(C)c1NS(=O)(=O)c1cc(F)cc(F)c1 ZINC001259312108 1107122710 /nfs/dbraw/zinc/12/27/10/1107122710.db2.gz PZBYSQKIYMCIGV-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN CC(=NN=c1nc[nH]c2sc3c(c21)CCC3)c1ccnc(C)n1 ZINC001320869213 1107170386 /nfs/dbraw/zinc/17/03/86/1107170386.db2.gz MCDLVYKQGHBDAH-UHFFFAOYSA-N 0 1 324.413 3.115 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2c(c1)CCC=C2 ZINC001320901999 1107184354 /nfs/dbraw/zinc/18/43/54/1107184354.db2.gz SHDGRNNIJQNLOI-UHFFFAOYSA-N 0 1 318.848 3.450 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(Cl)ccc1C ZINC001317277727 1107199899 /nfs/dbraw/zinc/19/98/99/1107199899.db2.gz TYPTWKKBRQMJQP-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN Cc1ccc(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)c(C)c1 ZINC001317279687 1107202735 /nfs/dbraw/zinc/20/27/35/1107202735.db2.gz DXGFHJQIONZKJC-GOSISDBHSA-N 0 1 320.436 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001317281635 1107205296 /nfs/dbraw/zinc/20/52/96/1107205296.db2.gz DWOBIKFHDPXHRT-HOTGVXAUSA-N 0 1 322.880 3.411 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)C[C@H](CC#N)c2ccccc2)CC1 ZINC001321042780 1107232857 /nfs/dbraw/zinc/23/28/57/1107232857.db2.gz LDCOYBRHUSILCR-KRWDZBQOSA-N 0 1 313.445 3.017 20 30 DGEDMN CC#CCCCC(=O)NC/C=C/CNCc1ccccc1Cl ZINC001321200639 1107284168 /nfs/dbraw/zinc/28/41/68/1107284168.db2.gz PHDCAQMJPPJPRJ-CMDGGOBGSA-N 0 1 318.848 3.296 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1ccc(Cl)nc1 ZINC001321270700 1107307914 /nfs/dbraw/zinc/30/79/14/1107307914.db2.gz LGXFOSKDFUOBOI-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(C(C)C)c1 ZINC001321321081 1107322346 /nfs/dbraw/zinc/32/23/46/1107322346.db2.gz BHTFSAYASHYIQC-SNAWJCMRSA-N 0 1 306.837 3.438 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H](CC)C(C)(C)C ZINC001321337178 1107326339 /nfs/dbraw/zinc/32/63/39/1107326339.db2.gz OJFBJQLOBUGHFU-NPQIQWPPSA-N 0 1 300.874 3.463 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1ncc(C)o1 ZINC001317428169 1107353216 /nfs/dbraw/zinc/35/32/16/1107353216.db2.gz VHOCQGLHZSSXRN-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCOc1ccccc1CNC1CC(C#N)(c2ccccc2)C1 ZINC001321623048 1107396173 /nfs/dbraw/zinc/39/61/73/1107396173.db2.gz SITNIZDRFZGIPX-UHFFFAOYSA-N 0 1 316.404 3.412 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H]1C[C@H](C)CC(C)(C)C1)C1CC1 ZINC001317558533 1107539224 /nfs/dbraw/zinc/53/92/24/1107539224.db2.gz VWLOQZKGZGPTKE-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C(C)(F)F)cc1)C1CC1 ZINC001317560615 1107542423 /nfs/dbraw/zinc/54/24/23/1107542423.db2.gz PYDNJHOFNGVRQE-UHFFFAOYSA-N 0 1 320.383 3.016 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCCc1ccc(Cl)cc1 ZINC001322193677 1107562148 /nfs/dbraw/zinc/56/21/48/1107562148.db2.gz MTYYGLUBGYNLAM-OAHLLOKOSA-N 0 1 320.864 3.123 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001317627541 1107569793 /nfs/dbraw/zinc/56/97/93/1107569793.db2.gz OMINRQBDGIZQGV-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H](CC)c1ccccc1 ZINC001317648391 1107580618 /nfs/dbraw/zinc/58/06/18/1107580618.db2.gz UBZWKSJBYJTOGC-HNNXBMFYSA-N 0 1 308.853 3.371 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C)co1)c1ccc(C(C)C)cc1 ZINC001317711090 1107615021 /nfs/dbraw/zinc/61/50/21/1107615021.db2.gz ISWLYBQBNSEBAO-GOSISDBHSA-N 0 1 324.424 3.405 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C=C1CCCCC1)c1ccccc1 ZINC001317714247 1107616796 /nfs/dbraw/zinc/61/67/96/1107616796.db2.gz CYMUHNWKVGEXRF-LJQANCHMSA-N 0 1 310.441 3.347 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccn(C(C)C)c1C)c1ccccc1 ZINC001317721082 1107621352 /nfs/dbraw/zinc/62/13/52/1107621352.db2.gz BOMDWEUVQQALDH-IBGZPJMESA-N 0 1 323.440 3.071 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2csc(C(C)C)n2)n1 ZINC001262167552 1107635740 /nfs/dbraw/zinc/63/57/40/1107635740.db2.gz QRCVSTSIIIAVEG-UHFFFAOYSA-N 0 1 319.434 3.347 20 30 DGEDMN Cc1c(-c2nnc(-c3cccc(C#N)c3)o2)ccc2cncn21 ZINC001322342565 1107641245 /nfs/dbraw/zinc/64/12/45/1107641245.db2.gz DOYCBBUWZRKBPH-UHFFFAOYSA-N 0 1 301.309 3.231 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(F)ccc2F)C1 ZINC001317758545 1107643987 /nfs/dbraw/zinc/64/39/87/1107643987.db2.gz JEPSOOPMZUOSOQ-OAHLLOKOSA-N 0 1 322.399 3.467 20 30 DGEDMN C#CCCCC(=O)N(CCC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001317755414 1107644038 /nfs/dbraw/zinc/64/40/38/1107644038.db2.gz UMEMOWQZMAFVJM-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001317759558 1107646555 /nfs/dbraw/zinc/64/65/55/1107646555.db2.gz RFFKCNZSVCJTQV-XMTFNYHQSA-N 0 1 302.462 3.088 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(C)c(F)c2)C1 ZINC001317792016 1107670390 /nfs/dbraw/zinc/67/03/90/1107670390.db2.gz GQBMHRKTPBEYBE-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001317797350 1107673680 /nfs/dbraw/zinc/67/36/80/1107673680.db2.gz NQXBZSIGZMKUPH-HUUCEWRRSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)CCCC)C1 ZINC001317837774 1107698717 /nfs/dbraw/zinc/69/87/17/1107698717.db2.gz VHRSUEQZIYXHGX-DZGCQCFKSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001317875675 1107728531 /nfs/dbraw/zinc/72/85/31/1107728531.db2.gz KIXYUFGIIMEVBS-CABCVRRESA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001317875678 1107729837 /nfs/dbraw/zinc/72/98/37/1107729837.db2.gz KIXYUFGIIMEVBS-GJZGRUSLSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001317875679 1107730033 /nfs/dbraw/zinc/73/00/33/1107730033.db2.gz KIXYUFGIIMEVBS-HUUCEWRRSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001317949070 1107759249 /nfs/dbraw/zinc/75/92/49/1107759249.db2.gz DNNAAPXWWFMDEL-SJORKVTESA-N 0 1 310.457 3.452 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001317968997 1107771721 /nfs/dbraw/zinc/77/17/21/1107771721.db2.gz MSPSQCMSOLWTLW-KRWDZBQOSA-N 0 1 324.424 3.173 20 30 DGEDMN C[C@H]1CN(c2snc(Cl)c2C#N)CCN1C1CCCC1 ZINC001262706793 1107785685 /nfs/dbraw/zinc/78/56/85/1107785685.db2.gz ZPIXKPUTKJBKQI-JTQLQIEISA-N 0 1 310.854 3.121 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001317994939 1107790080 /nfs/dbraw/zinc/79/00/80/1107790080.db2.gz JBWBRBZMYLNTKN-XZXOBPBMSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001318038553 1107805349 /nfs/dbraw/zinc/80/53/49/1107805349.db2.gz SRWURPQPCXSIAN-VBNZEHGJSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(C(C)C)n1)C1CC1 ZINC001323032842 1107812428 /nfs/dbraw/zinc/81/24/28/1107812428.db2.gz KJWVCTMUJNWBJY-INIZCTEOSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1CCC)C1CC1 ZINC001323032451 1107812679 /nfs/dbraw/zinc/81/26/79/1107812679.db2.gz IAAUQAOWXOQJIB-QGZVFWFLSA-N 0 1 320.864 3.490 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(Cl)cc(OC)c2)C1 ZINC001318070704 1107822084 /nfs/dbraw/zinc/82/20/84/1107822084.db2.gz FRKHMJXMXOTPCM-GFCCVEGCSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(CC2CCCCC2)C1)c1ccccc1 ZINC001318089618 1107834743 /nfs/dbraw/zinc/83/47/43/1107834743.db2.gz UHUIPCKGPXGYIR-IBGZPJMESA-N 0 1 312.457 3.337 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(CCC2CCCC2)C1)c1ccccc1 ZINC001318089070 1107835010 /nfs/dbraw/zinc/83/50/10/1107835010.db2.gz ISTCWDAUQGVXPG-IBGZPJMESA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC[C@@H](C(=O)NC1CN(CC(C)C)C1)c1ccccc1 ZINC001318099930 1107840962 /nfs/dbraw/zinc/84/09/62/1107840962.db2.gz YXWUNCAFQBXYHL-GOSISDBHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cc(C)on2)[C@H](CC)C1 ZINC001323152365 1107852572 /nfs/dbraw/zinc/85/25/72/1107852572.db2.gz VEJHCIUPLSQDOL-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1[C@@H]1CCCc2ccc(F)cc21 ZINC001323199181 1107879801 /nfs/dbraw/zinc/87/98/01/1107879801.db2.gz OBMAYXDFSZDWFQ-SJLPKXTDSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1oc(C)cc1C ZINC001323210759 1107884783 /nfs/dbraw/zinc/88/47/83/1107884783.db2.gz JUGWONFEWASLOS-MRXNPFEDSA-N 0 1 304.434 3.447 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC001323339163 1107943061 /nfs/dbraw/zinc/94/30/61/1107943061.db2.gz DUENXVFPKMPBJN-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC001318386497 1107973131 /nfs/dbraw/zinc/97/31/31/1107973131.db2.gz NCLGSAPKOKPBRZ-MRXNPFEDSA-N 0 1 306.837 3.339 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)C[C@@H]1CC ZINC001263340575 1108021988 /nfs/dbraw/zinc/02/19/88/1108021988.db2.gz OIVPXFAQQPEFCI-JSGCOSHPSA-N 0 1 314.437 3.178 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001318492196 1108051985 /nfs/dbraw/zinc/05/19/85/1108051985.db2.gz OLYIOYXVKZVTIG-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCc1ccc(C)cc1 ZINC001323696161 1108055448 /nfs/dbraw/zinc/05/54/48/1108055448.db2.gz NIRBZWBEHYQRBB-UXHICEINSA-N 0 1 324.468 3.016 20 30 DGEDMN CCC(CC)C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001318500882 1108064794 /nfs/dbraw/zinc/06/47/94/1108064794.db2.gz CDLIMKMKLIKFMC-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC[C@H](C)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001318555120 1108093419 /nfs/dbraw/zinc/09/34/19/1108093419.db2.gz MUMFUWJBXXYNGI-WMZOPIPTSA-N 0 1 300.446 3.430 20 30 DGEDMN CC[C@@H](C)n1nc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001263615800 1108110032 /nfs/dbraw/zinc/11/00/32/1108110032.db2.gz GGXVZPGOKYEDDQ-KOLCDFICSA-N 0 1 319.796 3.040 20 30 DGEDMN CC[C@H](C)n1nc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001263615799 1108110263 /nfs/dbraw/zinc/11/02/63/1108110263.db2.gz GGXVZPGOKYEDDQ-GXSJLCMTSA-N 0 1 319.796 3.040 20 30 DGEDMN N#Cc1ccccc1CNCC1(NC(=O)C2CC=CC2)CCCC1 ZINC001318624801 1108129523 /nfs/dbraw/zinc/12/95/23/1108129523.db2.gz SOEZCKPFQBEYIS-UHFFFAOYSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCc2sccc2C)C1 ZINC001324017107 1108147769 /nfs/dbraw/zinc/14/77/69/1108147769.db2.gz FNMNERDULPJUND-UHFFFAOYSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CCCCC1(F)F ZINC001324198086 1108204190 /nfs/dbraw/zinc/20/41/90/1108204190.db2.gz AOEFPPUGEAMOMO-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(CC)C(=O)N[C@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC001263806040 1108210698 /nfs/dbraw/zinc/21/06/98/1108210698.db2.gz BFDGSTCSZVNVMZ-AWEZNQCLSA-N 0 1 301.434 3.397 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001318892596 1108230583 /nfs/dbraw/zinc/23/05/83/1108230583.db2.gz IBVCNTPHXADYTE-KRWDZBQOSA-N 0 1 315.461 3.177 20 30 DGEDMN C=C[C@@H](C(=O)N1CCC(c2cn[nH]c2C)CC1)c1ccccc1 ZINC001263820272 1108232732 /nfs/dbraw/zinc/23/27/32/1108232732.db2.gz ROVQBEDQYHWVFP-QGZVFWFLSA-N 0 1 309.413 3.394 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1cc(C)no1)C1CCCC1 ZINC001324481329 1108307407 /nfs/dbraw/zinc/30/74/07/1108307407.db2.gz FTVKNHDLHSTBGF-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1cc(C)no1)C1CCCC1 ZINC001324481327 1108308357 /nfs/dbraw/zinc/30/83/57/1108308357.db2.gz FTVKNHDLHSTBGF-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)/C(C)=C/C)C1 ZINC001264040490 1108348326 /nfs/dbraw/zinc/34/83/26/1108348326.db2.gz JWUDXOBSUDJLNH-HHEQTWLRSA-N 0 1 316.420 3.252 20 30 DGEDMN CCC(CC)C(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001324572534 1108348931 /nfs/dbraw/zinc/34/89/31/1108348931.db2.gz HTEMZLIYNKPRDD-LJQANCHMSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN([C@H](C)c2ccccc2F)C1 ZINC001324590097 1108364551 /nfs/dbraw/zinc/36/45/51/1108364551.db2.gz RSIHJUKVASNEMJ-RDTXWAMCSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)[C@@H]1C ZINC001264138458 1108397722 /nfs/dbraw/zinc/39/77/22/1108397722.db2.gz YURXTSJGSIQSSY-QKFVEJEJSA-N 0 1 324.468 3.472 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(C/C=C\c2ccccc2)[C@@H]1C ZINC001264155323 1108404416 /nfs/dbraw/zinc/40/44/16/1108404416.db2.gz SEHCMSRARHZULG-IBDJODRUSA-N 0 1 312.457 3.491 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1F ZINC001264373005 1108483804 /nfs/dbraw/zinc/48/38/04/1108483804.db2.gz PTJXFKWFNMMDKS-DOTOQJQBSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001319512445 1108501442 /nfs/dbraw/zinc/50/14/42/1108501442.db2.gz YMZBCIRCYBYUGC-ZDUSSCGKSA-N 0 1 321.465 3.255 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1F ZINC001319522937 1108505620 /nfs/dbraw/zinc/50/56/20/1108505620.db2.gz MOHGFEKFIRJFFF-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C#CCCCCC(=O)NC[C@]1(C)CCCN(C[C@H](F)CC)C1 ZINC001324925817 1108515732 /nfs/dbraw/zinc/51/57/32/1108515732.db2.gz FHNRGCTXECQFNV-AEFFLSMTSA-N 0 1 310.457 3.146 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccccc2C(C)C)C1 ZINC001324944852 1108527971 /nfs/dbraw/zinc/52/79/71/1108527971.db2.gz USTQZJIJZGZBRS-FQEVSTJZSA-N 0 1 312.457 3.275 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cn(-c3cccc(F)c3)nc2C)C1 ZINC001325242600 1108604587 /nfs/dbraw/zinc/60/45/87/1108604587.db2.gz RSMYKWWAGIXQCN-GOSISDBHSA-N 0 1 315.392 3.097 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)CC)c1ccccc1CC ZINC001325367301 1108635114 /nfs/dbraw/zinc/63/51/14/1108635114.db2.gz SNFIOGJISHULKT-QGZVFWFLSA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCC(=C)CC1)c1ccccc1CC ZINC001325367440 1108636900 /nfs/dbraw/zinc/63/69/00/1108636900.db2.gz VBFPYNYSIKCZPE-HXUWFJFHSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1occc1C ZINC001319834693 1108657979 /nfs/dbraw/zinc/65/79/79/1108657979.db2.gz HINZLBPMSXDPDY-FZMZJTMJSA-N 0 1 310.825 3.171 20 30 DGEDMN CCN(CCC#N)C(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001319855513 1108665065 /nfs/dbraw/zinc/66/50/65/1108665065.db2.gz GFQCOUPQVRKYFY-SFHVURJKSA-N 0 1 313.445 3.112 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2c([nH]1)CCCC2 ZINC001265141428 1108748879 /nfs/dbraw/zinc/74/88/79/1108748879.db2.gz UEHZYLFVOGXKKR-UHFFFAOYSA-N 0 1 323.868 3.088 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001265226424 1108789700 /nfs/dbraw/zinc/78/97/00/1108789700.db2.gz BNKWHXSIPOURMP-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CCN(Cc2ccc(C(C)C)cc2)C1 ZINC001265281508 1108804504 /nfs/dbraw/zinc/80/45/04/1108804504.db2.gz LOXNVLJFJFXRGM-IBGZPJMESA-N 0 1 312.457 3.160 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265284439 1108806414 /nfs/dbraw/zinc/80/64/14/1108806414.db2.gz PSZQYUAMGHQYNE-MSOLQXFVSA-N 0 1 316.420 3.127 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001265299081 1108811680 /nfs/dbraw/zinc/81/16/80/1108811680.db2.gz HPVAZTODMJSJCE-QGZVFWFLSA-N 0 1 316.420 3.018 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C(C)=C2CCC2)CC1 ZINC001265338633 1108833607 /nfs/dbraw/zinc/83/36/07/1108833607.db2.gz FPPKJYJZGXWMDF-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN CC[C@H](C)CCC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001265451340 1108876309 /nfs/dbraw/zinc/87/63/09/1108876309.db2.gz LIROCJVGQLANSP-HKUYNNGSSA-N 0 1 312.457 3.055 20 30 DGEDMN CCCCC(=O)NC[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC001265575197 1108916317 /nfs/dbraw/zinc/91/63/17/1108916317.db2.gz DBBDRSWDMFRCII-MRXNPFEDSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001265589531 1108923305 /nfs/dbraw/zinc/92/33/05/1108923305.db2.gz ULEDDXKNWQEGKB-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C/C=C\Cc1ccccc1 ZINC001265646739 1108948142 /nfs/dbraw/zinc/94/81/42/1108948142.db2.gz FNZXTIFWKSLLCV-WHXUGTBJSA-N 0 1 324.468 3.169 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](CC)CC(F)F ZINC001265673491 1108960386 /nfs/dbraw/zinc/96/03/86/1108960386.db2.gz GWJOJBINMZKHJV-OLZOCXBDSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1CCCC12CC2 ZINC001265673975 1108961425 /nfs/dbraw/zinc/96/14/25/1108961425.db2.gz PGPTUJWULGZTLH-LSDHHAIUSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](C)C(C)(F)F ZINC001265681627 1108963906 /nfs/dbraw/zinc/96/39/06/1108963906.db2.gz DNLDAVQALNDIOW-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001265739764 1108987706 /nfs/dbraw/zinc/98/77/06/1108987706.db2.gz YUCIEWSLKXWPRJ-QQFBHYJXSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@@H](CNC(=O)CC1CCCCC1)NCC#Cc1ccccc1 ZINC001265750709 1108991988 /nfs/dbraw/zinc/99/19/88/1108991988.db2.gz QTXCGIHIVGJZIN-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](C)N[C@H](C)c1cccc(Cl)c1F ZINC001265773197 1109001396 /nfs/dbraw/zinc/00/13/96/1109001396.db2.gz QTQNCYIRMFFRDR-QWHCGFSZSA-N 0 1 324.827 3.294 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)COc1cccc(C(C)C)c1 ZINC001265776941 1109002149 /nfs/dbraw/zinc/00/21/49/1109002149.db2.gz CKSTWHKBYJMBHA-AWEZNQCLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(F)c(Cl)cc1F ZINC001265815920 1109013699 /nfs/dbraw/zinc/01/36/99/1109013699.db2.gz KKHUOCBRCUIVNK-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@]1(C)CCCc2ccccc21 ZINC001265841704 1109022382 /nfs/dbraw/zinc/02/23/82/1109022382.db2.gz UFOXHJSMXBDUOT-KSSFIOAISA-N 0 1 320.864 3.127 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)c1cccc(OC)c1 ZINC001265873589 1109033235 /nfs/dbraw/zinc/03/32/35/1109033235.db2.gz XCYFGGCWHANEIN-OCCSQVGLSA-N 0 1 324.852 3.036 20 30 DGEDMN CCC1(C(=O)NCCCN(C)CC#Cc2ccccc2)CCC1 ZINC001265906904 1109039214 /nfs/dbraw/zinc/03/92/14/1109039214.db2.gz UGYDFJQCFSOLPY-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](NCC(=C)Cl)C2)CCCCC1 ZINC001265947284 1109049189 /nfs/dbraw/zinc/04/91/89/1109049189.db2.gz CRHRRFJNCSVCNN-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@H](CC(=O)N1CC[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001265947714 1109049459 /nfs/dbraw/zinc/04/94/59/1109049459.db2.gz VZAHSGPJHFURPJ-WBVHZDCISA-N 0 1 318.848 3.289 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001266108413 1109092951 /nfs/dbraw/zinc/09/29/51/1109092951.db2.gz WIZLZJIQNLGRAY-UONOGXRCSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001266212116 1109128953 /nfs/dbraw/zinc/12/89/53/1109128953.db2.gz JMEKYCKLUQPSHK-CXOXVUTBSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001266233616 1109134593 /nfs/dbraw/zinc/13/45/93/1109134593.db2.gz NXJQJFCSISRLOT-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C[C@H](C)CCC)c(F)c1 ZINC001279704009 1109160839 /nfs/dbraw/zinc/16/08/39/1109160839.db2.gz OPSVLCJHWMGJGO-OAHLLOKOSA-N 0 1 318.436 3.381 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(CCOCC)CCCC1 ZINC001316603119 1109187565 /nfs/dbraw/zinc/18/75/65/1109187565.db2.gz BAUHCYWSEUVUPI-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@]3(C)CCC[C@H]3C)cccc2C1 ZINC001280427273 1109201707 /nfs/dbraw/zinc/20/17/07/1109201707.db2.gz YSSKDPFXRCJGRH-IERDGZPVSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CC(C)(C)C=C)cccc2C1 ZINC001280426837 1109201823 /nfs/dbraw/zinc/20/18/23/1109201823.db2.gz HTJOYYOSUPKVJU-UHFFFAOYSA-N 0 1 312.457 3.449 20 30 DGEDMN CC[C@@H](C)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001280474890 1109209948 /nfs/dbraw/zinc/20/99/48/1109209948.db2.gz GSORVKFNLDCXGR-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C(C)C)n2)CCC1 ZINC001280491341 1109212548 /nfs/dbraw/zinc/21/25/48/1109212548.db2.gz LUALPENLOLQXQI-UHFFFAOYSA-N 0 1 321.852 3.200 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2cccs2)CCC1 ZINC001280505396 1109215402 /nfs/dbraw/zinc/21/54/02/1109215402.db2.gz OATMTIIXOUTNRS-LBPRGKRZSA-N 0 1 312.866 3.233 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC(C)(C)C(F)(F)F ZINC001316608697 1109301616 /nfs/dbraw/zinc/30/16/16/1109301616.db2.gz YPXDHVAXNVJXQY-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCC(=O)NC[C@H]1C[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001316613480 1109398302 /nfs/dbraw/zinc/39/83/02/1109398302.db2.gz RMDOGFLROOJKBE-MCIONIFRSA-N 0 1 322.399 3.476 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)CCC ZINC001281776228 1109407323 /nfs/dbraw/zinc/40/73/23/1109407323.db2.gz KDWRLAIXHNBOOZ-APWZRJJASA-N 0 1 312.457 3.162 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1sccc1Cl ZINC001282133441 1109455998 /nfs/dbraw/zinc/45/59/98/1109455998.db2.gz MZNVWSFYURSLOP-RKDXNWHRSA-N 0 1 307.246 3.250 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@@H](C)c1ccccc1F ZINC001282378267 1109497875 /nfs/dbraw/zinc/49/78/75/1109497875.db2.gz MWCICKWIJQHHGE-GJZGRUSLSA-N 0 1 304.409 3.127 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cccc(F)c1 ZINC001282636636 1109540164 /nfs/dbraw/zinc/54/01/64/1109540164.db2.gz REAVDZAERHBSJW-OAHLLOKOSA-N 0 1 312.816 3.456 20 30 DGEDMN CCCCCC(=O)N[C@H](C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001282687776 1109551544 /nfs/dbraw/zinc/55/15/44/1109551544.db2.gz GCXBZKKCLNTGMT-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@H](C)c2cccc(F)c2)C1 ZINC001282693356 1109553081 /nfs/dbraw/zinc/55/30/81/1109553081.db2.gz OAOAZVPXHFPLIX-ZIAGYGMSSA-N 0 1 304.409 3.289 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC001282833160 1109572696 /nfs/dbraw/zinc/57/26/96/1109572696.db2.gz ISEFKMAPCCXECF-AEFFLSMTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CCC3CCCCC3)[C@@H]2C1 ZINC001282884443 1109579171 /nfs/dbraw/zinc/57/91/71/1109579171.db2.gz CMJQCMPKTQLCJT-OSYLJGHBSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@]2(C)CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001282985702 1109586058 /nfs/dbraw/zinc/58/60/58/1109586058.db2.gz QDFHNCSDANRAKI-BEPFOMCCSA-N 0 1 322.880 3.103 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccoc1CCC ZINC001283551993 1109651227 /nfs/dbraw/zinc/65/12/27/1109651227.db2.gz AGGGHIRITSUMFO-OAHLLOKOSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001283643984 1109665396 /nfs/dbraw/zinc/66/53/96/1109665396.db2.gz WTBOFZBUYIEPGG-YOEHRIQHSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCCCC1(F)F ZINC001283649197 1109665729 /nfs/dbraw/zinc/66/57/29/1109665729.db2.gz NJKGNMXINIPVIV-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001284122229 1109740876 /nfs/dbraw/zinc/74/08/76/1109740876.db2.gz SBXHGXPRPFKFQL-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cnc2ccccc2c1)C(C)C ZINC001284543428 1109808374 /nfs/dbraw/zinc/80/83/74/1109808374.db2.gz DBXBXAMFWAMPCH-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN CC#CC[N@@H+](C)CCN(C(=O)c1cc(F)ccc1Cl)C(C)C ZINC001284544859 1109808866 /nfs/dbraw/zinc/80/88/66/1109808866.db2.gz PUINWGJPKIOKRZ-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(F)ccc1Cl)C(C)C ZINC001284544859 1109808869 /nfs/dbraw/zinc/80/88/69/1109808869.db2.gz PUINWGJPKIOKRZ-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(OC)cc1)C(C)(C)C ZINC001284643921 1109832014 /nfs/dbraw/zinc/83/20/14/1109832014.db2.gz RHQBGCMTTMQKIE-OAHLLOKOSA-N 0 1 324.852 3.182 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2cc(C)ccc2F)CC1 ZINC001284890176 1109877634 /nfs/dbraw/zinc/87/76/34/1109877634.db2.gz QXNGKWXBBBVGEO-UHFFFAOYSA-N 0 1 316.420 3.349 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C2(C)CCCC2)c(F)c1 ZINC001285003203 1109891061 /nfs/dbraw/zinc/89/10/61/1109891061.db2.gz BNGCTNYILJBBQH-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CC3(C#N)CCCCC3)[nH]c2c1 ZINC001287394971 1110111611 /nfs/dbraw/zinc/11/16/11/1110111611.db2.gz MJXPGLDURNXSOA-UHFFFAOYSA-N 0 1 307.357 3.237 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001317459432 1111232089 /nfs/dbraw/zinc/23/20/89/1111232089.db2.gz QAIYHAPDZPSJSR-GFCCVEGCSA-N 0 1 310.388 3.286 20 30 DGEDMN CC#CC[N@@H+](C)CCCNC(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001316856251 1111237742 /nfs/dbraw/zinc/23/77/42/1111237742.db2.gz DTNNSGVXSQUMGP-IAGOWNOFSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001306907665 1111249419 /nfs/dbraw/zinc/24/94/19/1111249419.db2.gz YVARBPJBBIYNKI-UHFFFAOYSA-N 0 1 307.357 3.050 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](Cc2ccc(C)cc2)C1 ZINC001307441560 1111300467 /nfs/dbraw/zinc/30/04/67/1111300467.db2.gz GHISMNKATORBBE-ZWKOTPCHSA-N 0 1 301.430 3.367 20 30 DGEDMN COc1cc(C)c([C@@H](C)NCc2cc(C#N)ccn2)cc1OC ZINC001307635192 1111321913 /nfs/dbraw/zinc/32/19/13/1111321913.db2.gz PYFPIGLGYHHPGF-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN C[C@H](NCc1c[nH]nn1)c1ccc(-c2cccc(C#N)c2)cc1 ZINC001307675291 1111326076 /nfs/dbraw/zinc/32/60/76/1111326076.db2.gz PTHXDMCQKWATLK-ZDUSSCGKSA-N 0 1 303.369 3.194 20 30 DGEDMN CC(C)=CCCN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC001307675472 1111326130 /nfs/dbraw/zinc/32/61/30/1111326130.db2.gz OJDOABXVJQCOIB-SFHVURJKSA-N 0 1 301.409 3.364 20 30 DGEDMN Cc1cc(F)cc2c1CN(C[C@@H](O)c1cccc(C#N)c1)CC2 ZINC001307715907 1111330990 /nfs/dbraw/zinc/33/09/90/1111330990.db2.gz OYIXMNPQMUWIBZ-LJQANCHMSA-N 0 1 310.372 3.098 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CN(Cc1ccccc1)C[C@H](C)O2 ZINC001308031457 1111369607 /nfs/dbraw/zinc/36/96/07/1111369607.db2.gz HRFAVXBDXMEFGV-WMZOPIPTSA-N 0 1 320.864 3.104 20 30 DGEDMN CN(CCc1ccc2c(c1)OCO2)Cc1cccc(C#N)c1F ZINC001308084460 1111375545 /nfs/dbraw/zinc/37/55/45/1111375545.db2.gz XKGPCIKINZZNDP-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN N#CCOc1ccc(CNCc2cc(O)cc(Cl)c2)cc1 ZINC001308258081 1111406394 /nfs/dbraw/zinc/40/63/94/1111406394.db2.gz ZJXXWWDHTHIPPW-UHFFFAOYSA-N 0 1 302.761 3.238 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)OC(C)(C)C ZINC001308818204 1111479467 /nfs/dbraw/zinc/47/94/67/1111479467.db2.gz CLDRWJOAZWFFKS-UHFFFAOYSA-N 0 1 316.445 3.227 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@](O)(CC)C1 ZINC001308896551 1111490079 /nfs/dbraw/zinc/49/00/79/1111490079.db2.gz BOGDOGPBWCWCLV-KRWDZBQOSA-N 0 1 307.821 3.089 20 30 DGEDMN OC[C@H](NCC#Cc1ccccc1)c1cccc(C(F)(F)F)c1 ZINC001308899819 1111490802 /nfs/dbraw/zinc/49/08/02/1111490802.db2.gz MBNZOVIYUIEYPE-KRWDZBQOSA-N 0 1 319.326 3.380 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCc2ccnc(N(C)C)c2C1 ZINC001308981728 1111504654 /nfs/dbraw/zinc/50/46/54/1111504654.db2.gz PRUDAGKRNWMLOS-UHFFFAOYSA-N 0 1 320.440 3.194 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC001311619592 1111610330 /nfs/dbraw/zinc/61/03/30/1111610330.db2.gz PEGXXWDVEOEOIG-MRXNPFEDSA-N 0 1 321.440 3.330 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1C[C@@H](C)N(CC)C[C@H]1C ZINC001313002115 1111687238 /nfs/dbraw/zinc/68/72/38/1111687238.db2.gz QBLNKDJMSSGRTB-VQHPVUNQSA-N 0 1 307.482 3.245 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(Cc2ccsc2)C1 ZINC001316986564 1111734878 /nfs/dbraw/zinc/73/48/78/1111734878.db2.gz YNMRBYBEPNTWIL-MRXNPFEDSA-N 0 1 304.459 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccccc1CC)C1CC1 ZINC001317024270 1111738219 /nfs/dbraw/zinc/73/82/19/1111738219.db2.gz XPSIXFGUZANYOB-MRXNPFEDSA-N 0 1 306.837 3.100 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CC2(C)CC2)C1 ZINC001316721490 1111742773 /nfs/dbraw/zinc/74/27/73/1111742773.db2.gz IZAXEYTXXADYOY-QZTJIDSGSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1nc(C)cs1 ZINC001316873208 1111787185 /nfs/dbraw/zinc/78/71/85/1111787185.db2.gz OCXCAILUBCFLGP-HUUCEWRRSA-N 0 1 321.490 3.277 20 30 DGEDMN CCc1nn(C)c(OC)c1CN[C@@H](CC)c1ccc(C#N)cc1 ZINC000278673057 214316664 /nfs/dbraw/zinc/31/66/64/214316664.db2.gz JHPWLDUBLQJVPO-INIZCTEOSA-N 0 1 312.417 3.104 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1[nH]nc2ccccc21 ZINC000063251472 432004789 /nfs/dbraw/zinc/00/47/89/432004789.db2.gz MECFHOGPMVCDBU-UHFFFAOYSA-N 0 1 316.364 3.263 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1ccc(OC)c(C)c1 ZINC000103144631 432005123 /nfs/dbraw/zinc/00/51/23/432005123.db2.gz RCGRCEQPHWEDNI-MRXNPFEDSA-N 0 1 316.445 3.025 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C1(C#N)CCCCC1 ZINC000330968179 529976340 /nfs/dbraw/zinc/97/63/40/529976340.db2.gz LURLTECYSOFWSF-UHFFFAOYSA-N 0 1 302.422 3.140 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2cc(Cl)ccc2C#N)C(C)(C)C1 ZINC000331018434 232352436 /nfs/dbraw/zinc/35/24/36/232352436.db2.gz VZQILCUXMMYTFT-NSHDSACASA-N 0 1 305.809 3.271 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000181987453 199371458 /nfs/dbraw/zinc/37/14/58/199371458.db2.gz WSZYJLXNQXXQLQ-INIZCTEOSA-N 0 1 321.424 3.258 20 30 DGEDMN CC(=O)Nc1cc(C(=O)C(C#N)c2nc(C)cs2)ccc1F ZINC000066504331 432017447 /nfs/dbraw/zinc/01/74/47/432017447.db2.gz QOGRKLZTXFMCBL-NSHDSACASA-N 0 1 317.345 3.039 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)[C@H](C)c2ccc(F)cc2)CC1 ZINC000067207546 432027969 /nfs/dbraw/zinc/02/79/69/432027969.db2.gz CZTQYKAAQGZDBM-OAHLLOKOSA-N 0 1 316.420 3.080 20 30 DGEDMN CCOc1ccc(CNCc2ccc(OC)c(C#N)c2)cc1F ZINC000174362838 198325380 /nfs/dbraw/zinc/32/53/80/198325380.db2.gz FHTREBKWISIXDG-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN N#Cc1ccccc1-c1ccc(CN2CCCC[C@H]2C(N)=O)cc1 ZINC000073449125 191317972 /nfs/dbraw/zinc/31/79/72/191317972.db2.gz YGXQHCAYWYLEOL-IBGZPJMESA-N 0 1 319.408 3.065 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3ccc(C(F)(F)F)cc3[nH]2)o1 ZINC000343334662 283134580 /nfs/dbraw/zinc/13/45/80/283134580.db2.gz PVVKMAYBJGGXGG-UHFFFAOYSA-N 0 1 320.230 3.299 20 30 DGEDMN N#Cc1ccccc1CN(C[C@@H]1CCCO1)[C@@H]1CCSC1 ZINC000179283158 432052652 /nfs/dbraw/zinc/05/26/52/432052652.db2.gz ODRUWYIWVCBYJE-SJORKVTESA-N 0 1 302.443 3.045 20 30 DGEDMN CN(CCOc1ccccc1Cl)Cc1cc(C#N)n(C)c1 ZINC000276724931 213070476 /nfs/dbraw/zinc/07/04/76/213070476.db2.gz GHGIUINLVVYJAM-UHFFFAOYSA-N 0 1 303.793 3.061 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3c[nH]c(C#N)c3)cc2[nH]1 ZINC000180075265 432078665 /nfs/dbraw/zinc/07/86/65/432078665.db2.gz XPIRGKVEPOTZOD-UHFFFAOYSA-N 0 1 307.357 3.312 20 30 DGEDMN CS[C@H]1CCCCN([C@@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000134555030 432079775 /nfs/dbraw/zinc/07/97/75/432079775.db2.gz DECLABMQBAOJNE-ZFWWWQNUSA-N 0 1 317.458 3.103 20 30 DGEDMN N#Cc1ccc(CNCCOc2cccc(C(F)(F)F)c2)o1 ZINC000237850555 202370994 /nfs/dbraw/zinc/37/09/94/202370994.db2.gz IPKBVHKWKQSWTO-UHFFFAOYSA-N 0 1 310.275 3.339 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3ccc(Cl)cc3)C2)nc1 ZINC000428810640 238031659 /nfs/dbraw/zinc/03/16/59/238031659.db2.gz HVLLUUKLYWNQBD-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3ccc(Cl)cc3)C2)nc1 ZINC000428810640 238031660 /nfs/dbraw/zinc/03/16/60/238031660.db2.gz HVLLUUKLYWNQBD-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@@]1(CO)Cc1ccccc1 ZINC000428939359 238034789 /nfs/dbraw/zinc/03/47/89/238034789.db2.gz MKNRZZHNWQOGEH-FQEVSTJZSA-N 0 1 324.399 3.267 20 30 DGEDMN CC1(C2CCN(CC#Cc3ccc(Cl)cc3)CC2)OCCO1 ZINC000268481986 432092749 /nfs/dbraw/zinc/09/27/49/432092749.db2.gz PCXZITWTGNWEJX-UHFFFAOYSA-N 0 1 319.832 3.167 20 30 DGEDMN N#Cc1cccc(CNCc2cc(Cl)c3c(c2)OCCO3)c1 ZINC000075735088 283825171 /nfs/dbraw/zinc/82/51/71/283825171.db2.gz RNEDGEHMKXFXCH-UHFFFAOYSA-N 0 1 314.772 3.273 20 30 DGEDMN Cc1ncccc1CN1CCC(Oc2cccc(C#N)c2)CC1 ZINC000092034424 193144441 /nfs/dbraw/zinc/14/44/41/193144441.db2.gz XRIRBOQHPAGEPU-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cc([C@@H](C)N[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)ccc1F ZINC000451161996 241028317 /nfs/dbraw/zinc/02/83/17/241028317.db2.gz MXKDYHUHGBHHIW-LBTNJELSSA-N 0 1 319.424 3.228 20 30 DGEDMN COC[C@]1(C)CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000451204556 241047421 /nfs/dbraw/zinc/04/74/21/241047421.db2.gz XHIVZOCBVZICLZ-MRXNPFEDSA-N 0 1 310.344 3.018 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1coc(-c2ccc(F)c(F)c2)n1 ZINC000451610676 241188417 /nfs/dbraw/zinc/18/84/17/241188417.db2.gz YZGGCXPSSRZMOW-CABCVRRESA-N 0 1 306.312 3.053 20 30 DGEDMN Cc1ccc(C)c(NC(=O)CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000271786117 209213378 /nfs/dbraw/zinc/21/33/78/209213378.db2.gz MJSTWZCYIJPXTO-UHFFFAOYSA-N 0 1 319.408 3.393 20 30 DGEDMN CCO[C@H]1C[C@H]([N@@H+](C)Cc2ccc(OCC#N)cc2)C12CCC2 ZINC000268616052 432111335 /nfs/dbraw/zinc/11/13/35/432111335.db2.gz ACIJFXBBNPJYDB-ROUUACIJSA-N 0 1 314.429 3.368 20 30 DGEDMN CCCCN(C)C(=O)C1CCN(Cc2cc(C#N)cs2)CC1 ZINC000092145947 193167248 /nfs/dbraw/zinc/16/72/48/193167248.db2.gz DQJMLOLMWAVSLR-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN CCc1ccccc1NC(=O)CN(C)CCCC(C)(C)C#N ZINC000471703412 244233310 /nfs/dbraw/zinc/23/33/10/244233310.db2.gz UYADSGCZWWUFLO-UHFFFAOYSA-N 0 1 301.434 3.449 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1c(O)cccc1F ZINC000122706548 284224040 /nfs/dbraw/zinc/22/40/40/284224040.db2.gz LVFMRXZVWCGRSI-UHFFFAOYSA-N 0 1 312.344 3.454 20 30 DGEDMN Cc1cc(CN2CCC(C(=O)OC(C)(C)C)CC2)ccc1C#N ZINC000487879160 245115811 /nfs/dbraw/zinc/11/58/11/245115811.db2.gz WHOQULACVQHOQL-UHFFFAOYSA-N 0 1 314.429 3.420 20 30 DGEDMN Cc1ccc2ncc(C#N)c(NC[C@H](c3ccco3)N(C)C)c2c1 ZINC000487931250 245124865 /nfs/dbraw/zinc/12/48/65/245124865.db2.gz HCLNGTOZJVXYQK-QGZVFWFLSA-N 0 1 320.396 3.145 20 30 DGEDMN C=CCn1cccc1C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000488042338 245138947 /nfs/dbraw/zinc/13/89/47/245138947.db2.gz KSPDQDDUVDQEJB-ZDUSSCGKSA-N 0 1 304.353 3.349 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(C)[C@H](C(C)C)C1)c1ccccc1 ZINC000489399417 245302411 /nfs/dbraw/zinc/30/24/11/245302411.db2.gz UUMNSDHLOOCLJI-WMZOPIPTSA-N 0 1 300.446 3.145 20 30 DGEDMN Cc1ccc(Cl)c(S(=O)(=O)Nc2ccc(F)c(C#N)c2)c1 ZINC000124443845 284259346 /nfs/dbraw/zinc/25/93/46/284259346.db2.gz LIVCCJOLAIDMBU-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@H]1CCCC[C@H]1C)c1ccc(C#N)cc1 ZINC000271694948 209132657 /nfs/dbraw/zinc/13/26/57/209132657.db2.gz SGXQJJCLSMNKQX-VKJFTORMSA-N 0 1 313.445 3.246 20 30 DGEDMN CCN(CC(=O)N1CCC[C@@H](C)C1)[C@@H](C)c1ccc(C#N)cc1 ZINC000054340564 284387928 /nfs/dbraw/zinc/38/79/28/284387928.db2.gz XEEUNBSMOBUGGU-CVEARBPZSA-N 0 1 313.445 3.200 20 30 DGEDMN CCN(C(=O)CN[C@H](C)c1ccc(C#N)cc1)C1CCCCC1 ZINC000271664632 209107632 /nfs/dbraw/zinc/10/76/32/209107632.db2.gz LYMCBNDKTPJBKK-OAHLLOKOSA-N 0 1 313.445 3.390 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)C=Cc2ccc(C#N)cc2)n[nH]1 ZINC000493593761 246018902 /nfs/dbraw/zinc/01/89/02/246018902.db2.gz YHKQFMQKKZZZBU-DQKWEHNMSA-N 0 1 323.400 3.080 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C=Cc1ccc(C#N)cc1 ZINC000493830770 246079568 /nfs/dbraw/zinc/07/95/68/246079568.db2.gz YUIONODLEUUGLE-KTKRTIGZSA-N 0 1 322.412 3.251 20 30 DGEDMN CC(C)NC(=O)OC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC000495687855 246297229 /nfs/dbraw/zinc/29/72/29/246297229.db2.gz MKJVZNVHFIWZAE-KRWDZBQOSA-N 0 1 315.417 3.047 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]([C@@H]2CCCCN2CCC#N)C1 ZINC000495797237 246311353 /nfs/dbraw/zinc/31/13/53/246311353.db2.gz CFOYHPXSHDQCSF-CABCVRRESA-N 0 1 307.438 3.012 20 30 DGEDMN Cc1csc([C@H](NCCOc2cccc(C#N)c2)C2CC2)n1 ZINC000181590349 432138248 /nfs/dbraw/zinc/13/82/48/432138248.db2.gz GLJHVIYYPYXDEH-MRXNPFEDSA-N 0 1 313.426 3.443 20 30 DGEDMN CCN(CC(=O)N[C@H](C#N)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC000152380136 335036439 /nfs/dbraw/zinc/03/64/39/335036439.db2.gz ABZRQLYNQZSRBD-QGZVFWFLSA-N 0 1 313.445 3.149 20 30 DGEDMN CC(C)n1cc(CN2CCCN(c3ccccc3C#N)CC2)cn1 ZINC000171545091 432142964 /nfs/dbraw/zinc/14/29/64/432142964.db2.gz WBIVXJTZAWARGY-UHFFFAOYSA-N 0 1 323.444 3.048 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000109347071 194259418 /nfs/dbraw/zinc/25/94/18/194259418.db2.gz IJAKKPBXNFIYFP-KDOFPFPSSA-N 0 1 305.381 3.014 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc2c(c1)CC(=O)N2C ZINC000268928622 207095635 /nfs/dbraw/zinc/09/56/35/207095635.db2.gz YQEBKTDEGIHSCR-GFCCVEGCSA-N 0 1 323.371 3.067 20 30 DGEDMN COC(=O)c1ccc(CN(Cc2ccc(C#N)cc2)C(C)C)o1 ZINC000063751085 184287192 /nfs/dbraw/zinc/28/71/92/184287192.db2.gz OXMPQNMBNNOMKD-UHFFFAOYSA-N 0 1 312.369 3.348 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2cc(-c3ccco3)n[nH]2)c1 ZINC000065749498 184378660 /nfs/dbraw/zinc/37/86/60/184378660.db2.gz VDLIOBIJOPRNGS-UHFFFAOYSA-N 0 1 309.325 3.487 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccc(C#N)c(Cl)c2)c(O)c1 ZINC000092258562 252616886 /nfs/dbraw/zinc/61/68/86/252616886.db2.gz PQVSAQSZOHBPOC-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN CN(CCOc1ccccc1C#N)Cc1cc(Cl)cn1C ZINC000120111918 195098561 /nfs/dbraw/zinc/09/85/61/195098561.db2.gz YIWSGTWTNACCLN-UHFFFAOYSA-N 0 1 303.793 3.061 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CCSC(C)(C)CC1 ZINC000336859157 253010517 /nfs/dbraw/zinc/01/05/17/253010517.db2.gz FNGHJGBCYJSVCR-ZDUSSCGKSA-N 0 1 317.458 3.103 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CC=C(C(F)(F)F)CC1 ZINC000270290286 208363765 /nfs/dbraw/zinc/36/37/65/208363765.db2.gz NEPGRVSNMOFBNY-UHFFFAOYSA-N 0 1 323.318 3.081 20 30 DGEDMN COCCOc1ccc(-c2nc3ccc(C#N)cc3[nH]2)cc1OC ZINC000338238918 253248747 /nfs/dbraw/zinc/24/87/47/253248747.db2.gz RANUAYFRDPCGBW-UHFFFAOYSA-N 0 1 323.352 3.135 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc2ccccc2c1 ZINC000272914279 210301822 /nfs/dbraw/zinc/30/18/22/210301822.db2.gz XBWNOCWDCQMAKT-CQSZACIVSA-N 0 1 303.427 3.091 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)o1 ZINC000343069486 254094367 /nfs/dbraw/zinc/09/43/67/254094367.db2.gz ZIGAHJFMNMOXNV-AWEZNQCLSA-N 0 1 322.324 3.131 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3ccc(C#N)[nH]3)cc2[nH]1 ZINC000343175105 254109921 /nfs/dbraw/zinc/10/99/21/254109921.db2.gz QMQCRWRRCBZSMK-UHFFFAOYSA-N 0 1 307.357 3.312 20 30 DGEDMN N#Cc1csc(CNCc2ccc(N3CCCCC3)nc2)c1 ZINC000343624464 254169526 /nfs/dbraw/zinc/16/95/26/254169526.db2.gz WPBQEAWMZFFSNK-UHFFFAOYSA-N 0 1 312.442 3.295 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)o1 ZINC000343945937 254205324 /nfs/dbraw/zinc/20/53/24/254205324.db2.gz LHOHIJQQEHPCEK-UHFFFAOYSA-N 0 1 302.240 3.217 20 30 DGEDMN C[C@H]1CN(Cc2nnc(-c3ccc(C#N)cc3)o2)CC(C)(C)C1 ZINC000344082710 254223012 /nfs/dbraw/zinc/22/30/12/254223012.db2.gz RXBMVQUDJKBKCR-CYBMUJFWSA-N 0 1 310.401 3.476 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cc(F)cc(F)c1 ZINC000344128562 254229241 /nfs/dbraw/zinc/22/92/41/254229241.db2.gz UADNHCSHVHOTAZ-UHFFFAOYSA-N 0 1 316.351 3.485 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000345765696 254395279 /nfs/dbraw/zinc/39/52/79/254395279.db2.gz KIIUASCBODBQFR-ZIAGYGMSSA-N 0 1 314.433 3.191 20 30 DGEDMN CC(C)(C)OC(=O)[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC000156615379 197127576 /nfs/dbraw/zinc/12/75/76/197127576.db2.gz MCJPMXKGFVBCCU-INIZCTEOSA-N 0 1 300.402 3.112 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000182160306 533164104 /nfs/dbraw/zinc/16/41/04/533164104.db2.gz YXFKUZHILNPJEZ-GJZGRUSLSA-N 0 1 315.417 3.180 20 30 DGEDMN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C#N)cc2F)C12CCC2 ZINC000120788157 195237200 /nfs/dbraw/zinc/23/72/00/195237200.db2.gz QFRNGDPSDONSSK-SJORKVTESA-N 0 1 302.393 3.477 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000074512844 184991796 /nfs/dbraw/zinc/99/17/96/184991796.db2.gz UYBSDNXBAWSWQD-AWEZNQCLSA-N 0 1 315.417 3.160 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)[C@H](CCO)c1ccccc1 ZINC000121066953 195289578 /nfs/dbraw/zinc/28/95/78/195289578.db2.gz HXXXIXMJGHWNLF-GOSISDBHSA-N 0 1 310.397 3.122 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@@H]1CCCc2ccccc21 ZINC000008127010 400118651 /nfs/dbraw/zinc/11/86/51/400118651.db2.gz SGWDIVUECHSLNI-MJGOQNOKSA-N 0 1 313.445 3.050 20 30 DGEDMN C#CCCN1CCN(c2nc(-c3ccc(F)cc3)cs2)CC1 ZINC000091480491 400122762 /nfs/dbraw/zinc/12/27/62/400122762.db2.gz UCKYIQHEVTVPQV-UHFFFAOYSA-N 0 1 315.417 3.095 20 30 DGEDMN Cc1ccccc1[C@H]1CN(CCc2ccc(C#N)cc2)CCO1 ZINC000595323847 400126450 /nfs/dbraw/zinc/12/64/50/400126450.db2.gz AVTLNBUPCQGDNS-HXUWFJFHSA-N 0 1 306.409 3.483 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(C(=O)[O-])cc1)C2 ZINC000600706403 400134688 /nfs/dbraw/zinc/13/46/88/400134688.db2.gz VUTKPABBYRVGIV-UHFFFAOYSA-N 0 1 306.365 3.009 20 30 DGEDMN CN(CCc1ccc(C#N)cc1)Cc1nc2ccccc2n1C ZINC000595384382 400137839 /nfs/dbraw/zinc/13/78/39/400137839.db2.gz KDPNYXQZXLGDIV-UHFFFAOYSA-N 0 1 304.397 3.119 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(Cc2ccc(F)c(C#N)c2)[C@@H]1C ZINC000595554203 400186829 /nfs/dbraw/zinc/18/68/29/400186829.db2.gz IVAZMYZVCLNGSN-WOSRLPQWSA-N 0 1 318.392 3.249 20 30 DGEDMN CC(C)(CCC#N)CN1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000092038703 400147961 /nfs/dbraw/zinc/14/79/61/400147961.db2.gz VJTYNNWYVWHUGT-MSOLQXFVSA-N 0 1 316.445 3.141 20 30 DGEDMN N#Cc1ccccc1OCCN1CCCO[C@H](c2ccccc2)C1 ZINC000609233404 400152978 /nfs/dbraw/zinc/15/29/78/400152978.db2.gz DXHYFJUFDJZPCE-FQEVSTJZSA-N 0 1 322.408 3.401 20 30 DGEDMN C[C@H]1CC[C@@H](c2ccccc2)N(CC(=O)NC2(C#N)CCC2)C1 ZINC000586780165 400154952 /nfs/dbraw/zinc/15/49/52/400154952.db2.gz BPXQXUJJMDNZJE-RDJZCZTQSA-N 0 1 311.429 3.022 20 30 DGEDMN N#Cc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)cc1F ZINC000616766277 400221438 /nfs/dbraw/zinc/22/14/38/400221438.db2.gz ZWIGMMGWSKPTBQ-IBGZPJMESA-N 0 1 320.371 3.425 20 30 DGEDMN COC[C@H](NCc1ccc(F)c(C#N)c1)c1cccc(OC)c1 ZINC000595683799 400225903 /nfs/dbraw/zinc/22/59/03/400225903.db2.gz KYGBGTHGWPRHMU-SFHVURJKSA-N 0 1 314.360 3.183 20 30 DGEDMN COC[C@H](NCc1ccc(C#N)cc1C)c1cccc(OC)c1 ZINC000595682545 400225943 /nfs/dbraw/zinc/22/59/43/400225943.db2.gz BBZYGYCHQJVZRQ-IBGZPJMESA-N 0 1 310.397 3.353 20 30 DGEDMN Cc1nsc(NCc2nc3ccc(Cl)cc3[nH]2)c1C#N ZINC000588816227 400232749 /nfs/dbraw/zinc/23/27/49/400232749.db2.gz CVAHLEJQYKXACI-UHFFFAOYSA-N 0 1 303.778 3.465 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1[C@H](C)CCC[C@H]1C)c1cccc(C#N)c1 ZINC000610343244 400244786 /nfs/dbraw/zinc/24/47/86/400244786.db2.gz CDLSAVUZWROGID-FPCVCCKLSA-N 0 1 313.445 3.387 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C)c1cccc(C#N)c1 ZINC000610343250 400244983 /nfs/dbraw/zinc/24/49/83/400244983.db2.gz CDLSAVUZWROGID-QXSJWSMHSA-N 0 1 313.445 3.387 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)NC1CCC(C)CC1 ZINC000610343988 400244998 /nfs/dbraw/zinc/24/49/98/400244998.db2.gz XSXDXLKSWMCRPM-QRHJNKNDSA-N 0 1 313.445 3.292 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@H](C)C1O ZINC000595734323 400245560 /nfs/dbraw/zinc/24/55/60/400245560.db2.gz WVKPPKFKABTEHD-QWRGUYRKSA-N 0 1 312.335 3.026 20 30 DGEDMN CC(C)N(C(=O)[C@@H](C)N[C@@H](C)c1cccc(C#N)c1)C(C)C ZINC000610346340 400246342 /nfs/dbraw/zinc/24/63/42/400246342.db2.gz UNFQVEOVPFWLET-LSDHHAIUSA-N 0 1 301.434 3.243 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](c2ccccc2)[C@H](CO)C1 ZINC000595747912 400249011 /nfs/dbraw/zinc/24/90/11/400249011.db2.gz PFTRSPZZRVRWDJ-VQTJNVASSA-N 0 1 306.409 3.156 20 30 DGEDMN N#CCCN(C(=O)CN1C2CCCC1CCC2)c1ccccc1 ZINC000610370097 400252463 /nfs/dbraw/zinc/25/24/63/400252463.db2.gz UIPPKACPJBKLEX-UHFFFAOYSA-N 0 1 311.429 3.340 20 30 DGEDMN N#Cc1sccc1N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC000595630100 400212405 /nfs/dbraw/zinc/21/24/05/400212405.db2.gz SYGXBXZORSCXMW-GFCCVEGCSA-N 0 1 311.401 3.177 20 30 DGEDMN Cc1ccc(NC(=O)CNC(C)(C)c2cccc(C#N)c2)cc1 ZINC000595634421 400213531 /nfs/dbraw/zinc/21/35/31/400213531.db2.gz CBRITQAJAVXBPE-UHFFFAOYSA-N 0 1 307.397 3.330 20 30 DGEDMN N#Cc1cccc(CN[C@H](Cn2cccn2)c2ccccc2)c1 ZINC000610162256 400217012 /nfs/dbraw/zinc/21/70/12/400217012.db2.gz LUVQIMPSCZDWBV-LJQANCHMSA-N 0 1 302.381 3.286 20 30 DGEDMN COc1cccc2c1OCC[C@@H]2NCc1cc(C#N)cs1 ZINC000610488725 400263679 /nfs/dbraw/zinc/26/36/79/400263679.db2.gz HSUOIQISJALWIE-AWEZNQCLSA-N 0 1 300.383 3.242 20 30 DGEDMN CC(C)[C@H]1CN(c2nc(C(C)(C)C)ccc2C#N)CCN1C ZINC000616999921 400266551 /nfs/dbraw/zinc/26/65/51/400266551.db2.gz IQOBSMVFPFGLLN-OAHLLOKOSA-N 0 1 300.450 3.027 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1cc(O)cc(C#N)c1 ZINC000589184421 400270198 /nfs/dbraw/zinc/27/01/98/400270198.db2.gz GUXZJJJKTCONJA-UHFFFAOYSA-N 0 1 320.352 3.210 20 30 DGEDMN CN(c1ccccc1)[C@@H]1CCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000595825953 400271114 /nfs/dbraw/zinc/27/11/14/400271114.db2.gz HPWUWSIWCMMDKP-GOSISDBHSA-N 0 1 309.388 3.408 20 30 DGEDMN CN(c1ccccc1)[C@H]1CCN(Cc2ccc(C#N)cc2F)C1 ZINC000595826013 400273004 /nfs/dbraw/zinc/27/30/04/400273004.db2.gz JEZSLQLKDXEBLI-SFHVURJKSA-N 0 1 309.388 3.408 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CCC[C@H](C2CCC2)C1 ZINC000595839494 400277706 /nfs/dbraw/zinc/27/77/06/400277706.db2.gz ZICTXGWGOVTFMB-PBHICJAKSA-N 0 1 311.429 3.397 20 30 DGEDMN C[C@@]1(C#N)CCN(CCC(=O)Nc2cccc3ccccc32)C1 ZINC000595841216 400279155 /nfs/dbraw/zinc/27/91/55/400279155.db2.gz TVCMDUQXQPZMFI-IBGZPJMESA-N 0 1 307.397 3.404 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2nc3ccc(Br)cc3[nH]2)C1 ZINC000595842339 400279310 /nfs/dbraw/zinc/27/93/10/400279310.db2.gz DNQRAUQEDJNXHJ-AWEZNQCLSA-N 0 1 319.206 3.061 20 30 DGEDMN N#Cc1cc(CN2CCC3(C=Cc4ccccc4O3)CC2)ccn1 ZINC000595878188 400285033 /nfs/dbraw/zinc/28/50/33/400285033.db2.gz LWHOFCVKPLYRAW-UHFFFAOYSA-N 0 1 317.392 3.394 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cc(F)ccc2O)cc(OC)c1O ZINC000097439022 400286645 /nfs/dbraw/zinc/28/66/45/400286645.db2.gz HGASVACQEFKUBG-HYXAFXHYSA-N 0 1 318.300 3.150 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3cccc(C#N)n3)cc2[nH]1 ZINC000589337724 400289981 /nfs/dbraw/zinc/28/99/81/400289981.db2.gz LYBSPGFUHLRMPN-UHFFFAOYSA-N 0 1 319.368 3.424 20 30 DGEDMN CC[C@H](C#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC000404355223 400296127 /nfs/dbraw/zinc/29/61/27/400296127.db2.gz LEALGWYITZFNGL-UIBIWLFHSA-N 0 1 313.445 3.046 20 30 DGEDMN CCc1c(NC(=O)c2cccc(C#N)c2)[nH]nc1-c1ccncc1 ZINC000068965413 400302335 /nfs/dbraw/zinc/30/23/35/400302335.db2.gz KFKOEIBVBGPJRS-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN Cc1ccc(C#N)nc1NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000596088428 400314230 /nfs/dbraw/zinc/31/42/30/400314230.db2.gz MXLBMUBHGVPYNV-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000595786876 400259275 /nfs/dbraw/zinc/25/92/75/400259275.db2.gz IDSBSBIYLYPLAF-YJBOKZPZSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)Nc2ccc(C#N)cc2)ccc1F ZINC000611404382 400394318 /nfs/dbraw/zinc/39/43/18/400394318.db2.gz FGWLSFLHFPZPJZ-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN N#Cc1c(CN2CCC[C@@H](C[C@H]3CCOC3)C2)cn2ccccc12 ZINC000611350222 400383131 /nfs/dbraw/zinc/38/31/31/400383131.db2.gz FPTOVRGGPDYMDC-DLBZAZTESA-N 0 1 323.440 3.450 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H](C[C@H]3CCOC3)C2)c1F ZINC000611374771 400386077 /nfs/dbraw/zinc/38/60/77/400386077.db2.gz GQPCWWNUKFXFML-LSDHHAIUSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc2c(c1)CCC2)c1cccc(C#N)c1 ZINC000611386813 400388576 /nfs/dbraw/zinc/38/85/76/400388576.db2.gz VWECORKWNGZOJC-AWEZNQCLSA-N 0 1 319.408 3.336 20 30 DGEDMN C[C@@H](NC(=O)CN[C@H](C)c1cccc(C#N)c1)c1cccs1 ZINC000611388886 400389873 /nfs/dbraw/zinc/38/98/73/400389873.db2.gz TZUOSWTYKKJTFG-CHWSQXEVSA-N 0 1 313.426 3.148 20 30 DGEDMN C[C@@H](NCC(=O)N(C1CC1)C1CCCC1)c1cccc(C#N)c1 ZINC000611388995 400390232 /nfs/dbraw/zinc/39/02/32/400390232.db2.gz VNPAPNHRMCQFBN-CQSZACIVSA-N 0 1 311.429 3.142 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)NCC1CCCCC1 ZINC000611387482 400390255 /nfs/dbraw/zinc/39/02/55/400390255.db2.gz ANVKYVDCCOGPDO-GJZGRUSLSA-N 0 1 313.445 3.294 20 30 DGEDMN COC(=O)C[C@H]1CCCCN1CC#Cc1cccc(Cl)c1 ZINC000610953315 400327786 /nfs/dbraw/zinc/32/77/86/400327786.db2.gz MOFNLSHBONRFSK-MRXNPFEDSA-N 0 1 305.805 3.109 20 30 DGEDMN C[C@@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1cccc(C#N)c1 ZINC000611388913 400390506 /nfs/dbraw/zinc/39/05/06/400390506.db2.gz UKALNQPLZBHCAB-HZPDHXFCSA-N 0 1 321.424 3.428 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000579197874 400335729 /nfs/dbraw/zinc/33/57/29/400335729.db2.gz QIOYPRGIBRWGHL-UHFFFAOYSA-N 0 1 308.372 3.079 20 30 DGEDMN Cc1cc(N[C@@H]2CN(C)Cc3ccccc32)cc(C#N)c1[N+](=O)[O-] ZINC000596174758 400340002 /nfs/dbraw/zinc/34/00/02/400340002.db2.gz GJDRMJWYJNRCDR-QGZVFWFLSA-N 0 1 322.368 3.374 20 30 DGEDMN Cc1cc(C2CCN(c3nc(C#N)c(Cl)s3)CC2)[nH]n1 ZINC000596189486 400345108 /nfs/dbraw/zinc/34/51/08/400345108.db2.gz IRKBEAHUIRVILE-UHFFFAOYSA-N 0 1 307.810 3.084 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC(C4CC4)CC3)o2)c1 ZINC000590008691 400347711 /nfs/dbraw/zinc/34/77/11/400347711.db2.gz TVILYSPZMNSGKT-UHFFFAOYSA-N 0 1 308.385 3.230 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N2CCc3ccc(C#N)cc32)o1 ZINC000611139228 400350623 /nfs/dbraw/zinc/35/06/23/400350623.db2.gz CIBFOYUSAPNYEP-UHFFFAOYSA-N 0 1 323.396 3.196 20 30 DGEDMN Cc1ccc(C#N)nc1NC[C@@H](c1ccco1)N1CCCCC1 ZINC000611399184 400393334 /nfs/dbraw/zinc/39/33/34/400393334.db2.gz XEQMRZYMUUOUJK-INIZCTEOSA-N 0 1 310.401 3.494 20 30 DGEDMN Cc1cc(C)c(C#N)c(NC[C@H](c2ccco2)N2CCCC2)n1 ZINC000072672718 400397400 /nfs/dbraw/zinc/39/74/00/400397400.db2.gz JKELWMCLAFCLMY-MRXNPFEDSA-N 0 1 310.401 3.412 20 30 DGEDMN COCc1cccc(C(=O)C(C#N)c2nc3ccccc3o2)c1 ZINC000041544075 400409735 /nfs/dbraw/zinc/40/97/35/400409735.db2.gz VBGSDOFOANVYPE-CQSZACIVSA-N 0 1 306.321 3.464 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N[C@@H](c1ccc(C)cc1)C1CC1 ZINC000042289572 400428239 /nfs/dbraw/zinc/42/82/39/400428239.db2.gz GOIMBRJENAUJBR-IBGZPJMESA-N 0 1 300.446 3.460 20 30 DGEDMN Cc1cc(C#N)ccc1CN1Cc2cnn(C)c2CC(C)(C)C1 ZINC000596597572 400454306 /nfs/dbraw/zinc/45/43/06/400454306.db2.gz CBAFOTQQSYNBOX-UHFFFAOYSA-N 0 1 308.429 3.185 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000127576040 400504495 /nfs/dbraw/zinc/50/44/95/400504495.db2.gz NHYXXCZQLAKPFY-CVEARBPZSA-N 0 1 321.424 3.222 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(C(=O)CCC2CCCC2)CC1 ZINC000602439444 400461914 /nfs/dbraw/zinc/46/19/14/400461914.db2.gz FUFAWNHCPSZZRR-MRXNPFEDSA-N 0 1 305.466 3.041 20 30 DGEDMN C[C@H](NC(=O)[C@H](C)N(C)C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000611901910 400462717 /nfs/dbraw/zinc/46/27/17/400462717.db2.gz KYWASAUCVVJKFC-GJZGRUSLSA-N 0 1 321.424 3.353 20 30 DGEDMN CCN(Cc1cc2c(cn1)OCCO2)[C@@H](C)c1ccc(C#N)cc1 ZINC000602446056 400465797 /nfs/dbraw/zinc/46/57/97/400465797.db2.gz ZWMFHPPUDWGXFB-AWEZNQCLSA-N 0 1 323.396 3.308 20 30 DGEDMN COc1ccccc1CC1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602458496 400468554 /nfs/dbraw/zinc/46/85/54/400468554.db2.gz MTYCPMDDRMPIGQ-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)[C@H](C)CC#N)c1ccccc1Cl ZINC000596710506 400484762 /nfs/dbraw/zinc/48/47/62/400484762.db2.gz MNZIMORGXKWHHV-CZUORRHYSA-N 0 1 321.852 3.389 20 30 DGEDMN CN(CCOc1ccc(C#N)cc1)Cc1ccc(F)c(F)c1 ZINC000044438244 400492183 /nfs/dbraw/zinc/49/21/83/400492183.db2.gz FIQMNFFJYVIOLI-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN N#CCc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)cc1 ZINC000612100064 400492485 /nfs/dbraw/zinc/49/24/85/400492485.db2.gz RWRIPVUOIIOZFS-HXUWFJFHSA-N 0 1 316.408 3.480 20 30 DGEDMN COc1cc2c(cc1CN1CCC[C@@H](CC#N)C1)O[C@H](C)C2 ZINC000597175474 400577191 /nfs/dbraw/zinc/57/71/91/400577191.db2.gz ZUCWCFJXODQLPI-KGLIPLIRSA-N 0 1 300.402 3.144 20 30 DGEDMN C[C@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccc(C#N)cc1 ZINC000045217659 400515351 /nfs/dbraw/zinc/51/53/51/400515351.db2.gz QZZRIEHILFXTHZ-JTQLQIEISA-N 0 1 300.745 3.408 20 30 DGEDMN COC(=O)c1csc(CN[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000602638693 400519676 /nfs/dbraw/zinc/51/96/76/400519676.db2.gz FTRCVNXOHWRLCA-MRXNPFEDSA-N 0 1 312.394 3.183 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@H](CC#N)C2)cc1OC ZINC000597175285 400578144 /nfs/dbraw/zinc/57/81/44/400578144.db2.gz XRSNWTBCNXFMEN-OAHLLOKOSA-N 0 1 300.402 3.386 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N2CCc3c2cccc3C#N)o1 ZINC000591520751 400535491 /nfs/dbraw/zinc/53/54/91/400535491.db2.gz FEBNYFPBWAJJJR-UHFFFAOYSA-N 0 1 323.396 3.196 20 30 DGEDMN N#Cc1cccc(CN[C@H](c2cccs2)C2(CO)CCC2)n1 ZINC000602686433 400539570 /nfs/dbraw/zinc/53/95/70/400539570.db2.gz RSEYGYTVZHWCBT-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN C=CCCSCCNCc1nc2cc([N+](=O)[O-])ccc2o1 ZINC000602710641 400548069 /nfs/dbraw/zinc/54/80/69/400548069.db2.gz OAHBHWOABGNILF-UHFFFAOYSA-N 0 1 307.375 3.135 20 30 DGEDMN COc1ccc(F)c(CN[C@@H](C)CC2(C#N)CCOCC2)c1 ZINC000602713858 400550116 /nfs/dbraw/zinc/55/01/16/400550116.db2.gz DXYBHGSZAFRDGS-ZDUSSCGKSA-N 0 1 306.381 3.023 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2ccsc2C#N)CC1 ZINC000597182964 400580431 /nfs/dbraw/zinc/58/04/31/400580431.db2.gz GTZVDPBKJQHLBC-UHFFFAOYSA-N 0 1 302.447 3.072 20 30 DGEDMN N#Cc1sccc1N1CCN(Cc2cccc3[nH]ccc32)CC1 ZINC000597184435 400580456 /nfs/dbraw/zinc/58/04/56/400580456.db2.gz WMWNDBGTUWBNSJ-UHFFFAOYSA-N 0 1 322.437 3.423 20 30 DGEDMN N#CC1(c2ccc(CN(CCCO)Cc3ccccn3)cc2)CC1 ZINC000597126490 400563071 /nfs/dbraw/zinc/56/30/71/400563071.db2.gz CZCMMYGHXZIZNO-UHFFFAOYSA-N 0 1 321.424 3.021 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cc(C(F)F)n[nH]2)cc1C#N ZINC000602959749 400615038 /nfs/dbraw/zinc/61/50/38/400615038.db2.gz SCDYDBTUDDXUEO-UHFFFAOYSA-N 0 1 320.299 3.258 20 30 DGEDMN Cc1cc(C2CCN(C(=O)CC3(C#N)CCCCC3)CC2)n[nH]1 ZINC000597472794 400635987 /nfs/dbraw/zinc/63/59/87/400635987.db2.gz XLHHRRHVWOERGX-UHFFFAOYSA-N 0 1 314.433 3.288 20 30 DGEDMN C[C@@H]1CN(c2c(C#N)cnc3c(Cl)cccc32)C[C@H](C)N1C ZINC000591948425 400586504 /nfs/dbraw/zinc/58/65/04/400586504.db2.gz IDNPTMFZXQDDPU-TXEJJXNPSA-N 0 1 314.820 3.289 20 30 DGEDMN C[C@H](C1CC1)N(Cc1nnc(-c2cccc(C#N)c2)o1)C1CC1 ZINC000075637515 400600797 /nfs/dbraw/zinc/60/07/97/400600797.db2.gz HYFPWUXEXOWSKH-GFCCVEGCSA-N 0 1 308.385 3.371 20 30 DGEDMN N#CC1(CC(=O)NCc2nc3ccc(F)cc3[nH]2)CCCCC1 ZINC000597316736 400610571 /nfs/dbraw/zinc/61/05/71/400610571.db2.gz DLEXHDZRDQUHIM-UHFFFAOYSA-N 0 1 314.364 3.182 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)CC2(C#N)CCCCC2)n[nH]1 ZINC000597561491 400646894 /nfs/dbraw/zinc/64/68/94/400646894.db2.gz IUUHAHOYIFHSHR-STQMWFEESA-N 0 1 317.437 3.360 20 30 DGEDMN CCCCCOc1ccc(CN2CC[C@](O)(CC#N)C2)cc1 ZINC000592523222 400663896 /nfs/dbraw/zinc/66/38/96/400663896.db2.gz JHSRSUSJTCUAOA-GOSISDBHSA-N 0 1 302.418 3.106 20 30 DGEDMN Cc1cc(F)ccc1-c1ccc(CN2CC[C@@](O)(CC#N)C2)o1 ZINC000592523345 400664058 /nfs/dbraw/zinc/66/40/58/400664058.db2.gz YUEYUSLWVFLANA-SFHVURJKSA-N 0 1 314.360 3.245 20 30 DGEDMN C/C(=C/c1ccccc1OC(F)F)CN1CC[C@@](O)(CC#N)C1 ZINC000592522243 400664264 /nfs/dbraw/zinc/66/42/64/400664264.db2.gz JRMSBTDTBONWPS-QFSNBGPKSA-N 0 1 322.355 3.042 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)C[N@H+]1CC[C@@H]2CCCC[C@@H]21 ZINC000159676817 400731280 /nfs/dbraw/zinc/73/12/80/400731280.db2.gz GADKLDXMXVOBEG-LRDDRELGSA-N 0 1 317.820 3.415 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@@H]2CCCC[C@@H]21 ZINC000159676817 400731282 /nfs/dbraw/zinc/73/12/82/400731282.db2.gz GADKLDXMXVOBEG-LRDDRELGSA-N 0 1 317.820 3.415 20 30 DGEDMN C[C@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1ccc(C#N)cc1 ZINC000160133732 400732583 /nfs/dbraw/zinc/73/25/83/400732583.db2.gz MPMYSQUVOVGCAY-KBXCAEBGSA-N 0 1 311.429 3.142 20 30 DGEDMN Cc1cc2cccnc2c(S(=O)(=O)Nc2ccc(C#N)cc2)c1 ZINC000077687996 400739798 /nfs/dbraw/zinc/73/97/98/400739798.db2.gz DDDYGVIGOFMLPT-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C[C@H](C#N)N(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)C1CC1 ZINC000598125667 400744518 /nfs/dbraw/zinc/74/45/18/400744518.db2.gz NTKVAUYJQDFJCA-SNVBAGLBSA-N 0 1 314.776 3.247 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N([C@@H](C)C#N)C3CC3)n[nH]2)c(C)c1 ZINC000598137542 400749631 /nfs/dbraw/zinc/74/96/31/400749631.db2.gz LLMVCAKQNVHBCD-ZDUSSCGKSA-N 0 1 308.385 3.210 20 30 DGEDMN N#CC1CCN(Cc2ccc(OCc3cccnc3)cc2)CC1 ZINC000050963214 400692279 /nfs/dbraw/zinc/69/22/79/400692279.db2.gz NIHPORIWIQZBOA-UHFFFAOYSA-N 0 1 307.397 3.396 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@](C)(C#N)C(C)(C)C)o1 ZINC000613366125 400704431 /nfs/dbraw/zinc/70/44/31/400704431.db2.gz BOGVXXQGICKLRH-QGZVFWFLSA-N 0 1 305.422 3.180 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000078587577 400812541 /nfs/dbraw/zinc/81/25/41/400812541.db2.gz QXVXGQNYLVMNJG-BEFAXECRSA-N 0 1 324.424 3.065 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(OCc2cccc(C#N)c2)cc1)N(C)C ZINC000078712406 400821653 /nfs/dbraw/zinc/82/16/53/400821653.db2.gz IEBVGDRWQCEHOU-AWEZNQCLSA-N 0 1 323.396 3.026 20 30 DGEDMN CC(C)CCN(C)[C@H](C)C(=O)Nc1sc2c(c1C#N)CCC2 ZINC000053365556 400778008 /nfs/dbraw/zinc/77/80/08/400778008.db2.gz NJMSZBBYLZXFHJ-GFCCVEGCSA-N 0 1 319.474 3.413 20 30 DGEDMN Cc1nc(CNC2CCN(c3ccc(C#N)cc3)CC2)cs1 ZINC000604497538 400781132 /nfs/dbraw/zinc/78/11/32/400781132.db2.gz RHJWBRFYDJXCPF-UHFFFAOYSA-N 0 1 312.442 3.082 20 30 DGEDMN CC(C)C[C@@H](C)CN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000619620861 400873899 /nfs/dbraw/zinc/87/38/99/400873899.db2.gz QAZFTOAASFLTSU-CQSZACIVSA-N 0 1 316.449 3.228 20 30 DGEDMN CC[C@@H](C#N)C(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000593546740 400874148 /nfs/dbraw/zinc/87/41/48/400874148.db2.gz NESUSHKBWDHLRN-UONOGXRCSA-N 0 1 310.401 3.127 20 30 DGEDMN CC#CCNC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000179434137 400878569 /nfs/dbraw/zinc/87/85/69/400878569.db2.gz IIYJLMHBMNITNV-UHFFFAOYSA-N 0 1 306.409 3.072 20 30 DGEDMN Cc1nn(C(C)(C)C)cc1C(=O)C(C#N)c1nc2ccccc2[nH]1 ZINC000181463645 400888551 /nfs/dbraw/zinc/88/85/51/400888551.db2.gz DCMPHTHNECWBRI-GFCCVEGCSA-N 0 1 321.384 3.313 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccnc(OC3CCC3)c2)n1 ZINC000180774234 400885644 /nfs/dbraw/zinc/88/56/44/400885644.db2.gz UMYORXLNJRJLNN-CYBMUJFWSA-N 0 1 313.382 3.268 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnc(OC3CCC3)c2)n1 ZINC000180774234 400885647 /nfs/dbraw/zinc/88/56/47/400885647.db2.gz UMYORXLNJRJLNN-CYBMUJFWSA-N 0 1 313.382 3.268 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000593427694 400845628 /nfs/dbraw/zinc/84/56/28/400845628.db2.gz XQHNIAJCHIGCQA-LLVKDONJSA-N 0 1 311.389 3.257 20 30 DGEDMN C[C@H]1CCN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@H]1CO ZINC000598647113 400849071 /nfs/dbraw/zinc/84/90/71/400849071.db2.gz NQKLZJCVLDEUAU-FZMZJTMJSA-N 0 1 312.335 3.027 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000079309310 400857250 /nfs/dbraw/zinc/85/72/50/400857250.db2.gz RCBUITLGOGTWOK-KGLIPLIRSA-N 0 1 319.405 3.059 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CSc1nc(-c2ccccc2F)n[nH]1 ZINC000598704830 400862037 /nfs/dbraw/zinc/86/20/37/400862037.db2.gz UWPZYTQTNYINMZ-SNVBAGLBSA-N 0 1 320.393 3.004 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1ccc(Cl)c(Cl)c1 ZINC000594011792 400947829 /nfs/dbraw/zinc/94/78/29/400947829.db2.gz SIOLTJBYRZOBLV-WDEREUQCSA-N 0 1 317.216 3.015 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000181813356 400889516 /nfs/dbraw/zinc/88/95/16/400889516.db2.gz AQMROTGEVGNKMC-OAHLLOKOSA-N 0 1 310.397 3.432 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(OCCC(C)C)cc1 ZINC000181735033 400890129 /nfs/dbraw/zinc/89/01/29/400890129.db2.gz RSCARZNGGQHQHL-HNNXBMFYSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C[C@@H](Nc1cccc2c1C[N@H+]([C@@H](C)C(C)C)C2)C(=O)OC ZINC000619734819 400895738 /nfs/dbraw/zinc/89/57/38/400895738.db2.gz TUWWMKVGNNZHDO-XJKSGUPXSA-N 0 1 302.418 3.186 20 30 DGEDMN Cc1cc(C#N)ccc1CNC1(c2ccc3c(c2)OCO3)CC1 ZINC000614407277 400896654 /nfs/dbraw/zinc/89/66/54/400896654.db2.gz LUYLBWJXQJSEOE-UHFFFAOYSA-N 0 1 306.365 3.374 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2ccccn2)c2cnn(C(C)C)c2n1 ZINC000193253878 400917905 /nfs/dbraw/zinc/91/79/05/400917905.db2.gz MLAZYYZGQVWDMS-CQSZACIVSA-N 0 1 319.368 3.206 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nc(C(C)C)cs2)cn1 ZINC000080456478 400953449 /nfs/dbraw/zinc/95/34/49/400953449.db2.gz LNMDAIUKJZYQGF-LLVKDONJSA-N 0 1 301.371 3.160 20 30 DGEDMN CN(C)c1cccc([C@@H]2CCCN2Cc2ccc(C#N)cn2)c1 ZINC000593959086 400927314 /nfs/dbraw/zinc/92/73/14/400927314.db2.gz CCMYWGPVJZEWOQ-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN CC[C@@H]1CN(C)c2ccccc2CN1Cc1ccc(C#N)cn1 ZINC000593961661 400927872 /nfs/dbraw/zinc/92/78/72/400927872.db2.gz IDVJAVIJMQPRGG-GOSISDBHSA-N 0 1 306.413 3.184 20 30 DGEDMN C=CCCOCC(=O)Nc1ccc2nc(CCCC)[nH]c2c1 ZINC000620903615 401007429 /nfs/dbraw/zinc/00/74/29/401007429.db2.gz BGXFHUQCNPNTOR-UHFFFAOYSA-N 0 1 301.390 3.437 20 30 DGEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)Cc1ccc(C(C)(C)C)cc1 ZINC000057597508 401013648 /nfs/dbraw/zinc/01/36/48/401013648.db2.gz AEHORKVZQFAOLM-HNNXBMFYSA-N 0 1 315.461 3.176 20 30 DGEDMN Cc1ccc(CN2C[C@@H](c3ccccc3)[C@@](F)(CO)C2)cc1C#N ZINC000599432448 401049507 /nfs/dbraw/zinc/04/95/07/401049507.db2.gz SZOLUPZHOYFVJT-PMACEKPBSA-N 0 1 324.399 3.167 20 30 DGEDMN COc1ccc(CNCc2ccnc(C(F)(F)F)c2)cc1C#N ZINC000294918400 533675091 /nfs/dbraw/zinc/67/50/91/533675091.db2.gz AQNAZYULXAXGRG-UHFFFAOYSA-N 0 1 321.302 3.270 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3cc[nH]n3)C2)c(Cl)c1 ZINC000615223993 401034195 /nfs/dbraw/zinc/03/41/95/401034195.db2.gz ODMADQLGDBKVSS-AWEZNQCLSA-N 0 1 300.793 3.314 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)cc1C#N ZINC000599386415 401039931 /nfs/dbraw/zinc/03/99/31/401039931.db2.gz SNAOMNVEQOPPHM-UKRRQHHQSA-N 0 1 312.335 3.002 20 30 DGEDMN C=C(C)[C@@H](CC(=O)Nc1c(=O)[nH][nH]c1-c1ccccc1)OCC ZINC000615283320 401046719 /nfs/dbraw/zinc/04/67/19/401046719.db2.gz RDJKCFVYUZKYTD-CYBMUJFWSA-N 0 1 315.373 3.092 20 30 DGEDMN COC(=O)[C@H]1CN(Cc2ccc(C#N)c(C)c2)Cc2ccccc21 ZINC000599666530 401106277 /nfs/dbraw/zinc/10/62/77/401106277.db2.gz NMEZCPHFIPOXCD-IBGZPJMESA-N 0 1 320.392 3.139 20 30 DGEDMN COC(=O)[C@@H]1CN(Cc2ccc(C#N)c(C)c2)Cc2ccccc21 ZINC000599666531 401106315 /nfs/dbraw/zinc/10/63/15/401106315.db2.gz NMEZCPHFIPOXCD-LJQANCHMSA-N 0 1 320.392 3.139 20 30 DGEDMN COC(=O)[C@@H]1CN([C@H](C)c2ccc(C#N)cc2)Cc2ccccc21 ZINC000599667109 401106627 /nfs/dbraw/zinc/10/66/27/401106627.db2.gz CFUZYPIUGATFJC-AUUYWEPGSA-N 0 1 320.392 3.392 20 30 DGEDMN COc1ccc([C@@H]2CCN(Cc3ccc(C#N)cn3)C2)cc1F ZINC000599679777 401112297 /nfs/dbraw/zinc/11/22/97/401112297.db2.gz OSTIKRCVHYWSJL-OAHLLOKOSA-N 0 1 311.360 3.090 20 30 DGEDMN COC(=O)C1C[C@H](C)N(CC#Cc2cccc(Cl)c2)[C@@H](C)C1 ZINC000599696521 401120312 /nfs/dbraw/zinc/12/03/12/401120312.db2.gz OFSLTAQUSCTEBU-KBPBESRZSA-N 0 1 319.832 3.354 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2NCC2(CC#N)CC2)C[C@H](C)O1 ZINC000594702898 401153927 /nfs/dbraw/zinc/15/39/27/401153927.db2.gz ZNZPDYFZELNGEN-IYBDPMFKSA-N 0 1 313.445 3.402 20 30 DGEDMN C=C[C@](C)(O)CC(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000615822155 401157369 /nfs/dbraw/zinc/15/73/69/401157369.db2.gz UNHFIWUQIZIBOI-QFYYESIMSA-N 0 1 321.808 3.063 20 30 DGEDMN N#CC(C(=O)c1cnn(CC2CC2)c1)c1nc(C2CC2)cs1 ZINC000634012107 401178767 /nfs/dbraw/zinc/17/87/67/401178767.db2.gz LGEBJJBCNISBIZ-CYBMUJFWSA-N 0 1 312.398 3.117 20 30 DGEDMN COC[C@H](NCc1cc(C#N)cs1)c1cccc(OC)c1 ZINC000594969136 401213016 /nfs/dbraw/zinc/21/30/16/401213016.db2.gz MSNUPWYHELMDNA-INIZCTEOSA-N 0 1 302.399 3.106 20 30 DGEDMN CC(C)N(CC(=O)N1CCC(C)CC1)Cc1ccc(C#N)cc1 ZINC000063751251 401214794 /nfs/dbraw/zinc/21/47/94/401214794.db2.gz OSWMMNCEMPJLNN-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C[C@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@H]1CO ZINC000621366147 401188074 /nfs/dbraw/zinc/18/80/74/401188074.db2.gz GULVLSBGNOANGJ-ZFWWWQNUSA-N 0 1 311.347 3.007 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2C(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000329644014 292211384 /nfs/dbraw/zinc/21/13/84/292211384.db2.gz DCOMDHHXVUTDQF-QAPCUYQASA-N 0 1 324.428 3.327 20 30 DGEDMN Cn1cc(C[N@@H+](C)CCCOc2ccc(Cl)cc2)cc1C#N ZINC000191262466 165239776 /nfs/dbraw/zinc/23/97/76/165239776.db2.gz GKOGDJYAHPSGGU-UHFFFAOYSA-N 0 1 317.820 3.451 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H]1CCCc2c(O)cccc21 ZINC000192348648 165290371 /nfs/dbraw/zinc/29/03/71/165290371.db2.gz SXCZTDIHRIBVAM-KRWDZBQOSA-N 0 1 308.381 3.440 20 30 DGEDMN CCOc1ccc(NS(=O)(=O)c2cccc(C)c2C#N)cc1 ZINC000137186697 432173461 /nfs/dbraw/zinc/17/34/61/432173461.db2.gz KMIKZFQHTSCFHW-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccccc1 ZINC000190826817 432192528 /nfs/dbraw/zinc/19/25/28/432192528.db2.gz KSJPCJKJOSRYSJ-MRXNPFEDSA-N 0 1 322.412 3.453 20 30 DGEDMN N#CCC[C@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccccc1 ZINC000190826834 432192542 /nfs/dbraw/zinc/19/25/42/432192542.db2.gz KSJPCJKJOSRYSJ-INIZCTEOSA-N 0 1 322.412 3.453 20 30 DGEDMN CC(C)CC(=O)Nc1cccc(CNCc2cc(C#N)n(C)c2)c1 ZINC000190876056 432197440 /nfs/dbraw/zinc/19/74/40/432197440.db2.gz CGRJOKSUNHCILJ-UHFFFAOYSA-N 0 1 324.428 3.171 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000182697448 432219048 /nfs/dbraw/zinc/21/90/48/432219048.db2.gz MSZPMUDWJOUHBJ-HOTGVXAUSA-N 0 1 304.296 3.049 20 30 DGEDMN N#Cc1cccc(NC(=O)c2ccc(Br)c(O)c2)c1 ZINC000132950072 227033671 /nfs/dbraw/zinc/03/36/71/227033671.db2.gz YXQLJHILINRJGP-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2ccc(Cl)s2)c(F)c1 ZINC000165824338 228265548 /nfs/dbraw/zinc/26/55/48/228265548.db2.gz JULMEHSCKGGNNU-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cccc(F)c2)c(Cl)c1 ZINC000169248883 228267400 /nfs/dbraw/zinc/26/74/00/228267400.db2.gz WNBQADPQYUQHNJ-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2ccc(O)c(F)c2)c(-c2ccncc2)n1 ZINC000170851687 228268577 /nfs/dbraw/zinc/26/85/77/228268577.db2.gz MUDGXCYOYNWFIC-ONEGZZNKSA-N 0 1 323.327 3.223 20 30 DGEDMN C[C@H]1c2cccn2CCN1Cc1ccc(N(C)CCC#N)cc1 ZINC000179567590 228352889 /nfs/dbraw/zinc/35/28/89/228352889.db2.gz RMUHTUCAZTXLDP-INIZCTEOSA-N 0 1 308.429 3.415 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2ccc(O)c(F)c2)c(C(C)(C)C)n1 ZINC000180313648 228355954 /nfs/dbraw/zinc/35/59/54/228355954.db2.gz RXTSSWMRZRWNOD-VOTSOKGWSA-N 0 1 302.349 3.458 20 30 DGEDMN N#CCc1ccc(CN2CCN(Cc3cccc(F)c3)CC2)cc1 ZINC000343619252 229127672 /nfs/dbraw/zinc/12/76/72/229127672.db2.gz VAWJPGURNLZSCS-UHFFFAOYSA-N 0 1 323.415 3.210 20 30 DGEDMN COc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C#N)c2)cc1 ZINC000299445232 229121318 /nfs/dbraw/zinc/12/13/18/229121318.db2.gz XUNILFBGCFKWDK-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN COc1cccc([C@H](C)N2CCN(CCC(C)(C)C#N)CC2)c1 ZINC000345719651 229154892 /nfs/dbraw/zinc/15/48/92/229154892.db2.gz XQBFBMCXWXZJSP-INIZCTEOSA-N 0 1 315.461 3.314 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1 ZINC000347030678 229179875 /nfs/dbraw/zinc/17/98/75/229179875.db2.gz CJKPDGLESKEJEI-LLVKDONJSA-N 0 1 322.343 3.391 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(Nc2ccccn2)n1)c1ccccn1 ZINC000347944172 229193907 /nfs/dbraw/zinc/19/39/07/229193907.db2.gz CQWBFGKYXARPAQ-LLVKDONJSA-N 0 1 321.365 3.167 20 30 DGEDMN N#CC(C(=O)c1csc(Nc2ccccn2)n1)c1ccccn1 ZINC000347944172 229193911 /nfs/dbraw/zinc/19/39/11/229193911.db2.gz CQWBFGKYXARPAQ-LLVKDONJSA-N 0 1 321.365 3.167 20 30 DGEDMN N#CC(C(=O)c1ncoc1-c1ccc(F)cc1)c1ccccn1 ZINC000352308281 229250416 /nfs/dbraw/zinc/25/04/16/229250416.db2.gz FKAFLXKDCMFSRH-CYBMUJFWSA-N 0 1 307.284 3.366 20 30 DGEDMN Cc1[nH]c2ccc(Cl)cc2c1C(=O)C(C#N)c1ccncn1 ZINC000354465980 229295016 /nfs/dbraw/zinc/29/50/16/229295016.db2.gz LYGOAGRLFXIWBI-LBPRGKRZSA-N 0 1 310.744 3.410 20 30 DGEDMN N#C[C@@H](NC(=O)CCc1cnc[nH]1)c1c(Cl)cccc1Cl ZINC000355789540 229317118 /nfs/dbraw/zinc/31/71/18/229317118.db2.gz HCVKDGWTBMVCHK-GFCCVEGCSA-N 0 1 323.183 3.030 20 30 DGEDMN N#CCCCC(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC000359922738 229385505 /nfs/dbraw/zinc/38/55/05/229385505.db2.gz IBQPAEGNWLQBNW-IBGZPJMESA-N 0 1 318.380 3.462 20 30 DGEDMN CCN(CCc1cccs1)CC(=O)Nc1cccc(C#N)c1 ZINC000346869859 264111932 /nfs/dbraw/zinc/11/19/32/264111932.db2.gz JQPOMFDDLAEHSK-UHFFFAOYSA-N 0 1 313.426 3.123 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@H]1c1cccnc1 ZINC000346966961 264129036 /nfs/dbraw/zinc/12/90/36/264129036.db2.gz CYNBRIYSRSPPRR-KRWDZBQOSA-N 0 1 323.396 3.178 20 30 DGEDMN N#Cc1ccc(Oc2cccc(C(=O)NCc3cnc[nH]3)c2)cc1 ZINC000359699529 270004185 /nfs/dbraw/zinc/00/41/85/270004185.db2.gz LMRTVISKXPHAHL-UHFFFAOYSA-N 0 1 318.336 3.004 20 30 DGEDMN COc1c(CN[C@@H]2CCCCc3cc(C#N)ccc32)c(C)nn1C ZINC000360883589 270004617 /nfs/dbraw/zinc/00/46/17/270004617.db2.gz NUHJGKBZEPRQKW-GOSISDBHSA-N 0 1 324.428 3.166 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)c1ccc(C#N)s1)c1ccco1 ZINC000362989589 266119059 /nfs/dbraw/zinc/11/90/59/266119059.db2.gz JJLRKHKWKAWDMD-ZDUSSCGKSA-N 0 1 317.414 3.026 20 30 DGEDMN CCNC(=O)c1cccc(CN[C@H]2CCc3ccc(C#N)cc32)c1 ZINC000353258588 266170136 /nfs/dbraw/zinc/17/01/36/266170136.db2.gz CNUNECWMLWLUKS-IBGZPJMESA-N 0 1 319.408 3.085 20 30 DGEDMN C[C@@]1(O)CCCN(Cc2cc(C#N)ccc2Br)CC1 ZINC000375907097 267119273 /nfs/dbraw/zinc/11/92/73/267119273.db2.gz WIGWOVZUNDBKBV-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)Cc1c(F)cccc1C#N ZINC000355193157 267367158 /nfs/dbraw/zinc/36/71/58/267367158.db2.gz DYRAKZKHUWIVHR-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN Cc1ccoc1CNCc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000359950248 267523695 /nfs/dbraw/zinc/52/36/95/267523695.db2.gz QPQQQFNNDJJMJO-UHFFFAOYSA-N 0 1 306.369 3.150 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3cc(Cl)cn3)CC2)s1 ZINC000371672884 268065197 /nfs/dbraw/zinc/06/51/97/268065197.db2.gz VSJKEYGJJMPIJE-UHFFFAOYSA-N 0 1 306.822 3.307 20 30 DGEDMN CCN(CC)CC(=O)Nc1c(C#N)c(C)c(C)n1-c1ccccc1 ZINC000342946579 273635343 /nfs/dbraw/zinc/63/53/43/273635343.db2.gz LVDPRWSBXUTSJO-UHFFFAOYSA-N 0 1 324.428 3.246 20 30 DGEDMN CN(C)[C@@H](CNc1nc2c(cc1C#N)CCC2)c1ccsc1 ZINC000298691552 273805621 /nfs/dbraw/zinc/80/56/21/273805621.db2.gz CTTQPQZLLOHXHD-INIZCTEOSA-N 0 1 312.442 3.218 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186603145 274105919 /nfs/dbraw/zinc/10/59/19/274105919.db2.gz QOJRQFXSGXKXSM-FQEVSTJZSA-N 0 1 320.392 3.198 20 30 DGEDMN CCN(CCNc1ccc(Cl)c(C#N)n1)CCC(F)(F)F ZINC000491720367 429398024 /nfs/dbraw/zinc/39/80/24/429398024.db2.gz MYVQOEDEXVGICS-UHFFFAOYSA-N 0 1 320.746 3.293 20 30 DGEDMN C=CC[C@@H](CO)CN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000346615939 276906973 /nfs/dbraw/zinc/90/69/73/276906973.db2.gz AJOLVUMOBJEUHV-KDOFPFPSSA-N 0 1 300.377 3.084 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000494700689 276923524 /nfs/dbraw/zinc/92/35/24/276923524.db2.gz BISDRVLIJUTRMY-LSDHHAIUSA-N 0 1 307.463 3.101 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](NCC2(C#N)CCC2)C1(C)C ZINC000496185178 277192956 /nfs/dbraw/zinc/19/29/56/277192956.db2.gz ITMKGIKPCIKHQO-ZDUSSCGKSA-N 0 1 307.438 3.058 20 30 DGEDMN CO[C@@]1(C)CCCN([C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332246244 277319509 /nfs/dbraw/zinc/31/95/09/277319509.db2.gz XANZFJBDLWYXHN-WBVHZDCISA-N 0 1 301.390 3.026 20 30 DGEDMN C[C@@H](C(=O)NC(C)(C)C)N(C)Cc1ccccc1C(C)(C)C#N ZINC000362583408 278202983 /nfs/dbraw/zinc/20/29/83/278202983.db2.gz JJHJQPXEQPFWHU-AWEZNQCLSA-N 0 1 315.461 3.223 20 30 DGEDMN CC(C)(C)C1CCC(C#N)(NC(=O)c2[nH]nc3c2CCC3)CC1 ZINC000408279108 278299902 /nfs/dbraw/zinc/29/99/02/278299902.db2.gz HRUDLNATZQADQY-UHFFFAOYSA-N 0 1 314.433 3.127 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286342440 278306042 /nfs/dbraw/zinc/30/60/42/278306042.db2.gz FDWKTZVJSCTEEI-DFBGVHRSSA-N 0 1 312.335 3.112 20 30 DGEDMN C[C@@H]1c2cccn2CCN1C([O-])=[NH+]c1nc2c(s1)CCCC2 ZINC000328809923 279140949 /nfs/dbraw/zinc/14/09/49/279140949.db2.gz DZJVZBYAYZFYFT-LLVKDONJSA-N 0 1 316.430 3.446 20 30 DGEDMN CCN(CC(=O)Nc1ccccc1SC[C@H](C)C#N)C1CC1 ZINC000108358381 431137351 /nfs/dbraw/zinc/13/73/51/431137351.db2.gz ADCDJGGXTSDAAW-CYBMUJFWSA-N 0 1 317.458 3.361 20 30 DGEDMN CC(C)N(C(=O)CN[C@@H](C)c1ccc(C#N)cc1)C1CCCC1 ZINC000338356280 279286783 /nfs/dbraw/zinc/28/67/83/279286783.db2.gz SBAJGFWQZDOFOU-HNNXBMFYSA-N 0 1 313.445 3.388 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(N(CC)CC)nc1 ZINC000069785615 431223921 /nfs/dbraw/zinc/22/39/21/431223921.db2.gz OBEONPQQKJVLQG-UHFFFAOYSA-N 0 1 323.440 3.230 20 30 DGEDMN Cc1ncsc1CN(C)CCCOc1cccc(C#N)c1 ZINC000111669033 431232490 /nfs/dbraw/zinc/23/24/90/431232490.db2.gz NLBZWKROAOLGSL-UHFFFAOYSA-N 0 1 301.415 3.224 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000112198002 431256234 /nfs/dbraw/zinc/25/62/34/431256234.db2.gz VERUTFBPXTVDRV-GOSISDBHSA-N 0 1 324.509 3.308 20 30 DGEDMN CCSc1cccc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC000071091828 431272192 /nfs/dbraw/zinc/27/21/92/431272192.db2.gz QDMUIQLYOHQJTP-UHFFFAOYSA-N 0 1 318.423 3.471 20 30 DGEDMN N#CCCSc1nc(-c2ccccc2Br)n[nH]1 ZINC000007252269 431339164 /nfs/dbraw/zinc/33/91/64/431339164.db2.gz ZIIJGQPBDNNZGL-UHFFFAOYSA-N 0 1 309.192 3.240 20 30 DGEDMN COc1cccc(NC(=O)CCN(CCC#N)CC(C)(C)C)c1 ZINC000072630709 431342894 /nfs/dbraw/zinc/34/28/94/431342894.db2.gz IWMJTTRPANBTMT-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN Cc1ccccc1CN(C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000007420768 431347788 /nfs/dbraw/zinc/34/77/88/431347788.db2.gz VDWSVIFURLTZFR-OAHLLOKOSA-N 0 1 307.397 3.326 20 30 DGEDMN CCSCC[C@@H](C)N(C)CC(=O)Nc1sccc1C#N ZINC000072901168 431356245 /nfs/dbraw/zinc/35/62/45/431356245.db2.gz CCJQEMVYUVJXSP-LLVKDONJSA-N 0 1 311.476 3.022 20 30 DGEDMN N#CCCCOc1cccc(CN[C@@H](CO)c2ccccc2)c1 ZINC000116345852 431505324 /nfs/dbraw/zinc/50/53/24/431505324.db2.gz PPZJUIRAEBWJJK-IBGZPJMESA-N 0 1 310.397 3.192 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCN(c2ccccc2O)CC1 ZINC000118390402 431602919 /nfs/dbraw/zinc/60/29/19/431602919.db2.gz UQRMKPVJLDNHLV-HNNXBMFYSA-N 0 1 307.397 3.147 20 30 DGEDMN CCCN(CC(=O)NC1(C#N)CCCCC1)Cc1ccccc1 ZINC000029691783 431728083 /nfs/dbraw/zinc/72/80/83/431728083.db2.gz SWSWZAMTLZMTAH-UHFFFAOYSA-N 0 1 313.445 3.241 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000121651800 431803963 /nfs/dbraw/zinc/80/39/63/431803963.db2.gz QOWBEXIJMPSPAS-UHFFFAOYSA-N 0 1 312.457 3.489 20 30 DGEDMN Cc1ccc(O)c(NS(=O)(=O)c2ccc(C#N)c(Cl)c2)c1 ZINC000080971439 431779689 /nfs/dbraw/zinc/77/96/89/431779689.db2.gz SQQIBESPIXPCLV-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN Cc1nc(C)c(C(=O)C(C#N)c2nc3ccccc3n2C)s1 ZINC000122855099 431847292 /nfs/dbraw/zinc/84/72/92/431847292.db2.gz BOXNVDFUZOWIMB-NSHDSACASA-N 0 1 310.382 3.137 20 30 DGEDMN C[C@H]1CCCCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000123037637 431853556 /nfs/dbraw/zinc/85/35/56/431853556.db2.gz VTPAHPKIAYKWSS-AWEZNQCLSA-N 0 1 319.836 3.461 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2cccc(-c3nnc[nH]3)c2)c1 ZINC000124914884 431908543 /nfs/dbraw/zinc/90/85/43/431908543.db2.gz YVTGZVIOBVWBHW-UHFFFAOYSA-N 0 1 316.364 3.120 20 30 DGEDMN Cc1ccc(O[C@@H](C)C(=O)Nc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000047343190 431908736 /nfs/dbraw/zinc/90/87/36/431908736.db2.gz GZNPAYDTLBGJOX-LBPRGKRZSA-N 0 1 323.356 3.231 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)S[C@@H](C)C2)cc(OC)c1O ZINC000092540621 431909710 /nfs/dbraw/zinc/90/97/10/431909710.db2.gz WOUVOUYHOSKQTC-BETUJISGSA-N 0 1 307.459 3.455 20 30 DGEDMN Cc1nc(N2CCN(CC(C)(C)CCC#N)CC2)sc1C ZINC000093689092 431917696 /nfs/dbraw/zinc/91/76/96/431917696.db2.gz LNOZMDZTXZMZTR-UHFFFAOYSA-N 0 1 306.479 3.212 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000125458545 431925918 /nfs/dbraw/zinc/92/59/18/431925918.db2.gz FNWLMEHJNDOOPQ-ZIAGYGMSSA-N 0 1 319.474 3.137 20 30 DGEDMN COc1ccc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)cc1C#N ZINC000091081092 431901185 /nfs/dbraw/zinc/90/11/85/431901185.db2.gz HPYXGMCMNIVMGW-MRXNPFEDSA-N 0 1 310.401 3.244 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)NC1CCC(C)CC1 ZINC000127508845 431974363 /nfs/dbraw/zinc/97/43/63/431974363.db2.gz NNXIEAMUBPRSOB-DIODGJHASA-N 0 1 313.445 3.292 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)c2ccccc2Cl)CC1 ZINC000098093227 431977908 /nfs/dbraw/zinc/97/79/08/431977908.db2.gz MNYMWOCBDLNDHP-AWEZNQCLSA-N 0 1 318.848 3.205 20 30 DGEDMN C=CCOc1cccc(NS(=O)(=O)c2ccccc2OC)c1 ZINC000057124079 431990522 /nfs/dbraw/zinc/99/05/22/431990522.db2.gz LHWRCEAPYBJMIX-UHFFFAOYSA-N 0 1 319.382 3.061 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000102039124 431990916 /nfs/dbraw/zinc/99/09/16/431990916.db2.gz PAYHJACEDLZVCP-INIZCTEOSA-N 0 1 319.449 3.333 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccccc1N(C)C ZINC000173584731 432288310 /nfs/dbraw/zinc/28/83/10/432288310.db2.gz WVHYHYGXHCTITL-UHFFFAOYSA-N 0 1 323.440 3.273 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1ccccc1OCC(F)(F)F ZINC000191760999 432299158 /nfs/dbraw/zinc/29/91/58/432299158.db2.gz KSYWCJVATULCEY-UHFFFAOYSA-N 0 1 303.324 3.262 20 30 DGEDMN C=CCN(CCOC)Cc1ccccc1OCC(F)(F)F ZINC000191760999 432299161 /nfs/dbraw/zinc/29/91/61/432299161.db2.gz KSYWCJVATULCEY-UHFFFAOYSA-N 0 1 303.324 3.262 20 30 DGEDMN C=C[C@@H](CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC000128478803 431995045 /nfs/dbraw/zinc/99/50/45/431995045.db2.gz JMFMHDYVSAGEND-LBPRGKRZSA-N 0 1 308.341 3.363 20 30 DGEDMN CCOC[C@@H]1CCN(Cc2cc(C#N)ccc2Br)C1 ZINC000191803878 432302949 /nfs/dbraw/zinc/30/29/49/432302949.db2.gz CSNNMIVTLNDFCJ-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Oc3cccc(F)c3)C2)cc1F ZINC000192175397 432340968 /nfs/dbraw/zinc/34/09/68/432340968.db2.gz WRYUKPBWQNXUCN-KRWDZBQOSA-N 0 1 314.335 3.490 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CCc3cc(Cl)ccc3C2)cc1 ZINC000192240325 432348934 /nfs/dbraw/zinc/34/89/34/432348934.db2.gz SANSANNHDOYAIA-GOSISDBHSA-N 0 1 312.800 3.303 20 30 DGEDMN C[C@@H](O)C[C@@H]1CCCN1Cc1cc(C#N)ccc1Br ZINC000191815757 432305052 /nfs/dbraw/zinc/30/50/52/432305052.db2.gz XGEAVFZGYKGXNA-RISCZKNCSA-N 0 1 323.234 3.056 20 30 DGEDMN Cc1cc(CC(=O)Nc2cccc(C#Cc3ccccc3)c2)n[nH]1 ZINC000153027611 432377769 /nfs/dbraw/zinc/37/77/69/432377769.db2.gz BCBKUHJNCXDTLM-UHFFFAOYSA-N 0 1 315.376 3.299 20 30 DGEDMN CN1CCN(Cc2ccc(OCC#N)cc2)[C@H](c2ccccc2)C1 ZINC000272038438 432434594 /nfs/dbraw/zinc/43/45/94/432434594.db2.gz OEZCINVGUXYMCS-FQEVSTJZSA-N 0 1 321.424 3.078 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccco1)N(CC)CC)C1CC1 ZINC000271500070 432408767 /nfs/dbraw/zinc/40/87/67/432408767.db2.gz LMPXBQQPURZNRW-HNNXBMFYSA-N 0 1 305.422 3.023 20 30 DGEDMN Cc1oc(NC(=O)CN[C@H](C)c2cccs2)c(C#N)c1C ZINC000192773166 432412504 /nfs/dbraw/zinc/41/25/04/432412504.db2.gz WNQJALYEDWGKQP-SNVBAGLBSA-N 0 1 303.387 3.119 20 30 DGEDMN CCc1nc([C@H](C)NCCOc2ccc(C#N)cc2)cs1 ZINC000184703316 432424335 /nfs/dbraw/zinc/42/43/35/432424335.db2.gz KSOPQASRNIPYGD-LBPRGKRZSA-N 0 1 301.415 3.307 20 30 DGEDMN C=CCN(CC=C)[C@@H](C[NH2+][C@@H](CC)c1nccn1C)C(C)C ZINC000271767056 432424939 /nfs/dbraw/zinc/42/49/39/432424939.db2.gz CHLRZYBBEHZPLZ-IRXDYDNUSA-N 0 1 304.482 3.159 20 30 DGEDMN CCc1nc([C@@H](C)NCCOc2ccccc2C#N)cs1 ZINC000184717043 432426364 /nfs/dbraw/zinc/42/63/64/432426364.db2.gz NLLVQROWHKMZIR-GFCCVEGCSA-N 0 1 301.415 3.307 20 30 DGEDMN Cc1ccn2cc(CN[C@@H](C)c3ccc(OCC#N)cc3)nc2c1 ZINC000271852795 432426933 /nfs/dbraw/zinc/42/69/33/432426933.db2.gz IVRSKQDLCONFRG-HNNXBMFYSA-N 0 1 320.396 3.396 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCCOc2ccccc2C#N)n1 ZINC000193697851 432492279 /nfs/dbraw/zinc/49/22/79/432492279.db2.gz NXEZCLPWIFYCQJ-UHFFFAOYSA-N 0 1 302.403 3.145 20 30 DGEDMN CC(C)(C)c1nc(SCCOc2ccccc2C#N)n[nH]1 ZINC000193697851 432492280 /nfs/dbraw/zinc/49/22/80/432492280.db2.gz NXEZCLPWIFYCQJ-UHFFFAOYSA-N 0 1 302.403 3.145 20 30 DGEDMN Cc1cc(C)c(CN2CCN(c3ccc(C#N)cn3)CC2)cc1C ZINC000193854161 432504492 /nfs/dbraw/zinc/50/44/92/432504492.db2.gz XKJIKKHTGPIUQR-UHFFFAOYSA-N 0 1 320.440 3.201 20 30 DGEDMN CC(C)OC(=O)C[C@@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000185580177 432511315 /nfs/dbraw/zinc/51/13/15/432511315.db2.gz YPIFNZUWIGXDLQ-ZDUSSCGKSA-N 0 1 306.431 3.173 20 30 DGEDMN Cc1nc2c(cnn2C(C)C)cc1C(=O)C(C#N)c1ccccn1 ZINC000193253719 432460760 /nfs/dbraw/zinc/46/07/60/432460760.db2.gz CBLGIJGZGASNOS-HNNXBMFYSA-N 0 1 319.368 3.206 20 30 DGEDMN COC(=O)[C@H]1CC[C@@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000193257671 432461057 /nfs/dbraw/zinc/46/10/57/432461057.db2.gz GQLDVAVDMAJFGZ-ZYKYAXMNSA-N 0 1 316.184 3.460 20 30 DGEDMN CCN(C)[C@@H](C(=O)N[C@@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000264042825 432607938 /nfs/dbraw/zinc/60/79/38/432607938.db2.gz QMOPJCUEEIFBGY-HNAYVOBHSA-N 0 1 321.424 3.428 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3c(c2)OCCCO3)s1 ZINC000176961705 432571473 /nfs/dbraw/zinc/57/14/73/432571473.db2.gz WIMQSPPCNBIKJE-UHFFFAOYSA-N 0 1 300.383 3.071 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000186251815 432573803 /nfs/dbraw/zinc/57/38/03/432573803.db2.gz PBTBDFZDOOLTMZ-JOCHJYFZSA-N 0 1 312.438 3.236 20 30 DGEDMN CN(CC(=O)Nc1ccccc1SCC#N)CC(C)(C)C ZINC000263767126 432574422 /nfs/dbraw/zinc/57/44/22/432574422.db2.gz JFSNHOKXDHBXKW-UHFFFAOYSA-N 0 1 305.447 3.219 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000194814089 432577962 /nfs/dbraw/zinc/57/79/62/432577962.db2.gz XZUXORDGHCXTQK-UHFFFAOYSA-N 0 1 311.385 3.124 20 30 DGEDMN N#Cc1ccc(F)c(CN(Cc2ccccc2)[C@H](CO)C2CC2)c1 ZINC000156008112 432585364 /nfs/dbraw/zinc/58/53/64/432585364.db2.gz DEAKRSTXQIQSPM-HXUWFJFHSA-N 0 1 324.399 3.470 20 30 DGEDMN CC(C)C[C@@H]1CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000177176772 432593598 /nfs/dbraw/zinc/59/35/98/432593598.db2.gz NOHCFMGQCVWDJP-DZGCQCFKSA-N 0 1 317.458 3.093 20 30 DGEDMN C=C(C)CNC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000156364376 432595202 /nfs/dbraw/zinc/59/52/02/432595202.db2.gz XFPJSQXALDXLSD-INIZCTEOSA-N 0 1 321.852 3.352 20 30 DGEDMN CC[C@@H](N[C@H](C)C(=O)N(C)CCC#N)c1ccc(Cl)s1 ZINC000273483241 432604492 /nfs/dbraw/zinc/60/44/92/432604492.db2.gz IQASNAKELRXLGN-GHMZBOCLSA-N 0 1 313.854 3.203 20 30 DGEDMN CCC[C@H](C)N1CCN(c2oc(-c3ccco3)nc2C#N)CC1 ZINC000177249063 432604615 /nfs/dbraw/zinc/60/46/15/432604615.db2.gz ASAIJJGISVQEHN-ZDUSSCGKSA-N 0 1 314.389 3.117 20 30 DGEDMN CCN(CCC(=O)Nc1cc(Cl)ccc1OC)C[C@H](C)C#N ZINC000157654960 432626795 /nfs/dbraw/zinc/62/67/95/432626795.db2.gz NXPZSMNPUZWDQR-GFCCVEGCSA-N 0 1 323.824 3.159 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000158485532 432646468 /nfs/dbraw/zinc/64/64/68/432646468.db2.gz LLBAXTHROIHGNT-UHFFFAOYSA-N 0 1 324.409 3.362 20 30 DGEDMN COc1cc2c(cc1CNCc1cc(C#N)cs1)O[C@@H](C)C2 ZINC000177684187 432649588 /nfs/dbraw/zinc/64/95/88/432649588.db2.gz DWLVCRQYJUCHDI-NSHDSACASA-N 0 1 314.410 3.242 20 30 DGEDMN CCN(CC)c1ccc(CNCc2cc(C#N)cs2)cn1 ZINC000177687797 432651317 /nfs/dbraw/zinc/65/13/17/432651317.db2.gz PRAHMGLMKZOCBC-UHFFFAOYSA-N 0 1 300.431 3.151 20 30 DGEDMN C=CC[C@H](C)NCc1cccc(Cl)c1S(=O)(=O)CC ZINC000187248113 432654685 /nfs/dbraw/zinc/65/46/85/432654685.db2.gz JDJDEZOHDOIFFZ-NSHDSACASA-N 0 1 301.839 3.188 20 30 DGEDMN C=C(C)CN1CCC(Nc2ccc(C(C)=O)cc2[N+](=O)[O-])CC1 ZINC000264524315 432656151 /nfs/dbraw/zinc/65/61/51/432656151.db2.gz YAJBNMFIIOKXDD-UHFFFAOYSA-N 0 1 317.389 3.250 20 30 DGEDMN CC1(C)OCc2cc(CN3CCC(C)(C#N)CC3)ccc2O1 ZINC000274381039 432659394 /nfs/dbraw/zinc/65/93/94/432659394.db2.gz AVNKSASXANSTLP-UHFFFAOYSA-N 0 1 300.402 3.457 20 30 DGEDMN N#CCN(C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)C1CCCC1 ZINC000265663499 432719860 /nfs/dbraw/zinc/71/98/60/432719860.db2.gz CGZHCIGQEAKUBR-UHFFFAOYSA-N 0 1 312.348 3.124 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc(-c3nnc(C)o3)c2)n1 ZINC000161161342 432764069 /nfs/dbraw/zinc/76/40/69/432764069.db2.gz AZFZLNWRHZNEPN-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN N#CCCCCNC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000189142233 432766490 /nfs/dbraw/zinc/76/64/90/432766490.db2.gz BZCFVPKUNMTZRM-UHFFFAOYSA-N 0 1 308.794 3.215 20 30 DGEDMN C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1CCCSCC#N ZINC000245498177 432837466 /nfs/dbraw/zinc/83/74/66/432837466.db2.gz VOGPYXVIQAUPEH-INMHGKMJSA-N 0 1 304.459 3.484 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)c(F)c1 ZINC000268281791 432860814 /nfs/dbraw/zinc/86/08/14/432860814.db2.gz PMWZQKMAZUESGS-NVXWUHKLSA-N 0 1 314.360 3.378 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])NC[C@H]1CC=CCC1)CC2 ZINC000330109048 432893553 /nfs/dbraw/zinc/89/35/53/432893553.db2.gz OQIFQWPUDKEDSS-GOEBONIOSA-N 0 1 316.449 3.181 20 30 DGEDMN CC(C)n1ncc2c1C[C@H](NC([O-])=[NH+]C[C@H]1CC=CCC1)CC2 ZINC000330109048 432893555 /nfs/dbraw/zinc/89/35/55/432893555.db2.gz OQIFQWPUDKEDSS-GOEBONIOSA-N 0 1 316.449 3.181 20 30 DGEDMN O=C(N[C@H]1CSc2ccccc21)N[C@@H]1CCCc2cn[nH]c21 ZINC000330135460 432894216 /nfs/dbraw/zinc/89/42/16/432894216.db2.gz MVTCBFUYSDWQKI-OLZOCXBDSA-N 0 1 314.414 3.138 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1C#N ZINC000331235694 432936318 /nfs/dbraw/zinc/93/63/18/432936318.db2.gz SYGFHJOPVPPFSU-OKILXGFUSA-N 0 1 315.417 3.157 20 30 DGEDMN C=CCC[C@@H](NC(=O)N[C@@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000289339598 432946699 /nfs/dbraw/zinc/94/66/99/432946699.db2.gz ZFXVNEQWCXLYPM-SWLSCSKDSA-N 0 1 313.405 3.181 20 30 DGEDMN O=C(N[C@@H]1CCn2ccnc2C1)N1CCC[C@H]1c1cccs1 ZINC000330196980 432897411 /nfs/dbraw/zinc/89/74/11/432897411.db2.gz RDVHBEAUXWXTGA-OLZOCXBDSA-N 0 1 316.430 3.011 20 30 DGEDMN C[C@@H]1CCc2ccccc2[C@@H]1[NH+]=C([O-])N[C@@H]1CCn2ccnc2C1 ZINC000330197219 432897428 /nfs/dbraw/zinc/89/74/28/432897428.db2.gz RMQSFXLSIGHBIO-DDUZABMNSA-N 0 1 324.428 3.025 20 30 DGEDMN C[C@@H]1CCc2ccccc2[C@@H]1NC([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330197219 432897431 /nfs/dbraw/zinc/89/74/31/432897431.db2.gz RMQSFXLSIGHBIO-DDUZABMNSA-N 0 1 324.428 3.025 20 30 DGEDMN Cc1ccc2c(c1)[C@H]([NH+]=C([O-])N[C@@H]1CCn3ccnc3C1)CCC2 ZINC000330233936 432898624 /nfs/dbraw/zinc/89/86/24/432898624.db2.gz YCHJLYTWNHLYFZ-NVXWUHKLSA-N 0 1 324.428 3.088 20 30 DGEDMN Cc1ccc2c(c1)[C@H](NC([O-])=[NH+][C@@H]1CCn3ccnc3C1)CCC2 ZINC000330233936 432898626 /nfs/dbraw/zinc/89/86/26/432898626.db2.gz YCHJLYTWNHLYFZ-NVXWUHKLSA-N 0 1 324.428 3.088 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N(CC1CC1)C1CCCCC1 ZINC000330250377 432899894 /nfs/dbraw/zinc/89/98/94/432899894.db2.gz HCDRHPIMCDYAEE-OAHLLOKOSA-N 0 1 316.449 3.157 20 30 DGEDMN Cn1c(CN[C@@H]2CCC[C@H](C#N)C2)nc2cc(Cl)ccc21 ZINC000278770960 432900832 /nfs/dbraw/zinc/90/08/32/432900832.db2.gz PDGKDMAMXPOOMB-WCQYABFASA-N 0 1 302.809 3.399 20 30 DGEDMN CCC[C@]1(C)CCCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000330279203 432901693 /nfs/dbraw/zinc/90/16/93/432901693.db2.gz QQMIGYUCTFQHSX-WMLDXEAASA-N 0 1 304.438 3.014 20 30 DGEDMN CC1(C(=O)Nc2ccc3nc(N4CCOCC4)[nH]c3c2)CCC1 ZINC000330293234 432901750 /nfs/dbraw/zinc/90/17/50/432901750.db2.gz DPCNFDDNRSHBCM-UHFFFAOYSA-N 0 1 314.389 3.178 20 30 DGEDMN [O-]C(N[C@@H]1C[C@H]1c1ccccc1)=[NH+][C@H]1COc2ccccc2C1 ZINC000330282685 432902404 /nfs/dbraw/zinc/90/24/04/432902404.db2.gz VNCUTKHBYJNQMK-IXDOHACOSA-N 0 1 308.381 3.050 20 30 DGEDMN [O-]C(N[C@H]1COc2ccccc2C1)=[NH+][C@@H]1C[C@H]1c1ccccc1 ZINC000330282685 432902408 /nfs/dbraw/zinc/90/24/08/432902408.db2.gz VNCUTKHBYJNQMK-IXDOHACOSA-N 0 1 308.381 3.050 20 30 DGEDMN Cc1cccc2c1OC[C@H](NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC000330298789 432903597 /nfs/dbraw/zinc/90/35/97/432903597.db2.gz HPRYWQZPXOFSQX-KGLIPLIRSA-N 0 1 311.385 3.098 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@H]2CC23CCCCC3)cn1 ZINC000330330846 432904971 /nfs/dbraw/zinc/90/49/71/432904971.db2.gz XEYPKKUGIWTSCD-AWEZNQCLSA-N 0 1 313.405 3.321 20 30 DGEDMN C[C@@H](C(=O)N[C@]1(C#N)CCC[C@@H](C)C1)N(C)Cc1ccccc1 ZINC000330481250 432912430 /nfs/dbraw/zinc/91/24/30/432912430.db2.gz PAYJCWNMOKPLHZ-JTDSTZFVSA-N 0 1 313.445 3.096 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)[C@H](C(C)C)N1CCCCC1 ZINC000330617315 432919880 /nfs/dbraw/zinc/91/98/80/432919880.db2.gz WICFOQFRCSHHQP-KRWDZBQOSA-N 0 1 314.433 3.049 20 30 DGEDMN C#Cc1ccc(CNCC(=O)Nc2c(C)cc(C)cc2C)cc1 ZINC000289961626 432980820 /nfs/dbraw/zinc/98/08/20/432980820.db2.gz BHFVSEVLSQOINO-UHFFFAOYSA-N 0 1 306.409 3.321 20 30 DGEDMN CC[C@H](C)N1CCN(C(=O)Nc2ccc(C#N)cc2Cl)CC1 ZINC000297092063 432957299 /nfs/dbraw/zinc/95/72/99/432957299.db2.gz NGBFKVSUCUHXGE-LBPRGKRZSA-N 0 1 320.824 3.160 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)N(CC)CC ZINC000297878589 433027957 /nfs/dbraw/zinc/02/79/57/433027957.db2.gz NFIZZZFIQYHOHN-HNNXBMFYSA-N 0 1 301.434 3.027 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)c1 ZINC000280343827 433037351 /nfs/dbraw/zinc/03/73/51/433037351.db2.gz BGXNMSMTWLSIAY-BXWFABGCSA-N 0 1 317.429 3.020 20 30 DGEDMN C#C[C@@H](NC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1)C(C)C ZINC000297969425 433038018 /nfs/dbraw/zinc/03/80/18/433038018.db2.gz LUYLMUUSWYUDGK-RHSMWYFYSA-N 0 1 324.428 3.376 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)NCc2ccccc2)s1 ZINC000419839213 433070775 /nfs/dbraw/zinc/07/07/75/433070775.db2.gz PWTWFZXDFIMYNT-CYBMUJFWSA-N 0 1 313.426 3.364 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC000290528441 433083542 /nfs/dbraw/zinc/08/35/42/433083542.db2.gz KORYGCYRFBXWJN-UHFFFAOYSA-N 0 1 324.384 3.024 20 30 DGEDMN CC[C@@H](CC(F)(F)F)N[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000354537222 433136598 /nfs/dbraw/zinc/13/65/98/433136598.db2.gz NYVIFWCVZJSCHU-JQWIXIFHSA-N 0 1 313.323 3.206 20 30 DGEDMN COCCN(CC#Cc1ccc(F)cc1)CCc1ccccc1 ZINC000446859159 433117725 /nfs/dbraw/zinc/11/77/25/433117725.db2.gz ZDSHPCOARKKMQN-UHFFFAOYSA-N 0 1 311.400 3.368 20 30 DGEDMN N#Cc1c(CN2CC[C@@H](O)[C@H](C3CCCC3)C2)cn2ccccc12 ZINC000333872256 433118418 /nfs/dbraw/zinc/11/84/18/433118418.db2.gz PPALGRRCGZPWRA-AZUAARDMSA-N 0 1 323.440 3.184 20 30 DGEDMN CN(C)[C@H](CNc1c(C#N)cccc1[N+](=O)[O-])c1ccsc1 ZINC000425212460 433119531 /nfs/dbraw/zinc/11/95/31/433119531.db2.gz WFNUHESZROMEKF-CQSZACIVSA-N 0 1 316.386 3.243 20 30 DGEDMN C=CCOc1c(Br)cc(CNCCF)cc1OC ZINC000280648298 433120927 /nfs/dbraw/zinc/12/09/27/433120927.db2.gz JJVWWHOSFMCYDP-UHFFFAOYSA-N 0 1 318.186 3.082 20 30 DGEDMN C=CCN1CC[C@H](N2CCCCC[C@@H]2c2ccc(C)o2)C1=O ZINC000337175115 433207935 /nfs/dbraw/zinc/20/79/35/433207935.db2.gz IKJDTZOGTIWOQS-CVEARBPZSA-N 0 1 302.418 3.292 20 30 DGEDMN N#Cc1cc2c(nc1NC[C@@H](c1ccco1)N1CCCC1)CCC2 ZINC000298693450 433217098 /nfs/dbraw/zinc/21/70/98/433217098.db2.gz BRCXYCCSTOPVFV-KRWDZBQOSA-N 0 1 322.412 3.284 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)NCc2ccc(C#N)cc2)cc1 ZINC000298724547 433226408 /nfs/dbraw/zinc/22/64/08/433226408.db2.gz DZYWJSHIILMHJF-MRXNPFEDSA-N 0 1 321.424 3.176 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2cc(C#N)cs2)C1 ZINC000453602187 433229460 /nfs/dbraw/zinc/22/94/60/433229460.db2.gz FYIFIPSDKSLQQD-MRXNPFEDSA-N 0 1 306.431 3.173 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1C[C@@H](O)Cc1ccccc1 ZINC000425416840 433233751 /nfs/dbraw/zinc/23/37/51/433233751.db2.gz IYYAINDZJJONOI-PCCBWWKXSA-N 0 1 320.436 3.362 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2ccccc2C#N)C1 ZINC000453624424 433242818 /nfs/dbraw/zinc/24/28/18/433242818.db2.gz QOCMYEZIFNGVRT-SFHVURJKSA-N 0 1 300.402 3.112 20 30 DGEDMN C[C@H](CCC#N)[N@H+]1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000298794692 433248179 /nfs/dbraw/zinc/24/81/79/433248179.db2.gz DPRCYPXOIBKQHL-VXGBXAGGSA-N 0 1 300.328 3.231 20 30 DGEDMN Cc1ccc2c(c1)CN(CCC(=O)Nc1ccc(C#N)cc1)C2 ZINC000361602518 433280441 /nfs/dbraw/zinc/28/04/41/433280441.db2.gz MYTBRKTYWUTNCT-UHFFFAOYSA-N 0 1 305.381 3.211 20 30 DGEDMN CC1(C)CN(C[C@H](O)c2ccc(C#N)cc2)[C@@H]1c1cccnc1 ZINC000291840038 433369632 /nfs/dbraw/zinc/36/96/32/433369632.db2.gz DTKOVMBIVYLYFV-ZWKOTPCHSA-N 0 1 307.397 3.070 20 30 DGEDMN N#Cc1cccc(/C=C\c2nc(-c3ccn4cncc4c3)no2)c1 ZINC000447949846 433327217 /nfs/dbraw/zinc/32/72/17/433327217.db2.gz BWSPTZBFQGUJMN-PLNGDYQASA-N 0 1 313.320 3.426 20 30 DGEDMN CC(C)N(CC(=O)NC1(C#N)CCC1)[C@@H](C)c1ccsc1 ZINC000421011181 433383292 /nfs/dbraw/zinc/38/32/92/433383292.db2.gz OUKMPGIFOCCZDD-ZDUSSCGKSA-N 0 1 305.447 3.082 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)C[C@H]1Cc1ccccc1 ZINC000341979437 433391445 /nfs/dbraw/zinc/39/14/45/433391445.db2.gz OUNKIVBAGRZBDY-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN N#Cc1cc(F)ccc1NCC1(N2CCOCC2)CCCCC1 ZINC000301174036 433441422 /nfs/dbraw/zinc/44/14/22/433441422.db2.gz HLYUUTMWWLZZOX-UHFFFAOYSA-N 0 1 317.408 3.144 20 30 DGEDMN C[C@H]1CN(CCCNc2ccc(C#N)c(Cl)c2)C[C@H](C)O1 ZINC000301187361 433444748 /nfs/dbraw/zinc/44/47/48/433444748.db2.gz SYFHLYRMSAWUFO-STQMWFEESA-N 0 1 307.825 3.123 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](CC(C)C)c2ccccc2OC)C1=O ZINC000281978728 433454901 /nfs/dbraw/zinc/45/49/01/433454901.db2.gz LTSBWNREAIHAOS-IAGOWNOFSA-N 0 1 316.445 3.159 20 30 DGEDMN N#Cc1cnc(N[C@@H]2CCN(Cc3ccccc3)C2)c(Cl)c1 ZINC000339234807 433459694 /nfs/dbraw/zinc/45/96/94/433459694.db2.gz FJCHEGXTQJUAFV-OAHLLOKOSA-N 0 1 312.804 3.293 20 30 DGEDMN C=C(C)CC[N@H+]1CCCC[C@@H]1c1nnc(C2CCOCC2)o1 ZINC000375441613 433468738 /nfs/dbraw/zinc/46/87/38/433468738.db2.gz SSCJACPTKULTAF-OAHLLOKOSA-N 0 1 305.422 3.457 20 30 DGEDMN C=C(C)CCN1CCCC[C@@H]1c1nnc(C2CCOCC2)o1 ZINC000375441613 433468745 /nfs/dbraw/zinc/46/87/45/433468745.db2.gz SSCJACPTKULTAF-OAHLLOKOSA-N 0 1 305.422 3.457 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000265573592 290221910 /nfs/dbraw/zinc/22/19/10/290221910.db2.gz XHAMCDAJYKVAPO-CJNGLKHVSA-N 0 1 315.417 3.218 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CCN(c2cccc(C#N)n2)CC1 ZINC000355129242 433481154 /nfs/dbraw/zinc/48/11/54/433481154.db2.gz RUAZQLHPBIYJIF-SFHVURJKSA-N 0 1 324.403 3.366 20 30 DGEDMN CCn1cc(CCN2CCC[C@@H]2[C@@H](C#N)c2ccccc2)cn1 ZINC000375696312 433529090 /nfs/dbraw/zinc/52/90/90/433529090.db2.gz GEMYYVURFWNNOR-RBUKOAKNSA-N 0 1 308.429 3.217 20 30 DGEDMN N#Cc1ccc(CNCc2ccnc(OC3CCC3)c2)cc1F ZINC000421342861 433490008 /nfs/dbraw/zinc/49/00/08/433490008.db2.gz OWWJUERLNHOADZ-UHFFFAOYSA-N 0 1 311.360 3.313 20 30 DGEDMN C=CCN(Cc1n[nH]c(C(C)(C)C)n1)Cc1cccc(C#N)c1 ZINC000292487348 433566345 /nfs/dbraw/zinc/56/63/45/433566345.db2.gz BAAOSBHTPSWFOH-UHFFFAOYSA-N 0 1 309.417 3.162 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000292653652 433585782 /nfs/dbraw/zinc/58/57/82/433585782.db2.gz CPCLFMMWDDXAFI-GFCCVEGCSA-N 0 1 314.401 3.213 20 30 DGEDMN CCCCCCCS(=O)(=O)[N-]c1c(C)[nH]nc1C(F)F ZINC000282251890 433542038 /nfs/dbraw/zinc/54/20/38/433542038.db2.gz GUBAOQHJJKPHDA-UHFFFAOYSA-N 0 1 309.382 3.368 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC000292848858 433602492 /nfs/dbraw/zinc/60/24/92/433602492.db2.gz RFMNGMDXSLNDJY-OAHLLOKOSA-N 0 1 308.372 3.151 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C2CCC2)CC1)c1ccccc1 ZINC000426860000 433619252 /nfs/dbraw/zinc/61/92/52/433619252.db2.gz VUCSBLATKCVUGO-IBGZPJMESA-N 0 1 312.457 3.433 20 30 DGEDMN CC[C@]1(C)CCCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000362384237 433674428 /nfs/dbraw/zinc/67/44/28/433674428.db2.gz FCEHLKHZBDZRAO-PKOBYXMFSA-N 0 1 311.429 3.176 20 30 DGEDMN N#Cc1cc(F)c(N2CCN(C3CCCCC3)CC2)c(F)c1 ZINC000301728153 433694522 /nfs/dbraw/zinc/69/45/22/433694522.db2.gz VZSPUNIHBPNPIX-UHFFFAOYSA-N 0 1 305.372 3.291 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN([C@@H](C)CC)CC1)c1ccccc1 ZINC000456528987 433710923 /nfs/dbraw/zinc/71/09/23/433710923.db2.gz LIKSKRGDOCBKIY-DLBZAZTESA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCC[C@H](C(=O)N1C[C@@H](C)N(C)C[C@H]1C)c1ccccc1 ZINC000428023286 433752379 /nfs/dbraw/zinc/75/23/79/433752379.db2.gz KUSPBOBWLXBVGQ-NUJGCVRESA-N 0 1 300.446 3.287 20 30 DGEDMN CC(C)C[C@H](N)c1noc(C2(c3cc(F)cc(C#N)c3)CC2)n1 ZINC000414626512 433759067 /nfs/dbraw/zinc/75/90/67/433759067.db2.gz YDQOFTNIALRXOK-AWEZNQCLSA-N 0 1 314.364 3.206 20 30 DGEDMN CC[C@H](C)[N@@H+](CC(=O)[O-])Cc1ccc(C#N)cc1C(F)(F)F ZINC000414675251 433783378 /nfs/dbraw/zinc/78/33/78/433783378.db2.gz FVRKAAOEUSJGQO-JTQLQIEISA-N 0 1 314.307 3.262 20 30 DGEDMN CCN(Cc1ccccc1C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343274213 433794124 /nfs/dbraw/zinc/79/41/24/433794124.db2.gz KJGUYDMXASMZDG-VQIMIIECSA-N 0 1 315.461 3.260 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@@H](C)C2(CCC2)C1 ZINC000357038061 433840897 /nfs/dbraw/zinc/84/08/97/433840897.db2.gz MQLYBJCIGKBSAO-CABCVRRESA-N 0 1 311.429 3.397 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cccc(C#N)c3)[nH]c21 ZINC000345365361 433909255 /nfs/dbraw/zinc/90/92/55/433909255.db2.gz AMSTVUFBUNTVQW-CQSZACIVSA-N 0 1 300.321 3.233 20 30 DGEDMN Cc1nsc(N2CCN(C[C@@H](C)CC(C)C)CC2)c1C#N ZINC000352200077 433976521 /nfs/dbraw/zinc/97/65/21/433976521.db2.gz HYVDTOHJJYLVTO-ZDUSSCGKSA-N 0 1 306.479 3.127 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CC2CCCCC2)CC1 ZINC000363201270 433993151 /nfs/dbraw/zinc/99/31/51/433993151.db2.gz YPORTKPYFIDLHB-LJQANCHMSA-N 0 1 316.489 3.293 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC3(CCC3)[C@@H]2c2ccccc2)CC1 ZINC000294151743 434016613 /nfs/dbraw/zinc/01/66/13/434016613.db2.gz MDTKPJYORAUSTG-IBGZPJMESA-N 0 1 322.452 3.085 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000285673738 434037385 /nfs/dbraw/zinc/03/73/85/434037385.db2.gz FHTPEQLYNODETE-ZDUSSCGKSA-N 0 1 310.279 3.460 20 30 DGEDMN CN(C)C(=O)c1cccc(CN[C@H]2CCc3ccc(C#N)cc32)c1 ZINC000353382042 434093792 /nfs/dbraw/zinc/09/37/92/434093792.db2.gz HKNKGRXJSSNGHQ-IBGZPJMESA-N 0 1 319.408 3.037 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3ccc(C#N)cc32)c(OC)c1 ZINC000353405507 434111581 /nfs/dbraw/zinc/11/15/81/434111581.db2.gz VWMHPOACUWUJSV-SFHVURJKSA-N 0 1 308.381 3.353 20 30 DGEDMN C=CCCOCCNCc1ccc(OC)cc1Br ZINC000310615838 434113593 /nfs/dbraw/zinc/11/35/93/434113593.db2.gz DVSUUNOGQROUNX-UHFFFAOYSA-N 0 1 314.223 3.140 20 30 DGEDMN CCC(CC)[C@H](CNc1cccc(F)c1C#N)N1CCOCC1 ZINC000506829845 294277261 /nfs/dbraw/zinc/27/72/61/294277261.db2.gz AFIJFGGYHMXEOL-SFHVURJKSA-N 0 1 319.424 3.246 20 30 DGEDMN COc1ccc2c(c1)CN(CCOc1ccccc1C#N)CC2 ZINC000353497140 434181790 /nfs/dbraw/zinc/18/17/90/434181790.db2.gz CSGYALXXYRYFII-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN C#CCOc1ccc(CNCc2cn(C)nc2C(C)(C)C)cc1 ZINC000295107590 434203856 /nfs/dbraw/zinc/20/38/56/434203856.db2.gz VRIFICIKCIJKQC-UHFFFAOYSA-N 0 1 311.429 3.019 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000378398572 434166709 /nfs/dbraw/zinc/16/67/09/434166709.db2.gz GZFYYRQGEXHJON-HUUCEWRRSA-N 0 1 302.393 3.336 20 30 DGEDMN C=CCC[C@H](CO)NCc1c(F)ccc(Br)c1F ZINC000320313026 434237822 /nfs/dbraw/zinc/23/78/22/434237822.db2.gz VOFDXPLOGQNNOG-SECBINFHSA-N 0 1 320.177 3.144 20 30 DGEDMN COC(=O)C[C@H](NCc1ccc(F)cc1C#N)C1CCCCC1 ZINC000347951066 434280622 /nfs/dbraw/zinc/28/06/22/434280622.db2.gz CIVWWACLUSIDHW-KRWDZBQOSA-N 0 1 318.392 3.299 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2ccco2)CCC1 ZINC000286649313 434283089 /nfs/dbraw/zinc/28/30/89/434283089.db2.gz NJWNHJOVBJMLHC-UHFFFAOYSA-N 0 1 304.434 3.306 20 30 DGEDMN C=CCCCCCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000286937068 434297701 /nfs/dbraw/zinc/29/77/01/434297701.db2.gz HCZMHHMXWOSPBT-UHFFFAOYSA-N 0 1 317.505 3.172 20 30 DGEDMN COc1cc(CN2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)ccc1C#N ZINC000365621217 434304550 /nfs/dbraw/zinc/30/45/50/434304550.db2.gz MJLSWBUPOVJTCD-WMZOPIPTSA-N 0 1 312.413 3.100 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@@H]3CCCC[C@@H]3C)C2)n[nH]1 ZINC000328838307 434318414 /nfs/dbraw/zinc/31/84/14/434318414.db2.gz YGMGEGFBWSLSKB-NWANDNLSSA-N 0 1 304.438 3.390 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)[C@H]2SCCc3ccccc32)n[nH]1 ZINC000328951637 434331819 /nfs/dbraw/zinc/33/18/19/434331819.db2.gz XHULAAFVVWWXJR-HZMBPMFUSA-N 0 1 316.430 3.415 20 30 DGEDMN Cc1nncn1-c1cccc(NC(=O)C[N@@H+]2[C@H](C)CC[C@@H]2C)c1 ZINC000329209749 434374333 /nfs/dbraw/zinc/37/43/33/434374333.db2.gz YOOIRBBMYIFGEC-BETUJISGSA-N 0 1 313.405 3.037 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@@H]1Cc1ccccc1 ZINC000437827555 434347549 /nfs/dbraw/zinc/34/75/49/434347549.db2.gz FNOQWBDQDHAAOR-SJLPKXTDSA-N 0 1 300.446 3.117 20 30 DGEDMN O=C(Nc1ccc2nc[nH]c2c1)c1coc(C2CCOCC2)n1 ZINC000329068551 434354607 /nfs/dbraw/zinc/35/46/07/434354607.db2.gz MMPHUAQPKQECDT-UHFFFAOYSA-N 0 1 312.329 3.081 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H](c1nc(C)cs1)C(F)(F)F ZINC000295507503 434393023 /nfs/dbraw/zinc/39/30/23/434393023.db2.gz VWYJPZPILIRMNL-ZETCQYMHSA-N 0 1 314.279 3.383 20 30 DGEDMN CCC[C@@H](NC(=O)c1cccn2c(O)nnc12)C1CCCCC1 ZINC000329362744 434397986 /nfs/dbraw/zinc/39/79/86/434397986.db2.gz ZCZIBXQACNQLCQ-CQSZACIVSA-N 0 1 316.405 3.489 20 30 DGEDMN NC(=O)C1(CNC(=O)c2cc3[nH]cnc3cc2F)CCCC1 ZINC000329418431 434408370 /nfs/dbraw/zinc/40/83/70/434408370.db2.gz ZQUQBGOFOHXIEL-UHFFFAOYSA-N 0 1 304.325 3.102 20 30 DGEDMN Cc1c(NC(=O)c2ccc(C#N)c(O)c2)cccc1-c1ncco1 ZINC000359138921 434412843 /nfs/dbraw/zinc/41/28/43/434412843.db2.gz YAJAKIXDYOTJIM-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1cccc(C#N)c1F ZINC000340883882 434415132 /nfs/dbraw/zinc/41/51/32/434415132.db2.gz WXCGYPYHUXTFLS-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN Cn1cc(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)cc1C#N ZINC000359731303 434423863 /nfs/dbraw/zinc/42/38/63/434423863.db2.gz AVEWUYXFOJGMLA-QZTJIDSGSA-N 0 1 313.376 3.046 20 30 DGEDMN Cc1cc2c(cc1C)[C@H](C(=O)N[C@@H]1CCc3nc[nH]c3C1)CO2 ZINC000329604072 434440018 /nfs/dbraw/zinc/44/00/18/434440018.db2.gz LOKCJQIHMFSNFU-TZMCWYRMSA-N 0 1 311.385 3.017 20 30 DGEDMN CC(C)(C)c1cnc([NH+]=C([O-])N[C@@H]2CCc3[nH]cnc3C2)s1 ZINC000329790902 434478201 /nfs/dbraw/zinc/47/82/01/434478201.db2.gz UEUWFRNEXRWJJE-SECBINFHSA-N 0 1 319.434 3.047 20 30 DGEDMN C=CCN(CCC(=O)N1CCC[C@@H]1C)Cc1ccccc1F ZINC000438825394 434531760 /nfs/dbraw/zinc/53/17/60/434531760.db2.gz CDJVETIFRDZQSE-HNNXBMFYSA-N 0 1 304.409 3.215 20 30 DGEDMN CCn1ccnc1[C@@H](C)NCCSCc1ccccc1C#N ZINC000296177194 434532016 /nfs/dbraw/zinc/53/20/16/434532016.db2.gz XYSDUVULCDERKE-CQSZACIVSA-N 0 1 314.458 3.359 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccccc1C(=O)N(C)C ZINC000417931088 434550606 /nfs/dbraw/zinc/55/06/06/434550606.db2.gz KZRCQEFVAARNFL-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCCC[C@H]1c1ccccc1 ZINC000329455637 295385092 /nfs/dbraw/zinc/38/50/92/295385092.db2.gz PVQLLXZIMUMTEE-SJORKVTESA-N 0 1 324.428 3.339 20 30 DGEDMN Cn1nccc1[C@@H]1CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC000370841987 434620000 /nfs/dbraw/zinc/62/00/00/434620000.db2.gz BYXWGEDVRCVFAR-MRXNPFEDSA-N 0 1 313.832 3.305 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2cc(C#N)ccc2F)C1 ZINC000459593687 434622451 /nfs/dbraw/zinc/62/24/51/434622451.db2.gz VYBMWNFTDIKLFM-GOSISDBHSA-N 0 1 318.392 3.251 20 30 DGEDMN COc1ccc(C[C@@H]2CCCN(C[C@@H](C#N)CCC#N)C2)cc1 ZINC000369612543 434599783 /nfs/dbraw/zinc/59/97/83/434599783.db2.gz AHWLCBLEFIXSEV-ZWKOTPCHSA-N 0 1 311.429 3.393 20 30 DGEDMN Cn1cc(CN[C@@H]2CCCc3cc(C#N)ccc32)c(C(F)F)n1 ZINC000418140179 434600324 /nfs/dbraw/zinc/60/03/24/434600324.db2.gz FKSSSKNJBNNQRB-OAHLLOKOSA-N 0 1 316.355 3.397 20 30 DGEDMN C=CCn1cc(CN[C@@H](C)Cc2ccccc2C(F)(F)F)nn1 ZINC000424290929 434681899 /nfs/dbraw/zinc/68/18/99/434681899.db2.gz CPUYBCFYRLVRCI-LBPRGKRZSA-N 0 1 324.350 3.204 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@@H](C)c1cccc(C#N)c1 ZINC000360771990 434687329 /nfs/dbraw/zinc/68/73/29/434687329.db2.gz ZMLJAFZRDNWVEC-QMMMGPOBSA-N 0 1 304.300 3.270 20 30 DGEDMN N#CC[C@H](Cc1nc(C2(N)CCCCC2)no1)c1ccccc1 ZINC000424344500 434705431 /nfs/dbraw/zinc/70/54/31/434705431.db2.gz LFEAEBHUTGHUQH-OAHLLOKOSA-N 0 1 310.401 3.428 20 30 DGEDMN C=CCOc1ccc(Cc2nc(C3(N)CCCCC3)no2)cc1 ZINC000424347464 434706243 /nfs/dbraw/zinc/70/62/43/434706243.db2.gz RKFXOWXNHLPTCW-UHFFFAOYSA-N 0 1 313.401 3.343 20 30 DGEDMN Cc1nsc(Sc2nc(-c3ccccc3F)n[nH]2)c1C#N ZINC000360880903 434718916 /nfs/dbraw/zinc/71/89/16/434718916.db2.gz GJIPADDERTVEAG-UHFFFAOYSA-N 0 1 317.374 3.399 20 30 DGEDMN N#CC1(CCN2CCN(c3cccc(O)c3)CC2)CCCCC1 ZINC000411156282 434732438 /nfs/dbraw/zinc/73/24/38/434732438.db2.gz JZLAECJXHSBIAB-UHFFFAOYSA-N 0 1 313.445 3.378 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000424567856 434743729 /nfs/dbraw/zinc/74/37/29/434743729.db2.gz REZHWKXZSOPVFD-UHFFFAOYSA-N 0 1 300.446 3.323 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@H](C)[C@H](C)[C@@H]2C)c(C#N)c1C ZINC000419368765 434778744 /nfs/dbraw/zinc/77/87/44/434778744.db2.gz GMUWKSUSTQKRDY-UKJIMTQDSA-N 0 1 303.406 3.071 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1cccc(C#N)c1 ZINC000411464608 434783471 /nfs/dbraw/zinc/78/34/71/434783471.db2.gz NHNMKPWSDZRYCA-SCLBCKFNSA-N 0 1 323.371 3.153 20 30 DGEDMN C=CCN(CCc1ccco1)[C@H](C)c1ccc(C(=O)OC)o1 ZINC000411490578 434789051 /nfs/dbraw/zinc/78/90/51/434789051.db2.gz SYKVVEHSQUXHHI-CYBMUJFWSA-N 0 1 303.358 3.451 20 30 DGEDMN C=CC[C@@H]1N(C(=O)CN(C)[C@H]2CCSC2)CCCC1(C)C ZINC000443854531 434782510 /nfs/dbraw/zinc/78/25/10/434782510.db2.gz CWGUECDMALADGX-GJZGRUSLSA-N 0 1 310.507 3.017 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1cccc(C#N)c1 ZINC000411464601 434783175 /nfs/dbraw/zinc/78/31/75/434783175.db2.gz NHNMKPWSDZRYCA-ACJLOTCBSA-N 0 1 323.371 3.153 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000361123080 434804137 /nfs/dbraw/zinc/80/41/37/434804137.db2.gz MKLHLUZFEYFZCQ-SFHVURJKSA-N 0 1 324.509 3.308 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](C)c1ccncc1CC ZINC000361127911 434804986 /nfs/dbraw/zinc/80/49/86/434804986.db2.gz BPOLSUKMBIBCPM-CABCVRRESA-N 0 1 303.450 3.108 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](C)c1ccncc1CC ZINC000361127913 434805305 /nfs/dbraw/zinc/80/53/05/434805305.db2.gz BPOLSUKMBIBCPM-HUUCEWRRSA-N 0 1 303.450 3.108 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2ccc(Cl)cc2Cl)CC1 ZINC000520849643 434865717 /nfs/dbraw/zinc/86/57/17/434865717.db2.gz SWIGRWIUPYCFIB-ZDUSSCGKSA-N 0 1 316.228 3.385 20 30 DGEDMN CC#CCNC(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000521131805 434871319 /nfs/dbraw/zinc/87/13/19/434871319.db2.gz FEKRNTNZSYJWQM-INIZCTEOSA-N 0 1 321.852 3.045 20 30 DGEDMN C[C@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)N1CCC(CCC#N)CC1 ZINC000574273571 434965446 /nfs/dbraw/zinc/96/54/46/434965446.db2.gz MKAMXZKHOMCUPY-KONPQCLYSA-N 0 1 319.493 3.332 20 30 DGEDMN C[C@@H](CN(C)[C@H](C(N)=O)c1ccc(C#N)cc1)C1CCCCC1 ZINC000528773649 435028712 /nfs/dbraw/zinc/02/87/12/435028712.db2.gz MSEUMPCVHMXJLL-KSSFIOAISA-N 0 1 313.445 3.233 20 30 DGEDMN N#CC(C(=O)c1cnn(C2CC2)c1)c1cc(C(F)(F)F)ccn1 ZINC000529021824 435032162 /nfs/dbraw/zinc/03/21/62/435032162.db2.gz PSGOXKDYVXAUQD-LBPRGKRZSA-N 0 1 320.274 3.122 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3ccc([N+](=O)[O-])cc3)C2)nc1 ZINC000574528671 434985769 /nfs/dbraw/zinc/98/57/69/434985769.db2.gz LWLPRJBRJYLLTL-MRXNPFEDSA-N 0 1 322.368 3.241 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1C[C@H]1c1ccc(Br)cc1 ZINC000555851711 434987805 /nfs/dbraw/zinc/98/78/05/434987805.db2.gz KNHZHZZVGSCOFF-BYCMXARLSA-N 0 1 318.218 3.338 20 30 DGEDMN CCC[C@]1(C)CCCN([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000464845021 435007254 /nfs/dbraw/zinc/00/72/54/435007254.db2.gz DANROPBOYGZDJC-KBAYOESNSA-N 0 1 307.482 3.332 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2C[C@@H](C)C[C@H]2C)c1C ZINC000525410932 435007719 /nfs/dbraw/zinc/00/77/19/435007719.db2.gz RAWPYESDJWMCIK-GOEBONIOSA-N 0 1 313.445 3.280 20 30 DGEDMN N#CCC[C@@H](C#N)CNCCc1csc(-c2ccccc2)n1 ZINC000576283075 435056205 /nfs/dbraw/zinc/05/62/05/435056205.db2.gz MNQQONHKNJXROE-AWEZNQCLSA-N 0 1 310.426 3.386 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@](C)(C#N)C3CCCCC3)c2[nH]1 ZINC000558829931 435113175 /nfs/dbraw/zinc/11/31/75/435113175.db2.gz MDHJVASEIDJUAM-SFHVURJKSA-N 0 1 310.401 3.464 20 30 DGEDMN C[C@@H](C#N)CN(CC1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC000493856009 435192050 /nfs/dbraw/zinc/19/20/50/435192050.db2.gz YQCFUYHGUDYALI-AWEZNQCLSA-N 0 1 321.465 3.258 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000495142849 435201962 /nfs/dbraw/zinc/20/19/62/435201962.db2.gz TYHMPZKZKFQHCS-JKSUJKDBSA-N 0 1 319.449 3.413 20 30 DGEDMN C[C@@H]([N@@H+](C)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C ZINC000580275630 435215713 /nfs/dbraw/zinc/21/57/13/435215713.db2.gz MRSSXNXKTJMCDB-PBHICJAKSA-N 0 1 313.445 3.420 20 30 DGEDMN CCOC(=O)N[C@@H]1CCCN(CCC2(C#N)CCCCC2)C1 ZINC000495982002 435208206 /nfs/dbraw/zinc/20/82/06/435208206.db2.gz NFRYQJDHUZNIOJ-OAHLLOKOSA-N 0 1 307.438 3.061 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)[C@H](C)NC(=O)OC(C)(C)C ZINC000496951246 435275875 /nfs/dbraw/zinc/27/58/75/435275875.db2.gz UKWZBVDGOZPWHQ-RYUDHWBXSA-N 0 1 321.396 3.089 20 30 DGEDMN CCCn1nccc1C(=O)C(C#N)c1nc(C(C)C)cs1 ZINC000565247287 435277496 /nfs/dbraw/zinc/27/74/96/435277496.db2.gz YLHPKJSXFBMRSB-NSHDSACASA-N 0 1 302.403 3.363 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](c2ccc(Br)cc2)[C@H](C(=O)[O-])C1 ZINC000567509530 435323177 /nfs/dbraw/zinc/32/31/77/435323177.db2.gz JDDZSYZKYBABOS-UONOGXRCSA-N 0 1 324.218 3.125 20 30 DGEDMN C[C@@H](NCc1cnc2c(C#N)cnn2c1)c1cccc(C2CC2)c1 ZINC000567888389 435364962 /nfs/dbraw/zinc/36/49/62/435364962.db2.gz CVWODUKOKSWFLM-CYBMUJFWSA-N 0 1 317.396 3.329 20 30 DGEDMN N#Cc1cncnc1S[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000567767682 435353800 /nfs/dbraw/zinc/35/38/00/435353800.db2.gz UPAOZLQNJRTXAZ-MRXNPFEDSA-N 0 1 310.426 3.105 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)c2ccnn2C(F)F)n1 ZINC000568283248 435418841 /nfs/dbraw/zinc/41/88/41/435418841.db2.gz IPEDFPLJXSJWNK-QMMMGPOBSA-N 0 1 310.329 3.348 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccnn2C(F)F)n1 ZINC000568283248 435418843 /nfs/dbraw/zinc/41/88/43/435418843.db2.gz IPEDFPLJXSJWNK-QMMMGPOBSA-N 0 1 310.329 3.348 20 30 DGEDMN CC(C)N(Cc1ccc(F)cc1)Cc1cnc2c(C#N)cnn2c1 ZINC000567895371 435367985 /nfs/dbraw/zinc/36/79/85/435367985.db2.gz FTVWMTZEDOYKEC-UHFFFAOYSA-N 0 1 323.375 3.151 20 30 DGEDMN COc1ccc(CN2CCC(Nc3ccccn3)CC2)cc1C#N ZINC000536372836 435378572 /nfs/dbraw/zinc/37/85/72/435378572.db2.gz USISACRMNJOLJS-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN COC(=O)[C@H]1CCCCCC[C@H]1NCc1ccc(F)cc1C#N ZINC000481438038 435427857 /nfs/dbraw/zinc/42/78/57/435427857.db2.gz REZHGKYQVLPZJL-DLBZAZTESA-N 0 1 318.392 3.299 20 30 DGEDMN C=CCCC[C@H](C)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000537182353 435428934 /nfs/dbraw/zinc/42/89/34/435428934.db2.gz JETWRABSIARLNY-VIFPVBQESA-N 0 1 307.337 3.127 20 30 DGEDMN CCC#C[C@H](C)N[C@H](CO)c1ccc(Br)c(F)c1 ZINC000644784733 435513180 /nfs/dbraw/zinc/51/31/80/435513180.db2.gz QKWYCWUCLADIBQ-IINYFYTJSA-N 0 1 314.198 3.013 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000637623828 435488259 /nfs/dbraw/zinc/48/82/59/435488259.db2.gz OLAUQUVWVGJMKB-UHFFFAOYSA-N 0 1 304.438 3.291 20 30 DGEDMN CCN(C(=O)[C@H](C)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000516765595 435551484 /nfs/dbraw/zinc/55/14/84/435551484.db2.gz BQOLCRWOSMQLKI-INIZCTEOSA-N 0 1 313.445 3.444 20 30 DGEDMN C=C(CC)C[N@H+]1CCCC[C@@H]1C(=O)Nc1ccc2c(c1)CCO2 ZINC000540214314 435592709 /nfs/dbraw/zinc/59/27/09/435592709.db2.gz ZHWYCGPRXFGFTB-QGZVFWFLSA-N 0 1 314.429 3.381 20 30 DGEDMN C=C(CC)CN1CCCC[C@@H]1C(=O)Nc1ccc2c(c1)CCO2 ZINC000540214314 435592711 /nfs/dbraw/zinc/59/27/11/435592711.db2.gz ZHWYCGPRXFGFTB-QGZVFWFLSA-N 0 1 314.429 3.381 20 30 DGEDMN N#CCC1CCN(Cc2c[nH]nc2-c2c(F)cccc2F)CC1 ZINC000540995307 435632922 /nfs/dbraw/zinc/63/29/22/435632922.db2.gz JWVXKWVTVGTBNS-UHFFFAOYSA-N 0 1 316.355 3.481 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1ccc(C#N)c(Cl)c1 ZINC000547981364 435658493 /nfs/dbraw/zinc/65/84/93/435658493.db2.gz LGNDUIFAIJRFLX-UHFFFAOYSA-N 0 1 310.691 3.433 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCCc3ccccc32)CC1 ZINC000490688362 435673803 /nfs/dbraw/zinc/67/38/03/435673803.db2.gz IEPBUFYQKSUFFK-UHFFFAOYSA-N 0 1 310.441 3.091 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](C)c2ccccc2Cl)CC1 ZINC000490853848 435684371 /nfs/dbraw/zinc/68/43/71/435684371.db2.gz VFHJUCNYYFTUBS-CYBMUJFWSA-N 0 1 305.805 3.289 20 30 DGEDMN C#CCN(Cc1c[nH]c2nccnc12)[C@H]1CCc2ccccc21 ZINC000491351845 435735263 /nfs/dbraw/zinc/73/52/63/435735263.db2.gz VXYGGHAUFOZJOP-KRWDZBQOSA-N 0 1 302.381 3.032 20 30 DGEDMN C=C(C)[C@H](CO)N1CCC(c2nc(C(F)(F)F)cs2)CC1 ZINC000649842263 435722319 /nfs/dbraw/zinc/72/23/19/435722319.db2.gz WAKHCWXVJFLPQJ-NSHDSACASA-N 0 1 320.380 3.278 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCC[C@H]2c2ccccc2)CC1 ZINC000491781848 435810209 /nfs/dbraw/zinc/81/02/09/435810209.db2.gz KVKCYKPYIVIJMZ-VQTJNVASSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC[C@@H]1CC[C@H]([NH2+]Cc2nnc(COc3ccccc3)[n-]2)C1 ZINC000651764620 435820484 /nfs/dbraw/zinc/82/04/84/435820484.db2.gz HFGOOWCPKCPEQS-CABCVRRESA-N 0 1 312.417 3.218 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000572004525 435766571 /nfs/dbraw/zinc/76/65/71/435766571.db2.gz HNYQACYPZOPDCW-WMZOPIPTSA-N 0 1 323.352 3.086 20 30 DGEDMN CCN(CCNc1ncc(C#N)cc1Cl)CCC(F)(F)F ZINC000491583871 435774644 /nfs/dbraw/zinc/77/46/44/435774644.db2.gz NWTODTXKHZWKBI-UHFFFAOYSA-N 0 1 320.746 3.293 20 30 DGEDMN C=C(CC)CNC(=O)N1CC[N@@H+](Cc2ccccc2)CC[C@H]1C ZINC000656251501 435923208 /nfs/dbraw/zinc/92/32/08/435923208.db2.gz BKTRZQHJOGWPBS-QGZVFWFLSA-N 0 1 315.461 3.259 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@H](c2cccs2)N(C)C)C1 ZINC000656543168 435969542 /nfs/dbraw/zinc/96/95/42/435969542.db2.gz BFLLNXFQZQLPFK-HUUCEWRRSA-N 0 1 321.490 3.349 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NCc1nc(CC(F)(F)F)no1 ZINC000661115751 435969910 /nfs/dbraw/zinc/96/99/10/435969910.db2.gz DXWDGJSOQWHJNM-MNOVXSKESA-N 0 1 303.328 3.399 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@@H]2CCc3nc[nH]c3C2)CCCC1 ZINC000661205129 435995007 /nfs/dbraw/zinc/99/50/07/435995007.db2.gz QILZXNCWXTXRJI-CQSZACIVSA-N 0 1 316.449 3.093 20 30 DGEDMN C=CCOc1ccc(C[N@@H+](CC(=O)[O-])CC(C)(C)C)cc1OC ZINC000665349834 435951785 /nfs/dbraw/zinc/95/17/85/435951785.db2.gz WJBYHAQHBOIZJT-UHFFFAOYSA-N 0 1 321.417 3.193 20 30 DGEDMN C=CCN(Cc1cnc2c(cnn2C(C)C)c1)[C@@H](C)COC ZINC000661243011 436006047 /nfs/dbraw/zinc/00/60/47/436006047.db2.gz IBEBIOXGTUMMQX-AWEZNQCLSA-N 0 1 302.422 3.035 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000661381304 436047992 /nfs/dbraw/zinc/04/79/92/436047992.db2.gz ZVSMBLZCIHHBQV-OAHLLOKOSA-N 0 1 316.449 3.225 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2cc(C)ccc2OC)C1 ZINC000661378527 436050899 /nfs/dbraw/zinc/05/08/99/436050899.db2.gz IFRKPVXJQRNZQS-OAHLLOKOSA-N 0 1 302.418 3.230 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2[C@H](C)CC[C@@H]2C)c1 ZINC000123037474 301224801 /nfs/dbraw/zinc/22/48/01/301224801.db2.gz HIWVJISUCCLHQN-CALCHBBNSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCCn1cc(CNC[C@H](C)Oc2ccc(Cl)cc2)nn1 ZINC000657380223 436167702 /nfs/dbraw/zinc/16/77/02/436167702.db2.gz HHUDOGLLQDRPMT-ZDUSSCGKSA-N 0 1 320.824 3.065 20 30 DGEDMN C=CCCn1cc(CN(CCC)[C@@H]2C[C@@H](OCC)C2(C)C)nn1 ZINC000653559128 436203024 /nfs/dbraw/zinc/20/30/24/436203024.db2.gz KDLYXRCUDFJSEG-IAGOWNOFSA-N 0 1 320.481 3.270 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC000662085084 436269856 /nfs/dbraw/zinc/26/98/56/436269856.db2.gz CRYZJDOAILQUBN-FGTMMUONSA-N 0 1 314.404 3.328 20 30 DGEDMN C=CCCn1cc(CN[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)nn1 ZINC000657701637 436282097 /nfs/dbraw/zinc/28/20/97/436282097.db2.gz AFFOVNBDMRMIQV-CVEARBPZSA-N 0 1 318.465 3.070 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000663242755 436428687 /nfs/dbraw/zinc/42/86/87/436428687.db2.gz SBINLXCXIYWJMQ-OAHLLOKOSA-N 0 1 319.449 3.461 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000664281980 436581687 /nfs/dbraw/zinc/58/16/87/436581687.db2.gz OBRDBYPSYDTDIH-UHFFFAOYSA-N 0 1 300.406 3.415 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000660311122 436621571 /nfs/dbraw/zinc/62/15/71/436621571.db2.gz FZUOSTUKMISIIU-OWCLPIDISA-N 0 1 319.474 3.243 20 30 DGEDMN C[C@@H](NCc1cnn(CC(F)(F)F)c1)c1cccc(C#N)c1 ZINC000540595481 313967903 /nfs/dbraw/zinc/96/79/03/313967903.db2.gz WKGASAZVDLGMDW-LLVKDONJSA-N 0 1 308.307 3.168 20 30 DGEDMN CCC[C@H]1CCCCN1Cc1cccc(C(=O)OC)c1C#N ZINC000542628321 314087094 /nfs/dbraw/zinc/08/70/94/314087094.db2.gz UMDHURLJKFZJET-HNNXBMFYSA-N 0 1 300.402 3.499 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)NCC1CCCCC1 ZINC000565611131 315275007 /nfs/dbraw/zinc/27/50/07/315275007.db2.gz OYMBHUBATGGICR-GJZGRUSLSA-N 0 1 313.445 3.294 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2cc(C)cc(C#N)c2)CC1 ZINC000567079822 315395217 /nfs/dbraw/zinc/39/52/17/315395217.db2.gz AEBPIDKKUAPCSH-UHFFFAOYSA-N 0 1 323.400 3.310 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1cnc2c(C#N)cnn2c1)C1CC1 ZINC000576875277 316176679 /nfs/dbraw/zinc/17/66/79/316176679.db2.gz OPBYZHNOOJKCPK-AWEZNQCLSA-N 0 1 317.396 3.327 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cnc(N2CCCC2)nc1 ZINC000577604010 316251943 /nfs/dbraw/zinc/25/19/43/316251943.db2.gz DMPPVBYQJYFMSA-OAHLLOKOSA-N 0 1 321.428 3.141 20 30 DGEDMN COC(=O)c1ccc(CN[C@H](C)c2cccc(C#N)c2)cc1OC ZINC000181986880 323278327 /nfs/dbraw/zinc/27/83/27/323278327.db2.gz AIFBQUCHYZTWEI-CYBMUJFWSA-N 0 1 324.380 3.204 20 30 DGEDMN Cc1cnc([C@@H](NCCOc2ccccc2C#N)C2CC2)s1 ZINC000185332843 323388468 /nfs/dbraw/zinc/38/84/68/323388468.db2.gz USTYYXMOVGHIPT-INIZCTEOSA-N 0 1 313.426 3.443 20 30 DGEDMN CCN(C(=O)[C@H](C)Cc1cnc[nH]1)[C@H](C)c1ccc(C#N)cc1 ZINC000581080676 324071866 /nfs/dbraw/zinc/07/18/66/324071866.db2.gz UBVVEWUPLIAHEV-ZIAGYGMSSA-N 0 1 310.401 3.070 20 30 DGEDMN N#Cc1cnc(CN2C[C@@H](c3ccccc3)C3(CCC3)C2)nc1 ZINC000583074445 324310298 /nfs/dbraw/zinc/31/02/98/324310298.db2.gz XQIYMHHIHSTJRH-KRWDZBQOSA-N 0 1 304.397 3.118 20 30 DGEDMN Cc1ccnc2[nH]c([C@@H](C#N)C(=O)c3cc4c(s3)CCC4)nc21 ZINC000530946825 331637853 /nfs/dbraw/zinc/63/78/53/331637853.db2.gz ONIFLHCVQNKKKD-NSHDSACASA-N 0 1 322.393 3.307 20 30 DGEDMN C=C(C)C[N@H+](C)CC(=O)N(C)Cc1ccc(Cl)c(Cl)c1 ZINC000181294129 332189782 /nfs/dbraw/zinc/18/97/82/332189782.db2.gz ORQJTHZGFCLUAG-UHFFFAOYSA-N 0 1 315.244 3.460 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(C)Cc1ccc(Cl)c(Cl)c1 ZINC000181294129 332189784 /nfs/dbraw/zinc/18/97/84/332189784.db2.gz ORQJTHZGFCLUAG-UHFFFAOYSA-N 0 1 315.244 3.460 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC000560576279 332363606 /nfs/dbraw/zinc/36/36/06/332363606.db2.gz KKMAFNLUUKUSQN-TVPLGVNVSA-N 0 1 319.493 3.285 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000185031600 335108386 /nfs/dbraw/zinc/10/83/86/335108386.db2.gz WSVXYBSJELVKDB-QGZVFWFLSA-N 0 1 300.446 3.323 20 30 DGEDMN C[C@@H](Cc1ccccc1Br)NC[C@@H](C#N)CCC#N ZINC000516761995 333131419 /nfs/dbraw/zinc/13/14/19/333131419.db2.gz QTNRFBQIUUMLJT-QWHCGFSZSA-N 0 1 320.234 3.413 20 30 DGEDMN CC[C@H](CC#N)NCCOc1ccc(OC(F)(F)F)cc1 ZINC000565173148 333638326 /nfs/dbraw/zinc/63/83/26/333638326.db2.gz SCRIPUMWVQWMMW-LLVKDONJSA-N 0 1 302.296 3.246 20 30 DGEDMN N#CCC[C@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000269583410 334002397 /nfs/dbraw/zinc/00/23/97/334002397.db2.gz AKVLMUPNMUTREQ-HOTGVXAUSA-N 0 1 323.400 3.131 20 30 DGEDMN CN(C)c1nc2ccc(NC(=O)[C@]3(C#N)CC34CCCC4)cc2[nH]1 ZINC000295173108 334220166 /nfs/dbraw/zinc/22/01/66/334220166.db2.gz GYDBAHHFECMRIU-GOSISDBHSA-N 0 1 323.400 3.041 20 30 DGEDMN C[C@@H]1CC(C)(C)CC[C@@H]1[NH+]=C([O-])N1CC[C@@H](n2cccn2)C1 ZINC000329622817 336015021 /nfs/dbraw/zinc/01/50/21/336015021.db2.gz NNMJVESYSXNPSJ-KFWWJZLASA-N 0 1 304.438 3.259 20 30 DGEDMN CN(CC1CCCCC1)C(=O)CN1CCC(CCC#N)CC1 ZINC000583691433 336952194 /nfs/dbraw/zinc/95/21/94/336952194.db2.gz RFHNEHIDKXJHJT-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc3c[nH]nc32)C[C@H]1c1ccccc1 ZINC000582463296 337092906 /nfs/dbraw/zinc/09/29/06/337092906.db2.gz NYLRXTCEWVHRDL-MSOLQXFVSA-N 0 1 302.381 3.302 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC000582494306 337098763 /nfs/dbraw/zinc/09/87/63/337098763.db2.gz MZSLZZZZSFPGCF-BBRMVZONSA-N 0 1 306.837 3.415 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000584366997 337223914 /nfs/dbraw/zinc/22/39/14/337223914.db2.gz YGGSYHUBLNTMRZ-DOTOQJQBSA-N 0 1 316.420 3.204 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](c2ccccc2Cl)C(C)C)C1=O ZINC000499605263 340040709 /nfs/dbraw/zinc/04/07/09/340040709.db2.gz IXUGGFYVXQEQMS-CVEARBPZSA-N 0 1 306.837 3.414 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000499763390 340044371 /nfs/dbraw/zinc/04/43/71/340044371.db2.gz OOQDVTRFBRCKOJ-ULQDDVLXSA-N 0 1 315.461 3.255 20 30 DGEDMN N#CCC1CCN([C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000543810454 341060059 /nfs/dbraw/zinc/06/00/59/341060059.db2.gz XFODBDMTLKLTCT-INIZCTEOSA-N 0 1 317.820 3.071 20 30 DGEDMN N#CCC1CCN([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000543810455 341060116 /nfs/dbraw/zinc/06/01/16/341060116.db2.gz XFODBDMTLKLTCT-MRXNPFEDSA-N 0 1 317.820 3.071 20 30 DGEDMN CC#CCN(Cc1cc(OC)c(OC)cc1OC)C(C)(C)C ZINC000132053066 341115495 /nfs/dbraw/zinc/11/54/95/341115495.db2.gz IXJCGLBJFQBPSW-UHFFFAOYSA-N 0 1 305.418 3.336 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](C)c1cc(C)ccc1OC ZINC000073736636 341120665 /nfs/dbraw/zinc/12/06/65/341120665.db2.gz HWPAKBZUJXCQNB-HZPDHXFCSA-N 0 1 318.461 3.467 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000551251241 341335635 /nfs/dbraw/zinc/33/56/35/341335635.db2.gz ZJCLHOXBGFDUAV-QGZVFWFLSA-N 0 1 300.446 3.370 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1 ZINC000551679038 341348713 /nfs/dbraw/zinc/34/87/13/341348713.db2.gz BJBAZRNXGUSHKY-QWHCGFSZSA-N 0 1 320.799 3.091 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000552514580 341397089 /nfs/dbraw/zinc/39/70/89/341397089.db2.gz HKUPTUJIENTRDD-DOTOQJQBSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](CC)c1c(C)noc1C ZINC000155911005 341614810 /nfs/dbraw/zinc/61/48/10/341614810.db2.gz WHKHBYPBSWWOJB-DZGCQCFKSA-N 0 1 307.438 3.145 20 30 DGEDMN C=C(C)CNC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000156181075 341617957 /nfs/dbraw/zinc/61/79/57/341617957.db2.gz PGEGCNVZXSHIRX-UHFFFAOYSA-N 0 1 321.852 3.180 20 30 DGEDMN N#CCN(C(=O)c1cccc(C(F)(F)F)c1O)C1CCCC1 ZINC000568996440 341687663 /nfs/dbraw/zinc/68/76/63/341687663.db2.gz AOSIOCFZHGSWIU-UHFFFAOYSA-N 0 1 312.291 3.319 20 30 DGEDMN N#CC1(CNC[C@H](O)c2ccccc2Br)CCCC1 ZINC001346325512 1179685392 /nfs/dbraw/zinc/68/53/92/1179685392.db2.gz JBYJXSIALLTBNF-AWEZNQCLSA-N 0 1 323.234 3.156 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(F)c(F)c2)ccc1C#N ZINC000442800658 533788833 /nfs/dbraw/zinc/78/88/33/533788833.db2.gz MQSAZSSFHKYPKB-UHFFFAOYSA-N 0 1 302.324 3.477 20 30 DGEDMN C[C@H]1CN(CC(=O)N(CCC#N)c2ccccc2)CC(C)(C)C1 ZINC000344062192 533906577 /nfs/dbraw/zinc/90/65/77/533906577.db2.gz MVDGZHFCQZLWTN-MRXNPFEDSA-N 0 1 313.445 3.301 20 30 DGEDMN Cn1nnc2cc(CN[C@H](CCC#N)c3ccccc3)ccc21 ZINC000342232933 534351485 /nfs/dbraw/zinc/35/14/85/534351485.db2.gz ZECUWZCOVRIBQV-MRXNPFEDSA-N 0 1 305.385 3.103 20 30 DGEDMN Cc1noc(C[C@@H](NCc2cccc(C#N)c2)c2ccccc2)n1 ZINC000428645350 534423893 /nfs/dbraw/zinc/42/38/93/534423893.db2.gz CCWWJJRHNPGNCY-GOSISDBHSA-N 0 1 318.380 3.323 20 30 DGEDMN Cc1noc(C[C@H](NCc2cc(C#N)cs2)c2ccccc2)n1 ZINC000428639674 534423910 /nfs/dbraw/zinc/42/39/10/534423910.db2.gz ITFPGBSVSZEVHN-INIZCTEOSA-N 0 1 324.409 3.385 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N1CCc2[nH]c3ccccc3c2C1 ZINC000489482957 534558891 /nfs/dbraw/zinc/55/88/91/534558891.db2.gz DJJBDDMTEQNSND-OAHLLOKOSA-N 0 1 323.440 3.093 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1cc(F)ccc1Br ZINC000449548639 534573814 /nfs/dbraw/zinc/57/38/14/534573814.db2.gz PFIQVTVKTGOOFN-KGLIPLIRSA-N 0 1 314.198 3.411 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000451685195 534575663 /nfs/dbraw/zinc/57/56/63/534575663.db2.gz WQRVAOQDCVBOKO-KGLIPLIRSA-N 0 1 301.415 3.028 20 30 DGEDMN N#CC1(c2ccccc2)CC(NCc2ccc([N+](=O)[O-])cc2)C1 ZINC000158472661 534577357 /nfs/dbraw/zinc/57/73/57/534577357.db2.gz AGHONROOMRFPAD-UHFFFAOYSA-N 0 1 307.353 3.308 20 30 DGEDMN N#CCCCNCc1cccc(Br)c1OC(F)F ZINC000344369163 534612797 /nfs/dbraw/zinc/61/27/97/534612797.db2.gz WIYVMNCOCSPVMV-UHFFFAOYSA-N 0 1 319.149 3.444 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(C)Cc1ccc(SC)cc1 ZINC000489495451 534693338 /nfs/dbraw/zinc/69/33/38/534693338.db2.gz JGWKFAVSGKQXBH-INIZCTEOSA-N 0 1 318.486 3.407 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(OC2CCCC2)CC1 ZINC000157745401 523524794 /nfs/dbraw/zinc/52/47/94/523524794.db2.gz XUKLSLPWVYECAJ-UHFFFAOYSA-N 0 1 314.429 3.490 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2nccn2CCCc2ccccc2)C1 ZINC000442781744 534755035 /nfs/dbraw/zinc/75/50/35/534755035.db2.gz OVCYAOGIESJVPK-ZWKOTPCHSA-N 0 1 308.429 3.298 20 30 DGEDMN C=CCOCCCN[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000470775738 534875723 /nfs/dbraw/zinc/87/57/23/534875723.db2.gz ZBZGDDVXBRSBHQ-CYBMUJFWSA-N 0 1 319.380 3.427 20 30 DGEDMN C=C(C)Cn1c([C@@H](C)CC)nnc1N1CCN(C2CCC2)CC1 ZINC000338861524 526734702 /nfs/dbraw/zinc/73/47/02/526734702.db2.gz BDOXABLDZRBJAG-HNNXBMFYSA-N 0 1 317.481 3.042 20 30 DGEDMN C=CCOCCN(C)Cc1c(C)nn(-c2ccccc2C)c1C ZINC000338927829 526768241 /nfs/dbraw/zinc/76/82/41/526768241.db2.gz ZLZRXMKVPNGJBM-UHFFFAOYSA-N 0 1 313.445 3.432 20 30 DGEDMN C=CCOc1ccc(C(=O)NCc2nc3ccc(C)cc3[nH]2)cc1 ZINC000174873913 526848698 /nfs/dbraw/zinc/84/86/98/526848698.db2.gz BRLHFGOFTKBPGJ-UHFFFAOYSA-N 0 1 321.380 3.366 20 30 DGEDMN C=C(CC)CN1CCN(Cc2cn3cc(Cl)ccc3n2)CC1 ZINC000358513556 526853001 /nfs/dbraw/zinc/85/30/01/526853001.db2.gz UVZSXWWLIRHGKJ-UHFFFAOYSA-N 0 1 318.852 3.072 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)cc1 ZINC000337666616 526854245 /nfs/dbraw/zinc/85/42/45/526854245.db2.gz WVHMAAVGZWRIRY-UHFFFAOYSA-N 0 1 311.263 3.246 20 30 DGEDMN C=CCOc1ccc(CN2[C@@H]3CC[C@H]2CC(O)C3)cc1Cl ZINC000126538730 526875050 /nfs/dbraw/zinc/87/50/50/526875050.db2.gz KDKIJYDGRJEHKQ-YIONKMFJSA-N 0 1 307.821 3.393 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)c2cnn(CC)c2)cc1OC ZINC000294804506 526887475 /nfs/dbraw/zinc/88/74/75/526887475.db2.gz IQYXJOJTXOLZIC-AWEZNQCLSA-N 0 1 315.417 3.327 20 30 DGEDMN C=CCOc1ccc(C[NH2+][C@H](C(=O)[O-])c2cccs2)cc1 ZINC000426820240 526889346 /nfs/dbraw/zinc/88/93/46/526889346.db2.gz KNCQEASFIOAYLI-HNNXBMFYSA-N 0 1 303.383 3.228 20 30 DGEDMN C=CCOc1cccc(CN2CCC(C#N)(COC)CC2)c1 ZINC000342838583 526898741 /nfs/dbraw/zinc/89/87/41/526898741.db2.gz POMFQGRXRVCVME-UHFFFAOYSA-N 0 1 300.402 3.004 20 30 DGEDMN C=CC1CCN(C(=O)[C@H](CC)N(C)Cc2ccccc2)CC1 ZINC000354202322 526973287 /nfs/dbraw/zinc/97/32/87/526973287.db2.gz FYLDYADWJASLDP-SFHVURJKSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CC1CCN(Cc2nc(-c3ccc(C)c(OC)c3)no2)CC1 ZINC000155734605 526980243 /nfs/dbraw/zinc/98/02/43/526980243.db2.gz FADWJIHEWDLOOM-UHFFFAOYSA-N 0 1 313.401 3.452 20 30 DGEDMN C=CCCC1(C(=O)N2CCCN(Cc3ccccc3)CC2)CC1 ZINC000353889400 527082473 /nfs/dbraw/zinc/08/24/73/527082473.db2.gz ISXFXQBOQPCVGY-UHFFFAOYSA-N 0 1 312.457 3.467 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](c2cccc(OC)c2)N(C)C)CC1 ZINC000353827487 527089274 /nfs/dbraw/zinc/08/92/74/527089274.db2.gz OCPREIHYJFWEEV-KRWDZBQOSA-N 0 1 316.445 3.161 20 30 DGEDMN C=CCCC1(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)CC1 ZINC000353789574 527090870 /nfs/dbraw/zinc/09/08/70/527090870.db2.gz GHHDXFDFVFSTLF-MRXNPFEDSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CSCCN1Cc1ccccc1 ZINC000346668555 527108613 /nfs/dbraw/zinc/10/86/13/527108613.db2.gz IVYTUZBCUGOVLB-QGZVFWFLSA-N 0 1 318.486 3.077 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)Nc2c(C)nn(C)c2C)CCCC1(C)C ZINC000331290588 527120056 /nfs/dbraw/zinc/12/00/56/527120056.db2.gz KAXZMIRFTJETPT-HNNXBMFYSA-N 0 1 318.465 3.042 20 30 DGEDMN C=CC[C@H](CC)NC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000337104904 527161270 /nfs/dbraw/zinc/16/12/70/527161270.db2.gz YVPUAXMBTDPAPM-KRWDZBQOSA-N 0 1 318.486 3.320 20 30 DGEDMN C=CC[C@H](CO)CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000346622470 527190037 /nfs/dbraw/zinc/19/00/37/527190037.db2.gz LYOZJICZTNQONW-SCLBCKFNSA-N 0 1 318.367 3.223 20 30 DGEDMN C=CCCN1CCN([C@@H](C)c2nc(-c3cccs3)no2)CC1 ZINC000133088737 527325542 /nfs/dbraw/zinc/32/55/42/527325542.db2.gz XKUQBSVGDJNKOZ-ZDUSSCGKSA-N 0 1 318.446 3.053 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1c(Cl)cccc1OC ZINC000339113519 527331495 /nfs/dbraw/zinc/33/14/95/527331495.db2.gz DNGMVAQOTARTFY-UHFFFAOYSA-N 0 1 311.794 3.124 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1cccc(-c2nnc[nH]2)c1 ZINC000342868626 527338395 /nfs/dbraw/zinc/33/83/95/527338395.db2.gz YDOJTDOYVMBUKG-UHFFFAOYSA-N 0 1 314.389 3.173 20 30 DGEDMN C=CCC[C@@H](NC(=O)NCCN1CC=CCC1)c1ccccc1 ZINC000354001435 527441852 /nfs/dbraw/zinc/44/18/52/527441852.db2.gz NBJSNDGJYTZHRG-GOSISDBHSA-N 0 1 313.445 3.255 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2nc3ccccc3o2)CC1 ZINC000174848944 527464501 /nfs/dbraw/zinc/46/45/01/527464501.db2.gz ACKMUNXQSSXUOE-UHFFFAOYSA-N 0 1 312.417 3.280 20 30 DGEDMN C=CCC[C@H](NC(=O)CN(C)C)c1ccc(C(F)(F)F)cc1 ZINC000441718476 527475341 /nfs/dbraw/zinc/47/53/41/527475341.db2.gz NPZHYWJAXKJRMX-AWEZNQCLSA-N 0 1 314.351 3.391 20 30 DGEDMN C=CCC[C@H](NC(=O)NCCN1CC=CCC1)c1ccccc1 ZINC000354001436 527478608 /nfs/dbraw/zinc/47/86/08/527478608.db2.gz NBJSNDGJYTZHRG-SFHVURJKSA-N 0 1 313.445 3.255 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1cccc(OC(C)C)c1 ZINC000341379949 527615795 /nfs/dbraw/zinc/61/57/95/527615795.db2.gz RSHUWBKLASFKDU-INIZCTEOSA-N 0 1 316.445 3.325 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(CC)Cc1cccc(F)c1 ZINC000343595083 527625229 /nfs/dbraw/zinc/62/52/29/527625229.db2.gz JMHUXEMMEWYLFV-OAHLLOKOSA-N 0 1 304.409 3.237 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(CC(F)(F)F)CC1 ZINC000341996048 527632413 /nfs/dbraw/zinc/63/24/13/527632413.db2.gz UUSWZHXVTXFZKT-ZDUSSCGKSA-N 0 1 318.383 3.240 20 30 DGEDMN CC1(C)[C@@H]2CN(CC(=O)c3cn(CCC#N)c4ccccc34)C[C@@H]21 ZINC000172963087 527848115 /nfs/dbraw/zinc/84/81/15/527848115.db2.gz UBINLFJZSXAYRE-CALCHBBNSA-N 0 1 321.424 3.325 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CNC(C)(C)c1cccc(Cl)c1 ZINC000179601075 527932729 /nfs/dbraw/zinc/93/27/29/527932729.db2.gz GVSIMPIABLOWBT-KRWDZBQOSA-N 0 1 321.852 3.219 20 30 DGEDMN CCN(CC(=O)c1cn(CCC#N)c2ccccc12)CC1CC1 ZINC000174860328 527940332 /nfs/dbraw/zinc/94/03/32/527940332.db2.gz QGTFWLUSKIEVIM-UHFFFAOYSA-N 0 1 309.413 3.470 20 30 DGEDMN CCCN(CC)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000348864257 527961922 /nfs/dbraw/zinc/96/19/22/527961922.db2.gz DHAJPRBHDOOYND-UHFFFAOYSA-N 0 1 307.825 3.319 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)[C@@H](C)c1cc(C)ccc1C ZINC000346298327 528355179 /nfs/dbraw/zinc/35/51/79/528355179.db2.gz RZDWUMPCCDCKSN-INIZCTEOSA-N 0 1 301.434 3.058 20 30 DGEDMN CCN(CCC#N)C(=O)CN[C@@H](C)c1oc2ccccc2c1C ZINC000183628400 528364238 /nfs/dbraw/zinc/36/42/38/528364238.db2.gz SRRNKBCOYVMSPC-AWEZNQCLSA-N 0 1 313.401 3.154 20 30 DGEDMN CCC[C@H]1CNCCN1C(=O)Nc1ccc(CC#N)cc1Cl ZINC000415791971 528415449 /nfs/dbraw/zinc/41/54/49/528415449.db2.gz VIOLCHGJQKULMN-ZDUSSCGKSA-N 0 1 320.824 3.012 20 30 DGEDMN CC(C)C[C@@H]1OCCC[C@H]1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000331100705 528418596 /nfs/dbraw/zinc/41/85/96/528418596.db2.gz BPMUEINZBZNPCY-CABCVRRESA-N 0 1 322.361 3.199 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000182646063 528696394 /nfs/dbraw/zinc/69/63/94/528696394.db2.gz GGTOSFBCFIFBHU-KGLIPLIRSA-N 0 1 313.401 3.092 20 30 DGEDMN CC(C)N(CC(=O)NCc1ccccc1)Cc1ccc(C#N)cc1 ZINC000299361269 528860671 /nfs/dbraw/zinc/86/06/71/528860671.db2.gz IURNUNHXWBKEGA-UHFFFAOYSA-N 0 1 321.424 3.085 20 30 DGEDMN CCCn1nc(C)c(CNCc2ccc(OCC#N)cc2)c1C ZINC000177578084 528876712 /nfs/dbraw/zinc/87/67/12/528876712.db2.gz BPPWLILZKOYVCK-UHFFFAOYSA-N 0 1 312.417 3.102 20 30 DGEDMN CC(C)[C@@]1(CO)CCCN(Cc2cn3ccccc3c2C#N)C1 ZINC000292991999 528894149 /nfs/dbraw/zinc/89/41/49/528894149.db2.gz IJTLCYWMZLDZJQ-IBGZPJMESA-N 0 1 311.429 3.041 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)NCC(C)(C)CCC#N)n[nH]1 ZINC000358747249 529277281 /nfs/dbraw/zinc/27/72/81/529277281.db2.gz ZGIAAGGKEDFPRG-RYUDHWBXSA-N 0 1 320.441 3.008 20 30 DGEDMN CC[C@@H](C(=O)NCC(C)(C)CCC#N)N(C)Cc1ccccc1 ZINC000356481363 529281362 /nfs/dbraw/zinc/28/13/62/529281362.db2.gz PCSCYJIZZMBDKB-KRWDZBQOSA-N 0 1 315.461 3.343 20 30 DGEDMN CC(C)[C@@H](CCN(C)CC1(C#N)CC1)NC(=O)OC(C)(C)C ZINC000494140334 535172180 /nfs/dbraw/zinc/17/21/80/535172180.db2.gz CABGAOWXIHQSSF-CQSZACIVSA-N 0 1 309.454 3.161 20 30 DGEDMN CCO[C@@H]1CCC[C@H]1NCc1cc(C#N)ccc1Br ZINC000452022954 535753723 /nfs/dbraw/zinc/75/37/23/535753723.db2.gz XAAPHPHHKGFXMJ-HUUCEWRRSA-N 0 1 323.234 3.368 20 30 DGEDMN CN(C)[C@@H](CNc1cccc(C#N)c1[N+](=O)[O-])c1ccsc1 ZINC000450243922 536132692 /nfs/dbraw/zinc/13/26/92/536132692.db2.gz DAUDLVGIRFWGBB-AWEZNQCLSA-N 0 1 316.386 3.243 20 30 DGEDMN O=C(CCC(=O)c1ccccc1)Nc1nc(-c2ccco2)n[nH]1 ZINC000073973060 545720849 /nfs/dbraw/zinc/72/08/49/545720849.db2.gz GDXJNTXASRCAKX-UHFFFAOYSA-N 0 1 310.313 3.316 20 30 DGEDMN Cc1ccc(C[N@H+](C)[C@@H](C)C(=O)NC2(C#N)CCCCC2)cc1 ZINC000196627820 546256355 /nfs/dbraw/zinc/25/63/55/546256355.db2.gz UXORDGYRKAQMFZ-INIZCTEOSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1ccc(CN(C)[C@@H](C)C(=O)NC2(C#N)CCCCC2)cc1 ZINC000196627820 546256356 /nfs/dbraw/zinc/25/63/56/546256356.db2.gz UXORDGYRKAQMFZ-INIZCTEOSA-N 0 1 313.445 3.158 20 30 DGEDMN C=CCOCCNCc1ccc(Br)cc1Cl ZINC000303548727 546440112 /nfs/dbraw/zinc/44/01/12/546440112.db2.gz BDDJOZMICPIUOE-UHFFFAOYSA-N 0 1 304.615 3.395 20 30 DGEDMN CC(C)(C)c1cnc(CCC(=O)NC2(c3nnc[nH]3)CCC2)o1 ZINC000329348528 546485270 /nfs/dbraw/zinc/48/52/70/546485270.db2.gz SETBRWLBHYSNCJ-UHFFFAOYSA-N 0 1 317.393 3.059 20 30 DGEDMN Cc1cnn(CCNC([O-])=[NH+][C@@H]2CC[C@@H]3CCCC[C@@H]3C2)c1 ZINC000329494402 546486464 /nfs/dbraw/zinc/48/64/64/546486464.db2.gz DEIGNPGMRJWRAW-ARFHVFGLSA-N 0 1 304.438 3.054 20 30 DGEDMN Cc1cnn(CC[NH+]=C([O-])N[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)c1 ZINC000329494402 546486469 /nfs/dbraw/zinc/48/64/69/546486469.db2.gz DEIGNPGMRJWRAW-ARFHVFGLSA-N 0 1 304.438 3.054 20 30 DGEDMN N#C[C@H]1CCC[C@@H]1NC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000333010956 546501890 /nfs/dbraw/zinc/50/18/90/546501890.db2.gz SDNDTDYFNGMDGS-SCZZXKLOSA-N 0 1 320.805 3.214 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000667327823 547153264 /nfs/dbraw/zinc/15/32/64/547153264.db2.gz YRIAROCEOGQLOA-QZTJIDSGSA-N 0 1 313.445 3.011 20 30 DGEDMN COCC#CCN[C@@H](COc1ccccc1F)c1ccccc1 ZINC000678753320 548757718 /nfs/dbraw/zinc/75/77/18/548757718.db2.gz HHCMWXKSQWCTRI-SFHVURJKSA-N 0 1 313.372 3.185 20 30 DGEDMN N#C[C@@H](C(=O)c1cn2ccnc2s1)c1ccc2ccccc2n1 ZINC000682401656 549316436 /nfs/dbraw/zinc/31/64/36/549316436.db2.gz RRHIWJIUIPXXIT-GFCCVEGCSA-N 0 1 318.361 3.434 20 30 DGEDMN N#CC(C(=O)c1cn2ccnc2s1)c1ccc2ccccc2n1 ZINC000682401656 549316438 /nfs/dbraw/zinc/31/64/38/549316438.db2.gz RRHIWJIUIPXXIT-GFCCVEGCSA-N 0 1 318.361 3.434 20 30 DGEDMN C#CCOc1cccc(OC(=O)c2cc(-c3ccc(C)o3)n[nH]2)c1 ZINC000730696142 574533757 /nfs/dbraw/zinc/53/37/57/574533757.db2.gz QSMPQISZDMGOEV-UHFFFAOYSA-N 0 1 322.320 3.209 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2cc(C)cc3cn[nH]c32)cc1 ZINC000731013023 574541560 /nfs/dbraw/zinc/54/15/60/574541560.db2.gz FYVYJHPRBJZFMR-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN CCN(CC)c1ccc(/C=[NH+]/[C@@H]2CC[N@@H+](C)[C@@H](C)C2)c(O)c1 ZINC000741465095 574549559 /nfs/dbraw/zinc/54/95/59/574549559.db2.gz SXVISEFNMOXEKG-FTRLWIBISA-N 0 1 303.450 3.140 20 30 DGEDMN Cc1ccc(C=Nn2c(=O)c3ccccc3[nH]c2=S)cc1F ZINC000731486603 574550510 /nfs/dbraw/zinc/55/05/10/574550510.db2.gz PSGIXUUYSXWVAL-UHFFFAOYSA-N 0 1 313.357 3.015 20 30 DGEDMN CCCCN(CCOC)Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000731661023 574555867 /nfs/dbraw/zinc/55/58/67/574555867.db2.gz SOWVVSQSEDGFTE-UHFFFAOYSA-N 0 1 312.417 3.128 20 30 DGEDMN Cc1ccc(C)c(C=NNc2ccccc2S(C)(=O)=O)c1 ZINC000731933936 574562815 /nfs/dbraw/zinc/56/28/15/574562815.db2.gz RCEJLWYSACIJOE-UHFFFAOYSA-N 0 1 302.399 3.153 20 30 DGEDMN Cc1cc(N=NCc2csc(-c3cccs3)n2)ncn1 ZINC000731934594 574562832 /nfs/dbraw/zinc/56/28/32/574562832.db2.gz DHPPIKUKYVVRGM-UHFFFAOYSA-N 0 1 301.400 3.416 20 30 DGEDMN CC(N=Nc1ccccc1S(C)(=O)=O)c1ccccc1F ZINC000731933154 574562913 /nfs/dbraw/zinc/56/29/13/574562913.db2.gz HCHKNORWBKAGRE-UHFFFAOYSA-N 0 1 306.362 3.065 20 30 DGEDMN C=CCCOCC(=O)O[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000732520387 574575520 /nfs/dbraw/zinc/57/55/20/574575520.db2.gz DHCMKJFVTLUTOO-JTQLQIEISA-N 0 1 308.765 3.413 20 30 DGEDMN C#CCOc1ccc(C(C)N=Nc2ccc([N+](=O)[O-])cc2)cc1 ZINC000733200235 574607219 /nfs/dbraw/zinc/60/72/19/574607219.db2.gz CNYGFCHCQFPUHN-UHFFFAOYSA-N 0 1 309.325 3.443 20 30 DGEDMN C#CCOc1ccc(CNCc2c(C)cc(C)nc2OC)cc1 ZINC000733326813 574616361 /nfs/dbraw/zinc/61/63/61/574616361.db2.gz CRNMOPZFRQXKJA-UHFFFAOYSA-N 0 1 310.397 3.009 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000733750282 574636850 /nfs/dbraw/zinc/63/68/50/574636850.db2.gz RZGKLVWUFXLVCQ-UHFFFAOYSA-N 0 1 318.340 3.048 20 30 DGEDMN C#CCOc1ccccc1CN(C)C[C@H]1OCCc2ccccc21 ZINC000734486759 574662639 /nfs/dbraw/zinc/66/26/39/574662639.db2.gz QAUZVYFHCNVSAQ-OAQYLSRUSA-N 0 1 321.420 3.444 20 30 DGEDMN CCc1cc(NN=C(C)c2cncs2)nc(-c2ccccn2)n1 ZINC000734748452 574675744 /nfs/dbraw/zinc/67/57/44/574675744.db2.gz NYBYGHOWVVRYMI-UHFFFAOYSA-N 0 1 324.413 3.394 20 30 DGEDMN C=CCN(Cc1cccc(-c2nn[nH]n2)c1)[C@H](C)c1ccncc1 ZINC000735420310 574701431 /nfs/dbraw/zinc/70/14/31/574701431.db2.gz OOLWNDCOPACWQG-CQSZACIVSA-N 0 1 320.400 3.011 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Cc3ccc(C#N)cc3)[nH]c21 ZINC000735791956 574715606 /nfs/dbraw/zinc/71/56/06/574715606.db2.gz DVMJWCBRJUMJFK-OAHLLOKOSA-N 0 1 314.348 3.162 20 30 DGEDMN C[C@@H]([NH2+][C@H](C(=O)[O-])c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000736662714 574802150 /nfs/dbraw/zinc/80/21/50/574802150.db2.gz SEHGITAIINNAMI-HWPZZCPQSA-N 0 1 316.307 3.313 20 30 DGEDMN C[N@@H+](CCCC#N)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000737415947 574842239 /nfs/dbraw/zinc/84/22/39/574842239.db2.gz WLFGDRXLJOKMHP-LBPRGKRZSA-N 0 1 301.173 3.355 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)[C@@H](C(=O)[O-])c1cccc(Cl)c1 ZINC000737486574 574847981 /nfs/dbraw/zinc/84/79/81/574847981.db2.gz BTHKOXIHZJKABQ-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3F)C2)cc1 ZINC000739106285 574892156 /nfs/dbraw/zinc/89/21/56/574892156.db2.gz RHPACZXDABUVSE-YJBOKZPZSA-N 0 1 324.355 3.313 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1cc(C#N)ccc1F)N1CCCCC1 ZINC000741010312 574947940 /nfs/dbraw/zinc/94/79/40/574947940.db2.gz CUULFCURIYYGEN-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(F)cc1C#N)N1CCCCC1 ZINC000741012751 574948423 /nfs/dbraw/zinc/94/84/23/574948423.db2.gz RYFHEQAAIZMPCB-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN C[C@H](CN1CCN(c2ccccc2)CC1)/N=C\c1ccccc1O ZINC000741466535 574958660 /nfs/dbraw/zinc/95/86/60/574958660.db2.gz UVACITCVFPEJML-VKZJTJHYSA-N 0 1 323.440 3.022 20 30 DGEDMN Cc1n[nH]cc1C=NNc1c(F)cc(F)cc1Br ZINC000741771583 574969379 /nfs/dbraw/zinc/96/93/79/574969379.db2.gz LAPNNRYNKSSFJB-UHFFFAOYSA-N 0 1 315.121 3.205 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)c2ccc(Cl)cc2)CC1 ZINC000742285192 574989239 /nfs/dbraw/zinc/98/92/39/574989239.db2.gz NQHWSWKXOYCDGO-UHFFFAOYSA-N 0 1 304.821 3.038 20 30 DGEDMN C=CCOCCCC(=O)N[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000742662620 575011078 /nfs/dbraw/zinc/01/10/78/575011078.db2.gz IEZWCJRLMFWFPE-LLVKDONJSA-N 0 1 321.808 3.376 20 30 DGEDMN Cc1ccc(NN=C2COc3cc(Cl)cc(Cl)c32)nn1 ZINC000743439736 575051284 /nfs/dbraw/zinc/05/12/84/575051284.db2.gz JYULQLFKQBKQSY-UHFFFAOYSA-N 0 1 309.156 3.300 20 30 DGEDMN Cc1cc(C=Nn2c(=O)c3ccccc3[nH]c2=S)ccc1F ZINC000729143618 575219836 /nfs/dbraw/zinc/21/98/36/575219836.db2.gz OKUTXXPXIAZDFH-UHFFFAOYSA-N 0 1 313.357 3.015 20 30 DGEDMN C=CCOCC(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000729892262 575265844 /nfs/dbraw/zinc/26/58/44/575265844.db2.gz WFNNTVALWGUGND-JTQLQIEISA-N 0 1 306.774 3.329 20 30 DGEDMN CCC(CC)N(Cc1nc2ccccc2c(=O)n1CC#N)C1CC1 ZINC000744389134 575339475 /nfs/dbraw/zinc/33/94/75/575339475.db2.gz LBLKPKKYZKINCI-UHFFFAOYSA-N 0 1 324.428 3.073 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2c(C)n[nH]c2C(F)(F)F)c1 ZINC000746601533 575463889 /nfs/dbraw/zinc/46/38/89/575463889.db2.gz NZUGSLCWEPDHAW-UHFFFAOYSA-N 0 1 323.274 3.001 20 30 DGEDMN COc1ccc(C=NNc2ccc([N+](=O)[O-])cc2OC)cc1C ZINC000746682025 575469105 /nfs/dbraw/zinc/46/91/05/575469105.db2.gz PFHNACBLDQKQPV-UHFFFAOYSA-N 0 1 315.329 3.366 20 30 DGEDMN N#Cc1csc(CNCC(=O)Nc2ccc(Cl)cc2)c1 ZINC000748099012 575561129 /nfs/dbraw/zinc/56/11/29/575561129.db2.gz BFCHCXQDDKVXPF-UHFFFAOYSA-N 0 1 305.790 3.001 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1cnn(-c2ccccn2)c1C ZINC000748249419 575575119 /nfs/dbraw/zinc/57/51/19/575575119.db2.gz YFNHBCFZIDBUON-UHFFFAOYSA-N 0 1 306.373 3.115 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@@]23CCCc2ccccc23)c1 ZINC000748411914 575585079 /nfs/dbraw/zinc/58/50/79/575585079.db2.gz CQFHCPTZUZDMGI-OXJNMPFZSA-N 0 1 318.376 3.497 20 30 DGEDMN CC(C)(C)c1ncc(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000748410123 575585103 /nfs/dbraw/zinc/58/51/03/575585103.db2.gz GTZPMEQPVIDSOB-UHFFFAOYSA-N 0 1 301.371 3.270 20 30 DGEDMN C[C@H](C(=O)O[C@@H](C)c1ccc(C#N)cc1)N1CCCCCC1 ZINC000748677740 575600617 /nfs/dbraw/zinc/60/06/17/575600617.db2.gz SIZIWSZJPGVQTK-CABCVRRESA-N 0 1 300.402 3.427 20 30 DGEDMN C[C@@H](OC(=O)[C@H](C)N1CCCCCC1)c1ccc(C#N)cc1 ZINC000748677743 575600811 /nfs/dbraw/zinc/60/08/11/575600811.db2.gz SIZIWSZJPGVQTK-LSDHHAIUSA-N 0 1 300.402 3.427 20 30 DGEDMN Cc1ccsc1[C@H]1C[C@@H]1C(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000748834870 575610362 /nfs/dbraw/zinc/61/03/62/575610362.db2.gz SULGQLYWSYTRAN-IHRRRGAJSA-N 0 1 311.410 3.443 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)C2(C#N)CCCCC2)cc1F ZINC000749248370 575638852 /nfs/dbraw/zinc/63/88/52/575638852.db2.gz NQQLSZJPBYFBQP-UHFFFAOYSA-N 0 1 303.381 3.300 20 30 DGEDMN O=C(C=Cc1cc(F)c(O)c(F)c1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000749890784 575685247 /nfs/dbraw/zinc/68/52/47/575685247.db2.gz BECPWWUHOKCLJC-DAFODLJHSA-N 0 1 316.263 3.148 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H](C)C2CCCC2)c1 ZINC000750684451 575745709 /nfs/dbraw/zinc/74/57/09/575745709.db2.gz QMNQNABWFORAPY-LRDDRELGSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1cc(C=NNc2ccc(F)cc2C)cc([N+](=O)[O-])c1O ZINC000750938930 575763069 /nfs/dbraw/zinc/76/30/69/575763069.db2.gz QRHDTYVNCKXILT-UHFFFAOYSA-N 0 1 319.292 3.203 20 30 DGEDMN Cc1cc(N=NC2CN(Cc3ccccc3)C[C@@H]2C)nc(C)n1 ZINC000751526752 575788684 /nfs/dbraw/zinc/78/86/84/575788684.db2.gz MLRIWROZXPYQPS-ZDUSSCGKSA-N 0 1 309.417 3.013 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000751826268 575806469 /nfs/dbraw/zinc/80/64/69/575806469.db2.gz GHQFJTWAIGKDBZ-WOPDTQHZSA-N 0 1 302.761 3.033 20 30 DGEDMN Cc1nc(CN=Nc2cnc3ccccc3n2)c2ccccn12 ZINC000727255821 576115352 /nfs/dbraw/zinc/11/53/52/576115352.db2.gz IBFBXSVFFXVIIA-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN Fc1ccc(-n2cc(C=NNc3nc4ccccc4[nH]3)cn2)cc1 ZINC000728174097 576166612 /nfs/dbraw/zinc/16/66/12/576166612.db2.gz HREKRDWFAMZUMC-UHFFFAOYSA-N 0 1 320.331 3.334 20 30 DGEDMN C=CCN(CC(=O)N(Cc1ccco1)CC(F)(F)F)C(C)C ZINC000917070860 620655306 /nfs/dbraw/zinc/65/53/06/620655306.db2.gz IBRNUCDWZGAPBL-UHFFFAOYSA-N 0 1 318.339 3.067 20 30 DGEDMN CC(C)[C@H](C)[N@H+](C)CC(=O)[C@@H](C#N)c1nc2ccccc2s1 ZINC000917102101 620656753 /nfs/dbraw/zinc/65/67/53/620656753.db2.gz XMZCDBXVIWBDKM-QWHCGFSZSA-N 0 1 315.442 3.449 20 30 DGEDMN COc1cccc(O)c1/C=N\C[C@H]1CCN1Cc1ccccc1 ZINC000852997652 620658416 /nfs/dbraw/zinc/65/84/16/620658416.db2.gz AINVBJLKYSIGKH-FPXKJXHESA-N 0 1 310.397 3.094 20 30 DGEDMN C=CC[N@H+](Cc1cc(=O)oc2cc(O)c(CC)cc12)C(C)C ZINC000917167001 620659089 /nfs/dbraw/zinc/65/90/89/620659089.db2.gz NGQRVTUQSBJIKN-UHFFFAOYSA-N 0 1 301.386 3.457 20 30 DGEDMN C=CC[N@@H+](Cc1cc(=O)oc2cc([O-])c(CC)cc12)C(C)C ZINC000917167001 620659090 /nfs/dbraw/zinc/65/90/90/620659090.db2.gz NGQRVTUQSBJIKN-UHFFFAOYSA-N 0 1 301.386 3.457 20 30 DGEDMN C=CC[N@H+](Cc1cc(=O)oc2cc([O-])c(CC)cc12)C(C)C ZINC000917167001 620659092 /nfs/dbraw/zinc/65/90/92/620659092.db2.gz NGQRVTUQSBJIKN-UHFFFAOYSA-N 0 1 301.386 3.457 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@@H](C)COCc1ccccc1 ZINC000917209757 620660045 /nfs/dbraw/zinc/66/00/45/620660045.db2.gz LUMJLUBYLRIFKJ-ZDUSSCGKSA-N 0 1 319.430 3.115 20 30 DGEDMN CCn1c(C=N[N-]c2[nH+]c3ccccc3n2C)nc2ccccc21 ZINC000917544633 620673040 /nfs/dbraw/zinc/67/30/40/620673040.db2.gz CKFYABDDMUJKDE-UHFFFAOYSA-N 0 1 318.384 3.389 20 30 DGEDMN N#CC(C(=O)Cc1cccc(F)c1F)c1nc2ccccc2[nH]1 ZINC000154501631 620682134 /nfs/dbraw/zinc/68/21/34/620682134.db2.gz WFQKZYLAMRZBAC-LLVKDONJSA-N 0 1 311.291 3.260 20 30 DGEDMN C=CCCC(C)(C)NS(=O)(=O)c1occc1Br ZINC000917741343 620682299 /nfs/dbraw/zinc/68/22/99/620682299.db2.gz SLFDJSYPTSASPW-UHFFFAOYSA-N 0 1 322.224 3.065 20 30 DGEDMN COC[C@@H](C)Oc1ccc(C=NNc2ccc(F)cn2)cc1 ZINC000853304992 620685416 /nfs/dbraw/zinc/68/54/16/620685416.db2.gz KHHZCEYUCGTHCK-GFCCVEGCSA-N 0 1 303.337 3.080 20 30 DGEDMN COc1cncc(C=NNc2ncnc(Cl)c2C(C)C)c1 ZINC000853343010 620688519 /nfs/dbraw/zinc/68/85/19/620688519.db2.gz SOCVANTWJYMNPO-UHFFFAOYSA-N 0 1 305.769 3.103 20 30 DGEDMN CC(=NNC1=NCCN1)c1ccc(O[C@@H](C)c2ccccc2)cc1 ZINC000853358257 620689659 /nfs/dbraw/zinc/68/96/59/620689659.db2.gz YJOYDKFPVNNNON-HNNXBMFYSA-N 0 1 322.412 3.099 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NN1CCCC[C@H]1C ZINC000853379167 620690484 /nfs/dbraw/zinc/69/04/84/620690484.db2.gz DVUFNPSVNWOSKS-HZPDHXFCSA-N 0 1 300.450 3.154 20 30 DGEDMN CSc1ccc(CON=C(N)c2ccc(N(C)C)cc2)cc1 ZINC000918185175 620701152 /nfs/dbraw/zinc/70/11/52/620701152.db2.gz AFRCFYAHTMOWSX-UHFFFAOYSA-N 0 1 315.442 3.312 20 30 DGEDMN C=C(C)c1cccc(NC(=O)C(C)(C)CN2CCOCC2)c1 ZINC000890888606 617698226 /nfs/dbraw/zinc/69/82/26/617698226.db2.gz HBJRSVCMIATQKV-UHFFFAOYSA-N 0 1 302.418 3.017 20 30 DGEDMN C=C1CCC(C(=O)NC2(C(F)(F)F)CCN(CC)CC2)CC1 ZINC000891211450 617749747 /nfs/dbraw/zinc/74/97/47/617749747.db2.gz FPRILZZICZAIRS-UHFFFAOYSA-N 0 1 318.383 3.266 20 30 DGEDMN N#Cc1cc(CN2CCC[N@@H+](Cc3ccccc3)CC2)cs1 ZINC000891404987 617793275 /nfs/dbraw/zinc/79/32/75/617793275.db2.gz QEXKCOREBOADCP-UHFFFAOYSA-N 0 1 311.454 3.328 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC2(CCC2)CO1)c1nc2ccccc2[nH]1 ZINC000892419265 618061343 /nfs/dbraw/zinc/06/13/43/618061343.db2.gz AVDVYVVMOCYICP-KGLIPLIRSA-N 0 1 323.396 3.479 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCCC(=O)c2ccccc2)nc(C)n1 ZINC000892474299 618075578 /nfs/dbraw/zinc/07/55/78/618075578.db2.gz BOKXOENVYGWNRH-INIZCTEOSA-N 0 1 321.380 3.323 20 30 DGEDMN COCCOc1ccc(CN[C@@H](C)c2ccc(C#N)cc2)o1 ZINC000892521204 618097637 /nfs/dbraw/zinc/09/76/37/618097637.db2.gz MODUNBSRXSCWIO-ZDUSSCGKSA-N 0 1 300.358 3.027 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCOC4(CCC4)C3)[nH]c21 ZINC000892705735 618143440 /nfs/dbraw/zinc/14/34/40/618143440.db2.gz QURGGTZHXJFMLI-ZIAGYGMSSA-N 0 1 323.396 3.397 20 30 DGEDMN N#CCC[C@H](N[C@H]1CCC2(C[C@H]1F)OCCO2)c1ccccc1 ZINC000893194745 618469349 /nfs/dbraw/zinc/46/93/49/618469349.db2.gz KSNNEDFAGPCKBD-IKGGRYGDSA-N 0 1 318.392 3.255 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(c3ccc(C#N)cc3CO)C2)[nH]n1 ZINC000895061248 618522525 /nfs/dbraw/zinc/52/25/25/618522525.db2.gz GFTJRDSUWORLPQ-HNNXBMFYSA-N 0 1 324.428 3.281 20 30 DGEDMN CC(=[NH+]n1cc(Br)cn1)[C@H](C)CCCCC(=O)[O-] ZINC000814884588 618536323 /nfs/dbraw/zinc/53/63/23/618536323.db2.gz OJVNTFGGHQDSML-SECBINFHSA-N 0 1 316.199 3.151 20 30 DGEDMN C=CCC1(NCc2csc(C(F)(F)F)n2)CCOCC1 ZINC000895367041 618603582 /nfs/dbraw/zinc/60/35/82/618603582.db2.gz RNXLCMKMVCZFHP-UHFFFAOYSA-N 0 1 306.353 3.377 20 30 DGEDMN N#Cc1cc(CN(Cc2ccccc2)[C@H](CO)C2CC2)cs1 ZINC000893588434 618612083 /nfs/dbraw/zinc/61/20/83/618612083.db2.gz ARUMRIKCPOEJFM-GOSISDBHSA-N 0 1 312.438 3.393 20 30 DGEDMN C[C@@H](C#N)C[N@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC000893996168 618688719 /nfs/dbraw/zinc/68/87/19/618688719.db2.gz ZTARWNIIVFIAFB-QMMMGPOBSA-N 0 1 317.614 3.400 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC000893996168 618688721 /nfs/dbraw/zinc/68/87/21/618688721.db2.gz ZTARWNIIVFIAFB-QMMMGPOBSA-N 0 1 317.614 3.400 20 30 DGEDMN COc1ccc(-n2ccc(CN[C@H](C)CC(C)(C)C#N)n2)cc1 ZINC000924951906 618747788 /nfs/dbraw/zinc/74/77/88/618747788.db2.gz UBNRWSVRMVSPOA-CQSZACIVSA-N 0 1 312.417 3.299 20 30 DGEDMN CCc1[nH]c(CNC2(c3cccc(C#N)c3)CC2)cc1C(=O)OC ZINC000894360370 618772050 /nfs/dbraw/zinc/77/20/50/618772050.db2.gz XXXWTEMCELBJKK-UHFFFAOYSA-N 0 1 323.396 3.014 20 30 DGEDMN C#CC[C@H](NCc1cc(C(=O)OC)c(CC)[nH]1)c1ccccc1 ZINC000894362275 618772303 /nfs/dbraw/zinc/77/23/03/618772303.db2.gz RIOIAXRLDOEBMH-SFHVURJKSA-N 0 1 310.397 3.218 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2c(NC(=O)OC(C)(C)C)cnn2C)C1 ZINC000895556814 618798782 /nfs/dbraw/zinc/79/87/82/618798782.db2.gz CLEIZGGVIKSXLU-CYBMUJFWSA-N 0 1 320.437 3.165 20 30 DGEDMN C#Cc1cccc(NC(=O)[C@@H](NC(C)C)c2ccc(OC)cc2)c1 ZINC000895566548 618801233 /nfs/dbraw/zinc/80/12/33/618801233.db2.gz AHRYOEDUAGROOM-IBGZPJMESA-N 0 1 322.408 3.354 20 30 DGEDMN COC(=O)c1oc(CNCc2c(C)cc(C#N)cc2C)cc1C ZINC000895760432 618828025 /nfs/dbraw/zinc/82/80/25/618828025.db2.gz PRDRLKSFHURIGW-UHFFFAOYSA-N 0 1 312.369 3.153 20 30 DGEDMN C#CCN1CCC(Nc2c(C#N)cnc3c(Cl)cccc32)CC1 ZINC000895812765 618834635 /nfs/dbraw/zinc/83/46/35/618834635.db2.gz VEGSJGKXWJVCQD-UHFFFAOYSA-N 0 1 324.815 3.269 20 30 DGEDMN C=CCC1(O)CCN(C/C=C/c2ccccc2[N+](=O)[O-])CC1 ZINC000895835678 618839734 /nfs/dbraw/zinc/83/97/34/618839734.db2.gz KZLBTYWKSBUNOE-FNORWQNLSA-N 0 1 302.374 3.011 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN1CCC[C@@H](C#N)C1 ZINC000896502417 618921933 /nfs/dbraw/zinc/92/19/33/618921933.db2.gz FEMRBQIPTRCBNH-GJZGRUSLSA-N 0 1 309.454 3.019 20 30 DGEDMN CSc1ccc(CN2CCC3(CC2)CC(=O)C=CO3)s1 ZINC000897599876 619164584 /nfs/dbraw/zinc/16/45/84/619164584.db2.gz YPBWZPJVVRATHP-UHFFFAOYSA-N 0 1 309.456 3.308 20 30 DGEDMN C[C@H](NCc1cc(C#N)cs1)c1cnn(CC2CCC2)c1 ZINC000898178837 619223260 /nfs/dbraw/zinc/22/32/60/619223260.db2.gz DGUSBTBZKBXILN-LBPRGKRZSA-N 0 1 300.431 3.467 20 30 DGEDMN O=C(C=Cc1ccc2nc[nH]c2c1)c1ccnn1CC1CCC1 ZINC000899413726 619339048 /nfs/dbraw/zinc/33/90/48/619339048.db2.gz QGKHQMULUAJXBH-FNORWQNLSA-N 0 1 306.369 3.456 20 30 DGEDMN Brc1ccc(NN=Cc2ccnn2C2CCC2)nc1 ZINC000901183242 619475236 /nfs/dbraw/zinc/47/52/36/619475236.db2.gz JQHATGYXXOGHDM-UHFFFAOYSA-N 0 1 320.194 3.212 20 30 DGEDMN Cn1cc(C=NNc2cccc(C(F)(F)F)n2)c(C2CC2)n1 ZINC000901197011 619477222 /nfs/dbraw/zinc/47/72/22/619477222.db2.gz WVIQPHUHLXWTPQ-UHFFFAOYSA-N 0 1 309.295 3.157 20 30 DGEDMN C=CCOc1ccc(C[N@H+](CC(=O)[O-])CC(C)C)cc1Cl ZINC000901589419 619519648 /nfs/dbraw/zinc/51/96/48/619519648.db2.gz PTCSTXVGTKGPNV-UHFFFAOYSA-N 0 1 311.809 3.447 20 30 DGEDMN C#CCCOc1ccc(C[N@H+](CCC(=O)[O-])CC(C)C)cc1 ZINC000901592179 619520012 /nfs/dbraw/zinc/52/00/12/619520012.db2.gz AWNNCQJSLPPUHY-UHFFFAOYSA-N 0 1 303.402 3.021 20 30 DGEDMN O=C(/C=C\c1cn(C2CCC2)nn1)c1ccc(O)cc1Cl ZINC000901578353 619521359 /nfs/dbraw/zinc/52/13/59/619521359.db2.gz LPJPCRXOVULXQX-DAXSKMNVSA-N 0 1 303.749 3.258 20 30 DGEDMN C=CCOC[C@@H]([NH2+]Cc1ccc(-c2ccc(C)cc2)o1)C(=O)[O-] ZINC000901810101 619548712 /nfs/dbraw/zinc/54/87/12/619548712.db2.gz WMMIAULGSOCKFP-MRXNPFEDSA-N 0 1 315.369 3.000 20 30 DGEDMN C#CCCOc1ccc(C[N@H+](C)[C@@H](CC(C)C)C(=O)[O-])cc1 ZINC000902018408 619578084 /nfs/dbraw/zinc/57/80/84/619578084.db2.gz HQLUHQKPPTZAKI-KRWDZBQOSA-N 0 1 303.402 3.020 20 30 DGEDMN N#Cc1ccc(C2CCN(CN3C(=O)CC34CCCC4)CC2)cc1 ZINC000902593883 619655151 /nfs/dbraw/zinc/65/51/51/619655151.db2.gz NOJBYXLCTFTHHN-UHFFFAOYSA-N 0 1 323.440 3.240 20 30 DGEDMN N#CC1(CCCCSc2nc3n[nH]cc3c(=O)[nH]2)CCC1 ZINC000902696099 619662699 /nfs/dbraw/zinc/66/26/99/619662699.db2.gz XOEQIXNOMYVGKB-UHFFFAOYSA-N 0 1 303.391 3.015 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCCCCC2(C#N)CCC2)cc1 ZINC000902699840 619663535 /nfs/dbraw/zinc/66/35/35/619663535.db2.gz TWCPOLJWFIGPPZ-UHFFFAOYSA-N 0 1 314.433 3.254 20 30 DGEDMN C=CCC[C@@H](NCc1ncnn1C(C)(C)C)[C@]1(C)CCCCO1 ZINC000902982127 619699608 /nfs/dbraw/zinc/69/96/08/619699608.db2.gz VQBXZDQIAYMLRM-QAPCUYQASA-N 0 1 320.481 3.417 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C(C)C)[nH]1)[C@@]1(C)CCCCO1 ZINC000903005702 619703663 /nfs/dbraw/zinc/70/36/63/619703663.db2.gz YERPEMLNFJRBSL-WMLDXEAASA-N 0 1 306.454 3.312 20 30 DGEDMN C=CCC[C@H](NCc1n[nH]c(COC)n1)c1cccc(Cl)c1 ZINC000903199327 619735146 /nfs/dbraw/zinc/73/51/46/619735146.db2.gz JZIIOLHVMSYAEH-AWEZNQCLSA-N 0 1 320.824 3.402 20 30 DGEDMN C=CCC[C@@H](N[C@@H](CO)c1ccnn1C)c1cccc(Cl)c1 ZINC000903207394 619738596 /nfs/dbraw/zinc/73/85/96/619738596.db2.gz XTOJYFAJMJRQBW-CVEARBPZSA-N 0 1 319.836 3.404 20 30 DGEDMN C=CCC[C@H](N[C@H](CO)c1ccnn1C)c1cccc(Cl)c1 ZINC000903207397 619738644 /nfs/dbraw/zinc/73/86/44/619738644.db2.gz XTOJYFAJMJRQBW-JKSUJKDBSA-N 0 1 319.836 3.404 20 30 DGEDMN CC(C)c1ccc(NS(=O)(=O)c2cccc(CC#N)c2)cn1 ZINC000903248061 619748180 /nfs/dbraw/zinc/74/81/80/619748180.db2.gz DNLQOMJPYAWVBT-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)OCc1ccc(C2(C#N)CC2)cc1 ZINC000904189403 619894661 /nfs/dbraw/zinc/89/46/61/619894661.db2.gz LOSPLGBORLGRBB-KRWDZBQOSA-N 0 1 312.413 3.158 20 30 DGEDMN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N(O)Cc2ccccc2Cl)C1 ZINC000904193937 619895506 /nfs/dbraw/zinc/89/55/06/619895506.db2.gz ZCBSITQXXPXYKM-GWCFXTLKSA-N 0 1 319.792 3.147 20 30 DGEDMN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N(O)Cc2ccccc2Cl)C1 ZINC000904193939 619895570 /nfs/dbraw/zinc/89/55/70/619895570.db2.gz ZCBSITQXXPXYKM-GXFFZTMASA-N 0 1 319.792 3.147 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2c(Cl)cnn2C)c1 ZINC000905078813 619968036 /nfs/dbraw/zinc/96/80/36/619968036.db2.gz WHLBXNQMTGXPMR-FPLPWBNLSA-N 0 1 303.793 3.031 20 30 DGEDMN N#Cc1ccc2nc(NCc3nccc4c3CCCC4)[nH]c2c1 ZINC000905419219 619996227 /nfs/dbraw/zinc/99/62/27/619996227.db2.gz PDFYCGHPVYPSPS-UHFFFAOYSA-N 0 1 303.369 3.320 20 30 DGEDMN Clc1cc(N=NC2C[C@H]3CCC[N@H+](C2)C3)c2cccnc2n1 ZINC000905497956 620004711 /nfs/dbraw/zinc/00/47/11/620004711.db2.gz RETWOZPNCPBOIE-LLVKDONJSA-N 0 1 315.808 3.167 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@@H](c2[nH]ncc2N)C1)c1ccccc1 ZINC000907420454 620156508 /nfs/dbraw/zinc/15/65/08/620156508.db2.gz UMRXWAMGNVIDJK-CVEARBPZSA-N 0 1 324.428 3.058 20 30 DGEDMN N#C[C@]1(C(=O)Nc2nc3ccc(F)cc3[nH]2)CC2CCC1CC2 ZINC000907806735 620173626 /nfs/dbraw/zinc/17/36/26/620173626.db2.gz CYBGVZFTIBYLLP-NQMJSUOBSA-N 0 1 312.348 3.361 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2cc(C(F)F)n[nH]2)c1 ZINC000907935774 620180612 /nfs/dbraw/zinc/18/06/12/620180612.db2.gz VSDPRJNXRVKSJT-UHFFFAOYSA-N 0 1 317.299 3.046 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000908638590 620214053 /nfs/dbraw/zinc/21/40/53/620214053.db2.gz PGZRTLITSXGFJZ-QGZVFWFLSA-N 0 1 323.396 3.217 20 30 DGEDMN C=CCC1(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CCCCC1 ZINC000909221939 620246398 /nfs/dbraw/zinc/24/63/98/620246398.db2.gz BGMFLDMLPCIMBW-XJKSGUPXSA-N 0 1 301.434 3.471 20 30 DGEDMN N#CC1(C(=O)Nc2ccc(Nc3ccncc3)cc2)CCCC1 ZINC000911926515 620352881 /nfs/dbraw/zinc/35/28/81/620352881.db2.gz TXJOSHIQLRFYLW-UHFFFAOYSA-N 0 1 306.369 3.270 20 30 DGEDMN CNC(=O)c1ccc(C=NNc2nc3ccccc3s2)cc1 ZINC000912773690 620405493 /nfs/dbraw/zinc/40/54/93/620405493.db2.gz MNAZRUQBZQUZIQ-UHFFFAOYSA-N 0 1 310.382 3.102 20 30 DGEDMN CNC(=O)c1ccc(C=[NH+][N-]c2nc3ccccc3s2)cc1 ZINC000912773690 620405494 /nfs/dbraw/zinc/40/54/94/620405494.db2.gz MNAZRUQBZQUZIQ-UHFFFAOYSA-N 0 1 310.382 3.102 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cnc3ccc(C)cn23)c(O)c1 ZINC000151445081 620446687 /nfs/dbraw/zinc/44/66/87/620446687.db2.gz VJIFTCBDGYBGSX-QPJJXVBHSA-N 0 1 308.337 3.253 20 30 DGEDMN CC(C)(C)[C@H](C#N)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000153049118 620531902 /nfs/dbraw/zinc/53/19/02/620531902.db2.gz FHZXTOQEJPSPMQ-AWEZNQCLSA-N 0 1 324.384 3.444 20 30 DGEDMN CCCOc1c(Cl)cc(C=NNc2ccncn2)cc1OC ZINC000835000900 620549506 /nfs/dbraw/zinc/54/95/06/620549506.db2.gz BOXOZVCIWAOJBT-UHFFFAOYSA-N 0 1 320.780 3.373 20 30 DGEDMN C#Cc1cccc(NC(=O)c2cc(-c3ccc(F)cc3)n[nH]2)c1 ZINC000914781194 620554123 /nfs/dbraw/zinc/55/41/23/620554123.db2.gz LOSOBRVOYKIABE-UHFFFAOYSA-N 0 1 305.312 3.449 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1cnn(C2CCC2)c1 ZINC000925421520 620565979 /nfs/dbraw/zinc/56/59/79/620565979.db2.gz PIHSUPOOVFUPPZ-OAHLLOKOSA-N 0 1 309.413 3.471 20 30 DGEDMN S=c1[nH]nc(SCc2ccccc2)n1N=Cc1ccc[nH]1 ZINC000916368447 620627130 /nfs/dbraw/zinc/62/71/30/620627130.db2.gz FZILWOLRDGUVBD-UHFFFAOYSA-N 0 1 315.427 3.069 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)NCc1ccc2nc[nH]c2c1 ZINC000854809644 620841352 /nfs/dbraw/zinc/84/13/52/620841352.db2.gz DYYJZJSLNZNGNM-MRXNPFEDSA-N 0 1 316.405 3.150 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)C#CC1CC1 ZINC000854816771 620842851 /nfs/dbraw/zinc/84/28/51/620842851.db2.gz OBAVKFWYRKTYRN-SNVBAGLBSA-N 0 1 301.777 3.149 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(C(F)(F)F)cc1)c1ccnnc1 ZINC000925488849 620890237 /nfs/dbraw/zinc/89/02/37/620890237.db2.gz MOMCRBYUPMNYOM-GFCCVEGCSA-N 0 1 305.303 3.198 20 30 DGEDMN N#Cc1cccc(C2(NCc3cnn(CC4CCC4)c3)CC2)c1 ZINC000921926212 620968950 /nfs/dbraw/zinc/96/89/50/620968950.db2.gz SWCJBHRJVKKZFZ-UHFFFAOYSA-N 0 1 306.413 3.334 20 30 DGEDMN C[C@H]1CCC[C@@H](CNCc2cc(C#N)ccc2Br)O1 ZINC000922249730 621013073 /nfs/dbraw/zinc/01/30/73/621013073.db2.gz KKQDZRYPMJXZHI-FZMZJTMJSA-N 0 1 323.234 3.368 20 30 DGEDMN CSc1ccc(CNCc2cnn(C3CCC3)c2)cc1C#N ZINC000922339075 621026793 /nfs/dbraw/zinc/02/67/93/621026793.db2.gz UOMSHQURHJOXKY-UHFFFAOYSA-N 0 1 312.442 3.491 20 30 DGEDMN Cc1c(Cl)c(CNC(C)(C)Cc2ccc(C#N)cc2)nn1C ZINC000922604767 621066272 /nfs/dbraw/zinc/06/62/72/621066272.db2.gz YJCMALFGMXXHME-UHFFFAOYSA-N 0 1 316.836 3.365 20 30 DGEDMN Cc1nn(C(C)C)cc1C=[NH+][N-]c1nc2cccnc2s1 ZINC000857218280 621068969 /nfs/dbraw/zinc/06/89/69/621068969.db2.gz INVQHPHTEUETIR-UHFFFAOYSA-N 0 1 300.391 3.223 20 30 DGEDMN N#CC1(c2ccc(CNCc3cccc4c3OCC4)cc2)CC1 ZINC000922940873 621134071 /nfs/dbraw/zinc/13/40/71/621134071.db2.gz GOTKBCRNLPZGAU-UHFFFAOYSA-N 0 1 304.393 3.466 20 30 DGEDMN C=CCOc1ccc(CNCc2c(C)noc2CC)cc1OC ZINC000922988281 621144691 /nfs/dbraw/zinc/14/46/91/621144691.db2.gz QVAJLZJJCKBKEI-UHFFFAOYSA-N 0 1 316.401 3.409 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000858299353 621172741 /nfs/dbraw/zinc/17/27/41/621172741.db2.gz UPHVCMSZMHVXKC-CXAGYDPISA-N 0 1 305.805 3.009 20 30 DGEDMN C#CC[C@H](CCOC)NCc1ccsc1Br ZINC000858334295 621176958 /nfs/dbraw/zinc/17/69/58/621176958.db2.gz LKLYUSAZXZQXPJ-LLVKDONJSA-N 0 1 302.237 3.029 20 30 DGEDMN C#CC[C@H](CCOC)NCc1csc(-c2ccc(F)cc2)n1 ZINC000858333608 621177081 /nfs/dbraw/zinc/17/70/81/621177081.db2.gz KZBZVSFSSSHVFV-OAHLLOKOSA-N 0 1 318.417 3.467 20 30 DGEDMN C#CC[C@H](COC)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000858335229 621177517 /nfs/dbraw/zinc/17/75/17/621177517.db2.gz PTIFLJILKOXYMB-GFCCVEGCSA-N 0 1 305.324 3.353 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@](O)(c3ccccc3)C2)c(F)c1 ZINC000859581806 621294797 /nfs/dbraw/zinc/29/47/97/621294797.db2.gz CDZBEGWPRJZHLX-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnc(C3CC3)nc2)c1 ZINC000838958786 621303505 /nfs/dbraw/zinc/30/35/05/621303505.db2.gz SPGMCGYZULJORJ-QGZVFWFLSA-N 0 1 322.412 3.325 20 30 DGEDMN Cc1ccc(COC(=O)[C@H]2CCCN2C2CCCC2)cc1C#N ZINC000859670769 621306867 /nfs/dbraw/zinc/30/68/67/621306867.db2.gz ZAYVITWBXZWFMG-GOSISDBHSA-N 0 1 312.413 3.317 20 30 DGEDMN CCON(Cc1ccccc1)C(=O)N=c1s[nH]c(C)c1C#N ZINC000839108873 621330386 /nfs/dbraw/zinc/33/03/86/621330386.db2.gz FQKCQLHLAWZSJU-UHFFFAOYSA-N 0 1 316.386 3.309 20 30 DGEDMN c1cc2c(ncnc2N=NC2CCN(Cc3ccccc3)C2)s1 ZINC000839402389 621368547 /nfs/dbraw/zinc/36/85/47/621368547.db2.gz NUGHRWDECKWNPN-UHFFFAOYSA-N 0 1 323.425 3.365 20 30 DGEDMN Cc1cc(C)n(-c2nncn2N=C[C@H]2CCCC(C)(C)C2)n1 ZINC000752721807 621406035 /nfs/dbraw/zinc/40/60/35/621406035.db2.gz YWNROMGMGXZOQE-AWEZNQCLSA-N 0 1 300.410 3.131 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000860478326 621409324 /nfs/dbraw/zinc/40/93/24/621409324.db2.gz VQDIILQZJQYOET-NUJGCVRESA-N 0 1 324.424 3.338 20 30 DGEDMN CSCCCCCCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000860478413 621409356 /nfs/dbraw/zinc/40/93/56/621409356.db2.gz XWKOWDZSTUHYJS-AWEZNQCLSA-N 0 1 310.463 3.068 20 30 DGEDMN Cc1ccc(C=NNCCN2CCCCC2)c(Br)c1 ZINC000753698578 621460611 /nfs/dbraw/zinc/46/06/11/621460611.db2.gz YBNDIBJGHFAWTD-UHFFFAOYSA-N 0 1 324.266 3.167 20 30 DGEDMN CCCCOc1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cc1 ZINC000753928701 621472086 /nfs/dbraw/zinc/47/20/86/621472086.db2.gz MPFUHDFQFJWYKZ-IRXDYDNUSA-N 0 1 314.433 3.059 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cc(C)c(F)c(C)c1 ZINC000753933995 621472163 /nfs/dbraw/zinc/47/21/63/621472163.db2.gz GGQILVGIOTXBEX-HUUCEWRRSA-N 0 1 302.397 3.027 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc2ccccc2c1 ZINC000753938937 621472577 /nfs/dbraw/zinc/47/25/77/621472577.db2.gz NAUTYIXTQMEBTR-ROUUACIJSA-N 0 1 306.413 3.424 20 30 DGEDMN CC(=NNc1cc(C(F)(F)F)ccc1F)c1cnn(C)c1 ZINC000753946683 621473312 /nfs/dbraw/zinc/47/33/12/621473312.db2.gz JMRSEYBGBUDTLM-UHFFFAOYSA-N 0 1 300.259 3.414 20 30 DGEDMN C#C[C@@H](CC)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000754357366 621505029 /nfs/dbraw/zinc/50/50/29/621505029.db2.gz KEJXHZRAVBWLKS-FQEVSTJZSA-N 0 1 320.436 3.460 20 30 DGEDMN C=C1CCN(C[C@H]2CC(F)(F)CN2C(=O)OC(C)(C)C)CC1 ZINC000862240881 621565902 /nfs/dbraw/zinc/56/59/02/621565902.db2.gz PULCPAIHDUORGW-CYBMUJFWSA-N 0 1 316.392 3.283 20 30 DGEDMN CS(=O)(=O)c1ccccc1N=NCc1cccc2[nH]ccc21 ZINC000755727813 621588535 /nfs/dbraw/zinc/58/85/35/621588535.db2.gz UXQPUCQCLRULCF-UHFFFAOYSA-N 0 1 313.382 3.017 20 30 DGEDMN CCc1nn(C)c(CC)c1CN=Nc1cc(F)c(F)cc1F ZINC000755730292 621589213 /nfs/dbraw/zinc/58/92/13/621589213.db2.gz HVCXUJOXXKVGGV-UHFFFAOYSA-N 0 1 310.323 3.408 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2ccc(Br)cc2OC)C1 ZINC000862445094 621590837 /nfs/dbraw/zinc/59/08/37/621590837.db2.gz LRTUQVJWBZBJMI-GFCCVEGCSA-N 0 1 308.219 3.303 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2c(Br)cccc2[N+](=O)[O-])C1 ZINC000862445334 621590868 /nfs/dbraw/zinc/59/08/68/621590868.db2.gz QQLCZJKFQASICA-LLVKDONJSA-N 0 1 323.190 3.203 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc(O)c(OC)cc2Br)C1 ZINC000862445164 621591251 /nfs/dbraw/zinc/59/12/51/621591251.db2.gz NIHZPWDQWFJQEA-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cnn(Cc3ccccc3)c2Cl)C1 ZINC000862447578 621591560 /nfs/dbraw/zinc/59/15/60/621591560.db2.gz OJJHBOUUQIEJTC-OAHLLOKOSA-N 0 1 313.832 3.430 20 30 DGEDMN C#CC[C@H]1CCN(Cc2c(C)nn(-c3ccccn3)c2Cl)C1 ZINC000862446747 621591595 /nfs/dbraw/zinc/59/15/95/621591595.db2.gz CVUXNWGHYAFIPA-AWEZNQCLSA-N 0 1 314.820 3.074 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cc(Cl)cc(Cl)c1 ZINC000755891094 621600375 /nfs/dbraw/zinc/60/03/75/621600375.db2.gz VHPJBNPKSLNFHD-UHFFFAOYSA-N 0 1 321.171 3.496 20 30 DGEDMN Cc1nn(C)c(C)c1C(=NNc1cc(C)ccn1)C(F)(F)F ZINC000755906401 621601941 /nfs/dbraw/zinc/60/19/41/621601941.db2.gz MKBRVUWCPAANME-UHFFFAOYSA-N 0 1 311.311 3.119 20 30 DGEDMN CC(C)[C@@H](C(=O)OCC1CCC(C#N)CC1)N1CCCCC1 ZINC000755965075 621606670 /nfs/dbraw/zinc/60/66/70/621606670.db2.gz WGMWIHGMYPSJRM-JCYILVPMSA-N 0 1 306.450 3.370 20 30 DGEDMN COc1ccc(C=NNc2ccc(F)cn2)cc1OC1CC1 ZINC000863091359 621638394 /nfs/dbraw/zinc/63/83/94/621638394.db2.gz GXEBWHJASLTAAJ-UHFFFAOYSA-N 0 1 301.321 3.217 20 30 DGEDMN CCOc1cccc(C=NNc2ccncc2C)c1OC(F)F ZINC000863157092 621643378 /nfs/dbraw/zinc/64/33/78/621643378.db2.gz HSLVVMLJYCLKJP-UHFFFAOYSA-N 0 1 321.327 3.258 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@H](C)c1ccc(F)cc1F ZINC000756541524 621646601 /nfs/dbraw/zinc/64/66/01/621646601.db2.gz CIIKXIBMNLTHHE-DZGCQCFKSA-N 0 1 321.367 3.099 20 30 DGEDMN CC(N=Nc1ccncc1Cl)c1ccc2c(c1)OCCCO2 ZINC000756847455 621667982 /nfs/dbraw/zinc/66/79/82/621667982.db2.gz AQHLLXPVFZFOLY-UHFFFAOYSA-N 0 1 317.776 3.154 20 30 DGEDMN COC(=O)c1ccc(NN=C2CCc3ccccc32)c(OC)c1 ZINC000756897495 621671041 /nfs/dbraw/zinc/67/10/41/621671041.db2.gz ATDDSNPSZWWSHW-UHFFFAOYSA-N 0 1 310.353 3.244 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](CCN2CCC[C@@](C)(C#N)C2)C1 ZINC000839551439 621691486 /nfs/dbraw/zinc/69/14/86/621691486.db2.gz SSBBIQSLJFLMLT-YJBOKZPZSA-N 0 1 321.465 3.259 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000839692903 621708591 /nfs/dbraw/zinc/70/85/91/621708591.db2.gz WCFWMIQFMLVZMP-HZSPNIEDSA-N 0 1 318.392 3.038 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cccc(O)c2OC)c(O)c1 ZINC000757471528 621714825 /nfs/dbraw/zinc/71/48/25/621714825.db2.gz FSCOIWOHKYMHLT-TWGQIWQCSA-N 0 1 300.310 3.011 20 30 DGEDMN C[C@H]1CC(N=Nc2cncc(Cl)n2)CN1Cc1ccccc1 ZINC000757587238 621726392 /nfs/dbraw/zinc/72/63/92/621726392.db2.gz RNJNLQAKQMMGTL-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NNC1CCOCC1 ZINC000757586349 621726736 /nfs/dbraw/zinc/72/67/36/621726736.db2.gz VMUDDBLRWRBZLV-CQSZACIVSA-N 0 1 321.440 3.411 20 30 DGEDMN C#CCOCCC(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC000757644607 621730751 /nfs/dbraw/zinc/73/07/51/621730751.db2.gz UJDXJEIBPJGXDA-UHFFFAOYSA-N 0 1 319.364 3.208 20 30 DGEDMN CN1CCN(c2ccc(CNc3cc(F)cc(C#N)c3)cc2)CC1 ZINC000864044335 621731000 /nfs/dbraw/zinc/73/10/00/621731000.db2.gz XMRCKEYVXQUXMU-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN CCCCC[C@@H](C)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000757867562 621744354 /nfs/dbraw/zinc/74/43/54/621744354.db2.gz HOSXVPDFEQGSHU-SNVBAGLBSA-N 0 1 316.373 3.084 20 30 DGEDMN CC(N=Nc1cncc(Br)c1)c1cc(O)cc(O)c1 ZINC000758129494 621760049 /nfs/dbraw/zinc/76/00/49/621760049.db2.gz IECBXFMELTWDLF-UHFFFAOYSA-N 0 1 322.162 3.091 20 30 DGEDMN Cc1ccn(CN2CCC[C@@H]2Cc2ccccc2C)c(=O)c1C#N ZINC000758224397 621768009 /nfs/dbraw/zinc/76/80/09/621768009.db2.gz UPEGJJQECSKCPT-GOSISDBHSA-N 0 1 321.424 3.001 20 30 DGEDMN CCO[C@@H]1COCC[C@@H]1/N=C/c1cc(Cl)cc(Cl)c1O ZINC000758815449 621802996 /nfs/dbraw/zinc/80/29/96/621802996.db2.gz MUEHHQZEPQRMNS-KVMXGYPPSA-N 0 1 318.200 3.312 20 30 DGEDMN N#Cc1cc(COC(=O)c2c(F)cc(O)cc2F)ccc1F ZINC000758953751 621813780 /nfs/dbraw/zinc/81/37/80/621813780.db2.gz OUPVAOYVONWPGV-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCc3ccccn3)[nH]c21 ZINC000759366807 621850044 /nfs/dbraw/zinc/85/00/44/621850044.db2.gz OWBOARFYVUTPFW-CQSZACIVSA-N 0 1 304.353 3.075 20 30 DGEDMN Cc1ccc(F)c(N=NC(C)c2ccc3c(c2)NC(=O)CO3)c1 ZINC000759666565 621880293 /nfs/dbraw/zinc/88/02/93/621880293.db2.gz UFCQKAXCFKTFRT-UHFFFAOYSA-N 0 1 313.332 3.301 20 30 DGEDMN CN(C)[C@H](CNc1cc(C(F)(F)F)cc(C#N)n1)c1ccco1 ZINC000865405966 621911642 /nfs/dbraw/zinc/91/16/42/621911642.db2.gz CJPFNBQMTNPDSB-GFCCVEGCSA-N 0 1 324.306 3.280 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1cnn(Cc2ccccc2)c1 ZINC000760248489 621924543 /nfs/dbraw/zinc/92/45/43/621924543.db2.gz LPAHMMZRBIAWQI-UHFFFAOYSA-N 0 1 305.385 3.471 20 30 DGEDMN N#C[C@H](C(=O)C[C@H](c1ccccc1)C(F)(F)F)c1ccncn1 ZINC000760346141 621933985 /nfs/dbraw/zinc/93/39/85/621933985.db2.gz OQNNZPGYDTXZGU-QWHCGFSZSA-N 0 1 319.286 3.389 20 30 DGEDMN CC(C)CC1CCN(CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000760925353 621974293 /nfs/dbraw/zinc/97/42/93/621974293.db2.gz KRNIDDGFSGXYIY-UHFFFAOYSA-N 0 1 305.466 3.087 20 30 DGEDMN COc1cc(F)ccc1NN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000761884157 622036739 /nfs/dbraw/zinc/03/67/39/622036739.db2.gz ANSNFLOJESAPGJ-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCc1nc(C(C)(C)C)cs1 ZINC000120950842 622060616 /nfs/dbraw/zinc/06/06/16/622060616.db2.gz GGJHTYRCRAHVMO-GFCCVEGCSA-N 0 1 324.494 3.104 20 30 DGEDMN N#CCOc1ccccc1C(=O)Nc1cc(Cl)c(O)cc1F ZINC000805259544 622109444 /nfs/dbraw/zinc/10/94/44/622109444.db2.gz IXJXYZLRMAUHNW-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN N#CCc1ccccc1CC(=O)Nc1cc(Cl)c(O)cc1F ZINC000805261384 622114964 /nfs/dbraw/zinc/11/49/64/622114964.db2.gz YPETWEXMWNPPEE-UHFFFAOYSA-N 0 1 318.735 3.432 20 30 DGEDMN Cc1cc(NN=Cc2ccc(Br)c(F)c2)ncn1 ZINC000763175247 622128072 /nfs/dbraw/zinc/12/80/72/622128072.db2.gz GWMIURMJTDWYSK-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN c1cc2c3c(c1)CCC[C@H]3CC2=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000763369299 622141582 /nfs/dbraw/zinc/14/15/82/622141582.db2.gz DWGJGVZUAFQVIP-CWRNSKLLSA-N 0 1 308.429 3.074 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000866586930 622142717 /nfs/dbraw/zinc/14/27/17/622142717.db2.gz FNDQBFXGBGSCMM-INIZCTEOSA-N 0 1 316.408 3.313 20 30 DGEDMN C/C=C(/CC)C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000789662448 625545500 /nfs/dbraw/zinc/54/55/00/625545500.db2.gz JAZPKPOIZOCALC-YPEHOIGNSA-N 0 1 311.429 3.017 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CCC(C)(C)C ZINC000121743965 622177055 /nfs/dbraw/zinc/17/70/55/622177055.db2.gz VHCWWOWBIWTDNO-GFCCVEGCSA-N 0 1 302.374 3.169 20 30 DGEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N(C1CC1)C1CCCCC1 ZINC000867927836 622194029 /nfs/dbraw/zinc/19/40/29/622194029.db2.gz POJKUFCTMUMLDF-IBGZPJMESA-N 0 1 323.440 3.069 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H](C)c2c(F)cccc2F)nc(C)n1 ZINC000764561394 622203020 /nfs/dbraw/zinc/20/30/20/622203020.db2.gz OCJQXRHFDYBNAK-JQWIXIFHSA-N 0 1 315.323 3.352 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(C)o2)cc([N+](=O)[O-])c1O ZINC000016975981 622225821 /nfs/dbraw/zinc/22/58/21/622225821.db2.gz QZKXSPQYTBPRFS-SNAWJCMRSA-N 0 1 303.270 3.107 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(C#N)cc2)cc1NC(C)=O ZINC000765016562 622240167 /nfs/dbraw/zinc/24/01/67/622240167.db2.gz WJHYAZCPNLYMFE-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN CC(C)c1ccccc1NC(=S)NN=C1CCN2CCC[C@@H]12 ZINC000765572805 622274634 /nfs/dbraw/zinc/27/46/34/622274634.db2.gz VQFIGSYRXHAZJO-INIZCTEOSA-N 0 1 316.474 3.321 20 30 DGEDMN N#CCCCCCC(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000805903130 625561306 /nfs/dbraw/zinc/56/13/06/625561306.db2.gz PVQARISEYVOXJX-HNNXBMFYSA-N 0 1 304.390 3.261 20 30 DGEDMN C#CCC(C)(C)C(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000767113630 622375795 /nfs/dbraw/zinc/37/57/95/622375795.db2.gz ATYQERFPTAZSGU-DLBZAZTESA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCn1ccc(CN(CC)Cc2cc(Cl)cc(F)c2O)n1 ZINC000789759559 625562331 /nfs/dbraw/zinc/56/23/31/625562331.db2.gz VPSWVVBKGCJBIY-UHFFFAOYSA-N 0 1 321.783 3.037 20 30 DGEDMN C#CCn1ccc(C[N@@H+](CC)Cc2cc(Cl)cc(F)c2[O-])n1 ZINC000789759559 625562337 /nfs/dbraw/zinc/56/23/37/625562337.db2.gz VPSWVVBKGCJBIY-UHFFFAOYSA-N 0 1 321.783 3.037 20 30 DGEDMN C#CCn1ccc(C[N@H+](CC)Cc2cc(Cl)cc(F)c2[O-])n1 ZINC000789759559 625562342 /nfs/dbraw/zinc/56/23/42/625562342.db2.gz VPSWVVBKGCJBIY-UHFFFAOYSA-N 0 1 321.783 3.037 20 30 DGEDMN Cc1cc(Cl)ccc1C=NNc1ccccc1S(C)(=O)=O ZINC000767376630 622394714 /nfs/dbraw/zinc/39/47/14/622394714.db2.gz BMKRNZFBMLFXOT-UHFFFAOYSA-N 0 1 322.817 3.498 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H]2CCC[C@H](CC)C2)n1 ZINC000789761752 625563693 /nfs/dbraw/zinc/56/36/93/625563693.db2.gz GTKVXTHJWRHDER-ZWKOTPCHSA-N 0 1 317.477 3.181 20 30 DGEDMN Fc1ccc(CNN=Cc2cc(-c3ccccc3)[nH]n2)cc1F ZINC000767777024 622421607 /nfs/dbraw/zinc/42/16/07/622421607.db2.gz QLUXPYQXDNAHFK-UHFFFAOYSA-N 0 1 312.323 3.479 20 30 DGEDMN COc1ccc(CN=Nc2ccc(OC)cc2[N+](=O)[O-])s1 ZINC000767801784 622422407 /nfs/dbraw/zinc/42/24/07/622422407.db2.gz VHQOKUUYRNOVPE-UHFFFAOYSA-N 0 1 307.331 3.120 20 30 DGEDMN N#C[C@H](C(=O)[C@H](Cc1cccnc1)C1CCCC1)c1ccncn1 ZINC000870288765 622452324 /nfs/dbraw/zinc/45/23/24/622452324.db2.gz XNXCXVAIXOQFCB-SJORKVTESA-N 0 1 320.396 3.097 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C)c1ccccc1 ZINC000870310470 622455107 /nfs/dbraw/zinc/45/51/07/622455107.db2.gz YNTKIMRZXVFWLL-OMLOWWJASA-N 0 1 324.424 3.255 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000870454996 622469771 /nfs/dbraw/zinc/46/97/71/622469771.db2.gz SERNAMGRWHCOPK-UVLCOCDESA-N 0 1 307.393 3.288 20 30 DGEDMN CC(C)(C#N)CCCCOC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000768826732 622479482 /nfs/dbraw/zinc/47/94/82/622479482.db2.gz ZCSKXHXUCBCVOE-UHFFFAOYSA-N 0 1 312.373 3.349 20 30 DGEDMN CC(C)(C#N)CCCCOC(=O)Cc1n[nH]c2c1CCCC2 ZINC000768878093 622482846 /nfs/dbraw/zinc/48/28/46/622482846.db2.gz PRZJQLPGELGSCU-UHFFFAOYSA-N 0 1 303.406 3.094 20 30 DGEDMN C[C@@]1(C(=O)NOc2cccc(C#N)c2)CCc2ccccc2C1 ZINC000870692392 622497229 /nfs/dbraw/zinc/49/72/29/622497229.db2.gz CIIVMQZAOYVKKX-LJQANCHMSA-N 0 1 306.365 3.163 20 30 DGEDMN C[C@@H]1[N@@H+](Cc2ccc(N(C)CCC#N)cc2)CCOC1(C)C ZINC000769450881 622528859 /nfs/dbraw/zinc/52/88/59/622528859.db2.gz CEMKRFSMSHQLNL-HNNXBMFYSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@@H]1N(Cc2ccc(N(C)CCC#N)cc2)CCOC1(C)C ZINC000769450881 622528861 /nfs/dbraw/zinc/52/88/61/622528861.db2.gz CEMKRFSMSHQLNL-HNNXBMFYSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](Cc1cccc(Br)c1[O-])C1CC1 ZINC000769545276 622539766 /nfs/dbraw/zinc/53/97/66/622539766.db2.gz NUCOWRWMVZMEFU-JTQLQIEISA-N 0 1 309.207 3.279 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2c(O)cccc2Cl)c1 ZINC000769710033 622552856 /nfs/dbraw/zinc/55/28/56/622552856.db2.gz MDOYWSURUVDLSF-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN CC(C)(C(=O)NOCCCC(F)(F)F)c1ccc(C#N)cc1 ZINC000871218056 622573837 /nfs/dbraw/zinc/57/38/37/622573837.db2.gz ZUIXPUPCFHMYOO-UHFFFAOYSA-N 0 1 314.307 3.226 20 30 DGEDMN CCCCCCCOc1ccc(CN(C)[C@@H]2CCNC2=O)cc1 ZINC000770381813 622627707 /nfs/dbraw/zinc/62/77/07/622627707.db2.gz PSXMNONJZJIHCP-GOSISDBHSA-N 0 1 318.461 3.356 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OCC#Cc3ccc(F)cc3)[nH]n2)o1 ZINC000771331862 622743686 /nfs/dbraw/zinc/74/36/86/622743686.db2.gz HIIMGPABVVNBBH-UHFFFAOYSA-N 0 1 324.311 3.326 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccc(N3CCN(C)CC3)cc2)o1 ZINC000771517811 622770686 /nfs/dbraw/zinc/77/06/86/622770686.db2.gz KPBKAYAVFRHMRE-RMKNXTFCSA-N 0 1 310.397 3.236 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2cnccc2C)c(OC)c1 ZINC000771557660 622775282 /nfs/dbraw/zinc/77/52/82/622775282.db2.gz JNHQSXNBSXSZTM-UHFFFAOYSA-N 0 1 313.357 3.021 20 30 DGEDMN C(=NN=c1[nH]c2ccncc2s1)c1ccnn1CC1CCC1 ZINC000872411415 622907957 /nfs/dbraw/zinc/90/79/57/622907957.db2.gz GRXLQBQBLOPNBW-UHFFFAOYSA-N 0 1 312.402 3.134 20 30 DGEDMN C(=[NH+][N-]c1nc2ccncc2s1)c1ccnn1CC1CCC1 ZINC000872411415 622907958 /nfs/dbraw/zinc/90/79/58/622907958.db2.gz GRXLQBQBLOPNBW-UHFFFAOYSA-N 0 1 312.402 3.134 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C=Cc1ccccc1C#N ZINC000772670228 622926036 /nfs/dbraw/zinc/92/60/36/622926036.db2.gz XAESXVIVMYUZHR-KTKRTIGZSA-N 0 1 322.412 3.251 20 30 DGEDMN Cc1cccc2c1OC/C(=C/c1cc(O)ccc1[N+](=O)[O-])C2=O ZINC000772805512 622943205 /nfs/dbraw/zinc/94/32/05/622943205.db2.gz IJGFMYNRLDHLBY-GHXNOFRVSA-N 0 1 311.293 3.268 20 30 DGEDMN COc1ccc2c(c1)C(=O)/C(=C/c1cc(O)ccc1[N+](=O)[O-])C2 ZINC000772807010 622943367 /nfs/dbraw/zinc/94/33/67/622943367.db2.gz OPHBSDBFFQUOPA-KPKJPENVSA-N 0 1 311.293 3.131 20 30 DGEDMN Cc1ccc(C#N)nc1N1CCC[C@H](c2cc(C(C)C)n[nH]2)C1 ZINC000872647636 622948147 /nfs/dbraw/zinc/94/81/47/622948147.db2.gz HSERYTILBMOCFB-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN CC[C@@H](C)NN=Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000790182107 625613834 /nfs/dbraw/zinc/61/38/34/625613834.db2.gz ISLITZJCHUMIJN-CYBMUJFWSA-N 0 1 304.394 3.307 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)NCc1ccc2cncn2c1 ZINC000873037987 623022698 /nfs/dbraw/zinc/02/26/98/623022698.db2.gz GZVYQWYUZYHTFN-UHFFFAOYSA-N 0 1 300.406 3.126 20 30 DGEDMN COc1ccc(C(C)=NNc2ncccc2C)cc1NC(C)=O ZINC000790184743 625614530 /nfs/dbraw/zinc/61/45/30/625614530.db2.gz BFZHOHVSYJEENI-UHFFFAOYSA-N 0 1 312.373 3.193 20 30 DGEDMN COc1cc(C(C)=NNc2ncccc2C)cc(OC)c1OC ZINC000790190854 625615097 /nfs/dbraw/zinc/61/50/97/625615097.db2.gz MTZGFIPBNZZCPA-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN CN(C)[C@H]1CCOc2c(NC(=O)C#Cc3ccccc3)cccc21 ZINC000790236760 625620009 /nfs/dbraw/zinc/62/00/09/625620009.db2.gz IPVSNOPCHOAUGZ-SFHVURJKSA-N 0 1 320.392 3.062 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ccc(C(C)=O)o2)cc1 ZINC000774049800 623101964 /nfs/dbraw/zinc/10/19/64/623101964.db2.gz BSRKOMHXCMGMJS-CQSZACIVSA-N 0 1 324.336 3.006 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000790238081 625621324 /nfs/dbraw/zinc/62/13/24/625621324.db2.gz OKUFKLOZISFISV-HNNXBMFYSA-N 0 1 300.402 3.060 20 30 DGEDMN N#Cc1cccc(COC(=O)c2c(F)cc(O)cc2F)c1F ZINC000774962924 623212030 /nfs/dbraw/zinc/21/20/30/623212030.db2.gz VXHNKTWQGVZFNB-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN C=CC[C@H](Sc1nc(C=Cc2ccc(C)o2)n[nH]1)C(=O)OC ZINC000882091121 625631067 /nfs/dbraw/zinc/63/10/67/625631067.db2.gz MDECJEONWGLEGX-LAUAKBEESA-N 0 1 319.386 3.086 20 30 DGEDMN CCCCC[C@@H](C)NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000775335664 623255355 /nfs/dbraw/zinc/25/53/55/623255355.db2.gz OIGXPWQFUNIKKQ-CABCVRRESA-N 0 1 301.434 3.294 20 30 DGEDMN C[C@H]([NH2+]Cc1cc(=O)oc2cc([O-])ccc12)c1cccc(C#N)c1 ZINC000775335513 623255367 /nfs/dbraw/zinc/25/53/67/623255367.db2.gz LIKWJTDUQRYRIB-LBPRGKRZSA-N 0 1 320.348 3.221 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)c(OCC)c(OC)c1)[C@H]1CCCO1 ZINC000775343912 623257469 /nfs/dbraw/zinc/25/74/69/623257469.db2.gz ZVUHPKAPGPWDSY-HUUCEWRRSA-N 0 1 323.820 3.018 20 30 DGEDMN C#C[C@H](NCc1ccc(OCC=C)c(Cl)c1)[C@@H]1CCCO1 ZINC000775352312 623259066 /nfs/dbraw/zinc/25/90/66/623259066.db2.gz WRZFICXJJUEEQA-RDJZCZTQSA-N 0 1 305.805 3.175 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000775398696 623267531 /nfs/dbraw/zinc/26/75/31/623267531.db2.gz AHFPRYMMPMKQQK-MRXNPFEDSA-N 0 1 314.335 3.258 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(F)c(F)c1)c1ccccc1 ZINC000775400906 623268604 /nfs/dbraw/zinc/26/86/04/623268604.db2.gz UAOXBIFRPHLNMQ-KRWDZBQOSA-N 0 1 314.335 3.258 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(Cl)cn1)c1ccccc1 ZINC000775403367 623269565 /nfs/dbraw/zinc/26/95/65/623269565.db2.gz HBBRXDPQIJXALN-HNNXBMFYSA-N 0 1 313.788 3.028 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(C(C)=O)cc1)c1ccccc1 ZINC000775403772 623269690 /nfs/dbraw/zinc/26/96/90/623269690.db2.gz IVULKWSLPRFVAQ-LJQANCHMSA-N 0 1 320.392 3.182 20 30 DGEDMN C#C[C@@H](NCCOc1ccc(Cl)cc1Cl)[C@H]1CCCO1 ZINC000775792243 623333163 /nfs/dbraw/zinc/33/31/63/623333163.db2.gz YXDLTKDWODDRQJ-UKRRQHHQSA-N 0 1 314.212 3.143 20 30 DGEDMN C#CCN(Cc1ccc(-c2ccc(C(=O)OC)cc2)o1)C1CC1 ZINC000775981204 623360126 /nfs/dbraw/zinc/36/01/26/623360126.db2.gz ZERPRQHFMQDQKT-UHFFFAOYSA-N 0 1 309.365 3.331 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000776050022 623370297 /nfs/dbraw/zinc/37/02/97/623370297.db2.gz ZMUSSVVHHLKGCJ-ZDUSSCGKSA-N 0 1 313.405 3.016 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000776050022 623370303 /nfs/dbraw/zinc/37/03/03/623370303.db2.gz ZMUSSVVHHLKGCJ-ZDUSSCGKSA-N 0 1 313.405 3.016 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2ccc(CN(CC)CC)o2)CC1 ZINC000874864754 623379310 /nfs/dbraw/zinc/37/93/10/623379310.db2.gz SDFDQEDMHBVHGC-SHTZXODSSA-N 0 1 302.418 3.043 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1cn[nH]c1C(C)(C)C ZINC000874903531 623388194 /nfs/dbraw/zinc/38/81/94/623388194.db2.gz KUNOLCJFSCZLEU-UHFFFAOYSA-N 0 1 300.337 3.279 20 30 DGEDMN Cc1cc(N=NC2CN(Cc3ccccc3)CC[C@H]2C)ncn1 ZINC000776186845 623392261 /nfs/dbraw/zinc/39/22/61/623392261.db2.gz VOOMLAULARWKAA-CQSZACIVSA-N 0 1 309.417 3.095 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1ccc(O)c(OC)c1 ZINC000776187315 623392428 /nfs/dbraw/zinc/39/24/28/623392428.db2.gz NGRKGTKAIHLRDP-UHFFFAOYSA-N 0 1 314.341 3.024 20 30 DGEDMN Cc1cc(N=NC2CN(Cc3ccccc3)CC[C@@H]2C)ncn1 ZINC000776186844 623392747 /nfs/dbraw/zinc/39/27/47/623392747.db2.gz VOOMLAULARWKAA-AWEZNQCLSA-N 0 1 309.417 3.095 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNc2cnccc2-n2cccn2)cc1 ZINC000776258153 623402638 /nfs/dbraw/zinc/40/26/38/623402638.db2.gz SYPIDQGPRXKZNO-CQSZACIVSA-N 0 1 319.368 3.170 20 30 DGEDMN C#CCCN1CCN(Cc2csc(C3CCCCC3)n2)CC1 ZINC000776594255 623444806 /nfs/dbraw/zinc/44/48/06/623444806.db2.gz ADTLUMWPFGFJHS-UHFFFAOYSA-N 0 1 317.502 3.332 20 30 DGEDMN COc1cc(C)nc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)c1 ZINC000776768258 623461754 /nfs/dbraw/zinc/46/17/54/623461754.db2.gz KVHAJJIYERBSAE-UHFFFAOYSA-N 0 1 320.736 3.406 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2ccc[nH]2)c(Br)c1 ZINC000777452406 623524184 /nfs/dbraw/zinc/52/41/84/623524184.db2.gz VXGILMTWQSCPDF-UHFFFAOYSA-N 0 1 309.123 3.131 20 30 DGEDMN Fc1cc(C=NOC2CCCCC2)ccc1N1CCOCC1 ZINC000777459409 623528540 /nfs/dbraw/zinc/52/85/40/623528540.db2.gz QAWFREKRHGXKIQ-UHFFFAOYSA-N 0 1 306.381 3.346 20 30 DGEDMN COc1ccc(NN=Cc2cc(F)ccc2C)c([N+](=O)[O-])c1 ZINC000777886994 623580630 /nfs/dbraw/zinc/58/06/30/623580630.db2.gz CSAUAARCNLFYHV-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN Cc1ccc(NN=Cc2cccc(C(=O)OC(C)(C)C)c2)nn1 ZINC000777886553 623580702 /nfs/dbraw/zinc/58/07/02/623580702.db2.gz ZOSNICFHEQXRBG-UHFFFAOYSA-N 0 1 312.373 3.186 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@H](C(C)C)C2)c1O ZINC000777968362 623593524 /nfs/dbraw/zinc/59/35/24/623593524.db2.gz JKUUCNZNRHNBIC-SFHVURJKSA-N 0 1 319.445 3.376 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC(C)C)c(Cl)c1)[C@@H]1CCCO1 ZINC000778149332 623616110 /nfs/dbraw/zinc/61/61/10/623616110.db2.gz DHCFJUFBJAFVAH-WBVHZDCISA-N 0 1 307.821 3.398 20 30 DGEDMN C#CCSCCNCc1cnn(Cc2ccccc2)c1Cl ZINC000790545733 625668170 /nfs/dbraw/zinc/66/81/70/625668170.db2.gz CDVMNWXZPOUTHG-UHFFFAOYSA-N 0 1 319.861 3.041 20 30 DGEDMN CCC/C=C/C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000778446895 623657477 /nfs/dbraw/zinc/65/74/77/623657477.db2.gz JPLJHZLUOBNQPC-BJMVGYQFSA-N 0 1 311.429 3.017 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876617769 623660994 /nfs/dbraw/zinc/66/09/94/623660994.db2.gz UWUMWYIWOJLXAH-CABCVRRESA-N 0 1 309.454 3.115 20 30 DGEDMN CC[C@H](C(=O)O[C@@H](C#N)Cc1ccc(F)cc1F)N(CC)CC ZINC000778711345 623685809 /nfs/dbraw/zinc/68/58/09/623685809.db2.gz KFIBSKOITWODEV-GDBMZVCRSA-N 0 1 324.371 3.063 20 30 DGEDMN C#CCCCCCCN(C)Cc1ccc(S(C)(=O)=O)cc1 ZINC000876757759 623698784 /nfs/dbraw/zinc/69/87/84/623698784.db2.gz HPWATQHHSWKZAC-UHFFFAOYSA-N 0 1 307.459 3.106 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C(C)(C)CO)CC1 ZINC000778944494 623714533 /nfs/dbraw/zinc/71/45/33/623714533.db2.gz PMGVLTVQTUPPBK-UHFFFAOYSA-N 0 1 312.498 3.042 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(C)(OC)CC1 ZINC000779166313 623738425 /nfs/dbraw/zinc/73/84/25/623738425.db2.gz MEWFZSYXSJRAJO-UHFFFAOYSA-N 0 1 307.821 3.353 20 30 DGEDMN CC[C@H](C#N)Oc1cc(CNCc2ccns2)ccc1OC ZINC000877029492 623770047 /nfs/dbraw/zinc/77/00/47/623770047.db2.gz DUGDJIJYRHDVRT-CYBMUJFWSA-N 0 1 317.414 3.122 20 30 DGEDMN Cc1ccccc1O[C@@H](C)CNCc1cc(C#N)cnc1Cl ZINC000877064299 623780901 /nfs/dbraw/zinc/78/09/01/623780901.db2.gz QIQRNIQCLVUYSH-ZDUSSCGKSA-N 0 1 315.804 3.472 20 30 DGEDMN COc1cccc(N=NCc2ccc(N3CCCCC3)o2)n1 ZINC000779819973 623814464 /nfs/dbraw/zinc/81/44/64/623814464.db2.gz YVHVPJURLSELKJ-UHFFFAOYSA-N 0 1 300.362 3.120 20 30 DGEDMN COc1cccc(NN=Cc2ccc(OC(C)C)c(OC)c2)n1 ZINC000779821355 623815742 /nfs/dbraw/zinc/81/57/42/623815742.db2.gz YNCJNHZXNMYEKJ-UHFFFAOYSA-N 0 1 315.373 3.332 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000779834491 623817726 /nfs/dbraw/zinc/81/77/26/623817726.db2.gz UTSSXRPIZIWKKU-QGZVFWFLSA-N 0 1 312.457 3.133 20 30 DGEDMN CCOP(=O)(CCCN1CCC[C@@](C)(C#N)C1)OCC ZINC000877312499 623849490 /nfs/dbraw/zinc/84/94/90/623849490.db2.gz JGTZNULQMUOAHW-AWEZNQCLSA-N 0 1 302.355 3.268 20 30 DGEDMN CC(C)C[C@@H]1OCCC[C@@H]1NCc1cc(C#N)cnc1Cl ZINC000877421227 623875654 /nfs/dbraw/zinc/87/56/54/623875654.db2.gz KIOFSIGQJJEOHW-GJZGRUSLSA-N 0 1 307.825 3.290 20 30 DGEDMN CN(C)c1ccc(C2CCN(Cc3cccc(C#N)n3)CC2)cc1 ZINC000877423595 623877068 /nfs/dbraw/zinc/87/70/68/623877068.db2.gz GABWSVOOXQFSDG-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NN[C@H]1CCCOC1 ZINC000780252219 623896144 /nfs/dbraw/zinc/89/61/44/623896144.db2.gz SIRUUAJMHMAZRH-YOEHRIQHSA-N 0 1 321.440 3.411 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(C#N)cn2)cc1Cl ZINC000780329575 623912014 /nfs/dbraw/zinc/91/20/14/623912014.db2.gz KUYLLUYPUJBJBJ-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@H]2CCc3ccccc3OC2)c1 ZINC000877502845 623913839 /nfs/dbraw/zinc/91/38/39/623913839.db2.gz UMHGWZWKMJMGGG-HNNXBMFYSA-N 0 1 313.788 3.090 20 30 DGEDMN CC[C@@H]1C[C@@H](C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)CCO1 ZINC000780381557 623919791 /nfs/dbraw/zinc/91/97/91/623919791.db2.gz LDHDGVLHQGDVQL-MJBXVCDLSA-N 0 1 311.385 3.253 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc(C)cc1O ZINC000877518165 623920616 /nfs/dbraw/zinc/92/06/16/623920616.db2.gz SYNGJESHCOOPDN-UHFFFAOYSA-N 0 1 301.386 3.364 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2cccc(Cl)c2)nc1 ZINC000780556365 623951565 /nfs/dbraw/zinc/95/15/65/623951565.db2.gz XJTVAMIDYOOEQJ-UHFFFAOYSA-N 0 1 323.787 3.287 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCSC3(CCC3)CC2)c1 ZINC000877587136 623952690 /nfs/dbraw/zinc/95/26/90/623952690.db2.gz GJGXPGULWCVZRN-UHFFFAOYSA-N 0 1 307.850 3.468 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2c[nH]nc2-c2ccsc2)c1 ZINC000780630521 623961763 /nfs/dbraw/zinc/96/17/63/623961763.db2.gz HZRJZDSKWJBQOC-UHFFFAOYSA-N 0 1 323.377 3.403 20 30 DGEDMN Cc1cccc2c1C[C@H](NCc1cc(C#N)cnc1Cl)CO2 ZINC000877651662 623978664 /nfs/dbraw/zinc/97/86/64/623978664.db2.gz ZQUXPGACXYLVNL-AWEZNQCLSA-N 0 1 313.788 3.008 20 30 DGEDMN C#CCCCCCC(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000780936424 624000885 /nfs/dbraw/zinc/00/08/85/624000885.db2.gz AKNUUULRNYCYPQ-UHFFFAOYSA-N 0 1 303.793 3.416 20 30 DGEDMN Cc1csc([C@H]2CCN(Cc3ccc(C#N)cc3F)C2)n1 ZINC000877813554 624046810 /nfs/dbraw/zinc/04/68/10/624046810.db2.gz LSXGCFARNZJCEG-AWEZNQCLSA-N 0 1 301.390 3.452 20 30 DGEDMN N#Cc1cc(NC(=O)Cc2n[nH]c3c2CCCC3)ccc1C1CC1 ZINC000882312114 625694563 /nfs/dbraw/zinc/69/45/63/625694563.db2.gz YWCKHBVADBATGN-UHFFFAOYSA-N 0 1 320.396 3.219 20 30 DGEDMN CCOC(=O)[C@H](C)C1CN(Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC000877829795 624060615 /nfs/dbraw/zinc/06/06/15/624060615.db2.gz BWWCQSVPPGVXTC-CQSZACIVSA-N 0 1 314.429 3.119 20 30 DGEDMN C#CCCOc1ccc(CN2CCC[C@H](OC(F)F)C2)cc1 ZINC000781531400 624087445 /nfs/dbraw/zinc/08/74/45/624087445.db2.gz AQOPKGTUHGTLGK-INIZCTEOSA-N 0 1 309.356 3.292 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCC[C@@H](OC(F)F)C2)cc1 ZINC000781533084 624088658 /nfs/dbraw/zinc/08/86/58/624088658.db2.gz YFBSKYAHGJADEB-IUODEOHRSA-N 0 1 310.344 3.181 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCC[C@@H](OC(F)F)C2)cc1 ZINC000781533085 624088757 /nfs/dbraw/zinc/08/87/57/624088757.db2.gz YFBSKYAHGJADEB-SWLSCSKDSA-N 0 1 310.344 3.181 20 30 DGEDMN COc1cc(C)c(/C=C/C(=O)c2ccc(O)c(F)c2)c(C)n1 ZINC000781549940 624090543 /nfs/dbraw/zinc/09/05/43/624090543.db2.gz XNVGGDOQBAAHGK-FNORWQNLSA-N 0 1 301.317 3.448 20 30 DGEDMN CC[C@@H]1CN(CC[C@](C#N)(C(C)=O)c2ccccc2)CCS1 ZINC000878152032 624190652 /nfs/dbraw/zinc/19/06/52/624190652.db2.gz UGWSOWCKHCJPRR-MSOLQXFVSA-N 0 1 316.470 3.254 20 30 DGEDMN N#CCC1CCN(C[C@@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000782088890 624201990 /nfs/dbraw/zinc/20/19/90/624201990.db2.gz OPGDKTHMLFFPRI-OAHLLOKOSA-N 0 1 312.335 3.364 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2c(Br)cnn2C)c(O)c1 ZINC000782349537 624242759 /nfs/dbraw/zinc/24/27/59/624242759.db2.gz ZXVYGZSWYKRVTF-WAYWQWQTSA-N 0 1 321.174 3.093 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@]2(CCN2CC2(CC#N)CC2)C1 ZINC000878506050 624293260 /nfs/dbraw/zinc/29/32/60/624293260.db2.gz BCVSDXKJCRDDOR-SFHVURJKSA-N 0 1 319.449 3.156 20 30 DGEDMN Cc1ccc([C@@H]2C[C@@H](C)N(Cn3ccc(C)c(C#N)c3=O)C2)cc1 ZINC000782954702 624294870 /nfs/dbraw/zinc/29/48/70/624294870.db2.gz NKIIEJQLEASCTP-SJLPKXTDSA-N 0 1 321.424 3.172 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000783120764 624312035 /nfs/dbraw/zinc/31/20/35/624312035.db2.gz PYGGQSGJCOUMRS-CRAIPNDOSA-N 0 1 321.417 3.016 20 30 DGEDMN CC(C)[C@@H](NCc1ccc(C#N)cn1)c1ccc2[nH]c(=O)oc2c1 ZINC000783299468 624335985 /nfs/dbraw/zinc/33/59/85/624335985.db2.gz CPNZVJLFXYXPPX-QGZVFWFLSA-N 0 1 322.368 3.287 20 30 DGEDMN CN(Cc1cc(C(F)(F)F)co1)C[C@H](O)c1cccc(C#N)c1 ZINC000878802069 624362350 /nfs/dbraw/zinc/36/23/50/624362350.db2.gz AOZFXDBEEBXHHQ-HNNXBMFYSA-N 0 1 324.302 3.335 20 30 DGEDMN C#CCN(Cc1cnn(Cc2ccc(F)cc2)c1)C1CCCC1 ZINC000783574929 624368408 /nfs/dbraw/zinc/36/84/08/624368408.db2.gz OLLZAFLQXQLHAS-UHFFFAOYSA-N 0 1 311.404 3.448 20 30 DGEDMN Fc1ccc(F)c2c1OCCC2=NNc1ccc(Cl)nn1 ZINC000783776307 624395095 /nfs/dbraw/zinc/39/50/95/624395095.db2.gz BCVZEURQYICHHQ-UHFFFAOYSA-N 0 1 310.691 3.007 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000783823032 624398789 /nfs/dbraw/zinc/39/87/89/624398789.db2.gz YXCJZSTXOMOSTK-VXGBXAGGSA-N 0 1 301.415 3.345 20 30 DGEDMN C#CCCN1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000127441661 624403803 /nfs/dbraw/zinc/40/38/03/624403803.db2.gz MFBGTXUJCAODCA-UHFFFAOYSA-N 0 1 313.832 3.029 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@@H]2CCCc3[nH]ncc32)c(Cl)c1 ZINC000784233204 624459096 /nfs/dbraw/zinc/45/90/96/624459096.db2.gz IIHOBAUPODXQEW-GFCCVEGCSA-N 0 1 315.760 3.098 20 30 DGEDMN COC(=O)C[C@@](C)(NCc1ccc(Cl)cc1C#N)C1CC1 ZINC000879441980 624549042 /nfs/dbraw/zinc/54/90/42/624549042.db2.gz KWQDJBGKSPWTLA-MRXNPFEDSA-N 0 1 306.793 3.033 20 30 DGEDMN COC(=O)C[C@](C)(NCc1ccc(Cl)cc1C#N)C1CC1 ZINC000879441979 624549528 /nfs/dbraw/zinc/54/95/28/624549528.db2.gz KWQDJBGKSPWTLA-INIZCTEOSA-N 0 1 306.793 3.033 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000879713017 624648408 /nfs/dbraw/zinc/64/84/08/624648408.db2.gz NMHJLUDTTRYLHG-UONOGXRCSA-N 0 1 313.401 3.068 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(CC#N)cc1)N1[C@H](C)CC[C@H]1C ZINC000785333213 624654528 /nfs/dbraw/zinc/65/45/28/624654528.db2.gz LTQZINCHVCPRKG-RBSFLKMASA-N 0 1 300.402 3.057 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(N3CCCC3)c2)cc1O ZINC000785409034 624661922 /nfs/dbraw/zinc/66/19/22/624661922.db2.gz UIZIIARJSUJFSD-UHFFFAOYSA-N 0 1 307.353 3.116 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@@H](c2nc(C)cs2)C1 ZINC000880007069 624751456 /nfs/dbraw/zinc/75/14/56/624751456.db2.gz AGONEELKACEYNG-HUUCEWRRSA-N 0 1 321.490 3.054 20 30 DGEDMN C(=NNC1CCCCC1)C1(CN2CCOCC2)CCCCC1 ZINC000786039667 624784822 /nfs/dbraw/zinc/78/48/22/624784822.db2.gz FTSGGTMNWYKWNI-UHFFFAOYSA-N 0 1 307.482 3.177 20 30 DGEDMN CC(=NNC1CCCCC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000786043691 624785225 /nfs/dbraw/zinc/78/52/25/624785225.db2.gz SFEDQFXIGMYIDL-UHFFFAOYSA-N 0 1 319.424 3.309 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCn2cnc(C(F)(F)F)c2C1 ZINC000880270351 624880530 /nfs/dbraw/zinc/88/05/30/624880530.db2.gz XQLQASKWDRRSHW-UHFFFAOYSA-N 0 1 300.328 3.047 20 30 DGEDMN CC(C)(C#N)CCCN1CCn2cnc(C(F)(F)F)c2C1 ZINC000880270351 624880532 /nfs/dbraw/zinc/88/05/32/624880532.db2.gz XQLQASKWDRRSHW-UHFFFAOYSA-N 0 1 300.328 3.047 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880296173 624899864 /nfs/dbraw/zinc/89/98/64/624899864.db2.gz WMDBWFIHWUQCKU-GMBSWORKSA-N 0 1 323.440 3.379 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CC1 ZINC000786535964 624913180 /nfs/dbraw/zinc/91/31/80/624913180.db2.gz WVHADTNVNNOKTB-XHDPSFHLSA-N 0 1 318.804 3.101 20 30 DGEDMN C=C(Br)CNC/C(C)=C\c1cccc([N+](=O)[O-])c1 ZINC000786817565 624956062 /nfs/dbraw/zinc/95/60/62/624956062.db2.gz ZLHXIZUVHFIGGL-POHAHGRESA-N 0 1 311.179 3.496 20 30 DGEDMN O=C(OCC#Cc1ccc(F)cc1)[C@H]1CCCN1C1CCCC1 ZINC000786877364 624960619 /nfs/dbraw/zinc/96/06/19/624960619.db2.gz BGPYVKCJLDGFGU-GOSISDBHSA-N 0 1 315.388 3.127 20 30 DGEDMN N#Cc1ccc(F)cc1COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786884090 624961756 /nfs/dbraw/zinc/96/17/56/624961756.db2.gz NXZHNKFLJUBSGG-KRWDZBQOSA-N 0 1 316.376 3.148 20 30 DGEDMN O=C(NC1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1)C(F)F ZINC000786924417 624964849 /nfs/dbraw/zinc/96/48/49/624964849.db2.gz AMJJRLDFLKPGTG-RFZPGFLSSA-N 0 1 313.188 3.277 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(C)cc2Br)CC1 ZINC000880483662 624965326 /nfs/dbraw/zinc/96/53/26/624965326.db2.gz IPOOCLVNUKPRMF-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN C#CCC1(O)CCN([C@H](C)c2ccccc2Br)CC1 ZINC000880479382 624965479 /nfs/dbraw/zinc/96/54/79/624965479.db2.gz OSXSEYVAMMYPSZ-CYBMUJFWSA-N 0 1 322.246 3.360 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1CC12CCC2)c1ccccc1 ZINC000787031844 624972873 /nfs/dbraw/zinc/97/28/73/624972873.db2.gz NLCOIJWDESEYKB-XHSDSOJGSA-N 0 1 310.397 3.153 20 30 DGEDMN N#Cc1csc(CN2CCCC[C@@H](C3CCOCC3)C2)n1 ZINC000880576557 624981363 /nfs/dbraw/zinc/98/13/63/624981363.db2.gz AAYKOUWETIXNQM-CQSZACIVSA-N 0 1 305.447 3.043 20 30 DGEDMN FC(F)(F)[C@@H]1CCN(CCOCC(F)(F)C(F)(F)F)C1 ZINC000787273883 624994573 /nfs/dbraw/zinc/99/45/73/624994573.db2.gz ZAQUESWVIJMBTM-SSDOTTSWSA-N 0 1 315.204 3.085 20 30 DGEDMN N#Cc1cnc2c(c1)CC/C(=C/c1cc(F)c(O)c(F)c1)C2=O ZINC000788356721 625135938 /nfs/dbraw/zinc/13/59/38/625135938.db2.gz ONIJNFALBPAYPY-BASWHVEKSA-N 0 1 312.275 3.150 20 30 DGEDMN Cn1c2ccccc2[nH+]c1[N-]N=Cc1ccc(-c2cc[nH]n2)o1 ZINC000788425784 625166468 /nfs/dbraw/zinc/16/64/68/625166468.db2.gz JYABQHCUEOOUKL-UHFFFAOYSA-N 0 1 306.329 3.002 20 30 DGEDMN C#CCC1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CCCCC1 ZINC000788504783 625200002 /nfs/dbraw/zinc/20/00/02/625200002.db2.gz FKIVMXANRDCCJB-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C#CCC1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CCCCC1 ZINC000788504783 625200005 /nfs/dbraw/zinc/20/00/05/625200005.db2.gz FKIVMXANRDCCJB-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C#CCn1ccc(CN(CC)c2ccnc3cc(F)ccc32)n1 ZINC000788566104 625218429 /nfs/dbraw/zinc/21/84/29/625218429.db2.gz WSFLGQFAHLAIRC-UHFFFAOYSA-N 0 1 308.360 3.230 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1cn2ccccc2n1 ZINC000788643978 625235392 /nfs/dbraw/zinc/23/53/92/625235392.db2.gz ICFJWTVKJTZSDL-AWEZNQCLSA-N 0 1 306.369 3.087 20 30 DGEDMN C=C(CSc1nc(C=Cc2ccc(C)o2)n[nH]1)C(=O)OCC ZINC000788809943 625274155 /nfs/dbraw/zinc/27/41/55/625274155.db2.gz RAYMXDYCAMGMKL-BQYQJAHWSA-N 0 1 319.386 3.088 20 30 DGEDMN C#CCSc1ccc(COC(=O)c2ccc3cncn3c2)cc1 ZINC000790987822 625730731 /nfs/dbraw/zinc/73/07/31/625730731.db2.gz IKKWPCOILOKVTI-UHFFFAOYSA-N 0 1 322.389 3.417 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(C#N)c(F)c1)N1CCCCCC1 ZINC000791391052 625789496 /nfs/dbraw/zinc/78/94/96/625789496.db2.gz LDDRNLWQLTUBOW-ZDUSSCGKSA-N 0 1 304.365 3.005 20 30 DGEDMN Cc1ccc(C#N)c(N2CCN([C@@H](C)c3ccccc3)CC2)n1 ZINC000882810322 625823363 /nfs/dbraw/zinc/82/33/63/625823363.db2.gz FRNAGMDBRXVRDF-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN CO[C@@]1(C)CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000791563544 625825576 /nfs/dbraw/zinc/82/55/76/625825576.db2.gz MKCRDXKWAOPAGH-INIZCTEOSA-N 0 1 308.809 3.243 20 30 DGEDMN C=CCC[C@H](NCc1csc([C@H](C)OC)n1)[C@H]1CCCO1 ZINC000883195848 625966513 /nfs/dbraw/zinc/96/65/13/625966513.db2.gz DOBGTVXRXSWYAE-AEGPPILISA-N 0 1 310.463 3.454 20 30 DGEDMN CC(C[NH+]1Cc2ccccc2C1)N=Nc1cccc(C(=O)[O-])c1 ZINC000792630789 625967302 /nfs/dbraw/zinc/96/73/02/625967302.db2.gz FCMPHYBJRYJBTD-UHFFFAOYSA-N 0 1 309.369 3.188 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000796326664 626038827 /nfs/dbraw/zinc/03/88/27/626038827.db2.gz RDBQYGYLXWUQIK-BLLLJJGKSA-N 0 1 322.430 3.387 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000796326664 626038828 /nfs/dbraw/zinc/03/88/28/626038828.db2.gz RDBQYGYLXWUQIK-BLLLJJGKSA-N 0 1 322.430 3.387 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)Nc1ccccc1)C(F)(F)F ZINC000796635292 626068400 /nfs/dbraw/zinc/06/84/00/626068400.db2.gz YQJHVRKIZKTAOG-LLVKDONJSA-N 0 1 312.291 3.313 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@H](O)c1ccc(F)cc1F ZINC000796640999 626068784 /nfs/dbraw/zinc/06/87/84/626068784.db2.gz UCIYJUHTXAZSSG-SFHVURJKSA-N 0 1 301.336 3.134 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCC(F)(F)C3)[nH]c21 ZINC000796717499 626075907 /nfs/dbraw/zinc/07/59/07/626075907.db2.gz CGYVAZGOWXSDGW-GHMZBOCLSA-N 0 1 303.312 3.483 20 30 DGEDMN C[C@H](Oc1ccc2ccccc2c1)C(=O)C(C#N)c1ccncn1 ZINC000796843671 626088949 /nfs/dbraw/zinc/08/89/49/626088949.db2.gz APPHQMWQGUVLMO-GUYCJALGSA-N 0 1 317.348 3.274 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)c1ccc(C(C)(F)F)cc1 ZINC000796849591 626089923 /nfs/dbraw/zinc/08/99/23/626089923.db2.gz VKADRBFVFKOUSF-HNNXBMFYSA-N 0 1 307.340 3.053 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCC(F)(F)C1)c1cnc2ccccc2n1 ZINC000796900407 626094409 /nfs/dbraw/zinc/09/44/09/626094409.db2.gz PPXAPOUFAVGQRX-WDEREUQCSA-N 0 1 301.296 3.241 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=Cc2ccncn2)s1 ZINC000797571446 626154032 /nfs/dbraw/zinc/15/40/32/626154032.db2.gz RMRVMWLMLDPPLR-ZYOFXKKJSA-N 0 1 312.398 3.120 20 30 DGEDMN C[C@H]([NH2+]CC1(CC#N)CC1)c1cc(Br)ccc1[O-] ZINC000797586705 626154857 /nfs/dbraw/zinc/15/48/57/626154857.db2.gz KQNQUDGRICBZCK-JTQLQIEISA-N 0 1 309.207 3.499 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C[C@H]2CCC(F)(F)C2)c1 ZINC000797942862 626183039 /nfs/dbraw/zinc/18/30/39/626183039.db2.gz JRHBJDGETKUQGO-OCCSQVGLSA-N 0 1 320.339 3.468 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC000797941300 626183087 /nfs/dbraw/zinc/18/30/87/626183087.db2.gz PNQCCNPLDFLPQD-STQMWFEESA-N 0 1 314.376 3.217 20 30 DGEDMN N#C[C@H](C(=O)CCCC1CCOCC1)c1cnc2ccccc2n1 ZINC000798860898 626251164 /nfs/dbraw/zinc/25/11/64/626251164.db2.gz GDOXEBMOKAGORR-HNNXBMFYSA-N 0 1 323.396 3.403 20 30 DGEDMN COc1ccccc1CON=Cc1cc(-c2cccnc2)[nH]n1 ZINC000799116987 626273350 /nfs/dbraw/zinc/27/33/50/626273350.db2.gz FIJAHPJUOGLIDB-UHFFFAOYSA-N 0 1 308.341 3.031 20 30 DGEDMN C=C(C)[C@@H](NC[C@@H](O)c1ccc(F)cn1)c1ccc(F)c(F)c1 ZINC000799321609 626289525 /nfs/dbraw/zinc/28/95/25/626289525.db2.gz IESUHYXMOKKVMW-IAGOWNOFSA-N 0 1 322.330 3.439 20 30 DGEDMN CCN(C)c1ccccc1CNCc1ccc(C#N)c(OC)c1 ZINC000799336731 626291888 /nfs/dbraw/zinc/29/18/88/626291888.db2.gz XSIUZKHPJPYYPS-UHFFFAOYSA-N 0 1 309.413 3.313 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(Cl)ccc2OCC#N)[C@@H](C)C1 ZINC000799344745 626293890 /nfs/dbraw/zinc/29/38/90/626293890.db2.gz ZLTJYTOEUFFUGP-WFASDCNBSA-N 0 1 308.809 3.242 20 30 DGEDMN COc1cc(F)cc(NN=Cc2cc(C(F)(F)F)n[nH]2)c1 ZINC000799483629 626304252 /nfs/dbraw/zinc/30/42/52/626304252.db2.gz KXFBINUPIBSANZ-UHFFFAOYSA-N 0 1 302.231 3.022 20 30 DGEDMN O=c1[nH]c(N=NC2CCCC23CCOCC3)nc2ccccc21 ZINC000799880874 626333219 /nfs/dbraw/zinc/33/32/19/626333219.db2.gz ISFWYEPBYJLYMI-UHFFFAOYSA-N 0 1 312.373 3.084 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=Cc1cc(F)c(O)c(F)c1 ZINC000800816947 626376439 /nfs/dbraw/zinc/37/64/39/626376439.db2.gz JZWFXDUOHDQQAX-UHFFFAOYSA-N 0 1 324.258 3.042 20 30 DGEDMN C[C@@H](C#N)OCCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000801942105 626427313 /nfs/dbraw/zinc/42/73/13/626427313.db2.gz IIDQKCXPGPSHOO-ZDUSSCGKSA-N 0 1 306.356 3.373 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccccc3O[C@@H](C)C#N)c2[nH]1 ZINC000801948310 626427806 /nfs/dbraw/zinc/42/78/06/626427806.db2.gz VLMMZDKEWAOMEC-NSHDSACASA-N 0 1 320.352 3.415 20 30 DGEDMN COc1ccc(N=NCc2ccc(C)cc2-c2ccnn2C)nc1 ZINC000802712333 626567114 /nfs/dbraw/zinc/56/71/14/626567114.db2.gz MJSVBUNPNFHWCG-UHFFFAOYSA-N 0 1 321.384 3.245 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1CN=Nc1cc(C)ccn1 ZINC000802711100 626567287 /nfs/dbraw/zinc/56/72/87/626567287.db2.gz MVGVDCNQNJOUDD-UHFFFAOYSA-N 0 1 306.373 3.034 20 30 DGEDMN C[C@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1cc(F)ccc1F ZINC000883358683 626660045 /nfs/dbraw/zinc/66/00/45/626660045.db2.gz PHDFJAALWXCTTG-WKEGUHRASA-N 0 1 319.220 3.174 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1csc(-c2ccc(OC)cc2)n1 ZINC000883401429 626673730 /nfs/dbraw/zinc/67/37/30/626673730.db2.gz MPTIKCIMOCWEEC-AWEZNQCLSA-N 0 1 318.442 3.235 20 30 DGEDMN C#C[C@@H](NC(=O)C(C)(Cl)Cl)c1ccc(Cl)cc1F ZINC000804413817 626677512 /nfs/dbraw/zinc/67/75/12/626677512.db2.gz BVSUHUUTZBFESH-SNVBAGLBSA-N 0 1 308.567 3.463 20 30 DGEDMN CC1(C)CCC(C(=O)C(C#N)C(=O)NC2CCCCC2)CC1 ZINC000131980025 626691665 /nfs/dbraw/zinc/69/16/65/626691665.db2.gz YIZVMPLDJBXQOT-HNNXBMFYSA-N 0 1 304.434 3.361 20 30 DGEDMN C#Cc1cncc(C(=O)N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)c1 ZINC000806841360 626736968 /nfs/dbraw/zinc/73/69/68/626736968.db2.gz YEGQRBWWMDPMQE-JTQLQIEISA-N 0 1 324.771 3.084 20 30 DGEDMN CC(N=Nc1cc(F)cc(F)c1)c1cccc(-n2ccnn2)c1 ZINC000807965291 626780205 /nfs/dbraw/zinc/78/02/05/626780205.db2.gz QTOYJSFKNSAKBD-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN COc1ccc(N=NC2CCc3c2ccc(OC)c3F)nc1 ZINC000807983808 626782596 /nfs/dbraw/zinc/78/25/96/626782596.db2.gz YJFWFSWRZIFPFH-UHFFFAOYSA-N 0 1 301.321 3.000 20 30 DGEDMN CCC(N=Nc1nc[nH]n1)c1cc(Cl)cc(Cl)c1O ZINC000807998205 626784617 /nfs/dbraw/zinc/78/46/17/626784617.db2.gz UQCQXRLAOIILBY-UHFFFAOYSA-N 0 1 300.149 3.043 20 30 DGEDMN Cc1sc2[nH]cnc(=NN=C3CCc4cccnc43)c2c1C ZINC000884384879 626874157 /nfs/dbraw/zinc/87/41/57/626874157.db2.gz ZCPLQHFQADHQIO-UHFFFAOYSA-N 0 1 309.398 3.466 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)[C@H](N)Cc2cc3ccccc3o2)C1 ZINC000884401752 626876366 /nfs/dbraw/zinc/87/63/66/626876366.db2.gz ZYJLEZCSJVOHMV-RHSMWYFYSA-N 0 1 312.413 3.117 20 30 DGEDMN CC(C)[C@@H](C(=O)OC[C@H]1CC[C@@H](C#N)CC1)N1CCCCC1 ZINC000809088620 626880920 /nfs/dbraw/zinc/88/09/20/626880920.db2.gz WGMWIHGMYPSJRM-BBWFWOEESA-N 0 1 306.450 3.370 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(OC)c(F)c3)CC2)cc1 ZINC000809592873 626923088 /nfs/dbraw/zinc/92/30/88/626923088.db2.gz KCESLSUFAZZNDH-UHFFFAOYSA-N 0 1 324.399 3.138 20 30 DGEDMN C#C[C@H](CC)NCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000809686377 626935775 /nfs/dbraw/zinc/93/57/75/626935775.db2.gz OUIFNDDCOIQINO-HZPDHXFCSA-N 0 1 307.821 3.399 20 30 DGEDMN N#C[C@@H]1CCC[C@@H]([NH2+]Cc2cc(Br)ccc2[O-])C1 ZINC000809713189 626939835 /nfs/dbraw/zinc/93/98/35/626939835.db2.gz LHPHJCSSFXXSEE-ZWNOBZJWSA-N 0 1 309.207 3.327 20 30 DGEDMN C#C[C@@H](NCc1cc2c(C)nn(C)c2nc1Cl)C(C)(C)C ZINC000809781613 626952702 /nfs/dbraw/zinc/95/27/02/626952702.db2.gz XIWYXQCPHTYECJ-CYBMUJFWSA-N 0 1 304.825 3.068 20 30 DGEDMN CCCCCCC[N@@H+]1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810070696 626973738 /nfs/dbraw/zinc/97/37/38/626973738.db2.gz VQMJDBNYVHWEQI-CYBMUJFWSA-N 0 1 308.388 3.347 20 30 DGEDMN CCCCCCC[N@H+]1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810070696 626973741 /nfs/dbraw/zinc/97/37/41/626973741.db2.gz VQMJDBNYVHWEQI-CYBMUJFWSA-N 0 1 308.388 3.347 20 30 DGEDMN C=CC[C@H](CO)[NH2+][C@@H](CC)c1ccc(C(F)(F)F)cc1[O-] ZINC000810237770 626991521 /nfs/dbraw/zinc/99/15/21/626991521.db2.gz VXQYSFOJBVILKR-YPMHNXCESA-N 0 1 303.324 3.389 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)OCCC1(C#N)CCCCC1 ZINC000885258988 626994644 /nfs/dbraw/zinc/99/46/44/626994644.db2.gz KITNQOABGYLHSM-CZUORRHYSA-N 0 1 315.417 3.483 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C1(F)CCCCC1 ZINC000810533231 627013081 /nfs/dbraw/zinc/01/30/81/627013081.db2.gz ZIUPFZUAJKWWQU-LBPRGKRZSA-N 0 1 318.348 3.015 20 30 DGEDMN C[C@@H](c1cc(F)ccc1F)N(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885958403 627069723 /nfs/dbraw/zinc/06/97/23/627069723.db2.gz GVTBEHUKRFNVRK-GXTWGEPZSA-N 0 1 308.372 3.402 20 30 DGEDMN COc1cc(C)cc(CNCc2ccc(C#N)c(F)c2)c1OC ZINC000886138475 627110516 /nfs/dbraw/zinc/11/05/16/627110516.db2.gz LCJVDPHCZVGRBF-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN Cc1ccccc1[C@]1(F)CC[N@@H+](C[C@H](O)CC2(C#N)CCC2)C1 ZINC000886190640 627119610 /nfs/dbraw/zinc/11/96/10/627119610.db2.gz YRPGDWWXTRXHDV-APWZRJJASA-N 0 1 316.420 3.310 20 30 DGEDMN Cc1ccccc1[C@]1(F)CCN(C[C@H](O)CC2(C#N)CCC2)C1 ZINC000886190640 627119611 /nfs/dbraw/zinc/11/96/11/627119611.db2.gz YRPGDWWXTRXHDV-APWZRJJASA-N 0 1 316.420 3.310 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CCC2(CCC2)CO1 ZINC000886203402 627120743 /nfs/dbraw/zinc/12/07/43/627120743.db2.gz UQSWSBJUWMLEQZ-INIZCTEOSA-N 0 1 319.445 3.499 20 30 DGEDMN COC(=O)c1cncc([C@H](C)N[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000886512440 627154813 /nfs/dbraw/zinc/15/48/13/627154813.db2.gz GCNLNXSHFRTKII-STQMWFEESA-N 0 1 309.369 3.152 20 30 DGEDMN C=CC[C@@H](N[C@H](C)c1cncc(C(=O)OC)c1)c1ccncc1 ZINC000886528814 627157659 /nfs/dbraw/zinc/15/76/59/627157659.db2.gz RULZHPAFPGOQDZ-CXAGYDPISA-N 0 1 311.385 3.231 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)CN3[C@H](C)CC[C@@H]3C)cc2n1 ZINC000886647016 627179314 /nfs/dbraw/zinc/17/93/14/627179314.db2.gz AIEUDMOBXTWGTC-OKILXGFUSA-N 0 1 312.417 3.034 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3[nH]cnc3C(C)(C)C)cc2n1 ZINC000886648828 627180657 /nfs/dbraw/zinc/18/06/57/627180657.db2.gz GVDLFGSWIUROFR-UHFFFAOYSA-N 0 1 323.400 3.495 20 30 DGEDMN C=CCC1(O)CCN(CCSc2ccc(F)cc2F)CC1 ZINC000886778722 627204009 /nfs/dbraw/zinc/20/40/09/627204009.db2.gz QMZXSEPOAAHWQU-UHFFFAOYSA-N 0 1 313.413 3.460 20 30 DGEDMN C#C[C@@H](NCc1cn2ccc(Br)cc2n1)C(C)(C)C ZINC000811507833 627283358 /nfs/dbraw/zinc/28/33/58/627283358.db2.gz PMKZNSFVBGURBP-CYBMUJFWSA-N 0 1 320.234 3.234 20 30 DGEDMN COc1cccc(N=NC2CCN(Cc3ccccc3)[C@@H]2C)n1 ZINC000811647368 627299598 /nfs/dbraw/zinc/29/95/98/627299598.db2.gz JGGMYRNNORAYBR-CQSZACIVSA-N 0 1 310.401 3.153 20 30 DGEDMN CCCCCCCCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000811950599 627340133 /nfs/dbraw/zinc/34/01/33/627340133.db2.gz ILRCXSAAYZMANY-AWEZNQCLSA-N 0 1 306.454 3.317 20 30 DGEDMN C#C[C@H](NC(=O)c1cccc2nc[nH]c21)c1ccc(Cl)cc1 ZINC000812353875 627374453 /nfs/dbraw/zinc/37/44/53/627374453.db2.gz AVHCVZISJWDWHR-AWEZNQCLSA-N 0 1 309.756 3.321 20 30 DGEDMN COc1cccc(O)c1/C=N/C[C@H](c1cccs1)N(C)C ZINC000812394014 627377399 /nfs/dbraw/zinc/37/73/99/627377399.db2.gz MYJDMLHPZUCXLF-VGSSETLESA-N 0 1 304.415 3.184 20 30 DGEDMN CO[C@@](C)(C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000813096836 627448731 /nfs/dbraw/zinc/44/87/31/627448731.db2.gz YIKFUJYGKJVSLF-MEDUHNTESA-N 0 1 306.431 3.432 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCOCC1)c1cc(C(F)(F)F)ccn1 ZINC000813212314 627467655 /nfs/dbraw/zinc/46/76/55/627467655.db2.gz BHWBEOGQVCYOTB-CMPLNLGQSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)C1CCC(=O)CC1)c1cc(C(F)(F)F)ccn1 ZINC000813216500 627468264 /nfs/dbraw/zinc/46/82/64/627468264.db2.gz UAGSRNMQNDTNQT-LBPRGKRZSA-N 0 1 310.275 3.036 20 30 DGEDMN N#Cc1ccc(C(=O)N[C@@H](CN2CCCCC2)c2ccccc2)o1 ZINC000814108131 627542744 /nfs/dbraw/zinc/54/27/44/627542744.db2.gz GVWMTYXFWWOPEE-KRWDZBQOSA-N 0 1 323.396 3.108 20 30 DGEDMN Cc1nnsc1C=NNc1ncc(C(F)(F)F)cc1Cl ZINC000814213148 627551859 /nfs/dbraw/zinc/55/18/59/627551859.db2.gz ZUMDJVKAHRXQLA-UHFFFAOYSA-N 0 1 321.715 3.360 20 30 DGEDMN Fc1cc2c(c(F)c1)C(=NNc1nncc3ccccc31)CO2 ZINC000814706198 627584767 /nfs/dbraw/zinc/58/47/67/627584767.db2.gz UUKKBKUBDNDSGD-UHFFFAOYSA-N 0 1 312.279 3.117 20 30 DGEDMN Fc1ccc2c(c1)OCC2N=Nc1ccc(C(F)(F)F)cn1 ZINC000814711074 627585182 /nfs/dbraw/zinc/58/51/82/627585182.db2.gz BZHLQFOAMIWQTO-UHFFFAOYSA-N 0 1 311.238 3.448 20 30 DGEDMN CSC(C)(C)CC=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000814780772 627590678 /nfs/dbraw/zinc/59/06/78/627590678.db2.gz ISPNKNSPTRYINK-UHFFFAOYSA-N 0 1 307.444 3.051 20 30 DGEDMN Cn1c2ccccc2[nH+]c1[N-]N=C1COc2cccc(Cl)c21 ZINC000814807040 627593329 /nfs/dbraw/zinc/59/33/29/627593329.db2.gz CPFLWSOBAZBLRU-UHFFFAOYSA-N 0 1 312.760 3.435 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1ncc(Cl)s1 ZINC000814822032 627594993 /nfs/dbraw/zinc/59/49/93/627594993.db2.gz QUUKXOWSIPEBTL-UHFFFAOYSA-N 0 1 312.738 3.159 20 30 DGEDMN Oc1cc(F)c(Cl)cc1C=Nn1cc(Br)cn1 ZINC000814884211 627602866 /nfs/dbraw/zinc/60/28/66/627602866.db2.gz FFTBMWSYNAYOSV-UHFFFAOYSA-N 0 1 318.533 3.026 20 30 DGEDMN COc1ccc(F)c(C=NNc2cc(C#N)cc(Cl)n2)c1 ZINC000814890909 627603614 /nfs/dbraw/zinc/60/36/14/627603614.db2.gz GJQXSICIUMGANW-UHFFFAOYSA-N 0 1 304.712 3.200 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1CN=Nc1cc(Cl)ccn1 ZINC000814897621 627604448 /nfs/dbraw/zinc/60/44/48/627604448.db2.gz APFMKIJUXWFPML-UHFFFAOYSA-N 0 1 304.568 3.221 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=C1CCc2sc(Br)cc21 ZINC000814918638 627607737 /nfs/dbraw/zinc/60/77/37/627607737.db2.gz YISANXNEJFOVLZ-UHFFFAOYSA-N 0 1 311.208 3.007 20 30 DGEDMN CC1(C)CC(=NNc2cccc(F)n2)c2ccc(O)cc2O1 ZINC000814929500 627609325 /nfs/dbraw/zinc/60/93/25/627609325.db2.gz NNXCGJREDOGALZ-UHFFFAOYSA-N 0 1 301.321 3.304 20 30 DGEDMN CCOc1ccc(NN=Cc2cn(-c3ccncc3)nc2C)cc1 ZINC000814983917 627615827 /nfs/dbraw/zinc/61/58/27/627615827.db2.gz IDHAUCWDWRSNTL-UHFFFAOYSA-N 0 1 321.384 3.420 20 30 DGEDMN CC(C)(C)Oc1ccc(C(=O)Nc2cc(C#N)ccc2O)nc1 ZINC000815877682 627708697 /nfs/dbraw/zinc/70/86/97/627708697.db2.gz BZARHMFUKBLJOX-UHFFFAOYSA-N 0 1 311.341 3.088 20 30 DGEDMN CCc1ccc(C#CC(=O)NCc2nc3cccc(C)c3[nH]2)cc1 ZINC000815892031 627710235 /nfs/dbraw/zinc/71/02/35/627710235.db2.gz CTMGATFNBALKCJ-UHFFFAOYSA-N 0 1 317.392 3.102 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC(C)(C)C2CC2)cc1 ZINC000815910285 627712139 /nfs/dbraw/zinc/71/21/39/627712139.db2.gz UBMNGGKTEFPYEM-OAHLLOKOSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCc3cncs3)[nH]c21 ZINC000815955220 627717455 /nfs/dbraw/zinc/71/74/55/627717455.db2.gz UTVGEPFIKULMHY-GFCCVEGCSA-N 0 1 310.382 3.137 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1cccc2c1OCO2 ZINC000925366087 627731540 /nfs/dbraw/zinc/73/15/40/627731540.db2.gz CVFAHUQFOHSCJA-ZDUSSCGKSA-N 0 1 310.353 3.168 20 30 DGEDMN CC[C@@H](NCc1ccc(OC)c(C#N)c1)c1cccc(OC)n1 ZINC000925593785 627759751 /nfs/dbraw/zinc/75/97/51/627759751.db2.gz KGUDNCJNLWOEQK-OAHLLOKOSA-N 0 1 311.385 3.211 20 30 DGEDMN C=CCC[C@H](c1ccco1)N1CCc2sc(CN)nc2C1 ZINC000925751749 627787769 /nfs/dbraw/zinc/78/77/69/627787769.db2.gz OWNJTARZNLXFBO-CYBMUJFWSA-N 0 1 303.431 3.260 20 30 DGEDMN CCCCCCCCC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000816524804 627802276 /nfs/dbraw/zinc/80/22/76/627802276.db2.gz RVARZUMOWQQUCV-UHFFFAOYSA-N 0 1 309.410 3.232 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCOC[C@@H]2CC2CCC2)cc1 ZINC000816667850 627823715 /nfs/dbraw/zinc/82/37/15/627823715.db2.gz YGENVVNGMMFUDH-YJBOKZPZSA-N 0 1 314.429 3.368 20 30 DGEDMN C=C1CCC(CNC(=O)N2CCC(c3cn[nH]c3C)CC2)CC1 ZINC000926541484 627910695 /nfs/dbraw/zinc/91/06/95/627910695.db2.gz JQHSJPPHDKAMBE-UHFFFAOYSA-N 0 1 316.449 3.354 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1CN[C@H](c1ccccn1)c1ccccc1OC ZINC000926611078 627921346 /nfs/dbraw/zinc/92/13/46/627921346.db2.gz IPFDBFJIEPARGX-QSFXBCCZSA-N 0 1 324.424 3.360 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cc(C#N)ccn2)c(C)c1OC ZINC000927121080 628020940 /nfs/dbraw/zinc/02/09/40/628020940.db2.gz BHPRFWJPOAGUJS-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN C=C(Br)CNC[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000818979521 628072193 /nfs/dbraw/zinc/07/21/93/628072193.db2.gz PBUVNKXONSVKFY-LLVKDONJSA-N 0 1 308.578 3.011 20 30 DGEDMN CC(C)(C)c1csc(CNC[C@H](O)c2ccc(C#N)cc2)n1 ZINC000819019816 628079667 /nfs/dbraw/zinc/07/96/67/628079667.db2.gz CWGYELZGCGAQIA-AWEZNQCLSA-N 0 1 315.442 3.135 20 30 DGEDMN C=CC[C@@H](C(=O)NCc1cc(N(C)C)ccn1)c1ccccc1 ZINC000927699728 628092211 /nfs/dbraw/zinc/09/22/11/628092211.db2.gz FHZZFZQPMNJLKK-GOSISDBHSA-N 0 1 309.413 3.124 20 30 DGEDMN C#CCN1CCC(NC(=O)C/C=C/c2ccc(C(C)C)cc2)CC1 ZINC000928654524 628173112 /nfs/dbraw/zinc/17/31/12/628173112.db2.gz GGSCGFRFSPQNNE-AATRIKPKSA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](C)c2ccc3ccccc3c2)CC1 ZINC000928656426 628173272 /nfs/dbraw/zinc/17/32/72/628173272.db2.gz UMPPTTDBJOTEFN-INIZCTEOSA-N 0 1 320.436 3.157 20 30 DGEDMN Cc1cc(Br)cnc1N=NCc1cnn(C(C)C)c1 ZINC000819827011 628194030 /nfs/dbraw/zinc/19/40/30/628194030.db2.gz DCYPPEZZDJCURH-UHFFFAOYSA-N 0 1 322.210 3.376 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCCC[C@H]1C ZINC000819942240 628201762 /nfs/dbraw/zinc/20/17/62/628201762.db2.gz FVPXFMWCLZVVDT-HZSPNIEDSA-N 0 1 314.385 3.169 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)C1 ZINC000823513144 628571747 /nfs/dbraw/zinc/57/17/47/628571747.db2.gz UBJJZIFQULRJHD-LLVKDONJSA-N 0 1 317.339 3.376 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN1CCC[C@](C)(C#N)C1 ZINC000824146800 628631512 /nfs/dbraw/zinc/63/15/12/628631512.db2.gz BDPQWCYFGYOCDK-CRAIPNDOSA-N 0 1 323.481 3.409 20 30 DGEDMN CC(CC1CCCC1)N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC000824391407 628657073 /nfs/dbraw/zinc/65/70/73/628657073.db2.gz IMRQTXHMRJBEBT-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN C=CCN(C(=O)c1cccc2[nH]c(C(F)F)nc21)[C@H](C)COC ZINC000824848841 628700082 /nfs/dbraw/zinc/70/00/82/628700082.db2.gz FHZUALWCYCWVEK-SNVBAGLBSA-N 0 1 323.343 3.164 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@H](C)C[C@@H]2C)o1 ZINC000824899412 628704021 /nfs/dbraw/zinc/70/40/21/628704021.db2.gz JMDSXDKODFHAOA-UWVGGRQHSA-N 0 1 317.397 3.202 20 30 DGEDMN CCCc1ncc(CNCc2ccc(N(C)CCC#N)cc2)o1 ZINC000825079274 628725806 /nfs/dbraw/zinc/72/58/06/628725806.db2.gz PXTKYFTXROXIQK-UHFFFAOYSA-N 0 1 312.417 3.267 20 30 DGEDMN CC(C)C[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000826832961 628892648 /nfs/dbraw/zinc/89/26/48/628892648.db2.gz YYVBNNYCXCMNIK-WBVHZDCISA-N 0 1 300.402 3.442 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2cc(Br)ccc2O)C1 ZINC000826862111 628896429 /nfs/dbraw/zinc/89/64/29/628896429.db2.gz VBVIKLVXFUYAPM-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN CCC[N@@H+](Cc1cc(C#N)ccc1F)C1CCN(C(=O)[O-])CC1 ZINC000826911941 628903130 /nfs/dbraw/zinc/90/31/30/628903130.db2.gz JPSLNLHTIMFHEU-UHFFFAOYSA-N 0 1 319.380 3.052 20 30 DGEDMN C[C@]1(c2ccccc2)CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000828128727 629026028 /nfs/dbraw/zinc/02/60/28/629026028.db2.gz WPXQSEVNDVSNCO-QUCCMNQESA-N 0 1 320.392 3.348 20 30 DGEDMN CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)[C@H]1CCC[C@H]1C#N ZINC000828141065 629027075 /nfs/dbraw/zinc/02/70/75/629027075.db2.gz JPCULANTBZWUAA-CABZTGNLSA-N 0 1 318.327 3.265 20 30 DGEDMN N#Cc1cccc(ONC(=O)C2(CCc3ccccc3)CC2)c1 ZINC000828148224 629028170 /nfs/dbraw/zinc/02/81/70/629028170.db2.gz MZSXYDQXYIBQRW-UHFFFAOYSA-N 0 1 306.365 3.381 20 30 DGEDMN COc1ccccc1C1(NCc2ccc(OCC#N)cc2)CC1 ZINC000829693689 629183050 /nfs/dbraw/zinc/18/30/50/629183050.db2.gz PALGXQDNDDBUEC-UHFFFAOYSA-N 0 1 308.381 3.376 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2cc(Cl)ccc2C)C1 ZINC000829789568 629192830 /nfs/dbraw/zinc/19/28/30/629192830.db2.gz XVQBLEFIKLOZFE-AWEZNQCLSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2cccc(Cl)c2C)CC1 ZINC000830316313 629251994 /nfs/dbraw/zinc/25/19/94/629251994.db2.gz MURFZLRCHAVUFW-CYBMUJFWSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2ccc(SC)cc2)CC1 ZINC000830316438 629252072 /nfs/dbraw/zinc/25/20/72/629252072.db2.gz SJYTYJKHAZJVOG-ZDUSSCGKSA-N 0 1 302.443 3.081 20 30 DGEDMN C=C(Br)CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000832692713 629504958 /nfs/dbraw/zinc/50/49/58/629504958.db2.gz XVFCAIDUILSAOW-LBPRGKRZSA-N 0 1 316.264 3.450 20 30 DGEDMN Cc1cccc2c1CC[N@H+]([C@H](C(=O)[O-])c1cccc(C#N)c1)C2 ZINC000832974476 629542824 /nfs/dbraw/zinc/54/28/24/629542824.db2.gz NFFQDXRQQYQNFR-SFHVURJKSA-N 0 1 306.365 3.051 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CCCc3ccccc3C2)c1 ZINC000833074123 629555403 /nfs/dbraw/zinc/55/54/03/629555403.db2.gz PHIPQPVIJTYZIO-GOSISDBHSA-N 0 1 306.365 3.132 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H]2Cc2ccccc2)c1 ZINC000833074038 629555760 /nfs/dbraw/zinc/55/57/60/629555760.db2.gz MVCOHNWWDXUZKJ-ROUUACIJSA-N 0 1 306.365 3.001 20 30 DGEDMN C=C(CC)C[N@@H+](C)[C@H](C(=O)[O-])c1cccc(Br)c1 ZINC000833369771 629593625 /nfs/dbraw/zinc/59/36/25/629593625.db2.gz DSNSITJLTWXQTM-ZDUSSCGKSA-N 0 1 312.207 3.473 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1ccc(C(=O)[O-])cc1F ZINC000833374570 629594555 /nfs/dbraw/zinc/59/45/55/629594555.db2.gz RCDSKKQCIOAFMO-UHFFFAOYSA-N 0 1 303.333 3.348 20 30 DGEDMN C#CCNC(=O)[C@H](C)N[C@H](C)c1ccccc1Oc1ccccc1 ZINC000101583420 629742998 /nfs/dbraw/zinc/74/29/98/629742998.db2.gz AWUAXFFPBMYRGW-CVEARBPZSA-N 0 1 322.408 3.267 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=C1CCCc2cc(N)ccc21 ZINC000834979291 629817111 /nfs/dbraw/zinc/81/71/11/629817111.db2.gz MJGOQCZGTPABOK-AWEZNQCLSA-N 0 1 309.413 3.366 20 30 DGEDMN C=CCON=Cc1c(C)nn(-c2ccccc2)c1N1CCCC1 ZINC000835012699 629822951 /nfs/dbraw/zinc/82/29/51/629822951.db2.gz YYKOBGZPDUURLQ-UHFFFAOYSA-N 0 1 310.401 3.317 20 30 DGEDMN CCN(CC)c1ncc(C=[NH+][N-]c2ncc(F)cc2F)s1 ZINC000835022988 629826734 /nfs/dbraw/zinc/82/67/34/629826734.db2.gz XHUWJJUOBNLLNA-UHFFFAOYSA-N 0 1 311.361 3.109 20 30 DGEDMN CCC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000835060153 629840381 /nfs/dbraw/zinc/84/03/81/629840381.db2.gz AXYAKKGSQJPLQZ-BRWVUGGUSA-N 0 1 312.413 3.228 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3C[C@H]3c3cccnc3)[nH]c21 ZINC000835172933 629869343 /nfs/dbraw/zinc/86/93/43/629869343.db2.gz NDIKTIAQMLZLNJ-ZNMIVQPWSA-N 0 1 316.364 3.246 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)CC(F)(F)C(F)(F)F ZINC000867156601 630050015 /nfs/dbraw/zinc/05/00/15/630050015.db2.gz VBEJCOKDRDHNTL-UHFFFAOYSA-N 0 1 307.169 3.182 20 30 DGEDMN C#Cc1cccc(CNC(=O)c2ccc(CN(CC)CC)o2)c1 ZINC000837105744 630214142 /nfs/dbraw/zinc/21/41/42/630214142.db2.gz GLAGHSQQISRSHT-UHFFFAOYSA-N 0 1 310.397 3.033 20 30 DGEDMN N#C[C@@]1(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)CC12CCCC2 ZINC000837903390 630325211 /nfs/dbraw/zinc/32/52/11/630325211.db2.gz UQPLXTFQPVPORU-YLJYHZDGSA-N 0 1 324.424 3.278 20 30 DGEDMN CC(C)(C)OC(=O)Cn1cccc1CN=Nc1ccccc1F ZINC000841615736 630448358 /nfs/dbraw/zinc/44/83/58/630448358.db2.gz FOJRAXJRJPADLH-UHFFFAOYSA-N 0 1 317.364 3.415 20 30 DGEDMN FC(F)(F)c1cccnc1NN=Cc1cnc(C2CC2)nc1 ZINC000841617205 630448562 /nfs/dbraw/zinc/44/85/62/630448562.db2.gz GOUMVRJCACFMGW-UHFFFAOYSA-N 0 1 307.279 3.214 20 30 DGEDMN Fc1ccc(C(CCCc2ccccc2)=NNC2=NCCN2)cc1 ZINC000841661274 630452848 /nfs/dbraw/zinc/45/28/48/630452848.db2.gz WNHDWAFSHQWWAL-UHFFFAOYSA-N 0 1 324.403 3.102 20 30 DGEDMN C[C@@H](NCC1(C#N)CCC1)[C@@H]1CCCCN1C(=O)OC(C)(C)C ZINC000841897992 630474793 /nfs/dbraw/zinc/47/47/93/630474793.db2.gz JWTUUZXRZXCGQY-CABCVRRESA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@@H](CC1CCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000842293389 630511818 /nfs/dbraw/zinc/51/18/18/630511818.db2.gz JTADXNTVUZTMOY-GJZGRUSLSA-N 0 1 313.401 3.335 20 30 DGEDMN C#CC[C@H]1CCC[N@@H+](Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000842628347 630554755 /nfs/dbraw/zinc/55/47/55/630554755.db2.gz BGKAGVDGQIMAGG-KRWDZBQOSA-N 0 1 304.397 3.115 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C(=O)C(C)(C)[C@@H]2c2ccccc2)C1 ZINC000842630064 630555815 /nfs/dbraw/zinc/55/58/15/630555815.db2.gz WMZAOJOGDCCRMT-AEFFLSMTSA-N 0 1 310.441 3.289 20 30 DGEDMN C=C(C)COCCNCc1cc(Br)cnc1Cl ZINC000842689552 630563648 /nfs/dbraw/zinc/56/36/48/630563648.db2.gz ISQDQGWNHQBLNC-UHFFFAOYSA-N 0 1 319.630 3.180 20 30 DGEDMN CCCCCCOc1cccc(CN2CC[C@](O)(CC#N)C2)c1 ZINC000843425814 630656873 /nfs/dbraw/zinc/65/68/73/630656873.db2.gz WOBXWKDKBVBWSN-LJQANCHMSA-N 0 1 316.445 3.496 20 30 DGEDMN CCCCCCOc1cccc(CN2CC[C@@](O)(CC#N)C2)c1 ZINC000843425813 630657177 /nfs/dbraw/zinc/65/71/77/630657177.db2.gz WOBXWKDKBVBWSN-IBGZPJMESA-N 0 1 316.445 3.496 20 30 DGEDMN COC(=O)[C@@H](CCF)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000844270551 630714881 /nfs/dbraw/zinc/71/48/81/630714881.db2.gz IRJXZNGSTUSTJG-WTSVEOLSSA-N 0 1 308.136 3.019 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1[N+](=O)[O-])c1ccc(N(C)C)cc1 ZINC000844322200 630718887 /nfs/dbraw/zinc/71/88/87/630718887.db2.gz LMRPPUCQXLCEBT-ZDUSSCGKSA-N 0 1 324.384 3.383 20 30 DGEDMN CCN(Cc1cc(Cl)ccc1OCC#N)[C@@H]1CCCOC1 ZINC000844404007 630725133 /nfs/dbraw/zinc/72/51/33/630725133.db2.gz IZPIBWLUURNXAE-OAHLLOKOSA-N 0 1 308.809 3.243 20 30 DGEDMN C[C@@H](CO)C1CCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000844416297 630727047 /nfs/dbraw/zinc/72/70/47/630727047.db2.gz HCHOJJRZCGMUFB-ZDUSSCGKSA-N 0 1 322.836 3.083 20 30 DGEDMN N#Cc1c(=O)[nH]cc2c1CCC(=Cc1scnc1C1CC1)C2=O ZINC000844554201 630738859 /nfs/dbraw/zinc/73/88/59/630738859.db2.gz FHWSEVVDKJMLLK-YHYXMXQVSA-N 0 1 323.377 3.205 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](CC)OC[C@@H]2C)c1O ZINC000844729185 630815445 /nfs/dbraw/zinc/81/54/45/630815445.db2.gz GMRHTMDESOVMRH-XJKSGUPXSA-N 0 1 305.418 3.129 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@H]2C[C@@H]2C2CCCC2)c1 ZINC000845442154 631175378 /nfs/dbraw/zinc/17/53/78/631175378.db2.gz WHKUKWPGLGNEIG-IXDOHACOSA-N 0 1 310.397 3.469 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCc3ccccc3C2)nc(C)n1 ZINC000845444158 631175395 /nfs/dbraw/zinc/17/53/95/631175395.db2.gz LMECOPOPUUTNHS-IRXDYDNUSA-N 0 1 305.381 3.075 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCC1)c1ccccc1 ZINC000845528707 631180686 /nfs/dbraw/zinc/18/06/86/631180686.db2.gz LGHMYCBCLFHALX-MHORFTMASA-N 0 1 324.424 3.399 20 30 DGEDMN N#CC(C(=O)CCc1ccco1)=C(O)C1(c2ccccc2)CC1 ZINC000845839868 631198321 /nfs/dbraw/zinc/19/83/21/631198321.db2.gz KEFUSVPVXYVRLN-MRXNPFEDSA-N 0 1 307.349 3.222 20 30 DGEDMN CC(C)CO[C@H](C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845838517 631198459 /nfs/dbraw/zinc/19/84/59/631198459.db2.gz NZENMZNPHWHPMT-GDBMZVCRSA-N 0 1 313.397 3.057 20 30 DGEDMN CC(C)OCCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845914 631198952 /nfs/dbraw/zinc/19/89/52/631198952.db2.gz QLKCANWEGZULMN-INIZCTEOSA-N 0 1 313.397 3.201 20 30 DGEDMN N#CC(C(=O)[C@H]1CCC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845845223 631198977 /nfs/dbraw/zinc/19/89/77/631198977.db2.gz MWPMLMBEWXTEKQ-JSGCOSHPSA-N 0 1 317.335 3.432 20 30 DGEDMN C[C@H](CC(=O)Nc1ccc(F)cc1)NCC1(C#N)CCCC1 ZINC000846009967 631205838 /nfs/dbraw/zinc/20/58/38/631205838.db2.gz GICJVCUUKHBNEN-CYBMUJFWSA-N 0 1 303.381 3.216 20 30 DGEDMN C=C[C@H](C)ONC(=O)C(C)(C)c1ccc(Br)cc1 ZINC000846550379 631258682 /nfs/dbraw/zinc/25/86/82/631258682.db2.gz ORBXNGJMNIAGCR-JTQLQIEISA-N 0 1 312.207 3.349 20 30 DGEDMN COc1ccc(NC2CCN(Cc3ccccn3)CC2)cc1C#N ZINC000846745110 631291824 /nfs/dbraw/zinc/29/18/24/631291824.db2.gz ATCNYNUBDMSFSN-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000847022427 631383661 /nfs/dbraw/zinc/38/36/61/631383661.db2.gz HQUAFVWFIQVVOY-UONOGXRCSA-N 0 1 302.443 3.081 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)N(CC)[C@@H](C)c2ccc(F)cc2)C1 ZINC000847026265 631385159 /nfs/dbraw/zinc/38/51/59/631385159.db2.gz PHUYIZMOVSPUCL-HOTGVXAUSA-N 0 1 316.420 3.080 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCCc2ccc(F)cc2)nc(C)n1 ZINC000847622944 631498209 /nfs/dbraw/zinc/49/82/09/631498209.db2.gz VEAYUXWESBWFNQ-MRXNPFEDSA-N 0 1 311.360 3.432 20 30 DGEDMN CC(=NN=c1nc[nH]c2sc(C)c(C)c21)c1cncnc1C ZINC000848400541 631654857 /nfs/dbraw/zinc/65/48/57/631654857.db2.gz KZXRSFZUTKWDAX-UHFFFAOYSA-N 0 1 312.402 3.243 20 30 DGEDMN c1ccc(OCCCNN=C2CCCN3CCCC[C@@H]23)cc1 ZINC000848405470 631655745 /nfs/dbraw/zinc/65/57/45/631655745.db2.gz LWEFXQZNHIJRBM-SFHVURJKSA-N 0 1 301.434 3.049 20 30 DGEDMN O=C([O-])Cc1ccc(N[NH+]=C2CC[C@H]3CNc4cccc2c43)cc1 ZINC000848415112 631657311 /nfs/dbraw/zinc/65/73/11/631657311.db2.gz WMNBOHVCRSSRAF-ZDUSSCGKSA-N 0 1 321.380 3.433 20 30 DGEDMN CO[C@@H](CNCc1ccc(C(C)(C)C#N)cc1)C(F)(F)F ZINC000848536592 631683071 /nfs/dbraw/zinc/68/30/71/631683071.db2.gz RVQMGOUHPTYZTC-ZDUSSCGKSA-N 0 1 300.324 3.155 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2cn[nH]c2-c2ccccc2)c1 ZINC000108452708 631887217 /nfs/dbraw/zinc/88/72/17/631887217.db2.gz XBPWYELBPWZLCX-UHFFFAOYSA-N 0 1 317.348 3.341 20 30 DGEDMN C#CC[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC000849344519 631897344 /nfs/dbraw/zinc/89/73/44/631897344.db2.gz NXMZAQSBADJBQO-XHDPSFHLSA-N 0 1 309.768 3.340 20 30 DGEDMN N#C[C@@H](C(=O)CC1CCC(c2ccccc2)CC1)C(=O)NC1CC1 ZINC000108624596 631909055 /nfs/dbraw/zinc/90/90/55/631909055.db2.gz PPYNSSYNKVFTAD-PVARCSIZSA-N 0 1 324.424 3.338 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C1CCC2(CC2)CC1 ZINC000850752852 632214995 /nfs/dbraw/zinc/21/49/95/632214995.db2.gz ULPCVKHUTQZTNN-HNNXBMFYSA-N 0 1 304.434 3.362 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000850755719 632215846 /nfs/dbraw/zinc/21/58/46/632215846.db2.gz HNTRLQMJHLSZTB-QOWREQOWSA-N 0 1 316.788 3.424 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)C(=O)C1CC2(C1)CCCCC2 ZINC000850782893 632224112 /nfs/dbraw/zinc/22/41/12/632224112.db2.gz TYQMGQBNOUZXBE-CQSZACIVSA-N 0 1 311.385 3.089 20 30 DGEDMN CO[C@H](C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)C1CCCC1 ZINC000850849807 632237181 /nfs/dbraw/zinc/23/71/81/632237181.db2.gz KDEIHNZHAIEJRP-GUYCJALGSA-N 0 1 311.385 3.253 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCC[C@@H]1Cc1ccccc1)c1ccncn1 ZINC000850946940 632264912 /nfs/dbraw/zinc/26/49/12/632264912.db2.gz BGLQYBWQIQDYKC-IKGGRYGDSA-N 0 1 305.381 3.312 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)O[C@@H](C)CC(C)(C)C#N)[nH]n2)o1 ZINC000851212363 632344319 /nfs/dbraw/zinc/34/43/19/632344319.db2.gz RYCOFCDOADAQCQ-NSHDSACASA-N 0 1 301.346 3.463 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1nc(-c2cccs2)cs1 ZINC000852099695 632554085 /nfs/dbraw/zinc/55/40/85/632554085.db2.gz AADBHSXOFYJOEV-HNNXBMFYSA-N 0 1 319.455 3.202 20 30 DGEDMN C=CCCC1(CNC(=O)NCc2cc(CC)[nH]n2)CCCC1 ZINC000852131215 632559789 /nfs/dbraw/zinc/55/97/89/632559789.db2.gz PROCKPIKRKCGED-UHFFFAOYSA-N 0 1 304.438 3.298 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2cc(=O)oc3cc4c(cc23)CCC4)C1 ZINC000852319288 632586980 /nfs/dbraw/zinc/58/69/80/632586980.db2.gz JLPMFAZSAPIMRJ-AWEZNQCLSA-N 0 1 322.408 3.407 20 30 DGEDMN CC(C)(C)SCCN1CCN(c2ccsc2C#N)CC1 ZINC000852342859 632591691 /nfs/dbraw/zinc/59/16/91/632591691.db2.gz MQALJFREWHUUMQ-UHFFFAOYSA-N 0 1 309.504 3.273 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2cc(C)c(C)cc12)[C@@H](C)COC ZINC000852356353 632594518 /nfs/dbraw/zinc/59/45/18/632594518.db2.gz BVQVLYWOIUVRHL-HNNXBMFYSA-N 0 1 315.413 3.433 20 30 DGEDMN C=CCN(C[C@H](O)c1ccc(F)cc1Cl)[C@@H](C)COC ZINC000852357279 632594611 /nfs/dbraw/zinc/59/46/11/632594611.db2.gz ZVHNGYDIMZCMDG-NHYWBVRUSA-N 0 1 301.789 3.035 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001032146647 660207779 /nfs/dbraw/zinc/20/77/79/660207779.db2.gz ZTGDGKWWQDVXTF-UHFFFAOYSA-N 0 1 300.446 3.222 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2c(Cl)cccc2Cl)C1 ZINC001032148706 660208002 /nfs/dbraw/zinc/20/80/02/660208002.db2.gz CRJASWNHLAVUQP-UHFFFAOYSA-N 0 1 313.228 3.231 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001032200411 660222036 /nfs/dbraw/zinc/22/20/36/660222036.db2.gz UBJXOARIHABIIB-UHFFFAOYSA-N 0 1 306.475 3.283 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2coc3c2cccc3C)[C@@H]1C ZINC000993419054 659733255 /nfs/dbraw/zinc/73/32/55/659733255.db2.gz GTDQSBPHGHKUCU-YJBOKZPZSA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccccc2CC(C)C)C1 ZINC001032215972 660230336 /nfs/dbraw/zinc/23/03/36/660230336.db2.gz SMGROLRVJJPLMK-UHFFFAOYSA-N 0 1 300.446 3.123 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)[C@H](C)C1 ZINC000944791623 660232932 /nfs/dbraw/zinc/23/29/32/660232932.db2.gz VODDPCQIEXGSKX-CJNGLKHVSA-N 0 1 318.486 3.082 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000186056601 660264198 /nfs/dbraw/zinc/26/41/98/660264198.db2.gz FDRPSBGKFYJCMO-GOSISDBHSA-N 0 1 312.457 3.159 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H]2C=CCCC2)CC1(C)C ZINC000995952112 660272893 /nfs/dbraw/zinc/27/28/93/660272893.db2.gz RJQIYXMKPDTCRT-LSDHHAIUSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCC23CC3)CC1(C)C ZINC000995959283 660273192 /nfs/dbraw/zinc/27/31/92/660273192.db2.gz PSZREJGJJBRDKQ-ZIAGYGMSSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2occc2Cl)CC1(C)C ZINC000996242848 660278260 /nfs/dbraw/zinc/27/82/60/660278260.db2.gz AKKRFQGYXUBSST-LLVKDONJSA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C3CC3)CCC2)CC1(C)C ZINC000996096578 660275215 /nfs/dbraw/zinc/27/52/15/660275215.db2.gz GIDGKZKFKYVUSM-CQSZACIVSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](C)n2cccc2)CC1(C)C ZINC000996536198 660284546 /nfs/dbraw/zinc/28/45/46/660284546.db2.gz NHINSDJVYGOWKV-LSDHHAIUSA-N 0 1 323.868 3.018 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001015962193 660285047 /nfs/dbraw/zinc/28/50/47/660285047.db2.gz FNBJZJONXAEZBX-NWDGAFQWSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)c(C)c2)CC1(C)C ZINC000996569382 660286112 /nfs/dbraw/zinc/28/61/12/660286112.db2.gz FVFJYXRFIRPCEX-MRXNPFEDSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001032471857 660327067 /nfs/dbraw/zinc/32/70/67/660327067.db2.gz AMEMMHCPLKMMGJ-ZRNYENFQSA-N 0 1 324.468 3.432 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC1CC(c2ccccc2)C1 ZINC001032485575 660330006 /nfs/dbraw/zinc/33/00/06/660330006.db2.gz VKPHQSQXGUHNQO-QUDVFMAMSA-N 0 1 324.468 3.432 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2ccccc21 ZINC001032504509 660332885 /nfs/dbraw/zinc/33/28/85/660332885.db2.gz BYTRVZUVKOJQGF-HOTGVXAUSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc2ccccc2cc1C ZINC001032528553 660338106 /nfs/dbraw/zinc/33/81/06/660338106.db2.gz XLGWHLRIONHSDH-IRXDYDNUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001032592228 660364079 /nfs/dbraw/zinc/36/40/79/660364079.db2.gz MARLLVXWHCMFLA-QGZVKYPTSA-N 0 1 324.468 3.214 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2ccc(F)s2)CC1 ZINC000998202403 660376002 /nfs/dbraw/zinc/37/60/02/660376002.db2.gz DNQJVJOVTVEABQ-CQSZACIVSA-N 0 1 322.449 3.227 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2ccc(Cl)[nH]2)CC1 ZINC000998112215 660370645 /nfs/dbraw/zinc/37/06/45/660370645.db2.gz SJPAROXYSFBPHL-AWEZNQCLSA-N 0 1 321.852 3.008 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)cc1 ZINC001032684237 660413218 /nfs/dbraw/zinc/41/32/18/660413218.db2.gz HGUHMRHHXCNEPP-GJZGRUSLSA-N 0 1 306.356 3.099 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nc(C)ccc2c1 ZINC001032693663 660414445 /nfs/dbraw/zinc/41/44/45/660414445.db2.gz LZGKTPYJXVJUCV-ROUUACIJSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC000999322582 660416294 /nfs/dbraw/zinc/41/62/94/660416294.db2.gz AARIEVPFZREDTO-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC000999322588 660416442 /nfs/dbraw/zinc/41/64/42/660416442.db2.gz AARIEVPFZREDTO-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(C(F)(F)F)CCC2)C1 ZINC000999520646 660420754 /nfs/dbraw/zinc/42/07/54/660420754.db2.gz PNBBIPZLHKVLLH-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2ccncc2Cl)C1 ZINC000999901109 660430852 /nfs/dbraw/zinc/43/08/52/660430852.db2.gz SXOUOLBESAVOCN-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C(F)(F)F)c1 ZINC001032747727 660438030 /nfs/dbraw/zinc/43/80/30/660438030.db2.gz ISTAZGQQMFZBRG-GJZGRUSLSA-N 0 1 324.346 3.180 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c2occc21 ZINC001032792439 660447453 /nfs/dbraw/zinc/44/74/53/660447453.db2.gz BGOSFNUCHRXOGX-STQMWFEESA-N 0 1 314.360 3.047 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(-c2ccccc2)co1 ZINC001032821354 660458304 /nfs/dbraw/zinc/45/83/04/660458304.db2.gz ZGZPTFHPOGYQAN-ROUUACIJSA-N 0 1 322.408 3.421 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3ccccc3cc2F)CC1 ZINC001003291865 660490553 /nfs/dbraw/zinc/49/05/53/660490553.db2.gz SNPHVGCUVWXADW-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccccc2C(C)(C)C)CC1 ZINC001003110740 660486699 /nfs/dbraw/zinc/48/66/99/660486699.db2.gz UDHFVUGJNRSLHI-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2CC(C)(C)CC(C)(C)C2)CC1 ZINC001003378729 660492197 /nfs/dbraw/zinc/49/21/97/660492197.db2.gz CTMAABMZYLLKTH-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001003804044 660509747 /nfs/dbraw/zinc/50/97/47/660509747.db2.gz BRECGWHURJWHMJ-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc(-c3ccoc3)c2)CC1 ZINC001003925335 660517513 /nfs/dbraw/zinc/51/75/13/660517513.db2.gz RRZSIPSEIGJVHY-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2sc(C3CC3)nc2C)C1 ZINC001033068463 660535584 /nfs/dbraw/zinc/53/55/84/660535584.db2.gz CMKQKNAZMYVQIJ-CQSZACIVSA-N 0 1 319.474 3.051 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2coc3ccc(CC)cc32)C1 ZINC001033082664 660537259 /nfs/dbraw/zinc/53/72/59/660537259.db2.gz TUOYQKFZMVUTQA-OAHLLOKOSA-N 0 1 312.413 3.328 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001033127558 660553783 /nfs/dbraw/zinc/55/37/83/660553783.db2.gz VUOXPHDAOOTVCD-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001033176583 660572136 /nfs/dbraw/zinc/57/21/36/660572136.db2.gz IXEVMGHHXWRQMM-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001033176583 660572137 /nfs/dbraw/zinc/57/21/37/660572137.db2.gz IXEVMGHHXWRQMM-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2oc(C(F)F)cc2C)C1 ZINC001033164775 660571106 /nfs/dbraw/zinc/57/11/06/660571106.db2.gz IFCZDTGOLQIISH-LBPRGKRZSA-N 0 1 312.360 3.248 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)C1 ZINC001033191707 660583600 /nfs/dbraw/zinc/58/36/00/660583600.db2.gz KOXHKENHKCLYPZ-MJBXVCDLSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033254682 660600110 /nfs/dbraw/zinc/60/01/10/660600110.db2.gz UBOUXXWTFNRMPY-SFHVURJKSA-N 0 1 300.446 3.362 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001033292216 660604468 /nfs/dbraw/zinc/60/44/68/660604468.db2.gz DNIWXHMVURUYRD-INIZCTEOSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2OCC(C)C)C1 ZINC001033505956 660628017 /nfs/dbraw/zinc/62/80/17/660628017.db2.gz RYWBGARTBBKUAK-INIZCTEOSA-N 0 1 316.445 3.054 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033519283 660629886 /nfs/dbraw/zinc/62/98/86/660629886.db2.gz KVXUJZMBGINZPP-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001033532222 660630650 /nfs/dbraw/zinc/63/06/50/660630650.db2.gz ILMLEWPFNTZVEP-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001033535936 660631335 /nfs/dbraw/zinc/63/13/35/660631335.db2.gz FJTQKYLAJPHBJV-OAHLLOKOSA-N 0 1 311.429 3.117 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001033579502 660633532 /nfs/dbraw/zinc/63/35/32/660633532.db2.gz ORCBVOPRNRGKTE-MRXNPFEDSA-N 0 1 309.413 3.014 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001033600505 660637061 /nfs/dbraw/zinc/63/70/61/660637061.db2.gz DZIDNQJNZNNFDS-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cccc(C3CCCC3)c2)C1 ZINC001033593835 660635662 /nfs/dbraw/zinc/63/56/62/660635662.db2.gz JPCLAMABLHPWQD-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3c(s2)CCCC3)C1 ZINC001033639926 660644773 /nfs/dbraw/zinc/64/47/73/660644773.db2.gz MYAQHOXCGPPSED-HNNXBMFYSA-N 0 1 318.486 3.349 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001033685038 660649881 /nfs/dbraw/zinc/64/98/81/660649881.db2.gz RPMLMRXDGRKXQY-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2oc3c(cccc3C)c2C)C1 ZINC001033690027 660651287 /nfs/dbraw/zinc/65/12/87/660651287.db2.gz OMTXOUKTMBHOGB-HNNXBMFYSA-N 0 1 312.413 3.382 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001033717001 660654738 /nfs/dbraw/zinc/65/47/38/660654738.db2.gz AHYGLENUOABSLT-HXUWFJFHSA-N 0 1 322.452 3.424 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(OCCC)c2)C1 ZINC001033846256 660669729 /nfs/dbraw/zinc/66/97/29/660669729.db2.gz PSONILIOBVVVQR-KRWDZBQOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2nsc3ccccc32)C1 ZINC001033845282 660669907 /nfs/dbraw/zinc/66/99/07/660669907.db2.gz DXSLRLQOHTZMKO-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(F)cc3ccoc32)C1 ZINC001033877582 660673170 /nfs/dbraw/zinc/67/31/70/660673170.db2.gz KFZOWJRBSSBDBX-OAHLLOKOSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc3ccc(C)nc32)C1 ZINC001033866427 660673350 /nfs/dbraw/zinc/67/33/50/660673350.db2.gz XRCFPDNLEFQIOL-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(C)c(OC)c2)C1 ZINC001033890483 660674831 /nfs/dbraw/zinc/67/48/31/660674831.db2.gz PKUWRRDHPLQWCB-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccccc2C(C)C)CC1 ZINC001005472832 660681237 /nfs/dbraw/zinc/68/12/37/660681237.db2.gz GARNRAJFMPRCSR-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(F)c(Cl)c2)C1 ZINC001033936293 660682729 /nfs/dbraw/zinc/68/27/29/660682729.db2.gz PFJDVTHDEDUXDI-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@H]2CCN(C[C@@H](F)CC)C2)CC1 ZINC001033946438 660682848 /nfs/dbraw/zinc/68/28/48/660682848.db2.gz VMUUTVPUYWVJRM-IRXDYDNUSA-N 0 1 310.457 3.404 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001033945372 660685592 /nfs/dbraw/zinc/68/55/92/660685592.db2.gz YRWIXQSEXPYZPD-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001033982010 660689967 /nfs/dbraw/zinc/68/99/67/660689967.db2.gz NJGMWYBOOHONCZ-OTWHNJEPSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001033995036 660691683 /nfs/dbraw/zinc/69/16/83/660691683.db2.gz VEGXADZLOLYWBR-SFHVURJKSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001034017726 660694316 /nfs/dbraw/zinc/69/43/16/660694316.db2.gz TVZNKVZOIOQKMA-HNNXBMFYSA-N 0 1 304.434 3.127 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001034044430 660700862 /nfs/dbraw/zinc/70/08/62/660700862.db2.gz AZBYUBOBZKYQQC-KRWDZBQOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001034032542 660697166 /nfs/dbraw/zinc/69/71/66/660697166.db2.gz RSPUIBGXZPJECJ-GFCCVEGCSA-N 0 1 312.360 3.330 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C(C)(C)C2CCCC2)CC1 ZINC001005513051 660716827 /nfs/dbraw/zinc/71/68/27/660716827.db2.gz QVKFTYABYGEESH-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@@H](NC(=O)C3=CCCC3)C2)c1 ZINC001034160928 660720444 /nfs/dbraw/zinc/72/04/44/660720444.db2.gz RVKDHKMWONNKSU-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC001034253319 660729771 /nfs/dbraw/zinc/72/97/71/660729771.db2.gz HUBOKYYJMNLKIM-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001034304308 660737201 /nfs/dbraw/zinc/73/72/01/660737201.db2.gz QQBMQJJYBIAJGY-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001034328945 660737321 /nfs/dbraw/zinc/73/73/21/660737321.db2.gz BIXCIHNPTQJWEN-AWEZNQCLSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001034337476 660738383 /nfs/dbraw/zinc/73/83/83/660738383.db2.gz XVXTYPNBRHVRGB-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001034343913 660740736 /nfs/dbraw/zinc/74/07/36/660740736.db2.gz DUXQNGURANOPKW-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2occc2C(C)C)C1 ZINC001034366653 660744597 /nfs/dbraw/zinc/74/45/97/660744597.db2.gz BZXJPTYZWXYJBD-HNNXBMFYSA-N 0 1 302.418 3.011 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC001034378066 660745188 /nfs/dbraw/zinc/74/51/88/660745188.db2.gz OYTKATFYNXOIFY-GOSISDBHSA-N 0 1 300.446 3.003 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001034381271 660745615 /nfs/dbraw/zinc/74/56/15/660745615.db2.gz LFIPGCDZXYPBHS-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001034436756 660754004 /nfs/dbraw/zinc/75/40/04/660754004.db2.gz BQMLJNGBYLCTNS-OAHLLOKOSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001034507445 660763565 /nfs/dbraw/zinc/76/35/65/660763565.db2.gz JAFJJMHNCRVTMW-RDJZCZTQSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001034485987 660761700 /nfs/dbraw/zinc/76/17/00/660761700.db2.gz VLJFZAZGOIYPBV-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(Cl)ccc2OC)C1 ZINC001034513211 660764909 /nfs/dbraw/zinc/76/49/09/660764909.db2.gz WDQVOIXMCRAHFM-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC001034516261 660765624 /nfs/dbraw/zinc/76/56/24/660765624.db2.gz YFQIOEHZKFHMBW-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001034521798 660768594 /nfs/dbraw/zinc/76/85/94/660768594.db2.gz PZUCZNUGTPYPEJ-CQSZACIVSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001034536770 660769353 /nfs/dbraw/zinc/76/93/53/660769353.db2.gz WAQWDZPKHRLVPY-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@]2(C)CC=C(C)CC2)C1 ZINC001034535656 660769361 /nfs/dbraw/zinc/76/93/61/660769361.db2.gz STLWLBAVORAJPV-PKOBYXMFSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001034536772 660769529 /nfs/dbraw/zinc/76/95/29/660769529.db2.gz WAQWDZPKHRLVPY-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(C)C(C)(C)C2(C)C)C1 ZINC001034553854 660773638 /nfs/dbraw/zinc/77/36/38/660773638.db2.gz LTMDJXYPAZVVCD-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001034543593 660770855 /nfs/dbraw/zinc/77/08/55/660770855.db2.gz YKCBFLQQCNYLSW-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001035037008 660811337 /nfs/dbraw/zinc/81/13/37/660811337.db2.gz LDPADKRDWUDLEO-WBVHZDCISA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C=C(\C)C1CC1)CC2 ZINC001035163043 660820629 /nfs/dbraw/zinc/82/06/29/660820629.db2.gz LVDQUWPKPQWZMO-SDNWHVSQSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1[nH]ccc1C)CC2 ZINC001035179972 660823289 /nfs/dbraw/zinc/82/32/89/660823289.db2.gz PHGGVEBMOUPOPH-UHFFFAOYSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1CC(C)(C)C1)CC2 ZINC001035183737 660823611 /nfs/dbraw/zinc/82/36/11/660823611.db2.gz LHTFIFJPQQKEOS-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC[C@H]1C1CC1)CC2 ZINC001035757194 660849192 /nfs/dbraw/zinc/84/91/92/660849192.db2.gz HDQNUFDKNMARBP-ZWKOTPCHSA-N 0 1 316.489 3.313 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001035786284 660851707 /nfs/dbraw/zinc/85/17/07/660851707.db2.gz DQGRBSWENRZPJQ-NVXWUHKLSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C1CCC(C(=O)N2CCC3(CN(C[C@@H](F)CC)C3)CC2)CC1 ZINC001035788236 660852027 /nfs/dbraw/zinc/85/20/27/660852027.db2.gz XPGTYMSYPSNMHM-KRWDZBQOSA-N 0 1 322.468 3.405 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1[C@@H]3CCCCCC[C@@H]31)CC2 ZINC001035804741 660854556 /nfs/dbraw/zinc/85/45/56/660854556.db2.gz WDMKYOFPAGCJGX-PIIMJCKOSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(Cl)cc1)CC2 ZINC001035843372 660858307 /nfs/dbraw/zinc/85/83/07/660858307.db2.gz YYHGFFQHVMEXLN-UHFFFAOYSA-N 0 1 304.821 3.064 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1sccc1CC)CC2 ZINC001035859596 660860717 /nfs/dbraw/zinc/86/07/17/660860717.db2.gz VCTKPMILHOOEOU-UHFFFAOYSA-N 0 1 304.459 3.035 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1CC(C(C)(C)C)C1)CC2 ZINC001035861619 660861069 /nfs/dbraw/zinc/86/10/69/660861069.db2.gz KOMFUBOJFFPMLY-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccccc3F)CC[C@@H]21 ZINC001036631443 660933289 /nfs/dbraw/zinc/93/32/89/660933289.db2.gz XDDNIMQLYACBLF-BBRMVZONSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cccs3)CC[C@@H]21 ZINC001036633578 660934733 /nfs/dbraw/zinc/93/47/33/660934733.db2.gz FMGQMXUKBICZEL-STQMWFEESA-N 0 1 310.850 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cccs3)CC[C@H]21 ZINC001036633575 660934746 /nfs/dbraw/zinc/93/47/46/660934746.db2.gz FMGQMXUKBICZEL-CHWSQXEVSA-N 0 1 310.850 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C(/C)C3CC3)CC[C@@H]21 ZINC001036659239 660939142 /nfs/dbraw/zinc/93/91/42/660939142.db2.gz UYCCRLMFDBWPQO-GXIIQHASSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cc(C)c(C)o3)CC[C@@H]21 ZINC001036692675 660952683 /nfs/dbraw/zinc/95/26/83/660952683.db2.gz KNFZKTNCCLOJGB-CABCVRRESA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccccc2C2(C)CC2)CC1 ZINC001005980133 660953603 /nfs/dbraw/zinc/95/36/03/660953603.db2.gz BNVMSFHTWGBPQI-UHFFFAOYSA-N 0 1 324.468 3.298 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001006090866 660963009 /nfs/dbraw/zinc/96/30/09/660963009.db2.gz GHZNQIZGOZOEOJ-KXBFYZLASA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001006148085 660965921 /nfs/dbraw/zinc/96/59/21/660965921.db2.gz UQQAXJRMEOHFNV-SJORKVTESA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cc(C)cs3)CC[C@H]21 ZINC001036709534 660960792 /nfs/dbraw/zinc/96/07/92/660960792.db2.gz XCBOERYHHRSMLF-ZIAGYGMSSA-N 0 1 324.877 3.345 20 30 DGEDMN CCC(=O)N[C@H]1CCN(CC#Cc2cccc(Cl)c2)[C@H](C)C1 ZINC000946936887 660970674 /nfs/dbraw/zinc/97/06/74/660970674.db2.gz MZMNNXYZIKKKJF-PBHICJAKSA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCc1cc(CNCc2cnccc2C)cc(OC)c1OC ZINC000792972000 660997557 /nfs/dbraw/zinc/99/75/57/660997557.db2.gz SQCWBGADQXYQEY-UHFFFAOYSA-N 0 1 312.413 3.426 20 30 DGEDMN C[C@@H](NN=Cc1ccc(N2CCOCC2)c(F)c1)C(C)(C)C ZINC000793112295 661005512 /nfs/dbraw/zinc/00/55/12/661005512.db2.gz RWPIRVYZMMZZLF-CYBMUJFWSA-N 0 1 307.413 3.020 20 30 DGEDMN CC(=O)Nc1ccc(O)c(C(C)=NNc2cccc(Cl)n2)c1 ZINC000793174521 661010186 /nfs/dbraw/zinc/01/01/86/661010186.db2.gz JXGAGROJIYMCPT-UHFFFAOYSA-N 0 1 318.764 3.235 20 30 DGEDMN CN(Cn1cc2c(c(C#N)c1=O)CCCC2)[C@@H]1CCC(C)(C)C1 ZINC000793515274 661040360 /nfs/dbraw/zinc/04/03/60/661040360.db2.gz IQRCKKBSVXYMHI-OAHLLOKOSA-N 0 1 313.445 3.067 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2cc(C)nn2C)c1 ZINC000793543037 661044063 /nfs/dbraw/zinc/04/40/63/661044063.db2.gz YAMMWFZQFFLKBI-GOEBONIOSA-N 0 1 312.417 3.260 20 30 DGEDMN CCC(CC)N(Cn1cc2c(c(C#N)c1=O)CCCC2)C1CC1 ZINC000793486269 661038293 /nfs/dbraw/zinc/03/82/93/661038293.db2.gz MEZDZEMVMLAOJF-UHFFFAOYSA-N 0 1 313.445 3.209 20 30 DGEDMN N#CC[C@@H](CC(=O)Nc1cc(C#N)ccc1O)c1ccccc1 ZINC000793734511 661054741 /nfs/dbraw/zinc/05/47/41/661054741.db2.gz DCJGONXMFVTGPX-HNNXBMFYSA-N 0 1 305.337 3.290 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000793876484 661061187 /nfs/dbraw/zinc/06/11/87/661061187.db2.gz WZEHMXVGMPQBOV-IRXDYDNUSA-N 0 1 312.498 3.041 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOCCSC)ccc1[O-] ZINC000794572393 661091881 /nfs/dbraw/zinc/09/18/81/661091881.db2.gz PVQHNKFFWHIOII-UHFFFAOYSA-N 0 1 310.463 3.338 20 30 DGEDMN COC(=O)c1csc(C(C)=NNc2ccccc2[N+](=O)[O-])c1 ZINC000794854169 661109697 /nfs/dbraw/zinc/10/96/97/661109697.db2.gz DFBHKLRVKAALEN-UHFFFAOYSA-N 0 1 319.342 3.279 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1ccccc1-n1ccnn1 ZINC000794857058 661109935 /nfs/dbraw/zinc/10/99/35/661109935.db2.gz VNXUVIPICPXZIO-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1ccc2n[nH]cc2c1 ZINC000794921379 661113719 /nfs/dbraw/zinc/11/37/19/661113719.db2.gz HAVVQSURYLPHNB-UHFFFAOYSA-N 0 1 308.341 3.186 20 30 DGEDMN O=C(/C=C\c1ccnn1-c1ccc(F)cc1)c1ccc(O)cc1O ZINC000794940940 661115129 /nfs/dbraw/zinc/11/51/29/661115129.db2.gz GKWSYCOKHJUXIM-YVMONPNESA-N 0 1 324.311 3.319 20 30 DGEDMN CN(c1ccccc1)c1ccc(C=NNc2cncnc2)cn1 ZINC000794977118 661118446 /nfs/dbraw/zinc/11/84/46/661118446.db2.gz WLQBZFAZVKTDDJ-UHFFFAOYSA-N 0 1 304.357 3.086 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC000969121119 655525496 /nfs/dbraw/zinc/52/54/96/655525496.db2.gz BYXUVPNWUFDAHF-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccccc1Cl ZINC000969124809 655526110 /nfs/dbraw/zinc/52/61/10/655526110.db2.gz VDPRYNUGERCCGI-HNNXBMFYSA-N 0 1 318.848 3.338 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc(Cl)s1 ZINC000969132249 655526822 /nfs/dbraw/zinc/52/68/22/655526822.db2.gz AMDUKINFQHJUBQ-ZDUSSCGKSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc2ccccc2o1 ZINC000969139064 655527473 /nfs/dbraw/zinc/52/74/73/655527473.db2.gz HMOSKSCYYRRUTQ-KRWDZBQOSA-N 0 1 324.424 3.431 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC000969147297 655528366 /nfs/dbraw/zinc/52/83/66/655528366.db2.gz CBBBLCKNNSGGAY-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(C2CC2)c1 ZINC000969160542 655529620 /nfs/dbraw/zinc/52/96/20/655529620.db2.gz GPQQWENEUNBYEJ-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)cc(Cl)c2)C1 ZINC000969346974 655540526 /nfs/dbraw/zinc/54/05/26/655540526.db2.gz XVYLXBYUBBQEJP-CQSZACIVSA-N 0 1 313.228 3.205 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C[C@@H]1C ZINC000947373880 655541424 /nfs/dbraw/zinc/54/14/24/655541424.db2.gz IRYMUBORVAVIAN-WMLDXEAASA-N 0 1 324.399 3.195 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000969830552 655559159 /nfs/dbraw/zinc/55/91/59/655559159.db2.gz MQKQKRMJXKXGQU-CRAIPNDOSA-N 0 1 322.399 3.258 20 30 DGEDMN Cc1cc(/C=C\C(=O)c2cc(F)ccc2O)ccc1-n1cncn1 ZINC000255008924 655560188 /nfs/dbraw/zinc/56/01/88/655560188.db2.gz GCMQBMLGTVMDMP-UTCJRWHESA-N 0 1 323.327 3.317 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NCc3sccc3Cl)C2)C1 ZINC000969879383 655562158 /nfs/dbraw/zinc/56/21/58/655562158.db2.gz VNSRNMXXJGNCES-GFCCVEGCSA-N 0 1 324.877 3.448 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)nc2C)C1 ZINC000969985676 655566841 /nfs/dbraw/zinc/56/68/41/655566841.db2.gz LZNXWMWKZLLSRR-CQSZACIVSA-N 0 1 321.852 3.070 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC000970045224 655569887 /nfs/dbraw/zinc/56/98/87/655569887.db2.gz PQWQAVHVXTZWLE-SECBINFHSA-N 0 1 318.364 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(F)ccc2Cl)C1 ZINC000970073730 655571895 /nfs/dbraw/zinc/57/18/95/655571895.db2.gz WFAQXSOLJXZZRO-LLVKDONJSA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(CC)c(CC)c1 ZINC001039099382 655575758 /nfs/dbraw/zinc/57/57/58/655575758.db2.gz XTFCEIZEAHQOTN-GOSISDBHSA-N 0 1 300.446 3.192 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC000970218674 655589493 /nfs/dbraw/zinc/58/94/93/655589493.db2.gz ZIMUUXUONAMALN-CQSZACIVSA-N 0 1 312.413 3.286 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccn2C2CCCC2)C1 ZINC000970353343 655595963 /nfs/dbraw/zinc/59/59/63/655595963.db2.gz QOIFTPILDLLAOG-CQSZACIVSA-N 0 1 321.852 3.160 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC000970431319 655599147 /nfs/dbraw/zinc/59/91/47/655599147.db2.gz MPIGVGPLWADFBE-CQSZACIVSA-N 0 1 315.461 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C(C)(C)F)C1 ZINC001039178533 655601053 /nfs/dbraw/zinc/60/10/53/655601053.db2.gz RVIRVSTVFHIUFO-KBPBESRZSA-N 0 1 316.848 3.190 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000970465622 655601256 /nfs/dbraw/zinc/60/12/56/655601256.db2.gz VICVJRSVMUZCBR-AWEZNQCLSA-N 0 1 324.399 3.052 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](F)CC2CCCCC2)C1 ZINC000970487134 655602467 /nfs/dbraw/zinc/60/24/67/655602467.db2.gz BFKKAQHJTTYIBA-HUUCEWRRSA-N 0 1 316.848 3.238 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC000970559714 655606345 /nfs/dbraw/zinc/60/63/45/655606345.db2.gz DGYNRGSZOSULCB-OAUYIBNBSA-N 0 1 318.848 3.066 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC000970708395 655613759 /nfs/dbraw/zinc/61/37/59/655613759.db2.gz KQKUSZOEEFNGII-HUUCEWRRSA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2oc3ccccc3c2Cl)C1 ZINC000970730358 655615063 /nfs/dbraw/zinc/61/50/63/655615063.db2.gz DDNYEGNMNVOOSE-LLVKDONJSA-N 0 1 318.804 3.322 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC000970786465 655620715 /nfs/dbraw/zinc/62/07/15/655620715.db2.gz QPUGFEBRRBSFKQ-CQSZACIVSA-N 0 1 300.446 3.220 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC000970836001 655628071 /nfs/dbraw/zinc/62/80/71/655628071.db2.gz MGMALLONMPPLPD-STQMWFEESA-N 0 1 318.486 3.109 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C2CCC(CCCC)CC2)C1 ZINC000970846100 655629022 /nfs/dbraw/zinc/62/90/22/655629022.db2.gz PZEFNGUKGFBAIY-AOCRQIFASA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)c2ccccc2C)C1 ZINC000970870688 655631716 /nfs/dbraw/zinc/63/17/16/655631716.db2.gz SXOIMTFVUYBHGE-OAHLLOKOSA-N 0 1 320.864 3.216 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3cccc(F)c3o2)C1 ZINC000970905848 655635408 /nfs/dbraw/zinc/63/54/08/655635408.db2.gz WPGWMSSVXCZCGL-GFCCVEGCSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000970928120 655638316 /nfs/dbraw/zinc/63/83/16/655638316.db2.gz JIQLVDPJLLETFI-CQSZACIVSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC000970930477 655638380 /nfs/dbraw/zinc/63/83/80/655638380.db2.gz ASLHZJAXDDPCPL-HOTGVXAUSA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(Cl)COc1ccc([C@H](C)NCc2cncn2C)cc1 ZINC000289799566 655670289 /nfs/dbraw/zinc/67/02/89/655670289.db2.gz NTSVUMNOMCHDPL-ZDUSSCGKSA-N 0 1 305.809 3.402 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@H](C2CCOCC2)C1 ZINC000933085715 655674915 /nfs/dbraw/zinc/67/49/15/655674915.db2.gz QVDSQUFVHMEPGK-HNNXBMFYSA-N 0 1 302.393 3.336 20 30 DGEDMN C#CCC1(NCc2nc3cc(Cl)ccc3c(=O)[nH]2)CCC1 ZINC000933300198 655698312 /nfs/dbraw/zinc/69/83/12/655698312.db2.gz UEMJCPJWJFPNNG-UHFFFAOYSA-N 0 1 301.777 3.024 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccsc1 ZINC001039434748 655721844 /nfs/dbraw/zinc/72/18/44/655721844.db2.gz LYUGPJTUVPBFMM-BMFZPTHFSA-N 0 1 304.459 3.103 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cn(C)nc2C(C)(C)C)c(O)c1 ZINC000255421461 655746055 /nfs/dbraw/zinc/74/60/55/655746055.db2.gz MUEXJRHDJLMLBK-TWGQIWQCSA-N 0 1 314.385 3.328 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(O)c(F)c2)cc(OC)c1 ZINC000255443020 655753166 /nfs/dbraw/zinc/75/31/66/655753166.db2.gz KQDFHNXUTBNZQU-HYXAFXHYSA-N 0 1 302.301 3.445 20 30 DGEDMN COc1ccc([C@@H]2CCCN2CCOc2cccc(C#N)c2)nc1 ZINC000934350354 655770213 /nfs/dbraw/zinc/77/02/13/655770213.db2.gz XUDPSIRRRWPEKV-IBGZPJMESA-N 0 1 323.396 3.178 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C(C)C)CC[C@H]21 ZINC001036725625 661192726 /nfs/dbraw/zinc/19/27/26/661192726.db2.gz ZNQGTLBXHUNGKJ-HUUCEWRRSA-N 0 1 312.885 3.344 20 30 DGEDMN CC(C)(C)n1nc(C(=O)Nc2cc(C#N)ccc2O)cc1C1CC1 ZINC000176823196 655849333 /nfs/dbraw/zinc/84/93/33/655849333.db2.gz ZLZOSQFVJDFQMD-UHFFFAOYSA-N 0 1 324.384 3.345 20 30 DGEDMN Cc1ccccc1-n1cc(C(=O)Nc2cc(C#N)ccc2O)cn1 ZINC000176826711 655849951 /nfs/dbraw/zinc/84/99/51/655849951.db2.gz BWNZZMFQAYMYLR-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(CC)o3)CC[C@@H]21 ZINC001036752144 661195680 /nfs/dbraw/zinc/19/56/80/661195680.db2.gz SOIIVVGIKQRXFK-HIFRSBDPSA-N 0 1 322.836 3.131 20 30 DGEDMN CCCCOC(=O)C/N=C\c1cc(Cl)cc(Cl)c1O ZINC000255630348 655882960 /nfs/dbraw/zinc/88/29/60/655882960.db2.gz WINAGOIOPRTNHM-APSNUPSMSA-N 0 1 304.173 3.461 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001024095150 655883911 /nfs/dbraw/zinc/88/39/11/655883911.db2.gz RJSGLQLRNVXJNE-LSDHHAIUSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C4CC4)CCC3)CC[C@H]21 ZINC001036779691 661199286 /nfs/dbraw/zinc/19/92/86/661199286.db2.gz OKHAJOAYGGZTDV-GDBMZVCRSA-N 0 1 322.880 3.242 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001024453230 655906420 /nfs/dbraw/zinc/90/64/20/655906420.db2.gz GLCJBJQLTPQJEW-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001024453230 655906422 /nfs/dbraw/zinc/90/64/22/655906422.db2.gz GLCJBJQLTPQJEW-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CC4(CCC4)C3)CC[C@@H]21 ZINC001036795578 661202263 /nfs/dbraw/zinc/20/22/63/661202263.db2.gz ONVISCWBVYIWFL-ZBFHGGJFSA-N 0 1 322.880 3.242 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC=CCCC1 ZINC001024505457 655911663 /nfs/dbraw/zinc/91/16/63/655911663.db2.gz DGHVGTNIYYNMAS-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001024508811 655912274 /nfs/dbraw/zinc/91/22/74/655912274.db2.gz MFOGYQYSEHYKCY-PKOBYXMFSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1coc2cc(F)ccc12 ZINC001024517959 655912959 /nfs/dbraw/zinc/91/29/59/655912959.db2.gz DRGZWQKUNOTWCO-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)C[C@@H]1C ZINC000947619055 655916969 /nfs/dbraw/zinc/91/69/69/655916969.db2.gz CFRJEABICMJFJK-LSDHHAIUSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001024578033 655919686 /nfs/dbraw/zinc/91/96/86/655919686.db2.gz JMGJOTHXYKZMTH-JTQLQIEISA-N 0 1 316.232 3.092 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)cc1OC ZINC001024622336 655925302 /nfs/dbraw/zinc/92/53/02/655925302.db2.gz VEZMVKBHJPZFOY-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2ncccc2c1 ZINC001024632286 655925949 /nfs/dbraw/zinc/92/59/49/655925949.db2.gz WFAXZUODAGHXBU-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001024676831 655929559 /nfs/dbraw/zinc/92/95/59/655929559.db2.gz ZLFSIHCSRWJSIR-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001024676833 655929562 /nfs/dbraw/zinc/92/95/62/655929562.db2.gz ZLFSIHCSRWJSIR-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnc2ccccc2c1 ZINC001024681002 655930185 /nfs/dbraw/zinc/93/01/85/655930185.db2.gz MXNHPQUAZZIKGQ-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)cc(OC)c1 ZINC001024720323 655933218 /nfs/dbraw/zinc/93/32/18/655933218.db2.gz IKBYDFVTNZSUDY-OAHLLOKOSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc2sccc2c1 ZINC001024741104 655934214 /nfs/dbraw/zinc/93/42/14/655934214.db2.gz UIHBAMWYUFVHPB-MRXNPFEDSA-N 0 1 312.438 3.119 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ncsc1C(C)C ZINC001024754500 655935795 /nfs/dbraw/zinc/93/57/95/655935795.db2.gz UTJHUBGSJRSGRV-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1sccc1COC ZINC001024758634 655936372 /nfs/dbraw/zinc/93/63/72/655936372.db2.gz VHJONKZISGYCBU-OAHLLOKOSA-N 0 1 322.474 3.055 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)s1 ZINC001024788424 655938951 /nfs/dbraw/zinc/93/89/51/655938951.db2.gz JTXPQJKIAKROPH-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1oc2ccccc2c1C ZINC001024790547 655939472 /nfs/dbraw/zinc/93/94/72/655939472.db2.gz LPVDCZCDDVMHSG-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001024821022 655941908 /nfs/dbraw/zinc/94/19/08/655941908.db2.gz AGZFRPICURRBOW-MNNVXMFVSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1Cc2c1cccc2Cl ZINC001024821275 655942202 /nfs/dbraw/zinc/94/22/02/655942202.db2.gz JUEZTULUYCURID-CJNGLKHVSA-N 0 1 318.848 3.136 20 30 DGEDMN CC(C)(C(=O)NOCc1ccc(F)cc1C#N)c1ccccc1 ZINC000066468668 655952192 /nfs/dbraw/zinc/95/21/92/655952192.db2.gz PXCOOVDHIZPENB-UHFFFAOYSA-N 0 1 312.344 3.223 20 30 DGEDMN COc1ccc(C(=O)C=Cc2c[nH]nc2C(C)(C)C)c(O)c1 ZINC000255892511 655958616 /nfs/dbraw/zinc/95/86/16/655958616.db2.gz LKJYIQRHKDFUCG-YVMONPNESA-N 0 1 300.358 3.318 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001025069770 655963652 /nfs/dbraw/zinc/96/36/52/655963652.db2.gz OMMFPYFEFIJLMA-QAPCUYQASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001025069363 655963679 /nfs/dbraw/zinc/96/36/79/655963679.db2.gz IVPUCOPRVMRENU-WMLDXEAASA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001025069362 655963828 /nfs/dbraw/zinc/96/38/28/655963828.db2.gz IVPUCOPRVMRENU-RHSMWYFYSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CC1CC1 ZINC001025188921 655974289 /nfs/dbraw/zinc/97/42/89/655974289.db2.gz LZOBVYPQJDADOE-CVEARBPZSA-N 0 1 310.869 3.385 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ccoc1CC ZINC001025228677 655981920 /nfs/dbraw/zinc/98/19/20/655981920.db2.gz BXVWLFJBEHJYSZ-IAGOWNOFSA-N 0 1 316.445 3.487 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001039897096 655995915 /nfs/dbraw/zinc/99/59/15/655995915.db2.gz UECKYHISUXKADG-LISAXSMJSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2CC(C)(C)C2)C1 ZINC001025411039 656006820 /nfs/dbraw/zinc/00/68/20/656006820.db2.gz FSULDCQJMCQFLB-ZIAGYGMSSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2cccc3c2CCCC3)C1 ZINC001025425419 656009911 /nfs/dbraw/zinc/00/99/11/656009911.db2.gz POZWODRCHFVOMR-WMZOPIPTSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2[nH]c(C)cc2C)C1 ZINC001025459808 656016529 /nfs/dbraw/zinc/01/65/29/656016529.db2.gz SSRSESNAMBEISW-GJZGRUSLSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001025515477 656026737 /nfs/dbraw/zinc/02/67/37/656026737.db2.gz LLILBECNLMFHHO-SUJAAXHWSA-N 0 1 310.869 3.002 20 30 DGEDMN CCCCCCCC(=O)N1CCC[C@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000123681108 656182306 /nfs/dbraw/zinc/18/23/06/656182306.db2.gz BHAIYIFAASBNPH-KSZLIROESA-N 0 1 324.509 3.447 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sccc2Cl)C(C)(C)C1 ZINC000974629788 656217192 /nfs/dbraw/zinc/21/71/92/656217192.db2.gz KFGCFCNWDMFVTH-LBPRGKRZSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)C(C)(C)C1 ZINC000974688815 656222131 /nfs/dbraw/zinc/22/21/31/656222131.db2.gz IYIBBFZWYUOPNO-SVXFNXITSA-N 0 1 316.489 3.071 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974807768 656231285 /nfs/dbraw/zinc/23/12/85/656231285.db2.gz MDMAVTYDTYICTD-RTBURBONSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cccc(Cl)c2F)C(C)(C)C1 ZINC000975001045 656247587 /nfs/dbraw/zinc/24/75/87/656247587.db2.gz APUQEPRVRIZOJZ-CQSZACIVSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(Cl)c2F)C(C)(C)C1 ZINC000975001045 656247590 /nfs/dbraw/zinc/24/75/90/656247590.db2.gz APUQEPRVRIZOJZ-CQSZACIVSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2sc(C)cc2OC)C(C)(C)C1 ZINC000975107583 656256812 /nfs/dbraw/zinc/25/68/12/656256812.db2.gz HMCHFCWURKUBQN-CQSZACIVSA-N 0 1 322.474 3.081 20 30 DGEDMN CCCCCCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000125169537 656268421 /nfs/dbraw/zinc/26/84/21/656268421.db2.gz BNXGGGBTLFBWOG-CQSZACIVSA-N 0 1 301.390 3.480 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027306026 656284502 /nfs/dbraw/zinc/28/45/02/656284502.db2.gz XLLXWQXVRZQFDR-ZIAGYGMSSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C(C)(C)C1 ZINC000977291714 656319854 /nfs/dbraw/zinc/31/98/54/656319854.db2.gz JSVNYGLBUVDZQS-SFHVURJKSA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C(C)(C)C1 ZINC000977287351 656321048 /nfs/dbraw/zinc/32/10/48/656321048.db2.gz CBVACPGBHVGQDI-IIAWOOMASA-N 0 1 318.486 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000977354893 656324223 /nfs/dbraw/zinc/32/42/23/656324223.db2.gz JFOHMMIUYJTYMQ-OAHLLOKOSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977443263 656330176 /nfs/dbraw/zinc/33/01/76/656330176.db2.gz JKBASAAVVMIRRR-HOCLYGCPSA-N 0 1 318.486 3.010 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)cccc2Cl)C(C)(C)C1 ZINC000977506391 656336574 /nfs/dbraw/zinc/33/65/74/656336574.db2.gz ALBVUVPKWJKEMF-CQSZACIVSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3ccc(F)cc23)C(C)(C)C1 ZINC000977511647 656337928 /nfs/dbraw/zinc/33/79/28/656337928.db2.gz HOECPSDQHLOKIV-MRXNPFEDSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccsc2C(F)F)C(C)(C)C1 ZINC000977531201 656339972 /nfs/dbraw/zinc/33/99/72/656339972.db2.gz XYWJOIAXRUHOII-LLVKDONJSA-N 0 1 314.401 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C(C)(C)C1 ZINC000977558960 656342874 /nfs/dbraw/zinc/34/28/74/656342874.db2.gz QXOUKVXIGCMNNG-GOSISDBHSA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC(C)C)cc2)C(C)(C)C1 ZINC000977561392 656343063 /nfs/dbraw/zinc/34/30/63/656343063.db2.gz ZSWNPCJQWCURKM-QGZVFWFLSA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2oc3ccccc3c2C)C(C)(C)C1 ZINC000977572904 656344028 /nfs/dbraw/zinc/34/40/28/656344028.db2.gz ZGJHDYLXEFZDBM-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(C)ccc3o2)C(C)(C)C1 ZINC000977619574 656349772 /nfs/dbraw/zinc/34/97/72/656349772.db2.gz BKACADBDTAHFIS-KRWDZBQOSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)C(C)(C)C1 ZINC000977663471 656353465 /nfs/dbraw/zinc/35/34/65/656353465.db2.gz ABHJQJWECRNXSB-QGZVFWFLSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)C(C)(C)C1 ZINC000977663471 656353467 /nfs/dbraw/zinc/35/34/67/656353467.db2.gz ABHJQJWECRNXSB-QGZVFWFLSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C(C)(C)C1 ZINC000977664895 656355004 /nfs/dbraw/zinc/35/50/04/656355004.db2.gz WJGMTPLRWDSLGT-YCMKEVRSSA-N 0 1 304.478 3.215 20 30 DGEDMN CCC(=O)N[C@@H]1CCCN(CC#Cc2cccc(Cl)c2)CC1 ZINC001052130480 656411884 /nfs/dbraw/zinc/41/18/84/656411884.db2.gz VWBFRIUSQAYNAC-QGZVFWFLSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC000979102652 656412303 /nfs/dbraw/zinc/41/23/03/656412303.db2.gz NMKONFMSYSHPIF-FPCVCCKLSA-N 0 1 324.896 3.249 20 30 DGEDMN O=C(CC1CCC1)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052276542 656424293 /nfs/dbraw/zinc/42/42/93/656424293.db2.gz FLRUKQVNFSLBQY-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2[nH]ccc2C2CC2)CC1 ZINC001052436681 656436272 /nfs/dbraw/zinc/43/62/72/656436272.db2.gz BJKABJNHILGCIL-CQSZACIVSA-N 0 1 321.852 3.229 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@@H]2C=CCCC2)CC1 ZINC001052487982 656439389 /nfs/dbraw/zinc/43/93/89/656439389.db2.gz FRQVRBFXDQICTP-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1coc2ccc(CC)cc12 ZINC001027881565 656455540 /nfs/dbraw/zinc/45/55/40/656455540.db2.gz SFSDXVGAAZRIHJ-MRXNPFEDSA-N 0 1 324.424 3.213 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1CCCCC1 ZINC001039324943 656484250 /nfs/dbraw/zinc/48/42/50/656484250.db2.gz DYBAMKZOEJLTFI-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1conc1C(C)C ZINC001039383621 656491024 /nfs/dbraw/zinc/49/10/24/656491024.db2.gz GHXAEGSMAQBYHA-CABCVRRESA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncc(-c2ccccc2)c1 ZINC001027918762 656491452 /nfs/dbraw/zinc/49/14/52/656491452.db2.gz PURMSLLHXMQIOZ-IBGZPJMESA-N 0 1 321.424 3.129 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(CC)c(CCC)s2)C1 ZINC000980365558 656493304 /nfs/dbraw/zinc/49/33/04/656493304.db2.gz BQPIRPLJXOESIG-HNNXBMFYSA-N 0 1 318.486 3.043 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCC2(CC2)CC1 ZINC001039405955 656494699 /nfs/dbraw/zinc/49/46/99/656494699.db2.gz QTBDOLUEFSQJSY-MSOLQXFVSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001039409177 656494910 /nfs/dbraw/zinc/49/49/10/656494910.db2.gz CTJILZCSOGDASA-ILOCAZANSA-N 0 1 302.462 3.064 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001039413096 656495953 /nfs/dbraw/zinc/49/59/53/656495953.db2.gz IIHBESJKQNCMBN-SJORKVTESA-N 0 1 323.440 3.341 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1occc1C(C)C ZINC001039422570 656497939 /nfs/dbraw/zinc/49/79/39/656497939.db2.gz KABZRYDLEHOFCG-CABCVRRESA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)cn1 ZINC001039437752 656502217 /nfs/dbraw/zinc/50/22/17/656502217.db2.gz WJSNJQQFKZRCGU-SJORKVTESA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)c(F)c1F ZINC001039441476 656502499 /nfs/dbraw/zinc/50/24/99/656502499.db2.gz IADAGZWIHOJSIL-KGLIPLIRSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(F)c1Cl ZINC001039444301 656503240 /nfs/dbraw/zinc/50/32/40/656503240.db2.gz ZSXRVBWDVQAFGI-OLZOCXBDSA-N 0 1 322.811 3.344 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001039447897 656503725 /nfs/dbraw/zinc/50/37/25/656503725.db2.gz OMWHRWQABSEUKR-CLWJZODNSA-N 0 1 316.489 3.147 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1CC=CC1)C2 ZINC001048512184 656503905 /nfs/dbraw/zinc/50/39/05/656503905.db2.gz WPGPCYWOYFONLT-RBVVOMGSSA-N 0 1 308.853 3.064 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CC1CCCCC1 ZINC001039446553 656503903 /nfs/dbraw/zinc/50/39/03/656503903.db2.gz KFRHGHUTNBEGPI-UHOSZYNNSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CC1CCCC1 ZINC001039447704 656504163 /nfs/dbraw/zinc/50/41/63/656504163.db2.gz ZNBUHWHZHYQCCX-OTWHNJEPSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1CC1(C)C)C2 ZINC001048516923 656505700 /nfs/dbraw/zinc/50/57/00/656505700.db2.gz CKQYNJJVTHKZKQ-XGUBFFRZSA-N 0 1 310.869 3.144 20 30 DGEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)s1 ZINC001039465973 656508867 /nfs/dbraw/zinc/50/88/67/656508867.db2.gz KDBGDDCSQRHWRW-OLZOCXBDSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)c1ccccc1 ZINC001039474575 656510423 /nfs/dbraw/zinc/51/04/23/656510423.db2.gz OYKVRCQRVQDBTE-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC2CCC1CC2 ZINC001039475104 656510883 /nfs/dbraw/zinc/51/08/83/656510883.db2.gz DXJLIQQEDBJNIR-QEXNXIHPSA-N 0 1 302.462 3.064 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC(C)(C)C1 ZINC001039475786 656511481 /nfs/dbraw/zinc/51/14/81/656511481.db2.gz JIGQXSOGFPPDHV-KURKYZTESA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCCC1(C)C ZINC001039478426 656512768 /nfs/dbraw/zinc/51/27/68/656512768.db2.gz NJLSFUNPXZPYJZ-KURKYZTESA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001027957353 656533767 /nfs/dbraw/zinc/53/37/67/656533767.db2.gz VXSYAUKIMKPDMG-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccccc1CC(C)(C)C ZINC001027955462 656533900 /nfs/dbraw/zinc/53/39/00/656533900.db2.gz CGUMETJCDRFOCH-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCC(C)=C(C)C3)C[C@@H]2C1 ZINC001048838036 656541912 /nfs/dbraw/zinc/54/19/12/656541912.db2.gz AFPOOQRHCDBMOL-ZACQAIPSSA-N 0 1 322.880 3.266 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001027965541 656548936 /nfs/dbraw/zinc/54/89/36/656548936.db2.gz XXRDPXLQOXYOFI-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001027975045 656561365 /nfs/dbraw/zinc/56/13/65/656561365.db2.gz MOHKZNDPBALPFS-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(CC)(CC)CC)C[C@@H]2C1 ZINC001049134497 656563818 /nfs/dbraw/zinc/56/38/18/656563818.db2.gz KVUZZTFZTZRBCP-GASCZTMLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3cc(C)ccc3C)C[C@@H]2C1 ZINC001049187548 656570194 /nfs/dbraw/zinc/57/01/94/656570194.db2.gz IRCDXDXAGRQPSK-IYBDPMFKSA-N 0 1 318.848 3.060 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3ccc(C)cc3C)C[C@@H]2C1 ZINC001049198418 656571121 /nfs/dbraw/zinc/57/11/21/656571121.db2.gz PMSOIPCVLFHJQO-IYBDPMFKSA-N 0 1 318.848 3.060 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCCCC3(C)C)C[C@@H]2C1 ZINC001049238274 656576056 /nfs/dbraw/zinc/57/60/56/656576056.db2.gz JJNSQYOUTGYGQV-XHSDSOJGSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@]3(C2)CCCN(C/C=C\Cl)C3)C1 ZINC001040385544 656584308 /nfs/dbraw/zinc/58/43/08/656584308.db2.gz UUNZUYJHLYNVBN-GINYUPMKSA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2ccccc2s1 ZINC001028006589 656591915 /nfs/dbraw/zinc/59/19/15/656591915.db2.gz SQFGUYXCTMVATF-CQSZACIVSA-N 0 1 300.427 3.282 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049292450 656592555 /nfs/dbraw/zinc/59/25/55/656592555.db2.gz NZBXCXOQRTWPNK-WOJBJXKFSA-N 0 1 322.452 3.070 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2ccc(F)cc2s1 ZINC001028010154 656598897 /nfs/dbraw/zinc/59/88/97/656598897.db2.gz VGOFMGJPWSUXID-CQSZACIVSA-N 0 1 318.417 3.421 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C2CCCC2)cc1 ZINC001028030694 656621729 /nfs/dbraw/zinc/62/17/29/656621729.db2.gz HJQCZTUZGGQFAB-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc3scnc32)CC1 ZINC000981157342 656634792 /nfs/dbraw/zinc/63/47/92/656634792.db2.gz ZVBGFAHSBHFMSC-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522143 656635359 /nfs/dbraw/zinc/63/53/59/656635359.db2.gz DLIFPKZDLXRRJU-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(F)c(C(F)F)c2)CC1 ZINC000981176548 656639171 /nfs/dbraw/zinc/63/91/71/656639171.db2.gz GFGDQXYDPITHSJ-UHFFFAOYSA-N 0 1 312.335 3.097 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581398 656644935 /nfs/dbraw/zinc/64/49/35/656644935.db2.gz ZSKKIEPWMBOIIM-JKSUJKDBSA-N 0 1 316.470 3.013 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1csc2ccccc12 ZINC001049619866 656650032 /nfs/dbraw/zinc/65/00/32/656650032.db2.gz HFRMUWYXJRPDJL-SJORKVTESA-N 0 1 324.449 3.213 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001041536831 656652545 /nfs/dbraw/zinc/65/25/45/656652545.db2.gz RQUTZJHYZZSDIJ-JZLODUJNSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)C(C)(C)C ZINC001049638272 656654746 /nfs/dbraw/zinc/65/47/46/656654746.db2.gz AHMAURDQSBXVOJ-JKSUJKDBSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@]3(CCN(C/C=C\Cl)C3)C2)CC1 ZINC001041636700 656660496 /nfs/dbraw/zinc/66/04/96/656660496.db2.gz VKGPYORDHNWCGJ-VJQRTVTISA-N 0 1 322.880 3.410 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@]3(CCN(C/C=C/Cl)C3)C2)CC1 ZINC001041636697 656660550 /nfs/dbraw/zinc/66/05/50/656660550.db2.gz VKGPYORDHNWCGJ-UHIOCVRCSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(Cc3ccccc3)CC2)CC1 ZINC000981357506 656681889 /nfs/dbraw/zinc/68/18/89/656681889.db2.gz MKMSVTAVVYQTEL-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761520 656682046 /nfs/dbraw/zinc/68/20/46/656682046.db2.gz JDDSHOZZIZUUNH-QWHCGFSZSA-N 0 1 310.850 3.266 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C(C)C)oc1C ZINC001049819459 656692385 /nfs/dbraw/zinc/69/23/85/656692385.db2.gz AKQOZLMJSISYQJ-SJORKVTESA-N 0 1 314.429 3.024 20 30 DGEDMN CC(C)(C)C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049860108 656702066 /nfs/dbraw/zinc/70/20/66/656702066.db2.gz ZIPQVPWDNUZKEF-OALUTQOASA-N 0 1 324.468 3.150 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2[nH]ccc2s1 ZINC001049868780 656705459 /nfs/dbraw/zinc/70/54/59/656705459.db2.gz XPILNXWOCZVQIX-KBPBESRZSA-N 0 1 315.442 3.094 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sccc1C1CC1 ZINC001049876146 656709282 /nfs/dbraw/zinc/70/92/82/656709282.db2.gz IFSXQFUAXJXCQW-HZPDHXFCSA-N 0 1 316.470 3.490 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)c(CC)s1 ZINC001049882283 656711899 /nfs/dbraw/zinc/71/18/99/656711899.db2.gz WHKMSHIMVDOMEP-CABCVRRESA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(OC)c(C(C)C)c2)CC1 ZINC000981556725 656720436 /nfs/dbraw/zinc/72/04/36/656720436.db2.gz NIXCXLYHBRZYJX-UHFFFAOYSA-N 0 1 316.445 3.153 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccoc1C(C)C ZINC001049919110 656722892 /nfs/dbraw/zinc/72/28/92/656722892.db2.gz UFZQPBFXBKSNPD-SJORKVTESA-N 0 1 314.429 3.105 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1CC(C)C ZINC001049925510 656725769 /nfs/dbraw/zinc/72/57/69/656725769.db2.gz ZCDQLALFEPTTHM-WOJBJXKFSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1CC(C)C ZINC001049925509 656725917 /nfs/dbraw/zinc/72/59/17/656725917.db2.gz ZCDQLALFEPTTHM-VQTJNVASSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccccc2CCC)CC1 ZINC000981594379 656731710 /nfs/dbraw/zinc/73/17/10/656731710.db2.gz ZCFNNDJQCLYWIR-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2Cc3ccccc32)CC1 ZINC001052667186 656743857 /nfs/dbraw/zinc/74/38/57/656743857.db2.gz IZYUZGUADOVQNP-NVXWUHKLSA-N 0 1 318.848 3.050 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(Cl)cs3)C[C@H]21 ZINC001042256178 656746674 /nfs/dbraw/zinc/74/66/74/656746674.db2.gz URXPUWJTKHANHW-WCQYABFASA-N 0 1 310.850 3.124 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccc(C(C)(C)C)c3)C[C@H]21 ZINC001042282592 656747868 /nfs/dbraw/zinc/74/78/68/656747868.db2.gz FMSBRRNQMLVPRP-VQIMIIECSA-N 0 1 324.468 3.154 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2ccc(C3CCC3)cc2)CC1 ZINC000981701608 656751502 /nfs/dbraw/zinc/75/15/02/656751502.db2.gz LDTATMFAOWFLQV-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](C)c2ccco2)CC1 ZINC001052704594 656752001 /nfs/dbraw/zinc/75/20/01/656752001.db2.gz DFJSZUYIVDQAGY-KGLIPLIRSA-N 0 1 310.825 3.106 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC000981757420 656759457 /nfs/dbraw/zinc/75/94/57/656759457.db2.gz UEAKVEZCQFEAAG-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001052886576 656783718 /nfs/dbraw/zinc/78/37/18/656783718.db2.gz OMDGLHXVZPGQDE-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCC[C@H]3CCC)C2)C1 ZINC000981855686 656787632 /nfs/dbraw/zinc/78/76/32/656787632.db2.gz DNJGICGVPLSVRH-QZTJIDSGSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3CCCCCCC3)C2)C1 ZINC000981884563 656797079 /nfs/dbraw/zinc/79/70/79/656797079.db2.gz YNGSBKYVHPZJSA-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCCC[C@@H]2C2CC2)C1 ZINC001043453542 656830112 /nfs/dbraw/zinc/83/01/12/656830112.db2.gz KVPFVPUVNHKUHJ-QZTJIDSGSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC000981988207 656848193 /nfs/dbraw/zinc/84/81/93/656848193.db2.gz YGNRHARDGFHBIU-NVXWUHKLSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001044071370 656878153 /nfs/dbraw/zinc/87/81/53/656878153.db2.gz MIMPSXPQKNOVJT-QGZVFWFLSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H]1CC=CCC1 ZINC001054032874 656887167 /nfs/dbraw/zinc/88/71/67/656887167.db2.gz KJMPZBVDLQYCFW-FXAWDEMLSA-N 0 1 324.468 3.462 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)c1cocc1C ZINC001054051132 656892991 /nfs/dbraw/zinc/89/29/91/656892991.db2.gz VMRFUWBBOHXUIU-SFHVURJKSA-N 0 1 324.424 3.321 20 30 DGEDMN CC(C)(C)C(=O)N1CC2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC000982127639 656901364 /nfs/dbraw/zinc/90/13/64/656901364.db2.gz NGZPNWYOURANFF-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2sc(C)cc2C)C1 ZINC001044243756 656902547 /nfs/dbraw/zinc/90/25/47/656902547.db2.gz LIUSBLNBCWFBIM-UHFFFAOYSA-N 0 1 306.475 3.016 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001044316074 656907802 /nfs/dbraw/zinc/90/78/02/656907802.db2.gz VLCNIRSRGNIMQK-CYBMUJFWSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001044316077 656907873 /nfs/dbraw/zinc/90/78/73/656907873.db2.gz VLCNIRSRGNIMQK-ZDUSSCGKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3c(c2)C=CCC3)CC1 ZINC000982190474 656915940 /nfs/dbraw/zinc/91/59/40/656915940.db2.gz NTJXDMICLGUKRK-UHFFFAOYSA-N 0 1 310.441 3.370 20 30 DGEDMN C=C(C)CC[N@@H+]1CCCN(C(=O)c2csc(C(C)C)n2)CC1 ZINC000982226858 656920963 /nfs/dbraw/zinc/92/09/63/656920963.db2.gz AVGGJEWNQSUOEE-UHFFFAOYSA-N 0 1 321.490 3.381 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)c2ccccc2F)CC1 ZINC000982227482 656921736 /nfs/dbraw/zinc/92/17/36/656921736.db2.gz WVYUTYCAEWLNDL-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(F)F)cc1F ZINC001028225478 656924510 /nfs/dbraw/zinc/92/45/10/656924510.db2.gz ZODNUJUCBJOUMB-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(C#N)cc2)cc([N+](=O)[O-])c1O ZINC000108078434 656934736 /nfs/dbraw/zinc/93/47/36/656934736.db2.gz JFBNEYUOUGJQJT-DAXSKMNVSA-N 0 1 324.292 3.077 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)c1c[nH]cc1C)C2 ZINC001054238851 656944924 /nfs/dbraw/zinc/94/49/24/656944924.db2.gz BSHJWVDRAHCLJO-KRWDZBQOSA-N 0 1 321.852 3.004 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc(C2CCC2)c1 ZINC001028265316 656953551 /nfs/dbraw/zinc/95/35/51/656953551.db2.gz YLFLJSRVRGOMMN-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC3(C)C)cc2C1 ZINC001054277509 656958345 /nfs/dbraw/zinc/95/83/45/656958345.db2.gz GOFYAKHLUXMALI-SFHVURJKSA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3CC34CCC4)cc2C1 ZINC001054284084 656961531 /nfs/dbraw/zinc/96/15/31/656961531.db2.gz DFINTJDELJKRAI-SFHVURJKSA-N 0 1 310.441 3.385 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001028294312 656980437 /nfs/dbraw/zinc/98/04/37/656980437.db2.gz ZCGLUPXNVXBRQG-HXUWFJFHSA-N 0 1 318.420 3.181 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c2ccccc2oc1CC ZINC001028338270 656996831 /nfs/dbraw/zinc/99/68/31/656996831.db2.gz XGVAHLJOYUAHQK-HNNXBMFYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc3occc3c2)C[C@H]1C ZINC001054685493 657000878 /nfs/dbraw/zinc/00/08/78/657000878.db2.gz CJGRDYKUMCPARI-ABAIWWIYSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)oc(C)c2C)C[C@@H]1C ZINC001054879346 657017540 /nfs/dbraw/zinc/01/75/40/657017540.db2.gz IHAMPCLFTODUFS-LKFCYVNXSA-N 0 1 310.825 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2CCC)C[C@H]1C ZINC001054889399 657019156 /nfs/dbraw/zinc/01/91/56/657019156.db2.gz XZJQPYVCNBVNFI-CXAGYDPISA-N 0 1 320.864 3.442 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2c(C)oc3ccccc32)CC1 ZINC001045449897 657023991 /nfs/dbraw/zinc/02/39/91/657023991.db2.gz SPQMHYZPDZIYRB-UHFFFAOYSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCn1cccc1C(=O)NC1(C)CCN(C/C=C/Cl)CC1 ZINC001045461467 657025859 /nfs/dbraw/zinc/02/58/59/657025859.db2.gz AXSIBMBXQKIFAQ-WEVVVXLNSA-N 0 1 321.852 3.011 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@@H]1C ZINC001054971982 657026196 /nfs/dbraw/zinc/02/61/96/657026196.db2.gz WKANLASEFCGUJJ-AAEUAGOBSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccn(C(C)C)c2C)C[C@@H]1C ZINC001054998362 657030726 /nfs/dbraw/zinc/03/07/26/657030726.db2.gz ACMJGOLEJUWRIZ-LRDDRELGSA-N 0 1 323.868 3.180 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2csc3ccccc23)CC1 ZINC001045517091 657032094 /nfs/dbraw/zinc/03/20/94/657032094.db2.gz XDGRHXQIQXRWSH-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@]3(C)CN(C/C=C\Cl)C[C@@]3(C)C2)C1 ZINC000982533638 657044144 /nfs/dbraw/zinc/04/41/44/657044144.db2.gz GNJVAPDIKCTJRS-SPCWZHTESA-N 0 1 322.880 3.266 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3cc(C)c(C)cc3o2)CC1 ZINC001045622671 657044319 /nfs/dbraw/zinc/04/43/19/657044319.db2.gz LCXRVKGTCKQFBK-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C2CCC(C(C)(C)C)CC2)CC1 ZINC001045635001 657046959 /nfs/dbraw/zinc/04/69/59/657046959.db2.gz MXTMFMDXDBKQJI-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc(OCC#N)cc1 ZINC000178789178 657053688 /nfs/dbraw/zinc/05/36/88/657053688.db2.gz TYMZDJPOZMBVAD-CYBMUJFWSA-N 0 1 309.344 3.451 20 30 DGEDMN CC(C)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045700965 657054948 /nfs/dbraw/zinc/05/49/48/657054948.db2.gz QQEBLAQDTCQRDH-UXHICEINSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001000042727 657087194 /nfs/dbraw/zinc/08/71/94/657087194.db2.gz NDXGTLYAPLSERB-OAHLLOKOSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001000090888 657092595 /nfs/dbraw/zinc/09/25/95/657092595.db2.gz ACBQFERFTBBACV-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1cc(CNCc2cccc(OCCCC#N)c2)ccn1 ZINC000237117759 657098564 /nfs/dbraw/zinc/09/85/64/657098564.db2.gz TVDSHUIPRUDEKC-UHFFFAOYSA-N 0 1 311.385 3.063 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001000164907 657100517 /nfs/dbraw/zinc/10/05/17/657100517.db2.gz GEIHRFZTQPZQQM-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)cc(F)c2)C1 ZINC001000283044 657108532 /nfs/dbraw/zinc/10/85/32/657108532.db2.gz LHRHIIXZHLORLU-OAHLLOKOSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2ccccc2C)C1 ZINC001000294881 657109117 /nfs/dbraw/zinc/10/91/17/657109117.db2.gz JQJBRNGMJIFLMQ-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001000328426 657114530 /nfs/dbraw/zinc/11/45/30/657114530.db2.gz KRZDRHBELCXYGE-NVXWUHKLSA-N 0 1 320.864 3.432 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001046361948 657153287 /nfs/dbraw/zinc/15/32/87/657153287.db2.gz MYULVTLHTNMSEH-FQEVSTJZSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2cc3ccncc3s2)C1 ZINC001046378055 657155469 /nfs/dbraw/zinc/15/54/69/657155469.db2.gz RWVYXWUHUUKLRF-KRWDZBQOSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3ccncc3s2)C1 ZINC001046378055 657155476 /nfs/dbraw/zinc/15/54/76/657155476.db2.gz RWVYXWUHUUKLRF-KRWDZBQOSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001046405145 657157020 /nfs/dbraw/zinc/15/70/20/657157020.db2.gz MSTLLKIOTZXGER-FQEVSTJZSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2ccccc2F)C1 ZINC001000893460 657161429 /nfs/dbraw/zinc/16/14/29/657161429.db2.gz GHWMUOCNDOPGHB-HNNXBMFYSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C[C@H](C(=O)N1CCC[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001000971656 657170432 /nfs/dbraw/zinc/17/04/32/657170432.db2.gz KQXKXKPSCMVOOI-IRXDYDNUSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2CC(C(C)(C)C)C2)C1 ZINC001001048113 657178523 /nfs/dbraw/zinc/17/85/23/657178523.db2.gz SVVIUCWAYFGCPO-YMAMQOFZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(C)C(C)(C)C2(C)C)C1 ZINC001001082723 657180722 /nfs/dbraw/zinc/18/07/22/657180722.db2.gz CSNOLICOFRNQMN-CYBMUJFWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001046752920 657213343 /nfs/dbraw/zinc/21/33/43/657213343.db2.gz FBFWSDKBDSIOML-DNVCBOLYSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001046795465 657221412 /nfs/dbraw/zinc/22/14/12/657221412.db2.gz SNSUBGBZHDRFBZ-OAHLLOKOSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001046816203 657227761 /nfs/dbraw/zinc/22/77/61/657227761.db2.gz IVPNJAWQRINUOT-UGSOOPFHSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001046819803 657229474 /nfs/dbraw/zinc/22/94/74/657229474.db2.gz FLZBJQMLIBQFBA-QGZVFWFLSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001046825222 657230711 /nfs/dbraw/zinc/23/07/11/657230711.db2.gz UYMZMHRASINFTK-FQEVSTJZSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001046829203 657232696 /nfs/dbraw/zinc/23/26/96/657232696.db2.gz JWYLBERUYYRGNC-HXUWFJFHSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2sc(CCC)nc2C)C1 ZINC001046831407 657233207 /nfs/dbraw/zinc/23/32/07/657233207.db2.gz KPWLPZXJZNSUSB-KRWDZBQOSA-N 0 1 321.490 3.174 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001046864270 657239385 /nfs/dbraw/zinc/23/93/85/657239385.db2.gz QWGRMTZFFBZGCH-GOSISDBHSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001046963301 657248032 /nfs/dbraw/zinc/24/80/32/657248032.db2.gz GINPSBUTXDAMEQ-ZIAGYGMSSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2c(C)cccc2C)C1 ZINC001046973779 657249243 /nfs/dbraw/zinc/24/92/43/657249243.db2.gz VQPCQANDDZHIFO-HNNXBMFYSA-N 0 1 322.399 3.176 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2ccc(C)c(C)c2)C1 ZINC001046991426 657250057 /nfs/dbraw/zinc/25/00/57/657250057.db2.gz GGXHJKHSOBJOLV-INIZCTEOSA-N 0 1 322.399 3.176 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccc4ccccc43)[C@@H]2C1 ZINC001050196825 657268746 /nfs/dbraw/zinc/26/87/46/657268746.db2.gz MYLQKAIFUPICOD-FXAWDEMLSA-N 0 1 318.420 3.009 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cc(C)oc3C)C2)CC1 ZINC001050428755 657306041 /nfs/dbraw/zinc/30/60/41/657306041.db2.gz BQNNFMNSAVKGOQ-UHFFFAOYSA-N 0 1 322.836 3.187 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@]3(C)C=CCC3)C2)CC1 ZINC001050622814 657332115 /nfs/dbraw/zinc/33/21/15/657332115.db2.gz MDLJMPBXODVIEF-INIZCTEOSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(C(C)C)CC3)C2)CC1 ZINC001050653946 657338982 /nfs/dbraw/zinc/33/89/82/657338982.db2.gz MCTOVVPBTVBOJJ-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@H]3CC(C)C)C2)CC1 ZINC001050672226 657341976 /nfs/dbraw/zinc/34/19/76/657341976.db2.gz MIEHXVUXFWFJOS-HZPDHXFCSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H](C)C3CC3)C2)CC1 ZINC001050767139 657358808 /nfs/dbraw/zinc/35/88/08/657358808.db2.gz KKWPIAJMPRKADU-CYBMUJFWSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC[C@H]4C[C@H]4C3)cccc2C1 ZINC001051292755 657382721 /nfs/dbraw/zinc/38/27/21/657382721.db2.gz JJAHJQATXNEYNF-FCEWJHQRSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCC[C@@H]3CC)cccc2C1 ZINC001051299373 657383245 /nfs/dbraw/zinc/38/32/45/657383245.db2.gz IGJDAOPOCNVEDI-OXJNMPFZSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCCC[C@H]3C)cccc2C1 ZINC001051298625 657383430 /nfs/dbraw/zinc/38/34/30/657383430.db2.gz ZRIASKTUWMAXPP-VQIMIIECSA-N 0 1 324.468 3.120 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3C[C@H]3CCC)cccc2C1 ZINC001051298775 657384027 /nfs/dbraw/zinc/38/40/27/657384027.db2.gz ISSMAWLMOHZBJI-OXQOHEQNSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968355154 657392492 /nfs/dbraw/zinc/39/24/92/657392492.db2.gz YRNLXPKUHUMTMP-BLLLJJGKSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC000968360116 657393915 /nfs/dbraw/zinc/39/39/15/657393915.db2.gz FVOMUQZUUWMMAS-KXBFYZLASA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC000968355204 657393992 /nfs/dbraw/zinc/39/39/92/657393992.db2.gz ZEDVRPSWHLJMNT-MLGOLLRUSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(OCC)c(C)c2)C1 ZINC000968372515 657398843 /nfs/dbraw/zinc/39/88/43/657398843.db2.gz ABQRUWXJXZNSQB-PBHICJAKSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2oc(C(C)C)nc2C)C1 ZINC000968399973 657405087 /nfs/dbraw/zinc/40/50/87/657405087.db2.gz JOIWNYCBZOOBMO-ZFWWWQNUSA-N 0 1 319.449 3.123 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968430000 657411362 /nfs/dbraw/zinc/41/13/62/657411362.db2.gz AOVOMOPKNJPVQR-BBRMVZONSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC000957434864 657415617 /nfs/dbraw/zinc/41/56/17/657415617.db2.gz GLZLMILPSRYLFX-UHFFFAOYSA-N 0 1 313.228 3.327 20 30 DGEDMN C=CCCN1CCN(C(=O)CC23CC4CC(CC(C4)C2)C3)CC1 ZINC000957439767 657416733 /nfs/dbraw/zinc/41/67/33/657416733.db2.gz YBKDUXMVOZEQGT-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(C)csc2Cl)CC1 ZINC000957470040 657419368 /nfs/dbraw/zinc/41/93/68/657419368.db2.gz JUAAJYYLBHFYRI-UHFFFAOYSA-N 0 1 312.866 3.434 20 30 DGEDMN C=CCC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968528609 657422102 /nfs/dbraw/zinc/42/21/02/657422102.db2.gz CKRGOYPGFACDGV-UONOGXRCSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2CCC(C(F)F)CC2)CC1 ZINC000957500800 657423826 /nfs/dbraw/zinc/42/38/26/657423826.db2.gz OWTRWXTWVBNGBK-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548026 657424833 /nfs/dbraw/zinc/42/48/33/657424833.db2.gz CHRWFRALWAGGNZ-HIFRSBDPSA-N 0 1 304.434 3.031 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968553834 657425559 /nfs/dbraw/zinc/42/55/59/657425559.db2.gz QGSLPMOZWFQBEV-OXQOHEQNSA-N 0 1 322.452 3.110 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968553832 657425578 /nfs/dbraw/zinc/42/55/78/657425578.db2.gz QGSLPMOZWFQBEV-JXFKEZNVSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC000968565113 657427493 /nfs/dbraw/zinc/42/74/93/657427493.db2.gz DPXYPPNIJNCGKU-NVXWUHKLSA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968571387 657428781 /nfs/dbraw/zinc/42/87/81/657428781.db2.gz YOENDWSYFNAPKL-FZMZJTMJSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(F)F)o2)C1 ZINC000968598489 657437411 /nfs/dbraw/zinc/43/74/11/657437411.db2.gz GOHZQBCSSNJBFP-NEPJUHHUSA-N 0 1 312.360 3.234 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607564 657440939 /nfs/dbraw/zinc/44/09/39/657440939.db2.gz WHPSTWRTUREVNB-JXFKEZNVSA-N 0 1 320.436 3.303 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC000968610894 657442185 /nfs/dbraw/zinc/44/21/85/657442185.db2.gz SJVAJOJHOCEUJL-YJBOKZPZSA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC000968620300 657444627 /nfs/dbraw/zinc/44/46/27/657444627.db2.gz SNVSCAIRTTUZQX-HIFRSBDPSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968620273 657445014 /nfs/dbraw/zinc/44/50/14/657445014.db2.gz SBYCCKZQDQNBCM-WMLDXEAASA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968681392 657456372 /nfs/dbraw/zinc/45/63/72/657456372.db2.gz IWLAGQOXBYMKIV-PBHICJAKSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC000968692584 657460387 /nfs/dbraw/zinc/46/03/87/657460387.db2.gz OHKFIQJEKYAJSI-KXBFYZLASA-N 0 1 312.457 3.110 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(Cl)cccc2OC)CC1 ZINC000957774116 657460636 /nfs/dbraw/zinc/46/06/36/657460636.db2.gz JIIAGXSUFNVZBO-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692895 657461022 /nfs/dbraw/zinc/46/10/22/657461022.db2.gz QPIHKBMWCPFJJV-BQTPPKLHSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnc(CC)s2)C1 ZINC000968691935 657461183 /nfs/dbraw/zinc/46/11/83/657461183.db2.gz KGMWMHKTHMCJAF-ZIAGYGMSSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC000968708516 657465044 /nfs/dbraw/zinc/46/50/44/657465044.db2.gz BWUIAMKCUOAWNC-UONOGXRCSA-N 0 1 321.490 3.283 20 30 DGEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968710604 657466045 /nfs/dbraw/zinc/46/60/45/657466045.db2.gz RKPDAIBWPNPMJH-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968710606 657466118 /nfs/dbraw/zinc/46/61/18/657466118.db2.gz RKPDAIBWPNPMJH-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2occc2c1 ZINC000968863247 657505207 /nfs/dbraw/zinc/50/52/07/657505207.db2.gz BNCZXVUNKNLCNG-GOSISDBHSA-N 0 1 324.424 3.431 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cccc(C)c1F ZINC000968869543 657506041 /nfs/dbraw/zinc/50/60/41/657506041.db2.gz SPNVYAUWAVOVEJ-INIZCTEOSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)sc1C ZINC000968938952 657518302 /nfs/dbraw/zinc/51/83/02/657518302.db2.gz XTGAVIIDOSGJLY-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@H]1CNC(=O)c1cc[nH]c1C1CC1 ZINC000969004756 657530518 /nfs/dbraw/zinc/53/05/18/657530518.db2.gz BNIBMGPNRMKMDL-HNNXBMFYSA-N 0 1 301.434 3.053 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(Cl)c1F ZINC000969008461 657532358 /nfs/dbraw/zinc/53/23/58/657532358.db2.gz RYTNZECHFHHVMB-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C)cc1Cl ZINC000969042448 657539162 /nfs/dbraw/zinc/53/91/62/657539162.db2.gz RMYDHQQRCVUXGE-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)ccc1F ZINC000969046246 657541831 /nfs/dbraw/zinc/54/18/31/657541831.db2.gz FSZRENNGBUKAHE-INIZCTEOSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1cc(Cl)cs1 ZINC000969060326 657547024 /nfs/dbraw/zinc/54/70/24/657547024.db2.gz ZEQZLOUVGSGWLS-CQSZACIVSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(Cl)cs1 ZINC000969060326 657547032 /nfs/dbraw/zinc/54/70/32/657547032.db2.gz ZEQZLOUVGSGWLS-CQSZACIVSA-N 0 1 324.877 3.399 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cccc(C)c1Cl ZINC000969082641 657551912 /nfs/dbraw/zinc/55/19/12/657551912.db2.gz VUWRZHCIOBJLJW-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(C)c1Cl ZINC000969082641 657551917 /nfs/dbraw/zinc/55/19/17/657551917.db2.gz VUWRZHCIOBJLJW-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000127115938 657596879 /nfs/dbraw/zinc/59/68/79/657596879.db2.gz DTNUMZCJVLYIQY-HNNXBMFYSA-N 0 1 302.374 3.313 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(OCCC)c2)C1 ZINC001007445549 657610121 /nfs/dbraw/zinc/61/01/21/657610121.db2.gz COWQKJYPFBCCSJ-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001007466746 657611421 /nfs/dbraw/zinc/61/14/21/657611421.db2.gz XIYKPKWLBABOSZ-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001007533355 657617248 /nfs/dbraw/zinc/61/72/48/657617248.db2.gz ZITNJLCVMXRKCB-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001007645524 657625012 /nfs/dbraw/zinc/62/50/12/657625012.db2.gz MZFCWMWCNPRKTO-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001007785413 657632285 /nfs/dbraw/zinc/63/22/85/657632285.db2.gz FNLZWCUPUIAXJR-GFCCVEGCSA-N 0 1 317.820 3.202 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001007820604 657635656 /nfs/dbraw/zinc/63/56/56/657635656.db2.gz CJRWKMQOBFCQNL-HNNXBMFYSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001008043931 657656378 /nfs/dbraw/zinc/65/63/78/657656378.db2.gz HGKWTVKRDOWTPU-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001008135914 657664012 /nfs/dbraw/zinc/66/40/12/657664012.db2.gz KZRCHQBOOKNCES-OAHLLOKOSA-N 0 1 315.392 3.077 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001008185689 657667596 /nfs/dbraw/zinc/66/75/96/657667596.db2.gz XEPIETJTYCZNPF-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3ncccc3c2)C1 ZINC001008385406 657689565 /nfs/dbraw/zinc/68/95/65/657689565.db2.gz MARQTUSFUWKGSP-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000305198412 657694010 /nfs/dbraw/zinc/69/40/10/657694010.db2.gz UWUBWCJQFRYLLG-MRXNPFEDSA-N 0 1 318.398 3.378 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC000985463859 657695407 /nfs/dbraw/zinc/69/54/07/657695407.db2.gz HNPLAIAQGULJTD-UHFFFAOYSA-N 0 1 321.852 3.181 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001008644263 657712549 /nfs/dbraw/zinc/71/25/49/657712549.db2.gz FUJNKQVDZVEJSW-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001008647915 657713238 /nfs/dbraw/zinc/71/32/38/657713238.db2.gz WVUZRQLTGJPYRF-HNNXBMFYSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC001008681802 657720034 /nfs/dbraw/zinc/72/00/34/657720034.db2.gz TXHSHGDVRLWDOH-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2OCC(C)C)C1 ZINC001008894878 657736434 /nfs/dbraw/zinc/73/64/34/657736434.db2.gz BPMFCFIUJHBZRV-MRXNPFEDSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001029802181 657748398 /nfs/dbraw/zinc/74/83/98/657748398.db2.gz WVWBENVDOIEYHH-CABCVRRESA-N 0 1 319.449 3.037 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC001009169803 657752570 /nfs/dbraw/zinc/75/25/70/657752570.db2.gz VUBUVWRCOBMZNB-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1occc1C(C)C ZINC000960298929 657774518 /nfs/dbraw/zinc/77/45/18/657774518.db2.gz GGKQFOYNZJFZTF-QZTJIDSGSA-N 0 1 322.408 3.022 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H]2CC[C@H](C)C2)CC1 ZINC000985591545 657777303 /nfs/dbraw/zinc/77/73/03/657777303.db2.gz UPJHIOJHRSMSAD-ZFWWWQNUSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1CC(C(C)(C)C)C1 ZINC000960333113 657779228 /nfs/dbraw/zinc/77/92/28/657779228.db2.gz LMZOIRUKFFDRQD-LRMJVLKBSA-N 0 1 324.468 3.064 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2sccc2C(C)C)C1 ZINC001009608792 657800477 /nfs/dbraw/zinc/80/04/77/657800477.db2.gz GTSCAUJJLXZKEL-AWEZNQCLSA-N 0 1 304.459 3.089 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960538621 657834866 /nfs/dbraw/zinc/83/48/66/657834866.db2.gz AUUBKZNLDPGJPA-HNFXJGKRSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CCc2ccccc2)CC1 ZINC000985764382 657840920 /nfs/dbraw/zinc/84/09/20/657840920.db2.gz LYLHCGMAJSUBAV-UHFFFAOYSA-N 0 1 320.864 3.295 20 30 DGEDMN C[C@@H]1[C@@H](NCc2ccccc2C#N)CCN1C(=O)CC(C)(C)C ZINC000985977859 657942715 /nfs/dbraw/zinc/94/27/15/657942715.db2.gz SVHAQJXPOGYGNP-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(F)=C2CCCC2)[C@@H]1C ZINC000986148334 658002085 /nfs/dbraw/zinc/00/20/85/658002085.db2.gz KYPGDXDDUWRMOG-YPMHNXCESA-N 0 1 300.805 3.116 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@H]2C[C@@H]2C)C1 ZINC001029921622 658007251 /nfs/dbraw/zinc/00/72/51/658007251.db2.gz MKCJBTZUWJAYHD-SXLOBPIMSA-N 0 1 324.468 3.159 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)sc2C)[C@H]1C ZINC000986498760 658055794 /nfs/dbraw/zinc/05/57/94/658055794.db2.gz DJVSIXHDJRDPIB-FZMZJTMJSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)ccc2F)[C@H]1C ZINC000987059822 658150277 /nfs/dbraw/zinc/15/02/77/658150277.db2.gz SUIPERMSVZBKQU-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(C/C=C/c3ccccc3)C2)C1 ZINC001015663547 658159701 /nfs/dbraw/zinc/15/97/01/658159701.db2.gz UHNXHAWKIHMMQI-YOCVDRLZSA-N 0 1 310.441 3.247 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccsc2Cl)[C@H]1C ZINC000987123119 658163048 /nfs/dbraw/zinc/16/30/48/658163048.db2.gz IYKCNKDRWPRDGH-GXSJLCMTSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001015696699 658169200 /nfs/dbraw/zinc/16/92/00/658169200.db2.gz FGPWBQNLSKFHME-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)C[N@H+]1CCC[C@@H]1[C@@H]1CCCN(C(=O)CC2CC2)C1 ZINC000963249174 658169654 /nfs/dbraw/zinc/16/96/54/658169654.db2.gz VDPULNOMQUHMDR-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2oc(CC)cc2C)[C@H]1C ZINC000987354903 658195406 /nfs/dbraw/zinc/19/54/06/658195406.db2.gz GDTXQOMOIGUNFL-GXTWGEPZSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2Cl)[C@H]1C ZINC000987395643 658202638 /nfs/dbraw/zinc/20/26/38/658202638.db2.gz ZNFSNUISPCIZPZ-FZMZJTMJSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)c(C)c2)[C@H]1C ZINC000987453406 658213563 /nfs/dbraw/zinc/21/35/63/658213563.db2.gz DZEJBILVUHARRF-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(F)c(C)c2)[C@H]1C ZINC000987555573 658229902 /nfs/dbraw/zinc/22/99/02/658229902.db2.gz GOLKLPWAKSVNQN-DZGCQCFKSA-N 0 1 324.827 3.388 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccsc2C(F)(F)F)C1 ZINC001016106605 658238782 /nfs/dbraw/zinc/23/87/82/658238782.db2.gz ANXLAAGDWXNTTI-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001016151271 658244337 /nfs/dbraw/zinc/24/43/37/658244337.db2.gz XKSOIHNUAJXEDD-LJQANCHMSA-N 0 1 306.409 3.344 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001016185478 658251438 /nfs/dbraw/zinc/25/14/38/658251438.db2.gz SKRVUYHNISGEBX-SFHVURJKSA-N 0 1 312.457 3.265 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001016344321 658269017 /nfs/dbraw/zinc/26/90/17/658269017.db2.gz QRKFVAUZZHRPIU-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001016394321 658278418 /nfs/dbraw/zinc/27/84/18/658278418.db2.gz FZKYLHNBYQJULT-MRXNPFEDSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001016434641 658281876 /nfs/dbraw/zinc/28/18/76/658281876.db2.gz VZPYSYWOAJHKPR-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)Cc2ccsc2)CC1 ZINC001016487633 658292025 /nfs/dbraw/zinc/29/20/25/658292025.db2.gz ZSVAYMDFCRFRES-CQSZACIVSA-N 0 1 324.877 3.014 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2C(C)(C)C2(C)C)CC1 ZINC001016553240 658299481 /nfs/dbraw/zinc/29/94/81/658299481.db2.gz GHKOASOYEHUFJU-CYBMUJFWSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@H]2CC=CCC2)CC1 ZINC001016768215 658325651 /nfs/dbraw/zinc/32/56/51/658325651.db2.gz DXJWWVHKFVWVPK-HOTGVXAUSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(C)(C)C2CC2)CC1 ZINC001016784093 658329896 /nfs/dbraw/zinc/32/98/96/658329896.db2.gz UEMJQSVXJKPTOC-AWEZNQCLSA-N 0 1 310.869 3.146 20 30 DGEDMN Cc1cccc([C@@H](C)N2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)c1 ZINC001017541776 658438264 /nfs/dbraw/zinc/43/82/64/658438264.db2.gz GTJMYGAAYVORMV-AHRSYUTCSA-N 0 1 322.452 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C)c(F)c2)C1 ZINC000989139562 658441768 /nfs/dbraw/zinc/44/17/68/658441768.db2.gz VOLPJYJLBHKOGK-OCCSQVGLSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c(C)cccc1C ZINC001017589905 658443127 /nfs/dbraw/zinc/44/31/27/658443127.db2.gz HAWFPNVRJBQUQP-HDICACEKSA-N 0 1 312.457 3.097 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2ccc(C)cc2)C1 ZINC000989152750 658444710 /nfs/dbraw/zinc/44/47/10/658444710.db2.gz DPEUYIMXRGONLQ-RDJZCZTQSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)oc(C)c1C ZINC001017718470 658453617 /nfs/dbraw/zinc/45/36/17/658453617.db2.gz BLXSXPOVWMNJPQ-CALCHBBNSA-N 0 1 316.445 3.460 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3ccsc3[nH]2)C1 ZINC000989267496 658459682 /nfs/dbraw/zinc/45/96/82/658459682.db2.gz UVOSCVUSKMYHOH-CMPLNLGQSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)c(Cl)c1 ZINC001017869586 658469476 /nfs/dbraw/zinc/46/94/76/658469476.db2.gz JWXMPYLITOWYHM-OKILXGFUSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccccc2CC)C1 ZINC000989386085 658471986 /nfs/dbraw/zinc/47/19/86/658471986.db2.gz UMXNVDOYEWOVNW-HIFRSBDPSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccccc2CC)C1 ZINC000989386084 658472010 /nfs/dbraw/zinc/47/20/10/658472010.db2.gz UMXNVDOYEWOVNW-DZGCQCFKSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccccc2CC)C1 ZINC000989386087 658472404 /nfs/dbraw/zinc/47/24/04/658472404.db2.gz UMXNVDOYEWOVNW-ZFWWWQNUSA-N 0 1 306.837 3.194 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc3ccsc32)CC1 ZINC000989414457 658475523 /nfs/dbraw/zinc/47/55/23/658475523.db2.gz UZASVRCETXTJQB-UHFFFAOYSA-N 0 1 300.427 3.235 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(CCC)s2)C1 ZINC000966456402 658476559 /nfs/dbraw/zinc/47/65/59/658476559.db2.gz OPWIUUIQLSFEED-HOCLYGCPSA-N 0 1 318.486 3.164 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccsc1 ZINC001017935229 658480118 /nfs/dbraw/zinc/48/01/18/658480118.db2.gz SPNUEKIAEOOXNQ-BMFZPTHFSA-N 0 1 304.459 3.103 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](c1ccccc1)C(C)C ZINC001018000267 658488624 /nfs/dbraw/zinc/48/86/24/658488624.db2.gz JWEUQARZNAWWKE-ZCNNSNEGSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)no1 ZINC001018030129 658494108 /nfs/dbraw/zinc/49/41/08/658494108.db2.gz UYQQLOMSCOXIJZ-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc3[nH]ccc32)C1 ZINC000989468176 658494973 /nfs/dbraw/zinc/49/49/73/658494973.db2.gz CKPQOZQJFBNMSQ-STQMWFEESA-N 0 1 317.820 3.113 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001018069981 658499766 /nfs/dbraw/zinc/49/97/66/658499766.db2.gz XEDYQYQBXKNSCD-AHRSYUTCSA-N 0 1 324.468 3.051 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc(OCC)c2)C1 ZINC000989493723 658501828 /nfs/dbraw/zinc/50/18/28/658501828.db2.gz VTIDQHDZRXKMJM-HIFRSBDPSA-N 0 1 322.836 3.030 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2sccc2[nH]1 ZINC001018142997 658506459 /nfs/dbraw/zinc/50/64/59/658506459.db2.gz XHVYZEVIBZSAMU-BETUJISGSA-N 0 1 315.442 3.094 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCC(C)(C)C1 ZINC001018176002 658511259 /nfs/dbraw/zinc/51/12/59/658511259.db2.gz DHSKNOLQIXNLAN-KURKYZTESA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc3ccccc3c2)CC1 ZINC000989552316 658514650 /nfs/dbraw/zinc/51/46/50/658514650.db2.gz REQUABZYCWNRKF-UHFFFAOYSA-N 0 1 306.409 3.011 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccsc2C(F)F)CC1 ZINC000989574605 658518934 /nfs/dbraw/zinc/51/89/34/658518934.db2.gz QIMJSKGONBOSEF-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2Cc3ccc(Cl)cc32)CC1 ZINC000989582529 658522084 /nfs/dbraw/zinc/52/20/84/658522084.db2.gz NUBSJUULCNZRQB-KRWDZBQOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCC[N@H+]1CCCN(C(=O)c2ccc3ncsc3c2)CC1 ZINC000989603972 658524905 /nfs/dbraw/zinc/52/49/05/658524905.db2.gz NGQDZJBDVBAGJI-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)cc(F)c1 ZINC001018244406 658525823 /nfs/dbraw/zinc/52/58/23/658525823.db2.gz VPLPCKQTINRGSR-CALCHBBNSA-N 0 1 320.383 3.220 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(CC)s1 ZINC001018247600 658525859 /nfs/dbraw/zinc/52/58/59/658525859.db2.gz QYASIPBEAJGDTF-GASCZTMLSA-N 0 1 318.486 3.484 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(Cl)ccc2OC)CC1 ZINC000989609119 658526008 /nfs/dbraw/zinc/52/60/08/658526008.db2.gz RSKNPNWRYFGXAC-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)c(CC)s2)CC1 ZINC000989622435 658528902 /nfs/dbraw/zinc/52/89/02/658528902.db2.gz UCFBMYWJRNVSOF-UHFFFAOYSA-N 0 1 306.475 3.343 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3cc(C)cc(C)c3)CC2)CC1 ZINC000989677143 658539592 /nfs/dbraw/zinc/53/95/92/658539592.db2.gz JCDMJRZZBWNHNJ-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2oc(CC)cc2C)C1 ZINC000989677964 658540203 /nfs/dbraw/zinc/54/02/03/658540203.db2.gz BAXVLAQHGNDIOI-CHWSQXEVSA-N 0 1 310.825 3.096 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2c(C)cccc2Cl)C1 ZINC000967231314 658551950 /nfs/dbraw/zinc/55/19/50/658551950.db2.gz PQQMUKCQHLORNN-PBHICJAKSA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C(F)F)o2)C1 ZINC000989734024 658557231 /nfs/dbraw/zinc/55/72/31/658557231.db2.gz PAWDCFJSYKLNSS-ZJUUUORDSA-N 0 1 318.751 3.162 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967279275 658559346 /nfs/dbraw/zinc/55/93/46/658559346.db2.gz JVXLLOHCLHXPJT-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967279272 658560202 /nfs/dbraw/zinc/56/02/02/658560202.db2.gz JVXLLOHCLHXPJT-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2ccc(C)c(C)c2)C1 ZINC000989763925 658566386 /nfs/dbraw/zinc/56/63/86/658566386.db2.gz VWXOPRQPSZQDAZ-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC000967309380 658567696 /nfs/dbraw/zinc/56/76/96/658567696.db2.gz FIVZEEPMRBTJRG-ZIAGYGMSSA-N 0 1 314.385 3.166 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2Cc3c2cccc3Cl)CC1 ZINC000989767890 658567856 /nfs/dbraw/zinc/56/78/56/658567856.db2.gz NRAFYXJNERNXGW-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(C(F)F)cc2)C1 ZINC000967338910 658570595 /nfs/dbraw/zinc/57/05/95/658570595.db2.gz SHPVEOQVSRONSS-CJNGLKHVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cccc(C(F)F)c2)C1 ZINC000967335963 658570640 /nfs/dbraw/zinc/57/06/40/658570640.db2.gz MBXAOVFYAQUYNR-CJNGLKHVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3c(s2)CCC3)C1 ZINC000989755194 658571933 /nfs/dbraw/zinc/57/19/33/658571933.db2.gz IRHVNEWZDQJDMU-DGCLKSJQSA-N 0 1 324.877 3.182 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397115 658576154 /nfs/dbraw/zinc/57/61/54/658576154.db2.gz MDFGGENHWGUPNT-WMLDXEAASA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2cnc(CC(C)C)s2)CC1 ZINC000989780498 658576891 /nfs/dbraw/zinc/57/68/91/658576891.db2.gz PXNDATMQEYRDMS-UHFFFAOYSA-N 0 1 321.490 3.066 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2c(C)oc3ccccc32)CC1 ZINC000989815521 658582947 /nfs/dbraw/zinc/58/29/47/658582947.db2.gz HLINKJZBMXEQNC-UHFFFAOYSA-N 0 1 312.413 3.004 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(CF)cc2)C1 ZINC000989838033 658588081 /nfs/dbraw/zinc/58/80/81/658588081.db2.gz JAWSAFHJSYQRAE-WFASDCNBSA-N 0 1 310.800 3.101 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(CF)cc2)C1 ZINC000989838030 658588322 /nfs/dbraw/zinc/58/83/22/658588322.db2.gz JAWSAFHJSYQRAE-DOMZBBRYSA-N 0 1 310.800 3.101 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001019358510 658638544 /nfs/dbraw/zinc/63/85/44/658638544.db2.gz IFRAYAUKTSNZAV-LRDDRELGSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001019538184 658653077 /nfs/dbraw/zinc/65/30/77/658653077.db2.gz RAYAHTPJYSWCEB-CVEARBPZSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC001019647505 658658416 /nfs/dbraw/zinc/65/84/16/658658416.db2.gz PMYBMNUTJVKSJL-STQMWFEESA-N 0 1 312.866 3.103 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968083260 658666842 /nfs/dbraw/zinc/66/68/42/658666842.db2.gz WULJJURXGWOOEZ-QFBILLFUSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccsc2C(F)F)C1 ZINC001019716041 658667395 /nfs/dbraw/zinc/66/73/95/658667395.db2.gz LRZXAWKMGJVSEH-VIFPVBQESA-N 0 1 320.792 3.242 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968156123 658673856 /nfs/dbraw/zinc/67/38/56/658673856.db2.gz SPBZNTRWCZIFPO-BXUZGUMPSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC000968189353 658678970 /nfs/dbraw/zinc/67/89/70/658678970.db2.gz GYBVCSXTWPBZMQ-IEBWSBKVSA-N 0 1 314.473 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001019849877 658679284 /nfs/dbraw/zinc/67/92/84/658679284.db2.gz CFLVJARLGCDVPE-IGEOTXOUSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968179173 658679640 /nfs/dbraw/zinc/67/96/40/658679640.db2.gz STDGMNSKJIPXON-BLLLJJGKSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC000968323422 658696403 /nfs/dbraw/zinc/69/64/03/658696403.db2.gz YXUINPIZLOBLDM-PBHICJAKSA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)cc2F)C1 ZINC000968349626 658706523 /nfs/dbraw/zinc/70/65/23/658706523.db2.gz MPBMCWMVMCKKPO-PBHICJAKSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968349810 658707250 /nfs/dbraw/zinc/70/72/50/658707250.db2.gz OZCNEHAJSUCISF-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)NC1CCCC1)c1ccccc1 ZINC000067799000 658721208 /nfs/dbraw/zinc/72/12/08/658721208.db2.gz KKKQOZOOSLJHMK-INIZCTEOSA-N 0 1 312.413 3.122 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1csc2cc(C)ccc12 ZINC001038295685 658741225 /nfs/dbraw/zinc/74/12/25/658741225.db2.gz JXCWKXBVIRSOPN-AWEZNQCLSA-N 0 1 312.438 3.037 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(CC(C)C)s1 ZINC001038355078 658745212 /nfs/dbraw/zinc/74/52/12/658745212.db2.gz WHCUMSLDCLLIJK-CQSZACIVSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001038374389 658747365 /nfs/dbraw/zinc/74/73/65/658747365.db2.gz QKPVXQUMCHVQFA-KRWDZBQOSA-N 0 1 312.457 3.336 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3scc(C)c3Cl)CCC[C@@H]12 ZINC000990875581 658773876 /nfs/dbraw/zinc/77/38/76/658773876.db2.gz ZJGARSVTDFONGG-WBMJQRKESA-N 0 1 322.861 3.070 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3scc(C)c3Cl)CCC[C@@H]12 ZINC000990875578 658773895 /nfs/dbraw/zinc/77/38/95/658773895.db2.gz ZJGARSVTDFONGG-MLGOLLRUSA-N 0 1 322.861 3.070 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(F)c2ccccc12 ZINC001038728610 658789095 /nfs/dbraw/zinc/78/90/95/658789095.db2.gz OTJSRAOHXVHTKP-AWEZNQCLSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc(C(CC)CC)no1 ZINC001038791075 658800290 /nfs/dbraw/zinc/80/02/90/658800290.db2.gz NPVVFPCLVKOJMM-OAHLLOKOSA-N 0 1 319.449 3.349 20 30 DGEDMN Cc1cc(/C=C/C(=O)c2ccccc2O)ccc1-n1cncn1 ZINC000156603326 658928152 /nfs/dbraw/zinc/92/81/52/658928152.db2.gz JMXCWZIPYVYMSD-VQHVLOKHSA-N 0 1 305.337 3.177 20 30 DGEDMN O=C(/C=C/c1ccc(-n2cccn2)cc1)c1ccc(O)cc1O ZINC000156626685 658931237 /nfs/dbraw/zinc/93/12/37/658931237.db2.gz ODYXXRDHGRHSGV-RUDMXATFSA-N 0 1 306.321 3.180 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cccc2ccsc21 ZINC001038879223 658950766 /nfs/dbraw/zinc/95/07/66/658950766.db2.gz JWPJEMYUDMLPTN-AWEZNQCLSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2cccnc2s1 ZINC001038887764 658954078 /nfs/dbraw/zinc/95/40/78/658954078.db2.gz ZCGYTJFABVVHDG-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccsc1C(F)(F)F ZINC001038956877 658963511 /nfs/dbraw/zinc/96/35/11/658963511.db2.gz DYAQXBACEVRNCI-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1c[nH]c2c1cccc2CC ZINC001039050406 658986816 /nfs/dbraw/zinc/98/68/16/658986816.db2.gz YUYDQBBCISDBBO-HNNXBMFYSA-N 0 1 311.429 3.111 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)c2ccc[nH]2)C1 ZINC001039185079 659028234 /nfs/dbraw/zinc/02/82/34/659028234.db2.gz DZQCTANUVFIESV-HOCLYGCPSA-N 0 1 321.852 3.084 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2CC3(CC3)C2)CC1 ZINC000948749297 659153779 /nfs/dbraw/zinc/15/37/79/659153779.db2.gz WNIQBGRJPKHMRO-OAHLLOKOSA-N 0 1 310.869 3.242 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)Cc2n[nH]c3ccccc32)cc1 ZINC000171029543 659254925 /nfs/dbraw/zinc/25/49/25/659254925.db2.gz QNCSGCLJBPICMH-UHFFFAOYSA-N 0 1 318.380 3.361 20 30 DGEDMN CCS[C@@H](C(=O)C(C#N)C(=O)Nc1cccc(C)c1)C(C)C ZINC000171365236 659277582 /nfs/dbraw/zinc/27/75/82/659277582.db2.gz PWMNERSAZUBBNI-GOEBONIOSA-N 0 1 318.442 3.420 20 30 DGEDMN C=C(C)CN[C@@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 ZINC000172943007 659367499 /nfs/dbraw/zinc/36/74/99/659367499.db2.gz VWPMZPILHQBNQO-SFHVURJKSA-N 0 1 315.438 3.345 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCC[C@H](C)C2)c1 ZINC000173959385 659406551 /nfs/dbraw/zinc/40/65/51/659406551.db2.gz NJGMBCZUXVYWSJ-HEHGZKQESA-N 0 1 314.385 3.169 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000063809377 659412375 /nfs/dbraw/zinc/41/23/75/659412375.db2.gz UNOPUAGXSCBOBB-UHFFFAOYSA-N 0 1 303.387 3.036 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc3c(C)c(C)[nH]c32)CC1 ZINC000948921717 659417877 /nfs/dbraw/zinc/41/78/77/659417877.db2.gz VOHWCJSOFBRUFV-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN Cc1ccc(N2CC[C@@H](N[C@@H](C)c3cccc(C#N)c3)C2=O)cc1 ZINC000174358060 659431456 /nfs/dbraw/zinc/43/14/56/659431456.db2.gz QESNQXSAJUHFBS-HNAYVOBHSA-N 0 1 319.408 3.323 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(Cl)c(C)c3)CCC[C@@H]12 ZINC000992170919 659482618 /nfs/dbraw/zinc/48/26/18/659482618.db2.gz NFPIURMQSDYDQC-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4c(c3)C=CCC4)CCC[C@@H]12 ZINC000992313361 659521369 /nfs/dbraw/zinc/52/13/69/659521369.db2.gz CZWNYJJNCXZAOI-TZIWHRDSSA-N 0 1 320.436 3.006 20 30 DGEDMN C=C1CCC(C(=O)NC2CN(CC3CCC(C)CC3)C2)CC1 ZINC001030899417 659526208 /nfs/dbraw/zinc/52/62/08/659526208.db2.gz CRFFJHUUPPPLRY-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C(C)C)cc3)CCC[C@H]12 ZINC000992420835 659546200 /nfs/dbraw/zinc/54/62/00/659546200.db2.gz ITIJHJDSNFWEQU-ICSRJNTNSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C4CCC4)c3)CCC[C@H]12 ZINC000992420548 659546370 /nfs/dbraw/zinc/54/63/70/659546370.db2.gz WCEKCOMJCIMDNW-PZJWPPBQSA-N 0 1 322.452 3.314 20 30 DGEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@H]12 ZINC000992470698 659558700 /nfs/dbraw/zinc/55/87/00/659558700.db2.gz GOQKBZWVBSSZDN-PZJWPPBQSA-N 0 1 324.468 3.245 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@H]12 ZINC000992470698 659558702 /nfs/dbraw/zinc/55/87/02/659558702.db2.gz GOQKBZWVBSSZDN-PZJWPPBQSA-N 0 1 324.468 3.245 20 30 DGEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@H]12 ZINC000992470690 659558791 /nfs/dbraw/zinc/55/87/91/659558791.db2.gz GOQKBZWVBSSZDN-FPOVZHCZSA-N 0 1 324.468 3.245 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@H]12 ZINC000992470690 659558793 /nfs/dbraw/zinc/55/87/93/659558793.db2.gz GOQKBZWVBSSZDN-FPOVZHCZSA-N 0 1 324.468 3.245 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cnc(C(C)C)s3)CCC[C@H]12 ZINC000992572968 659579554 /nfs/dbraw/zinc/57/95/54/659579554.db2.gz LZYVMCUDXDTZBZ-YOEHRIQHSA-N 0 1 319.474 3.179 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC(C)C)cc1Cl ZINC000130272388 659636670 /nfs/dbraw/zinc/63/66/70/659636670.db2.gz OXKJNFJJBCQBAB-NSHDSACASA-N 0 1 308.765 3.042 20 30 DGEDMN CC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000130353286 659690449 /nfs/dbraw/zinc/69/04/49/659690449.db2.gz ILNVBHLDWDNCRK-MWLCHTKSSA-N 0 1 308.765 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccc(F)cc3)CC2)[C@@H]1C ZINC000993393515 659727039 /nfs/dbraw/zinc/72/70/39/659727039.db2.gz JCMBKEUNGGVWLR-YOEHRIQHSA-N 0 1 316.420 3.012 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2CCCCCCC2)[C@H]1C ZINC000993564591 659763638 /nfs/dbraw/zinc/76/36/38/659763638.db2.gz CTOOFXMVHQURGR-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@@H]1C ZINC000993569071 659764067 /nfs/dbraw/zinc/76/40/67/659764067.db2.gz ZTXLMLJZRGBRGR-XJKSGUPXSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCC2)[C@H]1C ZINC000993586263 659767516 /nfs/dbraw/zinc/76/75/16/659767516.db2.gz MVAWDTOGZDRUPW-AEFFLSMTSA-N 0 1 312.457 3.263 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2csc3ccccc23)[C@H]1C ZINC000993656934 659776485 /nfs/dbraw/zinc/77/64/85/659776485.db2.gz QDBFVYAHGFKEFR-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)cccc2Cl)[C@H]1C ZINC000993770708 659788517 /nfs/dbraw/zinc/78/85/17/659788517.db2.gz FLKNFQAHKWEECV-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccccc2C2(C)CC2)[C@@H]1C ZINC000993803361 659790933 /nfs/dbraw/zinc/79/09/33/659790933.db2.gz IWFBRZSASBGUAP-LPHOPBHVSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)nc2C)[C@@H]1C ZINC000993819771 659791697 /nfs/dbraw/zinc/79/16/97/659791697.db2.gz IBEHRWBFDNKWJB-MAUKXSAKSA-N 0 1 315.461 3.282 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3occc3s2)[C@@H]1C ZINC000993805356 659791828 /nfs/dbraw/zinc/79/18/28/659791828.db2.gz MUTDSDPPEBEYJY-QWHCGFSZSA-N 0 1 316.426 3.100 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3ccccc3c2)[C@H]1C ZINC000993883399 659796640 /nfs/dbraw/zinc/79/66/40/659796640.db2.gz CMILYWIMOPYQAO-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3ccccc3c2)[C@@H]1C ZINC000993883402 659796956 /nfs/dbraw/zinc/79/69/56/659796956.db2.gz CMILYWIMOPYQAO-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C)c3ccccc23)[C@@H]1C ZINC000993888363 659798109 /nfs/dbraw/zinc/79/81/09/659798109.db2.gz VQSABXRUDKLUPG-JXFKEZNVSA-N 0 1 320.436 3.364 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(Cl)cs2)[C@H]1C ZINC000993918050 659801769 /nfs/dbraw/zinc/80/17/69/659801769.db2.gz OHVCFIOZOOHQEK-YPMHNXCESA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(C(C)(C)C)n2)[C@@H]1C ZINC000993977448 659806221 /nfs/dbraw/zinc/80/62/21/659806221.db2.gz WASPIBBFHBBZHQ-STQMWFEESA-N 0 1 321.490 3.209 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2scnc2C(C)C)[C@H]1C ZINC000993951101 659806981 /nfs/dbraw/zinc/80/69/81/659806981.db2.gz NERWSWOBLIPPOL-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nc(C3CC3)oc2C)[C@H]1C ZINC000994123684 659812097 /nfs/dbraw/zinc/81/20/97/659812097.db2.gz LMZIZUUJCHSFNO-IUODEOHRSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1C ZINC000994096057 659812223 /nfs/dbraw/zinc/81/22/23/659812223.db2.gz UOXVWMAKWQSSQJ-MKXGPGLRSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@@H]1C ZINC000994189990 659818474 /nfs/dbraw/zinc/81/84/74/659818474.db2.gz OFZHWGADKQTQPV-HNAYVOBHSA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@@H]1C ZINC000994234985 659822252 /nfs/dbraw/zinc/82/22/52/659822252.db2.gz UXTACCOJMDLEIV-DZGCQCFKSA-N 0 1 316.470 3.232 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1C ZINC000994234925 659822373 /nfs/dbraw/zinc/82/23/73/659822373.db2.gz UQBBPEIMRCDSHW-TZMCWYRMSA-N 0 1 304.459 3.394 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(CC)cc2C)[C@@H]1C ZINC000994231007 659822501 /nfs/dbraw/zinc/82/25/01/659822501.db2.gz MXOGYXKTKRDSAI-GOEBONIOSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@H]1C ZINC000994254800 659826180 /nfs/dbraw/zinc/82/61/80/659826180.db2.gz VIBDAEHSLCUPCB-IUODEOHRSA-N 0 1 308.372 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3(C)CCC3)C2)CC1 ZINC001040803516 659826756 /nfs/dbraw/zinc/82/67/56/659826756.db2.gz GMHPVRKCCYORDF-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@@H]1C ZINC000994266529 659828607 /nfs/dbraw/zinc/82/86/07/659828607.db2.gz IZMGFCSURWACOF-KXBFYZLASA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3ccc(F)cc23)[C@H]1C ZINC000994297203 659834082 /nfs/dbraw/zinc/83/40/82/659834082.db2.gz DNQCMQTXQVOMNI-MLGOLLRUSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)csc2Cl)[C@H]1C ZINC000994294091 659834806 /nfs/dbraw/zinc/83/48/06/659834806.db2.gz CRQLRDWMLIOHLA-NEPJUHHUSA-N 0 1 312.866 3.479 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(s2)CCCC3)[C@H]1C ZINC000994324415 659837790 /nfs/dbraw/zinc/83/77/90/659837790.db2.gz ANZBKCZXEHMQQN-UKRRQHHQSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C)nc3ccccc32)[C@@H]1C ZINC000994340293 659838879 /nfs/dbraw/zinc/83/88/79/659838879.db2.gz TUFCWYRPXCRONF-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCCC2)[C@@H]1C ZINC000994360434 659842812 /nfs/dbraw/zinc/84/28/12/659842812.db2.gz AQUYPRQGFKPMTH-PKOBYXMFSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3ccccc32)[C@@H]1C ZINC000994356340 659843767 /nfs/dbraw/zinc/84/37/67/659843767.db2.gz VLHRFPLLEYGPPR-KXBFYZLASA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@@H]1C ZINC000994485003 659859221 /nfs/dbraw/zinc/85/92/21/659859221.db2.gz AVSSTFXZBBKEJY-WINGESFGSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)c3c2CCC3)[C@@H]1C ZINC000994481432 659859707 /nfs/dbraw/zinc/85/97/07/659859707.db2.gz ZCQYRKZUEDRBRS-OXJNMPFZSA-N 0 1 324.468 3.090 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sccc2CC)[C@H]1C ZINC000994489741 659860524 /nfs/dbraw/zinc/86/05/24/659860524.db2.gz UYVZPEJABLRNQG-UKRRQHHQSA-N 0 1 306.475 3.469 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(C3CC3)n2)[C@@H]1C ZINC000994510156 659865063 /nfs/dbraw/zinc/86/50/63/659865063.db2.gz SHDPOWRTQCWJOC-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CC2)[C@@H]1C ZINC000994530476 659873373 /nfs/dbraw/zinc/87/33/73/659873373.db2.gz IUFZDEZFFLAVRO-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000180738598 659969813 /nfs/dbraw/zinc/96/98/13/659969813.db2.gz RWDWVQHFVPGUQE-GFCCVEGCSA-N 0 1 320.751 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(Cl)cc2)C[C@@H]1C ZINC000939580723 660004893 /nfs/dbraw/zinc/00/48/93/660004893.db2.gz NLHKPQRLZLGUET-IINYFYTJSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2Cl)C[C@@H]1C ZINC000939594025 660006015 /nfs/dbraw/zinc/00/60/15/660006015.db2.gz YWLIUWMGHZEWOF-IINYFYTJSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2CC)C[C@@H]1C ZINC000939807481 660015117 /nfs/dbraw/zinc/01/51/17/660015117.db2.gz YWQGCMQRBFHYOB-GWCFXTLKSA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001031870219 660028656 /nfs/dbraw/zinc/02/86/56/660028656.db2.gz JMUOWTKXIPBFSY-UHFFFAOYSA-N 0 1 310.800 3.025 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000940991795 660078646 /nfs/dbraw/zinc/07/86/46/660078646.db2.gz RXRVFJYAUMZAOH-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CC[N@@H+](C/C=C/Cl)CC2(C)C)C1 ZINC000941018205 660080328 /nfs/dbraw/zinc/08/03/28/660080328.db2.gz SRNQLZMQMLPMFW-DYLGSBMWSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(C/C=C/Cl)CC2(C)C)C1 ZINC000941018205 660080329 /nfs/dbraw/zinc/08/03/29/660080329.db2.gz SRNQLZMQMLPMFW-DYLGSBMWSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccccc2C2(C)CC2)C(C)(C)C1 ZINC000941026046 660080492 /nfs/dbraw/zinc/08/04/92/660080492.db2.gz ILEJARHSFAYQAS-GOSISDBHSA-N 0 1 324.468 3.202 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)cc2Cl)C(C)(C)C1 ZINC000941050046 660081967 /nfs/dbraw/zinc/08/19/67/660081967.db2.gz MTROBBFOISOTPZ-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2CCCCCC2)C(C)(C)C1 ZINC000941058807 660082967 /nfs/dbraw/zinc/08/29/67/660082967.db2.gz PCXRDEFUCVPOKC-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccsc2Cl)C(C)(C)C1 ZINC000941075938 660083074 /nfs/dbraw/zinc/08/30/74/660083074.db2.gz QUQNUZVVQFWFGX-CYBMUJFWSA-N 0 1 324.877 3.255 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)[C@@H](F)c2ccccc2)C(C)(C)C1 ZINC000941063545 660083669 /nfs/dbraw/zinc/08/36/69/660083669.db2.gz HAEBDVSBRQRZPC-HOTGVXAUSA-N 0 1 304.409 3.100 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc3cccnc3c2)C(C)(C)C1 ZINC000941106921 660085850 /nfs/dbraw/zinc/08/58/50/660085850.db2.gz DSUWXVGMFOQLLK-GOSISDBHSA-N 0 1 323.440 3.251 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(CC)o2)C(C)(C)C1 ZINC000941158925 660089372 /nfs/dbraw/zinc/08/93/72/660089372.db2.gz ZOCUTFQTTBFYON-KRWDZBQOSA-N 0 1 316.445 3.004 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)[C@H](C)c2ccccc2)C(C)(C)C1 ZINC000941173975 660091320 /nfs/dbraw/zinc/09/13/20/660091320.db2.gz CZODIXKNJABBOT-SJLPKXTDSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccn2C(C)C)C(C)(C)C1 ZINC000941222978 660096865 /nfs/dbraw/zinc/09/68/65/660096865.db2.gz BLMJSYBHJDZUTM-INIZCTEOSA-N 0 1 303.450 3.085 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)Cc1n[nH]c2ccccc12 ZINC000183110636 660102148 /nfs/dbraw/zinc/10/21/48/660102148.db2.gz YEULFMUZZYLKRY-LBPRGKRZSA-N 0 1 320.352 3.035 20 30 DGEDMN C=C1CCC(C(=O)NCC2CN(Cc3ccsc3)C2)CC1 ZINC001031951880 660104930 /nfs/dbraw/zinc/10/49/30/660104930.db2.gz SQWYJZNBSNDDIZ-UHFFFAOYSA-N 0 1 304.459 3.043 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H](C)C1 ZINC000942079805 660136010 /nfs/dbraw/zinc/13/60/10/660136010.db2.gz QKWZLWBAFALOFG-WCQYABFASA-N 0 1 324.877 3.173 20 30 DGEDMN C#CCSCCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000183776894 660137609 /nfs/dbraw/zinc/13/76/09/660137609.db2.gz AOZONHMHUTYRBE-KRWDZBQOSA-N 0 1 300.402 3.266 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001031998331 660141053 /nfs/dbraw/zinc/14/10/53/660141053.db2.gz XXFDVYJWKDXCSN-UHFFFAOYSA-N 0 1 310.397 3.184 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)[C@@H](C)C1 ZINC000942395391 660151034 /nfs/dbraw/zinc/15/10/34/660151034.db2.gz XRZUQGVMIUVYIG-YJBOKZPZSA-N 0 1 322.408 3.020 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)[C@H](C)C1 ZINC000942444364 660152065 /nfs/dbraw/zinc/15/20/65/660152065.db2.gz MJRZHZHLTFRBFT-KUHUBIRLSA-N 0 1 324.399 3.052 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CC2CCCCCC2)[C@@H](C)C1 ZINC000942958401 660173842 /nfs/dbraw/zinc/17/38/42/660173842.db2.gz FILNYBUGEJPNPD-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CC2CCCCCC2)[C@H](C)C1 ZINC000942958398 660173879 /nfs/dbraw/zinc/17/38/79/660173879.db2.gz FILNYBUGEJPNPD-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN CCN(Cc1cnn(CCF)c1)[C@@H](C)c1ccc(C#N)cc1 ZINC000929331828 661297991 /nfs/dbraw/zinc/29/79/91/661297991.db2.gz XHYKIQSTQOKYFI-AWEZNQCLSA-N 0 1 300.381 3.307 20 30 DGEDMN CC(C)(C)CN(CCC#N)C[C@H](O)CC[C@@H](O)c1ccccc1 ZINC000929691492 661329427 /nfs/dbraw/zinc/32/94/27/661329427.db2.gz BNUKFWAPFUTEPB-QZTJIDSGSA-N 0 1 318.461 3.123 20 30 DGEDMN CC(=O)NCCCCCN1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000929756522 661335430 /nfs/dbraw/zinc/33/54/30/661335430.db2.gz HAKIANRVAHEEMQ-OALUTQOASA-N 0 1 313.445 3.065 20 30 DGEDMN CC[C@@H]1CN(C)c2ccccc2C[N@H+]1Cc1cncc(C#N)c1 ZINC000929820813 661341738 /nfs/dbraw/zinc/34/17/38/661341738.db2.gz FTRDCEOCQFVSOY-GOSISDBHSA-N 0 1 306.413 3.184 20 30 DGEDMN CC[C@@H]1CN(C)c2ccccc2CN1Cc1cncc(C#N)c1 ZINC000929820813 661341739 /nfs/dbraw/zinc/34/17/39/661341739.db2.gz FTRDCEOCQFVSOY-GOSISDBHSA-N 0 1 306.413 3.184 20 30 DGEDMN N#CC1(CCCCN2CCN(Cc3ccncc3)CC2)CCC1 ZINC000930108926 661367521 /nfs/dbraw/zinc/36/75/21/661367521.db2.gz WSTBGDRSLWTQMO-UHFFFAOYSA-N 0 1 312.461 3.063 20 30 DGEDMN C[C@@H](NCc1cncc(C#N)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000930199871 661376968 /nfs/dbraw/zinc/37/69/68/661376968.db2.gz AUXIOAWUMYHHHD-CYBMUJFWSA-N 0 1 320.396 3.153 20 30 DGEDMN N#Cc1cncc(CN[C@H](c2cccs2)C2(CO)CCC2)c1 ZINC000930667194 661420011 /nfs/dbraw/zinc/42/00/11/661420011.db2.gz NYETYRMSZIUYOB-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCC[C@@](C#N)(c3ccccn3)C2)C[C@H](C)O1 ZINC000930925014 661439415 /nfs/dbraw/zinc/43/94/15/661439415.db2.gz YAOGBFAYUPMFDN-FAJBIJEISA-N 0 1 313.445 3.142 20 30 DGEDMN CC[C@@H](NCc1cncc(C#N)c1)c1cccc(OC)c1OC ZINC000931532919 661485262 /nfs/dbraw/zinc/48/52/62/661485262.db2.gz SJMMWIIBQUHPFS-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN N#CCCN(CC1CC1)C(=O)c1ccc(CN2CCCCC2)o1 ZINC000931938456 661524427 /nfs/dbraw/zinc/52/44/27/661524427.db2.gz PPLFYKGYXGFGQP-UHFFFAOYSA-N 0 1 315.417 3.031 20 30 DGEDMN C[C@@H](N[C@H](c1ccccn1)C1CC1)C(=O)Nc1cccc(C#N)c1 ZINC000932377815 661563861 /nfs/dbraw/zinc/56/38/61/661563861.db2.gz QPXPDWODIXVDGB-ACJLOTCBSA-N 0 1 320.396 3.021 20 30 DGEDMN C#CC[N@@H+](Cc1cccc(C(=O)NCc2ccco2)c1)C(C)C ZINC000932392410 661565549 /nfs/dbraw/zinc/56/55/49/661565549.db2.gz JLKZRSTYPUXGDT-UHFFFAOYSA-N 0 1 310.397 3.053 20 30 DGEDMN C#CCN(Cc1cccc(C(=O)NCc2ccco2)c1)C(C)C ZINC000932392410 661565551 /nfs/dbraw/zinc/56/55/51/661565551.db2.gz JLKZRSTYPUXGDT-UHFFFAOYSA-N 0 1 310.397 3.053 20 30 DGEDMN OC1(C2CCC2)CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000932815879 661598957 /nfs/dbraw/zinc/59/89/57/661598957.db2.gz YFRUZHCAANWEPN-UHFFFAOYSA-N 0 1 303.833 3.319 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H](C2CCOCC2)C1 ZINC000933076814 661615843 /nfs/dbraw/zinc/61/58/43/661615843.db2.gz BRCIEXASOZKKMM-INIZCTEOSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@@H](C(=O)NC(C)(C)C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933614899 661645347 /nfs/dbraw/zinc/64/53/47/661645347.db2.gz WRIAEOGBGUXFBQ-AWEZNQCLSA-N 0 1 313.445 3.041 20 30 DGEDMN C=CC[C@H]1CCN1[C@H](C)C(=O)N(CC=C)Cc1cccs1 ZINC000934465181 661708407 /nfs/dbraw/zinc/70/84/07/661708407.db2.gz KSOHFNPJONKKQM-CABCVRRESA-N 0 1 304.459 3.302 20 30 DGEDMN C[C@H](C#N)CN(C(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC000935071916 661758171 /nfs/dbraw/zinc/75/81/71/661758171.db2.gz DVAOFJUDDXGIDF-CQSZACIVSA-N 0 1 315.417 3.030 20 30 DGEDMN CC1(C#N)CCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)CC1 ZINC000935295231 661774657 /nfs/dbraw/zinc/77/46/57/661774657.db2.gz IWEATYZZFXZYEG-UHFFFAOYSA-N 0 1 318.327 3.266 20 30 DGEDMN CC1=C(C(=O)Nc2ccccc2O[C@@H](C)C#N)CCN1C(C)C ZINC000935299605 661775305 /nfs/dbraw/zinc/77/53/05/661775305.db2.gz XIWUXVPDIYCOPG-ZDUSSCGKSA-N 0 1 313.401 3.304 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@@H](C3N=NC(=O)O3)C2)CCCCC1 ZINC000935794299 661811395 /nfs/dbraw/zinc/81/13/95/661811395.db2.gz OKGCZLWRZUEGTM-CYBMUJFWSA-N 0 1 319.405 3.008 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)CCCCC1 ZINC000935794299 661811398 /nfs/dbraw/zinc/81/13/98/661811398.db2.gz OKGCZLWRZUEGTM-CYBMUJFWSA-N 0 1 319.405 3.008 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000155474514 661847533 /nfs/dbraw/zinc/84/75/33/661847533.db2.gz VFLDRTAKGXBPLV-OLZOCXBDSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000155481489 661847730 /nfs/dbraw/zinc/84/77/30/661847730.db2.gz YRXVWUGOLSQSEA-IUODEOHRSA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C=C2CCC2)CCN1CC#Cc1ccccc1 ZINC000947185744 661895315 /nfs/dbraw/zinc/89/53/15/661895315.db2.gz NUIKMNRKHHNUIW-PXNSSMCTSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2csc3ccccc23)C[C@@H]1C ZINC000947590868 661918844 /nfs/dbraw/zinc/91/88/44/661918844.db2.gz WGEWKBWPHGYWPV-KBPBESRZSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C[C@H]1C ZINC000947735943 661928128 /nfs/dbraw/zinc/92/81/28/661928128.db2.gz PRTDAJRNSOSRGA-WBVHZDCISA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(CCC)c(C)s2)C[C@H]1C ZINC000948013469 661944585 /nfs/dbraw/zinc/94/45/85/661944585.db2.gz XGHRZRSNFBRJPJ-CZUORRHYSA-N 0 1 318.486 3.225 20 30 DGEDMN N#CCOc1ccc(CNCc2cnn(-c3ccccc3)c2)cc1 ZINC000075758623 661946049 /nfs/dbraw/zinc/94/60/49/661946049.db2.gz CRASAHHYJOMSQI-UHFFFAOYSA-N 0 1 318.380 3.064 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2cnccc2C)CC1 ZINC000948266418 661964081 /nfs/dbraw/zinc/96/40/81/661964081.db2.gz YCMDNBXKDMXULE-OAHLLOKOSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2(C3CC3)CC2)CC1 ZINC000948463022 661976762 /nfs/dbraw/zinc/97/67/62/661976762.db2.gz ATBUMIUNSULUOG-OAHLLOKOSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@@H](N(C)C(=O)[C@@H]2CCC2(C)C)CC1 ZINC000948684955 661990911 /nfs/dbraw/zinc/99/09/11/661990911.db2.gz SUAQIVOPCTUYLN-CABCVRRESA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2CCC2(C)C)CC1 ZINC000948684955 661990914 /nfs/dbraw/zinc/99/09/14/661990914.db2.gz SUAQIVOPCTUYLN-CABCVRRESA-N 0 1 312.885 3.488 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](N(C)C(=O)[C@H](C)c2ccsc2)CC1 ZINC000948771490 661997186 /nfs/dbraw/zinc/99/71/86/661997186.db2.gz OXLBWVKWZLKIQP-NVXWUHKLSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CC12CC2 ZINC000948965981 662010248 /nfs/dbraw/zinc/01/02/48/662010248.db2.gz SWIHMOAKQJZWFQ-ZWKOTPCHSA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(-n3cccc3)cc2)CC1 ZINC000949146284 662011902 /nfs/dbraw/zinc/01/19/02/662011902.db2.gz AXEYSTSPTIPFMH-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC000949654483 662023270 /nfs/dbraw/zinc/02/32/70/662023270.db2.gz DRFVUFNWVBWPHL-QGZVFWFLSA-N 0 1 300.446 3.494 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CC2CCC(F)(F)CC2)CC1 ZINC000949666937 662024126 /nfs/dbraw/zinc/02/41/26/662024126.db2.gz JNQHMPDXNMVRIA-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCC1(C(=O)Nc2ccc(N3CCN(C)CC3)cc2)CCC1 ZINC000190664804 662040271 /nfs/dbraw/zinc/04/02/71/662040271.db2.gz JZWWGAKCBLHYIX-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCCc3ccccc32)CC1 ZINC001006453035 662050818 /nfs/dbraw/zinc/05/08/18/662050818.db2.gz XRDYVIREOXQJBF-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C(C)C)nc2C)CC1 ZINC000950555933 662072034 /nfs/dbraw/zinc/07/20/34/662072034.db2.gz UZJKGBSKYROILQ-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CC(CC)CC)C2)cc1 ZINC000951117170 662113881 /nfs/dbraw/zinc/11/38/81/662113881.db2.gz FSFTVKZECOFBEN-UHFFFAOYSA-N 0 1 312.457 3.250 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)C(=O)Nc2ccc(F)c(Cl)c2)o1 ZINC000193475270 662135687 /nfs/dbraw/zinc/13/56/87/662135687.db2.gz UPAIFMJTWIPZLZ-SNVBAGLBSA-N 0 1 320.707 3.342 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@H](C)c2ccccc2F)CC1 ZINC000951777491 662151347 /nfs/dbraw/zinc/15/13/47/662151347.db2.gz QONFHRPEXWWYEB-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCc3cc(C(C)(C)C)ccc32)CC1 ZINC000194778524 662173381 /nfs/dbraw/zinc/17/33/81/662173381.db2.gz KASFCGSEEGIXSA-UHFFFAOYSA-N 0 1 324.468 3.218 20 30 DGEDMN CC(C)[C@H]1CC[C@H](C(=O)C(C#N)C(=O)Nc2ccccn2)CC1 ZINC000245559065 662236060 /nfs/dbraw/zinc/23/60/60/662236060.db2.gz UACZLSYNSFMUSN-RBSFLKMASA-N 0 1 313.401 3.191 20 30 DGEDMN CC(C)C[C@H]1COCCN1Cc1ccc(N(C)CCC#N)cc1 ZINC000093340234 662353841 /nfs/dbraw/zinc/35/38/41/662353841.db2.gz PHHRWYFHAXWCGH-IBGZPJMESA-N 0 1 315.461 3.283 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](C)c2cccc(Cl)c2)CC1 ZINC001004180559 685364468 /nfs/dbraw/zinc/36/44/68/685364468.db2.gz CMUFTBDXAMCDNJ-CQSZACIVSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001046384525 685399942 /nfs/dbraw/zinc/39/99/42/685399942.db2.gz DTACWNQDHAIHEK-MRXNPFEDSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)C(CC)(CC)CC)C[C@H](C)O2 ZINC001071224752 686601804 /nfs/dbraw/zinc/60/18/04/686601804.db2.gz AASDNEVWPIJBDP-LPHOPBHVSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3occc3c2)CC[C@@H]1C ZINC001071523989 686678128 /nfs/dbraw/zinc/67/81/28/686678128.db2.gz BEELJQPCZFKNGE-WMLDXEAASA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccoc2C(F)(F)F)CC[C@@H]1C ZINC001071537450 686682343 /nfs/dbraw/zinc/68/23/43/686682343.db2.gz ZMUJQEMPKYQZAQ-WDEREUQCSA-N 0 1 316.323 3.067 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nccc3ccsc32)CC[C@@H]1C ZINC001071579336 686693763 /nfs/dbraw/zinc/69/37/63/686693763.db2.gz CGQNZNMSGABUSO-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@@H]1C ZINC001071583441 686694729 /nfs/dbraw/zinc/69/47/29/686694729.db2.gz IHURHUZYOKEEEN-QWHCGFSZSA-N 0 1 324.371 3.132 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccccc2C(C)(C)C)CC[C@H]1C ZINC001071599869 686697443 /nfs/dbraw/zinc/69/74/43/686697443.db2.gz BTEBCVOFKCELLA-HZPDHXFCSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@H]2CC[C@@H](C)N(CC=C)C2)cc1 ZINC001071619354 686704198 /nfs/dbraw/zinc/70/41/98/686704198.db2.gz NGOBBGAKSWARFY-WBVHZDCISA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)cc(OC)c2C)CC[C@@H]1C ZINC001071647244 686711890 /nfs/dbraw/zinc/71/18/90/686711890.db2.gz FSDRMIFVPULUIP-GOEBONIOSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(-n3cccc3)c2)CC[C@@H]1C ZINC001071656496 686713649 /nfs/dbraw/zinc/71/36/49/686713649.db2.gz YMKBDUOVLJJCCO-FUHWJXTLSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)CC[C@@H]1C ZINC001071670377 686719245 /nfs/dbraw/zinc/71/92/45/686719245.db2.gz JSVJQAGUIHOQBI-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)CC[C@H]1C ZINC001071670378 686719346 /nfs/dbraw/zinc/71/93/46/686719346.db2.gz JSVJQAGUIHOQBI-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@@H]1C ZINC001071686573 686723622 /nfs/dbraw/zinc/72/36/22/686723622.db2.gz WGCVBDSNYWNAGZ-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@H]1C ZINC001071701122 686727136 /nfs/dbraw/zinc/72/71/36/686727136.db2.gz CUIJJAHLWHBZLN-WBVHZDCISA-N 0 1 310.441 3.088 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)Cc2cccc(C3CC3)c2)CC[C@H]1C ZINC001071701605 686727252 /nfs/dbraw/zinc/72/72/52/686727252.db2.gz LLNDJHMQJAQBCF-OXQOHEQNSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@H]1C ZINC001071731443 686735189 /nfs/dbraw/zinc/73/51/89/686735189.db2.gz DNPHAPRVONBTQM-GDBMZVCRSA-N 0 1 311.429 3.245 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@@H]1C ZINC001071732159 686736265 /nfs/dbraw/zinc/73/62/65/686736265.db2.gz PEBZFEVRDUOZNP-DOTOQJQBSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccsc3c2)CC[C@@H]1C ZINC001071743152 686740959 /nfs/dbraw/zinc/74/09/59/686740959.db2.gz XEYSOQBUPRNXKS-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2C2CC2)CC[C@H]1C ZINC001071772156 686746696 /nfs/dbraw/zinc/74/66/96/686746696.db2.gz FWRQMEMHLSRYBZ-HIFRSBDPSA-N 0 1 302.418 3.316 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2OC)CC[C@H]1C ZINC001071772501 686747279 /nfs/dbraw/zinc/74/72/79/686747279.db2.gz LXZAABYMQYGTTF-OCCSQVGLSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@@H]1C ZINC001071773206 686747311 /nfs/dbraw/zinc/74/73/11/686747311.db2.gz YBSLLIVTGWCAFM-YJBOKZPZSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(CCC)cc2)CC[C@H]1C ZINC001071779871 686750649 /nfs/dbraw/zinc/75/06/49/686750649.db2.gz PYFITPQLHSXJHW-APWZRJJASA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@H]1C ZINC001071792250 686754249 /nfs/dbraw/zinc/75/42/49/686754249.db2.gz GNSVOKWIPYKINH-ZBFHGGJFSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@H]1C ZINC001071792153 686754286 /nfs/dbraw/zinc/75/42/86/686754286.db2.gz FJYGMZVXESJLRV-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@@H]1C ZINC001071796205 686756496 /nfs/dbraw/zinc/75/64/96/686756496.db2.gz QKIUKABCTBAHPE-QWHCGFSZSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cccc2Cl)CC[C@H]1C ZINC001071796918 686756943 /nfs/dbraw/zinc/75/69/43/686756943.db2.gz ZKWFPPACNAKFHD-ZIAGYGMSSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3occc3s2)CC[C@@H]1C ZINC001071821789 686761096 /nfs/dbraw/zinc/76/10/96/686761096.db2.gz SKMHMMOXKMHCLT-QWHCGFSZSA-N 0 1 316.426 3.100 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc[nH]c2C2CCC2)CC[C@@H]1C ZINC001071828754 686763363 /nfs/dbraw/zinc/76/33/63/686763363.db2.gz TWOHFTDXWDWWGI-ZFWWWQNUSA-N 0 1 301.434 3.051 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)cc2Cl)CC[C@@H]1C ZINC001071835515 686765671 /nfs/dbraw/zinc/76/56/71/686765671.db2.gz OAWHYQZNMNYCCE-GJZGRUSLSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(F)c2Cl)CC[C@@H]1C ZINC001071839803 686767507 /nfs/dbraw/zinc/76/75/07/686767507.db2.gz HMXIOWHHQWFACT-NWDGAFQWSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(F)c2Cl)CC[C@@H]1C ZINC001071839804 686767818 /nfs/dbraw/zinc/76/78/18/686767818.db2.gz HMXIOWHHQWFACT-RYUDHWBXSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@@H]1C ZINC001071844481 686769816 /nfs/dbraw/zinc/76/98/16/686769816.db2.gz NBIIJQKDYORPNT-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccccc3c2)CC[C@H]1C ZINC001071851995 686772436 /nfs/dbraw/zinc/77/24/36/686772436.db2.gz TUCLMRQELPGRPL-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OCC)c(C)c2)CC[C@H]1C ZINC001071862414 686774265 /nfs/dbraw/zinc/77/42/65/686774265.db2.gz XCJQKCHNOOWOFU-WBVHZDCISA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(OCC)c2)CC[C@H]1C ZINC001071859598 686774749 /nfs/dbraw/zinc/77/47/49/686774749.db2.gz AOFKKBTYLFSKKC-WBVHZDCISA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@@H]1C ZINC001071880456 686780552 /nfs/dbraw/zinc/78/05/52/686780552.db2.gz RPNUEYAWYNIWSI-QCTRZYONSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@H]1C ZINC001071890964 686783670 /nfs/dbraw/zinc/78/36/70/686783670.db2.gz UZHWQFXUAYLPJZ-IUODEOHRSA-N 0 1 308.372 3.393 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(CC)nc2C)CC[C@H]1C ZINC001071890230 686784197 /nfs/dbraw/zinc/78/41/97/686784197.db2.gz IOSXNSDDOCDCBY-TZMCWYRMSA-N 0 1 321.490 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2C)CC[C@@H]1C ZINC001071901062 686787946 /nfs/dbraw/zinc/78/79/46/686787946.db2.gz NADRCUYMHOEBSW-HOCLYGCPSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C)c2Cl)CC[C@@H]1C ZINC001071904918 686788580 /nfs/dbraw/zinc/78/85/80/686788580.db2.gz QZXDQIZHSWCRAY-LSDHHAIUSA-N 0 1 318.848 3.254 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2nc(C3CC3)oc2C)CC[C@@H]1C ZINC001071945710 686793591 /nfs/dbraw/zinc/79/35/91/686793591.db2.gz GZQBYTQNZSZBHY-SWLSCSKDSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3F)CC2)CC[C@@H]1C ZINC001071961374 686797357 /nfs/dbraw/zinc/79/73/57/686797357.db2.gz PQLVHHNMMOYOAR-LSDHHAIUSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cnccc3c2)CC[C@H]1C ZINC001071966780 686799909 /nfs/dbraw/zinc/79/99/09/686799909.db2.gz HXDYDXHMOIESHX-KDOFPFPSSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C3CC3)s2)CC[C@H]1C ZINC001071988533 686806446 /nfs/dbraw/zinc/80/64/46/686806446.db2.gz JFQGRUIMVDFTQK-HIFRSBDPSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(C3CC3)s2)CC[C@H]1C ZINC001071988534 686807588 /nfs/dbraw/zinc/80/75/88/686807588.db2.gz JFQGRUIMVDFTQK-UKRRQHHQSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc3ccccc3c2)CC[C@H]1C ZINC001072018944 686824289 /nfs/dbraw/zinc/82/42/89/686824289.db2.gz AQTOCMIGEDYYDJ-DNVCBOLYSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ccccc3n2)CC[C@H]1C ZINC001072019734 686826422 /nfs/dbraw/zinc/82/64/22/686826422.db2.gz NDFZMQIGPNSASX-ZBFHGGJFSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C3CCCCC3)CC2)CC[C@@H]1C ZINC001072029058 686830588 /nfs/dbraw/zinc/83/05/88/686830588.db2.gz DTZZSZZYPPPIMJ-WMZOPIPTSA-N 0 1 316.489 3.339 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CCC2)CC[C@H]1C ZINC001072030991 686832182 /nfs/dbraw/zinc/83/21/82/686832182.db2.gz ZKLOPKMWZOJTDH-MSOLQXFVSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3ccccc32)CC[C@H]1C ZINC001072037200 686834815 /nfs/dbraw/zinc/83/48/15/686834815.db2.gz LCNYJXVRIRBUCI-NVXWUHKLSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(s2)CCC3)CC[C@H]1C ZINC001072043986 686840435 /nfs/dbraw/zinc/84/04/35/686840435.db2.gz HUOIOMNALORDLH-TZMCWYRMSA-N 0 1 304.459 3.006 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2oc3ccccc3c2C)CC[C@@H]1C ZINC001072047700 686843622 /nfs/dbraw/zinc/84/36/22/686843622.db2.gz GADDMJUXECAWOP-GOEBONIOSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2)CC[C@@H]1C ZINC001072050457 686845881 /nfs/dbraw/zinc/84/58/81/686845881.db2.gz XTWSBXUKIWCZRZ-RDJZCZTQSA-N 0 1 300.446 3.119 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(Cl)s2)CC[C@@H]1C ZINC001072050177 686846036 /nfs/dbraw/zinc/84/60/36/686846036.db2.gz UCSLTKAIXRKQSV-NWDGAFQWSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@H]1C ZINC001072054848 686848397 /nfs/dbraw/zinc/84/83/97/686848397.db2.gz KZLSBSRZDLFMMD-IAGOWNOFSA-N 0 1 300.446 3.381 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@@H]1C ZINC001072054847 686848503 /nfs/dbraw/zinc/84/85/03/686848503.db2.gz KZLSBSRZDLFMMD-DLBZAZTESA-N 0 1 300.446 3.381 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(CC)c(C)s2)CC[C@H]1C ZINC001072055248 686849385 /nfs/dbraw/zinc/84/93/85/686849385.db2.gz RKFKFLBSYQCLQB-IUODEOHRSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@@H]1C ZINC001072087857 686858778 /nfs/dbraw/zinc/85/87/78/686858778.db2.gz CICBFCVBNFRZBN-RDJZCZTQSA-N 0 1 316.420 3.049 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)CC[C@@H]1C ZINC001072113637 686874456 /nfs/dbraw/zinc/87/44/56/686874456.db2.gz ZGXRHDLYLJPXIE-IRXDYDNUSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC)CC3CCCCC3)C2)C1 ZINC001073030413 687002872 /nfs/dbraw/zinc/00/28/72/687002872.db2.gz YYCXOWXBBOKFDD-GOSISDBHSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3C[C@H](C)CC(C)(C)C3)C2)C1 ZINC001073062590 687007362 /nfs/dbraw/zinc/00/73/62/687007362.db2.gz HZTMPSAVEPXXJP-DLBZAZTESA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCC(F)(F)C2)[C@H]1C ZINC001074681116 687289918 /nfs/dbraw/zinc/28/99/18/687289918.db2.gz FWLSZVJPJQKSHA-AVGNSLFASA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCCC2(F)F)[C@H]1C ZINC001074829975 687303297 /nfs/dbraw/zinc/30/32/97/687303297.db2.gz YDKDZAGPJJOPAA-XQQFMLRXSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2cccs2)[C@H]1C ZINC001074873263 687308702 /nfs/dbraw/zinc/30/87/02/687308702.db2.gz IGVUARTUKCOTQP-AGIUHOORSA-N 0 1 312.866 3.183 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccc(C)o2)[C@H]1C ZINC001074949618 687319459 /nfs/dbraw/zinc/31/94/59/687319459.db2.gz LRAMKPWABSDTNF-IHRRRGAJSA-N 0 1 310.825 3.023 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CC(C(C)(C)C)C2)[C@H]1C ZINC001075141096 687340645 /nfs/dbraw/zinc/34/06/45/687340645.db2.gz ZCSJXHHSTMNNPT-PPWQZUPISA-N 0 1 312.885 3.390 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(CC(C)C)cc3)[C@@H]2C1 ZINC001076553870 687538212 /nfs/dbraw/zinc/53/82/12/687538212.db2.gz ABVQVTMBCDLYEQ-VQTJNVASSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001080081885 687919331 /nfs/dbraw/zinc/91/93/31/687919331.db2.gz VSHBIQIUTRWJNA-VQIMIIECSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2csc3ccccc23)C1 ZINC001080213835 687930599 /nfs/dbraw/zinc/93/05/99/687930599.db2.gz PAVNSOZMYLMHJO-IUODEOHRSA-N 0 1 300.427 3.137 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001080365978 687962250 /nfs/dbraw/zinc/96/22/50/687962250.db2.gz NIURSTZASDGGEK-CZUORRHYSA-N 0 1 320.864 3.240 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001080486335 687984439 /nfs/dbraw/zinc/98/44/39/687984439.db2.gz DZPUCVOTHLUXNI-GDBMZVCRSA-N 0 1 310.397 3.183 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001080858369 688018974 /nfs/dbraw/zinc/01/89/74/688018974.db2.gz MSBFBVCAXFANEO-SJLPKXTDSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001080889280 688023008 /nfs/dbraw/zinc/02/30/08/688023008.db2.gz UVNUARCAFXVKTB-UKRRQHHQSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(cccc3F)s2)C1 ZINC001080913298 688027395 /nfs/dbraw/zinc/02/73/95/688027395.db2.gz DBUXXAMCLMNYCV-BXUZGUMPSA-N 0 1 318.417 3.277 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C=C(C)C)C[C@H]1c1ccccc1 ZINC001081081552 688048709 /nfs/dbraw/zinc/04/87/09/688048709.db2.gz BXVWNHOXEHOKTK-DLBZAZTESA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccsc2)C[C@H]1C ZINC001082592490 688120271 /nfs/dbraw/zinc/12/02/71/688120271.db2.gz IFQHTGAPUYZSGP-AQNFWKISSA-N 0 1 324.877 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2CCCC2(F)F)C[C@H]1C ZINC001082704788 688124095 /nfs/dbraw/zinc/12/40/95/688124095.db2.gz ATVQOTILWHDEMZ-KGYLQXTDSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCC(F)(F)C2)C[C@H]1C ZINC001082734844 688125408 /nfs/dbraw/zinc/12/54/08/688125408.db2.gz AOIIVZRPNMBEJQ-RAIGVLPGSA-N 0 1 320.811 3.001 20 30 DGEDMN Cc1ccc(CN(Cc2c[nH]nn2)Cc2ccccc2)cc1C#N ZINC001577392245 1191896880 /nfs/dbraw/zinc/89/68/80/1191896880.db2.gz OSBAXZNNLHUENR-UHFFFAOYSA-N 0 1 317.396 3.187 20 30 DGEDMN CN(Cc1cc(C#N)cs1)Cc1cccc2nsnc21 ZINC001620621879 1192045568 /nfs/dbraw/zinc/04/55/68/1192045568.db2.gz ZXFHAAFQYWFBOU-UHFFFAOYSA-N 0 1 300.412 3.256 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC001583579237 1192151374 /nfs/dbraw/zinc/15/13/74/1192151374.db2.gz PKYFKVVAXKRPOI-UHFFFAOYSA-N 0 1 307.353 3.309 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1ccc2cncn2c1C ZINC001583809925 1192161472 /nfs/dbraw/zinc/16/14/72/1192161472.db2.gz RRMRRNIBUNCDHB-UHFFFAOYSA-N 0 1 308.316 3.214 20 30 DGEDMN N#Cc1cc(NC(=O)c2ccc(CN3CCCC3)o2)ccc1F ZINC001584621478 1192208205 /nfs/dbraw/zinc/20/82/05/1192208205.db2.gz WHSXGHIZKUDVAR-UHFFFAOYSA-N 0 1 313.332 3.138 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2csc(-c3nn[nH]n3)c2)CCCC1(C)C ZINC001588564150 1192450612 /nfs/dbraw/zinc/45/06/12/1192450612.db2.gz IYNLATHIXJLGBL-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@@H+](Cc2csc(-c3nnn[n-]3)c2)CCCC1(C)C ZINC001588564150 1192450614 /nfs/dbraw/zinc/45/06/14/1192450614.db2.gz IYNLATHIXJLGBL-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2csc(-c3nnn[n-]3)c2)CCCC1(C)C ZINC001588564150 1192450618 /nfs/dbraw/zinc/45/06/18/1192450618.db2.gz IYNLATHIXJLGBL-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@@H+](Cc2csc(-c3nn[n-]n3)c2)CCCC1(C)C ZINC001588564150 1192450620 /nfs/dbraw/zinc/45/06/20/1192450620.db2.gz IYNLATHIXJLGBL-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2csc(-c3nn[n-]n3)c2)CCCC1(C)C ZINC001588564150 1192450622 /nfs/dbraw/zinc/45/06/22/1192450622.db2.gz IYNLATHIXJLGBL-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1ccc(Cl)cc1F ZINC001664130675 1196974466 /nfs/dbraw/zinc/97/44/66/1196974466.db2.gz VXGPCDAHTAXCQL-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CCC2CCCC2)CC1 ZINC001690132406 1176019425 /nfs/dbraw/zinc/01/94/25/1176019425.db2.gz DIZAVRZSECXNJG-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001690971060 1176052685 /nfs/dbraw/zinc/05/26/85/1176052685.db2.gz QNUCSJQOSPYOCQ-CYBMUJFWSA-N 0 1 310.388 3.115 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001597145150 1192720737 /nfs/dbraw/zinc/72/07/37/1192720737.db2.gz IFLHWAWKXVORAP-UHFFFAOYSA-N 0 1 300.705 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001671326905 1176131492 /nfs/dbraw/zinc/13/14/92/1176131492.db2.gz CVFLEDVBKQGJCZ-XUWVNRHRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1cc(C)cc(C)c1)C1CC1 ZINC001670516946 1176158191 /nfs/dbraw/zinc/15/81/91/1176158191.db2.gz IZDJUYCPEMYHMV-QGZVFWFLSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1cccc(C)c1)C1CC1 ZINC001670529834 1176161199 /nfs/dbraw/zinc/16/11/99/1176161199.db2.gz WSXGJQKUXTZUED-WMLDXEAASA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001670714393 1176229969 /nfs/dbraw/zinc/22/99/69/1176229969.db2.gz QKSDFAFAKWCVAR-ZCYBBYNQSA-N 0 1 316.489 3.360 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cccc(Cl)c1Cl ZINC001691050602 1176276816 /nfs/dbraw/zinc/27/68/16/1176276816.db2.gz WBYLFWRNYDGMSF-UHFFFAOYSA-N 0 1 313.228 3.068 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NC/C(Cl)=C/Cl)[C@@H](C)C1 ZINC001724943781 1176295139 /nfs/dbraw/zinc/29/51/39/1176295139.db2.gz LPIAUYVWNWIVKB-SLZKOVEISA-N 0 1 305.249 3.098 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001691077214 1176297702 /nfs/dbraw/zinc/29/77/02/1176297702.db2.gz ZLQKREVVOIBVSC-MRXNPFEDSA-N 0 1 304.459 3.022 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC/C=C\c1ccccc1 ZINC001691097986 1176309659 /nfs/dbraw/zinc/30/96/59/1176309659.db2.gz WVRWGFFHCPWDBM-DIBVOSKASA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001691099702 1176312059 /nfs/dbraw/zinc/31/20/59/1176312059.db2.gz FRCWBIKASIHBKZ-MOPGFXCFSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H](C)C1CCCCC1 ZINC001691100350 1176313007 /nfs/dbraw/zinc/31/30/07/1176313007.db2.gz RWDBSCGFMODGTB-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001691106111 1176316941 /nfs/dbraw/zinc/31/69/41/1176316941.db2.gz QXONKDBTVITKGN-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@@H](C)c2ccc(F)cc2F)C1 ZINC001691122024 1176327741 /nfs/dbraw/zinc/32/77/41/1176327741.db2.gz ZBQHIXFPNOZPAX-KBPBESRZSA-N 0 1 322.399 3.430 20 30 DGEDMN CCCC1(C(=O)NC[C@H]2CCN(CC#Cc3ccccc3)C2)CC1 ZINC001691156947 1176344174 /nfs/dbraw/zinc/34/41/74/1176344174.db2.gz JMHLTTWSWYZMIQ-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(O[C@H]3CCOC3)cc2)s1 ZINC001620976202 1192735319 /nfs/dbraw/zinc/73/53/19/1192735319.db2.gz SLCXAUMJKJQCIY-HNNXBMFYSA-N 0 1 314.410 3.077 20 30 DGEDMN C#CCN(CCNC(=O)C[C@H](CC)c1ccc(C)cc1)C1CC1 ZINC001691225556 1176403359 /nfs/dbraw/zinc/40/33/59/1176403359.db2.gz JKSNXZJFJZYXEV-KRWDZBQOSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1cccc(Cl)c1F ZINC001754223694 1176415250 /nfs/dbraw/zinc/41/52/50/1176415250.db2.gz HLJDGCODCASFRW-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C[C@@H]1C)CCN(CCF)CC2 ZINC001691275302 1176420849 /nfs/dbraw/zinc/42/08/49/1176420849.db2.gz OOQJXVMWCGQNNB-HOTGVXAUSA-N 0 1 310.457 3.261 20 30 DGEDMN Cc1ccc(CNCCN(C)C(=O)C#CC(C)(C)C)c(Cl)c1 ZINC001691315124 1176437039 /nfs/dbraw/zinc/43/70/39/1176437039.db2.gz VDIKPUBXSKGMIF-UHFFFAOYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001691415906 1176475754 /nfs/dbraw/zinc/47/57/54/1176475754.db2.gz ABVVMESAWFZVDU-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001691415906 1176475761 /nfs/dbraw/zinc/47/57/61/1176475761.db2.gz ABVVMESAWFZVDU-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)Cc1cccc(Cl)c1 ZINC001670733881 1176492603 /nfs/dbraw/zinc/49/26/03/1176492603.db2.gz CPTYTSKHCDQIAS-PBHICJAKSA-N 0 1 320.864 3.285 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001725505200 1176592649 /nfs/dbraw/zinc/59/26/49/1176592649.db2.gz NJUJBLHMWHNEMX-RYUDHWBXSA-N 0 1 307.265 3.344 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H]1CC=CCC1 ZINC001691789796 1176645015 /nfs/dbraw/zinc/64/50/15/1176645015.db2.gz BFGFLYGYMJOJRF-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2cc(C)cc(C)c2)CCC1 ZINC001754986136 1176717106 /nfs/dbraw/zinc/71/71/06/1176717106.db2.gz SVFHDCPNDZJSTK-UHFFFAOYSA-N 0 1 320.864 3.227 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CC=CCC2)CC1 ZINC001752432370 1176801123 /nfs/dbraw/zinc/80/11/23/1176801123.db2.gz RZURXPCGGAZLJJ-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@H](NCC(=C)Cl)C1CC1)c1ccccc1 ZINC001752499961 1176859923 /nfs/dbraw/zinc/85/99/23/1176859923.db2.gz RZMNYOGJGTXAKE-SJORKVTESA-N 0 1 318.848 3.193 20 30 DGEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001755327099 1176898165 /nfs/dbraw/zinc/89/81/65/1176898165.db2.gz AQECEIBYUAAUEW-ZDUSSCGKSA-N 0 1 306.837 3.147 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001755327099 1176898172 /nfs/dbraw/zinc/89/81/72/1176898172.db2.gz AQECEIBYUAAUEW-ZDUSSCGKSA-N 0 1 306.837 3.147 20 30 DGEDMN C=CCCC(=O)N[C@](C)(CNC/C(Cl)=C/Cl)C1CC1 ZINC001753514184 1176904952 /nfs/dbraw/zinc/90/49/52/1176904952.db2.gz DAWNEJOMUVEJJG-ICDLMJIXSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccc(CC(C)C)cc1 ZINC001752883036 1176996280 /nfs/dbraw/zinc/99/62/80/1176996280.db2.gz CDNNFGUQDSRFKE-HNNXBMFYSA-N 0 1 322.880 3.274 20 30 DGEDMN C=CCCC[C@H](NC(=O)NC[C@H]1CCN1CC)c1ccccc1 ZINC001687421537 1177267371 /nfs/dbraw/zinc/26/73/71/1177267371.db2.gz MFBWQGKTGJJLJU-MSOLQXFVSA-N 0 1 315.461 3.477 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2cc(C)ccc2o1 ZINC001691623435 1177332154 /nfs/dbraw/zinc/33/21/54/1177332154.db2.gz XBIYNNWYFCXZTH-UHFFFAOYSA-N 0 1 306.793 3.155 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001700757421 1177370144 /nfs/dbraw/zinc/37/01/44/1177370144.db2.gz JRXXXGUVCBUWRK-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccc(F)c(F)c2)CC1 ZINC001113654999 1177726366 /nfs/dbraw/zinc/72/63/66/1177726366.db2.gz SZEGFJRZQZZHPS-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN CCCCCCN1CCN(C(=O)CC#Cc2ccccc2)CC1 ZINC001113665116 1177728538 /nfs/dbraw/zinc/72/85/38/1177728538.db2.gz QLVMXXUNTWCNSS-UHFFFAOYSA-N 0 1 312.457 3.153 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)Cc1cccs1)C(C)C ZINC001691698834 1177837386 /nfs/dbraw/zinc/83/73/86/1177837386.db2.gz CVKCLLNRBSMTDW-UHFFFAOYSA-N 0 1 314.882 3.260 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)Nc1ccc2nc[nH]c2c1 ZINC001292189993 1178083959 /nfs/dbraw/zinc/08/39/59/1178083959.db2.gz PPLRTHSFMFIDHH-GFCCVEGCSA-N 0 1 304.353 3.252 20 30 DGEDMN C[C@@H]1CCN(Cc2ccccc2)CC1N=Nc1ccc(C#N)cn1 ZINC001330911602 1178089937 /nfs/dbraw/zinc/08/99/37/1178089937.db2.gz TUYOJVJVNNUDGR-OAHLLOKOSA-N 0 1 319.412 3.263 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(C(F)F)CCCC1 ZINC001331531563 1178236175 /nfs/dbraw/zinc/23/61/75/1178236175.db2.gz SYUYETGZUWWALW-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)c1cccc(C)c1 ZINC001331576243 1178251405 /nfs/dbraw/zinc/25/14/05/1178251405.db2.gz NPJMHYCSURNZOQ-HUUCEWRRSA-N 0 1 308.853 3.288 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)c1cccc(C)c1 ZINC001331576244 1178251732 /nfs/dbraw/zinc/25/17/32/1178251732.db2.gz NPJMHYCSURNZOQ-LSDHHAIUSA-N 0 1 308.853 3.288 20 30 DGEDMN C[C@@H]1CN(Cc2cc3c(cccc3O)s2)C[C@H](C)N1CC#N ZINC001331582842 1178253285 /nfs/dbraw/zinc/25/32/85/1178253285.db2.gz JSWGEMKCILCYKY-BETUJISGSA-N 0 1 315.442 3.025 20 30 DGEDMN C[C@H]1CN(Cc2cc3c(cccc3O)s2)C[C@H](C)N1CC#N ZINC001331582846 1178253697 /nfs/dbraw/zinc/25/36/97/1178253697.db2.gz JSWGEMKCILCYKY-STQMWFEESA-N 0 1 315.442 3.025 20 30 DGEDMN Cn1cc(C=NNc2ccc(C#N)cn2)c(C2CCCCC2)n1 ZINC001332010847 1178336086 /nfs/dbraw/zinc/33/60/86/1178336086.db2.gz KRFMIEGDLMPDHX-UHFFFAOYSA-N 0 1 308.389 3.180 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2cccc(Cl)n2)C[C@@H]1C ZINC001332025378 1178339570 /nfs/dbraw/zinc/33/95/70/1178339570.db2.gz IMNUCRDQQMCBLT-ZFWWWQNUSA-N 0 1 321.852 3.170 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cccc(Cl)n2)C[C@H]1C ZINC001332025377 1178340521 /nfs/dbraw/zinc/34/05/21/1178340521.db2.gz IMNUCRDQQMCBLT-UKRRQHHQSA-N 0 1 321.852 3.170 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C#CC2CC2)CCCN1C/C=C\c1ccccc1 ZINC001332177821 1178382318 /nfs/dbraw/zinc/38/23/18/1178382318.db2.gz QCEGHNROKXYKMG-NXASUSGTSA-N 0 1 322.452 3.082 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2(C)CCCCC2)[C@@H]1C ZINC001332185202 1178385326 /nfs/dbraw/zinc/38/53/26/1178385326.db2.gz OCHQPPGLMMPZIF-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCCCC1(F)F)C(C)C ZINC001332720606 1178481161 /nfs/dbraw/zinc/48/11/61/1178481161.db2.gz SZGOPUVCEKWBAP-HNNXBMFYSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@@H]1CCCC[C@@H]1C)C(C)C ZINC001332740156 1178488502 /nfs/dbraw/zinc/48/85/02/1178488502.db2.gz CNSLYDMQSCVRHE-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CCCC(=O)N[C@H](C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001332931916 1178524329 /nfs/dbraw/zinc/52/43/29/1178524329.db2.gz BUXWTCMOZMTLGS-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN C#CCC1(NC(=O)Nc2cnn(-c3ccncc3)c2)CCCCC1 ZINC001332997385 1178537045 /nfs/dbraw/zinc/53/70/45/1178537045.db2.gz KFNFXBWOUSJVCH-UHFFFAOYSA-N 0 1 323.400 3.115 20 30 DGEDMN C=CC1CCN(Cc2ccc(NC(=O)OC(C)(C)C)nn2)CC1 ZINC001334142058 1178727482 /nfs/dbraw/zinc/72/74/82/1178727482.db2.gz VOYZURBAYPCTTD-UHFFFAOYSA-N 0 1 318.421 3.222 20 30 DGEDMN N#CC1(C[NH2+]Cc2cc(C(=O)[O-])c3n2CCCC3)CCCCC1 ZINC001601056159 1192914414 /nfs/dbraw/zinc/91/44/14/1192914414.db2.gz RXNPQPQUJZEEFF-UHFFFAOYSA-N 0 1 315.417 3.086 20 30 DGEDMN O=C1C=COC2(CCN([C@H]3CCc4c3cccc4F)CC2)C1 ZINC001335203971 1178869020 /nfs/dbraw/zinc/86/90/20/1178869020.db2.gz RDQHSDIBJYKEIM-KRWDZBQOSA-N 0 1 301.361 3.151 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@H]21 ZINC001601088707 1192917049 /nfs/dbraw/zinc/91/70/49/1192917049.db2.gz DVHHIYODFNFEBQ-YESZJQIVSA-N 0 1 316.376 3.163 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+](CCC(F)(F)F)CC(=O)[O-] ZINC001601086722 1192917418 /nfs/dbraw/zinc/91/74/18/1192917418.db2.gz GKHOOXDHVBEJCS-UHFFFAOYSA-N 0 1 320.698 3.051 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@H+](CCC(F)(F)F)CC(=O)[O-] ZINC001601086722 1192917421 /nfs/dbraw/zinc/91/74/21/1192917421.db2.gz GKHOOXDHVBEJCS-UHFFFAOYSA-N 0 1 320.698 3.051 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccccc2CC(=O)[O-])C2CC2)cc1 ZINC001601100041 1192918681 /nfs/dbraw/zinc/91/86/81/1192918681.db2.gz FKDJCMWSJCUCRD-UHFFFAOYSA-N 0 1 320.392 3.350 20 30 DGEDMN N#Cc1ccc(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)cc1 ZINC001601098435 1192918714 /nfs/dbraw/zinc/91/87/14/1192918714.db2.gz XPGWXXMJDDKDPL-BZSNNMDCSA-N 0 1 312.413 3.066 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)c1csc(C2CCCCC2)n1 ZINC001342165392 1179112314 /nfs/dbraw/zinc/11/23/14/1179112314.db2.gz LHUDTNPSRRAFMN-GFCCVEGCSA-N 0 1 314.414 3.414 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1CCCCC(F)(F)F ZINC001479240049 1179112847 /nfs/dbraw/zinc/11/28/47/1179112847.db2.gz ZNNHIOYCBHZWKC-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC(C)(C)C[C@@H]1C ZINC001342332797 1179131392 /nfs/dbraw/zinc/13/13/92/1179131392.db2.gz RTIBILIHVVMKNT-LBPRGKRZSA-N 0 1 314.437 3.178 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C(C)(C)c1cccc(Cl)c1 ZINC001342924784 1179210660 /nfs/dbraw/zinc/21/06/60/1179210660.db2.gz NUYDQHCWYNOHMS-ZDUSSCGKSA-N 0 1 301.777 3.228 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)[C@@H]2CCC[C@H]21 ZINC001601827668 1192947476 /nfs/dbraw/zinc/94/74/76/1192947476.db2.gz YQCIFQWNIYIBFN-GVDBMIGSSA-N 0 1 317.816 3.267 20 30 DGEDMN Cc1csc(C(C#N)=C([O-])c2c[nH]c(Br)c2)n1 ZINC001343744218 1179326979 /nfs/dbraw/zinc/32/69/79/1179326979.db2.gz PKTHFNOYCJCXTG-QMMMGPOBSA-N 0 1 310.176 3.032 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c[nH]c(Br)c2)n1 ZINC001343744218 1179326986 /nfs/dbraw/zinc/32/69/86/1179326986.db2.gz PKTHFNOYCJCXTG-QMMMGPOBSA-N 0 1 310.176 3.032 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCCC[C@H]1CC ZINC001343920270 1179350070 /nfs/dbraw/zinc/35/00/70/1179350070.db2.gz ZRPRCTTXRLGWGA-CQSZACIVSA-N 0 1 314.437 3.322 20 30 DGEDMN N#Cc1cc(NC(=O)c2coc([C@@H]3CCCN3)n2)ccc1C1CC1 ZINC001344560872 1179451429 /nfs/dbraw/zinc/45/14/29/1179451429.db2.gz ZRQHLUISULKNCF-HNNXBMFYSA-N 0 1 322.368 3.100 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cc(C3CC3)nn2C)n1 ZINC001344849294 1179485317 /nfs/dbraw/zinc/48/53/17/1179485317.db2.gz UHGXHIXFMLEHPS-NSHDSACASA-N 0 1 314.414 3.367 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)Cc1cccc(C(F)F)c1 ZINC001345643253 1179585635 /nfs/dbraw/zinc/58/56/35/1179585635.db2.gz OQOYPTUKOJIUFU-LLVKDONJSA-N 0 1 323.730 3.430 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2cncs2)CC1 ZINC001479853909 1179603664 /nfs/dbraw/zinc/60/36/64/1179603664.db2.gz YYXQFJLCTXDHLQ-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001346095474 1179651478 /nfs/dbraw/zinc/65/14/78/1179651478.db2.gz DYQLQCNBEYIJRU-ZYHUDNBSSA-N 0 1 303.312 3.161 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1cc(F)cc(C#N)c1 ZINC001346095573 1179651492 /nfs/dbraw/zinc/65/14/92/1179651492.db2.gz GOFHZAHIAZQZLC-HNNXBMFYSA-N 0 1 324.359 3.068 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)Cc1coc2cc3c(cc12)CCC3 ZINC001346549285 1179718943 /nfs/dbraw/zinc/71/89/43/1179718943.db2.gz VJWDJMXQVFMPKD-INIZCTEOSA-N 0 1 319.364 3.074 20 30 DGEDMN COCc1cc(C#N)ccc1N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001349776100 1179949072 /nfs/dbraw/zinc/94/90/72/1179949072.db2.gz KLROXRKRYXEKDK-UHFFFAOYSA-N 0 1 312.417 3.362 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC001602836362 1192999269 /nfs/dbraw/zinc/99/92/69/1192999269.db2.gz OBTHGYKNXKUNOD-AWEZNQCLSA-N 0 1 304.777 3.140 20 30 DGEDMN C=C(CC(C)C)C(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC001350136196 1180024414 /nfs/dbraw/zinc/02/44/14/1180024414.db2.gz WSLUBYLYPOSJGV-GOSISDBHSA-N 0 1 309.413 3.486 20 30 DGEDMN C=CC[N@@H+](CCc1ccccc1)Cc1ccc(-c2nn[nH]n2)cc1 ZINC001602852466 1193000358 /nfs/dbraw/zinc/00/03/58/1193000358.db2.gz QKPDEBCGXOQYBX-UHFFFAOYSA-N 0 1 319.412 3.097 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC001602836362 1192999271 /nfs/dbraw/zinc/99/92/71/1192999271.db2.gz OBTHGYKNXKUNOD-AWEZNQCLSA-N 0 1 304.777 3.140 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@@H+](C)Cc2ccc(C(=O)[O-])cc2)cc1 ZINC001603132145 1193008703 /nfs/dbraw/zinc/00/87/03/1193008703.db2.gz SOGVWNLJSMJMQG-AWEZNQCLSA-N 0 1 324.380 3.308 20 30 DGEDMN C#C[C@H](NCc1ccc(C(F)(F)F)cc1[N+](=O)[O-])C(C)C ZINC001621319156 1193023645 /nfs/dbraw/zinc/02/36/45/1193023645.db2.gz YBKJYXRPYWKKMC-LBPRGKRZSA-N 0 1 300.280 3.361 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CCCCCCCCCC)[C@H](O)C1 ZINC001100083407 1180365468 /nfs/dbraw/zinc/36/54/68/1180365468.db2.gz RZANCBKJSPVDAE-ZWKOTPCHSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCCCCCCCC)[C@H](O)C1 ZINC001100083407 1180365474 /nfs/dbraw/zinc/36/54/74/1180365474.db2.gz RZANCBKJSPVDAE-ZWKOTPCHSA-N 0 1 324.509 3.255 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1sccc1Cl ZINC001480517448 1180587454 /nfs/dbraw/zinc/58/74/54/1180587454.db2.gz FVCIFIVYXIYKOL-GFCCVEGCSA-N 0 1 312.866 3.189 20 30 DGEDMN COC(=O)c1cc(CN2CCC(CC#N)CC2)[nH]c1C(C)C ZINC001353584347 1180597964 /nfs/dbraw/zinc/59/79/64/1180597964.db2.gz NHGHUIRXLGKKQB-UHFFFAOYSA-N 0 1 303.406 3.050 20 30 DGEDMN CC[C@]1(C)CCCC1N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001604081989 1193048855 /nfs/dbraw/zinc/04/88/55/1193048855.db2.gz WYYMWUAHPBLJFN-OAHLLOKOSA-N 0 1 317.397 3.346 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100587058 1180824639 /nfs/dbraw/zinc/82/46/39/1180824639.db2.gz HMUQBFLWDQSPSR-LRVUVFPRSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100644797 1180886630 /nfs/dbraw/zinc/88/66/30/1180886630.db2.gz ILVCDPDBEGDZBD-VZXJNUACSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@H](CC)C1 ZINC001356143686 1181148110 /nfs/dbraw/zinc/14/81/10/1181148110.db2.gz ONWNSMGSLKTOJY-ZDUSSCGKSA-N 0 1 322.416 3.244 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCCC1(F)F ZINC001356220990 1181159701 /nfs/dbraw/zinc/15/97/01/1181159701.db2.gz NDUHJNISWIFNPF-QWHCGFSZSA-N 0 1 314.376 3.217 20 30 DGEDMN C[C@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CCc2ccccc21 ZINC001604786748 1193092936 /nfs/dbraw/zinc/09/29/36/1193092936.db2.gz QNIWPRXTTBWPBN-LIRRHRJNSA-N 0 1 320.392 3.346 20 30 DGEDMN N#C[C@@H](C(=O)CSc1ccc(F)cc1)c1cccc(F)n1 ZINC001357598901 1181412581 /nfs/dbraw/zinc/41/25/81/1181412581.db2.gz MQAHWIGAAOPFQL-GFCCVEGCSA-N 0 1 304.321 3.328 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)[C@H](N)Cc1ccc2cc[nH]c2c1 ZINC001357970544 1181440319 /nfs/dbraw/zinc/44/03/19/1181440319.db2.gz XIMPNEWNODBAOK-MRXNPFEDSA-N 0 1 313.445 3.146 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1ccc(CC#N)cc1)CC1CC1 ZINC001604889638 1193098676 /nfs/dbraw/zinc/09/86/76/1193098676.db2.gz OYFMJQGSGWPYOM-SFHVURJKSA-N 0 1 300.402 3.218 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4cnccc4c3)[nH]c2c1 ZINC001438138225 1181959425 /nfs/dbraw/zinc/95/94/25/1181959425.db2.gz UNPRALBRADFLLC-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN Cc1ccccc1[C@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001438136354 1181959495 /nfs/dbraw/zinc/95/94/95/1181959495.db2.gz OUDVPRKAMWKHNN-LBPRGKRZSA-N 0 1 304.353 3.485 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)c2cncc3nc[nH]c32)c1 ZINC001443145822 1182095620 /nfs/dbraw/zinc/09/56/20/1182095620.db2.gz CUAFZIJHDBISGY-UHFFFAOYSA-N 0 1 308.341 3.165 20 30 DGEDMN CCC[N@@H+](Cc1ccc(C#N)cc1)Cc1cccc(C(=O)[O-])n1 ZINC001605317189 1193143185 /nfs/dbraw/zinc/14/31/85/1193143185.db2.gz GQVZJBJSQWLOAA-UHFFFAOYSA-N 0 1 309.369 3.064 20 30 DGEDMN C[C@H](CSc1ccccc1)C(=O)NOc1cccc(C#N)c1 ZINC001454681841 1182350719 /nfs/dbraw/zinc/35/07/19/1182350719.db2.gz IWFDEOBEYBCDKA-CYBMUJFWSA-N 0 1 312.394 3.397 20 30 DGEDMN Cc1cc2c[nH]nc2c(C(=O)N(C)Cc2cc(C#N)cs2)c1 ZINC001456471823 1182418307 /nfs/dbraw/zinc/41/83/07/1182418307.db2.gz BHMXMOWKDILGQK-UHFFFAOYSA-N 0 1 310.382 3.077 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)c1ccc(C(C)(C)C#N)cc1 ZINC001457152837 1182448391 /nfs/dbraw/zinc/44/83/91/1182448391.db2.gz SEGUPOLPDRGAGS-UHFFFAOYSA-N 0 1 319.368 3.320 20 30 DGEDMN CC1CCN(CCNc2c(Br)cccc2C#N)CC1 ZINC001458103450 1182496544 /nfs/dbraw/zinc/49/65/44/1182496544.db2.gz DVTCLPANUKPSRX-UHFFFAOYSA-N 0 1 322.250 3.465 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001460140516 1182655905 /nfs/dbraw/zinc/65/59/05/1182655905.db2.gz BXGSUDWSYIXHKZ-KRWDZBQOSA-N 0 1 300.789 3.377 20 30 DGEDMN CN(Cc1cccc(C#N)c1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001460140516 1182655911 /nfs/dbraw/zinc/65/59/11/1182655911.db2.gz BXGSUDWSYIXHKZ-KRWDZBQOSA-N 0 1 300.789 3.377 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCC(CN=S(C)(C)=O)CC1 ZINC001460804515 1182724949 /nfs/dbraw/zinc/72/49/49/1182724949.db2.gz GQQVOLNLGKFOLS-CQSZACIVSA-N 0 1 319.474 3.059 20 30 DGEDMN C=CCC[C@H]1CCCN1Cc1nc2cc(C(=O)OC)ccc2[nH]1 ZINC001460885285 1182734980 /nfs/dbraw/zinc/73/49/80/1182734980.db2.gz DJFYLSWXQUGWAS-AWEZNQCLSA-N 0 1 313.401 3.280 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@@H]1Cc1ccc(O)cc1 ZINC001460939512 1182743194 /nfs/dbraw/zinc/74/31/94/1182743194.db2.gz UNXOLLJKJQBJCE-GOSISDBHSA-N 0 1 307.397 3.256 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1C[C@H](OC)C1(C)C ZINC001461267465 1182789881 /nfs/dbraw/zinc/78/98/81/1182789881.db2.gz VHPHJLWBCDPAGC-CVEARBPZSA-N 0 1 307.821 3.255 20 30 DGEDMN C#C[C@H](NCc1cc(Br)c(C)s1)[C@@H]1CCCO1 ZINC001461445952 1182816121 /nfs/dbraw/zinc/81/61/21/1182816121.db2.gz XJFFTNQZGUWFQQ-STQMWFEESA-N 0 1 314.248 3.089 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1nc(-c2cccs2)oc1C ZINC001461670578 1182839845 /nfs/dbraw/zinc/83/98/45/1182839845.db2.gz VTQVXVNFEARONR-ZDUSSCGKSA-N 0 1 304.415 3.230 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2COc3c(F)cc(F)cc3C2)c(F)c1 ZINC001461815190 1182859444 /nfs/dbraw/zinc/85/94/44/1182859444.db2.gz VMPQCTBZVLIWKG-AWEZNQCLSA-N 0 1 318.298 3.069 20 30 DGEDMN C[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1F ZINC001461918897 1182877035 /nfs/dbraw/zinc/87/70/35/1182877035.db2.gz RPELBNWCNLSKNN-JTQLQIEISA-N 0 1 308.316 3.316 20 30 DGEDMN COC(=O)c1csc(CNCc2ccc(C#N)c(Cl)c2)c1 ZINC001462144721 1182914588 /nfs/dbraw/zinc/91/45/88/1182914588.db2.gz MCKBSOHQCPGFJW-UHFFFAOYSA-N 0 1 320.801 3.350 20 30 DGEDMN CCN(C(=O)c1c[nH]cc2ncnc1-2)[C@@H](C)c1ccc(C#N)cc1 ZINC001464453183 1183093241 /nfs/dbraw/zinc/09/32/41/1183093241.db2.gz OZCRAMIGQHSXLO-LBPRGKRZSA-N 0 1 319.368 3.053 20 30 DGEDMN N#CCN(C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1)C1CC1 ZINC001464507124 1183096859 /nfs/dbraw/zinc/09/68/59/1183096859.db2.gz UUKJRNVVSMECKA-UHFFFAOYSA-N 0 1 316.364 3.358 20 30 DGEDMN N#CCCN(Cc1ccco1)C(=O)c1ccc(Cl)c(O)c1 ZINC001464556328 1183099941 /nfs/dbraw/zinc/09/99/41/1183099941.db2.gz NUUZXTXJCPRVTI-UHFFFAOYSA-N 0 1 304.733 3.195 20 30 DGEDMN C[C@H](CC#N)C(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001465132406 1183130536 /nfs/dbraw/zinc/13/05/36/1183130536.db2.gz XEDNMEOJGDFNPA-ACJLOTCBSA-N 0 1 318.380 3.318 20 30 DGEDMN O=C(C#CC1CC1)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001465131884 1183130878 /nfs/dbraw/zinc/13/08/78/1183130878.db2.gz HQIOBXXLOQYDEQ-HXUWFJFHSA-N 0 1 315.376 3.182 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC001468099101 1183310416 /nfs/dbraw/zinc/31/04/16/1183310416.db2.gz KYXXIASRSYFTHP-INIZCTEOSA-N 0 1 321.440 3.472 20 30 DGEDMN C#C[C@@H](NC(=O)NCc1nc2ccc(C)cc2[nH]1)c1ccccc1 ZINC001469119697 1183383966 /nfs/dbraw/zinc/38/39/66/1183383966.db2.gz ZISFRFYRTFOLNE-OAHLLOKOSA-N 0 1 318.380 3.045 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC001472290347 1183631868 /nfs/dbraw/zinc/63/18/68/1183631868.db2.gz JRYZOVMBIGMQKU-CQSZACIVSA-N 0 1 313.788 3.358 20 30 DGEDMN CC1(C)CCCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472636161 1183659166 /nfs/dbraw/zinc/65/91/66/1183659166.db2.gz XJEBESCOICDIHQ-CQSZACIVSA-N 0 1 323.400 3.498 20 30 DGEDMN Cc1scc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1C ZINC001472634952 1183659882 /nfs/dbraw/zinc/65/98/82/1183659882.db2.gz CNBJWARTIHTXTD-UHFFFAOYSA-N 0 1 323.381 3.274 20 30 DGEDMN C=C(C)[C@H](CO)N[C@H](C)c1ccc(OC)cc1Br ZINC001473306018 1183742150 /nfs/dbraw/zinc/74/21/50/1183742150.db2.gz OZZWQTFITJNUBF-YGRLFVJLSA-N 0 1 314.223 3.045 20 30 DGEDMN C#C[C@@H](NCCOc1cc(Cl)cc(Cl)c1)[C@@H]1CCCO1 ZINC001473402352 1183752589 /nfs/dbraw/zinc/75/25/89/1183752589.db2.gz JNBHHKDCDIPGBP-CABCVRRESA-N 0 1 314.212 3.143 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@H](C3CCC3)CC2)c1 ZINC001473742863 1183797001 /nfs/dbraw/zinc/79/70/01/1183797001.db2.gz PZPJMMZOVXJXIU-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN C[C@@H]1CC[C@@](CO)(NCc2ccc(C#N)s2)c2ccccc21 ZINC001473885766 1183819061 /nfs/dbraw/zinc/81/90/61/1183819061.db2.gz OSBHLQCALMSZDM-ACJLOTCBSA-N 0 1 312.438 3.494 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C=C(C)C)C1 ZINC001479626393 1184278924 /nfs/dbraw/zinc/27/89/24/1184278924.db2.gz ZODGHWURYOAOBF-QZTJIDSGSA-N 0 1 316.420 3.252 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CCC2CCCCCC2)[C@H]1C ZINC001479713039 1184297957 /nfs/dbraw/zinc/29/79/57/1184297957.db2.gz CCBFIRMZRXUMJP-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1C ZINC001479715970 1184299756 /nfs/dbraw/zinc/29/97/56/1184299756.db2.gz NSTUJRFMAJHABL-RYRKJORJSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC[N@H+]1CCC2(CN(C(=O)[C@@H]3CCC[C@@H]3c3ccccc3)C2)C1 ZINC001479758403 1184314399 /nfs/dbraw/zinc/31/43/99/1184314399.db2.gz HFFZIDOBDCBDKA-RTBURBONSA-N 0 1 324.468 3.291 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(CC)Cc1ccns1 ZINC001479850505 1184347981 /nfs/dbraw/zinc/34/79/81/1184347981.db2.gz CJKYIUUOHGHBPF-UHFFFAOYSA-N 0 1 309.479 3.074 20 30 DGEDMN N#CC1(CCCC[N@@H+](CCC(F)(F)F)CC(=O)[O-])CCC1 ZINC001608174918 1193281071 /nfs/dbraw/zinc/28/10/71/1193281071.db2.gz HZIQNTGKHPGYFW-UHFFFAOYSA-N 0 1 306.328 3.190 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@H]2Cc2ccccc2)cccc1C(=O)[O-] ZINC001608191199 1193282534 /nfs/dbraw/zinc/28/25/34/1193282534.db2.gz INHBHFAEPAIWFU-INIZCTEOSA-N 0 1 306.365 3.073 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@H+]1C[C@H]2CCCC[C@@]2(C(=O)[O-])C1 ZINC001608197174 1193282552 /nfs/dbraw/zinc/28/25/52/1193282552.db2.gz RRBYXOCFLOJQBT-RHSMWYFYSA-N 0 1 318.804 3.288 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CCOCC)C2)CCCCC1 ZINC001479915392 1184381864 /nfs/dbraw/zinc/38/18/64/1184381864.db2.gz UMEBLOVLRHFXKH-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1csc(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@H]2C(=O)[O-])c1 ZINC001608222640 1193284314 /nfs/dbraw/zinc/28/43/14/1193284314.db2.gz VPEQTWLMLGFABR-HOCLYGCPSA-N 0 1 318.442 3.475 20 30 DGEDMN N#Cc1csc(C[N@@H+]2C[C@H](C3CCCCC3)C[C@H]2C(=O)[O-])c1 ZINC001608222722 1193284586 /nfs/dbraw/zinc/28/45/86/1193284586.db2.gz VPEQTWLMLGFABR-ZBFHGGJFSA-N 0 1 318.442 3.475 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)/C=C(\C)C2CC2)CC1 ZINC001479990456 1184404339 /nfs/dbraw/zinc/40/43/39/1184404339.db2.gz BHMORUBGGOWMQS-FOCLMDBBSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CC23CCCC3)CC1 ZINC001479995252 1184407113 /nfs/dbraw/zinc/40/71/13/1184407113.db2.gz IYKFIFOGEQPWCA-GOSISDBHSA-N 0 1 316.489 3.293 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001479995253 1184407554 /nfs/dbraw/zinc/40/75/54/1184407554.db2.gz IYKFIFOGEQPWCA-SFHVURJKSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C23CCC(CC2)C3)C1 ZINC001480079347 1184428965 /nfs/dbraw/zinc/42/89/65/1184428965.db2.gz KRSWXVOHGDUFLL-UPTPFMHGSA-N 0 1 324.896 3.490 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)c[nH]1 ZINC001480097348 1184434780 /nfs/dbraw/zinc/43/47/80/1184434780.db2.gz SZPAZWWETJEEET-IYBDPMFKSA-N 0 1 321.440 3.413 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001480224620 1184463500 /nfs/dbraw/zinc/46/35/00/1184463500.db2.gz JFHLSHMBNPCSKX-HOLFXLRESA-N 0 1 305.249 3.145 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCC)CC(C)C ZINC001480331470 1184495685 /nfs/dbraw/zinc/49/56/85/1184495685.db2.gz MDXMHSUZUABARN-ZWKOTPCHSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001480333698 1184495987 /nfs/dbraw/zinc/49/59/87/1184495987.db2.gz VEIKSCDAZPUBPN-DOTOQJQBSA-N 0 1 324.424 3.140 20 30 DGEDMN C=C(Br)CNC[C@H]1CCCCN1C(=O)CCCC ZINC001480339351 1184498326 /nfs/dbraw/zinc/49/83/26/1184498326.db2.gz VOOUDQSANOIGBD-CYBMUJFWSA-N 0 1 317.271 3.056 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001480489922 1184535967 /nfs/dbraw/zinc/53/59/67/1184535967.db2.gz LLVMGQDUIKHUNE-IRXDYDNUSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2sccc2s1 ZINC001480486138 1184536384 /nfs/dbraw/zinc/53/63/84/1184536384.db2.gz IPOVPJJDQBAXQW-SECBINFHSA-N 0 1 314.863 3.423 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001480601311 1184558112 /nfs/dbraw/zinc/55/81/12/1184558112.db2.gz MVNPINGURZAOKV-DZGCQCFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@H](NCc2nc(C)oc2C)C1 ZINC001480699803 1184579831 /nfs/dbraw/zinc/57/98/31/1184579831.db2.gz XFNAXELMXXVWKO-HOTGVXAUSA-N 0 1 319.449 3.165 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2nocc2C)C1 ZINC001480705840 1184583244 /nfs/dbraw/zinc/58/32/44/1184583244.db2.gz WARLWBQUDGCOMU-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001480732667 1184591731 /nfs/dbraw/zinc/59/17/31/1184591731.db2.gz FWBRRAPTTFNIQF-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001480734585 1184592649 /nfs/dbraw/zinc/59/26/49/1184592649.db2.gz IMQHVXODTBXVNW-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(-c2ccco2)s1 ZINC001493035188 1184636822 /nfs/dbraw/zinc/63/68/22/1184636822.db2.gz KDQRVLDAESECBG-UHFFFAOYSA-N 0 1 316.426 3.083 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493093338 1184648647 /nfs/dbraw/zinc/64/86/47/1184648647.db2.gz DHTNSVBUOUDLSN-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC001493183783 1184664623 /nfs/dbraw/zinc/66/46/23/1184664623.db2.gz CTWNXUIITZKZDZ-GFCCVEGCSA-N 0 1 312.866 3.306 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccc(C)c(C)n1)C(C)C ZINC001493861542 1184752108 /nfs/dbraw/zinc/75/21/08/1184752108.db2.gz CRMNBLDARWYZKI-UHFFFAOYSA-N 0 1 323.868 3.281 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)CCCC)c1ccccc1 ZINC001493888978 1184759672 /nfs/dbraw/zinc/75/96/72/1184759672.db2.gz JIMBYXVOIWNISJ-AEFFLSMTSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001493888482 1184760174 /nfs/dbraw/zinc/76/01/74/1184760174.db2.gz IHSDIPNXSVHSKM-ROUUACIJSA-N 0 1 310.441 3.037 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccccc1CC)c1ccccc1 ZINC001493895820 1184760805 /nfs/dbraw/zinc/76/08/05/1184760805.db2.gz HUPFMNANMDBFMD-HXUWFJFHSA-N 0 1 320.436 3.333 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccoc1C(C)C)c1ccccc1 ZINC001493907568 1184763414 /nfs/dbraw/zinc/76/34/14/1184763414.db2.gz ZWKPSFJNWIUYCS-QGZVFWFLSA-N 0 1 310.397 3.097 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001493965175 1184778269 /nfs/dbraw/zinc/77/82/69/1184778269.db2.gz RECLTYONCRRQFO-MHORFTMASA-N 0 1 304.478 3.312 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001493978356 1184782017 /nfs/dbraw/zinc/78/20/17/1184782017.db2.gz SIVFAYQPRPIERN-QAPCUYQASA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001493978356 1184782022 /nfs/dbraw/zinc/78/20/22/1184782022.db2.gz SIVFAYQPRPIERN-QAPCUYQASA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](CC)CCC)C1 ZINC001494066967 1184801631 /nfs/dbraw/zinc/80/16/31/1184801631.db2.gz OHJYNSXXIGJEII-CABCVRRESA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](C)c2ccccc2)C1 ZINC001494072782 1184803275 /nfs/dbraw/zinc/80/32/75/1184803275.db2.gz FSNBCDYAMRIYCK-HOTGVXAUSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cccc(C)c2F)C1 ZINC001494134024 1184813846 /nfs/dbraw/zinc/81/38/46/1184813846.db2.gz CVIAGMJEIOULRV-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C#CCCCCC(=O)N1CCC(CN(C)CC(=C)Cl)CC1 ZINC001494192125 1184830115 /nfs/dbraw/zinc/83/01/15/1184830115.db2.gz LLMWLKMNHCEBCX-UHFFFAOYSA-N 0 1 310.869 3.103 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(CCc2ccccc2Cl)C1 ZINC001494312363 1184852403 /nfs/dbraw/zinc/85/24/03/1184852403.db2.gz DYNFFOMCUIPIMH-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C2CCC2)C2CCC2)C1 ZINC001494437891 1184870063 /nfs/dbraw/zinc/87/00/63/1184870063.db2.gz WDMUDPKQRITLGI-AWEZNQCLSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001494509323 1184877702 /nfs/dbraw/zinc/87/77/02/1184877702.db2.gz TVDPRNWTZRBOMK-KSSFIOAISA-N 0 1 318.436 3.474 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001494509651 1184877760 /nfs/dbraw/zinc/87/77/60/1184877760.db2.gz WFPGOFCZXJNFQB-SJORKVTESA-N 0 1 314.473 3.329 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C1CC1 ZINC001494649921 1184899865 /nfs/dbraw/zinc/89/98/65/1184899865.db2.gz HYZPBSCXAAGLIT-OALUTQOASA-N 0 1 322.452 3.153 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(CCc2c(F)cccc2F)C1 ZINC001494807325 1184931395 /nfs/dbraw/zinc/93/13/95/1184931395.db2.gz MXXKSKWMOGOGOV-CQSZACIVSA-N 0 1 322.399 3.006 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001494853551 1184945018 /nfs/dbraw/zinc/94/50/18/1184945018.db2.gz RZGCKYMJUAQSDF-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001495067989 1184991364 /nfs/dbraw/zinc/99/13/64/1184991364.db2.gz ZZHHKEXPJHNGNJ-GOEBONIOSA-N 0 1 308.853 3.335 20 30 DGEDMN Cc1ccccc1C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001495991326 1185122378 /nfs/dbraw/zinc/12/23/78/1185122378.db2.gz CCIRBAOOJWZUPQ-INIZCTEOSA-N 0 1 321.424 3.117 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001496003993 1185124647 /nfs/dbraw/zinc/12/46/47/1185124647.db2.gz LHJAKLPIOAXIIU-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001496066440 1185130764 /nfs/dbraw/zinc/13/07/64/1185130764.db2.gz LLLXVBFSRHFNOR-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)CC(CC)CC ZINC001496192624 1185145566 /nfs/dbraw/zinc/14/55/66/1185145566.db2.gz PATOBQZNGHDHCZ-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C=C(CC)CC)CC[C@H]21 ZINC001496858311 1185259177 /nfs/dbraw/zinc/25/91/77/1185259177.db2.gz LCBMEQWNIKNTQR-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@H]1CNCc1nc(C)oc1C ZINC001496902634 1185268261 /nfs/dbraw/zinc/26/82/61/1185268261.db2.gz CDLUVLRMJZOFJU-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2cc(C)ccc2F)C1 ZINC001497451663 1185335791 /nfs/dbraw/zinc/33/57/91/1185335791.db2.gz RZMXKDRYTHPFRF-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1cccc(F)c1Cl ZINC001497543809 1185350724 /nfs/dbraw/zinc/35/07/24/1185350724.db2.gz ZRYRCARKOYLRPL-SNAWJCMRSA-N 0 1 310.800 3.207 20 30 DGEDMN CC(C)(C)[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001609654840 1193347744 /nfs/dbraw/zinc/34/77/44/1193347744.db2.gz LYDVNHNOIKLRIJ-JKSUJKDBSA-N 0 1 300.402 3.442 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cccc(F)c1Cl ZINC001497651503 1185369957 /nfs/dbraw/zinc/36/99/57/1185369957.db2.gz XASZHRUXIJIPEW-AATRIKPKSA-N 0 1 324.827 3.453 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2cc(F)ccc2Cl)C1 ZINC001497907268 1185407376 /nfs/dbraw/zinc/40/73/76/1185407376.db2.gz UOJGHIUOILBNEO-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001498055171 1185429298 /nfs/dbraw/zinc/42/92/98/1185429298.db2.gz LRGJQEYRTSDTBS-BPUTZDHNSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001498373923 1185481266 /nfs/dbraw/zinc/48/12/66/1185481266.db2.gz AOAOFKHESFPFFC-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001498396063 1185486455 /nfs/dbraw/zinc/48/64/55/1185486455.db2.gz ONGAJOXNHBKNMJ-AWEZNQCLSA-N 0 1 320.864 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(Cl)cc1)C1CC1 ZINC001498864078 1185557545 /nfs/dbraw/zinc/55/75/45/1185557545.db2.gz YMJJLUFAAHFMHF-AWEZNQCLSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ncc(C)o2)[C@H](CC)C1 ZINC001498890791 1185564281 /nfs/dbraw/zinc/56/42/81/1185564281.db2.gz VHBRYVZYXMBVEB-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCN1Cc1ccc2ccccc2c1 ZINC001498973863 1185585125 /nfs/dbraw/zinc/58/51/25/1185585125.db2.gz PTGYIQOKQRRUHD-HXUWFJFHSA-N 0 1 320.436 3.190 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001499041446 1185598169 /nfs/dbraw/zinc/59/81/69/1185598169.db2.gz GJLAEFFHULXRIW-MAUKXSAKSA-N 0 1 318.436 3.449 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001499042009 1185598607 /nfs/dbraw/zinc/59/86/07/1185598607.db2.gz IAEFGVQXEPXYJK-FUHWJXTLSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC001499080555 1185606701 /nfs/dbraw/zinc/60/67/01/1185606701.db2.gz NUBBAEGZRCFKPZ-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1ccc(Cl)s1 ZINC001499116434 1185614694 /nfs/dbraw/zinc/61/46/94/1185614694.db2.gz GFXIURRUYKJTOZ-GFCCVEGCSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1ccc(Cl)s1 ZINC001499116435 1185615352 /nfs/dbraw/zinc/61/53/52/1185615352.db2.gz GFXIURRUYKJTOZ-LBPRGKRZSA-N 0 1 312.866 3.101 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCCCCCC ZINC001499515103 1185660423 /nfs/dbraw/zinc/66/04/23/1185660423.db2.gz NHPHJZPLLWRTBL-MSOLQXFVSA-N 0 1 304.478 3.436 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2csc(C)c2C)C1 ZINC001499672803 1185683352 /nfs/dbraw/zinc/68/33/52/1185683352.db2.gz OUKPFNOIFOROKP-LBPRGKRZSA-N 0 1 306.475 3.476 20 30 DGEDMN CCOc1ccc(C[N@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001610044350 1193373390 /nfs/dbraw/zinc/37/33/90/1193373390.db2.gz SNDQCSGVGPOHIX-UHFFFAOYSA-N 0 1 324.380 3.287 20 30 DGEDMN CCOc1ccc(C[N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001610044350 1193373392 /nfs/dbraw/zinc/37/33/92/1193373392.db2.gz SNDQCSGVGPOHIX-UHFFFAOYSA-N 0 1 324.380 3.287 20 30 DGEDMN C#CCN(C(=O)C1CCCCC1)C1CCN(C/C=C/Cl)CC1 ZINC001500022449 1185731866 /nfs/dbraw/zinc/73/18/66/1185731866.db2.gz CZGHOXNAEIGVJM-IZZDOVSWSA-N 0 1 322.880 3.245 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCCC)C1CCN(C/C=C/Cl)CC1 ZINC001500034831 1185732965 /nfs/dbraw/zinc/73/29/65/1185732965.db2.gz WPPDSSYZRHESAT-BPLPYTOXSA-N 0 1 324.896 3.491 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001500213353 1185757842 /nfs/dbraw/zinc/75/78/42/1185757842.db2.gz UMSKEWFIJKDLJT-HNAYVOBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2scnc2C)C1 ZINC001500250962 1185764070 /nfs/dbraw/zinc/76/40/70/1185764070.db2.gz HRPAQYIKHHLXDD-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001500276189 1185768754 /nfs/dbraw/zinc/76/87/54/1185768754.db2.gz YHCPGMQARPYBNG-ICSRJNTNSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001500528812 1185816205 /nfs/dbraw/zinc/81/62/05/1185816205.db2.gz BTPIMFVZYZEFRE-UYAOXDASSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H](C)C1CC1)c1ccccc1CC ZINC001501023322 1185857356 /nfs/dbraw/zinc/85/73/56/1185857356.db2.gz WIZNFBIGGMSGMF-HNAYVOBHSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H](C)C1CC1)c1ccccc1CC ZINC001501023321 1185857595 /nfs/dbraw/zinc/85/75/95/1185857595.db2.gz WIZNFBIGGMSGMF-DNVCBOLYSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)/C(C)=C\CC)C2 ZINC001501161551 1185876361 /nfs/dbraw/zinc/87/63/61/1185876361.db2.gz XNHGCJXTCNYTNA-PVSQOXGBSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC[C@H](C)c3ccccc3)[C@@H]2C1 ZINC001501425055 1185902160 /nfs/dbraw/zinc/90/21/60/1185902160.db2.gz SFFOSSHWZDVVTG-YSIASYRMSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001501662658 1185917618 /nfs/dbraw/zinc/91/76/18/1185917618.db2.gz DEDYXFUXCUOPIG-UWVAXJGDSA-N 0 1 324.468 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)CCCC)C2)CC1 ZINC001501735275 1185926961 /nfs/dbraw/zinc/92/69/61/1185926961.db2.gz GYAYDHKNIYZKHT-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cccc(Cl)n2)CCC1 ZINC001501958676 1185941611 /nfs/dbraw/zinc/94/16/11/1185941611.db2.gz VWVPFATXQOYNRO-UHFFFAOYSA-N 0 1 321.852 3.220 20 30 DGEDMN C=CCCC(=O)N(C)CCCN(C)Cc1cc(C)ccc1F ZINC001502165028 1185966664 /nfs/dbraw/zinc/96/66/64/1185966664.db2.gz JOAJERXERBVBRX-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCC1(C)CC1 ZINC001503692325 1186051326 /nfs/dbraw/zinc/05/13/26/1186051326.db2.gz UQEAPUYGBWQKPS-LJQANCHMSA-N 0 1 324.468 3.306 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C=C\C(C)(C)C ZINC001503678133 1186051798 /nfs/dbraw/zinc/05/17/98/1186051798.db2.gz NFIUOVHGGGAOMS-NJBZPOFQSA-N 0 1 324.468 3.328 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001505415904 1186071778 /nfs/dbraw/zinc/07/17/78/1186071778.db2.gz XNZXWGPSSOEMSR-VBSBHUPXSA-N 0 1 324.468 3.064 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1scnc1C ZINC001505444139 1186080854 /nfs/dbraw/zinc/08/08/54/1186080854.db2.gz YMBZKJMVINOKPN-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)Cc1scnc1C ZINC001505444139 1186080860 /nfs/dbraw/zinc/08/08/60/1186080860.db2.gz YMBZKJMVINOKPN-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1cccnc1 ZINC001505443749 1186081978 /nfs/dbraw/zinc/08/19/78/1186081978.db2.gz QCHQBBZNHFMYLQ-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC001505443749 1186081982 /nfs/dbraw/zinc/08/19/82/1186081982.db2.gz QCHQBBZNHFMYLQ-SJLPKXTDSA-N 0 1 315.461 3.107 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001505599636 1186110581 /nfs/dbraw/zinc/11/05/81/1186110581.db2.gz OZTOPCLWXIVDOO-AMNUONFKSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C/Cl ZINC001505612942 1186114528 /nfs/dbraw/zinc/11/45/28/1186114528.db2.gz BMDZOQLWMBIOSL-HPPFBBELSA-N 0 1 307.265 3.391 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc2ccccc2o1 ZINC001505670679 1186121234 /nfs/dbraw/zinc/12/12/34/1186121234.db2.gz HONYGJYKRLCYFS-CHWSQXEVSA-N 0 1 315.417 3.023 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C)c(Cl)c1 ZINC001505681903 1186124457 /nfs/dbraw/zinc/12/44/57/1186124457.db2.gz PKLIBTGQBGKEKJ-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2cccc(F)c2o1 ZINC001505761219 1186135481 /nfs/dbraw/zinc/13/54/81/1186135481.db2.gz RLJPAZPQHOHOJC-MNOVXSKESA-N 0 1 324.783 3.421 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC[C@@H](c3ccncc3)C2)cccc1C(=O)[O-] ZINC001610622707 1193401525 /nfs/dbraw/zinc/40/15/25/1193401525.db2.gz CJGYUCGDXGIRMG-OAHLLOKOSA-N 0 1 321.380 3.031 20 30 DGEDMN N#Cc1ccc(C2=CC[N@@H+](Cc3occc3C(=O)[O-])CC2)cc1 ZINC001610627561 1193401822 /nfs/dbraw/zinc/40/18/22/1193401822.db2.gz LSHHXZZHCGHLPP-UHFFFAOYSA-N 0 1 308.337 3.139 20 30 DGEDMN N#Cc1cccc(C[NH2+][C@H](C(=O)[O-])c2c(F)cccc2Cl)c1 ZINC001610631141 1193401864 /nfs/dbraw/zinc/40/18/64/1193401864.db2.gz PDTVXCQBMAZXBT-HNNXBMFYSA-N 0 1 318.735 3.266 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001505994748 1186177860 /nfs/dbraw/zinc/17/78/60/1186177860.db2.gz KBGTUARKUUCVNT-SJKOYZFVSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001505994747 1186178267 /nfs/dbraw/zinc/17/82/67/1186178267.db2.gz KBGTUARKUUCVNT-SJCJKPOMSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CC3CCCCCC3)[C@@H]2C1 ZINC001506818540 1186292575 /nfs/dbraw/zinc/29/25/75/1186292575.db2.gz RVJWUYKXYOXSSG-OSYLJGHBSA-N 0 1 324.896 3.393 20 30 DGEDMN O=C([O-])c1ccc(C[NH2+]CC#Cc2ccc(C(F)(F)F)cc2)o1 ZINC001610828038 1193411085 /nfs/dbraw/zinc/41/10/85/1193411085.db2.gz YXTGFVVXMUIKPA-UHFFFAOYSA-N 0 1 323.270 3.138 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCCNCc2cscn2)CC1 ZINC001506941565 1186319092 /nfs/dbraw/zinc/31/90/92/1186319092.db2.gz HVVIFMAWRDLOFC-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H](C)c1ccccc1 ZINC001507033106 1186344048 /nfs/dbraw/zinc/34/40/48/1186344048.db2.gz CTNYDCPZHXWZJM-YOEHRIQHSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1c(C)noc1CC ZINC001507119853 1186365795 /nfs/dbraw/zinc/36/57/95/1186365795.db2.gz VVJSVWUEZCGJKY-UGSOOPFHSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1coc2ccccc12 ZINC001507134645 1186369459 /nfs/dbraw/zinc/36/94/59/1186369459.db2.gz GOBPIOAEDGGAPE-ZDUSSCGKSA-N 0 1 320.820 3.164 20 30 DGEDMN CCCC(=O)N1C[C@@H](NCC#Cc2cccc(Cl)c2)C[C@H]1C ZINC001507303461 1186386011 /nfs/dbraw/zinc/38/60/11/1186386011.db2.gz YDEODVGGYMXMIT-PBHICJAKSA-N 0 1 318.848 3.071 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2scnc2C)[C@H]1C ZINC001507374637 1186407984 /nfs/dbraw/zinc/40/79/84/1186407984.db2.gz KAWGKCWPYPWLRD-GJZGRUSLSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCc2cccs2)[C@@H]1C ZINC001507386196 1186413622 /nfs/dbraw/zinc/41/36/22/1186413622.db2.gz OYOCRLBAGBIZFB-DOTOQJQBSA-N 0 1 318.486 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](C)CCC)CC1(C)C ZINC001507423113 1186426682 /nfs/dbraw/zinc/42/66/82/1186426682.db2.gz BKGSUGKNJMSOFU-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2nc(C)cs2)C(C)(C)C1 ZINC001507442720 1186430659 /nfs/dbraw/zinc/43/06/59/1186430659.db2.gz JDUGNKKFIPVEAZ-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC001507444822 1186430767 /nfs/dbraw/zinc/43/07/67/1186430767.db2.gz SJTBRZOPWJINNJ-ZFWWWQNUSA-N 0 1 321.490 3.072 20 30 DGEDMN CC(C)N(CCN(C)Cc1ccc(C#N)cc1)C(=O)CC1CC1 ZINC001507737476 1186440759 /nfs/dbraw/zinc/44/07/59/1186440759.db2.gz LEGHOPUQNFLHFX-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCCc1ccc(C)cc1)C(C)C ZINC001507831615 1186447502 /nfs/dbraw/zinc/44/75/02/1186447502.db2.gz RFUHVGZCASUZCU-UHFFFAOYSA-N 0 1 314.473 3.120 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(Cl)cc1)C(C)C ZINC001507864010 1186451541 /nfs/dbraw/zinc/45/15/41/1186451541.db2.gz NIROCVIOMKUAFM-UHFFFAOYSA-N 0 1 306.837 3.146 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1sccc1C(F)F)C(C)C ZINC001507863323 1186452292 /nfs/dbraw/zinc/45/22/92/1186452292.db2.gz IMZPSZIVZQRFHV-UHFFFAOYSA-N 0 1 314.401 3.101 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001508111031 1186482290 /nfs/dbraw/zinc/48/22/90/1186482290.db2.gz KWAMAYVMWUIJGT-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@H](C)C(C)(C)C)c(F)c1 ZINC001508235962 1186492070 /nfs/dbraw/zinc/49/20/70/1186492070.db2.gz OJJDMYWUDNZFRZ-AWEZNQCLSA-N 0 1 318.436 3.237 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](CCC)CC(C)C)CC1 ZINC001508227834 1186492407 /nfs/dbraw/zinc/49/24/07/1186492407.db2.gz ANBWPEXGWNOYKD-GOSISDBHSA-N 0 1 304.478 3.220 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC001611139217 1193424422 /nfs/dbraw/zinc/42/44/22/1193424422.db2.gz MNUSTLCCALNDDL-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN Cc1cccc(CN2CCN(c3cc(F)ccc3C#N)CC2)c1 ZINC001611724878 1193440230 /nfs/dbraw/zinc/44/02/30/1193440230.db2.gz DCKAWULBWARSNF-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CCC1 ZINC001514868717 1186733409 /nfs/dbraw/zinc/73/34/09/1186733409.db2.gz MNAAYXYKLYZCCG-RISCZKNCSA-N 0 1 306.431 3.432 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N[C@@H]1CCc2[nH]cnc2C1 ZINC001515768156 1186770020 /nfs/dbraw/zinc/77/00/20/1186770020.db2.gz LJMZNJNYJVCTLG-SECBINFHSA-N 0 1 324.281 3.409 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)c1scnc1C1CCCC1 ZINC001515836914 1186771765 /nfs/dbraw/zinc/77/17/65/1186771765.db2.gz NLRRSSFSRSAXHC-NSHDSACASA-N 0 1 300.387 3.024 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(F)n1 ZINC001516710506 1186790226 /nfs/dbraw/zinc/79/02/26/1186790226.db2.gz LTUQPBXDHOQSMR-SNVBAGLBSA-N 0 1 319.679 3.272 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(F)n1 ZINC001516710506 1186790228 /nfs/dbraw/zinc/79/02/28/1186790228.db2.gz LTUQPBXDHOQSMR-SNVBAGLBSA-N 0 1 319.679 3.272 20 30 DGEDMN N#CC(C(=O)c1cncc2[nH]ccc21)c1nc(C2CC2)cs1 ZINC001518478771 1186842818 /nfs/dbraw/zinc/84/28/18/1186842818.db2.gz YNMHULRMVKXDIO-LLVKDONJSA-N 0 1 308.366 3.387 20 30 DGEDMN N#C[C@H](C(=O)c1cncc2[nH]ccc21)c1nc(C2CC2)cs1 ZINC001518478771 1186842822 /nfs/dbraw/zinc/84/28/22/1186842822.db2.gz YNMHULRMVKXDIO-LLVKDONJSA-N 0 1 308.366 3.387 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2nc3ncccc3cc2C#N)C1 ZINC001612221177 1193449083 /nfs/dbraw/zinc/44/90/83/1193449083.db2.gz KHCVPDISVRCTPA-QGZVFWFLSA-N 0 1 315.380 3.100 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1nc(C(C)(C)C)cs1 ZINC001520037396 1186912535 /nfs/dbraw/zinc/91/25/35/1186912535.db2.gz XHOIYRYTPOMVQR-SNVBAGLBSA-N 0 1 302.403 3.147 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSc1ccc(F)cc1 ZINC001520036747 1186913042 /nfs/dbraw/zinc/91/30/42/1186913042.db2.gz PLTZXYWXLVLPDG-ZDUSSCGKSA-N 0 1 303.362 3.011 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC001523708299 1187180947 /nfs/dbraw/zinc/18/09/47/1187180947.db2.gz JNCWGNBSQWARHN-RDJZCZTQSA-N 0 1 312.413 3.260 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2ccc(F)cc2)CCCC1 ZINC001524267405 1187230429 /nfs/dbraw/zinc/23/04/29/1187230429.db2.gz PPOXRKUVZCXOPS-HNNXBMFYSA-N 0 1 311.360 3.247 20 30 DGEDMN Cc1c(C(=O)[C@@H](C#N)c2cccc(F)n2)cnn1-c1ccccc1 ZINC001524706048 1187262499 /nfs/dbraw/zinc/26/24/99/1187262499.db2.gz WZHLOLSMOFFMHO-AWEZNQCLSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1-c1ccccc1 ZINC001524706048 1187262504 /nfs/dbraw/zinc/26/25/04/1187262504.db2.gz WZHLOLSMOFFMHO-AWEZNQCLSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1cc(Cl)nc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001530626133 1187638173 /nfs/dbraw/zinc/63/81/73/1187638173.db2.gz KUVBGGWYSRHPAO-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccnc3C(F)F)[nH]c2c1 ZINC001534073117 1187873322 /nfs/dbraw/zinc/87/33/22/1187873322.db2.gz URKRIDOLWDPUCA-UHFFFAOYSA-N 0 1 313.267 3.019 20 30 DGEDMN COc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)ccc1C ZINC001534067686 1187873560 /nfs/dbraw/zinc/87/35/60/1187873560.db2.gz CYYKUXMHJZOJEK-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001534359176 1187892879 /nfs/dbraw/zinc/89/28/79/1187892879.db2.gz UQJCJAQZCQGRIM-LSDHHAIUSA-N 0 1 316.364 3.485 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)/C=C/COc3ccccc3)[nH]c2c1 ZINC001534358010 1187893721 /nfs/dbraw/zinc/89/37/21/1187893721.db2.gz KGWUBYPTOALDNG-QPJJXVBHSA-N 0 1 318.336 3.008 20 30 DGEDMN C=C1CCC(C(=O)Nc2nc3cccc(C(=O)OC)c3[nH]2)CC1 ZINC001534443253 1187900771 /nfs/dbraw/zinc/90/07/71/1187900771.db2.gz YWOXAYNVLLHTTN-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN N#Cc1ccc(C2=CCN(C(=O)c3cccc(F)c3O)CC2)cc1 ZINC001535570952 1187968085 /nfs/dbraw/zinc/96/80/85/1187968085.db2.gz ALSWVAWKXPVZBS-UHFFFAOYSA-N 0 1 322.339 3.332 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2n[nH]c3ccc(Cl)cc32)CC1 ZINC001536950632 1188043602 /nfs/dbraw/zinc/04/36/02/1188043602.db2.gz IVNKFGAOSIATMC-UMSPYCQHSA-N 0 1 301.777 3.138 20 30 DGEDMN Cc1cc(C(=O)Nc2cnn(-c3ccncc3)c2)cc(C)c1C#N ZINC001537015995 1188046747 /nfs/dbraw/zinc/04/67/47/1188046747.db2.gz BIKLIGSZBWUAAI-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN CC(=O)c1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC001543408102 1188331853 /nfs/dbraw/zinc/33/18/53/1188331853.db2.gz SCNXELSBWCBSEK-UHFFFAOYSA-N 0 1 318.336 3.198 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3C[C@@]3(F)c3ccccc3)[nH]c2c1 ZINC001543407652 1188332349 /nfs/dbraw/zinc/33/23/49/1188332349.db2.gz JASAOVXSPBBVKI-SCLBCKFNSA-N 0 1 320.327 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001544648670 1188402715 /nfs/dbraw/zinc/40/27/15/1188402715.db2.gz KNXDYXHUWQJSGR-IRXDYDNUSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)/C=C(/C)c1ccc(OC)cc1 ZINC001556465999 1189002090 /nfs/dbraw/zinc/00/20/90/1189002090.db2.gz GCWKIRSYAOHTCH-NXVVXOECSA-N 0 1 316.445 3.065 20 30 DGEDMN C#CCOc1ccc(C(=O)N2CCCC[C@@H]2c2cc(C)[nH]n2)cc1 ZINC001558569321 1189135585 /nfs/dbraw/zinc/13/55/85/1189135585.db2.gz IMVRXUQLRMGKTD-GOSISDBHSA-N 0 1 323.396 3.098 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCc2ccccc21 ZINC001567854350 1189146050 /nfs/dbraw/zinc/14/60/50/1189146050.db2.gz CFWCOOXBQRDWIR-CMKODMSKSA-N 0 1 322.452 3.153 20 30 DGEDMN N#Cc1cccc(Br)c1N[C@@H]1CCN(CC2CC2)C1 ZINC001559102585 1189170811 /nfs/dbraw/zinc/17/08/11/1189170811.db2.gz WOABAAZNVTWZLX-CYBMUJFWSA-N 0 1 320.234 3.217 20 30 DGEDMN C=C(C)[C@H](NC[C@H](O)c1ccccn1)c1ccc(F)c(F)c1 ZINC001559766189 1189239439 /nfs/dbraw/zinc/23/94/39/1189239439.db2.gz BHAJCLKERHVLGW-IRXDYDNUSA-N 0 1 304.340 3.300 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1cc(C(C)(C)C)nn1C ZINC001560199780 1189289511 /nfs/dbraw/zinc/28/95/11/1189289511.db2.gz CYUBAOQNAAGEGQ-CQSZACIVSA-N 0 1 318.465 3.087 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@@H](C3CCCC3)C2)c1 ZINC001560225825 1189294662 /nfs/dbraw/zinc/29/46/62/1189294662.db2.gz CVTYURIXRMEOCM-QGZVFWFLSA-N 0 1 311.429 3.399 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCc2ccc(C)c(C)c2)c1 ZINC001560680005 1189359491 /nfs/dbraw/zinc/35/94/91/1189359491.db2.gz HMCXXHRLGVMGFB-UHFFFAOYSA-N 0 1 320.436 3.398 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OCC)c(C)[nH]1 ZINC001560708971 1189364911 /nfs/dbraw/zinc/36/49/11/1189364911.db2.gz WQCOEJCFKZUXCM-UHFFFAOYSA-N 0 1 316.401 3.324 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc(F)cc1Cl ZINC001565826467 1189679203 /nfs/dbraw/zinc/67/92/03/1189679203.db2.gz MSYCFNHNQKZVDY-HNNXBMFYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCCCCN(C)C(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001565859009 1189693550 /nfs/dbraw/zinc/69/35/50/1189693550.db2.gz UTPQYKJJIYZBIO-UHFFFAOYSA-N 0 1 312.417 3.214 20 30 DGEDMN CCCN(C)CC(=O)Nc1cccc(Oc2ccc(C#N)cn2)c1 ZINC001565946859 1189707442 /nfs/dbraw/zinc/70/74/42/1189707442.db2.gz ZNWOKKMSZBRZRR-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001566021707 1189717916 /nfs/dbraw/zinc/71/79/16/1189717916.db2.gz MTGOROIBQCNMEC-YQVWRLOYSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1C ZINC001566084179 1189730883 /nfs/dbraw/zinc/73/08/83/1189730883.db2.gz BOJFZCXNAAUBNG-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1C ZINC001566101340 1189733158 /nfs/dbraw/zinc/73/31/58/1189733158.db2.gz CJIYUZPYHSBDPH-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@H]1CN(C)C[C@@H](F)CC ZINC001566119321 1189737120 /nfs/dbraw/zinc/73/71/20/1189737120.db2.gz FMKPWRWOOOENGB-IRXDYDNUSA-N 0 1 310.457 3.241 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@H]1CN(C)C[C@H](F)CC ZINC001566119322 1189737444 /nfs/dbraw/zinc/73/74/44/1189737444.db2.gz FMKPWRWOOOENGB-SJORKVTESA-N 0 1 310.457 3.241 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)cc(Cl)c1 ZINC001566121527 1189739270 /nfs/dbraw/zinc/73/92/70/1189739270.db2.gz LMEMEDIPXYOPKU-KRWDZBQOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](CC)CC(F)F ZINC001566122479 1189740156 /nfs/dbraw/zinc/74/01/56/1189740156.db2.gz ZJZVLNWCQNZEHL-GJZGRUSLSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](CC)C(C)(C)C ZINC001566123550 1189741086 /nfs/dbraw/zinc/74/10/86/1189741086.db2.gz OWIOOYGPGCRUDU-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@@H](C(=O)NCCCN(C)C/C=C/Cl)c1ccccc1 ZINC001566518646 1189788490 /nfs/dbraw/zinc/78/84/90/1189788490.db2.gz IQQLCIMHUYNSKB-AYAUWGRQSA-N 0 1 306.837 3.147 20 30 DGEDMN C=C[C@H](C(=O)NCCCN(C)C/C=C/Cl)c1ccccc1 ZINC001566518648 1189788667 /nfs/dbraw/zinc/78/86/67/1189788667.db2.gz IQQLCIMHUYNSKB-BPLPYTOXSA-N 0 1 306.837 3.147 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1ncc(C)s1 ZINC001566525936 1189790952 /nfs/dbraw/zinc/79/09/52/1189790952.db2.gz MUALKHQBDQPSRJ-HUUCEWRRSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001566629294 1189835035 /nfs/dbraw/zinc/83/50/35/1189835035.db2.gz XRCKQTDKFLQEBO-WBVHZDCISA-N 0 1 318.436 3.161 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566671686 1189850926 /nfs/dbraw/zinc/85/09/26/1189850926.db2.gz DTVZDEWDXSKHFX-YJBOKZPZSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc3ncccc3c2)C1 ZINC001566670735 1189852106 /nfs/dbraw/zinc/85/21/06/1189852106.db2.gz FDTFEPOKCIRGPC-KRWDZBQOSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC001566675424 1189855943 /nfs/dbraw/zinc/85/59/43/1189855943.db2.gz XNMYFCUHTQHEAY-MOPGFXCFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1scnc1C ZINC001566747988 1189889944 /nfs/dbraw/zinc/88/99/44/1189889944.db2.gz ATVKREPFNSYBTF-YUELXQCFSA-N 0 1 319.474 3.095 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)s1 ZINC001566758567 1189893634 /nfs/dbraw/zinc/89/36/34/1189893634.db2.gz NQAUAJNGILZMGR-BETUJISGSA-N 0 1 308.422 3.142 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001566817321 1189912675 /nfs/dbraw/zinc/91/26/75/1189912675.db2.gz LKPHNDQPDLPJHF-IHWFROFDSA-N 0 1 322.452 3.116 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1csc(C)c1 ZINC001566917811 1189949381 /nfs/dbraw/zinc/94/93/81/1189949381.db2.gz DMYTUASGTCZKCV-INIZCTEOSA-N 0 1 306.475 3.493 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCCN1C/C=C\c1ccccc1 ZINC001566919481 1189951749 /nfs/dbraw/zinc/95/17/49/1189951749.db2.gz AHXBKGGQMUHDSB-NHHWLVAYSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)Cc1ccccc1 ZINC001566929007 1189958848 /nfs/dbraw/zinc/95/88/48/1189958848.db2.gz RHNZQKLCTLUVDG-GOSISDBHSA-N 0 1 314.473 3.412 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001567072108 1190019631 /nfs/dbraw/zinc/01/96/31/1190019631.db2.gz DVMUUGLCDGTWSY-JISDDCRVSA-N 0 1 310.869 3.050 20 30 DGEDMN Cc1ccc(NC2CC2)c(C(=O)Nc2cc(C#N)ccc2O)c1 ZINC001567072391 1190020162 /nfs/dbraw/zinc/02/01/62/1190020162.db2.gz CZYGAQICTLPVDQ-UHFFFAOYSA-N 0 1 307.353 3.399 20 30 DGEDMN CC(C)(C)Oc1cccc(C(=O)Nc2cc(C#N)ccc2O)n1 ZINC001567073431 1190021229 /nfs/dbraw/zinc/02/12/29/1190021229.db2.gz UTYSDDLZAQBMDD-UHFFFAOYSA-N 0 1 311.341 3.088 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)CC1CCCCC1 ZINC001567074469 1190021381 /nfs/dbraw/zinc/02/13/81/1190021381.db2.gz QCIHSQYXYYMGIU-TZMCWYRMSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H](N(C)C[C@H](F)CC)C2)CC1 ZINC001567198836 1190064634 /nfs/dbraw/zinc/06/46/34/1190064634.db2.gz POXVUUVOVMYROM-IAGOWNOFSA-N 0 1 310.457 3.404 20 30 DGEDMN CCC(CC)C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001567209286 1190071763 /nfs/dbraw/zinc/07/17/63/1190071763.db2.gz HEHWXRKTCDTXJC-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2csc(C)c2)C1 ZINC001567347365 1190144075 /nfs/dbraw/zinc/14/40/75/1190144075.db2.gz AAUDRWNWMKAREC-UHFFFAOYSA-N 0 1 306.475 3.351 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](c1ccccc1)[C@H](C)CC ZINC001567353031 1190148434 /nfs/dbraw/zinc/14/84/34/1190148434.db2.gz YUKABLIBHPDSAZ-MJGOQNOKSA-N 0 1 314.473 3.278 20 30 DGEDMN CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001567358242 1190150861 /nfs/dbraw/zinc/15/08/61/1190150861.db2.gz PSTZMZCXHDHNOX-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCN(C/C=C/Cl)C2)CCCCC1 ZINC001567421163 1190180607 /nfs/dbraw/zinc/18/06/07/1190180607.db2.gz NCBPOAUZVQMGAX-RFKZRZAASA-N 0 1 322.880 3.151 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN(C/C=C\Cl)C2)CCCC1 ZINC001567421193 1190180938 /nfs/dbraw/zinc/18/09/38/1190180938.db2.gz NYCJJQMQGHSVDF-WSVPDTOYSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCN(CCNC(=O)C#CC(C)C)Cc1ccccc1Cl ZINC001567425080 1190184682 /nfs/dbraw/zinc/18/46/82/1190184682.db2.gz GUXIZIURJGNSMS-UHFFFAOYSA-N 0 1 320.864 3.328 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1[nH]c(C)cc1C)C(C)C ZINC001567645034 1190236346 /nfs/dbraw/zinc/23/63/46/1190236346.db2.gz HPUCNGSJQDKDRD-UHFFFAOYSA-N 0 1 311.857 3.214 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)C(CC)CC)c1ccccc1 ZINC001567660654 1190240591 /nfs/dbraw/zinc/24/05/91/1190240591.db2.gz GIPAHZVFFHXAJE-CRAIPNDOSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C(C)CCC(=O)N(CCC)[C@@H]1CCN(Cc2cccnc2)C1 ZINC001567684538 1190251735 /nfs/dbraw/zinc/25/17/35/1190251735.db2.gz IQWMHWOPTZKXSD-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2occc2Cl)C1 ZINC001567735448 1190279267 /nfs/dbraw/zinc/27/92/67/1190279267.db2.gz CGUCBTGZODEHGF-LLVKDONJSA-N 0 1 317.216 3.079 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2CCC(=C)CC2)C1 ZINC001567736783 1190279303 /nfs/dbraw/zinc/27/93/03/1190279303.db2.gz PAYSZZMTCJOYRK-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001567831637 1190314031 /nfs/dbraw/zinc/31/40/31/1190314031.db2.gz ZSLMTQPFQWZTMO-GOSISDBHSA-N 0 1 316.420 3.217 20 30 DGEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C\CCC)cc1 ZINC001567860789 1190325017 /nfs/dbraw/zinc/32/50/17/1190325017.db2.gz WSSVWZSHPIXIDO-XOUUWWQPSA-N 0 1 322.452 3.313 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1C1CC1 ZINC001567861109 1190325805 /nfs/dbraw/zinc/32/58/05/1190325805.db2.gz JUTRGYJUYVLYER-GJZGRUSLSA-N 0 1 300.402 3.022 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001567895448 1190338853 /nfs/dbraw/zinc/33/88/53/1190338853.db2.gz ZRJPRWMZRFGUPD-DOTOQJQBSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(C)cc(F)cc1C ZINC001567971829 1190381438 /nfs/dbraw/zinc/38/14/38/1190381438.db2.gz LBEFPKPWIFOSGL-AWEZNQCLSA-N 0 1 312.816 3.293 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(Cl)cc1C ZINC001567975143 1190383848 /nfs/dbraw/zinc/38/38/48/1190383848.db2.gz KOTZCRPOZJNHKT-CYBMUJFWSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2cccc(F)c2o1 ZINC001567989860 1190389762 /nfs/dbraw/zinc/38/97/62/1190389762.db2.gz VHGDNUVXXPXFIJ-LBPRGKRZSA-N 0 1 324.783 3.422 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H](C)C2CC2)cc1 ZINC001568116680 1190425732 /nfs/dbraw/zinc/42/57/32/1190425732.db2.gz PKQPHYRUZPSHCF-ZDUSSCGKSA-N 0 1 306.837 3.191 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001568144554 1190434434 /nfs/dbraw/zinc/43/44/34/1190434434.db2.gz LFDPGRKUJOEWPA-GDBMZVCRSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)CCC2CCCC2)C1 ZINC001568213196 1190453962 /nfs/dbraw/zinc/45/39/62/1190453962.db2.gz NOMHJMFCPBJHIB-AEFFLSMTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cccc(CC)n1 ZINC001568331265 1190492665 /nfs/dbraw/zinc/49/26/65/1190492665.db2.gz OKTLUVPRKWJLIG-OAHLLOKOSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1ncc(C)s1)C(C)C ZINC001568460272 1190529068 /nfs/dbraw/zinc/52/90/68/1190529068.db2.gz GJDIPZOBKWRCBV-YOEHRIQHSA-N 0 1 323.506 3.284 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001568602298 1190566846 /nfs/dbraw/zinc/56/68/46/1190566846.db2.gz GSTQXACTGHKILD-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)CCNCC#Cc1ccc(Cl)cc1 ZINC001568727244 1190582996 /nfs/dbraw/zinc/58/29/96/1190582996.db2.gz IXJWDHTXGMSYGW-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](F)CC1CCCCC1 ZINC001568791825 1190589146 /nfs/dbraw/zinc/58/91/46/1190589146.db2.gz VQERFCDKOOCRSJ-OAHLLOKOSA-N 0 1 318.864 3.486 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1oc2ccccc2c1C ZINC001569033663 1190611358 /nfs/dbraw/zinc/61/13/58/1190611358.db2.gz AYKBKBHKCGJASH-LLVKDONJSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(Cl)c1C ZINC001569058211 1190614920 /nfs/dbraw/zinc/61/49/20/1190614920.db2.gz VCIAFWLIMADGLT-JTQLQIEISA-N 0 1 301.217 3.109 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc(Cl)c1Cl ZINC001569148867 1190642588 /nfs/dbraw/zinc/64/25/88/1190642588.db2.gz QHJWDSJXLFHZSL-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](CNCC(=C)Cl)C2CC2)CCCCC1 ZINC001569331318 1190668211 /nfs/dbraw/zinc/66/82/11/1190668211.db2.gz LJWJYDYKSGLCMS-INIZCTEOSA-N 0 1 322.880 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1cccc(CC)c1 ZINC001569367720 1190678610 /nfs/dbraw/zinc/67/86/10/1190678610.db2.gz MQWURXDGHOBYGH-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cnc(CC(C)C)s1 ZINC001569386030 1190688704 /nfs/dbraw/zinc/68/87/04/1190688704.db2.gz IHTHGDYJIZZDFE-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCC=C(Cl)Cl)CC2)CCC1 ZINC001569416113 1190693804 /nfs/dbraw/zinc/69/38/04/1190693804.db2.gz DCCWNELFAXRXCE-UHFFFAOYSA-N 0 1 317.260 3.290 20 30 DGEDMN C#CCC1(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC)CCCCC1 ZINC001569462929 1190703010 /nfs/dbraw/zinc/70/30/10/1190703010.db2.gz ZNKHEMYGZLCWEE-CALCHBBNSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)[C@H](CC(=O)N(C)C1CN(CCCC(C)(C)C)C1)OCC ZINC001569491992 1190707495 /nfs/dbraw/zinc/70/74/95/1190707495.db2.gz IBQFRAVFNQTNJS-KRWDZBQOSA-N 0 1 324.509 3.327 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(F)ccc2C)C1 ZINC001569495579 1190707844 /nfs/dbraw/zinc/70/78/44/1190707844.db2.gz HYNZIFHEWOPDEM-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2CCC(F)(F)CC2)C1 ZINC001569500049 1190708726 /nfs/dbraw/zinc/70/87/26/1190708726.db2.gz CQHUPJXYQNRREA-ZDUSSCGKSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C)cc(C)c2)C1 ZINC001569504736 1190710044 /nfs/dbraw/zinc/71/00/44/1190710044.db2.gz PHXGRRNGFFKQHR-INIZCTEOSA-N 0 1 300.446 3.414 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001569543502 1190714752 /nfs/dbraw/zinc/71/47/52/1190714752.db2.gz GUYIGNBMJJIHBX-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001569543503 1190714933 /nfs/dbraw/zinc/71/49/33/1190714933.db2.gz GUYIGNBMJJIHBX-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)sc1C ZINC001569547199 1190715053 /nfs/dbraw/zinc/71/50/53/1190715053.db2.gz HRCRIUDIOXZYIW-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C[C@@H](C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001569570387 1190718306 /nfs/dbraw/zinc/71/83/06/1190718306.db2.gz QCJKGOJTAKJANJ-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN Cc1ccc(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)cc1C#N ZINC001569573968 1190719061 /nfs/dbraw/zinc/71/90/61/1190719061.db2.gz ONNXGTNVWVLSGB-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN C#CCN(C(=O)C(CC)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569582965 1190720125 /nfs/dbraw/zinc/72/01/25/1190720125.db2.gz ZZTPTPZNOYAXMM-INIZCTEOSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCN(C(=O)C=C(CC)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569586276 1190720331 /nfs/dbraw/zinc/72/03/31/1190720331.db2.gz DCQRAQBVCILWPR-KRWDZBQOSA-N 0 1 322.468 3.407 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C=C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569591744 1190720638 /nfs/dbraw/zinc/72/06/38/1190720638.db2.gz XONFPXDKRIDXLD-DLBZAZTESA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccsc1)C1CCCC1 ZINC001569598984 1190721361 /nfs/dbraw/zinc/72/13/61/1190721361.db2.gz PVUUCYBIWOOSTG-CQSZACIVSA-N 0 1 312.866 3.379 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001569633735 1190727859 /nfs/dbraw/zinc/72/78/59/1190727859.db2.gz PVBJLIZJQNNLJD-BEFAXECRSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2cc(F)ccc2C)C1 ZINC001569637912 1190730082 /nfs/dbraw/zinc/73/00/82/1190730082.db2.gz RDAWDYGDFMCPFN-IBGZPJMESA-N 0 1 316.420 3.018 20 30 DGEDMN C=C[C@@H](C(=O)NCC1(C)CCN(CCF)CC1)c1ccccc1 ZINC001569707266 1190744215 /nfs/dbraw/zinc/74/42/15/1190744215.db2.gz RPYVUAAHVNCVOL-QGZVFWFLSA-N 0 1 318.436 3.144 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)C(C)C)c1ccccc1CC ZINC001569801678 1190755566 /nfs/dbraw/zinc/75/55/66/1190755566.db2.gz GGEMTAJORSFQFA-LPHOPBHVSA-N 0 1 314.473 3.311 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(C)co1)c1ccccc1CC ZINC001569801407 1190755760 /nfs/dbraw/zinc/75/57/60/1190755760.db2.gz ARADWQYMFQKYCL-GOSISDBHSA-N 0 1 324.424 3.234 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)C(C)C)c1ccccc1CC ZINC001569801679 1190755772 /nfs/dbraw/zinc/75/57/72/1190755772.db2.gz GGEMTAJORSFQFA-QFBILLFUSA-N 0 1 314.473 3.311 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)CCCCC ZINC001569914635 1190773189 /nfs/dbraw/zinc/77/31/89/1190773189.db2.gz IBQRSCHBVZZCSE-DLBZAZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)COC(C)C)cc1 ZINC001570030324 1190784710 /nfs/dbraw/zinc/78/47/10/1190784710.db2.gz RYMGSZFYZVRBKC-CQSZACIVSA-N 0 1 324.852 3.131 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccoc2C2CC2)CCC1 ZINC001570114978 1190798522 /nfs/dbraw/zinc/79/85/22/1190798522.db2.gz BKNJUZAHTFTBTO-UHFFFAOYSA-N 0 1 308.809 3.152 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C(C)(C)CC=C ZINC001570268515 1190833563 /nfs/dbraw/zinc/83/35/63/1190833563.db2.gz DMFDTQVVFKEHBT-IBGZPJMESA-N 0 1 324.468 3.155 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)/C=C\C(C)(C)C ZINC001570269004 1190833751 /nfs/dbraw/zinc/83/37/51/1190833751.db2.gz MNTCIQNHKVKWRH-JZHDPFOFSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](CC)OCC)cc2C1 ZINC001570310648 1190837011 /nfs/dbraw/zinc/83/70/11/1190837011.db2.gz FWAMBBMDCRAGJW-GOSISDBHSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](CC)OCC)cc2C1 ZINC001570310649 1190837495 /nfs/dbraw/zinc/83/74/95/1190837495.db2.gz FWAMBBMDCRAGJW-SFHVURJKSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001570322963 1190839115 /nfs/dbraw/zinc/83/91/15/1190839115.db2.gz CCFCEYQLLNBCEV-MVHRNJCLSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(C)(NCc2ncc(CC)o2)CC1 ZINC001570374238 1190847075 /nfs/dbraw/zinc/84/70/75/1190847075.db2.gz LNFMJCLBLRSLIW-UHFFFAOYSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(C)(NCc2coc(C)n2)CC1 ZINC001570379750 1190847269 /nfs/dbraw/zinc/84/72/69/1190847269.db2.gz ZPRQLBVXSCNIFV-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@H](C)C1 ZINC001570441606 1190859532 /nfs/dbraw/zinc/85/95/32/1190859532.db2.gz WCBOSRMSVMYUIW-OCCSQVGLSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(CC)CC ZINC001570476384 1190866839 /nfs/dbraw/zinc/86/68/39/1190866839.db2.gz BHHTYFDYOXJJIS-IBGZPJMESA-N 0 1 312.457 3.162 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001570478382 1190867323 /nfs/dbraw/zinc/86/73/23/1190867323.db2.gz OXNIDTRMDQNTOT-QGZVFWFLSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCC(C)C ZINC001570477266 1190867868 /nfs/dbraw/zinc/86/78/68/1190867868.db2.gz QZXCLZLXXXANAD-IBGZPJMESA-N 0 1 312.457 3.162 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@H](F)CC ZINC001570520686 1190873501 /nfs/dbraw/zinc/87/35/01/1190873501.db2.gz LTUTUNPDMYPXRH-DJIMGWMZSA-N 0 1 318.436 3.319 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@H](C)CC(C)C ZINC001570522141 1190874540 /nfs/dbraw/zinc/87/45/40/1190874540.db2.gz QFYIGIIXDVIHGH-XFQXTVEOSA-N 0 1 312.457 3.064 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1coc2cc(C)c(C)cc21 ZINC001570536946 1190879390 /nfs/dbraw/zinc/87/93/90/1190879390.db2.gz WBBODTRNMVQEGG-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1coc2cc(C)c(C)cc21 ZINC001570536946 1190879395 /nfs/dbraw/zinc/87/93/95/1190879395.db2.gz WBBODTRNMVQEGG-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C[C@@H](NCc1cc(F)ccc1C#N)[C@H](C)NC(=O)CC(C)(C)C ZINC001570589907 1190894538 /nfs/dbraw/zinc/89/45/38/1190894538.db2.gz KZAKMTTXHYYVFF-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(c2cccc(F)c2)CC1 ZINC001570636744 1190907477 /nfs/dbraw/zinc/90/74/77/1190907477.db2.gz MZFKKVBJDSFCRB-QWHCGFSZSA-N 0 1 324.827 3.093 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001570713123 1190927336 /nfs/dbraw/zinc/92/73/36/1190927336.db2.gz ZPIKSWKTSKMVLL-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CC(C)=CC(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001570815840 1190955753 /nfs/dbraw/zinc/95/57/53/1190955753.db2.gz LSXUVPALGKRMHQ-FQEVSTJZSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1ccccn1 ZINC001570825293 1190960183 /nfs/dbraw/zinc/96/01/83/1190960183.db2.gz PLUUEUQNVDTMRO-GOSISDBHSA-N 0 1 315.461 3.299 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570904828 1190974010 /nfs/dbraw/zinc/97/40/10/1190974010.db2.gz KWIVQBHDRYLWME-SJORKVTESA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001570909626 1190975073 /nfs/dbraw/zinc/97/50/73/1190975073.db2.gz NEXIZGBJCVASQT-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C(C)(C)CC(C)(C)C)C2)C1 ZINC001571049010 1190981495 /nfs/dbraw/zinc/98/14/95/1190981495.db2.gz NTALKWZTYVMSNY-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1(C(F)F)CCC1 ZINC001571365843 1191003344 /nfs/dbraw/zinc/00/33/44/1191003344.db2.gz DGPVRKWSROQDBC-GFCCVEGCSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1sc(C)cc1C ZINC001571389337 1191011686 /nfs/dbraw/zinc/01/16/86/1191011686.db2.gz JOWPUHPAAICJQN-LLVKDONJSA-N 0 1 300.855 3.168 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1F ZINC001571403226 1191015719 /nfs/dbraw/zinc/01/57/19/1191015719.db2.gz NANDNJRUEITUFO-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(Cl)n2)C(C)(C)C1 ZINC001571554112 1191050893 /nfs/dbraw/zinc/05/08/93/1191050893.db2.gz DIBOJUXIHSTOQS-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC(C)(C)C1)C(C)(C)C ZINC001571623944 1191067221 /nfs/dbraw/zinc/06/72/21/1191067221.db2.gz ZKNCRJQTSSNNBC-ZDUSSCGKSA-N 0 1 300.874 3.296 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C2(CCC)CC2)c(F)c1 ZINC001571703505 1191086325 /nfs/dbraw/zinc/08/63/25/1191086325.db2.gz IMALHCSHWAMEDS-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C#CCN(Cc1c[nH]nn1)Cc1ccc(C2CC2)c(C2CC2)c1 ZINC001574928105 1191458754 /nfs/dbraw/zinc/45/87/54/1191458754.db2.gz HJOJCHGBXZFOJZ-UHFFFAOYSA-N 0 1 306.413 3.195 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCCC[C@H]1c1c[nH]nn1 ZINC001575239061 1191469881 /nfs/dbraw/zinc/46/98/81/1191469881.db2.gz WMGTVSNFCKRCEZ-KRWDZBQOSA-N 0 1 309.417 3.333 20 30 DGEDMN CCCCCCCCOCC(=O)N[C@H](c1c[nH]nn1)C(C)(C)C ZINC001576394898 1191584410 /nfs/dbraw/zinc/58/44/10/1191584410.db2.gz MSJTVSPWCHTTKA-MRXNPFEDSA-N 0 1 324.469 3.385 20 30 DGEDMN C=CCCCCCN1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC001619850475 1193762599 /nfs/dbraw/zinc/76/25/99/1193762599.db2.gz GABCPYOJVDBOCY-LJQANCHMSA-N 0 1 312.457 3.438 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCc3c2cccc3F)c([N+](=O)[O-])c1 ZINC001620589343 1193781808 /nfs/dbraw/zinc/78/18/08/1193781808.db2.gz BJHFMMIWNIVXMF-INIZCTEOSA-N 0 1 311.316 3.383 20 30 DGEDMN CC[C@H](CC#N)N[C@H]1CCN(C(=O)OC(C)(C)C)C12CCC2 ZINC001621227038 1193819060 /nfs/dbraw/zinc/81/90/60/1193819060.db2.gz JLZDQOMYFASKMJ-KGLIPLIRSA-N 0 1 307.438 3.200 20 30 DGEDMN C=CCC[C@H](C)N[C@@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001621343460 1193831214 /nfs/dbraw/zinc/83/12/14/1193831214.db2.gz PDYAMJNXFRFSDT-RYUDHWBXSA-N 0 1 306.397 3.479 20 30 DGEDMN C=CCC[C@H](C)N[C@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001621343459 1193831418 /nfs/dbraw/zinc/83/14/18/1193831418.db2.gz PDYAMJNXFRFSDT-NWDGAFQWSA-N 0 1 306.397 3.479 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cnn(C2CCC2)c1 ZINC001621366568 1193834460 /nfs/dbraw/zinc/83/44/60/1193834460.db2.gz SXZJYJAYIBUXPT-UHFFFAOYSA-N 0 1 324.428 3.128 20 30 DGEDMN C[C@@H]1CN(Cc2csc(C#N)c2)CCN1Cc1ccccc1 ZINC001621391176 1193836757 /nfs/dbraw/zinc/83/67/57/1193836757.db2.gz PTODZRGMWYMKQP-OAHLLOKOSA-N 0 1 311.454 3.326 20 30 DGEDMN C=CCC1(NS(=O)(=O)c2c(F)cc(F)cc2F)CCCC1 ZINC001622358072 1193897788 /nfs/dbraw/zinc/89/77/88/1193897788.db2.gz DGIULWURJHLGAC-UHFFFAOYSA-N 0 1 319.348 3.271 20 30 DGEDMN Cc1cccc(O[C@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001623604518 1193940597 /nfs/dbraw/zinc/94/05/97/1193940597.db2.gz IVPNRBXGGJWFJH-GFCCVEGCSA-N 0 1 320.352 3.149 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3coc(C(F)F)c3)[nH]c2c1 ZINC001623609195 1193940826 /nfs/dbraw/zinc/94/08/26/1193940826.db2.gz KNWYMJWPIHJUFN-UHFFFAOYSA-N 0 1 302.240 3.217 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001624764212 1194013467 /nfs/dbraw/zinc/01/34/67/1194013467.db2.gz JUCGTBROEBEEAA-UHFFFAOYSA-N 0 1 319.368 3.008 20 30 DGEDMN CC(C)(C(=O)Nc1ccc2nc[nH]c2c1)c1ccc(C#N)cc1 ZINC001626900160 1194101357 /nfs/dbraw/zinc/10/13/57/1194101357.db2.gz UCHGRXLITLZGJN-UHFFFAOYSA-N 0 1 304.353 3.351 20 30 DGEDMN C=CCCCC(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001627540543 1194134052 /nfs/dbraw/zinc/13/40/52/1194134052.db2.gz HVXKPUHJQJTPLV-UHFFFAOYSA-N 0 1 301.346 3.034 20 30 DGEDMN N#Cc1ccccc1/C=C\C(=O)Nc1cc(F)cc(F)c1O ZINC001630311743 1194279993 /nfs/dbraw/zinc/27/99/93/1194279993.db2.gz DQNCZEONVKAEGE-WAYWQWQTSA-N 0 1 300.264 3.194 20 30 DGEDMN Cc1cc(F)c(CC(=O)Nc2cc(C#N)ccc2O)cc1F ZINC001631962559 1194363623 /nfs/dbraw/zinc/36/36/23/1194363623.db2.gz OGUQWDWGTNUGRP-UHFFFAOYSA-N 0 1 302.280 3.032 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538478 1194441887 /nfs/dbraw/zinc/44/18/87/1194441887.db2.gz SCIIOTBOIJWXSN-NEPJUHHUSA-N 0 1 301.390 3.351 20 30 DGEDMN C=C1CCC(CNC(=O)CN(C)CCc2ccccc2)CC1 ZINC001634136031 1194476007 /nfs/dbraw/zinc/47/60/07/1194476007.db2.gz WKTDTRGOBXJVEJ-UHFFFAOYSA-N 0 1 300.446 3.024 20 30 DGEDMN C=C(CC(C)C)C(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001634661658 1194507618 /nfs/dbraw/zinc/50/76/18/1194507618.db2.gz UKVDOQGPVYQYGK-GFCCVEGCSA-N 0 1 301.390 3.351 20 30 DGEDMN O=C(c1cnc(C2CC2)[nH]1)N(O)Cc1cccc2ccccc21 ZINC001639075386 1194750062 /nfs/dbraw/zinc/75/00/62/1194750062.db2.gz MOWQAZZDLHFBCF-UHFFFAOYSA-N 0 1 307.353 3.472 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001639160494 1194753673 /nfs/dbraw/zinc/75/36/73/1194753673.db2.gz IKPZYQQIYXFUGV-LLVKDONJSA-N 0 1 314.389 3.079 20 30 DGEDMN C#CCN1CCC[C@H](Nc2cc(C(C)(C)C)nc(C(C)C)n2)C1 ZINC001640392747 1194810904 /nfs/dbraw/zinc/81/09/04/1194810904.db2.gz GIPIBSLVDAWMAO-HNNXBMFYSA-N 0 1 314.477 3.407 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)[C@H](CC#N)c2ccccc2)CC1 ZINC001640546973 1194819406 /nfs/dbraw/zinc/81/94/06/1194819406.db2.gz CIYZXIIBZSTVTQ-QGZVFWFLSA-N 0 1 322.412 3.122 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(c2cc3ccccc3[nH]2)CC1 ZINC001641650088 1194864610 /nfs/dbraw/zinc/86/46/10/1194864610.db2.gz QSNPTARPHVJIQI-GOSISDBHSA-N 0 1 312.413 3.465 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cc(C(=O)OCC)no1 ZINC001641653604 1194865084 /nfs/dbraw/zinc/86/50/84/1194865084.db2.gz RTSSFHLAGWGLHR-UHFFFAOYSA-N 0 1 314.385 3.082 20 30 DGEDMN Cc1cc(C#N)ccc1C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001641686256 1194866466 /nfs/dbraw/zinc/86/64/66/1194866466.db2.gz HUCHRNZIEFEQDN-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3nc4ccccc4s3)[nH]c2c1 ZINC001641797280 1194871524 /nfs/dbraw/zinc/87/15/24/1194871524.db2.gz VWCHTDNRSDILEO-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2[nH]nc3c2C[C@H](C)CC3)cc1 ZINC001641797623 1194871530 /nfs/dbraw/zinc/87/15/30/1194871530.db2.gz GUPUUMLUDVXLIA-PXAZEXFGSA-N 0 1 322.412 3.092 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)CN1CCC(C)CC1 ZINC001642540808 1194918730 /nfs/dbraw/zinc/91/87/30/1194918730.db2.gz MTENYUHWWARJBM-SFHVURJKSA-N 0 1 300.446 3.022 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1c1nc(C(C)(C)C)ccc1C#N ZINC001642686610 1194928570 /nfs/dbraw/zinc/92/85/70/1194928570.db2.gz SUFCRSKTBJGAJG-OAHLLOKOSA-N 0 1 300.450 3.171 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cn2)C1 ZINC001643758166 1195052574 /nfs/dbraw/zinc/05/25/74/1195052574.db2.gz FVMRCKKCYRVRDO-OLZOCXBDSA-N 0 1 307.825 3.350 20 30 DGEDMN N#Cc1ccc(N2CCN(CC[C@@H]3C[C@H]3C3CC3)CC2)c(F)c1 ZINC001644497659 1195113281 /nfs/dbraw/zinc/11/32/81/1195113281.db2.gz MCOTTYYHZJSYEV-SJORKVTESA-N 0 1 313.420 3.256 20 30 DGEDMN CC(=O)c1c(C)cc(NC(=O)c2ccc(C#N)c(O)c2)cc1C ZINC001644663493 1195125017 /nfs/dbraw/zinc/12/50/17/1195125017.db2.gz VMGJSJLIOKTIAA-UHFFFAOYSA-N 0 1 308.337 3.336 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(C)c(C(=O)OC)c1 ZINC001645545117 1195192625 /nfs/dbraw/zinc/19/26/25/1195192625.db2.gz RWLAICIJLRJYFJ-UHFFFAOYSA-N 0 1 307.393 3.417 20 30 DGEDMN N#C[C@H](CCc1ccccc1)C(=O)Nc1ccc2cncn2c1 ZINC001645633344 1195196137 /nfs/dbraw/zinc/19/61/37/1195196137.db2.gz VZPCWEBJQHGMMV-HNNXBMFYSA-N 0 1 304.353 3.045 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2cc(F)ccc2F)CC1 ZINC001646149046 1195216022 /nfs/dbraw/zinc/21/60/22/1195216022.db2.gz WCXVWKCVQQPHQO-QGZVFWFLSA-N 0 1 323.383 3.337 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H](C3CCCCC3)C2)cc1 ZINC001647129270 1195245684 /nfs/dbraw/zinc/24/56/84/1195245684.db2.gz PGNYSTVKDSJOMD-QGZVFWFLSA-N 0 1 311.429 3.399 20 30 DGEDMN CC(C)(C)OC(=O)N1CC(N(CC2(CC#N)CC2)C2CCC2)C1 ZINC001647297411 1195247665 /nfs/dbraw/zinc/24/76/65/1195247665.db2.gz JAECWNZHKHVERV-UHFFFAOYSA-N 0 1 319.449 3.154 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001647913748 1195264227 /nfs/dbraw/zinc/26/42/27/1195264227.db2.gz ZDYJLZSSNWEPMD-NSHDSACASA-N 0 1 323.352 3.264 20 30 DGEDMN COC(=O)CC[C@H]1CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC001648217554 1195284203 /nfs/dbraw/zinc/28/42/03/1195284203.db2.gz CAKNEVBFYGFXOO-MRXNPFEDSA-N 0 1 319.832 3.357 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](C1CC1)N(CCCC#N)CC2 ZINC001648291212 1195289846 /nfs/dbraw/zinc/28/98/46/1195289846.db2.gz WJGPVWNJECPKPD-SFHVURJKSA-N 0 1 300.402 3.317 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1ccc(C(C)C)cc1 ZINC001649456384 1195343867 /nfs/dbraw/zinc/34/38/67/1195343867.db2.gz XHSQQKOTVRGZFL-QGZVFWFLSA-N 0 1 314.473 3.202 20 30 DGEDMN C[C@@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1cccs1 ZINC001651270566 1195436261 /nfs/dbraw/zinc/43/62/61/1195436261.db2.gz GPWWRTYZSGJONS-SNVBAGLBSA-N 0 1 323.381 3.147 20 30 DGEDMN N#Cc1cccnc1NCc1ccc(Nc2ccncc2)cc1 ZINC001651288680 1195438895 /nfs/dbraw/zinc/43/88/95/1195438895.db2.gz QZIWWHPACKZQCG-UHFFFAOYSA-N 0 1 301.353 3.126 20 30 DGEDMN C=CCOc1ccc(CNCc2ccc[nH]c2=O)cc1Cl ZINC001651397748 1195454221 /nfs/dbraw/zinc/45/42/21/1195454221.db2.gz GIHVWOIUUZJIOL-UHFFFAOYSA-N 0 1 304.777 3.295 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3c(Cl)cccc32)C[C@H]1C ZINC001651651804 1195491530 /nfs/dbraw/zinc/49/15/30/1195491530.db2.gz ARKAPECMTZFECT-GFCCVEGCSA-N 0 1 314.820 3.290 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001652011620 1195536519 /nfs/dbraw/zinc/53/65/19/1195536519.db2.gz CQTUKRJMFSZSTH-CYBMUJFWSA-N 0 1 323.458 3.480 20 30 DGEDMN N#Cc1cccc(COc2ccc(NCc3cnc[nH]3)cc2)c1 ZINC001652169771 1195557547 /nfs/dbraw/zinc/55/75/47/1195557547.db2.gz QJXOGNJLUYBBBE-UHFFFAOYSA-N 0 1 304.353 3.472 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@@H](C(N)=O)c1ccc(F)cc1 ZINC001652493164 1195607334 /nfs/dbraw/zinc/60/73/34/1195607334.db2.gz GWYMMFLANIXAOZ-QGZVFWFLSA-N 0 1 304.409 3.420 20 30 DGEDMN Cc1csc2nc(C)c(CNCc3ccc(C#N)s3)n12 ZINC001652692387 1195637416 /nfs/dbraw/zinc/63/74/16/1195637416.db2.gz KAUGANAPIFQTQE-UHFFFAOYSA-N 0 1 302.428 3.236 20 30 DGEDMN N#Cc1c(F)cccc1CN1CC2(CN(Cc3ccccc3)C2)C1 ZINC001652952782 1195675183 /nfs/dbraw/zinc/67/51/83/1195675183.db2.gz ITGRHIQUFYPJOC-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN N#Cc1ccnc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC001653015646 1195680918 /nfs/dbraw/zinc/68/09/18/1195680918.db2.gz IXIYBQNKJGOGGT-QFBILLFUSA-N 0 1 307.397 3.211 20 30 DGEDMN C[C@@H]1CN(c2ccccc2F)CCN1CCc1ccc(C#N)cc1 ZINC001653491393 1195729193 /nfs/dbraw/zinc/72/91/93/1195729193.db2.gz ZHEGKGBFAZCCRR-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)[C@H]1CCN1C(C)(C)C ZINC001653589741 1195736973 /nfs/dbraw/zinc/73/69/73/1195736973.db2.gz QMSIVSUIPKUUER-HZPDHXFCSA-N 0 1 312.498 3.087 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)[C@@H]1CCN1C(C)(C)C ZINC001653589739 1195737083 /nfs/dbraw/zinc/73/70/83/1195737083.db2.gz QMSIVSUIPKUUER-CVEARBPZSA-N 0 1 312.498 3.087 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC001654177749 1195781622 /nfs/dbraw/zinc/78/16/22/1195781622.db2.gz JXQRYNAUMXHYQQ-PBHICJAKSA-N 0 1 302.393 3.219 20 30 DGEDMN CCCCCCCCCCN1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001654718507 1195832632 /nfs/dbraw/zinc/83/26/32/1195832632.db2.gz GXQWHZZXWKAKHW-IRXDYDNUSA-N 0 1 315.523 3.494 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1C(=O)OC(C)(C)C ZINC001654847181 1195846356 /nfs/dbraw/zinc/84/63/56/1195846356.db2.gz DVEITTXIEZCXJH-WMLDXEAASA-N 0 1 314.429 3.358 20 30 DGEDMN COC(=O)[C@@H](CC(C)C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001654932774 1195855575 /nfs/dbraw/zinc/85/55/75/1195855575.db2.gz PBMIJQDYURHGEZ-GOSISDBHSA-N 0 1 314.429 3.325 20 30 DGEDMN C=CCCCN(CC)[C@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC001655014836 1195864491 /nfs/dbraw/zinc/86/44/91/1195864491.db2.gz VVUHUPCLKTVJBR-KRWDZBQOSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(CCc2ccccc2)CC1 ZINC001655607789 1195939507 /nfs/dbraw/zinc/93/95/07/1195939507.db2.gz DBYKYHUZTHAUET-GOSISDBHSA-N 0 1 301.430 3.449 20 30 DGEDMN CN1CCN(c2c(C#N)cnc3c(Cl)cccc32)CC1(C)C ZINC001655624515 1195942232 /nfs/dbraw/zinc/94/22/32/1195942232.db2.gz UGFHRKKJEABHQM-UHFFFAOYSA-N 0 1 314.820 3.290 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1ccc(C)cc1 ZINC001656088332 1195998068 /nfs/dbraw/zinc/99/80/68/1195998068.db2.gz JAOIHAAVDDXRGZ-LJQANCHMSA-N 0 1 314.473 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1ccccc1 ZINC001656088128 1195998139 /nfs/dbraw/zinc/99/81/39/1195998139.db2.gz HGVXTOYURHQUPT-SFHVURJKSA-N 0 1 300.446 3.118 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(C[C@@H]1CCCC3(CCC3)O1)C2 ZINC001656381248 1196034137 /nfs/dbraw/zinc/03/41/37/1196034137.db2.gz VVYWMJOTYMOMQE-LBPRGKRZSA-N 0 1 317.458 3.052 20 30 DGEDMN N#Cc1ccccc1OCCN1CCO[C@@H](c2ccccc2)CC1 ZINC001656523558 1196047199 /nfs/dbraw/zinc/04/71/99/1196047199.db2.gz MRYNWLBVNURXRV-HXUWFJFHSA-N 0 1 322.408 3.401 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1CC ZINC001656692653 1196062424 /nfs/dbraw/zinc/06/24/24/1196062424.db2.gz KXAIPYLKBBVQPM-DLBZAZTESA-N 0 1 304.409 3.261 20 30 DGEDMN C=CC[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccncc1 ZINC001657237611 1196121690 /nfs/dbraw/zinc/12/16/90/1196121690.db2.gz WJNMINHDVNEAEG-MRXNPFEDSA-N 0 1 305.385 3.274 20 30 DGEDMN C=CCC1(CNCc2snc(OC)c2C(=O)OC)CCCC1 ZINC001658103051 1196212063 /nfs/dbraw/zinc/21/20/63/1196212063.db2.gz ZBRBHMXGGPYNMZ-UHFFFAOYSA-N 0 1 324.446 3.164 20 30 DGEDMN C#Cc1cccc(CNCc2cncc(Br)c2C)c1 ZINC001658123115 1196213730 /nfs/dbraw/zinc/21/37/30/1196213730.db2.gz PMRHAMDAECJCDB-UHFFFAOYSA-N 0 1 315.214 3.424 20 30 DGEDMN C#C[C@H](NC[C@H](OC)C1CC1)c1ccc(Br)cc1 ZINC001658193909 1196224279 /nfs/dbraw/zinc/22/42/79/1196224279.db2.gz WGTNQVRDIMZKNA-GJZGRUSLSA-N 0 1 308.219 3.138 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)N(CCC)CC(F)(F)F)C1 ZINC001659352376 1196375084 /nfs/dbraw/zinc/37/50/84/1196375084.db2.gz LGZLTABGXREZSL-CYBMUJFWSA-N 0 1 306.372 3.075 20 30 DGEDMN C#CCCCCCCN1CCn2ncc(Br)c2C1 ZINC001659435893 1196382946 /nfs/dbraw/zinc/38/29/46/1196382946.db2.gz CTSPDZITQLXJTK-UHFFFAOYSA-N 0 1 310.239 3.045 20 30 DGEDMN CC1(C)CCC[C@H](CN2CCN(c3ccc(C#N)cc3)CC2)O1 ZINC001659828422 1196418454 /nfs/dbraw/zinc/41/84/54/1196418454.db2.gz FOZYBQNTBIHPAW-GOSISDBHSA-N 0 1 313.445 3.028 20 30 DGEDMN N#Cc1ccc(NCC[NH2+]Cc2ccc3ccccc3c2[O-])nc1 ZINC001660069943 1196442695 /nfs/dbraw/zinc/44/26/95/1196442695.db2.gz JJOMRCVNTNSWAY-UHFFFAOYSA-N 0 1 318.380 3.014 20 30 DGEDMN CN(CCCNC(=O)/C=C/C(C)(C)C)Cc1ccccc1C#N ZINC001660080589 1196442948 /nfs/dbraw/zinc/44/29/48/1196442948.db2.gz CYUORCNNHXDNPM-ZHACJKMWSA-N 0 1 313.445 3.099 20 30 DGEDMN Cc1nc([C@H]2CCCN2CCCC2(C#N)CCOCC2)[nH]c1C ZINC001660123338 1196448178 /nfs/dbraw/zinc/44/81/78/1196448178.db2.gz TXJOODZQRCKYCV-MRXNPFEDSA-N 0 1 316.449 3.264 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)[C@H](C)c1ccc(F)cc1F ZINC001660129780 1196448832 /nfs/dbraw/zinc/44/88/32/1196448832.db2.gz YHQIGGQCRHUDKZ-CQSZACIVSA-N 0 1 322.399 3.123 20 30 DGEDMN C[N@H+](Cc1ccc(Cl)cc1O)C[C@H](O)c1cccc(C#N)c1 ZINC001660210885 1196457178 /nfs/dbraw/zinc/45/71/78/1196457178.db2.gz QEUSDTUGVDIOAX-KRWDZBQOSA-N 0 1 316.788 3.083 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1[O-])C[C@H](O)c1cccc(C#N)c1 ZINC001660210885 1196457181 /nfs/dbraw/zinc/45/71/81/1196457181.db2.gz QEUSDTUGVDIOAX-KRWDZBQOSA-N 0 1 316.788 3.083 20 30 DGEDMN C[N@H+](Cc1ccc(Cl)cc1[O-])C[C@H](O)c1cccc(C#N)c1 ZINC001660210885 1196457183 /nfs/dbraw/zinc/45/71/83/1196457183.db2.gz QEUSDTUGVDIOAX-KRWDZBQOSA-N 0 1 316.788 3.083 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccsc1C ZINC001660524739 1196493451 /nfs/dbraw/zinc/49/34/51/1196493451.db2.gz HANMRJYPCRABTC-UHFFFAOYSA-N 0 1 300.855 3.251 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CCOc2ccccc2)C1 ZINC001660841917 1196532697 /nfs/dbraw/zinc/53/26/97/1196532697.db2.gz MOMKGHXQWUCGSR-KRWDZBQOSA-N 0 1 316.445 3.002 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1 ZINC001661024554 1196555137 /nfs/dbraw/zinc/55/51/37/1196555137.db2.gz QRZNUQUEXCQCQR-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)/C=C(/C)C2CC2)CC1 ZINC001661055169 1196559749 /nfs/dbraw/zinc/55/97/49/1196559749.db2.gz XOWDBVYCKRSJQA-HMVFGEFZSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc2cc[nH]c2c1)C1CC1 ZINC001661173121 1196576064 /nfs/dbraw/zinc/57/60/64/1196576064.db2.gz ZXWWYGQKGACCLY-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1coc2ccccc12)C1CC1 ZINC001661196359 1196578861 /nfs/dbraw/zinc/57/88/61/1196578861.db2.gz ALIIIOLSXHNWLW-OAHLLOKOSA-N 0 1 318.804 3.283 20 30 DGEDMN C#CCCCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001661526476 1196615422 /nfs/dbraw/zinc/61/54/22/1196615422.db2.gz HZGYEESAOACPOC-MQVJKMGUSA-N 0 1 308.853 3.044 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001661650250 1196628135 /nfs/dbraw/zinc/62/81/35/1196628135.db2.gz PXWJAWCZOZXQRM-LBMTZKPTSA-N 0 1 305.249 3.145 20 30 DGEDMN CC(C)CC(=O)NC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001661846348 1196650075 /nfs/dbraw/zinc/65/00/75/1196650075.db2.gz MDMPERPFHNOTBM-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)C#CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1C/C=C\Cl ZINC001661978548 1196669987 /nfs/dbraw/zinc/66/99/87/1196669987.db2.gz VLIOKDVJQXIAHQ-SWBVGFSZSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(C(F)F)CC2)C1 ZINC001661990456 1196672080 /nfs/dbraw/zinc/67/20/80/1196672080.db2.gz VMXIPJOYQXKGOI-VXGBXAGGSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CCC(C)(F)F)C1 ZINC001661996481 1196672711 /nfs/dbraw/zinc/67/27/11/1196672711.db2.gz MUUNPGVFYGPAJI-QWHCGFSZSA-N 0 1 322.827 3.391 20 30 DGEDMN N#CC1(c2ccc(CNc3cnccc3-n3cccn3)cc2)CC1 ZINC001662048051 1196677156 /nfs/dbraw/zinc/67/71/56/1196677156.db2.gz IWCAQMPEXFQKGR-UHFFFAOYSA-N 0 1 315.380 3.435 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001662126178 1196683552 /nfs/dbraw/zinc/68/35/52/1196683552.db2.gz IBEXKGNYHRWFKI-JTTJXQCZSA-N 0 1 319.449 3.102 20 30 DGEDMN CC(C(=O)NC[C@H](C)NCc1ccccc1C#N)=C1CCCC1 ZINC001662195897 1196694011 /nfs/dbraw/zinc/69/40/11/1196694011.db2.gz QFUMCDHIPPQQFD-AWEZNQCLSA-N 0 1 311.429 3.043 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001662207841 1196695333 /nfs/dbraw/zinc/69/53/33/1196695333.db2.gz QYYAZBATSKLKLH-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001662328715 1196708385 /nfs/dbraw/zinc/70/83/85/1196708385.db2.gz FKLFFVSPMIPYPZ-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NCc1cc(F)ccc1OC ZINC001662346046 1196711461 /nfs/dbraw/zinc/71/14/61/1196711461.db2.gz QHCUOPDKIYKORQ-CYBMUJFWSA-N 0 1 322.424 3.031 20 30 DGEDMN C=CCOc1ccc(CNCc2ccnn2-c2ccccn2)cc1 ZINC001662437029 1196722491 /nfs/dbraw/zinc/72/24/91/1196722491.db2.gz ATNMBFIHHHRGSX-UHFFFAOYSA-N 0 1 320.396 3.122 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(-c3ccc(F)cc3)c2)s1 ZINC001662686847 1196740186 /nfs/dbraw/zinc/74/01/86/1196740186.db2.gz VTOOCNGMKHNSSR-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1 ZINC001663487892 1196885438 /nfs/dbraw/zinc/88/54/38/1196885438.db2.gz RQMLMDNLGFKHEP-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN CCCCC(=O)NCCN(CC#Cc1ccc(F)cc1)CCC ZINC001663653928 1196922041 /nfs/dbraw/zinc/92/20/41/1196922041.db2.gz XVXJSLXWHMHBMU-UHFFFAOYSA-N 0 1 318.436 3.196 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)/C=C\c1ccco1)C(C)C ZINC001664484474 1197004589 /nfs/dbraw/zinc/00/45/89/1197004589.db2.gz RGOYHXATCFAIAR-FPLPWBNLSA-N 0 1 310.825 3.262 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)c(F)c1)c1ccccc1 ZINC001664583850 1197017591 /nfs/dbraw/zinc/01/75/91/1197017591.db2.gz XCMUBFYDONCQDZ-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC#C)CCCCC1)c1ccccc1 ZINC001664604192 1197020319 /nfs/dbraw/zinc/02/03/19/1197020319.db2.gz KLZHUCZGDIMFFE-LJQANCHMSA-N 0 1 322.452 3.041 20 30 DGEDMN C#C[C@H](NCc1cc[nH]c(=O)c1)c1ccc(Br)cc1 ZINC001664838662 1197066498 /nfs/dbraw/zinc/06/64/98/1197066498.db2.gz ZWTBHSXOCJBRRJ-AWEZNQCLSA-N 0 1 317.186 3.014 20 30 DGEDMN C#C[C@@H](NCc1cc[nH]c(=O)c1)c1ccc(Br)cc1 ZINC001664838663 1197067288 /nfs/dbraw/zinc/06/72/88/1197067288.db2.gz ZWTBHSXOCJBRRJ-CQSZACIVSA-N 0 1 317.186 3.014 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(C/C=C/c3cccc(F)c3)C2)nc1 ZINC001664867566 1197072625 /nfs/dbraw/zinc/07/26/25/1197072625.db2.gz FDKSGABJIVMRKK-ROVVAWJSSA-N 0 1 323.371 3.259 20 30 DGEDMN C#Cc1ccc(NC(=O)N2C[C@H](C)N(CC)C[C@@H]2C)c(Cl)c1 ZINC001664986165 1197084058 /nfs/dbraw/zinc/08/40/58/1197084058.db2.gz ZWCIWNUIQDNNAI-STQMWFEESA-N 0 1 319.836 3.268 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001665175373 1197105288 /nfs/dbraw/zinc/10/52/88/1197105288.db2.gz FBWFUXVMLVMFRY-CQSZACIVSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C)n1 ZINC001665371963 1197129375 /nfs/dbraw/zinc/12/93/75/1197129375.db2.gz VNFLMCMQBYXNTC-IRXDYDNUSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)N[C@@H](C)c1nc2ccccc2o1 ZINC001665765978 1197190609 /nfs/dbraw/zinc/19/06/09/1197190609.db2.gz RDFJDCWOBVLFNP-UONOGXRCSA-N 0 1 315.417 3.339 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2cnccc21 ZINC001665888197 1197211838 /nfs/dbraw/zinc/21/18/38/1197211838.db2.gz PDSNHCQTFFAGEP-AWEZNQCLSA-N 0 1 317.820 3.085 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2nccs2)CCCC[C@@H]1C ZINC001666051166 1197231664 /nfs/dbraw/zinc/23/16/64/1197231664.db2.gz WJBSFPGDMJOZMC-YOEHRIQHSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H]2C=CCC2)CC1 ZINC001666355338 1197254986 /nfs/dbraw/zinc/25/49/86/1197254986.db2.gz HTWFBSRELJXLMO-MRXNPFEDSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1ccsc1 ZINC001666458569 1197261200 /nfs/dbraw/zinc/26/12/00/1197261200.db2.gz HLFFMIFHHZNPKC-TZMCWYRMSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001666913532 1197297826 /nfs/dbraw/zinc/29/78/26/1197297826.db2.gz DMEZRYRQCPUEBU-CQSZACIVSA-N 0 1 321.465 3.399 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001666953344 1197300874 /nfs/dbraw/zinc/30/08/74/1197300874.db2.gz SWYPRWMRSGUAIG-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001666964326 1197301715 /nfs/dbraw/zinc/30/17/15/1197301715.db2.gz WZANAPPTFCCUQB-QMMMGPOBSA-N 0 1 324.639 3.124 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C)cc1Cl ZINC001666996570 1197306405 /nfs/dbraw/zinc/30/64/05/1197306405.db2.gz CARRZDPPXHMRFP-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN CC(C)CCCC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001666996858 1197306964 /nfs/dbraw/zinc/30/69/64/1197306964.db2.gz HKZSAQZZTWLKHZ-INIZCTEOSA-N 0 1 315.461 3.321 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)CCC1(C)CC1 ZINC001667023960 1197309163 /nfs/dbraw/zinc/30/91/63/1197309163.db2.gz RWTRXAXPFDDSGK-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)=CC(=O)N[C@H](CNCc1ccccc1C#N)CC(C)C ZINC001667070559 1197314248 /nfs/dbraw/zinc/31/42/48/1197314248.db2.gz ULCGOOWUXJKHSF-SFHVURJKSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CCNCc1csc(C)n1 ZINC001667239335 1197332305 /nfs/dbraw/zinc/33/23/05/1197332305.db2.gz WUHHQMLNWSFSND-MRXNPFEDSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CSC(C)C ZINC001667358741 1197341147 /nfs/dbraw/zinc/34/11/47/1197341147.db2.gz IYXJUXPNSASFLN-GXTWGEPZSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1c(C)coc1C ZINC001667374688 1197342212 /nfs/dbraw/zinc/34/22/12/1197342212.db2.gz KCHLYXFTACSJCR-NHYWBVRUSA-N 0 1 324.852 3.479 20 30 DGEDMN N#Cc1ccccc1Oc1ccc(NC(=O)Nc2c[nH]nn2)cc1 ZINC001668351902 1197411461 /nfs/dbraw/zinc/41/14/61/1197411461.db2.gz XKFZHOYWULTFSC-UHFFFAOYSA-N 0 1 320.312 3.113 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1csc(C(C)C)n1 ZINC001668586262 1197420648 /nfs/dbraw/zinc/42/06/48/1197420648.db2.gz DDYFKPXEDSGGQD-UHFFFAOYSA-N 0 1 315.870 3.071 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C2CC2)cc1 ZINC001668648008 1197423132 /nfs/dbraw/zinc/42/31/32/1197423132.db2.gz CSBDXZJLJBLYHO-UHFFFAOYSA-N 0 1 306.837 3.368 20 30 DGEDMN CC#CCCCC(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001668989893 1197436512 /nfs/dbraw/zinc/43/65/12/1197436512.db2.gz UETWIVKWCUGVLX-BQYQJAHWSA-N 0 1 316.420 3.090 20 30 DGEDMN C=C1CCC(C(=O)NC/C=C\CNCc2ccccc2F)CC1 ZINC001669020422 1197437888 /nfs/dbraw/zinc/43/78/88/1197437888.db2.gz BJBHGNQJDFDIHL-PLNGDYQASA-N 0 1 316.420 3.334 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001669373355 1197451447 /nfs/dbraw/zinc/45/14/47/1197451447.db2.gz PQHFPWGCKUGIFG-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001669429718 1197454313 /nfs/dbraw/zinc/45/43/13/1197454313.db2.gz UKMPDNNVPGEIIS-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C[C@@H](CNCc1c(F)cccc1Cl)NC(=O)C#CC(C)(C)C ZINC001669554124 1197462413 /nfs/dbraw/zinc/46/24/13/1197462413.db2.gz VWSKXADXTAXEPA-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001671881989 1197579947 /nfs/dbraw/zinc/57/99/47/1197579947.db2.gz HLXISLDLACDMNS-ZTHFXDQWSA-N 0 1 324.468 3.223 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001671881990 1197580009 /nfs/dbraw/zinc/58/00/09/1197580009.db2.gz HLXISLDLACDMNS-ZZPQXSKVSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](C)c2ccccc2)C1 ZINC001671916445 1197585596 /nfs/dbraw/zinc/58/55/96/1197585596.db2.gz LWYTWYVBNIJJIT-XLIONFOSSA-N 0 1 312.457 3.032 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)CCC)c1ccccc1CC ZINC001672176312 1197594623 /nfs/dbraw/zinc/59/46/23/1197594623.db2.gz IARZEKXKKQJMDL-YJBOKZPZSA-N 0 1 300.446 3.065 20 30 DGEDMN C=CCC1(CNC(=O)Nc2cc(CN(C)C)ccn2)CCCC1 ZINC001672205732 1197597215 /nfs/dbraw/zinc/59/72/15/1197597215.db2.gz IXHZCJNJXKBKLD-UHFFFAOYSA-N 0 1 316.449 3.401 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](C)CCCCC)[C@@H]2C1 ZINC001672562776 1197617765 /nfs/dbraw/zinc/61/77/65/1197617765.db2.gz HNBDTRYZBRFBOX-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](F)c2ccccc2)CCC1 ZINC001673214903 1197644496 /nfs/dbraw/zinc/64/44/96/1197644496.db2.gz QJSVTEIZDLYCFG-AWEZNQCLSA-N 0 1 310.800 3.078 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCC2CCCC2)C(C)(C)C1 ZINC001674034234 1197726186 /nfs/dbraw/zinc/72/61/86/1197726186.db2.gz QWIRFKXGBNZTIJ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@H](C)C1 ZINC001674139980 1197737448 /nfs/dbraw/zinc/73/74/48/1197737448.db2.gz DFCCIXXHPUGQNN-CABCVRRESA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cccnc2)[C@@H](C)C1 ZINC001674139457 1197737504 /nfs/dbraw/zinc/73/75/04/1197737504.db2.gz BHNLYUONQTWUJS-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1c2ccccc2CC[N@@H+]1CCC ZINC001674271601 1197754467 /nfs/dbraw/zinc/75/44/67/1197754467.db2.gz LHZXXSBSEFVUHY-IBGZPJMESA-N 0 1 312.457 3.306 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(CC(C)C)CCC1 ZINC001674425054 1197771529 /nfs/dbraw/zinc/77/15/29/1197771529.db2.gz NSBADEKRBHXCIP-RTBURBONSA-N 0 1 324.468 3.208 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001674434745 1197772631 /nfs/dbraw/zinc/77/26/31/1197772631.db2.gz HRVDEKZRJQPHCJ-IBGZPJMESA-N 0 1 312.457 3.007 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC001674671672 1197827844 /nfs/dbraw/zinc/82/78/44/1197827844.db2.gz ARGPSXJLUNAJCG-LSDHHAIUSA-N 0 1 320.481 3.049 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001674671669 1197827959 /nfs/dbraw/zinc/82/79/59/1197827959.db2.gz ARGPSXJLUNAJCG-CABCVRRESA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1c(C)onc1CC ZINC001674716981 1197844543 /nfs/dbraw/zinc/84/45/43/1197844543.db2.gz QXADSBGZNZXHDE-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2cc[nH]c21 ZINC001674728889 1197849859 /nfs/dbraw/zinc/84/98/59/1197849859.db2.gz KHGAAYVDCMHRJC-VXGBXAGGSA-N 0 1 305.809 3.017 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001674733878 1197853209 /nfs/dbraw/zinc/85/32/09/1197853209.db2.gz DXZUKVVHEXLFJJ-CHWSQXEVSA-N 0 1 323.868 3.320 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1c(C)onc1CC ZINC001674736516 1197854518 /nfs/dbraw/zinc/85/45/18/1197854518.db2.gz WKXGLIIWVPBEKZ-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN CCC(CC)CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001674748653 1197857967 /nfs/dbraw/zinc/85/79/67/1197857967.db2.gz RLNLYJWUHTUODF-HUUCEWRRSA-N 0 1 315.461 3.367 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001674908485 1197910754 /nfs/dbraw/zinc/91/07/54/1197910754.db2.gz PMLWXVWLXFLKRU-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2cncc(C)c2)CCC1 ZINC001674991442 1197949342 /nfs/dbraw/zinc/94/93/42/1197949342.db2.gz LHRHRLVOJGFLAY-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)C1CC(F)(F)C1 ZINC001675219056 1198009789 /nfs/dbraw/zinc/00/97/89/1198009789.db2.gz WKVCZOAPFVGMNT-LBPRGKRZSA-N 0 1 308.800 3.049 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C2(CC(C)C)CCCC2)C1 ZINC001675349286 1198050524 /nfs/dbraw/zinc/05/05/24/1198050524.db2.gz PRUPTMJWLMOGEP-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001675363157 1198054193 /nfs/dbraw/zinc/05/41/93/1198054193.db2.gz FNZAPJFSULZGLP-CHWSQXEVSA-N 0 1 306.837 3.066 20 30 DGEDMN CCCCC(=O)N[C@@H]1CN(Cc2ccc(C#N)cc2)CC1(C)C ZINC001675433832 1198065212 /nfs/dbraw/zinc/06/52/12/1198065212.db2.gz OSOAQVIJWZKVLR-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)Cc2ccccc2C)C(C)(C)C1 ZINC001675486773 1198080482 /nfs/dbraw/zinc/08/04/82/1198080482.db2.gz OZQXAZAYUPCZOJ-SJLPKXTDSA-N 0 1 314.473 3.186 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H](C)c1ccc(C)o1 ZINC001676255932 1198262682 /nfs/dbraw/zinc/26/26/82/1198262682.db2.gz KARKBMAVJPYQRF-UKRRQHHQSA-N 0 1 324.852 3.271 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001676319268 1198287117 /nfs/dbraw/zinc/28/71/17/1198287117.db2.gz ZPGRYSNKVNIJBB-OAHLLOKOSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2scnc2c1 ZINC001676453978 1198322916 /nfs/dbraw/zinc/32/29/16/1198322916.db2.gz AFRFPDPGWGNAKT-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001676867512 1198414935 /nfs/dbraw/zinc/41/49/35/1198414935.db2.gz QQHLBPHOVYPFJM-KBPBESRZSA-N 0 1 318.383 3.102 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCc2ccsc2)[C@@H]1C ZINC001676873242 1198416942 /nfs/dbraw/zinc/41/69/42/1198416942.db2.gz OSKZBTPXWMZCRU-DOTOQJQBSA-N 0 1 318.486 3.063 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCc2ccns2)[C@H]1C ZINC001676872277 1198417032 /nfs/dbraw/zinc/41/70/32/1198417032.db2.gz IDPZTKJDYHHZIJ-GOEBONIOSA-N 0 1 321.490 3.011 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H]1[C@H](C=C(C)C)C1(C)C)C(C)C ZINC001677204800 1198487648 /nfs/dbraw/zinc/48/76/48/1198487648.db2.gz FYGZSYYNNOIEKD-DLBZAZTESA-N 0 1 304.478 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccc(C)cc1)C(C)(C)C ZINC001677262885 1198503859 /nfs/dbraw/zinc/50/38/59/1198503859.db2.gz GSIMZMBIGCKIQR-INIZCTEOSA-N 0 1 322.880 3.411 20 30 DGEDMN CC#CC[N@@H+]1CC=C(CNC(=O)C(C)(C)Cc2ccccc2)CC1 ZINC001677621348 1198562304 /nfs/dbraw/zinc/56/23/04/1198562304.db2.gz PCRLMGCQOWNOAY-UHFFFAOYSA-N 0 1 324.468 3.027 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)Cc2ccccc2)CC1 ZINC001677621348 1198562308 /nfs/dbraw/zinc/56/23/08/1198562308.db2.gz PCRLMGCQOWNOAY-UHFFFAOYSA-N 0 1 324.468 3.027 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCN(CC)C[C@H]1C)c1ccccc1 ZINC001680810118 1198917934 /nfs/dbraw/zinc/91/79/34/1198917934.db2.gz TWCBOKWKRHBESC-AEFFLSMTSA-N 0 1 315.461 3.430 20 30 DGEDMN C=C(CC)CNC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001686779888 1199587960 /nfs/dbraw/zinc/58/79/60/1199587960.db2.gz NAOKXPUEFXDVKO-IRXDYDNUSA-N 0 1 315.461 3.257 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2sccc2c1 ZINC001688066213 1199685159 /nfs/dbraw/zinc/68/51/59/1199685159.db2.gz RWXOTSNSIJBUKA-SJORKVTESA-N 0 1 324.449 3.213 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001698561441 1199687093 /nfs/dbraw/zinc/68/70/93/1199687093.db2.gz PQDHBARERVYVQE-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCc1ccc(C)c(Cl)c1 ZINC001688881281 1199939721 /nfs/dbraw/zinc/93/97/21/1199939721.db2.gz OEJJZOCOXSULGL-MRXNPFEDSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001688897079 1199949290 /nfs/dbraw/zinc/94/92/90/1199949290.db2.gz POCWPEOWPCEVNI-HIFRSBDPSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC(F)(F)F)CC[C@H]21 ZINC001753872077 1200023998 /nfs/dbraw/zinc/02/39/98/1200023998.db2.gz NHPYRYDCZVDNCU-VXGBXAGGSA-N 0 1 324.774 3.004 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNCc1ncc(C(C)C)o1 ZINC001753881971 1200031014 /nfs/dbraw/zinc/03/10/14/1200031014.db2.gz GJORIRFTEGPLQA-HUUCEWRRSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001689186309 1200053679 /nfs/dbraw/zinc/05/36/79/1200053679.db2.gz FYGLEASYKFZSGG-JZXOWHBKSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2(C=C)CCCCC2)[C@H]1CC ZINC001689190020 1200056310 /nfs/dbraw/zinc/05/63/10/1200056310.db2.gz XZTDWBKLDXKUQU-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@H](NCc2csc(C)n2)C1 ZINC001689257297 1200071331 /nfs/dbraw/zinc/07/13/31/1200071331.db2.gz ZCJLMHDUOGNVJZ-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CCc2ccc(F)c(F)c2)[C@@H]1C ZINC001689280851 1200081528 /nfs/dbraw/zinc/08/15/28/1200081528.db2.gz PVSUNCXYUGMRET-DYVFJYSZSA-N 0 1 322.399 3.053 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001754074609 1200094995 /nfs/dbraw/zinc/09/49/95/1200094995.db2.gz ZXCXNCUSVIMKKV-HOTGVXAUSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1ccccc1F ZINC001754157125 1200116717 /nfs/dbraw/zinc/11/67/17/1200116717.db2.gz RHIKMPAZMIMERJ-FPLPWBNLSA-N 0 1 304.409 3.190 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001698593403 1200131355 /nfs/dbraw/zinc/13/13/55/1200131355.db2.gz IVXUPPIYSVVYSB-DYVFJYSZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)c2ccccc2n1 ZINC001754231976 1200143306 /nfs/dbraw/zinc/14/33/06/1200143306.db2.gz ULPLRPIESLPXFA-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2ccccc2c1 ZINC001754250697 1200154121 /nfs/dbraw/zinc/15/41/21/1200154121.db2.gz YMNYFISRHBGJLY-CYBMUJFWSA-N 0 1 302.805 3.300 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001754261337 1200160461 /nfs/dbraw/zinc/16/04/61/1200160461.db2.gz XQTWGFYOFCJONC-NHYWBVRUSA-N 0 1 308.372 3.013 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)Cc2cccnc2C)CC1 ZINC001754323714 1200214725 /nfs/dbraw/zinc/21/47/25/1200214725.db2.gz UMIPOBIJQQBMGM-OAHLLOKOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(F)=C1CCCC1)C1CC1 ZINC001754439587 1200265299 /nfs/dbraw/zinc/26/52/99/1200265299.db2.gz FXVXARMVPRVANP-CYBMUJFWSA-N 0 1 300.805 3.021 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(F)=C1CCCC1)C1CC1 ZINC001754439588 1200265025 /nfs/dbraw/zinc/26/50/25/1200265025.db2.gz FXVXARMVPRVANP-ZDUSSCGKSA-N 0 1 300.805 3.021 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001754489849 1200299108 /nfs/dbraw/zinc/29/91/08/1200299108.db2.gz FNRMEORIUZRHPP-IBGZPJMESA-N 0 1 312.457 3.269 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001754492249 1200303174 /nfs/dbraw/zinc/30/31/74/1200303174.db2.gz YUIYSRQRQDITQC-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001754491323 1200303885 /nfs/dbraw/zinc/30/38/85/1200303885.db2.gz HBSYTYZZEOGVQA-GOSISDBHSA-N 0 1 316.420 3.040 20 30 DGEDMN N#CCOc1cccc(CNCc2ccccc2OC(F)F)c1 ZINC000124009464 1200309915 /nfs/dbraw/zinc/30/99/15/1200309915.db2.gz XOUPDFJRSYNSJM-UHFFFAOYSA-N 0 1 318.323 3.480 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCc3ccccc32)CC1 ZINC001754518517 1200331477 /nfs/dbraw/zinc/33/14/77/1200331477.db2.gz ZEEYQZJLEQUDAQ-INIZCTEOSA-N 0 1 318.848 3.097 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCc3ccccc32)CC1 ZINC001754518518 1200331670 /nfs/dbraw/zinc/33/16/70/1200331670.db2.gz ZEEYQZJLEQUDAQ-MRXNPFEDSA-N 0 1 318.848 3.097 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(F)c(C)c1 ZINC001689953810 1200344476 /nfs/dbraw/zinc/34/44/76/1200344476.db2.gz ZYIZAWQGHNFCPN-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc2[nH]ccc21 ZINC001689958003 1200346536 /nfs/dbraw/zinc/34/65/36/1200346536.db2.gz YDWDQAWGUVTPPH-UHFFFAOYSA-N 0 1 319.836 3.362 20 30 DGEDMN CC(C)CC(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001690016287 1200367338 /nfs/dbraw/zinc/36/73/38/1200367338.db2.gz QGOFNLUENVGCON-UHFFFAOYSA-N 0 1 319.474 3.089 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H](C)C(C)(F)F)C1 ZINC001754581988 1200378523 /nfs/dbraw/zinc/37/85/23/1200378523.db2.gz PMOJDSZOMPZNPT-CYBMUJFWSA-N 0 1 304.425 3.391 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001690100733 1200400673 /nfs/dbraw/zinc/40/06/73/1200400673.db2.gz ZHRBMNAMEABFHP-KRWDZBQOSA-N 0 1 324.424 3.317 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001754634886 1200408808 /nfs/dbraw/zinc/40/88/08/1200408808.db2.gz AOQSOMHCTCZZAG-SJORKVTESA-N 0 1 324.484 3.487 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001690129016 1200410928 /nfs/dbraw/zinc/41/09/28/1200410928.db2.gz WEEIDRAJFKXMME-NEPJUHHUSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@@H]1CCC(F)(F)C1)C1CC1 ZINC001690153689 1200423765 /nfs/dbraw/zinc/42/37/65/1200423765.db2.gz RWKXEWRXCPSPII-WCQYABFASA-N 0 1 320.811 3.049 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001754668385 1200429738 /nfs/dbraw/zinc/42/97/38/1200429738.db2.gz KLDYIUSQHBQIQA-DNVCBOLYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C2CC2)C1 ZINC001690169266 1200430756 /nfs/dbraw/zinc/43/07/56/1200430756.db2.gz WXRHXTYYTOMRFQ-FFXRNRBCSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)/C=C\c2ccco2)C1 ZINC001690182124 1200440439 /nfs/dbraw/zinc/44/04/39/1200440439.db2.gz NIRLZNUROHJWGT-LZNQSLFOSA-N 0 1 322.836 3.216 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccncc1 ZINC001690199760 1200452723 /nfs/dbraw/zinc/45/27/23/1200452723.db2.gz YKQZRTZUSWMFLL-HDICACEKSA-N 0 1 315.461 3.341 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001754734987 1200479813 /nfs/dbraw/zinc/47/98/13/1200479813.db2.gz QTGNICHISYOGAM-VQTJNVASSA-N 0 1 316.489 3.365 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C=C1CCC1 ZINC001754837921 1200514920 /nfs/dbraw/zinc/51/49/20/1200514920.db2.gz UHOPAZWSFDDUSU-UHFFFAOYSA-N 0 1 318.848 3.468 20 30 DGEDMN CCCCCC(=O)N1C[C@H]2CN(CC#Cc3ccccc3)C[C@H]2C1 ZINC001754841193 1200516402 /nfs/dbraw/zinc/51/64/02/1200516402.db2.gz MGHHBUVPVGLONX-BGYRXZFFSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001754930560 1200540551 /nfs/dbraw/zinc/54/05/51/1200540551.db2.gz KSTHEJGVJKKSSK-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)[C@@H](C)CC ZINC001754946730 1200549039 /nfs/dbraw/zinc/54/90/39/1200549039.db2.gz USNZEOMFXGUVGH-JKSUJKDBSA-N 0 1 300.446 3.050 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)cs1 ZINC001754946199 1200549195 /nfs/dbraw/zinc/54/91/95/1200549195.db2.gz ZGSIAUQYEWKHAJ-UHFFFAOYSA-N 0 1 312.438 3.052 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001690454325 1200567802 /nfs/dbraw/zinc/56/78/02/1200567802.db2.gz BROLPHVIHUCNPB-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)cc(F)c2)CCC1 ZINC001754986408 1200572482 /nfs/dbraw/zinc/57/24/82/1200572482.db2.gz DQLHLEKINDSLRF-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCCCN2CC=C)CCCCC1 ZINC001690461287 1200575101 /nfs/dbraw/zinc/57/51/01/1200575101.db2.gz QKOFBCQNLBIZNE-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)ccc2C)CCC1 ZINC001754992137 1200577672 /nfs/dbraw/zinc/57/76/72/1200577672.db2.gz QDSZBLHSOAIZRC-UHFFFAOYSA-N 0 1 306.837 3.298 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)CCC1 ZINC001754993288 1200578969 /nfs/dbraw/zinc/57/89/69/1200578969.db2.gz JPSKCQPXYPRRTH-NHAGDIPZSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@]1(C)CC=CCC1 ZINC001690470346 1200579176 /nfs/dbraw/zinc/57/91/76/1200579176.db2.gz ZISRBADKPPHHPP-DOTOQJQBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCCCn1c([C@@H](C)CC)nnc1N1CCN(CC)[C@H](C)C1 ZINC001690471279 1200579279 /nfs/dbraw/zinc/57/92/79/1200579279.db2.gz ZIGFLCNSDJNLPA-JKSUJKDBSA-N 0 1 319.497 3.288 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@H]1CNC/C(Cl)=C/Cl ZINC001690476095 1200579932 /nfs/dbraw/zinc/57/99/32/1200579932.db2.gz OTFXJMPGOPOABF-SUIFULHWSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@H]1CNC/C(Cl)=C\Cl ZINC001690476094 1200580733 /nfs/dbraw/zinc/58/07/33/1200580733.db2.gz OTFXJMPGOPOABF-SRXBQZRASA-N 0 1 319.276 3.488 20 30 DGEDMN C=C[C@H](C(=O)N(C)CCCN(C)C/C=C\Cl)c1ccccc1 ZINC001755047907 1200617391 /nfs/dbraw/zinc/61/73/91/1200617391.db2.gz UHNZPDWWAARDFV-UEOUDEGLSA-N 0 1 320.864 3.489 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2Cc3ccccc3CN2CC)CCC1 ZINC001755075659 1200629686 /nfs/dbraw/zinc/62/96/86/1200629686.db2.gz LFOOOVVUSXNTBY-GOSISDBHSA-N 0 1 312.457 3.296 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001690573316 1200632348 /nfs/dbraw/zinc/63/23/48/1200632348.db2.gz SIUXXNPXGIMOQC-OKFGHLOFSA-N 0 1 318.848 3.142 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](CC)CCC)cc2C1 ZINC001755101436 1200635438 /nfs/dbraw/zinc/63/54/38/1200635438.db2.gz FXKOUPBBSAYIEE-KRWDZBQOSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)COc1ccc(C(C)C)cc1 ZINC001690598436 1200641530 /nfs/dbraw/zinc/64/15/30/1200641530.db2.gz RVAOTLDPOUUBGD-AWEZNQCLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)COc1ccc(C(C)C)cc1 ZINC001690598437 1200642357 /nfs/dbraw/zinc/64/23/57/1200642357.db2.gz RVAOTLDPOUUBGD-CQSZACIVSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@@](C)(CC)CCC ZINC001690635368 1200662757 /nfs/dbraw/zinc/66/27/57/1200662757.db2.gz MEKZEQPBIZWPLH-JSGCOSHPSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)SC ZINC001755171917 1200678535 /nfs/dbraw/zinc/67/85/35/1200678535.db2.gz GUUKKYZCPJTVPB-YOEHRIQHSA-N 0 1 318.486 3.030 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)Cc1cccc(C2CC2)c1 ZINC001755197292 1200695189 /nfs/dbraw/zinc/69/51/89/1200695189.db2.gz WGPCAAWUGSAOPW-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cc(O)ccc1Cl ZINC001755249054 1200733314 /nfs/dbraw/zinc/73/33/14/1200733314.db2.gz NJOKATUHDJVBKB-RYUDHWBXSA-N 0 1 324.852 3.241 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1Cl ZINC001755254280 1200739143 /nfs/dbraw/zinc/73/91/43/1200739143.db2.gz OFVYFNDEDFAZFA-CHWSQXEVSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C)cc1Cl ZINC001755256401 1200741271 /nfs/dbraw/zinc/74/12/71/1200741271.db2.gz JMYHOWQLUFJQFY-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cncc2ccccc21 ZINC001755269367 1200751142 /nfs/dbraw/zinc/75/11/42/1200751142.db2.gz JKPOYOBPGPQLNK-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN CCCC(=O)NCCC[C@@H]1CCC[N@H+]1Cc1ccc(C#N)s1 ZINC001690882698 1200764289 /nfs/dbraw/zinc/76/42/89/1200764289.db2.gz GWCSCANEIHJZEJ-CQSZACIVSA-N 0 1 319.474 3.281 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1occc1-c1ccccc1 ZINC001755307702 1200788191 /nfs/dbraw/zinc/78/81/91/1200788191.db2.gz LMWXVPPDZYHDHD-OAHLLOKOSA-N 0 1 310.397 3.020 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)Cc1ccc(Cl)c(F)c1 ZINC001690936752 1200795271 /nfs/dbraw/zinc/79/52/71/1200795271.db2.gz NTWHUFFFRUSOOJ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCCc2ccccc21 ZINC001691787610 1200808926 /nfs/dbraw/zinc/80/89/26/1200808926.db2.gz QCRJCTRENJFSOT-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCc1ccccc1C)c1ccccc1 ZINC001691797319 1200812236 /nfs/dbraw/zinc/81/22/36/1200812236.db2.gz TWMOPPMIWVJHMJ-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H](F)C(C)C)CC(C)(C)C1 ZINC001691818776 1200822394 /nfs/dbraw/zinc/82/23/94/1200822394.db2.gz JUTCGNKTFTXTAA-ZIAGYGMSSA-N 0 1 318.864 3.340 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001755328473 1200822625 /nfs/dbraw/zinc/82/26/25/1200822625.db2.gz PQHVONJMYBBTER-INIZCTEOSA-N 0 1 310.441 3.327 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2cccs2)C1 ZINC001691860865 1200842618 /nfs/dbraw/zinc/84/26/18/1200842618.db2.gz MNCUHTHXQUTAQT-HUUCEWRRSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001691864684 1200844612 /nfs/dbraw/zinc/84/46/12/1200844612.db2.gz RMCPMZWZDMNSGB-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)C1 ZINC001691864489 1200845105 /nfs/dbraw/zinc/84/51/05/1200845105.db2.gz NGOXZGJCHTURLL-OJAHFUOMSA-N 0 1 324.468 3.071 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001691864504 1200845209 /nfs/dbraw/zinc/84/52/09/1200845209.db2.gz NUVSJPCHZPXOKU-FGTMMUONSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@]1(C)CC=CCC1 ZINC001755363231 1200848113 /nfs/dbraw/zinc/84/81/13/1200848113.db2.gz FAZPKKIOKUCYFX-IEBWSBKVSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001755372943 1200862089 /nfs/dbraw/zinc/86/20/89/1200862089.db2.gz HEJMAGDSCKAAEJ-GJZGRUSLSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCCC(=O)N1CC[C@@]2(C1)CN(CCCCCCC)CCO2 ZINC001755401883 1200875445 /nfs/dbraw/zinc/87/54/45/1200875445.db2.gz WKSPAOSOIVIYEE-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)OC2CCCCCC2)C(C)(C)C1 ZINC001755413682 1200887415 /nfs/dbraw/zinc/88/74/15/1200887415.db2.gz HLUPFEWZWBFURZ-DOTOQJQBSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)OC2CCCCCC2)C(C)(C)C1 ZINC001755413685 1200887799 /nfs/dbraw/zinc/88/77/99/1200887799.db2.gz HLUPFEWZWBFURZ-WBVHZDCISA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(F)c(C)c2)C1 ZINC001691975511 1200894596 /nfs/dbraw/zinc/89/45/96/1200894596.db2.gz IKJNSUTWVHQQIB-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2CCC=CCC2)C1 ZINC001691995049 1200902046 /nfs/dbraw/zinc/90/20/46/1200902046.db2.gz RBINFDCACRXZFE-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cc(C)[nH]c1C ZINC001692087790 1200927470 /nfs/dbraw/zinc/92/74/70/1200927470.db2.gz QFPUKSCJYNCBKV-ABAIWWIYSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(CC)cc1 ZINC001755571181 1200960017 /nfs/dbraw/zinc/96/00/17/1200960017.db2.gz CPQJJODZAAQOOO-KRWDZBQOSA-N 0 1 320.864 3.442 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001692194693 1200963570 /nfs/dbraw/zinc/96/35/70/1200963570.db2.gz ZEKQJXWTEMZLQO-UTBMNDGSSA-N 0 1 323.440 3.160 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2csc(C)c2)[C@@H]1C ZINC001692191304 1200964862 /nfs/dbraw/zinc/96/48/62/1200964862.db2.gz PKXRREBBEAXIKU-HIFRSBDPSA-N 0 1 306.475 3.348 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H]1CC ZINC001692201284 1200968136 /nfs/dbraw/zinc/96/81/36/1200968136.db2.gz BHTNUDRTPKWEJA-JKSUJKDBSA-N 0 1 321.490 3.277 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC)CC2CCCC2)[C@H]1CC ZINC001692209199 1200970680 /nfs/dbraw/zinc/97/06/80/1200970680.db2.gz NLVQJJIZRZSUFZ-OKZBNKHCSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001692258656 1200991620 /nfs/dbraw/zinc/99/16/20/1200991620.db2.gz YOEIGMLXHDOWDE-SJKOYZFVSA-N 0 1 318.848 3.135 20 30 DGEDMN C=C[C@H](CC(=O)NC1CN(CC2CC(C)C2)C1)c1ccccc1 ZINC001692266509 1200996199 /nfs/dbraw/zinc/99/61/99/1200996199.db2.gz JZKDGOOHRPXGGL-OFLPRAFFSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@H]2CCc3ccc(Cl)cc32)C1 ZINC001692287952 1201006747 /nfs/dbraw/zinc/00/67/47/1201006747.db2.gz DPSNTXCWXWXSEM-KRWDZBQOSA-N 0 1 318.848 3.342 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C[C@H]1C ZINC001755672667 1201008389 /nfs/dbraw/zinc/00/83/89/1201008389.db2.gz KWPDRVDLFNHYGC-DOMZBBRYSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cccc(F)c2)[C@H]1C ZINC001755714278 1201033266 /nfs/dbraw/zinc/03/32/66/1201033266.db2.gz KHUIVVLUGNYWEB-YOEHRIQHSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(OC)cc2)[C@@H]1C ZINC001755713521 1201033641 /nfs/dbraw/zinc/03/36/41/1201033641.db2.gz ACJNWOVONRNNLW-QAPCUYQASA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCC1(C(=O)NCC2CN(Cc3ccccc3)C2)CCCCC1 ZINC001692352449 1201033764 /nfs/dbraw/zinc/03/37/64/1201033764.db2.gz ZNPLNAUQBUAVLZ-UHFFFAOYSA-N 0 1 324.468 3.208 20 30 DGEDMN Cc1ccc(C)c([C@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)c1 ZINC001692364457 1201038787 /nfs/dbraw/zinc/03/87/87/1201038787.db2.gz BPPAZSBVJBBPBS-FHWLQOOXSA-N 0 1 324.468 3.309 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc2c(c1)CCC2 ZINC001692375475 1201043258 /nfs/dbraw/zinc/04/32/58/1201043258.db2.gz YGFOYCLHSGEFOX-PMACEKPBSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCCc1ccc(C)s1 ZINC001692386270 1201049004 /nfs/dbraw/zinc/04/90/04/1201049004.db2.gz JVQPQWUZOWDYIG-HOTGVXAUSA-N 0 1 318.486 3.240 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)[C@@H]1C ZINC001755732150 1201052983 /nfs/dbraw/zinc/05/29/83/1201052983.db2.gz SDNIHZVPZJZTBA-WBVHZDCISA-N 0 1 318.486 3.329 20 30 DGEDMN CC[C@@H](C)C(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001755809635 1201071710 /nfs/dbraw/zinc/07/17/10/1201071710.db2.gz SQPPTDXDGBVVJM-MRXNPFEDSA-N 0 1 315.461 3.273 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCc1ccc(C)cc1)C(C)C ZINC001755811723 1201075512 /nfs/dbraw/zinc/07/55/12/1201075512.db2.gz FUFJDVHQDBTHCR-UHFFFAOYSA-N 0 1 314.473 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccsc1)C(C)(C)C ZINC001755817202 1201083059 /nfs/dbraw/zinc/08/30/59/1201083059.db2.gz YQGBHDRPTNFEIN-ZDUSSCGKSA-N 0 1 314.882 3.164 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1oc2ccccc2c1C)C(C)C ZINC001755817099 1201083840 /nfs/dbraw/zinc/08/38/40/1201083840.db2.gz CRHGNQWPHFQVEQ-UHFFFAOYSA-N 0 1 312.413 3.157 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NCc2nc(C)sc2C)C1 ZINC001755857814 1201110059 /nfs/dbraw/zinc/11/00/59/1201110059.db2.gz CYXLTVRUSZUWBJ-UHFFFAOYSA-N 0 1 321.490 3.195 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CC(C)(C)CC)c(F)c1 ZINC001755901552 1201126948 /nfs/dbraw/zinc/12/69/48/1201126948.db2.gz VWPARXWDPQJPCC-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001698557184 1201228418 /nfs/dbraw/zinc/22/84/18/1201228418.db2.gz HYYFMMDIIDTJLR-INIZCTEOSA-N 0 1 304.409 3.133 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001698565209 1201234358 /nfs/dbraw/zinc/23/43/58/1201234358.db2.gz VKUJSKOKQXYZKZ-YJBOKZPZSA-N 0 1 316.420 3.223 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001698600967 1201272759 /nfs/dbraw/zinc/27/27/59/1201272759.db2.gz OVYLQNUCUWOGDW-KRWDZBQOSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CCCC(=O)NC1(CNC/C(Cl)=C/Cl)CCCC1 ZINC001698628057 1201295874 /nfs/dbraw/zinc/29/58/74/1201295874.db2.gz WEWQZHSDMHYREK-XFXZXTDPSA-N 0 1 305.249 3.290 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001698843473 1201497152 /nfs/dbraw/zinc/49/71/52/1201497152.db2.gz PDZYNOUKGIUOHY-YVEFUNNKSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1ccc(Cl)cc1 ZINC001698853914 1201511153 /nfs/dbraw/zinc/51/11/53/1201511153.db2.gz BRUZCCWCYPQAGI-GFCCVEGCSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)C)C1CCCCC1 ZINC001698883805 1201548237 /nfs/dbraw/zinc/54/82/37/1201548237.db2.gz FYFMDWNEYPSZIX-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C/C(C)(C)C)CC[C@@H]21 ZINC001699006558 1201677119 /nfs/dbraw/zinc/67/71/19/1201677119.db2.gz CXXWYBLZULFNBH-ZRJDUIELSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNC/C(Cl)=C/Cl ZINC001699132349 1201722548 /nfs/dbraw/zinc/72/25/48/1201722548.db2.gz ZMTXYIFEVMZXAQ-IINBJESKSA-N 0 1 307.265 3.392 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](c2ccccc2)C(C)C)[C@H]1C ZINC001750931137 1201728079 /nfs/dbraw/zinc/72/80/79/1201728079.db2.gz KXNRWQUDHNZNRD-QRQLOZEOSA-N 0 1 312.457 3.029 20 30 DGEDMN O=C(CC1CCCCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001699227657 1201762362 /nfs/dbraw/zinc/76/23/62/1201762362.db2.gz PADWDUCMUWSXDU-FPLPWBNLSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(C(F)F)c1 ZINC001699229397 1201763844 /nfs/dbraw/zinc/76/38/44/1201763844.db2.gz UYIOMQPIHQJNTH-UHFFFAOYSA-N 0 1 316.779 3.428 20 30 DGEDMN CCC(C)(C)C(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001752054052 1201770666 /nfs/dbraw/zinc/77/06/66/1201770666.db2.gz LBUHPMFCZLYTSM-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001752085160 1201773329 /nfs/dbraw/zinc/77/33/29/1201773329.db2.gz QEVOCBRRPCIYTP-CVEARBPZSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C/C=C\c1ccc(F)cc1 ZINC001699275969 1201776197 /nfs/dbraw/zinc/77/61/97/1201776197.db2.gz VYQWYWAZTBMDHQ-LDIADDGTSA-N 0 1 322.811 3.244 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001752299279 1201824662 /nfs/dbraw/zinc/82/46/62/1201824662.db2.gz BHRADKCRMCSTIT-ZCXUNETKSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c(C)cccc1Cl ZINC001699418615 1201851999 /nfs/dbraw/zinc/85/19/99/1201851999.db2.gz CGZBHHNZZGTMBO-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1ccccc1)C1CC1 ZINC001752490561 1201853388 /nfs/dbraw/zinc/85/33/88/1201853388.db2.gz KPIGKCVFXCAQDG-CZUORRHYSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC(C)(C)c1ccccc1 ZINC001699432984 1201863635 /nfs/dbraw/zinc/86/36/35/1201863635.db2.gz IRKGWETXORERJS-AWEZNQCLSA-N 0 1 308.853 3.201 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(F)F ZINC001752524727 1201869259 /nfs/dbraw/zinc/86/92/59/1201869259.db2.gz HPQKYIWWDPDGAU-OKILXGFUSA-N 0 1 300.393 3.063 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001752650079 1201885381 /nfs/dbraw/zinc/88/53/81/1201885381.db2.gz CRWUILKXDOCWGL-HDJSIYSDSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@H]1CCCCN1CC#CC)c1ccccc1 ZINC001752824579 1201953811 /nfs/dbraw/zinc/95/38/11/1201953811.db2.gz AFAUJKJACFCNTR-AZUAARDMSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1Cl)C1CC1 ZINC001699601644 1201969124 /nfs/dbraw/zinc/96/91/24/1201969124.db2.gz ZMSCYSTWGMSZQO-CQSZACIVSA-N 0 1 313.228 3.191 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001699621636 1201984007 /nfs/dbraw/zinc/98/40/07/1201984007.db2.gz LFODVSJDZXDFJV-MRXNPFEDSA-N 0 1 320.502 3.474 20 30 DGEDMN C[C@H](CNC(=O)CC1(C)CCCC1)NCc1ccccc1C#N ZINC001752870944 1201986235 /nfs/dbraw/zinc/98/62/35/1201986235.db2.gz QQRPCBICSADYNW-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CCc1ccc(CC)cc1 ZINC001699625480 1201987590 /nfs/dbraw/zinc/98/75/90/1201987590.db2.gz DNDSEFUGCFLHNM-GOSISDBHSA-N 0 1 314.473 3.194 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001699634266 1201997530 /nfs/dbraw/zinc/99/75/30/1201997530.db2.gz UHGDSGSGFATBES-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN CC[C@H](C)CCC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001752908087 1202006771 /nfs/dbraw/zinc/00/67/71/1202006771.db2.gz CYNYFWBFSLGWOT-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](CC)CC(F)F ZINC001699752825 1202065389 /nfs/dbraw/zinc/06/53/89/1202065389.db2.gz HLCMQTGPWNXUCM-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001699690608 1202036173 /nfs/dbraw/zinc/03/61/73/1202036173.db2.gz GRQQUDXIJYRFKC-VVLHAWIVSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2ncccc2C)C1 ZINC001752996854 1202046552 /nfs/dbraw/zinc/04/65/52/1202046552.db2.gz PLPGLWYILBQCGQ-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001699712853 1202047005 /nfs/dbraw/zinc/04/70/05/1202047005.db2.gz ABMMVYJRWMMFSE-UIBIWLFHSA-N 0 1 302.462 3.086 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@H]2CCCC2(F)F)C1 ZINC001699717801 1202049541 /nfs/dbraw/zinc/04/95/41/1202049541.db2.gz KYZWHCIJODEEKZ-ZIAGYGMSSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C(C)(C)CC(F)(F)F)C1 ZINC001699720832 1202051312 /nfs/dbraw/zinc/05/13/12/1202051312.db2.gz MXEKGOPXHDILNG-LBPRGKRZSA-N 0 1 320.399 3.462 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001699723576 1202054883 /nfs/dbraw/zinc/05/48/83/1202054883.db2.gz XIWQDUILWRWBQR-MRXNPFEDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc(C(C)C)n1 ZINC001699753709 1202065726 /nfs/dbraw/zinc/06/57/26/1202065726.db2.gz OZDXASKHLLDMNA-AWEZNQCLSA-N 0 1 321.852 3.152 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1cccc(F)c1 ZINC001699766708 1202071439 /nfs/dbraw/zinc/07/14/39/1202071439.db2.gz HSSHYXAEQUKPTP-BBRMVZONSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2ccccn2)CC1 ZINC001699770809 1202073549 /nfs/dbraw/zinc/07/35/49/1202073549.db2.gz MXMYLJXJYDGGER-UHFFFAOYSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN(C(=O)/C(C)=C\CC)C1CCN(C/C=C\Cl)CC1 ZINC001699777576 1202076821 /nfs/dbraw/zinc/07/68/21/1202076821.db2.gz SLORJWIKAHXTFD-GGIBAGKLSA-N 0 1 308.853 3.021 20 30 DGEDMN C#CCN(C(=O)CC(CC)CC)C1CCN(C/C=C/Cl)CC1 ZINC001699785434 1202077959 /nfs/dbraw/zinc/07/79/59/1202077959.db2.gz OIZUXSLXQHGOFN-JXMROGBWSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)C1CC1)C1CCCC1 ZINC001699798230 1202082771 /nfs/dbraw/zinc/08/27/71/1202082771.db2.gz DMOSMTCEMVRIRZ-LRDDRELGSA-N 0 1 312.885 3.440 20 30 DGEDMN CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001699810737 1202089353 /nfs/dbraw/zinc/08/93/53/1202089353.db2.gz QVLHBTCMGLZTFB-LJQANCHMSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCCCC(=O)N[C@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC001699826919 1202101442 /nfs/dbraw/zinc/10/14/42/1202101442.db2.gz ZCXHRQYFIMYHJE-LJQANCHMSA-N 0 1 316.420 3.100 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc(C)c(CC)s2)C1 ZINC001699878848 1202133614 /nfs/dbraw/zinc/13/36/14/1202133614.db2.gz CRAXPUXGBWIRFR-SFHVURJKSA-N 0 1 318.486 3.084 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001699878755 1202134181 /nfs/dbraw/zinc/13/41/81/1202134181.db2.gz ASWVYKUAINYUQV-YLJYHZDGSA-N 0 1 318.505 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](CC)CC(C)C)C1 ZINC001699888750 1202136197 /nfs/dbraw/zinc/13/61/97/1202136197.db2.gz UKGVSHXYMSYSBQ-CABCVRRESA-N 0 1 316.436 3.318 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)CC(C)(C)c1ccc(F)cc1 ZINC001753106856 1202137659 /nfs/dbraw/zinc/13/76/59/1202137659.db2.gz UFWQKQMBQBMIOP-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1C/C=C\c1ccccc1 ZINC001753109849 1202139760 /nfs/dbraw/zinc/13/97/60/1202139760.db2.gz UYZBKYFGABSFNU-QCSXQHJISA-N 0 1 312.457 3.493 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001753111374 1202140647 /nfs/dbraw/zinc/14/06/47/1202140647.db2.gz HPXKVEXGGIRGQU-PKOBYXMFSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCN(CCNC(=O)C=C1CCC1)Cc1ccc(C#N)s1 ZINC001753144286 1202163222 /nfs/dbraw/zinc/16/32/22/1202163222.db2.gz QUDPDYPJKFUUJR-UHFFFAOYSA-N 0 1 317.458 3.058 20 30 DGEDMN CCCN(CC#Cc1ccc(F)cc1)CCNC(=O)C(C)(C)C ZINC001753149366 1202167196 /nfs/dbraw/zinc/16/71/96/1202167196.db2.gz XYIQHTFSUSBEFX-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN CC#CCCCC(=O)NCCN(Cc1csc(C)c1)C1CC1 ZINC001753159571 1202175586 /nfs/dbraw/zinc/17/55/86/1202175586.db2.gz NEUWDNIKNDNZQO-UHFFFAOYSA-N 0 1 318.486 3.331 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC3CC(C)(C)C3)cccc2C1 ZINC001700122794 1202183909 /nfs/dbraw/zinc/18/39/09/1202183909.db2.gz IUAXFPURZVULOI-UHFFFAOYSA-N 0 1 324.468 3.120 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)N(C)CCNCc1ccccc1C#N ZINC001753251743 1202190088 /nfs/dbraw/zinc/19/00/88/1202190088.db2.gz YEQBWLAAJYSIGL-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cc(C)ns2)CC1 ZINC001700176645 1202196793 /nfs/dbraw/zinc/19/67/93/1202196793.db2.gz LJGKNUOVBXBDGQ-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CCCC12CC2)c1ccccc1 ZINC001753300667 1202200022 /nfs/dbraw/zinc/20/00/22/1202200022.db2.gz YDAPHZLCISFMHZ-MSOLQXFVSA-N 0 1 310.441 3.037 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2cc(C)cs2)C1 ZINC001753315619 1202205833 /nfs/dbraw/zinc/20/58/33/1202205833.db2.gz BXELCUCLQCCJKM-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1ccc(Cl)nc1 ZINC001700195377 1202207796 /nfs/dbraw/zinc/20/77/96/1202207796.db2.gz FORCRIUOILSXEA-UHFFFAOYSA-N 0 1 323.868 3.372 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccc(Cl)cn2)C1 ZINC001753319994 1202208699 /nfs/dbraw/zinc/20/86/99/1202208699.db2.gz REBCQPZDDKGNJR-HNNXBMFYSA-N 0 1 321.852 3.238 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)c2ccco2)C1 ZINC001753320239 1202209092 /nfs/dbraw/zinc/20/90/92/1202209092.db2.gz WMXFCYKYJJUETJ-SJORKVTESA-N 0 1 316.445 3.109 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)C(C)(C)CC)O2 ZINC001700204733 1202210668 /nfs/dbraw/zinc/21/06/68/1202210668.db2.gz HTAWTVNKPFSXES-HOTGVXAUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CCC(F)F)C2 ZINC001700226024 1202215744 /nfs/dbraw/zinc/21/57/44/1202215744.db2.gz HVALFKZYFFLMRT-OAHLLOKOSA-N 0 1 320.811 3.099 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C(C)(C)C(F)F)cc2C1 ZINC001700252751 1202219022 /nfs/dbraw/zinc/21/90/22/1202219022.db2.gz WEKJUGUXGNMGQQ-UHFFFAOYSA-N 0 1 322.399 3.486 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ccccn2)CC1(C)C ZINC001700292040 1202228766 /nfs/dbraw/zinc/22/87/66/1202228766.db2.gz MFFIEYQFTXSSTR-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](CCCC)C(C)C)C(C)(C)C1 ZINC001700294036 1202229595 /nfs/dbraw/zinc/22/95/95/1202229595.db2.gz MSVOWFZNDMHYFC-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001753410646 1202244914 /nfs/dbraw/zinc/24/49/14/1202244914.db2.gz LJNBGAOFVKNWKL-OAHLLOKOSA-N 0 1 318.436 3.019 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc2c(c1)CCC2 ZINC001753421632 1202247723 /nfs/dbraw/zinc/24/77/23/1202247723.db2.gz QEYWHQMKSUVBAY-PMACEKPBSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc(Cl)c(F)c1 ZINC001700359545 1202248154 /nfs/dbraw/zinc/24/81/54/1202248154.db2.gz PCIDUDPDIAIQBE-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1c(C)[nH]c2ccccc21 ZINC001700358688 1202248560 /nfs/dbraw/zinc/24/85/60/1202248560.db2.gz YAPXJUOBOUHTHZ-MRXNPFEDSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c(C)[nH]c2ccccc21 ZINC001700358688 1202248562 /nfs/dbraw/zinc/24/85/62/1202248562.db2.gz YAPXJUOBOUHTHZ-MRXNPFEDSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(CC)cc1 ZINC001753424104 1202248915 /nfs/dbraw/zinc/24/89/15/1202248915.db2.gz LCLDRDFVJYHXGA-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CC[C@@H](C)F)CCCC1 ZINC001753427209 1202250124 /nfs/dbraw/zinc/25/01/24/1202250124.db2.gz FGTNKMZIMZLSHO-PMPSAXMXSA-N 0 1 308.441 3.156 20 30 DGEDMN CN(C(=O)CC(C)(C)C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001753430906 1202251662 /nfs/dbraw/zinc/25/16/62/1202251662.db2.gz VWGHPKJKZOYKOQ-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN C#CCC1(C(=O)N(C)[C@H]2CCN(C[C@@H](F)CC)C2)CCCCC1 ZINC001753449330 1202259413 /nfs/dbraw/zinc/25/94/13/1202259413.db2.gz FDKPSTKGEYKXNK-IRXDYDNUSA-N 0 1 322.468 3.241 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001700413037 1202266205 /nfs/dbraw/zinc/26/62/05/1202266205.db2.gz UFSHXEJFKZAASD-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)c(CC)s1 ZINC001700428958 1202270228 /nfs/dbraw/zinc/27/02/28/1202270228.db2.gz BLUXNTJXZJYJCC-VXGBXAGGSA-N 0 1 314.882 3.468 20 30 DGEDMN C=CCCC(=O)N(C)C1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001700433322 1202271554 /nfs/dbraw/zinc/27/15/54/1202271554.db2.gz XHHIBRBLGREUJZ-FHERZECASA-N 0 1 319.449 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1ccccc1Cl ZINC001753493891 1202274736 /nfs/dbraw/zinc/27/47/36/1202274736.db2.gz SPTRVLKKXWKEQA-ZDUSSCGKSA-N 0 1 315.244 3.119 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2occc2C)cc1 ZINC001753598075 1202296946 /nfs/dbraw/zinc/29/69/46/1202296946.db2.gz NMYTXWJSBQLAJA-UHFFFAOYSA-N 0 1 318.804 3.360 20 30 DGEDMN CCCC[C@@H](CNCc1ccccc1C#N)NC(=O)C(C)(C)F ZINC001700517725 1202301607 /nfs/dbraw/zinc/30/16/07/1202301607.db2.gz SZLTVXHGCZRGAM-INIZCTEOSA-N 0 1 319.424 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001700519674 1202301999 /nfs/dbraw/zinc/30/19/99/1202301999.db2.gz XXNCFDPOMXUELS-QWHCGFSZSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001700519675 1202302115 /nfs/dbraw/zinc/30/21/15/1202302115.db2.gz XXNCFDPOMXUELS-STQMWFEESA-N 0 1 322.827 3.439 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001700547294 1202311199 /nfs/dbraw/zinc/31/11/99/1202311199.db2.gz CLKQAXVGMKSJDM-CQSZACIVSA-N 0 1 318.436 3.283 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C(CC(C)C)CC(C)C)C(C)(C)C1 ZINC001700577175 1202318669 /nfs/dbraw/zinc/31/86/69/1202318669.db2.gz WAITVYLZXGEACA-QGZVFWFLSA-N 0 1 306.494 3.155 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC001700619728 1202324032 /nfs/dbraw/zinc/32/40/32/1202324032.db2.gz NUHFKEQVGNJOPV-KRWDZBQOSA-N 0 1 322.468 3.243 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)SC)C1CCCCC1 ZINC001753745125 1202327486 /nfs/dbraw/zinc/32/74/86/1202327486.db2.gz SQXFTIYJAPLXJN-TZMCWYRMSA-N 0 1 318.914 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001753833435 1202343976 /nfs/dbraw/zinc/34/39/76/1202343976.db2.gz DSTNYZTZRYYZMA-QGZVFWFLSA-N 0 1 313.445 3.001 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001700760404 1202349835 /nfs/dbraw/zinc/34/98/35/1202349835.db2.gz PYWRVIBSDOEWJI-HNNXBMFYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001700846709 1202358598 /nfs/dbraw/zinc/35/85/98/1202358598.db2.gz QADNLPPLMHETBT-NEPJUHHUSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1cc(C2CC2)no1)C(C)(C)C ZINC001700983900 1202376356 /nfs/dbraw/zinc/37/63/56/1202376356.db2.gz LNBBSMIKSRDUOA-INIZCTEOSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001700982898 1202376527 /nfs/dbraw/zinc/37/65/27/1202376527.db2.gz DODNBRSCDMVKSY-ZDUSSCGKSA-N 0 1 309.479 3.038 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C(C)(CC)CC)c(F)c1 ZINC001701065299 1202386766 /nfs/dbraw/zinc/38/67/66/1202386766.db2.gz WZXMMTUZXGKRRM-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1oncc1C ZINC001707052497 1202569901 /nfs/dbraw/zinc/56/99/01/1202569901.db2.gz KMWAYUPCKUONDZ-HNNXBMFYSA-N 0 1 307.438 3.100 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H]1CCN1CC1CC(F)(F)C1 ZINC001707051663 1202569907 /nfs/dbraw/zinc/56/99/07/1202569907.db2.gz HGURAUNTPVDYIM-CQSZACIVSA-N 0 1 314.420 3.167 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001707053296 1202570016 /nfs/dbraw/zinc/57/00/16/1202570016.db2.gz XGSJUTKTTYVNHR-SFHVURJKSA-N 0 1 314.473 3.494 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](CC)c1ccc(F)cc1 ZINC001707063870 1202571152 /nfs/dbraw/zinc/57/11/52/1202571152.db2.gz RTHXCIIEFIUMPL-QZTJIDSGSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C#CC(C)C)C2)CC1 ZINC001707125949 1202573977 /nfs/dbraw/zinc/57/39/77/1202573977.db2.gz UHSGZHAERVEFEA-INIZCTEOSA-N 0 1 322.880 3.101 20 30 DGEDMN CCN(CCCNC(=O)C#CC1CC1)Cc1ccccc1Cl ZINC001707451903 1202585974 /nfs/dbraw/zinc/58/59/74/1202585974.db2.gz LGTZDPIQQDPICI-UHFFFAOYSA-N 0 1 318.848 3.082 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(F)s1 ZINC001707469547 1202587154 /nfs/dbraw/zinc/58/71/54/1202587154.db2.gz DZEMEDLTXIVWTQ-UHFFFAOYSA-N 0 1 304.818 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1ccccc1F ZINC001712962892 1202606459 /nfs/dbraw/zinc/60/64/59/1202606459.db2.gz GJULYHWNTYRSJP-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C(C)(C)C(F)(F)F ZINC001713027632 1202611283 /nfs/dbraw/zinc/61/12/83/1202611283.db2.gz AXEFCXUQOORNMX-UHFFFAOYSA-N 0 1 314.779 3.156 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)cc1C ZINC001713029254 1202611449 /nfs/dbraw/zinc/61/14/49/1202611449.db2.gz VLRDPHGERLPJQO-UHFFFAOYSA-N 0 1 308.853 3.498 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001713062697 1202614326 /nfs/dbraw/zinc/61/43/26/1202614326.db2.gz LDVPZEQPDGVIMO-MSOLQXFVSA-N 0 1 304.478 3.363 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCCCC1(C)C)C1CC1 ZINC001713126118 1202619380 /nfs/dbraw/zinc/61/93/80/1202619380.db2.gz AVRPWRQOKKNFSO-GJZGRUSLSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)CCC)C1 ZINC001713128375 1202619719 /nfs/dbraw/zinc/61/97/19/1202619719.db2.gz HNHMAUPNGUXYHM-UKRRQHHQSA-N 0 1 300.874 3.346 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CCCCC ZINC001713145092 1202621847 /nfs/dbraw/zinc/62/18/47/1202621847.db2.gz NEGRAGYBHPXLAB-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001713258930 1202632760 /nfs/dbraw/zinc/63/27/60/1202632760.db2.gz IMPYBNHYLGIUFT-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2ccns2)C1 ZINC001713277627 1202634549 /nfs/dbraw/zinc/63/45/49/1202634549.db2.gz KYYUECZILLJHEU-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001713300992 1202637270 /nfs/dbraw/zinc/63/72/70/1202637270.db2.gz VOOOERKOXVRSDL-XHDPSFHLSA-N 0 1 308.372 3.013 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001713328285 1202639417 /nfs/dbraw/zinc/63/94/17/1202639417.db2.gz WEEHXXVWBUJRSH-AWEZNQCLSA-N 0 1 320.864 3.343 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001713448906 1202651133 /nfs/dbraw/zinc/65/11/33/1202651133.db2.gz JOVFLVOBLLNASG-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001713454887 1202652252 /nfs/dbraw/zinc/65/22/52/1202652252.db2.gz CXGSFBAXMXZWJL-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2ccc(F)c(Cl)c2)C1 ZINC001713466223 1202654058 /nfs/dbraw/zinc/65/40/58/1202654058.db2.gz UCOROCMFAMVWJW-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001713513031 1202662921 /nfs/dbraw/zinc/66/29/21/1202662921.db2.gz XJLKWTAUMPHUNU-INIZCTEOSA-N 0 1 304.459 3.022 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1coc2c1cccc2C ZINC001713599846 1202673079 /nfs/dbraw/zinc/67/30/79/1202673079.db2.gz PQSIKAYUHKUJAW-UHFFFAOYSA-N 0 1 306.793 3.155 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CC[C@@H]1c1ccc(F)cc1 ZINC001713618207 1202673456 /nfs/dbraw/zinc/67/34/56/1202673456.db2.gz QDMRKBONCLFAJS-CVEARBPZSA-N 0 1 324.827 3.120 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001713960535 1202706844 /nfs/dbraw/zinc/70/68/44/1202706844.db2.gz JFHLSHMBNPCSKX-SSMPXESZSA-N 0 1 305.249 3.145 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@]1(C)CC=C(C)CC1)c1ccccc1 ZINC001713703438 1202678971 /nfs/dbraw/zinc/67/89/71/1202678971.db2.gz CRIQYPRPPJIDOF-AZUAARDMSA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C(C)C)no2)C1 ZINC001713719905 1202681440 /nfs/dbraw/zinc/68/14/40/1202681440.db2.gz KFYCKDVSNVEDIS-OAHLLOKOSA-N 0 1 319.449 3.301 20 30 DGEDMN C=C[C@H](C(=O)N(CCC)[C@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001713721948 1202681874 /nfs/dbraw/zinc/68/18/74/1202681874.db2.gz BNSQTYSTADXTPS-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C[C@@H](C(=O)N(CCC)[C@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001713721950 1202682045 /nfs/dbraw/zinc/68/20/45/1202682045.db2.gz BNSQTYSTADXTPS-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(C)CC=C(Cl)Cl)CC1 ZINC001713761829 1202687828 /nfs/dbraw/zinc/68/78/28/1202687828.db2.gz SWNNAZSBWCFVGH-UHFFFAOYSA-N 0 1 319.276 3.442 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001713833046 1202694009 /nfs/dbraw/zinc/69/40/09/1202694009.db2.gz TVLWZLXLCLDLNH-CQSZACIVSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001713836064 1202694236 /nfs/dbraw/zinc/69/42/36/1202694236.db2.gz PPLHHYWTSWBKKU-HZPDHXFCSA-N 0 1 322.493 3.269 20 30 DGEDMN C=CCCCC(=O)NC1CN(CC[C@H]2CCc3ccccc32)C1 ZINC001713836714 1202694612 /nfs/dbraw/zinc/69/46/12/1202694612.db2.gz POPRLICSLCFXJD-QGZVFWFLSA-N 0 1 312.457 3.263 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001713839034 1202694890 /nfs/dbraw/zinc/69/48/90/1202694890.db2.gz LOZDECMOCZSEPD-IBGZPJMESA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001713855931 1202696197 /nfs/dbraw/zinc/69/61/97/1202696197.db2.gz DCZWKQNMEULPJV-HNNXBMFYSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001713856478 1202696205 /nfs/dbraw/zinc/69/62/05/1202696205.db2.gz YZLZHXXAZDROCN-OLZOCXBDSA-N 0 1 322.399 3.223 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCCC[C@H]2C)CC1 ZINC001713857410 1202696311 /nfs/dbraw/zinc/69/63/11/1202696311.db2.gz UBIGUNJIPMEXNF-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC(C)(C)c2ccccc2)C1 ZINC001713873362 1202697653 /nfs/dbraw/zinc/69/76/53/1202697653.db2.gz KZTUVZXIJRAEOD-UHFFFAOYSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1ccccc1)C1CC1 ZINC001713881712 1202698480 /nfs/dbraw/zinc/69/84/80/1202698480.db2.gz KPIGKCVFXCAQDG-BBRMVZONSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001714012846 1202727580 /nfs/dbraw/zinc/72/75/80/1202727580.db2.gz AJOLPKXRJAEDQT-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCC2CCCCC2)C1 ZINC001714053336 1202753662 /nfs/dbraw/zinc/75/36/62/1202753662.db2.gz JVSNYBGNYQLWJT-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001714080029 1202764571 /nfs/dbraw/zinc/76/45/71/1202764571.db2.gz LMPGRPWZOQBTKV-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C1(c2cccc(C)c2)CCC1 ZINC001714108571 1202780935 /nfs/dbraw/zinc/78/09/35/1202780935.db2.gz AQQBMVCZLZIMDX-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C[C@H]1CC=CCC1 ZINC001714133050 1202794794 /nfs/dbraw/zinc/79/47/94/1202794794.db2.gz PKPBTJYIQWVCQK-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001714147228 1202802719 /nfs/dbraw/zinc/80/27/19/1202802719.db2.gz HHRJHXMGIUVUPP-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C[C@H](CNC(=O)C1CCCCCC1)NCC#Cc1ccccc1 ZINC001714215746 1202835411 /nfs/dbraw/zinc/83/54/11/1202835411.db2.gz VYIJKKKOPATZRA-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](CCNCc2cscn2)C1 ZINC001714220455 1202836978 /nfs/dbraw/zinc/83/69/78/1202836978.db2.gz BTGPRIKBISNOOC-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1cc(F)ccc1OC ZINC001714269034 1202856989 /nfs/dbraw/zinc/85/69/89/1202856989.db2.gz CJYAFARCYKKPHW-FZKQIMNGSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc2ccccc21 ZINC001714298701 1202870106 /nfs/dbraw/zinc/87/01/06/1202870106.db2.gz CYCYSIQYODJERE-CYBMUJFWSA-N 0 1 302.805 3.300 20 30 DGEDMN C[C@@H](CNC(=O)C12CCC(CC1)CC2)NCC#Cc1ccccc1 ZINC001714304503 1202872658 /nfs/dbraw/zinc/87/26/58/1202872658.db2.gz OSCJWJZZMJYOKI-OCDPCBSRSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001714307294 1202874216 /nfs/dbraw/zinc/87/42/16/1202874216.db2.gz WANROJBPNFFRNG-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C(C)(C)CC(C)C ZINC001714353808 1202893324 /nfs/dbraw/zinc/89/33/24/1202893324.db2.gz TUKQQYGEWNNXJF-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1cscn1 ZINC001714522259 1202977085 /nfs/dbraw/zinc/97/70/85/1202977085.db2.gz NSKWTLRSDJDHJW-OAHLLOKOSA-N 0 1 321.490 3.216 20 30 DGEDMN Cc1ccc(C)c(C(=O)NCCN(C)Cc2ccc(C#N)cc2)c1 ZINC001714569306 1203011446 /nfs/dbraw/zinc/01/14/46/1203011446.db2.gz AHHLLDZYTCKAAX-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1F)N(CC)CCNC(=O)[C@@H](C)C#N ZINC001714580073 1203020655 /nfs/dbraw/zinc/02/06/55/1203020655.db2.gz XBRNAVOVINCFFM-LRDDRELGSA-N 0 1 323.387 3.014 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CNCc1cc(Cl)ccc1F ZINC001714581252 1203022201 /nfs/dbraw/zinc/02/22/01/1203022201.db2.gz AQBXAFDVPXPGRX-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001714597815 1203037698 /nfs/dbraw/zinc/03/76/98/1203037698.db2.gz REMGQGNYNMXRAA-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001714600925 1203039841 /nfs/dbraw/zinc/03/98/41/1203039841.db2.gz JNCUZJGDOUJFGN-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C2=CCCC2)cc1)C1CC1 ZINC001714680671 1203098995 /nfs/dbraw/zinc/09/89/95/1203098995.db2.gz KDVKUTMWNWBNDH-UHFFFAOYSA-N 0 1 322.452 3.471 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1ccc(C)cc1C ZINC001714707496 1203106867 /nfs/dbraw/zinc/10/68/67/1203106867.db2.gz LVUUHJZGUIQTIN-MRXNPFEDSA-N 0 1 322.880 3.425 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1oc(CC)nc1C ZINC001714777027 1203124008 /nfs/dbraw/zinc/12/40/08/1203124008.db2.gz PPGVOGPEVKGOJB-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001714785729 1203126890 /nfs/dbraw/zinc/12/68/90/1203126890.db2.gz PPYBSWNBIKHUIR-UHFFFAOYSA-N 0 1 308.853 3.073 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1csc(C(C)C)n1 ZINC001714794090 1203128686 /nfs/dbraw/zinc/12/86/86/1203128686.db2.gz QXIXVGRSSUHIRO-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H](C)c1cccnc1)C(C)C ZINC001714829784 1203143031 /nfs/dbraw/zinc/14/30/31/1203143031.db2.gz MVRGZZNKGGBJIN-HNNXBMFYSA-N 0 1 323.868 3.154 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1C[C@H]1C(C)C)C(C)C ZINC001714832554 1203143444 /nfs/dbraw/zinc/14/34/44/1203143444.db2.gz VMJWNZSLOHDIHL-LSDHHAIUSA-N 0 1 300.874 3.248 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC2CCC1CC2)c1ccccc1 ZINC001714839251 1203146358 /nfs/dbraw/zinc/14/63/58/1203146358.db2.gz GCAJZPSODNCGAV-OTGCGEFBSA-N 0 1 324.468 3.283 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001714897108 1203184488 /nfs/dbraw/zinc/18/44/88/1203184488.db2.gz SHQNPZRKISQOID-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001714947794 1203212192 /nfs/dbraw/zinc/21/21/92/1203212192.db2.gz PFGWBAZFXWJOSR-HNNXBMFYSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3ncsc3c2)C1 ZINC001714952298 1203214338 /nfs/dbraw/zinc/21/43/38/1203214338.db2.gz WRQZFPSMPLKUHZ-GFCCVEGCSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccn1C)C1CCCCC1 ZINC001714954632 1203215908 /nfs/dbraw/zinc/21/59/08/1203215908.db2.gz LZYYGBUAKFWWNE-HNNXBMFYSA-N 0 1 323.868 3.046 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCc2ccccc21 ZINC001714990790 1203229170 /nfs/dbraw/zinc/22/91/70/1203229170.db2.gz UDZIOSOEUQDDAD-CMKODMSKSA-N 0 1 322.452 3.153 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001715015451 1203237427 /nfs/dbraw/zinc/23/74/27/1203237427.db2.gz MNJXTCVBXLKDRP-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001715018512 1203239555 /nfs/dbraw/zinc/23/95/55/1203239555.db2.gz HSQSQPRUTSALRL-RDJZCZTQSA-N 0 1 306.475 3.383 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001715020663 1203240364 /nfs/dbraw/zinc/24/03/64/1203240364.db2.gz VVIPMCVLEIVTBN-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001715021983 1203240679 /nfs/dbraw/zinc/24/06/79/1203240679.db2.gz CSAXKMAPBKQJEB-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)Cc2cc3ccccc3o2)C1 ZINC001715039267 1203249531 /nfs/dbraw/zinc/24/95/31/1203249531.db2.gz KMPBYVPRXHOGNC-INIZCTEOSA-N 0 1 312.413 3.084 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2c(C)cccc2F)C1 ZINC001753361913 1203262970 /nfs/dbraw/zinc/26/29/70/1203262970.db2.gz SIXQUEYFEAIINR-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C(C)(C)CC(F)(F)F ZINC001715079697 1203265807 /nfs/dbraw/zinc/26/58/07/1203265807.db2.gz AXMYSSYHNREPFG-SNVBAGLBSA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C(C)C)cn1 ZINC001715084477 1203267444 /nfs/dbraw/zinc/26/74/44/1203267444.db2.gz UEXSTWUKCTYEBB-CQSZACIVSA-N 0 1 309.841 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(CNCc2cc(C)no2)CCCC1 ZINC001715092798 1203271173 /nfs/dbraw/zinc/27/11/73/1203271173.db2.gz RSFSMUYRELVKIM-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](CC)C2CCC(C)CC2)C1 ZINC001753403794 1203283351 /nfs/dbraw/zinc/28/33/51/1203283351.db2.gz JEGQOEOORIPNOF-VMWRSERWSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](F)c1ccccc1 ZINC001715211880 1203296959 /nfs/dbraw/zinc/29/69/59/1203296959.db2.gz DLAPJHLDTUJXQO-HUUCEWRRSA-N 0 1 310.800 3.030 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)CN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001715220034 1203298178 /nfs/dbraw/zinc/29/81/78/1203298178.db2.gz IOHVHLMHOCJMKC-UONOGXRCSA-N 0 1 321.465 3.341 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)C(C)=C2CCC2)C1 ZINC001715276504 1203306901 /nfs/dbraw/zinc/30/69/01/1203306901.db2.gz GIYSFWNOLBUUFU-SFHVURJKSA-N 0 1 320.477 3.050 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001715330731 1203317451 /nfs/dbraw/zinc/31/74/51/1203317451.db2.gz MKHTYDFHQYCCEW-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cnc(C(C)C)s1 ZINC001715385177 1203331008 /nfs/dbraw/zinc/33/10/08/1203331008.db2.gz SRWFUACTFLYDET-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1coc(C)c1 ZINC001715469169 1203346322 /nfs/dbraw/zinc/34/63/22/1203346322.db2.gz HFZOGZLYFKBAMR-XHDPSFHLSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CCCC#CC ZINC001715474650 1203347370 /nfs/dbraw/zinc/34/73/70/1203347370.db2.gz GEWPBZBEDMGJMK-GDBMZVCRSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1[nH]c(C)cc1C ZINC001715475661 1203347672 /nfs/dbraw/zinc/34/76/72/1203347672.db2.gz JYMIALXGGCEVJC-XHDPSFHLSA-N 0 1 323.868 3.214 20 30 DGEDMN CC(C)[C@@H](CNC(=O)C#CC(C)(C)C)NC/C(Cl)=C/Cl ZINC001715494446 1203352083 /nfs/dbraw/zinc/35/20/83/1203352083.db2.gz NUGKTLUWEUYTIK-LLBKUYECSA-N 0 1 319.276 3.085 20 30 DGEDMN C/C=C(\C)C(=O)N(CC)CCNCC#Cc1ccccc1Cl ZINC001715725811 1203384570 /nfs/dbraw/zinc/38/45/70/1203384570.db2.gz SKDZGZAYNAVVNU-SYZQJQIISA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1sccc1Cl ZINC001715733438 1203385850 /nfs/dbraw/zinc/38/58/50/1203385850.db2.gz NROGYAOKKARTEL-UHFFFAOYSA-N 0 1 307.246 3.206 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001715763698 1203389730 /nfs/dbraw/zinc/38/97/30/1203389730.db2.gz OWPQKXHDJIGEPB-DLBZAZTESA-N 0 1 320.864 3.289 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(Cl)c1C ZINC001715814778 1203394858 /nfs/dbraw/zinc/39/48/58/1203394858.db2.gz CDHUGNVYQQHYQT-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001715855378 1203397890 /nfs/dbraw/zinc/39/78/90/1203397890.db2.gz VEQBXOCQXLXLNV-ARJAWSKDSA-N 0 1 317.820 3.104 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1ccccc1F ZINC001715865708 1203399305 /nfs/dbraw/zinc/39/93/05/1203399305.db2.gz ROGRVSGZPCFHRZ-GFOMBABLSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](c1ccccc1)C(C)C ZINC001715949806 1203409702 /nfs/dbraw/zinc/40/97/02/1203409702.db2.gz UNDHVTYUZRVOFG-GDBMZVCRSA-N 0 1 308.853 3.273 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1oc2c(cccc2F)c1C ZINC001715980007 1203415343 /nfs/dbraw/zinc/41/53/43/1203415343.db2.gz UTNSOMILLOWZIO-JTQLQIEISA-N 0 1 324.783 3.341 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1cccc(Cl)n1 ZINC001716298671 1203458065 /nfs/dbraw/zinc/45/80/65/1203458065.db2.gz ATYAXHXWSBIOKX-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN CC#CCCCC(=O)NC/C=C/CN[C@@H](C)c1ccccc1F ZINC001716332132 1203460013 /nfs/dbraw/zinc/46/00/13/1203460013.db2.gz ABASJXPBRGMGHL-SCOAYWHSSA-N 0 1 316.420 3.342 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2c(o1)c(F)ccc2C ZINC001716405336 1203467712 /nfs/dbraw/zinc/46/77/12/1203467712.db2.gz DANXAAGOSMNYRG-LLVKDONJSA-N 0 1 324.783 3.341 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(CC(C)C)s1 ZINC001716440379 1203474118 /nfs/dbraw/zinc/47/41/18/1203474118.db2.gz APFIRNMKOWJBPB-LBPRGKRZSA-N 0 1 314.882 3.407 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C)c2ccccc12 ZINC001716498284 1203492388 /nfs/dbraw/zinc/49/23/88/1203492388.db2.gz SCHRQCGOGSUCJC-MRXNPFEDSA-N 0 1 308.425 3.222 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(CCC)c(C)s1 ZINC001716504877 1203495582 /nfs/dbraw/zinc/49/55/82/1203495582.db2.gz WAQOLHXBIPJFJO-ZDUSSCGKSA-N 0 1 306.475 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@]1(C)CC=C(C)CC1)C1CC1 ZINC001716631297 1203509424 /nfs/dbraw/zinc/50/94/24/1203509424.db2.gz JDRKEQHEBKPQBE-DOTOQJQBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001716652292 1203513399 /nfs/dbraw/zinc/51/33/99/1203513399.db2.gz KWOLSRYWWYFBHM-LJQANCHMSA-N 0 1 312.457 3.454 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001716668309 1203520556 /nfs/dbraw/zinc/52/05/56/1203520556.db2.gz RXYXDJVYRUFTSM-NEPJUHHUSA-N 0 1 312.866 3.272 20 30 DGEDMN C=CCCC(=O)NCC1(N[C@@H]2CCc3c2c(F)ccc3F)CC1 ZINC001716677020 1203523144 /nfs/dbraw/zinc/52/31/44/1203523144.db2.gz LPXZZLPIAKNZQU-OAHLLOKOSA-N 0 1 320.383 3.157 20 30 DGEDMN C[C@H]1CCC[C@@H](CCN2CC(N(C)C(=O)C#CC(C)(C)C)C2)C1 ZINC001716740988 1203535308 /nfs/dbraw/zinc/53/53/08/1203535308.db2.gz IHADGLUBMWSQQM-IRXDYDNUSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)n(CC)c2C)C1 ZINC001716744183 1203536109 /nfs/dbraw/zinc/53/61/09/1203536109.db2.gz UDXNCBGGPMPEDG-CQSZACIVSA-N 0 1 317.477 3.236 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001716802435 1203545128 /nfs/dbraw/zinc/54/51/28/1203545128.db2.gz LNGWOXKCESGACA-TWGQIWQCSA-N 0 1 310.869 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CSC(C)C)C1CCCC1 ZINC001716815336 1203546911 /nfs/dbraw/zinc/54/69/11/1203546911.db2.gz SQOWUVGKXPBRKB-AWEZNQCLSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@]1(C)C=CCC1)C1CCCC1 ZINC001716821426 1203548054 /nfs/dbraw/zinc/54/80/54/1203548054.db2.gz PRDPBKKBKNFUKC-RDJZCZTQSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCCC(=O)N[C@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001716847651 1203554535 /nfs/dbraw/zinc/55/45/35/1203554535.db2.gz OMAGTTXICRUYOF-GOSISDBHSA-N 0 1 304.409 3.263 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2scc(C)c2Cl)C1 ZINC001716897180 1203565266 /nfs/dbraw/zinc/56/52/66/1203565266.db2.gz ZJXCJDVJZYYNIG-MRXNPFEDSA-N 0 1 324.877 3.175 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C)CCCC1)c1ccccc1CC ZINC001716954545 1203569481 /nfs/dbraw/zinc/56/94/81/1203569481.db2.gz YDBWDLTYXPKJPU-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c[nH]c3ccccc23)CCC1 ZINC001717153904 1203592326 /nfs/dbraw/zinc/59/23/26/1203592326.db2.gz BJUVOGFBCAHPDG-UHFFFAOYSA-N 0 1 317.820 3.163 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cscc2Cl)CCC1 ZINC001717153704 1203592473 /nfs/dbraw/zinc/59/24/73/1203592473.db2.gz YITHTKXWJGJCSJ-UHFFFAOYSA-N 0 1 319.257 3.396 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@@H]1CC=CCC1 ZINC001717204107 1203600213 /nfs/dbraw/zinc/60/02/13/1203600213.db2.gz PTELVAOLHDHLQD-HXUWFJFHSA-N 0 1 324.468 3.175 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001717243756 1203608953 /nfs/dbraw/zinc/60/89/53/1203608953.db2.gz KZGAQAWMGWNWMY-MAUKXSAKSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCCC(=O)NCc1ccc2c(c1)CN(C[C@@H](C)OC)C2 ZINC001717270682 1203611101 /nfs/dbraw/zinc/61/11/01/1203611101.db2.gz HQXADUCPFNCTKG-OAHLLOKOSA-N 0 1 316.445 3.010 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(C)(NCc2cc(C)on2)CC1 ZINC001717298645 1203614478 /nfs/dbraw/zinc/61/44/78/1203614478.db2.gz PVRDQVOOEHFETJ-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cnc(C)o2)[C@@H](C)C1 ZINC001717334303 1203619916 /nfs/dbraw/zinc/61/99/16/1203619916.db2.gz RWNJMDIOOAHVEN-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cnc(C)o2)[C@H](C)C1 ZINC001717334305 1203620099 /nfs/dbraw/zinc/62/00/99/1203620099.db2.gz RWNJMDIOOAHVEN-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CC1(c2ccccc2)CC1 ZINC001717367486 1203626801 /nfs/dbraw/zinc/62/68/01/1203626801.db2.gz LCOJPAAZBBNLLN-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)c1ccccc1C ZINC001717376379 1203631244 /nfs/dbraw/zinc/63/12/44/1203631244.db2.gz PPGZTRBBZXFGPC-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC[C@H]1CCc2ccccc21 ZINC001717376373 1203631257 /nfs/dbraw/zinc/63/12/57/1203631257.db2.gz PKVAQFSFCUKRCD-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001717390625 1203633411 /nfs/dbraw/zinc/63/34/11/1203633411.db2.gz JCMVRIINCLNTFA-VZXJNUACSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(Cc2ccccc2)CC1 ZINC001717412928 1203638974 /nfs/dbraw/zinc/63/89/74/1203638974.db2.gz MPUMXPKHGINTFN-GJZGRUSLSA-N 0 1 320.864 3.245 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C/C=C/c1ccc(F)cc1 ZINC001717415106 1203639262 /nfs/dbraw/zinc/63/92/62/1203639262.db2.gz IKDDFXCFXLIQJY-WEHDMVHDSA-N 0 1 324.827 3.464 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1csc(C(C)C)n1 ZINC001717430461 1203642330 /nfs/dbraw/zinc/64/23/30/1203642330.db2.gz GGRKFPVHGAIXIT-QWRGUYRKSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C)c2c1CCC2 ZINC001717440831 1203645095 /nfs/dbraw/zinc/64/50/95/1203645095.db2.gz FOKNZEUIJMECST-KBPBESRZSA-N 0 1 320.864 3.333 20 30 DGEDMN C=CCC[C@@H](C(=O)NC[C@@H](C)N(C)CC#CC)c1ccccc1 ZINC001717501687 1203668050 /nfs/dbraw/zinc/66/80/50/1203668050.db2.gz SHZBHQVTZLTJHI-IEBWSBKVSA-N 0 1 312.457 3.196 20 30 DGEDMN CCCC[C@@H](CNC/C(Cl)=C/Cl)NC(=O)C#CC(C)C ZINC001717530222 1203673312 /nfs/dbraw/zinc/67/33/12/1203673312.db2.gz KYTUTFVBHCDQKK-XXYUJHKVSA-N 0 1 319.276 3.229 20 30 DGEDMN C#CCCCCCC(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001717555060 1203681070 /nfs/dbraw/zinc/68/10/70/1203681070.db2.gz NADSOCVFQMRDQY-OAHLLOKOSA-N 0 1 318.486 3.268 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584709 1203686221 /nfs/dbraw/zinc/68/62/21/1203686221.db2.gz BJINGGDVRPEBLT-WFASDCNBSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001717703997 1203702833 /nfs/dbraw/zinc/70/28/33/1203702833.db2.gz FJVSLWBJEJLNKC-JSGCOSHPSA-N 0 1 300.874 3.344 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)Cc1ccc(C)cc1 ZINC001717740312 1203707868 /nfs/dbraw/zinc/70/78/68/1203707868.db2.gz SDUJNAMAHKCRFC-QGZVFWFLSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2c(C)noc2C)[C@@H]1C ZINC001717853719 1203722215 /nfs/dbraw/zinc/72/22/15/1203722215.db2.gz KWRDZLXEGKATEJ-PBHICJAKSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001717855606 1203722689 /nfs/dbraw/zinc/72/26/89/1203722689.db2.gz IKTYEZULTJUFSS-ZIAGYGMSSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cnccc2C)[C@H]1C ZINC001717858198 1203723407 /nfs/dbraw/zinc/72/34/07/1203723407.db2.gz QCNIQJBBOZVEOP-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](C)c1cccc(Cl)c1)C(C)C ZINC001717952980 1203734730 /nfs/dbraw/zinc/73/47/30/1203734730.db2.gz UKJIEKYIHXYVBK-OAHLLOKOSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001717995002 1203741578 /nfs/dbraw/zinc/74/15/78/1203741578.db2.gz BJCWFJYZGWNILW-WSKHEZBUSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2ccc(C)c(F)c2)CC1 ZINC001718017774 1203743170 /nfs/dbraw/zinc/74/31/70/1203743170.db2.gz UOTLPAXXPNTFCB-UHFFFAOYSA-N 0 1 316.420 3.349 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC001718031091 1203744913 /nfs/dbraw/zinc/74/49/13/1203744913.db2.gz LDOSQZTZJDBFMM-SFHVURJKSA-N 0 1 320.452 3.067 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](CCCC)C(C)C)CC1 ZINC001718035555 1203745386 /nfs/dbraw/zinc/74/53/86/1203745386.db2.gz IKZVVKXAELIGIW-GOSISDBHSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)c2cc(Cl)ccc2F)C1 ZINC001752993427 1203948350 /nfs/dbraw/zinc/94/83/50/1203948350.db2.gz GMSVSIGEFOWKFT-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001723322906 1203963508 /nfs/dbraw/zinc/96/35/08/1203963508.db2.gz DOJQYIUCHUFUPZ-FFXRNRBCSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(C)c1C)C1CC1 ZINC001723349498 1203964606 /nfs/dbraw/zinc/96/46/06/1203964606.db2.gz DIBZCBANRDJZJB-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@H]1CNC(=O)c1cc(COC)cs1 ZINC001723437089 1203971458 /nfs/dbraw/zinc/97/14/58/1203971458.db2.gz CETCMOYWZOBFKV-HIFRSBDPSA-N 0 1 322.474 3.053 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001723444022 1203972598 /nfs/dbraw/zinc/97/25/98/1203972598.db2.gz XDQVXJXLQPTRRQ-IBGZPJMESA-N 0 1 312.457 3.263 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccccc1CC ZINC001723446349 1203973039 /nfs/dbraw/zinc/97/30/39/1203973039.db2.gz KLWSMKYBEIEGIJ-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1nc(C)oc1C ZINC001723464785 1203975520 /nfs/dbraw/zinc/97/55/20/1203975520.db2.gz UURVCNBPBKBNOH-HNNXBMFYSA-N 0 1 307.438 3.066 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001723463319 1203975756 /nfs/dbraw/zinc/97/57/56/1203975756.db2.gz ZHKSOHNAGQTCNM-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccoc2C2CC2)C1 ZINC001723791564 1203993215 /nfs/dbraw/zinc/99/32/15/1203993215.db2.gz NFXXMPNTOXSBMO-ZDUSSCGKSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)cc2F)C1 ZINC001723799253 1203993712 /nfs/dbraw/zinc/99/37/12/1203993712.db2.gz HMRQJIKEGBMUQY-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001723814498 1203994358 /nfs/dbraw/zinc/99/43/58/1203994358.db2.gz GIPIWLUZIUGEEB-RDJZCZTQSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001723815091 1203994564 /nfs/dbraw/zinc/99/45/64/1203994564.db2.gz UXELYLPNFNILLQ-AYOQOUSVSA-N 0 1 312.457 3.287 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2cccc(F)c2)C1 ZINC001723815185 1203994580 /nfs/dbraw/zinc/99/45/80/1203994580.db2.gz LZDHWIKHZGLLPS-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1nc(C)sc1C ZINC001723905079 1203998354 /nfs/dbraw/zinc/99/83/54/1203998354.db2.gz FZBFKWSEEGWVCD-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001723936973 1203998990 /nfs/dbraw/zinc/99/89/90/1203998990.db2.gz AGQULEDFTBDSON-IPUYDCKKSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1ccccc1F ZINC001723950928 1203999398 /nfs/dbraw/zinc/99/93/98/1203999398.db2.gz IPKYZGYZBZJCAF-ZIAGYGMSSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc(C)c1C ZINC001723970585 1203999708 /nfs/dbraw/zinc/99/97/08/1203999708.db2.gz FYMOSQZSMXAIPD-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001724139843 1204008065 /nfs/dbraw/zinc/00/80/65/1204008065.db2.gz GJXSNXWCFWWWIQ-KDOFPFPSSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(C)CCN(Cc2c(C)nsc2C)C1 ZINC001724152757 1204009587 /nfs/dbraw/zinc/00/95/87/1204009587.db2.gz FSHWLTIFXOAALY-QGZVFWFLSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001724374810 1204025193 /nfs/dbraw/zinc/02/51/93/1204025193.db2.gz BOQRVPNUDYDBSD-KGLIPLIRSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCC2(C)CC2)C1 ZINC001724378787 1204025366 /nfs/dbraw/zinc/02/53/66/1204025366.db2.gz DZIRSLGIDPSSRU-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724503712 1204026224 /nfs/dbraw/zinc/02/62/24/1204026224.db2.gz KLZZIOUGOGDJEC-RKDYKSKUSA-N 0 1 308.853 3.230 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H]1CC=CCC1)c1ccccc1CC ZINC001724512400 1204026880 /nfs/dbraw/zinc/02/68/80/1204026880.db2.gz SQIOUQPCGDSSPI-FXAWDEMLSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H](C)C(C)C)C2 ZINC001724605248 1204031859 /nfs/dbraw/zinc/03/18/59/1204031859.db2.gz XNQCFFQNMVVKDT-JONQDZQNSA-N 0 1 312.885 3.390 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC(C)C)CCC1 ZINC001724659241 1204037237 /nfs/dbraw/zinc/03/72/37/1204037237.db2.gz UXBXFOLWSAODKC-ZWKOTPCHSA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CCCC1CC1 ZINC001724737588 1204041529 /nfs/dbraw/zinc/04/15/29/1204041529.db2.gz BPIDIZZAOPIDGM-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCCC1(C)C ZINC001724739490 1204041976 /nfs/dbraw/zinc/04/19/76/1204041976.db2.gz YYDWFIBXZBENHS-GOSISDBHSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001724796240 1204048500 /nfs/dbraw/zinc/04/85/00/1204048500.db2.gz LCGBUPDVUBJKLZ-SXGWCWSVSA-N 0 1 323.440 3.209 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001724796759 1204048525 /nfs/dbraw/zinc/04/85/25/1204048525.db2.gz NXXMDVABBVSIEE-GOSISDBHSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CCCC ZINC001724891639 1204063332 /nfs/dbraw/zinc/06/33/32/1204063332.db2.gz AMJSZCVIJVNLIM-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1Cc2ccccc2CN1CC(=C)C ZINC001724894487 1204063985 /nfs/dbraw/zinc/06/39/85/1204063985.db2.gz PWGFLDIZSSWVCX-FQEVSTJZSA-N 0 1 324.468 3.299 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](F)C(C)C)cc2C1 ZINC001724922867 1204065727 /nfs/dbraw/zinc/06/57/27/1204065727.db2.gz IESWLRUSIWUIAV-KRWDZBQOSA-N 0 1 304.409 3.189 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](F)C(C)C)cc2C1 ZINC001724922870 1204065997 /nfs/dbraw/zinc/06/59/97/1204065997.db2.gz IESWLRUSIWUIAV-QGZVFWFLSA-N 0 1 304.409 3.189 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3(CF)CCC3)cc2C1 ZINC001724923930 1204066768 /nfs/dbraw/zinc/06/67/68/1204066768.db2.gz RVGRXIFAROWZJQ-UHFFFAOYSA-N 0 1 316.420 3.334 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001724937752 1204067828 /nfs/dbraw/zinc/06/78/28/1204067828.db2.gz JTCPBDVWTIQEAT-FTKQDORFSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CCCC)C2)C1 ZINC001725059843 1204087988 /nfs/dbraw/zinc/08/79/88/1204087988.db2.gz PSIUEZVLRBGGQS-CVEARBPZSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001725065138 1204088634 /nfs/dbraw/zinc/08/86/34/1204088634.db2.gz RJCDXBIQRYPPLB-WQLSENKSSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1c(C)noc1CC ZINC001725094902 1204093288 /nfs/dbraw/zinc/09/32/88/1204093288.db2.gz QPDDFQUQTQLYKH-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1c(C)noc1CC ZINC001725094890 1204093465 /nfs/dbraw/zinc/09/34/65/1204093465.db2.gz QPDDFQUQTQLYKH-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2ncc(C)s2)CCC1 ZINC001725105026 1204095433 /nfs/dbraw/zinc/09/54/33/1204095433.db2.gz FDKPHDJRQKXSAY-ZIAGYGMSSA-N 0 1 321.490 3.181 20 30 DGEDMN CC(C)CCCC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001725105652 1204095841 /nfs/dbraw/zinc/09/58/41/1204095841.db2.gz HDQXLLGYZDUDAT-HOTGVXAUSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001725177072 1204112344 /nfs/dbraw/zinc/11/23/44/1204112344.db2.gz YDDZNHYGBNDBDA-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(C)Cc2ncccc2C)CC1 ZINC001725183064 1204113716 /nfs/dbraw/zinc/11/37/16/1204113716.db2.gz YKGQBLFKBBOLBB-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H]1CCC1(F)F ZINC001725232242 1204123058 /nfs/dbraw/zinc/12/30/58/1204123058.db2.gz GWFIQSSVJIVPBF-NWDGAFQWSA-N 0 1 308.800 3.049 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H](CC)CCCC)C(C)(C)C1 ZINC001725293983 1204134767 /nfs/dbraw/zinc/13/47/67/1204134767.db2.gz MBFKOMIZWRKYFN-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C(C)(C)CC(C)(C)C)C2)C1 ZINC001725345457 1204138949 /nfs/dbraw/zinc/13/89/49/1204138949.db2.gz XYORTBFMNNCNBM-UHFFFAOYSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCCN(CC#CC)C3)CCCC1 ZINC001725343595 1204139047 /nfs/dbraw/zinc/13/90/47/1204139047.db2.gz LKTUJRYRGATQCU-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001725366380 1204141355 /nfs/dbraw/zinc/14/13/55/1204141355.db2.gz ZXMXMDZTJAAPOI-KKUMJFAQSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001725366381 1204141519 /nfs/dbraw/zinc/14/15/19/1204141519.db2.gz ZXMXMDZTJAAPOI-RBSFLKMASA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2ccccn2)CCCC1 ZINC001725524927 1204166061 /nfs/dbraw/zinc/16/60/61/1204166061.db2.gz QIPOAMJWIFAVKH-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2ccncc2Cl)C[C@@H]1C ZINC001725598725 1204175779 /nfs/dbraw/zinc/17/57/79/1204175779.db2.gz OISMNHITLGDFLB-ZFWWWQNUSA-N 0 1 321.852 3.170 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CC2(C=C)CCCCC2)[C@H]1C ZINC001725630947 1204185842 /nfs/dbraw/zinc/18/58/42/1204185842.db2.gz OCDDNHAAOHBLOS-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001725743606 1204209949 /nfs/dbraw/zinc/20/99/49/1204209949.db2.gz YFQUZQVPTCUITE-CYBMUJFWSA-N 0 1 309.479 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)[nH]c1C)C(C)(C)C ZINC001725751591 1204211961 /nfs/dbraw/zinc/21/19/61/1204211961.db2.gz LIFGAMQRXOMSAO-CQSZACIVSA-N 0 1 311.857 3.118 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(Cl)ccc1F)C(C)C ZINC001725760900 1204215216 /nfs/dbraw/zinc/21/52/16/1204215216.db2.gz CXMJMYBEWQIERU-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C(F)(F)F)C(C)(C)C ZINC001725787933 1204223079 /nfs/dbraw/zinc/22/30/79/1204223079.db2.gz DWXHHDNALBIKHI-VHSXEESVSA-N 0 1 314.779 3.058 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1conc1CC ZINC001731289013 1204363334 /nfs/dbraw/zinc/36/33/34/1204363334.db2.gz SQDPNTJXOHZTBB-RHSMWYFYSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC[C@@H]1CC ZINC001731314973 1204371004 /nfs/dbraw/zinc/37/10/04/1204371004.db2.gz CDYICEZPHUBGKX-LSDHHAIUSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC001731350285 1204381563 /nfs/dbraw/zinc/38/15/63/1204381563.db2.gz BJOAJHSMBSBBFN-QGZVFWFLSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@H](C)CC(C)(C)C)CC1 ZINC001731350453 1204381890 /nfs/dbraw/zinc/38/18/90/1204381890.db2.gz FBKWBASGSSQIIU-INIZCTEOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)Cc1ccccc1)C1CC1 ZINC001731411091 1204402379 /nfs/dbraw/zinc/40/23/79/1204402379.db2.gz NPISKXBPEUUHNB-SUMWQHHRSA-N 0 1 320.864 3.102 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cc[nH]c2CC)C1 ZINC001731420537 1204407123 /nfs/dbraw/zinc/40/71/23/1204407123.db2.gz UMMGFWUFAFYNCG-CQSZACIVSA-N 0 1 323.868 3.114 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C/C=C\Cc2ccccc2)C1 ZINC001731433255 1204411334 /nfs/dbraw/zinc/41/13/34/1204411334.db2.gz DMEOJKITTBALNC-OSJSJHBBSA-N 0 1 318.848 3.118 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2)CCC1 ZINC001731539533 1204449963 /nfs/dbraw/zinc/44/99/63/1204449963.db2.gz WJEVMFIWKMXAOP-SFHVURJKSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1cccc(F)c1 ZINC001731543325 1204453362 /nfs/dbraw/zinc/45/33/62/1204453362.db2.gz WUSWHUNFJWBRDT-YOEHRIQHSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H]1CCCN(CC=C(Cl)Cl)C1 ZINC001731554466 1204459982 /nfs/dbraw/zinc/45/99/82/1204459982.db2.gz FFKVXFFXRHZCDS-OLZOCXBDSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2nccc(C)c2c1 ZINC001731593567 1204475470 /nfs/dbraw/zinc/47/54/70/1204475470.db2.gz GJVHBWLMWQSGFU-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1coc2ccc(CC)cc21 ZINC001731591468 1204475657 /nfs/dbraw/zinc/47/56/57/1204475657.db2.gz FZJVQMALSLLJIU-LBPRGKRZSA-N 0 1 320.820 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc2c1CCCC2 ZINC001731594586 1204476494 /nfs/dbraw/zinc/47/64/94/1204476494.db2.gz MUQNNKWEGYLVAV-ZDUSSCGKSA-N 0 1 306.837 3.026 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001731601348 1204478797 /nfs/dbraw/zinc/47/87/97/1204478797.db2.gz MKIOFGUFPZEOGA-DJIMGWMZSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1nc2ccccc2cc1C ZINC001731605735 1204479640 /nfs/dbraw/zinc/47/96/40/1204479640.db2.gz HZLOVRFHMABRSK-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cc(F)ccc1Cl ZINC001731610163 1204481299 /nfs/dbraw/zinc/48/12/99/1204481299.db2.gz FHOUGDWGQLPMTA-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001731613860 1204482600 /nfs/dbraw/zinc/48/26/00/1204482600.db2.gz DDOXAVDJPQUSRL-BLLLJJGKSA-N 0 1 322.399 3.403 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2ocnc2C)CCCCC1 ZINC001731621686 1204486983 /nfs/dbraw/zinc/48/69/83/1204486983.db2.gz CFXVEERVYLDIRR-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2cc(F)ccc2o1 ZINC001731625097 1204487728 /nfs/dbraw/zinc/48/77/28/1204487728.db2.gz YGVWKHHZWXLHHM-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN CC(C)CC(C)(C)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001731628855 1204488997 /nfs/dbraw/zinc/48/89/97/1204488997.db2.gz CAPAGNUZDOIWJH-KRWDZBQOSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C)c(C)c1Cl ZINC001731632226 1204491357 /nfs/dbraw/zinc/49/13/57/1204491357.db2.gz DFCQWGPOMZQHIE-LLVKDONJSA-N 0 1 315.244 3.417 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@H](NCc2coc(C)n2)C1 ZINC001731691141 1204506426 /nfs/dbraw/zinc/50/64/26/1204506426.db2.gz WCSXBCLTLHAYTI-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2ccnc(Cl)c2)C1 ZINC001731707100 1204512751 /nfs/dbraw/zinc/51/27/51/1204512751.db2.gz CGXWSPYTEVIGAT-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H](C)N[C@H](C)c1ncc(C)o1 ZINC001731728034 1204525254 /nfs/dbraw/zinc/52/52/54/1204525254.db2.gz KBYPRJVJMAILCX-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CC(C)(C)C1CCCCC1 ZINC001731813820 1204579864 /nfs/dbraw/zinc/57/98/64/1204579864.db2.gz ACLOYSXKBNDNNK-UHFFFAOYSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001731832492 1204591392 /nfs/dbraw/zinc/59/13/92/1204591392.db2.gz LWEXESLZYGCLBE-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CCC(C)(C)C(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001731879944 1204620797 /nfs/dbraw/zinc/62/07/97/1204620797.db2.gz IRAIBQHFFJZPOJ-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001731895996 1204627342 /nfs/dbraw/zinc/62/73/42/1204627342.db2.gz YXIHDXFYDQPYFT-CHHVJCJISA-N 0 1 318.848 3.096 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C2CC2)CC1)c1ccc(C(C)C)cc1 ZINC001732017055 1204650166 /nfs/dbraw/zinc/65/01/66/1204650166.db2.gz AAVWBPAJKJDXOQ-LJQANCHMSA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCc1ccc(C)cc1)c1ccccc1 ZINC001732023708 1204653523 /nfs/dbraw/zinc/65/35/23/1204653523.db2.gz SNAGIGGPELZLRQ-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cccc(C)c2C)C1 ZINC001732060490 1204675460 /nfs/dbraw/zinc/67/54/60/1204675460.db2.gz RMJURWUHCVPOLF-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc3ccccc3nc2C)C1 ZINC001732102740 1204694537 /nfs/dbraw/zinc/69/45/37/1204694537.db2.gz TZDPLAWSPPIXCZ-CQSZACIVSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(F)c3occc32)C1 ZINC001732117208 1204701072 /nfs/dbraw/zinc/70/10/72/1204701072.db2.gz NDDRWJZJZWNGFQ-GFCCVEGCSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(F)c3occc32)C1 ZINC001732117209 1204701326 /nfs/dbraw/zinc/70/13/26/1204701326.db2.gz NDDRWJZJZWNGFQ-LBPRGKRZSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001732117444 1204701611 /nfs/dbraw/zinc/70/16/11/1204701611.db2.gz VXXBEFINIWSENI-STQMWFEESA-N 0 1 322.399 3.223 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001732133474 1204707511 /nfs/dbraw/zinc/70/75/11/1204707511.db2.gz JDTTXJQEJUBCEF-UHFFFAOYSA-N 0 1 304.478 3.217 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCCc1ccc(C)cc1 ZINC001732147403 1204712942 /nfs/dbraw/zinc/71/29/42/1204712942.db2.gz NLCGUAOWMNAIPS-OALUTQOASA-N 0 1 312.457 3.179 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc(Cl)c1 ZINC001732149740 1204714453 /nfs/dbraw/zinc/71/44/53/1204714453.db2.gz OZFWHMIJFOJILM-STQMWFEESA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001732152838 1204715690 /nfs/dbraw/zinc/71/56/90/1204715690.db2.gz CXLHBZHPQJADMC-ROUUACIJSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCC(C)(C)c1ccccc1 ZINC001732151668 1204715862 /nfs/dbraw/zinc/71/58/62/1204715862.db2.gz UUQYJONVOODHEW-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001732153098 1204716565 /nfs/dbraw/zinc/71/65/65/1204716565.db2.gz WOJRXYNNCUYJAR-HKUYNNGSSA-N 0 1 318.436 3.449 20 30 DGEDMN CC[C@@H](CNC(=O)C(C)(C)C(C)C)NCc1ccccc1C#N ZINC001732200908 1204746736 /nfs/dbraw/zinc/74/67/36/1204746736.db2.gz VEJCYCLTCSRHIO-KRWDZBQOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1c(F)cccc1F ZINC001732203701 1204747129 /nfs/dbraw/zinc/74/71/29/1204747129.db2.gz GDDUTXMXFICZAP-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1ccsc1 ZINC001732213214 1204752609 /nfs/dbraw/zinc/75/26/09/1204752609.db2.gz WQRRVAOITCNQEB-YPMHNXCESA-N 0 1 300.855 3.089 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](C)C2CCC2)CC1 ZINC001732323662 1204791885 /nfs/dbraw/zinc/79/18/85/1204791885.db2.gz UQYZNGACRRIYJI-AWEZNQCLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccccc1F ZINC001732459185 1204853624 /nfs/dbraw/zinc/85/36/24/1204853624.db2.gz GGMHIJWGBOKEHC-CYBMUJFWSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C12CCC(CC1)C2 ZINC001732465642 1204857733 /nfs/dbraw/zinc/85/77/33/1204857733.db2.gz DEHAKYXGZGHIHO-ISXOHVOVSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc[nH]c1CC ZINC001732536749 1204885271 /nfs/dbraw/zinc/88/52/71/1204885271.db2.gz SIJKKZXZGQBREQ-BLLLJJGKSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001732549472 1204889127 /nfs/dbraw/zinc/88/91/27/1204889127.db2.gz SQHMCEWXZVEJMD-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cccc(Cl)c1F ZINC001732887288 1204954521 /nfs/dbraw/zinc/95/45/21/1204954521.db2.gz WCIOSVMYAWEKPA-WAYWQWQTSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1ccc(F)cc1Cl ZINC001732885792 1204954876 /nfs/dbraw/zinc/95/48/76/1204954876.db2.gz MPZDJBODPQORPZ-WAYWQWQTSA-N 0 1 324.827 3.453 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1c(C)nsc1C ZINC001732903231 1204957432 /nfs/dbraw/zinc/95/74/32/1204957432.db2.gz WYOCFYDZPMHQEO-KBOKABMXSA-N 0 1 321.490 3.124 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1cc(F)ccc1F ZINC001732902019 1204957474 /nfs/dbraw/zinc/95/74/74/1204957474.db2.gz UCKTWOCLJQBEQN-DKFQHHCZSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1nsc2ccc(C)cc21 ZINC001732966863 1204967295 /nfs/dbraw/zinc/96/72/95/1204967295.db2.gz YYTHDVSXDRFYNW-LLVKDONJSA-N 0 1 323.849 3.065 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001732968278 1204968052 /nfs/dbraw/zinc/96/80/52/1204968052.db2.gz BUHLGIVGEDXCRM-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H]1CCCCC1(C)C ZINC001733025328 1204984723 /nfs/dbraw/zinc/98/47/23/1204984723.db2.gz FZRIHAZXULXDBJ-UONOGXRCSA-N 0 1 300.874 3.440 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)CN(C)C[C@H](F)CC)CCCCC1 ZINC001733064900 1205013714 /nfs/dbraw/zinc/01/37/14/1205013714.db2.gz CVBBYGZGGQXTQT-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1ccc(C)c(Cl)c1 ZINC001733220941 1205050823 /nfs/dbraw/zinc/05/08/23/1205050823.db2.gz KESJXBFTMXRPEA-MRXNPFEDSA-N 0 1 320.864 3.348 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001733228275 1205058858 /nfs/dbraw/zinc/05/88/58/1205058858.db2.gz CKIBUQBAHGMWIS-OALUTQOASA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001733238704 1205063921 /nfs/dbraw/zinc/06/39/21/1205063921.db2.gz VKEXYDLZXHFPMC-MSOLQXFVSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001733305310 1205088670 /nfs/dbraw/zinc/08/86/70/1205088670.db2.gz DWGUIJHIKJEOCE-SNAWJCMRSA-N 0 1 316.420 3.338 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2CCC3(CC3)CC2)C1 ZINC001733309030 1205090817 /nfs/dbraw/zinc/09/08/17/1205090817.db2.gz DXGVBTWAUWGKEH-HNNXBMFYSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001733316438 1205093408 /nfs/dbraw/zinc/09/34/08/1205093408.db2.gz AZRQXHNMTPXLAM-QGZVFWFLSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C1CN(CC2CCC2)C1)c1ccccc1 ZINC001733319427 1205094987 /nfs/dbraw/zinc/09/49/87/1205094987.db2.gz YWSBWCZMMHWVKK-KRWDZBQOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H](C)CC)CC1 ZINC001733448019 1205136093 /nfs/dbraw/zinc/13/60/93/1205136093.db2.gz PKLGTCIGFHYSQF-ZDUSSCGKSA-N 0 1 300.874 3.393 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CC2(CC2)C1)c1ccccc1CC ZINC001733517521 1205151935 /nfs/dbraw/zinc/15/19/35/1205151935.db2.gz HFKCZKOLFBHBDG-IBGZPJMESA-N 0 1 324.468 3.209 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)(C)C=C)c1ccccc1CC ZINC001733517446 1205152207 /nfs/dbraw/zinc/15/22/07/1205152207.db2.gz GSKOCHSSISRNKP-GOSISDBHSA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)CCC)c1ccccc1CC ZINC001733519143 1205152916 /nfs/dbraw/zinc/15/29/16/1205152916.db2.gz VLBAMDBILAPNPG-GOSISDBHSA-N 0 1 314.473 3.455 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C(C)(C)CC)cccc2C1 ZINC001733709417 1205189644 /nfs/dbraw/zinc/18/96/44/1205189644.db2.gz WPEZPNHHSHMGQS-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@@H]2c2ccccc2)CCC1 ZINC001733724737 1205195031 /nfs/dbraw/zinc/19/50/31/1205195031.db2.gz MWFKOBBGWBVINW-CVEARBPZSA-N 0 1 318.848 3.171 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cc(C)ns2)CC1 ZINC001733761843 1205201984 /nfs/dbraw/zinc/20/19/84/1205201984.db2.gz ZHANDSISXPEACA-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2ocnc2C)[C@@H](C)C1 ZINC001733898555 1205245909 /nfs/dbraw/zinc/24/59/09/1205245909.db2.gz IXPNUKBERCYMNC-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1c2ccccc2CCN1CCCF ZINC001733908530 1205251258 /nfs/dbraw/zinc/25/12/58/1205251258.db2.gz YYAMNTHZCKUZDL-SFHVURJKSA-N 0 1 318.436 3.418 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2cccc(F)c2F)C1 ZINC001733989302 1205280852 /nfs/dbraw/zinc/28/08/52/1205280852.db2.gz RBOOAUJXSHYHIM-STQMWFEESA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2cnccc21 ZINC001734001211 1205284536 /nfs/dbraw/zinc/28/45/36/1205284536.db2.gz HUJFVJZHMRZYIT-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1oc2c(cccc2C)c1C ZINC001734064733 1205314135 /nfs/dbraw/zinc/31/41/35/1205314135.db2.gz FGISHAHHZFOTPQ-CQSZACIVSA-N 0 1 312.413 3.123 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1cc(C)no1 ZINC001734099264 1205321834 /nfs/dbraw/zinc/32/18/34/1205321834.db2.gz ITCPNXZGXQBQQN-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CN(Cc2csc(C)c2)CC1(C)C ZINC001734142086 1205333675 /nfs/dbraw/zinc/33/36/75/1205333675.db2.gz JJCHURVWGSHSJL-INIZCTEOSA-N 0 1 318.486 3.187 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNCc1csc(C)n1 ZINC001734246366 1205348847 /nfs/dbraw/zinc/34/88/47/1205348847.db2.gz HEJRKNWUYYJZDF-CYBMUJFWSA-N 0 1 323.506 3.428 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](CC)CC(F)F)CC1 ZINC001734268868 1205353291 /nfs/dbraw/zinc/35/32/91/1205353291.db2.gz CZZJQIUUYAIECQ-LBPRGKRZSA-N 0 1 322.827 3.343 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C12CCC(CC1)C2 ZINC001734296609 1205359326 /nfs/dbraw/zinc/35/93/26/1205359326.db2.gz FIUNCGGPYJMRBM-GNHJJJEISA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)c(C)o1 ZINC001734300696 1205359617 /nfs/dbraw/zinc/35/96/17/1205359617.db2.gz ZJAQJRZHOJYFRI-AWEZNQCLSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001734360384 1205371366 /nfs/dbraw/zinc/37/13/66/1205371366.db2.gz VBJDFVHLQYPFRB-GFCCVEGCSA-N 0 1 300.874 3.248 20 30 DGEDMN CCCCCC(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@H]1C ZINC001734414035 1205374294 /nfs/dbraw/zinc/37/42/94/1205374294.db2.gz WVHVQMJQWRAWKW-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2nc(C)c(C)s2)C[C@@H]1C ZINC001734417883 1205374483 /nfs/dbraw/zinc/37/44/83/1205374483.db2.gz RWLPSKMATMYUDH-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cccnc2C)[C@@H]1C ZINC001734454582 1205380098 /nfs/dbraw/zinc/38/00/98/1205380098.db2.gz CYVVUAGNIATQCZ-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cccnc2C)[C@@H]1C ZINC001734457431 1205380696 /nfs/dbraw/zinc/38/06/96/1205380696.db2.gz JIXVLVNMWKMVSE-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001734491599 1205386686 /nfs/dbraw/zinc/38/66/86/1205386686.db2.gz VTCKMDJWLPXCQR-LLVKDONJSA-N 0 1 305.249 3.098 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1CCC(F)(F)CC1)C(C)C ZINC001734551278 1205391326 /nfs/dbraw/zinc/39/13/26/1205391326.db2.gz PJJHIRVZVRDSNL-UHFFFAOYSA-N 0 1 314.420 3.004 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1[nH]c(C)cc1C)C(C)(C)C ZINC001734563180 1205395216 /nfs/dbraw/zinc/39/52/16/1205395216.db2.gz GMDQSVUYWZTOGL-ZDUSSCGKSA-N 0 1 311.857 3.118 20 30 DGEDMN C#CCCCC(=O)NCc1ccc(CNC/C=C\Cl)cc1F ZINC001734639942 1205408886 /nfs/dbraw/zinc/40/88/86/1205408886.db2.gz BESDRDNBZDMWRU-UITAMQMPSA-N 0 1 322.811 3.088 20 30 DGEDMN C[C@H]1CN(c2nc(-c3ccc(F)cc3)ccc2C#N)[C@@H](C)CN1C ZINC001164630326 719157620 /nfs/dbraw/zinc/15/76/20/719157620.db2.gz IVWSOCRCQHYHQA-KBPBESRZSA-N 0 1 324.403 3.288 20 30 DGEDMN N#CC1(c2ccc(C[N@@H+]3CCCC[C@H]3CCC(=O)[O-])cc2)CC1 ZINC001594602884 956152016 /nfs/dbraw/zinc/15/20/16/956152016.db2.gz TYYVWTGUGPBEAN-KRWDZBQOSA-N 0 1 312.413 3.461 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+]1CCCC[C@H]1CCC(=O)[O-] ZINC001594616498 956240948 /nfs/dbraw/zinc/24/09/48/956240948.db2.gz NGVFEWAITGLYCZ-HNNXBMFYSA-N 0 1 306.793 3.431 20 30 DGEDMN C#CCCCCCC[N@H+](C)[C@@H](C(=O)[O-])c1cccc(OC)c1 ZINC001588441799 964053837 /nfs/dbraw/zinc/05/38/37/964053837.db2.gz KKTKIQIKXFXZMB-QGZVFWFLSA-N 0 1 303.402 3.336 20 30 DGEDMN C#C[C@@H]([NH2+][C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1)C(C)C ZINC001588377974 983360212 /nfs/dbraw/zinc/36/02/12/983360212.db2.gz SXWGCBDUJULZKW-OLZOCXBDSA-N 0 1 300.185 3.367 20 30 DGEDMN C=C[C@H]([NH2+][C@@H](COCc1ccccc1)c1ccccc1)C(=O)[O-] ZINC001588695743 983902001 /nfs/dbraw/zinc/90/20/01/983902001.db2.gz KVFHARXXOOKQJF-ROUUACIJSA-N 0 1 311.381 3.173 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCc2cc(C)cc(Br)c2C1 ZINC001588731891 984011981 /nfs/dbraw/zinc/01/19/81/984011981.db2.gz PXIDOQNQSPPBEY-CQSZACIVSA-N 0 1 324.218 3.145 20 30 DGEDMN C=CC[N@H+](Cc1cccc(C#N)c1)[C@@H](C(=O)[O-])c1ccccc1 ZINC001588832844 984326797 /nfs/dbraw/zinc/32/67/97/984326797.db2.gz SRKRBWPQPDYFIG-GOSISDBHSA-N 0 1 306.365 3.372 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)[C@@H](C(=O)[O-])c1ccccc1 ZINC001588832844 984326802 /nfs/dbraw/zinc/32/68/02/984326802.db2.gz SRKRBWPQPDYFIG-GOSISDBHSA-N 0 1 306.365 3.372 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)C[C@]1(C)C(=O)[O-] ZINC001599930554 985307033 /nfs/dbraw/zinc/30/70/33/985307033.db2.gz VGXNHMBTNLBLKI-UGSOOPFHSA-N 0 1 300.402 3.030 20 30 DGEDMN CCC#C[C@@H](C)[N@@H+]1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1 ZINC001594691976 987096646 /nfs/dbraw/zinc/09/66/46/987096646.db2.gz PICORRXVQKZPCY-UHOFOFEASA-N 0 1 305.805 3.242 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C1 ZINC001589554155 987298590 /nfs/dbraw/zinc/29/85/90/987298590.db2.gz VPVFXEWFLWASER-NEPJUHHUSA-N 0 1 320.355 3.291 20 30 DGEDMN CC(C)([NH2+][C@H](C(=O)[O-])c1ccc(C#N)cc1)c1cccs1 ZINC001590866816 990762481 /nfs/dbraw/zinc/76/24/81/990762481.db2.gz BFGBYDLDXRMEEY-AWEZNQCLSA-N 0 1 300.383 3.270 20 30 DGEDMN CC(C)([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1cccc(C#N)c1 ZINC001590872743 990781788 /nfs/dbraw/zinc/78/17/88/990781788.db2.gz PQNQCMRMBRWTAH-UHFFFAOYSA-N 0 1 319.364 3.153 20 30 DGEDMN C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)C1CCC(F)(F)CC1 ZINC001598525291 992711890 /nfs/dbraw/zinc/71/18/90/992711890.db2.gz FOXPINHACXSBFH-UHFFFAOYSA-N 0 1 308.328 3.266 20 30 DGEDMN CC(C)[N@@H+](Cc1ccc(C(=O)[O-])n1C)Cc1ccc(C#N)cc1 ZINC001591596485 992823029 /nfs/dbraw/zinc/82/30/29/992823029.db2.gz GCSHEMKQQUTGRE-UHFFFAOYSA-N 0 1 311.385 3.006 20 30 DGEDMN COc1cccc([C@](C)(CC(=O)[O-])[NH2+]Cc2ccccc2C#N)c1 ZINC001599654873 994562899 /nfs/dbraw/zinc/56/28/99/994562899.db2.gz AXWCLHZTKPLPAO-IBGZPJMESA-N 0 1 324.380 3.047 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc(/C=C/C(=O)[O-])o1 ZINC001593699283 996169366 /nfs/dbraw/zinc/16/93/66/996169366.db2.gz TURMAGDZSYWBNE-MMQHEFTJSA-N 0 1 310.353 3.442 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001677399756 1198527931 /nfs/dbraw/zinc/52/79/31/1198527931.db2.gz SMNMCEBGTBRVHG-PKNBQFBNSA-N 0 1 319.276 3.487 20 30 DGEDMN Cc1cccc2c(Cl)nnc(N=C(NC#N)c3ccncc3)c12 ZINC001156322949 1081573241 /nfs/dbraw/zinc/57/32/41/1081573241.db2.gz KMUMEHRTFONWNM-UHFFFAOYSA-N 0 1 322.759 3.136 20 30 DGEDMN CC(=O)N1CCC[C@@H](N(CCC#N)C2CCCCCCC2)C1 ZINC001169615211 1081616155 /nfs/dbraw/zinc/61/61/55/1081616155.db2.gz OCSWELUHTSTVCZ-GOSISDBHSA-N 0 1 305.466 3.326 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](CC)CC(F)F)C1 ZINC001208321797 1081632689 /nfs/dbraw/zinc/63/26/89/1081632689.db2.gz KRUKALCELMZKFW-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN(C)Cc2ccccc2F)cc1 ZINC001167077800 1081640810 /nfs/dbraw/zinc/64/08/10/1081640810.db2.gz NUPUROWUZOWZRO-UHFFFAOYSA-N 0 1 310.372 3.268 20 30 DGEDMN C=CCCCN(Cc1cnn(CC)c1)Cc1c(C)nn(C)c1C ZINC001209160230 1081693107 /nfs/dbraw/zinc/69/31/07/1081693107.db2.gz KMCFJMYHUDNKEQ-UHFFFAOYSA-N 0 1 315.465 3.222 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H]2CCC[C@@H]2C)CC1 ZINC001266386517 1081729755 /nfs/dbraw/zinc/72/97/55/1081729755.db2.gz IYUVFQICJOXEOH-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(CC)CCNC(=O)c1ccccc1C(C)(C)CC ZINC001266396542 1081743375 /nfs/dbraw/zinc/74/33/75/1081743375.db2.gz IPUBZDVWMWPPEN-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001109015717 1081752022 /nfs/dbraw/zinc/75/20/22/1081752022.db2.gz FMLIGLOQACPBMN-XDSMFLPWSA-N 0 1 323.440 3.136 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001109015428 1081752573 /nfs/dbraw/zinc/75/25/73/1081752573.db2.gz BTRCHTKIEHLLJE-WCYOLYMNSA-N 0 1 323.440 3.136 20 30 DGEDMN CCCCCCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(=O)C(C)(C)C)C2 ZINC001109098548 1081763973 /nfs/dbraw/zinc/76/39/73/1081763973.db2.gz COBNOYOPGFYODA-PMPSAXMXSA-N 0 1 322.493 3.294 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1csc(C)n1)C1CC1 ZINC001266412066 1081767517 /nfs/dbraw/zinc/76/75/17/1081767517.db2.gz LMUNPZBOEACEIY-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN N#C[C@@H]1CCC[N@H+](Cc2c([O-])cccc2Br)CC1 ZINC001140101259 1081840791 /nfs/dbraw/zinc/84/07/91/1081840791.db2.gz NLZJCZSLEXXBML-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN N#C[C@@H]1CCC[N@H+](Cc2c(O)cccc2Br)CC1 ZINC001140101259 1081840779 /nfs/dbraw/zinc/84/07/79/1081840779.db2.gz NLZJCZSLEXXBML-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN N#C[C@@H]1CCC[N@@H+](Cc2c([O-])cccc2Br)CC1 ZINC001140101259 1081840786 /nfs/dbraw/zinc/84/07/86/1081840786.db2.gz NLZJCZSLEXXBML-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](CCCCC)C(C)C ZINC001266488363 1081889059 /nfs/dbraw/zinc/88/90/59/1081889059.db2.gz HXNKXJUECWORHH-ZWKOTPCHSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(c2ccccc2)CCCC1 ZINC001266492124 1081892914 /nfs/dbraw/zinc/89/29/14/1081892914.db2.gz IRZDYQDSLVCZRQ-IBGZPJMESA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(c2ccccc2)CCCC1 ZINC001266492125 1081893428 /nfs/dbraw/zinc/89/34/28/1081893428.db2.gz IRZDYQDSLVCZRQ-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@@H](C)c1ccccc1)C2 ZINC001109806377 1081917055 /nfs/dbraw/zinc/91/70/55/1081917055.db2.gz YTDYRPWLXHTGSR-LMCOJAPRSA-N 0 1 324.468 3.315 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)C1CCCCC1)C2 ZINC001109830232 1081921336 /nfs/dbraw/zinc/92/13/36/1081921336.db2.gz QTBNGVZSDNPUIQ-NQYYFHDYSA-N 0 1 316.489 3.338 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CCCCCC)C2 ZINC001109869788 1081925233 /nfs/dbraw/zinc/92/52/33/1081925233.db2.gz CTNZEGSWBLZAJE-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](CC)c1ccccc1)C2 ZINC001109970086 1081941074 /nfs/dbraw/zinc/94/10/74/1081941074.db2.gz NIQATEYTRXRQGO-YSHGAJCASA-N 0 1 312.457 3.478 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccccc2F)CC1 ZINC001112598041 1081969879 /nfs/dbraw/zinc/96/98/79/1081969879.db2.gz XIDRMCDJICBVGK-UHFFFAOYSA-N 0 1 304.409 3.330 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC/C=C/c2ccccc2)CC1 ZINC001112809302 1082008433 /nfs/dbraw/zinc/00/84/33/1082008433.db2.gz CYLWMATWUAPZGE-XYOKQWHBSA-N 0 1 310.441 3.038 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(CC[C@H]2CCC[C@@H](C)C2)CC1 ZINC001112834456 1082014887 /nfs/dbraw/zinc/01/48/87/1082014887.db2.gz OTIKLLYZDULJTA-QZTJIDSGSA-N 0 1 304.478 3.006 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001112836754 1082019525 /nfs/dbraw/zinc/01/95/25/1082019525.db2.gz IYAHIAFDZWHNNA-UHFFFAOYSA-N 0 1 302.462 3.071 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](OCC)C(C)C)CC1 ZINC001112842063 1082021375 /nfs/dbraw/zinc/02/13/75/1082021375.db2.gz WJDVUVBQGHRBJQ-QGZVFWFLSA-N 0 1 312.498 3.162 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC001112867389 1082029167 /nfs/dbraw/zinc/02/91/67/1082029167.db2.gz OKDUNJQMKYUEIA-ZJPYXAASSA-N 0 1 302.462 3.088 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(CCCCC2CCOCC2)CC1 ZINC001112901968 1082045112 /nfs/dbraw/zinc/04/51/12/1082045112.db2.gz DCLIXTDNQWCEDG-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CC/C=C/CCC)CC1)OCC ZINC001112906817 1082047524 /nfs/dbraw/zinc/04/75/24/1082047524.db2.gz PPAZAFPITDGRBA-BLGFXRMMSA-N 0 1 322.493 3.248 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(Cc2c(C)cccc2C)CC1 ZINC001112901650 1082048520 /nfs/dbraw/zinc/04/85/20/1082048520.db2.gz YIANDAVCHRUYRX-UHFFFAOYSA-N 0 1 300.446 3.304 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCO[C@@H]2C(C)C)CC1 ZINC001112939581 1082056403 /nfs/dbraw/zinc/05/64/03/1082056403.db2.gz BAINKKFZRRKBGQ-QZTJIDSGSA-N 0 1 324.509 3.162 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(C)noc2C(C)C)CC1 ZINC001112985192 1082075947 /nfs/dbraw/zinc/07/59/47/1082075947.db2.gz CVPGHDFLWVKVCH-AWEZNQCLSA-N 0 1 319.449 3.219 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C/Cc2ccccc2)CC1 ZINC001112985387 1082076140 /nfs/dbraw/zinc/07/61/40/1082076140.db2.gz HEWVRJPZNFTCCM-VOTSOKGWSA-N 0 1 312.457 3.286 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)co1 ZINC001125451750 1082076139 /nfs/dbraw/zinc/07/61/39/1082076139.db2.gz ZQGXYTVICXZTAL-HNNXBMFYSA-N 0 1 322.324 3.131 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC#CC)C2CC2)CCCCC1 ZINC001266621968 1082087313 /nfs/dbraw/zinc/08/73/13/1082087313.db2.gz MYAGOBSBROUROC-UHFFFAOYSA-N 0 1 302.462 3.117 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](OC)[C@H](C)CC)CC1 ZINC001113046611 1082100449 /nfs/dbraw/zinc/10/04/49/1082100449.db2.gz CPJAIIQKEOYJDK-SJORKVTESA-N 0 1 312.498 3.162 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001086573791 1082103926 /nfs/dbraw/zinc/10/39/26/1082103926.db2.gz MPZWCCVAQUSPTD-NZSAHSFTSA-N 0 1 310.441 3.113 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2occc2C)C1 ZINC001086575457 1082105658 /nfs/dbraw/zinc/10/56/58/1082105658.db2.gz SAYUPZAXUKSBFC-ZWKOTPCHSA-N 0 1 324.424 3.362 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)cc(OC)c2C)CC1 ZINC001113071381 1082107522 /nfs/dbraw/zinc/10/75/22/1082107522.db2.gz UQQXBHGWIAGKJJ-UHFFFAOYSA-N 0 1 316.445 3.036 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccc(C)cc2)CC1 ZINC001113083962 1082110385 /nfs/dbraw/zinc/11/03/85/1082110385.db2.gz ZXDKUVQQJOBTHL-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001113133867 1082122533 /nfs/dbraw/zinc/12/25/33/1082122533.db2.gz FGDDBTNJXRYYCW-XLAORIBOSA-N 0 1 304.478 3.312 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001266663468 1082122526 /nfs/dbraw/zinc/12/25/26/1082122526.db2.gz YJYDTVDULHWQHM-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CCCCC2(F)F)CC1 ZINC001113149488 1082126016 /nfs/dbraw/zinc/12/60/16/1082126016.db2.gz QARJMBGAYVKOAV-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(C[C@H](C)CC(C)C)CC1 ZINC001113164570 1082129669 /nfs/dbraw/zinc/12/96/69/1082129669.db2.gz SJNQZKZRNMUAKA-MJGOQNOKSA-N 0 1 324.509 3.042 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(CCCCCCC)CC1 ZINC001113164516 1082130044 /nfs/dbraw/zinc/13/00/44/1082130044.db2.gz QJPJWSAHWSNBIZ-LJQANCHMSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001266672079 1082131568 /nfs/dbraw/zinc/13/15/68/1082131568.db2.gz LWJOZJRVBBKYLE-UPJWGTAASA-N 0 1 308.372 3.229 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111848924 1082131720 /nfs/dbraw/zinc/13/17/20/1082131720.db2.gz XXHBFEFZJKIVMS-YMQQPHGUSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc3cc(F)ccc3[nH]2)CC1 ZINC001113177231 1082133999 /nfs/dbraw/zinc/13/39/99/1082133999.db2.gz SLHJUACPTJIJLI-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cnc3ccsc3c2)CC1 ZINC001113190905 1082135404 /nfs/dbraw/zinc/13/54/04/1082135404.db2.gz XFTMORWQCXVUQR-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C#CCCCCCC(=O)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001113204927 1082138791 /nfs/dbraw/zinc/13/87/91/1082138791.db2.gz CWZFHTIMUMDMRZ-HXUWFJFHSA-N 0 1 324.468 3.402 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113217326 1082140902 /nfs/dbraw/zinc/14/09/02/1082140902.db2.gz CLWQRFPINPCLPY-MSOLQXFVSA-N 0 1 314.473 3.222 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113217329 1082141228 /nfs/dbraw/zinc/14/12/28/1082141228.db2.gz CLWQRFPINPCLPY-ZWKOTPCHSA-N 0 1 314.473 3.222 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CC3CC4(CCC4)C3)CC2)CCC1 ZINC001113315124 1082176829 /nfs/dbraw/zinc/17/68/29/1082176829.db2.gz WMNKCABBQXBSJZ-UHFFFAOYSA-N 0 1 316.489 3.457 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C(C)C)n[nH]2)CC1 ZINC001113367466 1082184553 /nfs/dbraw/zinc/18/45/53/1082184553.db2.gz SDWCRRNTOFBYND-UHFFFAOYSA-N 0 1 320.481 3.261 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(Cl)c(F)c2)CC1 ZINC001113375523 1082188200 /nfs/dbraw/zinc/18/82/00/1082188200.db2.gz RMUILYJJCIYYJJ-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001113390444 1082193847 /nfs/dbraw/zinc/19/38/47/1082193847.db2.gz CCDHDUQJLJNCGI-CQSZACIVSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)Cc2cccs2)CC1 ZINC001113391368 1082194741 /nfs/dbraw/zinc/19/47/41/1082194741.db2.gz AADGKYQGCMJBDH-OAHLLOKOSA-N 0 1 306.475 3.037 20 30 DGEDMN C=CCCN1CCN(C(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001113404756 1082201890 /nfs/dbraw/zinc/20/18/90/1082201890.db2.gz FZFYVLKXBHVJCH-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CC1(CC(=O)N2CCN(CC[C@H](C)F)CC2)CCCCC1 ZINC001113428111 1082209713 /nfs/dbraw/zinc/20/97/13/1082209713.db2.gz FECMLHDRMRGAFO-INIZCTEOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(Cl)cc(OC)c2)CC1 ZINC001113448918 1082215734 /nfs/dbraw/zinc/21/57/34/1082215734.db2.gz MRZGVKOJUVXCPS-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001086594825 1082228564 /nfs/dbraw/zinc/22/85/64/1082228564.db2.gz WEQBHOMXDLIKDU-GBESFXJTSA-N 0 1 324.468 3.337 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@@]23CCc2ccccc23)CC1 ZINC001113477705 1082229024 /nfs/dbraw/zinc/22/90/24/1082229024.db2.gz HHXBAJPTBQDXPW-PZJWPPBQSA-N 0 1 324.468 3.001 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C23CCC(CC2)C3)C1 ZINC001086595423 1082234784 /nfs/dbraw/zinc/23/47/84/1082234784.db2.gz ASTLKYJYKRQDPA-CXHBIVLNSA-N 0 1 324.468 3.337 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCO[C@H](CC)C2)CC1 ZINC001113502921 1082235846 /nfs/dbraw/zinc/23/58/46/1082235846.db2.gz XCYDBXMLDJDZQB-QZTJIDSGSA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H](C)[C@H]2CCCO2)CC1 ZINC001113580748 1082253924 /nfs/dbraw/zinc/25/39/24/1082253924.db2.gz ZRGZRLKDAKKFOK-QZTJIDSGSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001086600468 1082262821 /nfs/dbraw/zinc/26/28/21/1082262821.db2.gz VCORKTZBDMIAPG-LWYYNNOASA-N 0 1 324.468 3.193 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC001113610005 1082263344 /nfs/dbraw/zinc/26/33/44/1082263344.db2.gz CGVXNXXJHAQZJP-UHFFFAOYSA-N 0 1 322.399 3.469 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001086600986 1082267995 /nfs/dbraw/zinc/26/79/95/1082267995.db2.gz AQWYYFHBEAGWCW-XQPJFTJZSA-N 0 1 324.468 3.193 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCC)c1ccccn1 ZINC001266853676 1082268422 /nfs/dbraw/zinc/26/84/22/1082268422.db2.gz QLOMSPBOAOEGFD-SJORKVTESA-N 0 1 315.461 3.122 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2cccc(F)c2)CC1 ZINC001113656484 1082271199 /nfs/dbraw/zinc/27/11/99/1082271199.db2.gz JMFJGERANIVLPZ-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@H]2CC[C@@H](C)O2)CC1 ZINC001113658013 1082272726 /nfs/dbraw/zinc/27/27/26/1082272726.db2.gz COHPZHUJONDRFI-QZTJIDSGSA-N 0 1 324.509 3.449 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CC[C@H](C)F)CC1)c1ccccc1 ZINC001113667292 1082273598 /nfs/dbraw/zinc/27/35/98/1082273598.db2.gz XYWGMQLOSKRYIF-DLBZAZTESA-N 0 1 318.436 3.239 20 30 DGEDMN O=C(CC#Cc1ccccc1)N1CCN(CCCC2CCC2)CC1 ZINC001113668347 1082274400 /nfs/dbraw/zinc/27/44/00/1082274400.db2.gz CIKPENMGNVIPIY-UHFFFAOYSA-N 0 1 324.468 3.153 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(-c3ccccc3)[nH]2)CC1 ZINC001113723128 1082290779 /nfs/dbraw/zinc/29/07/79/1082290779.db2.gz LPJNVTIJMKEDEH-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2csc(C3CC3)n2)CC1 ZINC001113722499 1082291415 /nfs/dbraw/zinc/29/14/15/1082291415.db2.gz XUYYADHSTADBTR-CYBMUJFWSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001266890897 1082308772 /nfs/dbraw/zinc/30/87/72/1082308772.db2.gz HQUBBJPGMZKNTG-AZUAARDMSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CCC(F)F)C1 ZINC001266892018 1082309204 /nfs/dbraw/zinc/30/92/04/1082309204.db2.gz SLJSTCYSYRCJPU-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN N#CC1(c2ccccc2)CCC(NCc2nc(C3CC3)no2)CC1 ZINC001170362216 1082353180 /nfs/dbraw/zinc/35/31/80/1082353180.db2.gz PXVNMAHLVMALHW-UHFFFAOYSA-N 0 1 322.412 3.441 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001266935062 1082357043 /nfs/dbraw/zinc/35/70/43/1082357043.db2.gz GEHBCVDMNYUVCF-CVEARBPZSA-N 0 1 318.436 3.098 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1cc(Cl)ccc1F ZINC001266937198 1082357318 /nfs/dbraw/zinc/35/73/18/1082357318.db2.gz DOOGFLMSNAVESL-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN COc1ccc(C[C@H](C)N2CCC(C)(C#N)CC2)c([N+](=O)[O-])c1 ZINC001170352067 1082357662 /nfs/dbraw/zinc/35/76/62/1082357662.db2.gz KVMCWWCEPLIUEO-ZDUSSCGKSA-N 0 1 317.389 3.160 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001480906591 1082377453 /nfs/dbraw/zinc/37/74/53/1082377453.db2.gz COJNLNFPEUPZFX-QGZVFWFLSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2ccc(F)cc2F)CC1 ZINC001266967627 1082386369 /nfs/dbraw/zinc/38/63/69/1082386369.db2.gz HPXTXCBHRAPJLD-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001266974200 1082392377 /nfs/dbraw/zinc/39/23/77/1082392377.db2.gz CEZQPWYVZRSESE-HIFRSBDPSA-N 0 1 308.853 3.417 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266975130 1082393368 /nfs/dbraw/zinc/39/33/68/1082393368.db2.gz KMJIOZUKGLEUMJ-GJZGRUSLSA-N 0 1 320.864 3.078 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(N)nc1NCC#Cc1ccccc1 ZINC001170426120 1082393775 /nfs/dbraw/zinc/39/37/75/1082393775.db2.gz KUBFWPBKHJUXKD-UHFFFAOYSA-N 0 1 322.412 3.112 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3ccc(Cl)cc3)C[C@H]21 ZINC001114002322 1082395235 /nfs/dbraw/zinc/39/52/35/1082395235.db2.gz KIDTXBLWUCAUPD-BWUSGFMJSA-N 0 1 318.848 3.414 20 30 DGEDMN C[C@@]1(O)CCCCN(Cc2ccc(C#N)cc2Br)C1 ZINC001137632717 1082509731 /nfs/dbraw/zinc/50/97/31/1082509731.db2.gz KCYHVQGFJVGKHW-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN C#CCOCCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC001167582969 1082556421 /nfs/dbraw/zinc/55/64/21/1082556421.db2.gz YWAYIBQAHZTONR-OAHLLOKOSA-N 0 1 308.219 3.236 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2cc(C#N)ccc2Cl)C1 ZINC001140602172 1082624372 /nfs/dbraw/zinc/62/43/72/1082624372.db2.gz FWELFGBMSCKZIJ-CQSZACIVSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2cc3ccccc3o2)C1 ZINC001267214838 1082648093 /nfs/dbraw/zinc/64/80/93/1082648093.db2.gz FSIGFQHMSCDSHK-UHFFFAOYSA-N 0 1 312.413 3.337 20 30 DGEDMN Cc1cc(C)c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C)n1 ZINC001131674460 1082704719 /nfs/dbraw/zinc/70/47/19/1082704719.db2.gz PJJLDMJEOYPQME-UHFFFAOYSA-N 0 1 305.341 3.007 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001267267194 1082728029 /nfs/dbraw/zinc/72/80/29/1082728029.db2.gz LXUASEBXVXKUDE-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001267277412 1082740040 /nfs/dbraw/zinc/74/00/40/1082740040.db2.gz XTLMJECCGFJYLO-QYZOEREBSA-N 0 1 312.457 3.022 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ncccc2C)C1 ZINC001132063977 1082812187 /nfs/dbraw/zinc/81/21/87/1082812187.db2.gz BUPYYZSNQPLSQA-SJORKVTESA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C12CCC(CC1)C2)c1ccccc1 ZINC001267362013 1082834510 /nfs/dbraw/zinc/83/45/10/1082834510.db2.gz NDTDIHUJVAMWQU-KGXSXCIVSA-N 0 1 310.441 3.037 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](CCC)C(C)C)c1ccccc1 ZINC001267371224 1082840258 /nfs/dbraw/zinc/84/02/58/1082840258.db2.gz WRZGUUCOSMZYGI-QZTJIDSGSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccnc2C)C1 ZINC001132256348 1082859998 /nfs/dbraw/zinc/85/99/98/1082859998.db2.gz MNENPHMXSQUYSR-CRAIPNDOSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC/C=C\c2ccccc2)CC[C@H]1C ZINC001132296532 1082874870 /nfs/dbraw/zinc/87/48/70/1082874870.db2.gz RXCVLNJXUXHVJT-YIEHMZNDSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H](C)c1cccc(F)c1 ZINC001267426088 1082879730 /nfs/dbraw/zinc/87/97/30/1082879730.db2.gz ORCYWKCOEFCPNF-LBPRGKRZSA-N 0 1 312.816 3.120 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(CCOCC)CCCC2)CC[C@@H]1C ZINC001132381557 1082895750 /nfs/dbraw/zinc/89/57/50/1082895750.db2.gz YVHTZVPHFUVKNH-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCC2CCCCC2)CC[C@@H]1C ZINC001132463146 1082918531 /nfs/dbraw/zinc/91/85/31/1082918531.db2.gz CHWHUOCTRVHAIJ-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1[nH]ccc1C)c1ccc(C(C)C)cc1 ZINC001267512611 1082972566 /nfs/dbraw/zinc/97/25/66/1082972566.db2.gz OZANQEJXFPXSIG-GOSISDBHSA-N 0 1 323.440 3.140 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1=CCCCCC1)c1ccccc1 ZINC001267519623 1082979121 /nfs/dbraw/zinc/97/91/21/1082979121.db2.gz HOCRFAOMYIKXDT-LJQANCHMSA-N 0 1 310.441 3.347 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C(C)C)oc1C)c1ccccc1 ZINC001267524858 1082985605 /nfs/dbraw/zinc/98/56/05/1082985605.db2.gz GIUSYIZODLEZIG-GOSISDBHSA-N 0 1 324.424 3.405 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](CC)CC(F)F)C1 ZINC001267541642 1083008432 /nfs/dbraw/zinc/00/84/32/1083008432.db2.gz FJVMMUDCUSPQPP-UONOGXRCSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccc(C)s2)C1 ZINC001267550278 1083021139 /nfs/dbraw/zinc/02/11/39/1083021139.db2.gz SPBNOLDZPDITMF-INIZCTEOSA-N 0 1 320.502 3.488 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001267558497 1083033571 /nfs/dbraw/zinc/03/35/71/1083033571.db2.gz BMFSPIUJZTWEJK-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1cc(C)cc(C)c1 ZINC001283664668 1083068268 /nfs/dbraw/zinc/06/82/68/1083068268.db2.gz NBVADJOSGCNOIJ-OAHLLOKOSA-N 0 1 308.853 3.035 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(F)c2ccccc12 ZINC001481002791 1083071398 /nfs/dbraw/zinc/07/13/98/1083071398.db2.gz VGUNRNDGFNQRDH-UHFFFAOYSA-N 0 1 312.388 3.054 20 30 DGEDMN C=CCC1(C(=O)NCCN[C@@H](C)c2cc(F)ccc2F)CCC1 ZINC001133398993 1083112970 /nfs/dbraw/zinc/11/29/70/1083112970.db2.gz XPBHOFLBDWRDLX-ZDUSSCGKSA-N 0 1 322.399 3.478 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCC[N@@H+]2C[C@H](F)CC)CCCCC1 ZINC001481070223 1083148934 /nfs/dbraw/zinc/14/89/34/1083148934.db2.gz HNTLWLRLZYQFAH-IAGOWNOFSA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCCN2C[C@H](F)CC)CCCCC1 ZINC001481070223 1083148936 /nfs/dbraw/zinc/14/89/36/1083148936.db2.gz HNTLWLRLZYQFAH-IAGOWNOFSA-N 0 1 322.468 3.289 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@@H](C)c2cc(F)ccc2F)C1 ZINC001133571388 1083153628 /nfs/dbraw/zinc/15/36/28/1083153628.db2.gz ONOALOXLMKFOKD-LBPRGKRZSA-N 0 1 308.372 3.088 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481113123 1083185463 /nfs/dbraw/zinc/18/54/63/1083185463.db2.gz PUMYZJALHUCBBO-IBGZPJMESA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001267609752 1083194934 /nfs/dbraw/zinc/19/49/34/1083194934.db2.gz BIEALVKKCMPSOO-SJLPKXTDSA-N 0 1 316.420 3.360 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](C)CC1CCCCC1)C1CC1 ZINC001481187247 1083232151 /nfs/dbraw/zinc/23/21/51/1083232151.db2.gz IABQZYGQZPDIRV-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](C)c1ccc(Cl)s1)C1CC1 ZINC001481193320 1083236224 /nfs/dbraw/zinc/23/62/24/1083236224.db2.gz QHTJAFKTNSMRSI-GFCCVEGCSA-N 0 1 324.877 3.109 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001267634924 1083238290 /nfs/dbraw/zinc/23/82/90/1083238290.db2.gz VTAMBADYMYKWLJ-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001267634924 1083238291 /nfs/dbraw/zinc/23/82/91/1083238291.db2.gz VTAMBADYMYKWLJ-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001267682626 1083261821 /nfs/dbraw/zinc/26/18/21/1083261821.db2.gz CFVJRPBERJUZIR-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001267689507 1083265940 /nfs/dbraw/zinc/26/59/40/1083265940.db2.gz LLGWXWWFNHWYBZ-NLZINVLXSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001267723836 1083283756 /nfs/dbraw/zinc/28/37/56/1083283756.db2.gz MCHGRTNVZKJGGZ-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001134410251 1083284885 /nfs/dbraw/zinc/28/48/85/1083284885.db2.gz LBIHYTZOZTXQNB-UHFFFAOYSA-N 0 1 303.450 3.013 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001267731316 1083290478 /nfs/dbraw/zinc/29/04/78/1083290478.db2.gz XOVSLDJUXIIUSW-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001134470138 1083294349 /nfs/dbraw/zinc/29/43/49/1083294349.db2.gz NPSICNJXLZVHIB-OLZOCXBDSA-N 0 1 307.438 3.021 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(OCC#N)cc3)cc2)CC1 ZINC001212608085 1083316774 /nfs/dbraw/zinc/31/67/74/1083316774.db2.gz OOSKBYGCXAYYKU-UHFFFAOYSA-N 0 1 322.412 3.084 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001267785931 1083346136 /nfs/dbraw/zinc/34/61/36/1083346136.db2.gz ITDUUWPGSDSEKL-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC[C@H](CNC(=O)/C=C\C(C)(C)C)NCc1ccccc1C#N ZINC001267799213 1083354126 /nfs/dbraw/zinc/35/41/26/1083354126.db2.gz JFXCSZCWDRZETB-QXPKXGMISA-N 0 1 313.445 3.145 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001267799486 1083354329 /nfs/dbraw/zinc/35/43/29/1083354329.db2.gz YZBXKLNNAVHOOP-XNWJVHIKSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001267814091 1083364556 /nfs/dbraw/zinc/36/45/56/1083364556.db2.gz XAJFLLOLVNRZJB-GDBMZVCRSA-N 0 1 324.827 3.092 20 30 DGEDMN CCCC1(C(=O)NC[C@H](CC)NCc2ccccc2C#N)CC1 ZINC001267818356 1083375859 /nfs/dbraw/zinc/37/58/59/1083375859.db2.gz DXTYSXFBBKRQEM-KRWDZBQOSA-N 0 1 313.445 3.123 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)Cc1csc2ccc(Cl)cc12 ZINC001181422944 1083463248 /nfs/dbraw/zinc/46/32/48/1083463248.db2.gz IWBGIIOPAXSAHX-UHFFFAOYSA-N 0 1 316.773 3.331 20 30 DGEDMN C[C@@H](CC(=O)Nc1nc[nH]c1C#N)Sc1ccc(Cl)cc1 ZINC001181767437 1083533662 /nfs/dbraw/zinc/53/36/62/1083533662.db2.gz YATVNECTDMNGEZ-VIFPVBQESA-N 0 1 320.805 3.444 20 30 DGEDMN C=CCC1(C(=O)NCc2ccc(CNCCF)cc2)CCC1 ZINC001267938551 1083605737 /nfs/dbraw/zinc/60/57/37/1083605737.db2.gz AUJZOFJJTBCADZ-UHFFFAOYSA-N 0 1 304.409 3.108 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)Cc2n[nH]c3ccccc23)cc1 ZINC001182060701 1083618672 /nfs/dbraw/zinc/61/86/72/1083618672.db2.gz UYSFSBCBKYRPSS-GFCCVEGCSA-N 0 1 304.353 3.371 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](CC)CC(F)F ZINC001267957651 1083649745 /nfs/dbraw/zinc/64/97/45/1083649745.db2.gz HLCMQTGPWNXUCM-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2nc(-c3ccc(C)cc3)n[nH]2)cc1 ZINC001182261605 1083664994 /nfs/dbraw/zinc/66/49/94/1083664994.db2.gz LJLVSAZRXQIOQE-UHFFFAOYSA-N 0 1 302.337 3.014 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccn1C(C)C ZINC001267970453 1083671822 /nfs/dbraw/zinc/67/18/22/1083671822.db2.gz TXMCRYLYWCLHFE-CQSZACIVSA-N 0 1 309.841 3.016 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2CCC2)C1 ZINC001087419181 1083793483 /nfs/dbraw/zinc/79/34/83/1083793483.db2.gz RTZKIPNRVWTFRA-RTBURBONSA-N 0 1 312.457 3.337 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(C)ccc1C)c1ccccc1 ZINC001481371855 1083833040 /nfs/dbraw/zinc/83/30/40/1083833040.db2.gz HSJZBUUIYVRLJG-FQEVSTJZSA-N 0 1 320.436 3.387 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001182897595 1083835413 /nfs/dbraw/zinc/83/54/13/1083835413.db2.gz BRNSPQJKSKRNOM-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCCC1CCCC1)c1ccccc1 ZINC001481374520 1083836582 /nfs/dbraw/zinc/83/65/82/1083836582.db2.gz RIUHZQBEKGBXBC-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)C(C)C)C1 ZINC001087421495 1083840423 /nfs/dbraw/zinc/84/04/23/1083840423.db2.gz IHGJAYPCOLUUQI-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2oc(C(C)C)nc2C)C1 ZINC001182933921 1083848251 /nfs/dbraw/zinc/84/82/51/1083848251.db2.gz XOASZPBWRBRNDF-OAHLLOKOSA-N 0 1 319.449 3.153 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2sccc2Cl)C1 ZINC001481399651 1083867096 /nfs/dbraw/zinc/86/70/96/1083867096.db2.gz MTOULLYPEOSPAB-ZDUSSCGKSA-N 0 1 324.877 3.351 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](OCC)C2CCCC2)C1 ZINC001481403002 1083871219 /nfs/dbraw/zinc/87/12/19/1083871219.db2.gz JKGKDDOWPVQQRC-QZTJIDSGSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2[nH]ccc2C)C1 ZINC001087426728 1083985217 /nfs/dbraw/zinc/98/52/17/1083985217.db2.gz SUBPIDLQIUQXLO-QZTJIDSGSA-N 0 1 323.440 3.097 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001268079656 1084115902 /nfs/dbraw/zinc/11/59/02/1084115902.db2.gz DCTMHSXCEQPYAR-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001268082561 1084123650 /nfs/dbraw/zinc/12/36/50/1084123650.db2.gz DDKBWUDHKJKPSB-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)c1ccsc1 ZINC001268084105 1084125477 /nfs/dbraw/zinc/12/54/77/1084125477.db2.gz KLNVLCQJHRRNHZ-NWDGAFQWSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(Cl)c1F ZINC001268086574 1084133758 /nfs/dbraw/zinc/13/37/58/1084133758.db2.gz XYZBJKUBOZAFJB-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)c1ccccc1F ZINC001268090481 1084140084 /nfs/dbraw/zinc/14/00/84/1084140084.db2.gz URVHFKNEFJSQKH-STQMWFEESA-N 0 1 312.816 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001481573675 1084155340 /nfs/dbraw/zinc/15/53/40/1084155340.db2.gz BBUFULJPDJWOGS-VIFPVBQESA-N 0 1 316.232 3.090 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC(C)C1)C1CCCCC1 ZINC001268117527 1084197124 /nfs/dbraw/zinc/19/71/24/1084197124.db2.gz GIWKEFIMVPPDPV-VDSRIPIWSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001481590015 1084230295 /nfs/dbraw/zinc/23/02/95/1084230295.db2.gz OTNBRNPUWUAABZ-HUUCEWRRSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CCCCN1CC(NC(=O)Cc2ccc3ccccc3c2)C1 ZINC001481600688 1084243392 /nfs/dbraw/zinc/24/33/92/1084243392.db2.gz SDHCXOOBBCXTFQ-UHFFFAOYSA-N 0 1 308.425 3.149 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001481606936 1084250100 /nfs/dbraw/zinc/25/01/00/1084250100.db2.gz YMZXSFVSEUFAHM-AWEZNQCLSA-N 0 1 311.429 3.245 20 30 DGEDMN Cc1ccc(C[N@H+]2CCCC[C@@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723148 1084267110 /nfs/dbraw/zinc/26/71/10/1084267110.db2.gz SPHAXTIYVIWEEY-HNNXBMFYSA-N 0 1 312.335 3.146 20 30 DGEDMN Cc1ccc(CN2CCCC[C@@](O)(C(F)(F)F)C2)cc1C#N ZINC001139723148 1084267124 /nfs/dbraw/zinc/26/71/24/1084267124.db2.gz SPHAXTIYVIWEEY-HNNXBMFYSA-N 0 1 312.335 3.146 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001185064844 1084328887 /nfs/dbraw/zinc/32/88/87/1084328887.db2.gz YGXWDPYLHAIOEW-DZGCQCFKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001481638661 1084330390 /nfs/dbraw/zinc/33/03/90/1084330390.db2.gz PCTVCIIAZAHNMV-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001268237061 1084380254 /nfs/dbraw/zinc/38/02/54/1084380254.db2.gz UUWXFCZSPNYFMF-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C#CCCCC(=O)NCCNCc1ccc(Cl)cc1Cl ZINC001126338510 1084452061 /nfs/dbraw/zinc/45/20/61/1084452061.db2.gz CMRZTZPZTFRTQY-UHFFFAOYSA-N 0 1 313.228 3.003 20 30 DGEDMN C=CCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2ccccc21 ZINC001481674378 1084469635 /nfs/dbraw/zinc/46/96/35/1084469635.db2.gz RQTAXKYAGCCUTL-HOTGVXAUSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2c(C)cccc2Cl)C1 ZINC001268331874 1084498331 /nfs/dbraw/zinc/49/83/31/1084498331.db2.gz JNGQVERPUKUHOW-AWEZNQCLSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C\c1ccccc1 ZINC001110367644 1084511505 /nfs/dbraw/zinc/51/15/05/1084511505.db2.gz PKENXWCEHAKKTM-VDFWUJEPSA-N 0 1 310.441 3.388 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C/c1ccccc1 ZINC001110367643 1084511816 /nfs/dbraw/zinc/51/18/16/1084511816.db2.gz PKENXWCEHAKKTM-IDXADGFSSA-N 0 1 310.441 3.388 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001481721422 1084533684 /nfs/dbraw/zinc/53/36/84/1084533684.db2.gz HWEXELMGMCAKGC-IMTHGLKYSA-N 0 1 324.468 3.426 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCNCc1csc(C)n1 ZINC001268404995 1084570841 /nfs/dbraw/zinc/57/08/41/1084570841.db2.gz PFUMLFMPELZTCQ-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1cncs1 ZINC001273513970 1084578969 /nfs/dbraw/zinc/57/89/69/1084578969.db2.gz AFXWNEOJKPQLJQ-UHFFFAOYSA-N 0 1 309.479 3.026 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C3CC3)nc2C)C1 ZINC001268453116 1084625056 /nfs/dbraw/zinc/62/50/56/1084625056.db2.gz GRZPJVIDAQIYLN-CYBMUJFWSA-N 0 1 313.445 3.036 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(C)C)nc1 ZINC001268452809 1084626473 /nfs/dbraw/zinc/62/64/73/1084626473.db2.gz CGYBQELFWYUBKH-UHFFFAOYSA-N 0 1 309.841 3.009 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCc1ccccc1F ZINC001110378756 1084628297 /nfs/dbraw/zinc/62/82/97/1084628297.db2.gz GMZVUWHQVOXLNK-NXHRZFHOSA-N 0 1 316.420 3.056 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001268455998 1084636579 /nfs/dbraw/zinc/63/65/79/1084636579.db2.gz KHYGLOJMZJRRPY-WMZOPIPTSA-N 0 1 314.473 3.410 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnoc1C(C)C ZINC001481878703 1084684450 /nfs/dbraw/zinc/68/44/50/1084684450.db2.gz YHNCPNWBEJEFQA-CABCVRRESA-N 0 1 317.433 3.053 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CN[C@H](C)c1cc(F)ccc1F ZINC001268533067 1084789730 /nfs/dbraw/zinc/78/97/30/1084789730.db2.gz NDKSRRXXLMOSNU-LMVHVUTASA-N 0 1 320.383 3.091 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)CNCc1cc(C(C)(C)C)on1 ZINC001482105340 1084838724 /nfs/dbraw/zinc/83/87/24/1084838724.db2.gz HJZPUBHIBDWZSV-AWEZNQCLSA-N 0 1 321.465 3.170 20 30 DGEDMN C[C@@H]1CC[C@@H](CC(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001268578163 1084839948 /nfs/dbraw/zinc/83/99/48/1084839948.db2.gz HIJPXWUPVGSJTQ-YHTLKTDXSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1ccncc1Cl ZINC001268588588 1084857424 /nfs/dbraw/zinc/85/74/24/1084857424.db2.gz SVUNXNCYMFQNHQ-LXXRFIIISA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1oc2ccccc2c1C ZINC001268619846 1084896695 /nfs/dbraw/zinc/89/66/95/1084896695.db2.gz NVDSGAXXGHZCEP-WAYWQWQTSA-N 0 1 318.804 3.369 20 30 DGEDMN C=CC[N@H+]1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C2(C)CC2)C1 ZINC001087464802 1084900482 /nfs/dbraw/zinc/90/04/82/1084900482.db2.gz FLJKEASUBAKNSI-IAGOWNOFSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C2(C)CC2)C1 ZINC001087464802 1084900486 /nfs/dbraw/zinc/90/04/86/1084900486.db2.gz FLJKEASUBAKNSI-IAGOWNOFSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001268647030 1084913274 /nfs/dbraw/zinc/91/32/74/1084913274.db2.gz QIWDGOXBGWTMBT-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001268658908 1084922811 /nfs/dbraw/zinc/92/28/11/1084922811.db2.gz HMZOXQOWNVODSJ-CHWSQXEVSA-N 0 1 315.417 3.195 20 30 DGEDMN CN(CC[N@@H+](C)Cc1cccc(C#N)c1)C(=O)/C=C\C(C)(C)C ZINC001496680970 1084926550 /nfs/dbraw/zinc/92/65/50/1084926550.db2.gz ZWZXYWYTXSCFOZ-KTKRTIGZSA-N 0 1 313.445 3.051 20 30 DGEDMN CN(CCN(C)C(=O)/C=C\C(C)(C)C)Cc1cccc(C#N)c1 ZINC001496680970 1084926555 /nfs/dbraw/zinc/92/65/55/1084926555.db2.gz ZWZXYWYTXSCFOZ-KTKRTIGZSA-N 0 1 313.445 3.051 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001268681432 1084941435 /nfs/dbraw/zinc/94/14/35/1084941435.db2.gz DVIHVQWGBLGTSY-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001268703967 1084958150 /nfs/dbraw/zinc/95/81/50/1084958150.db2.gz VCLJTTHDFJOZEQ-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(F)c2occc21 ZINC001268716435 1084967126 /nfs/dbraw/zinc/96/71/26/1084967126.db2.gz GVVYCFGVBIWPRI-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)C[N@@H+](C)Cc1ccc(C#N)c(F)c1 ZINC001268741871 1085006259 /nfs/dbraw/zinc/00/62/59/1085006259.db2.gz AFWTWNKDGSYBSG-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001268741871 1085006267 /nfs/dbraw/zinc/00/62/67/1085006267.db2.gz AFWTWNKDGSYBSG-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN([C@@H]2CCc3c2cccc3Cl)C1 ZINC001269009963 1085098988 /nfs/dbraw/zinc/09/89/88/1085098988.db2.gz TZOKQAQIOWPONY-SUMWQHHRSA-N 0 1 318.848 3.484 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001269025798 1085101470 /nfs/dbraw/zinc/10/14/70/1085101470.db2.gz HLYGRUVVZQPCGJ-IBGZPJMESA-N 0 1 324.468 3.304 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001269052151 1085106713 /nfs/dbraw/zinc/10/67/13/1085106713.db2.gz VVLYQKWSIOHZDL-INIZCTEOSA-N 0 1 304.409 3.037 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001269221421 1085215368 /nfs/dbraw/zinc/21/53/68/1085215368.db2.gz JWWWESQGJOOPJQ-YOEHRIQHSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001269269429 1085252790 /nfs/dbraw/zinc/25/27/90/1085252790.db2.gz ZTXUQFYXSQVNNN-YJBOKZPZSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001269287738 1085267286 /nfs/dbraw/zinc/26/72/86/1085267286.db2.gz WPDBJAGXBWHZCL-XJKSGUPXSA-N 0 1 306.837 3.210 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001269345437 1085321105 /nfs/dbraw/zinc/32/11/05/1085321105.db2.gz QVMXDJUUYHABAX-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CC1)C1CCCCCC1 ZINC001269384145 1085354311 /nfs/dbraw/zinc/35/43/11/1085354311.db2.gz WFQPLDCVHIQZMC-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cscn2)CCCC1 ZINC001482219614 1085394277 /nfs/dbraw/zinc/39/42/77/1085394277.db2.gz KSMABQCRJUPSSK-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2ccn(C)c2c1 ZINC001269492854 1085421386 /nfs/dbraw/zinc/42/13/86/1085421386.db2.gz PXULPFDOCDEWIG-OAHLLOKOSA-N 0 1 319.836 3.029 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1CCC(C)(C)CC1 ZINC001269516063 1085439396 /nfs/dbraw/zinc/43/93/96/1085439396.db2.gz LCGAFGLLCWZXMI-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](CC)CC(F)F)C1 ZINC001269800696 1085569339 /nfs/dbraw/zinc/56/93/39/1085569339.db2.gz OTSFJWCCDUFXIL-OLZOCXBDSA-N 0 1 302.409 3.165 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2Cc3ccccc32)C1 ZINC001269840031 1085582384 /nfs/dbraw/zinc/58/23/84/1085582384.db2.gz VXNYPYCBRWFJIW-IBGZPJMESA-N 0 1 314.473 3.439 20 30 DGEDMN C[C@H](CNCc1ccc(C#N)cc1F)N(C)C(=O)CC(C)(C)C ZINC001482254726 1085631317 /nfs/dbraw/zinc/63/13/17/1085631317.db2.gz IAMBFKAFZWJQNF-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001270112596 1085696508 /nfs/dbraw/zinc/69/65/08/1085696508.db2.gz HQHFYNVKNURKTM-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CCC(F)F)CC1 ZINC001270125468 1085700744 /nfs/dbraw/zinc/70/07/44/1085700744.db2.gz ZYYBUUSLVQSLOP-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@]1(C)CC=C(C)CC1 ZINC001270309359 1085767751 /nfs/dbraw/zinc/76/77/51/1085767751.db2.gz XXECWOMZJFRHTA-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001270309360 1085767857 /nfs/dbraw/zinc/76/78/57/1085767857.db2.gz XXECWOMZJFRHTA-WBVHZDCISA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1sccc1Cl ZINC001482285307 1085796110 /nfs/dbraw/zinc/79/61/10/1085796110.db2.gz GCWOQFZKHSDYOV-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C\c1ccc[nH]1)C1CCCC1 ZINC001270395967 1085798085 /nfs/dbraw/zinc/79/80/85/1085798085.db2.gz FSMFEAHNANPRBL-QWGSZXSUSA-N 0 1 321.852 3.045 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001482327974 1086012102 /nfs/dbraw/zinc/01/21/02/1086012102.db2.gz QFILYZITXMGCEJ-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001482328504 1086016116 /nfs/dbraw/zinc/01/61/16/1086016116.db2.gz ZTGNLSSNXAUAMX-PXAZEXFGSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1sc(C)cc1C ZINC001482358444 1086073994 /nfs/dbraw/zinc/07/39/94/1086073994.db2.gz NJAPDYHXMXELJB-LBPRGKRZSA-N 0 1 314.882 3.096 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CC(C)(C)C(F)(F)F)CC2 ZINC001270863968 1086085275 /nfs/dbraw/zinc/08/52/75/1086085275.db2.gz NGPUCMHHUFSWHH-UHFFFAOYSA-N 0 1 318.383 3.075 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)CC(C)(C)C)[C@H](OC)C1 ZINC001211864450 1086088490 /nfs/dbraw/zinc/08/84/90/1086088490.db2.gz IERNPQLVIHUAJX-HZPDHXFCSA-N 0 1 312.498 3.208 20 30 DGEDMN C=C(Br)CNC[C@@H](CC(C)C)NC(=O)[C@@H](C)CC ZINC001482378449 1086100523 /nfs/dbraw/zinc/10/05/23/1086100523.db2.gz SPYOQJQLWMQQRT-WCQYABFASA-N 0 1 319.287 3.062 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)/C=C(\C)C1CC1 ZINC001280316515 1086154357 /nfs/dbraw/zinc/15/43/57/1086154357.db2.gz ZPMAPMHFEVELCJ-DTQAZKPQSA-N 0 1 310.441 3.114 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H](CC)C(C)C ZINC001280339812 1086225084 /nfs/dbraw/zinc/22/50/84/1086225084.db2.gz ACYOBXZFTGGJHJ-QGZVFWFLSA-N 0 1 314.473 3.440 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC[C@@H](C)CC)C1 ZINC001271100439 1086290084 /nfs/dbraw/zinc/29/00/84/1086290084.db2.gz KEOUIKFAORQRPZ-KBPBESRZSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(C)CCC(C)CC2)C1 ZINC001271016887 1086252226 /nfs/dbraw/zinc/25/22/26/1086252226.db2.gz KKSZOPIBRZKTAX-AVAKVYKDSA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C)nc1C(C)C ZINC001271052304 1086265602 /nfs/dbraw/zinc/26/56/02/1086265602.db2.gz SJMSBMJLJSFJHB-CQSZACIVSA-N 0 1 323.868 3.316 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC[C@H](C)CC)C1 ZINC001271100443 1086290050 /nfs/dbraw/zinc/29/00/50/1086290050.db2.gz KEOUIKFAORQRPZ-ZIAGYGMSSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C(CCC)CCC)C1 ZINC001271099081 1086290521 /nfs/dbraw/zinc/29/05/21/1086290521.db2.gz AFGWGNPJMCQIDX-OAHLLOKOSA-N 0 1 316.436 3.462 20 30 DGEDMN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)C1(C#N)CCC1 ZINC001271111635 1086295274 /nfs/dbraw/zinc/29/52/74/1086295274.db2.gz FUKWGDGBSQYUJM-ZACQAIPSSA-N 0 1 317.477 3.182 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)[C@H]1CC ZINC001087574767 1086311439 /nfs/dbraw/zinc/31/14/39/1086311439.db2.gz WORWDDUASCIUAP-MANSERQUSA-N 0 1 324.468 3.029 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2cccc3c2CCCCC3)[C@H]1CC ZINC001087613648 1086329081 /nfs/dbraw/zinc/32/90/81/1086329081.db2.gz AQYCXMHJHSJAMZ-VQTJNVASSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3c2CCCCC3)[C@H]1CC ZINC001087613648 1086329092 /nfs/dbraw/zinc/32/90/92/1086329092.db2.gz AQYCXMHJHSJAMZ-VQTJNVASSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2c(C)[nH]c3ccccc32)[C@H]1CC ZINC001087649627 1086342877 /nfs/dbraw/zinc/34/28/77/1086342877.db2.gz WKYYMDUNIAISBG-DLBZAZTESA-N 0 1 311.429 3.245 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@H]1CC ZINC001087675524 1086354052 /nfs/dbraw/zinc/35/40/52/1086354052.db2.gz QUWDZARYXFUNLE-QFBILLFUSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(C/C=C/Cl)[C@@H]2CC)CC1 ZINC001087714164 1086373031 /nfs/dbraw/zinc/37/30/31/1086373031.db2.gz XALPOESQTFPFJC-ZRCGRWLBSA-N 0 1 310.869 3.454 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(Cl)c2F)[C@H]1CC ZINC001087709483 1086374211 /nfs/dbraw/zinc/37/42/11/1086374211.db2.gz ADAYWLMCUYHDEM-LSDHHAIUSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)[C@H]1CC ZINC001087737093 1086384536 /nfs/dbraw/zinc/38/45/36/1086384536.db2.gz CYJYGFSPVFWVGT-IGKNDFSCSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c3ccccc23)[C@H]1CC ZINC001087741881 1086390396 /nfs/dbraw/zinc/39/03/96/1086390396.db2.gz GMXDBORRRNPNMF-VQTJNVASSA-N 0 1 320.436 3.364 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)[C@H]1CC ZINC001087789618 1086409452 /nfs/dbraw/zinc/40/94/52/1086409452.db2.gz ABKZIRPTNZZGJY-HYVNUMGLSA-N 0 1 324.424 3.139 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)[C@H]1CC ZINC001087789618 1086409455 /nfs/dbraw/zinc/40/94/55/1086409455.db2.gz ABKZIRPTNZZGJY-HYVNUMGLSA-N 0 1 324.424 3.139 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@H]1CC ZINC001087807279 1086420268 /nfs/dbraw/zinc/42/02/68/1086420268.db2.gz BVPUWPKLQRPJDX-ZWKOTPCHSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2C2CCC2)[C@H]1CC ZINC001087813448 1086421848 /nfs/dbraw/zinc/42/18/48/1086421848.db2.gz NECQGEYCZNJNTC-RBUKOAKNSA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccoc2C(C)C)[C@H]1CC ZINC001087844700 1086441224 /nfs/dbraw/zinc/44/12/24/1086441224.db2.gz MBMIXPPOXGMMHM-JKSUJKDBSA-N 0 1 302.418 3.009 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2oc3ccccc3c2CC)[C@H]1CC ZINC001087845296 1086443093 /nfs/dbraw/zinc/44/30/93/1086443093.db2.gz RVPNWNXLPZTJRW-DLBZAZTESA-N 0 1 324.424 3.211 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)[C@H]1CC ZINC001087845296 1086443099 /nfs/dbraw/zinc/44/30/99/1086443099.db2.gz RVPNWNXLPZTJRW-DLBZAZTESA-N 0 1 324.424 3.211 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001126559744 1086481574 /nfs/dbraw/zinc/48/15/74/1086481574.db2.gz GWKQDKQLEAYRRF-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001126566653 1086523573 /nfs/dbraw/zinc/52/35/73/1086523573.db2.gz RYZVWJLNJRGZHC-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(F)cccc1Cl ZINC001271180625 1086531758 /nfs/dbraw/zinc/53/17/58/1086531758.db2.gz CQTZHQHRQSPZMK-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001088064973 1086539517 /nfs/dbraw/zinc/53/95/17/1086539517.db2.gz CZEIDXWMLRQXFG-ZWXLGZMVSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1sccc1CC ZINC001271215333 1086546078 /nfs/dbraw/zinc/54/60/78/1086546078.db2.gz FLZUHRKGEWZSHL-NSHDSACASA-N 0 1 300.855 3.113 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCCCC1(C)C ZINC001271228516 1086549834 /nfs/dbraw/zinc/54/98/34/1086549834.db2.gz SEIWKKNOONEAFM-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccoc1CC ZINC001271705355 1086708513 /nfs/dbraw/zinc/70/85/13/1086708513.db2.gz MSRIYFCOBZFQLI-SWLSCSKDSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)Cc1ccccc1 ZINC001271714954 1086709995 /nfs/dbraw/zinc/70/99/95/1086709995.db2.gz LYRUQIHMDOJSSG-RHSMWYFYSA-N 0 1 320.864 3.198 20 30 DGEDMN CCCC(=O)N1CCCCC[C@H](NCc2ccccc2C#N)C1 ZINC001088250706 1086721276 /nfs/dbraw/zinc/72/12/76/1086721276.db2.gz XPILNFNFOAFTKZ-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001482506658 1086733429 /nfs/dbraw/zinc/73/34/29/1086733429.db2.gz HCTYEGHIOAVUTO-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1c(F)cccc1F)C(C)C ZINC001482522031 1086751049 /nfs/dbraw/zinc/75/10/49/1086751049.db2.gz OCLIHQVIXHAHAM-MRXNPFEDSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C1(C)CC1 ZINC001271806640 1086776081 /nfs/dbraw/zinc/77/60/81/1086776081.db2.gz QOKXUKOHXOQYSB-UHFFFAOYSA-N 0 1 306.837 3.157 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC001648974221 1086965948 /nfs/dbraw/zinc/96/59/48/1086965948.db2.gz STVGQHYLYTXPJE-QGZVFWFLSA-N 0 1 312.498 3.162 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001482593330 1087022979 /nfs/dbraw/zinc/02/29/79/1087022979.db2.gz HLQIAYCTOFRREA-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2ncc(C)o2)CCCC1 ZINC001482905587 1087185594 /nfs/dbraw/zinc/18/55/94/1087185594.db2.gz SOMFNEDOVJUTBS-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CC[C@@H](C)CC(=O)N(CC)CCNCC#Cc1ccc(F)cc1 ZINC001482921214 1087187306 /nfs/dbraw/zinc/18/73/06/1087187306.db2.gz JWLFMDXQSFPMQY-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3ccncc3s2)[C@H]1C ZINC001088624097 1087237674 /nfs/dbraw/zinc/23/76/74/1087237674.db2.gz LVUSOZPDZPKPME-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)[C@H]1C ZINC001088658460 1087248058 /nfs/dbraw/zinc/24/80/58/1087248058.db2.gz BNUNZUJGMGDDLG-AFYVEPGGSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)[C@H]1C ZINC001088658460 1087248066 /nfs/dbraw/zinc/24/80/66/1087248066.db2.gz BNUNZUJGMGDDLG-AFYVEPGGSA-N 0 1 324.468 3.173 20 30 DGEDMN N=C(Nc1cc(C=NO)cc(C(F)(F)F)c1)c1nccs1 ZINC001168280951 1087259192 /nfs/dbraw/zinc/25/91/92/1087259192.db2.gz ZKJYLIPFEDNRNX-NGYBGAFCSA-N 0 1 314.292 3.007 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)c(C(C)C)c2)[C@H]1C ZINC001088710925 1087307364 /nfs/dbraw/zinc/30/73/64/1087307364.db2.gz CQGWQWULHGAGCT-PBHICJAKSA-N 0 1 316.445 3.197 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(C)(C)CC(F)F)[C@H](C)C1 ZINC001102038268 1087312420 /nfs/dbraw/zinc/31/24/20/1087312420.db2.gz BWAGNAODGSNSRC-ZYHUDNBSSA-N 0 1 322.827 3.104 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@H]1C ZINC001088773959 1087348091 /nfs/dbraw/zinc/34/80/91/1087348091.db2.gz PDIWVBXJKCXZQP-ZBFHGGJFSA-N 0 1 304.478 3.051 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@@H](CC)CC(C)C ZINC001483182817 1087412066 /nfs/dbraw/zinc/41/20/66/1087412066.db2.gz NORXNRSUMQHFDI-OLZOCXBDSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2ccccc2nc1C ZINC001483231526 1087433314 /nfs/dbraw/zinc/43/33/14/1087433314.db2.gz PTCIKVJBPGRZCU-LBPRGKRZSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CCCC(=O)N1CCC(OC2CN(CCC(C)(C)C)C2)CC1 ZINC001105697205 1087442198 /nfs/dbraw/zinc/44/21/98/1087442198.db2.gz OOGNOJHGRSPLQS-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001483278264 1087456367 /nfs/dbraw/zinc/45/63/67/1087456367.db2.gz XYNDYORHZHVRBG-ZFWWWQNUSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)c1ccccc1C ZINC001483300722 1087462852 /nfs/dbraw/zinc/46/28/52/1087462852.db2.gz XWVYITVATBPSLY-AWEZNQCLSA-N 0 1 308.853 3.119 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@@H](C)CN(C)CC#CC)c1ccccc1 ZINC001483427185 1087499176 /nfs/dbraw/zinc/49/91/76/1087499176.db2.gz YUKILOCDLMKWJE-HKUYNNGSSA-N 0 1 312.457 3.196 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001483489820 1087514108 /nfs/dbraw/zinc/51/41/08/1087514108.db2.gz NJVSSHYGWLTCCT-WMLDXEAASA-N 0 1 307.438 3.084 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1nc(C)cs1)C1CC1 ZINC001483564497 1087526219 /nfs/dbraw/zinc/52/62/19/1087526219.db2.gz ZNGUWRQFHLBUDM-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1csc(C)n1)C1CC1 ZINC001483584913 1087530163 /nfs/dbraw/zinc/53/01/63/1087530163.db2.gz ALBRHWXRRKHTRG-WBVHZDCISA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1csc(C)n1)C1CC1 ZINC001483590438 1087531410 /nfs/dbraw/zinc/53/14/10/1087531410.db2.gz OQUYEZXGUJERLP-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCC(=O)N1CCC(OC2CN(C[C@H](C)CC)C2)CC1 ZINC001105721441 1087543339 /nfs/dbraw/zinc/54/33/39/1087543339.db2.gz BCSDZWCHDFPSLS-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(F)c3ccccc23)[C@H]1C ZINC001088874791 1087593422 /nfs/dbraw/zinc/59/34/22/1087593422.db2.gz XYWTTXGPWMNEIG-KUHUBIRLSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001483652911 1087605107 /nfs/dbraw/zinc/60/51/07/1087605107.db2.gz KCECGUNGCCSVGH-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC001089022310 1087622674 /nfs/dbraw/zinc/62/26/74/1087622674.db2.gz MZCWRORPRFXAIQ-OCCSQVGLSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sccc2C2CC2)[C@H]1C ZINC001089021585 1087622797 /nfs/dbraw/zinc/62/27/97/1087622797.db2.gz XOWWVHLYEHRPPR-DOMZBBRYSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1[C@@H](C)c1ccccc1C ZINC001483671338 1087624215 /nfs/dbraw/zinc/62/42/15/1087624215.db2.gz KLXBBMDQTXCZAX-HOTGVXAUSA-N 0 1 300.446 3.459 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc2ncccc2c1 ZINC001483676426 1087632584 /nfs/dbraw/zinc/63/25/84/1087632584.db2.gz LYDQEDOBHHREPH-SFHVURJKSA-N 0 1 323.440 3.282 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc2ncccc2c1 ZINC001483676426 1087632586 /nfs/dbraw/zinc/63/25/86/1087632586.db2.gz LYDQEDOBHHREPH-SFHVURJKSA-N 0 1 323.440 3.282 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccccc2F)CCC1 ZINC001280521351 1087637576 /nfs/dbraw/zinc/63/75/76/1087637576.db2.gz KHDWDIJBNZODDL-CYBMUJFWSA-N 0 1 324.827 3.310 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H]1C=CCCC1 ZINC001099126638 1087662441 /nfs/dbraw/zinc/66/24/41/1087662441.db2.gz XIKHYAOVEGMXLB-ZWKOTPCHSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CCNC/C(Cl)=C\Cl)C1 ZINC001272375505 1087686530 /nfs/dbraw/zinc/68/65/30/1087686530.db2.gz FSJANUWWQBYVMI-AUWXEVLLSA-N 0 1 305.249 3.100 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2ccc(Cl)c(C)c2)C1=O ZINC001272433244 1087702887 /nfs/dbraw/zinc/70/28/87/1087702887.db2.gz VDRIVVMULPYIGD-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN N#CCOc1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])cc1 ZINC000176379948 1087716796 /nfs/dbraw/zinc/71/67/96/1087716796.db2.gz NOXBWOCNGDXWKR-FPYGCLRLSA-N 0 1 324.292 3.099 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)cc(OC)c1 ZINC001234020558 1087721001 /nfs/dbraw/zinc/72/10/01/1087721001.db2.gz ZECSYJOEMJTVKL-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN CCCCCCCN1CC2(C1)COCC(=O)N2CC1CCCC1 ZINC001272478679 1087724587 /nfs/dbraw/zinc/72/45/87/1087724587.db2.gz NSGSDDYJYIBOGY-UHFFFAOYSA-N 0 1 322.493 3.060 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2cccnc2)CC(C)(C)C1 ZINC001089286222 1087733683 /nfs/dbraw/zinc/73/36/83/1087733683.db2.gz YGKJCPUIEBNVOJ-HNNXBMFYSA-N 0 1 321.852 3.055 20 30 DGEDMN CCN1CCN(c2nc(Cl)c(Cl)cc2C#N)C(C)(C)C1 ZINC001158562944 1087915449 /nfs/dbraw/zinc/91/54/49/1087915449.db2.gz OMYHNHLQHYXJBK-UHFFFAOYSA-N 0 1 313.232 3.181 20 30 DGEDMN CCC[C@H](CC(C)C)C(=O)NCCNCc1ccccc1C#N ZINC001152876679 1088099133 /nfs/dbraw/zinc/09/91/33/1088099133.db2.gz MYWMPLFUVWDXDS-MRXNPFEDSA-N 0 1 315.461 3.226 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@@H](CCC)CC(C)C ZINC001152877015 1088102866 /nfs/dbraw/zinc/10/28/66/1088102866.db2.gz VXTGLKLXLWYBMW-ZDUSSCGKSA-N 0 1 319.287 3.063 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccnc(-c3ccco3)c2)c1 ZINC001152999702 1088133881 /nfs/dbraw/zinc/13/38/81/1088133881.db2.gz FJHYVXPWGRBURV-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(C)ccc1F ZINC001153024946 1088144021 /nfs/dbraw/zinc/14/40/21/1088144021.db2.gz HOESILPRIJCGIW-MRXNPFEDSA-N 0 1 318.436 3.264 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(CC)Cc1cc(C)ccc1F ZINC001153024946 1088144029 /nfs/dbraw/zinc/14/40/29/1088144029.db2.gz HOESILPRIJCGIW-MRXNPFEDSA-N 0 1 318.436 3.264 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1cnc2[nH]ccc(Cl)c1-2 ZINC001153095340 1088164994 /nfs/dbraw/zinc/16/49/94/1088164994.db2.gz SGOJCDDMKUITMF-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CC(C)C[C@](C)(C#N)NC(=O)c1cnc2[nH]ccc(Cl)c1-2 ZINC001153110741 1088169681 /nfs/dbraw/zinc/16/96/81/1088169681.db2.gz MDNWMQOOPWZSFT-OAHLLOKOSA-N 0 1 304.781 3.274 20 30 DGEDMN CCc1cc(NC(=O)c2n[nH]c3cccnc32)cc(CC)c1C#N ZINC001153154115 1088173503 /nfs/dbraw/zinc/17/35/03/1088173503.db2.gz SMXYHTVWWSMYHS-UHFFFAOYSA-N 0 1 319.368 3.207 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)N(CC)C/C=C\Cl ZINC001153587901 1088292446 /nfs/dbraw/zinc/29/24/46/1088292446.db2.gz BHTLPUMAELHFNB-SKVAFPRGSA-N 0 1 307.265 3.344 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](F)c1ccccc1 ZINC001099246009 1088314546 /nfs/dbraw/zinc/31/45/46/1088314546.db2.gz PNCVCLWAVJQYAQ-IAGOWNOFSA-N 0 1 318.436 3.490 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(c2ccccc2)CCC1 ZINC001123234452 1088367690 /nfs/dbraw/zinc/36/76/90/1088367690.db2.gz VENUADUWMGATBO-ZDUSSCGKSA-N 0 1 313.788 3.372 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2c(C)nsc2C)CCCC1 ZINC001153816268 1088370589 /nfs/dbraw/zinc/37/05/89/1088370589.db2.gz BBUORMJPPWSPAU-UHFFFAOYSA-N 0 1 321.490 3.102 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ccccc2F)CCCC1 ZINC001153814220 1088370935 /nfs/dbraw/zinc/37/09/35/1088370935.db2.gz WEMJCZSWIGIGNA-UHFFFAOYSA-N 0 1 304.409 3.168 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cc(F)ccc2F)CCCC1 ZINC001153814298 1088372119 /nfs/dbraw/zinc/37/21/19/1088372119.db2.gz ZSRSCOJQHIGIJU-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159538701 1088385716 /nfs/dbraw/zinc/38/57/16/1088385716.db2.gz HZKMQIKBBJPNJP-UHFFFAOYSA-N 0 1 322.452 3.143 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1n[nH]c2nc(C(F)(F)F)ccc12 ZINC001159609497 1088415228 /nfs/dbraw/zinc/41/52/28/1088415228.db2.gz FOFSLPLMOQYHMC-UHFFFAOYSA-N 0 1 320.221 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC(C)(C)C1 ZINC001089355131 1088429962 /nfs/dbraw/zinc/42/99/62/1088429962.db2.gz NUVSLQZUQZVOAX-WCVJEAGWSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C2CC(C)C2)CC(C)(C)C1 ZINC001089355217 1088432469 /nfs/dbraw/zinc/43/24/69/1088432469.db2.gz AZJLICXTLJJWON-PESDSKBTSA-N 0 1 312.885 3.392 20 30 DGEDMN C/C=C(/C)C(=O)NCCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001159752525 1088472900 /nfs/dbraw/zinc/47/29/00/1088472900.db2.gz JCPPENXMUNHDNB-XFQLMFQHSA-N 0 1 323.440 3.163 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](CC)C(C)C ZINC001099311131 1088529987 /nfs/dbraw/zinc/52/99/87/1088529987.db2.gz YDSZDRVBAGFKBP-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001483760549 1088555458 /nfs/dbraw/zinc/55/54/58/1088555458.db2.gz QJWBQQRXHOEDNP-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C[C@H](NC(=O)c1[nH]nc2c1CCC(C)(C)C2)c1cccc(C#N)c1 ZINC001154508254 1088636668 /nfs/dbraw/zinc/63/66/68/1088636668.db2.gz JFLHVFVJLBULJC-LBPRGKRZSA-N 0 1 322.412 3.287 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001154584672 1088662263 /nfs/dbraw/zinc/66/22/63/1088662263.db2.gz SISMDYHFYNAGKD-CYBMUJFWSA-N 0 1 323.506 3.380 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001154584801 1088662783 /nfs/dbraw/zinc/66/27/83/1088662783.db2.gz YRKXDWFOHHSJSR-OAHLLOKOSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001154584797 1088663427 /nfs/dbraw/zinc/66/34/27/1088663427.db2.gz YNXBSYXGUFEHBA-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC(C)(C)C1 ZINC001089471438 1088663883 /nfs/dbraw/zinc/66/38/83/1088663883.db2.gz TZUYASSWDYQVJQ-FXUDXRNXSA-N 0 1 324.896 3.392 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001272669594 1088734787 /nfs/dbraw/zinc/73/47/87/1088734787.db2.gz DPIFAWLOAFWCIP-KRWDZBQOSA-N 0 1 324.468 3.338 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001154743237 1088736043 /nfs/dbraw/zinc/73/60/43/1088736043.db2.gz WXMVETOYLRADFG-JKSUJKDBSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001154742237 1088736303 /nfs/dbraw/zinc/73/63/03/1088736303.db2.gz AMNRXWGCFLTICU-HUUCEWRRSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1scnc1C ZINC001089613217 1088817536 /nfs/dbraw/zinc/81/75/36/1088817536.db2.gz SDTQELIGIHLLHI-AWEZNQCLSA-N 0 1 321.490 3.248 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccncc1F ZINC001089621612 1088828747 /nfs/dbraw/zinc/82/87/47/1088828747.db2.gz XQXROBLLOKMTAE-CQSZACIVSA-N 0 1 319.424 3.017 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(F)nc1 ZINC001089619308 1088830257 /nfs/dbraw/zinc/83/02/57/1088830257.db2.gz NMLCWMRRLNGBHF-OAHLLOKOSA-N 0 1 319.424 3.017 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001089645930 1088876658 /nfs/dbraw/zinc/87/66/58/1088876658.db2.gz DOHSCQSXROZHBC-MSOLQXFVSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001155067106 1088926030 /nfs/dbraw/zinc/92/60/30/1088926030.db2.gz RYQITOWTEUIXMB-APWZRJJASA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001155066887 1088927783 /nfs/dbraw/zinc/92/77/83/1088927783.db2.gz QDDFQANEYZWKSR-RDTXWAMCSA-N 0 1 321.440 3.150 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001483858440 1088958132 /nfs/dbraw/zinc/95/81/32/1088958132.db2.gz XYWNXZKYNBNNND-PIIMJCKOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2sc(C)cc2C)C1 ZINC001483864041 1088962272 /nfs/dbraw/zinc/96/22/72/1088962272.db2.gz DTXGNOIAYGONEX-CYBMUJFWSA-N 0 1 306.475 3.476 20 30 DGEDMN CCCCCCCCCC(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001155134122 1088967831 /nfs/dbraw/zinc/96/78/31/1088967831.db2.gz BMYQIZHTLDUMJU-HNNXBMFYSA-N 0 1 321.469 3.122 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001483880277 1088978539 /nfs/dbraw/zinc/97/85/39/1088978539.db2.gz KYYXQWOXHROWNV-IAGOWNOFSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCOCC(=O)N(C)C1CN(CCC2CCCCCC2)C1 ZINC001483894548 1088998262 /nfs/dbraw/zinc/99/82/62/1088998262.db2.gz MJRZHGIBTFGICK-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001089669193 1089078083 /nfs/dbraw/zinc/07/80/83/1089078083.db2.gz IKAGNBPAOAOFPO-BZUAXINKSA-N 0 1 306.494 3.461 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001089670031 1089081751 /nfs/dbraw/zinc/08/17/51/1089081751.db2.gz VYPGXFCTRIXGTI-BRWVUGGUSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cncc(CC)c1 ZINC001089671405 1089095985 /nfs/dbraw/zinc/09/59/85/1089095985.db2.gz RAEREKJHCYFSDE-KRWDZBQOSA-N 0 1 315.461 3.050 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2cc(C)ccc2F)C1 ZINC001484034302 1089116959 /nfs/dbraw/zinc/11/69/59/1089116959.db2.gz ITIGZWARONZCTC-GOSISDBHSA-N 0 1 304.409 3.181 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001155485305 1089131746 /nfs/dbraw/zinc/13/17/46/1089131746.db2.gz YXZFBCLVGQZDEB-CQSZACIVSA-N 0 1 318.461 3.349 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001155490225 1089133399 /nfs/dbraw/zinc/13/33/99/1089133399.db2.gz ZIJQPZBRZZRRLG-OAHLLOKOSA-N 0 1 303.450 3.011 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCC1(C)C ZINC001089685643 1089161427 /nfs/dbraw/zinc/16/14/27/1089161427.db2.gz FXIFGZXJQWVHEK-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC1(C)C ZINC001089685646 1089161500 /nfs/dbraw/zinc/16/15/00/1089161500.db2.gz FXIFGZXJQWVHEK-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1occc1Cl ZINC001089687884 1089170212 /nfs/dbraw/zinc/17/02/12/1089170212.db2.gz OVBUUPVJIRSJCV-LBPRGKRZSA-N 0 1 310.825 3.339 20 30 DGEDMN C=CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(Cl)[nH]1 ZINC001089690991 1089183015 /nfs/dbraw/zinc/18/30/15/1089183015.db2.gz HUVJMQFPEVNCIW-GFCCVEGCSA-N 0 1 309.841 3.075 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1conc1CC ZINC001089691637 1089188126 /nfs/dbraw/zinc/18/81/26/1089188126.db2.gz UEBQQJZOLLLKNW-AWEZNQCLSA-N 0 1 319.449 3.034 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001161343418 1089200706 /nfs/dbraw/zinc/20/07/06/1089200706.db2.gz CLVNDQZMWYVIHA-INIZCTEOSA-N 0 1 310.457 3.475 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CC)CC2CCCC2)CC1 ZINC001161383024 1089219799 /nfs/dbraw/zinc/21/97/99/1089219799.db2.gz FLGRFAHRWTVKDO-IBGZPJMESA-N 0 1 316.489 3.365 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)[nH]c1C ZINC001089702733 1089229582 /nfs/dbraw/zinc/22/95/82/1089229582.db2.gz CMXGIAKEIFNJKE-HNNXBMFYSA-N 0 1 303.450 3.038 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C(C)C)no1 ZINC001089707598 1089268465 /nfs/dbraw/zinc/26/84/65/1089268465.db2.gz OEPKHLUAETULHZ-AWEZNQCLSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@](C)(F)CCCC)C1 ZINC001484198131 1089286896 /nfs/dbraw/zinc/28/68/96/1089286896.db2.gz GZDLKJOQZQMAOH-UKRRQHHQSA-N 0 1 320.399 3.164 20 30 DGEDMN Cn1ccc(-c2cc(C(=O)Nc3ccc(CCC#N)cc3)[nH]n2)c1 ZINC001155894055 1089289806 /nfs/dbraw/zinc/28/98/06/1089289806.db2.gz RHASVOSUOVMVPF-UHFFFAOYSA-N 0 1 319.368 3.124 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1sc(C)nc1C ZINC001089730969 1089310693 /nfs/dbraw/zinc/31/06/93/1089310693.db2.gz IWODVXHYCXTDCO-AWEZNQCLSA-N 0 1 321.490 3.166 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC(C)(C)C1 ZINC001089737559 1089317973 /nfs/dbraw/zinc/31/79/73/1089317973.db2.gz WTONIVITCDLKLY-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C2CCN(CCF)CC2)C1 ZINC001484322191 1089332503 /nfs/dbraw/zinc/33/25/03/1089332503.db2.gz BRSYDRMRNHUAFM-QGZVFWFLSA-N 0 1 310.457 3.263 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)(C)C)c1ccccc1CC ZINC001484326365 1089343665 /nfs/dbraw/zinc/34/36/65/1089343665.db2.gz XOTCHGFSXPYVFK-QGZVFWFLSA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC001161709122 1089360457 /nfs/dbraw/zinc/36/04/57/1089360457.db2.gz NZQKUFAHNORKFO-UHFFFAOYSA-N 0 1 320.436 3.031 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2CN(CC=C(Cl)Cl)C[C@H]2C1 ZINC001484412395 1089409902 /nfs/dbraw/zinc/40/99/02/1089409902.db2.gz QESUBOCWSJKGLF-BETUJISGSA-N 0 1 317.260 3.052 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001161817988 1089421474 /nfs/dbraw/zinc/42/14/74/1089421474.db2.gz LYNRURJLUHBOQO-HXUWFJFHSA-N 0 1 324.468 3.342 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccc1F ZINC001484453331 1089424534 /nfs/dbraw/zinc/42/45/34/1089424534.db2.gz MQZZMUIZLWFPEP-QZTJIDSGSA-N 0 1 316.420 3.357 20 30 DGEDMN CC1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CCCCC1 ZINC001161914356 1089465748 /nfs/dbraw/zinc/46/57/48/1089465748.db2.gz QPXDJXNAYYRPFN-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN CC1=C(C)C[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001161913564 1089466773 /nfs/dbraw/zinc/46/67/73/1089466773.db2.gz AXKIABCZSWNZEQ-OAHLLOKOSA-N 0 1 321.384 3.418 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](C=C)c2ccccc2)CC1 ZINC001161925069 1089475405 /nfs/dbraw/zinc/47/54/05/1089475405.db2.gz VZBLESYLVGUWKT-IBGZPJMESA-N 0 1 322.452 3.118 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1C=CCC1 ZINC001484553726 1089488720 /nfs/dbraw/zinc/48/87/20/1089488720.db2.gz UUCPIUYMSWCYBN-QGZVFWFLSA-N 0 1 310.441 3.114 20 30 DGEDMN N#Cc1c(Cl)ccnc1NCC1CN(Cc2ccccc2)C1 ZINC001156401315 1089508300 /nfs/dbraw/zinc/50/83/00/1089508300.db2.gz VEBCLRLYFMVMOG-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001484614937 1089539626 /nfs/dbraw/zinc/53/96/26/1089539626.db2.gz BKYXZIWFDORDRY-QLKUMGTLSA-N 0 1 317.260 3.080 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC(C)=C(C)C)cccc2C1 ZINC001484612444 1089530498 /nfs/dbraw/zinc/53/04/98/1089530498.db2.gz DFFKUTULXMXRCT-UHFFFAOYSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(C)c(F)c1 ZINC001272685883 1089535450 /nfs/dbraw/zinc/53/54/50/1089535450.db2.gz GMPUTQHYUUUIRP-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN Cc1c(Cl)nc(C(C)(C)C)nc1NC1(C#N)CCN(C)CC1 ZINC001162232066 1089623780 /nfs/dbraw/zinc/62/37/80/1089623780.db2.gz ZYOPZZDVQCRWOT-UHFFFAOYSA-N 0 1 321.856 3.136 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)/C=C(\C)CC)O2 ZINC001484784120 1089673699 /nfs/dbraw/zinc/67/36/99/1089673699.db2.gz XXERSZWESOXHEV-OSGGRZCESA-N 0 1 320.477 3.047 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2Cc3ccccc3CN2C(C)C)C1 ZINC001484824130 1089707470 /nfs/dbraw/zinc/70/74/70/1089707470.db2.gz OBLSLQAWUDQODV-SFHVURJKSA-N 0 1 312.457 3.294 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)CC1CC1 ZINC001162660607 1089865671 /nfs/dbraw/zinc/86/56/71/1089865671.db2.gz VXKYYCKKRWHVIW-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2ccc(C#N)cc2F)n[nH]1 ZINC001157200475 1089869284 /nfs/dbraw/zinc/86/92/84/1089869284.db2.gz OCDVXRVWQYJNAD-MRXNPFEDSA-N 0 1 312.348 3.096 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)[C@@H](C)CC ZINC001162701852 1089893723 /nfs/dbraw/zinc/89/37/23/1089893723.db2.gz AVYNSIHBUMWOKJ-HNNXBMFYSA-N 0 1 322.880 3.446 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H]1CN(C)C/C=C\Cl ZINC001485058793 1089910050 /nfs/dbraw/zinc/91/00/50/1089910050.db2.gz VFEXMCQYEPDCNK-MRDWYFFCSA-N 0 1 310.869 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCCC ZINC001493924549 1089986750 /nfs/dbraw/zinc/98/67/50/1089986750.db2.gz YMHKKWVNSSKTDS-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC001485202579 1090016507 /nfs/dbraw/zinc/01/65/07/1090016507.db2.gz UUZMTVDRPIFNTC-OLZOCXBDSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(c2ccccc2F)CC1 ZINC001485208057 1090031776 /nfs/dbraw/zinc/03/17/76/1090031776.db2.gz OHCWAZWBQANGFR-CHWSQXEVSA-N 0 1 324.827 3.093 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001485341789 1090114543 /nfs/dbraw/zinc/11/45/43/1090114543.db2.gz NTCNVDACVBYFFZ-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)CNC(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC001157817569 1090121274 /nfs/dbraw/zinc/12/12/74/1090121274.db2.gz FQNMJHVHCYIDLD-UHFFFAOYSA-N 0 1 313.353 3.407 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCCCN2C[C@@H](F)CC)C1 ZINC001485481546 1090186436 /nfs/dbraw/zinc/18/64/36/1090186436.db2.gz DPUBCSUSTJUTRT-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CN(Cc3csc(C)c3)C2)C1 ZINC001485522423 1090201164 /nfs/dbraw/zinc/20/11/64/1090201164.db2.gz IWPWRWNUGOLMIA-AWEZNQCLSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCCC(=O)N1CC[C@]2(C1)CN(CC/C=C\CCC)CCO2 ZINC001485553000 1090207460 /nfs/dbraw/zinc/20/74/60/1090207460.db2.gz DJHHAGJORJWJHA-QULHZPMSSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CN(CC#CC)CC2(C)C)CCCCC1 ZINC001485584612 1090219456 /nfs/dbraw/zinc/21/94/56/1090219456.db2.gz IMCNIEBOGQHIKX-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC001485761812 1090268575 /nfs/dbraw/zinc/26/85/75/1090268575.db2.gz CNACMAZWPFVCCK-AWEZNQCLSA-N 0 1 321.490 3.168 20 30 DGEDMN COc1ccc(O[C@@H](C)CNc2c[nH]c3c(C#N)cnc-3c2)cc1 ZINC001164050563 1090327363 /nfs/dbraw/zinc/32/73/63/1090327363.db2.gz MQTDMQWCEFKLEB-LBPRGKRZSA-N 0 1 322.368 3.323 20 30 DGEDMN N#CCc1cc(N[C@@H](c2ccccc2)c2ccncc2)ccn1 ZINC001164077366 1090335940 /nfs/dbraw/zinc/33/59/40/1090335940.db2.gz HUFXXDWRZGTLMS-IBGZPJMESA-N 0 1 300.365 3.166 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001164222138 1090376363 /nfs/dbraw/zinc/37/63/63/1090376363.db2.gz IQXSDKDHYIBFNG-ZIAGYGMSSA-N 0 1 323.506 3.150 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164233412 1090382030 /nfs/dbraw/zinc/38/20/30/1090382030.db2.gz GCHYHBFWDBSQQN-KBPBESRZSA-N 0 1 321.465 3.026 20 30 DGEDMN N#CCc1cc(NCCCOc2ccc(Cl)cc2)ccn1 ZINC001164235998 1090383139 /nfs/dbraw/zinc/38/31/39/1090383139.db2.gz DAGBYKOEZFQCSB-UHFFFAOYSA-N 0 1 301.777 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164365678 1090414412 /nfs/dbraw/zinc/41/44/12/1090414412.db2.gz DXOTURZNTPGJGB-CQSZACIVSA-N 0 1 321.465 3.170 20 30 DGEDMN Cc1nc(N2CCN(C(C)(C)C)CC2)c(C#N)c(C)c1Cl ZINC001165089265 1090463189 /nfs/dbraw/zinc/46/31/89/1090463189.db2.gz YVHDIPJMMBEIQQ-UHFFFAOYSA-N 0 1 306.841 3.144 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CCC2CCCC2)C1 ZINC001107706674 1090489033 /nfs/dbraw/zinc/48/90/33/1090489033.db2.gz VWKSFYILIVDSIC-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C=C2CCCCC2)C1 ZINC001107788040 1090504216 /nfs/dbraw/zinc/50/42/16/1090504216.db2.gz OAXYVKOJBZIIRR-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@]1(C)CN(CCCCCCC)CCO1 ZINC001107855640 1090516578 /nfs/dbraw/zinc/51/65/78/1090516578.db2.gz WUDOEMLLIABJHH-YWXGMFSMSA-N 0 1 310.482 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001107876609 1090524567 /nfs/dbraw/zinc/52/45/67/1090524567.db2.gz LSSWIDOAUZEENE-LPHOPBHVSA-N 0 1 324.509 3.210 20 30 DGEDMN C=CCC1(C(=O)NCCCNC/C(Cl)=C/Cl)CCC1 ZINC001165997720 1090568094 /nfs/dbraw/zinc/56/80/94/1090568094.db2.gz APFFOOIXHABGOM-BENRWUELSA-N 0 1 305.249 3.148 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(c1ccc(C)c(C)n1)CCO2 ZINC001166367710 1090599835 /nfs/dbraw/zinc/59/98/35/1090599835.db2.gz HJBUPNZHIAJJKR-IEBWSBKVSA-N 0 1 316.445 3.277 20 30 DGEDMN CC(C)(NC(=O)c1cccc2nc[nH]c21)c1cccc(C#N)c1 ZINC001166445803 1090605907 /nfs/dbraw/zinc/60/59/07/1090605907.db2.gz UPOVTUGYYXBVJM-UHFFFAOYSA-N 0 1 304.353 3.100 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1ccc(C#N)cc1 ZINC001272912256 1090678548 /nfs/dbraw/zinc/67/85/48/1090678548.db2.gz UWBLGWXWJQPOIR-HXUWFJFHSA-N 0 1 323.440 3.091 20 30 DGEDMN CCC[C@H](C)CCCC(=O)NCCNCc1ccccc1C#N ZINC001128151695 1090695606 /nfs/dbraw/zinc/69/56/06/1090695606.db2.gz AHCTUSCQYXDGMY-INIZCTEOSA-N 0 1 315.461 3.371 20 30 DGEDMN CCCCCC(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001485850670 1090707681 /nfs/dbraw/zinc/70/76/81/1090707681.db2.gz ZYGULGASDNAPHO-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN CN1CCN(c2ccccc2NC=Cc2ccc(C#N)cc2)CC1 ZINC001203302482 1090716255 /nfs/dbraw/zinc/71/62/55/1090716255.db2.gz SATDBJHRMCQGFZ-ZHACJKMWSA-N 0 1 318.424 3.393 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001485913210 1090725811 /nfs/dbraw/zinc/72/58/11/1090725811.db2.gz SJNOFOHFQJTXDR-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1ccc(CC)cc1 ZINC001485956478 1090733280 /nfs/dbraw/zinc/73/32/80/1090733280.db2.gz JZNKIOYOAREGCG-HNNXBMFYSA-N 0 1 322.880 3.371 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCC[C@@H](O)C1CCCC1 ZINC001203428029 1090734264 /nfs/dbraw/zinc/73/42/64/1090734264.db2.gz LBYJVCAJCDCQOK-QGZVFWFLSA-N 0 1 321.848 3.383 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2c(F)cccc2F)[C@H]1C ZINC001486074175 1090748164 /nfs/dbraw/zinc/74/81/64/1090748164.db2.gz PDWGNEWHAOENFJ-WBMJQRKESA-N 0 1 308.372 3.010 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCc2nc(C)cs2)C[C@H]1C ZINC001486112357 1090752179 /nfs/dbraw/zinc/75/21/79/1090752179.db2.gz OOZLEPOYTGNPAY-ZIAGYGMSSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2c(C)nsc2C)[C@@H]1C ZINC001486169503 1090772851 /nfs/dbraw/zinc/77/28/51/1090772851.db2.gz BQJWEAPMFKENBY-CJNGLKHVSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2scnc2C)[C@H]1C ZINC001486178805 1090777078 /nfs/dbraw/zinc/77/70/78/1090777078.db2.gz FHVKHFKSVFFUQU-GJZGRUSLSA-N 0 1 321.490 3.277 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001128162608 1090802922 /nfs/dbraw/zinc/80/29/22/1090802922.db2.gz QNANJOSADQICHL-UHFFFAOYSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1cccc(F)c1)C2 ZINC001203785478 1090803580 /nfs/dbraw/zinc/80/35/80/1090803580.db2.gz CBBORPMVORZURU-ROUUACIJSA-N 0 1 305.393 3.152 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001486407132 1090824322 /nfs/dbraw/zinc/82/43/22/1090824322.db2.gz INQKGCWWVYWGRY-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCCN(Cc3ccc(O)c(O)c3)C2)cc1 ZINC001203883726 1090826155 /nfs/dbraw/zinc/82/61/55/1090826155.db2.gz MJQIAZQUOOZQRR-QGZVFWFLSA-N 0 1 324.380 3.013 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(OC)c1)C(C)(C)C ZINC001486420513 1090830445 /nfs/dbraw/zinc/83/04/45/1090830445.db2.gz CHRXWDMWYOEGAJ-HNNXBMFYSA-N 0 1 324.852 3.182 20 30 DGEDMN COc1cccnc1O[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001203906313 1090835077 /nfs/dbraw/zinc/83/50/77/1090835077.db2.gz OCRBAORWIBEWGF-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2cnoc2C)CC1 ZINC001486446232 1090837872 /nfs/dbraw/zinc/83/78/72/1090837872.db2.gz CSRCPLGPBLNBAC-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC001486516249 1090861864 /nfs/dbraw/zinc/86/18/64/1090861864.db2.gz DUYPCWSKQHMUJU-HXUWFJFHSA-N 0 1 324.468 3.027 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2ccc(C#N)c(F)c2)CC1 ZINC001204062629 1090888774 /nfs/dbraw/zinc/88/87/74/1090888774.db2.gz ZQTMIOAUTFLLLZ-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(C(F)(F)F)cc3)CCC2S1 ZINC001204158567 1090909977 /nfs/dbraw/zinc/90/99/77/1090909977.db2.gz YYZLVWYJTCNQIC-ZDUSSCGKSA-N 0 1 313.344 3.480 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001128246044 1090923098 /nfs/dbraw/zinc/92/30/98/1090923098.db2.gz OTKTWVGPPYKFLT-HNNXBMFYSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C(C)CCC(=O)NCCNCc1nc2cc(C)ccc2s1 ZINC001128408946 1090956377 /nfs/dbraw/zinc/95/63/77/1090956377.db2.gz MPOWEYOWNOWXRD-UHFFFAOYSA-N 0 1 317.458 3.167 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CCC[C@]1(O)C(F)(F)F ZINC001204368303 1090957459 /nfs/dbraw/zinc/95/74/59/1090957459.db2.gz MZGVXBOBLQYVPB-IUODEOHRSA-N 0 1 312.335 3.084 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2C#N)CCC[C@]1(O)C(F)(F)F ZINC001204368303 1090957462 /nfs/dbraw/zinc/95/74/62/1090957462.db2.gz MZGVXBOBLQYVPB-IUODEOHRSA-N 0 1 312.335 3.084 20 30 DGEDMN CC(C)c1noc([C@H]2CCCN(Cc3ccccc3C#N)C2)n1 ZINC001204371737 1090957816 /nfs/dbraw/zinc/95/78/16/1090957816.db2.gz OMRHDIPEUTYQIB-INIZCTEOSA-N 0 1 310.401 3.444 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCC[N@@H+](Cc2ccccc2C#N)C1 ZINC001204368581 1090957967 /nfs/dbraw/zinc/95/79/67/1090957967.db2.gz QRADKWBGVLEDJO-SFHVURJKSA-N 0 1 300.402 3.114 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CCC1)[C@@H]1CC=CCC1 ZINC001273040321 1091013055 /nfs/dbraw/zinc/01/30/55/1091013055.db2.gz JEIPXMFLPQINFZ-LJQANCHMSA-N 0 1 322.452 3.023 20 30 DGEDMN CC[C@@H](C)CC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001273068258 1091025239 /nfs/dbraw/zinc/02/52/39/1091025239.db2.gz GCDUQUAHICOSGO-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C[C@@H](CC)CCCC)C1 ZINC001108080047 1091030714 /nfs/dbraw/zinc/03/07/14/1091030714.db2.gz CUQKORQSWBUNCV-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108115822 1091094531 /nfs/dbraw/zinc/09/45/31/1091094531.db2.gz UPVXSKOEIXLXBS-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@H]2c2ccccn2)cc1OC ZINC001138345800 1091148321 /nfs/dbraw/zinc/14/83/21/1091148321.db2.gz PTXYRBLYWUTTSF-SFHVURJKSA-N 0 1 322.408 3.439 20 30 DGEDMN C#CCC1(C(=O)NCCNCc2ccc(C)cc2Cl)CCC1 ZINC001129125291 1091196715 /nfs/dbraw/zinc/19/67/15/1091196715.db2.gz OXDRBXBKUREGPF-UHFFFAOYSA-N 0 1 318.848 3.048 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(Oc2cccc(C#N)c2)cc1)N(C)C ZINC001293225122 1091226090 /nfs/dbraw/zinc/22/60/90/1091226090.db2.gz MYDOGRBTUUAOST-CYBMUJFWSA-N 0 1 309.369 3.239 20 30 DGEDMN C#CCOc1ccc(CN2CCOC[C@@H]2C2CCCCC2)cc1 ZINC001138560231 1091227262 /nfs/dbraw/zinc/22/72/62/1091227262.db2.gz MQPFGUCGNMUZNM-HXUWFJFHSA-N 0 1 313.441 3.480 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(C(=O)OC)CC1 ZINC001138661815 1091278962 /nfs/dbraw/zinc/27/89/62/1091278962.db2.gz HBEZOWRUFWOSCC-UHFFFAOYSA-N 0 1 303.402 3.027 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@H](n2cncn2)C1 ZINC001138665219 1091280506 /nfs/dbraw/zinc/28/05/06/1091280506.db2.gz IPLAPJSTLDFVKC-KRWDZBQOSA-N 0 1 312.417 3.070 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1cc(C)ccc1F)C2 ZINC001205648642 1091296859 /nfs/dbraw/zinc/29/68/59/1091296859.db2.gz WIHKKFXNJZBYEK-PKOBYXMFSA-N 0 1 319.420 3.460 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCC[N@H+]2Cc1cc2ccccc2[nH]1 ZINC001273181612 1091344314 /nfs/dbraw/zinc/34/43/14/1091344314.db2.gz GWAKUMLEZXCARY-FQEVSTJZSA-N 0 1 323.440 3.311 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1cc2ccccc2[nH]1 ZINC001273181612 1091344333 /nfs/dbraw/zinc/34/43/33/1091344333.db2.gz GWAKUMLEZXCARY-FQEVSTJZSA-N 0 1 323.440 3.311 20 30 DGEDMN CCOc1c(F)c(F)ccc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001205783087 1091345642 /nfs/dbraw/zinc/34/56/42/1091345642.db2.gz UXQVGWDZXBCZQR-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108188642 1091374519 /nfs/dbraw/zinc/37/45/19/1091374519.db2.gz WJPBTEZUBMGNFL-OALUTQOASA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCOc1ccccc1CN1CCC[C@H](CC(=O)OCC)C1 ZINC001139029026 1091422816 /nfs/dbraw/zinc/42/28/16/1091422816.db2.gz NNRUHKMNUPIZPO-MRXNPFEDSA-N 0 1 317.429 3.417 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccc(Oc3ncccn3)cc2)C1 ZINC001139080490 1091441327 /nfs/dbraw/zinc/44/13/27/1091441327.db2.gz GEYLRPCNVWSWOL-HNNXBMFYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc4ccccc4cc3F)[C@@H]2C1 ZINC001084511913 1091497760 /nfs/dbraw/zinc/49/77/60/1091497760.db2.gz RRTPPVYAEXIVSZ-VQIMIIECSA-N 0 1 324.399 3.311 20 30 DGEDMN C[C@H]1CCN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCC1=O ZINC001139401536 1091548533 /nfs/dbraw/zinc/54/85/33/1091548533.db2.gz CDMOHFLXBHGFNY-HNNXBMFYSA-N 0 1 319.408 3.421 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccc(CC)cc3)[C@@H]2C1 ZINC001084723285 1091549356 /nfs/dbraw/zinc/54/93/56/1091549356.db2.gz BGOYXKXLFILGRS-KFKAGJAMSA-N 0 1 312.457 3.071 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)[C@@H]2C1 ZINC001084740036 1091550666 /nfs/dbraw/zinc/55/06/66/1091550666.db2.gz NTZJQJUDRHIUQE-NCXUSEDFSA-N 0 1 316.489 3.005 20 30 DGEDMN C=CCN1CC[C@@]2(CC[N@H+](Cc3ccc(Cl)cc3F)C2)C1=O ZINC001273229678 1091552781 /nfs/dbraw/zinc/55/27/81/1091552781.db2.gz BWEJWPAQFJLEGX-QGZVFWFLSA-N 0 1 322.811 3.090 20 30 DGEDMN C=CCN1CC[C@@]2(CCN(Cc3ccc(Cl)cc3F)C2)C1=O ZINC001273229678 1091552791 /nfs/dbraw/zinc/55/27/91/1091552791.db2.gz BWEJWPAQFJLEGX-QGZVFWFLSA-N 0 1 322.811 3.090 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)c3ccc(Cl)s3)[C@@H]2C1 ZINC001084764516 1091555855 /nfs/dbraw/zinc/55/58/55/1091555855.db2.gz KTPMHGLCKVBKIT-JHJVBQTASA-N 0 1 324.877 3.224 20 30 DGEDMN CC(=O)[C@H]1CCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139428590 1091557102 /nfs/dbraw/zinc/55/71/02/1091557102.db2.gz MCGZRZMKBZVPOG-KRWDZBQOSA-N 0 1 321.380 3.156 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(Cl)c3)[C@@H]2C1 ZINC001084791414 1091570699 /nfs/dbraw/zinc/57/06/99/1091570699.db2.gz APUKJPZTNKYEKV-GDBMZVCRSA-N 0 1 304.821 3.062 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@]3(C)CC=C(C)CC3)[C@@H]2C1 ZINC001084846084 1091589341 /nfs/dbraw/zinc/58/93/41/1091589341.db2.gz RYBLCGSCJDHDOL-ZHALLVOQSA-N 0 1 302.462 3.232 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(-c4ccccc4)[nH]3)[C@@H]2C1 ZINC001084865584 1091599377 /nfs/dbraw/zinc/59/93/77/1091599377.db2.gz FIHDRGCDNJICTE-VQIMIIECSA-N 0 1 321.424 3.014 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCCN(Cc2cc(C#N)ccc2F)C1 ZINC001139647422 1091633819 /nfs/dbraw/zinc/63/38/19/1091633819.db2.gz ZVBWPNNLUARPRK-SFHVURJKSA-N 0 1 318.392 3.253 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1cc(C#N)ccc1F ZINC001139649703 1091634134 /nfs/dbraw/zinc/63/41/34/1091634134.db2.gz AOFDVLRJPHJRER-HNNXBMFYSA-N 0 1 318.392 3.394 20 30 DGEDMN CCc1nc(C2CCN(Cc3ccc(C)c(C#N)c3)CC2)no1 ZINC001139725910 1091654184 /nfs/dbraw/zinc/65/41/84/1091654184.db2.gz JATGSHBBJQSXRE-UHFFFAOYSA-N 0 1 310.401 3.192 20 30 DGEDMN Cc1ccc(CN2CCC(Oc3ccccn3)CC2)cc1C#N ZINC001139724489 1091654199 /nfs/dbraw/zinc/65/41/99/1091654199.db2.gz UAAFAXZHALBKHJ-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1ccnc(O[C@H]2CCCN(Cc3ccc(C)c(C#N)c3)C2)n1 ZINC001139726964 1091656237 /nfs/dbraw/zinc/65/62/37/1091656237.db2.gz DJLNYWQHLGVMMS-SFHVURJKSA-N 0 1 322.412 3.009 20 30 DGEDMN CC(=O)N(C(C)C)C1CCN(Cc2ccc(C)c(C#N)c2)CC1 ZINC001139727297 1091656653 /nfs/dbraw/zinc/65/66/53/1091656653.db2.gz MQOPDZWSUDZPDW-UHFFFAOYSA-N 0 1 313.445 3.088 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccc(C)c(F)c2)C[C@H]1C ZINC001206537171 1091661589 /nfs/dbraw/zinc/66/15/89/1091661589.db2.gz KOCUNVHVHPOGTB-RHSMWYFYSA-N 0 1 304.409 3.037 20 30 DGEDMN COc1cccnc1CN1CCC(Cc2ccc(C#N)cc2)CC1 ZINC001206643924 1091696503 /nfs/dbraw/zinc/69/65/03/1091696503.db2.gz DXGCYYRMVDWLRZ-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2cc(F)cc(C#N)c2)C[C@H]1C ZINC001139879630 1091700960 /nfs/dbraw/zinc/70/09/60/1091700960.db2.gz PATYZCUYKQYQNR-CJNGLKHVSA-N 0 1 318.392 3.109 20 30 DGEDMN Cn1ncc2cc(CN3CCc4cc(C#N)ccc4C3)ccc21 ZINC001139948640 1091720940 /nfs/dbraw/zinc/72/09/40/1091720940.db2.gz JFYXVFMKWXYJJR-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCC[C@H]2NCc2cscn2)C1 ZINC001085323781 1091746794 /nfs/dbraw/zinc/74/67/94/1091746794.db2.gz YXISQHKESUSTFJ-HUUCEWRRSA-N 0 1 319.474 3.016 20 30 DGEDMN COc1ccc2n[nH]c(CN(CC#N)Cc3ccccc3)c2c1 ZINC001140069521 1091758552 /nfs/dbraw/zinc/75/85/52/1091758552.db2.gz XWVQNSKZCWJFMI-UHFFFAOYSA-N 0 1 306.369 3.097 20 30 DGEDMN Cc1ccnc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001206853124 1091769494 /nfs/dbraw/zinc/76/94/94/1091769494.db2.gz NMMSGOJXMKSGEM-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CC4(C3)C[C@H](F)CS4)c2c1 ZINC001140115581 1091772667 /nfs/dbraw/zinc/77/26/67/1091772667.db2.gz HUIVNDJWHRTPME-ZDUSSCGKSA-N 0 1 301.390 3.069 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2ccc(C#N)cc2F)C[C@H]1C ZINC001140141635 1091783421 /nfs/dbraw/zinc/78/34/21/1091783421.db2.gz ZMVURRIWDGUTII-UKRRQHHQSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1ncsc1CN1CCC[C@H](Oc2ccc(C#N)cc2)C1 ZINC001206991682 1091814723 /nfs/dbraw/zinc/81/47/23/1091814723.db2.gz LSAJBOHZAWLCQZ-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN C#Cc1cccnc1NC1=CCCN(C(=O)OC(C)(C)C)CC1 ZINC001203022711 1091822107 /nfs/dbraw/zinc/82/21/07/1091822107.db2.gz QBAGETFDGXKORZ-UHFFFAOYSA-N 0 1 313.401 3.390 20 30 DGEDMN Cc1cnc(CN2CCC(Cc3ccc(C#N)cc3)CC2)cn1 ZINC001207077028 1091839052 /nfs/dbraw/zinc/83/90/52/1091839052.db2.gz BPBGIZSOZYLZBE-UHFFFAOYSA-N 0 1 306.413 3.111 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2ccncc2s1 ZINC001085640444 1091866798 /nfs/dbraw/zinc/86/67/98/1091866798.db2.gz LRGPOYGZEBZGCT-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN Cc1cncc(CN2CCC(Oc3ccccc3C#N)CC2)c1 ZINC001207162577 1091872149 /nfs/dbraw/zinc/87/21/49/1091872149.db2.gz RFKYAOOMOMLJHQ-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cncc(CN2CCC[C@H](Oc3ccccc3C#N)C2)c1 ZINC001207162611 1091872481 /nfs/dbraw/zinc/87/24/81/1091872481.db2.gz SXHZIAYDIOSLJP-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c[nH]c3ncc(Br)cc23)C1 ZINC001140460620 1091874406 /nfs/dbraw/zinc/87/44/06/1091874406.db2.gz LBMBARPFDGALMK-NSHDSACASA-N 0 1 318.218 3.171 20 30 DGEDMN Cn1cnc2cc(CN3CCc4cc(C#N)ccc4C3)ccc21 ZINC001140506144 1091892485 /nfs/dbraw/zinc/89/24/85/1091892485.db2.gz YCPOOFIKMIPCOE-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001085733513 1091903645 /nfs/dbraw/zinc/90/36/45/1091903645.db2.gz HCPRZOWRUGKQEE-CMKODMSKSA-N 0 1 312.457 3.073 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](Sc3ccncc3)C2)cn1 ZINC001140544502 1091904366 /nfs/dbraw/zinc/90/43/66/1091904366.db2.gz ROIRHBMNHZCANW-QGZVFWFLSA-N 0 1 310.426 3.105 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)c(C)cc1Cl ZINC001085761994 1091914724 /nfs/dbraw/zinc/91/47/24/1091914724.db2.gz YLTFFWONTHNTIJ-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CCC3(C[C@H]3CCO)CC2)c1 ZINC001140600892 1091927850 /nfs/dbraw/zinc/92/78/50/1091927850.db2.gz ZNDPEAOHIQNHQX-OAHLLOKOSA-N 0 1 304.821 3.196 20 30 DGEDMN C=C(Br)CN[C@H]1CCCN(C(=O)CCCCC)C1 ZINC001207343127 1091929859 /nfs/dbraw/zinc/92/98/59/1091929859.db2.gz KDTJVRSURAOZBI-ZDUSSCGKSA-N 0 1 317.271 3.056 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(F)c(Cl)c1 ZINC001085791960 1091937715 /nfs/dbraw/zinc/93/77/15/1091937715.db2.gz XWUNUFBKVWPXNG-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2ccc(Cl)cc2O)cc1 ZINC000036668889 1091945413 /nfs/dbraw/zinc/94/54/13/1091945413.db2.gz OZJIWFJKNRPODZ-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c(OCC)c1 ZINC001085853043 1091978857 /nfs/dbraw/zinc/97/88/57/1091978857.db2.gz MNQVRYBGDOBKIM-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCCc2ccccc2F)CC1 ZINC001207490634 1091986122 /nfs/dbraw/zinc/98/61/22/1091986122.db2.gz WBEXKFKTVYBWOL-UHFFFAOYSA-N 0 1 323.415 3.452 20 30 DGEDMN CN(Cc1cc(F)c(C#N)c(F)c1)C[C@H]1Cc2ccccc2O1 ZINC001140755559 1091987793 /nfs/dbraw/zinc/98/77/93/1091987793.db2.gz KMHMJVCVTDQDQI-CQSZACIVSA-N 0 1 314.335 3.272 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc(C(F)F)c1 ZINC001085936781 1092021888 /nfs/dbraw/zinc/02/18/88/1092021888.db2.gz NJIQRPOWYDTBDB-OAHLLOKOSA-N 0 1 308.372 3.347 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1coc2ccc(F)cc21 ZINC001085948276 1092038285 /nfs/dbraw/zinc/03/82/85/1092038285.db2.gz FPOCIUMHAWVAOA-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCC[N@H+](Cc1ccc(C)cc1O)C2 ZINC001140950229 1092076752 /nfs/dbraw/zinc/07/67/52/1092076752.db2.gz WLAZMGSCRIYLAT-HKUYNNGSSA-N 0 1 317.429 3.027 20 30 DGEDMN C=CCN1CCC2(CCN(Cc3csc(Cl)c3)CC2)C1=O ZINC001273385037 1092086849 /nfs/dbraw/zinc/08/68/49/1092086849.db2.gz XEIUQMWIJJMUDD-UHFFFAOYSA-N 0 1 324.877 3.402 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@]2(C1)CN(C(C)C)CCO2 ZINC001276546985 1092109443 /nfs/dbraw/zinc/10/94/43/1092109443.db2.gz CDOSEPOQYLDCFI-LJQANCHMSA-N 0 1 324.509 3.449 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001108238622 1092120802 /nfs/dbraw/zinc/12/08/02/1092120802.db2.gz RCEKNJXQLOZFKX-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CC2CCCCCC2)C1 ZINC001108244048 1092141525 /nfs/dbraw/zinc/14/15/25/1092141525.db2.gz DYXGFSWHDQPLJN-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN CN(Cc1sc(N)c(C#N)c1Cl)Cc1ccccc1O ZINC001141112728 1092142122 /nfs/dbraw/zinc/14/21/22/1092142122.db2.gz KRXOOODHASBWFK-UHFFFAOYSA-N 0 1 307.806 3.193 20 30 DGEDMN CCC(CC)(CC)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001491625707 1092191906 /nfs/dbraw/zinc/19/19/06/1092191906.db2.gz VQVCPPIDRYFZNO-OAHLLOKOSA-N 0 1 315.461 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1oc2ccccc2c1CC ZINC001491691136 1092199816 /nfs/dbraw/zinc/19/98/16/1092199816.db2.gz QUDDYNWYXJAVQF-LBPRGKRZSA-N 0 1 320.820 3.456 20 30 DGEDMN C=CC1(CC(=O)NC[C@]2(C)CN(C(C)C)CCO2)CCCCC1 ZINC001108274607 1092249422 /nfs/dbraw/zinc/24/94/22/1092249422.db2.gz IXHKSARATZOJCP-GOSISDBHSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2coc(C)n2)C1 ZINC001491882683 1092272290 /nfs/dbraw/zinc/27/22/90/1092272290.db2.gz PPSSNDMMDKJDOS-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001273438044 1092295732 /nfs/dbraw/zinc/29/57/32/1092295732.db2.gz VSQLFARAPNADIT-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001491920415 1092298466 /nfs/dbraw/zinc/29/84/66/1092298466.db2.gz CQVWRTRUTUQVKX-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)CCCCC(C)C)C1 ZINC001108289870 1092309045 /nfs/dbraw/zinc/30/90/45/1092309045.db2.gz SKVKEIYAYNSZNH-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CCCCC(C)C)C1 ZINC001108289870 1092309056 /nfs/dbraw/zinc/30/90/56/1092309056.db2.gz SKVKEIYAYNSZNH-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN CCN(Cc1cc(C#N)ccc1Br)C1CCOCC1 ZINC001141660932 1092311754 /nfs/dbraw/zinc/31/17/54/1092311754.db2.gz QSGITPFMLMQLNK-UHFFFAOYSA-N 0 1 323.234 3.322 20 30 DGEDMN C=CCCC[N@H+]1CCO[C@@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333981 1092335969 /nfs/dbraw/zinc/33/59/69/1092335969.db2.gz YWHNRWPWTXCJGH-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333981 1092335979 /nfs/dbraw/zinc/33/59/79/1092335979.db2.gz YWHNRWPWTXCJGH-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C[C@@H](C)CC(C)C)C1 ZINC001108337155 1092340387 /nfs/dbraw/zinc/34/03/87/1092340387.db2.gz UMLVOISJJCHATJ-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350294 1092350716 /nfs/dbraw/zinc/35/07/16/1092350716.db2.gz WHDSIALIZOOKIL-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350294 1092350717 /nfs/dbraw/zinc/35/07/17/1092350717.db2.gz WHDSIALIZOOKIL-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN CSc1nc(CN2CC[C@@H](c3ccncc3)C2)ccc1C#N ZINC001141939330 1092366014 /nfs/dbraw/zinc/36/60/14/1092366014.db2.gz OBLVWVKYVGOXRT-OAHLLOKOSA-N 0 1 310.426 3.060 20 30 DGEDMN O[C@@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@H]1F ZINC001141972853 1092373029 /nfs/dbraw/zinc/37/30/29/1092373029.db2.gz SVABLIJTNHXDRV-QZTJIDSGSA-N 0 1 315.413 3.053 20 30 DGEDMN O[C@@H]1CN(Cc2ccc(C#Cc3ccccc3)s2)CC[C@H]1F ZINC001141972853 1092373039 /nfs/dbraw/zinc/37/30/39/1092373039.db2.gz SVABLIJTNHXDRV-QZTJIDSGSA-N 0 1 315.413 3.053 20 30 DGEDMN C[C@@H]1C[C@H](NCc2ccccc2C#N)CN1C(=O)OC(C)(C)C ZINC001168810831 1092376681 /nfs/dbraw/zinc/37/66/81/1092376681.db2.gz JEGXSHOYFKYFQX-CJNGLKHVSA-N 0 1 315.417 3.046 20 30 DGEDMN C[C@H]1C[C@H](NCC#Cc2ccccc2)CN1C(=O)OC(C)(C)C ZINC001168825958 1092384746 /nfs/dbraw/zinc/38/47/46/1092384746.db2.gz VBJXSTQYUFYPFB-RDJZCZTQSA-N 0 1 314.429 3.026 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NCc2csc(CC)n2)C1 ZINC001492080991 1092397165 /nfs/dbraw/zinc/39/71/65/1092397165.db2.gz IUYGEXNBOAJQDL-ZDUSSCGKSA-N 0 1 321.490 3.046 20 30 DGEDMN N#C[C@@H]1CN(CCCc2ccc(C(F)(F)F)cc2)CCC1=O ZINC001208690861 1092457701 /nfs/dbraw/zinc/45/77/01/1092457701.db2.gz VMULSCAWOVQLHV-CYBMUJFWSA-N 0 1 310.319 3.053 20 30 DGEDMN C=C(C)C(=O)n1c2ccc(OCC)cc2c(=N)c2ccc(N)cc21 ZINC001142516157 1092494894 /nfs/dbraw/zinc/49/48/94/1092494894.db2.gz RHYBQLGYXBTFBI-UHFFFAOYSA-N 0 1 321.380 3.471 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001208818697 1092523529 /nfs/dbraw/zinc/52/35/29/1092523529.db2.gz ZDFLHVOQENAOSU-KZNAEPCWSA-N 0 1 304.478 3.219 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001276596354 1092560488 /nfs/dbraw/zinc/56/04/88/1092560488.db2.gz YGMBOGOJBWFMQG-RPHSKFLZSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208954485 1092609741 /nfs/dbraw/zinc/60/97/41/1092609741.db2.gz MTMRSEQPTBEERQ-UKRRQHHQSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208954684 1092611163 /nfs/dbraw/zinc/61/11/63/1092611163.db2.gz PDFNSVMMKYZWRD-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN COC(=O)CCN(Cc1c(F)ccc(C#N)c1F)C1CCCC1 ZINC001143170995 1092627938 /nfs/dbraw/zinc/62/79/38/1092627938.db2.gz OAGCELWXMKQEQW-UHFFFAOYSA-N 0 1 322.355 3.144 20 30 DGEDMN N#Cc1ccc(F)c(CN(C2CCC2)C2CCOCC2)c1F ZINC001143178632 1092628681 /nfs/dbraw/zinc/62/86/81/1092628681.db2.gz JEGULCZAQNICRK-UHFFFAOYSA-N 0 1 306.356 3.370 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001209134696 1092667648 /nfs/dbraw/zinc/66/76/48/1092667648.db2.gz QMACPJAUTMQTMS-NZSAHSFTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCCN1Cc2ncn(C)c2[C@H](COCC2CCCC2)C1 ZINC001209157400 1092673902 /nfs/dbraw/zinc/67/39/02/1092673902.db2.gz BCOKKBMIRUPJDH-KRWDZBQOSA-N 0 1 317.477 3.492 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001209183120 1092683960 /nfs/dbraw/zinc/68/39/60/1092683960.db2.gz LZONKGTZFGYZQK-ZUTSKAEESA-N 0 1 319.276 3.488 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001209183443 1092684386 /nfs/dbraw/zinc/68/43/86/1092684386.db2.gz MVGKAFXRGSKHTB-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001209366643 1092744293 /nfs/dbraw/zinc/74/42/93/1092744293.db2.gz SJWPPMJXBQXWCO-SRXBQZRASA-N 0 1 305.249 3.242 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](c1ccccc1)[C@@H](C)CC ZINC001150647486 1092804480 /nfs/dbraw/zinc/80/44/80/1092804480.db2.gz PZRFOZORDSAZIN-BBRMVZONSA-N 0 1 308.853 3.275 20 30 DGEDMN COC(=O)c1cc(C#N)cc(C)c1Nc1ccc2nc[nH]c2c1 ZINC001209850237 1092948768 /nfs/dbraw/zinc/94/87/68/1092948768.db2.gz IPNIBJHWTCAERH-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN Cc1cc(N)ncc1Nc1c(C#N)cnn1-c1cccc(Cl)c1 ZINC001209968232 1093000107 /nfs/dbraw/zinc/00/01/07/1093000107.db2.gz WTXWGAQFZNGXIU-UHFFFAOYSA-N 0 1 324.775 3.427 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001210244110 1093092671 /nfs/dbraw/zinc/09/26/71/1093092671.db2.gz RZGCOFJQWXBRKO-ZHALLVOQSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)c1ccco1)C1CC1 ZINC001276686533 1093207132 /nfs/dbraw/zinc/20/71/32/1093207132.db2.gz JAAFYLWXXJWEDR-BXUZGUMPSA-N 0 1 310.825 3.010 20 30 DGEDMN COc1cc(Nc2ccccc2C#N)ccc1N1CCN(C)CC1 ZINC001210741575 1093265711 /nfs/dbraw/zinc/26/57/11/1093265711.db2.gz WAQFZAQGZDWPCI-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C[C@H]1CC(=O)C=C(Nc2cccc3c2OCC[C@@H]3N(C)C)C1 ZINC001210776934 1093279502 /nfs/dbraw/zinc/27/95/02/1093279502.db2.gz VBSJOSPLNNMJRH-PXAZEXFGSA-N 0 1 300.402 3.367 20 30 DGEDMN Cn1cncc1Nc1cc(Cl)c(Br)cc1C#N ZINC001211252175 1093434224 /nfs/dbraw/zinc/43/42/24/1093434224.db2.gz DAJRGZMHRSJIHM-UHFFFAOYSA-N 0 1 311.570 3.451 20 30 DGEDMN CN1C(=O)CCc2cc(Nc3cc(C#N)c(F)cc3O)ccc21 ZINC001213139802 1093528777 /nfs/dbraw/zinc/52/87/77/1093528777.db2.gz QJSOMLHDAYCIPB-UHFFFAOYSA-N 0 1 311.316 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc2c1CCC2)C1CC1 ZINC001276712301 1093790836 /nfs/dbraw/zinc/79/08/36/1093790836.db2.gz SWBDTUJZJAPOQA-QGZVFWFLSA-N 0 1 318.848 3.026 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1C[C@@H](C)[C@H](NCc2ccccc2C#N)C1 ZINC001215341897 1093964942 /nfs/dbraw/zinc/96/49/42/1093964942.db2.gz JLKGYDYVHRZBNZ-NDBSJYDGSA-N 0 1 323.440 3.017 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2ccncc2Cl)C1 ZINC001215428035 1093981648 /nfs/dbraw/zinc/98/16/48/1093981648.db2.gz VDJMHDKCMQWBEP-CZUORRHYSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215471854 1093988298 /nfs/dbraw/zinc/98/82/98/1093988298.db2.gz FQARLRRACBKAEI-GLTGHDFSSA-N 0 1 319.276 3.344 20 30 DGEDMN COC(=O)c1cc(C#N)cc(C)c1Nc1cc2[nH]ncc2c(F)c1 ZINC001215560090 1094002214 /nfs/dbraw/zinc/00/22/14/1094002214.db2.gz ZZXOMGOUXRYROV-UHFFFAOYSA-N 0 1 324.315 3.412 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Nc1ccc(N2CCN(C)CC2)cn1 ZINC001215645204 1094024013 /nfs/dbraw/zinc/02/40/13/1094024013.db2.gz FXDSSZWXLOZLDJ-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Nc1nc[nH]c(=O)c1Br ZINC001215645020 1094024122 /nfs/dbraw/zinc/02/41/22/1094024122.db2.gz BHGHCSRLBPSYKJ-UHFFFAOYSA-N 0 1 319.162 3.177 20 30 DGEDMN Cc1ccc(Nc2nc3ncccc3cc2C#N)c2cn[nH]c21 ZINC001215753689 1094048747 /nfs/dbraw/zinc/04/87/47/1094048747.db2.gz BUIKKELTPWDMAN-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2ccc(C)c3[nH]ncc32)c1 ZINC001215759675 1094050159 /nfs/dbraw/zinc/05/01/59/1094050159.db2.gz POZVLAMJJRWDRH-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(Cl)c(Cl)c(Cl)c1 ZINC000404183961 1094238837 /nfs/dbraw/zinc/23/88/37/1094238837.db2.gz IXHDDSXKCSPLOA-YFKPBYRVSA-N 0 1 313.593 3.301 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3cc4cccnc4[nH]3)n2)c1 ZINC001217308064 1094367480 /nfs/dbraw/zinc/36/74/80/1094367480.db2.gz NGCPJTDLDXYIPV-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN CCCCCCC[N@H+]1C[C@H]2OCCN(C(=O)CCCC)[C@H]2C1 ZINC001217321373 1094373277 /nfs/dbraw/zinc/37/32/77/1094373277.db2.gz OKUAZIOXALWMEC-DLBZAZTESA-N 0 1 310.482 3.059 20 30 DGEDMN Cc1cc(-c2noc(-c3ccn4cncc4c3)n2)ccc1C#N ZINC001217331808 1094377114 /nfs/dbraw/zinc/37/71/14/1094377114.db2.gz HQBJLJAWYCOHPX-UHFFFAOYSA-N 0 1 301.309 3.231 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3ccn4cncc4c3)n2)c1 ZINC001217330523 1094378024 /nfs/dbraw/zinc/37/80/24/1094378024.db2.gz NQNPSBGOOZEOAX-UHFFFAOYSA-N 0 1 305.272 3.062 20 30 DGEDMN N#Cc1ccc(-c2noc(-c3c[nH]c4nccc(Cl)c34)n2)nc1 ZINC001217392251 1094396209 /nfs/dbraw/zinc/39/62/09/1094396209.db2.gz MZHWGPMUPYWWRD-UHFFFAOYSA-N 0 1 322.715 3.200 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1ncc(CC)o1 ZINC001135185087 1094434496 /nfs/dbraw/zinc/43/44/96/1094434496.db2.gz TWTMKLQDPMXIOR-ZIAGYGMSSA-N 0 1 321.465 3.212 20 30 DGEDMN COC(=O)C1(C#N)CCN(Cc2ccc(C)c3ccccc23)CC1 ZINC001138017944 1094453719 /nfs/dbraw/zinc/45/37/19/1094453719.db2.gz BLDTUYLQYGZMQE-UHFFFAOYSA-N 0 1 322.408 3.427 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135229518 1094459540 /nfs/dbraw/zinc/45/95/40/1094459540.db2.gz BBCRTIQGJGDTLK-KBPBESRZSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2ncc(C)o2)CCC1 ZINC001135390614 1094522159 /nfs/dbraw/zinc/52/21/59/1094522159.db2.gz LPUFEPSWLNUYMC-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@@H]1CN(c2nc(Cl)c(C#N)s2)CCN1C1CCCC1 ZINC001120958761 1094563646 /nfs/dbraw/zinc/56/36/46/1094563646.db2.gz ALMIDAARNOEULS-SNVBAGLBSA-N 0 1 310.854 3.121 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[C@]12CC[NH2+]C2 ZINC001218095351 1094599894 /nfs/dbraw/zinc/59/98/94/1094599894.db2.gz ZJYPXWJCMZJADX-PKOBYXMFSA-N 0 1 315.413 3.234 20 30 DGEDMN COc1cccc(/C=C/c2ccccc2O[C@@H]2CNC[C@@H]2C#N)c1 ZINC001218200844 1094654229 /nfs/dbraw/zinc/65/42/29/1094654229.db2.gz NXRGWWJVANOKLS-BYGNXNDRSA-N 0 1 320.392 3.356 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(NN=C2C=CC(=O)C=C2)cc1 ZINC001218200600 1094655496 /nfs/dbraw/zinc/65/54/96/1094655496.db2.gz HLAOPVCLDUNKJS-PXAZEXFGSA-N 0 1 308.341 3.298 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(-c2cc(F)c(F)c(F)c2)cc1 ZINC001218200924 1094656643 /nfs/dbraw/zinc/65/66/43/1094656643.db2.gz JKRXNHKBCRRWEU-LRDDRELGSA-N 0 1 318.298 3.261 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc2cc(Br)ccc2c1 ZINC001218201768 1094656989 /nfs/dbraw/zinc/65/69/89/1094656989.db2.gz VCZYQSPFZGCLHT-WFASDCNBSA-N 0 1 317.186 3.093 20 30 DGEDMN CCC(CC)(CC)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001135826195 1094697166 /nfs/dbraw/zinc/69/71/66/1094697166.db2.gz NYYVQQYKZDPNBJ-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001135842931 1094706707 /nfs/dbraw/zinc/70/67/07/1094706707.db2.gz GYRGCAUOYCMAEG-GOSISDBHSA-N 0 1 319.424 3.120 20 30 DGEDMN CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)c2ccccc21 ZINC001336747588 1094719475 /nfs/dbraw/zinc/71/94/75/1094719475.db2.gz KWOGKGXKOJQKFE-LJQANCHMSA-N 0 1 304.393 3.126 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135878395 1094730403 /nfs/dbraw/zinc/73/04/03/1094730403.db2.gz SDXPGVKBVKRRRD-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CC[C@H](Oc1n[nH]c2c1CNCC2)c1ccc(Cl)cc1 ZINC001218442776 1094777253 /nfs/dbraw/zinc/77/72/53/1094777253.db2.gz KRROUHBSSQISDS-HNNXBMFYSA-N 0 1 303.793 3.405 20 30 DGEDMN Cc1coc(NC(=O)[C@@H](N)Cc2cccc3ccccc32)c1C#N ZINC001218768509 1094864751 /nfs/dbraw/zinc/86/47/51/1094864751.db2.gz MJTWNLTURQFRNV-KRWDZBQOSA-N 0 1 319.364 3.121 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC001122018166 1094869991 /nfs/dbraw/zinc/86/99/91/1094869991.db2.gz GATUYUDOTMYWSR-CZUORRHYSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@@H](C)CCCCCC)[C@H]2C1 ZINC001218803783 1094872309 /nfs/dbraw/zinc/87/23/09/1094872309.db2.gz POVVRCRUNCGALW-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1CCC(CNC(=O)[C@@H](N)Cc2cc3ccccc3o2)CC1 ZINC001122269571 1094939552 /nfs/dbraw/zinc/93/95/52/1094939552.db2.gz BVGUPARCWOIUJA-KRWDZBQOSA-N 0 1 312.413 3.165 20 30 DGEDMN C#CCCCCCC(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111833797 1094981781 /nfs/dbraw/zinc/98/17/81/1094981781.db2.gz HTCQBMOCACXSSY-HUKUNSEJSA-N 0 1 322.880 3.293 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)CSCc1ccc(Cl)cc1 ZINC001122523444 1094998335 /nfs/dbraw/zinc/99/83/35/1094998335.db2.gz KEFYQUNYVFDHDY-CYBMUJFWSA-N 0 1 319.817 3.183 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@H]1c1cc(F)ccc1F)c1cccc(F)n1 ZINC001122631083 1095021318 /nfs/dbraw/zinc/02/13/18/1095021318.db2.gz AHRPREKFFSUGAV-DRZSPHRISA-N 0 1 316.282 3.479 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccccn2)n1)c1cccc(F)n1 ZINC001122718235 1095045540 /nfs/dbraw/zinc/04/55/40/1095045540.db2.gz VLDSFDYNEXDMMU-JTQLQIEISA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@H](C(=O)c1csc(-c2ccccn2)n1)c1cccc(F)n1 ZINC001122718235 1095045555 /nfs/dbraw/zinc/04/55/55/1095045555.db2.gz VLDSFDYNEXDMMU-JTQLQIEISA-N 0 1 324.340 3.229 20 30 DGEDMN C=CCOCc1cccc(NC(=N)c2ccc(C(=O)OC)cc2)c1 ZINC001170988526 1095085532 /nfs/dbraw/zinc/08/55/32/1095085532.db2.gz ATDQHANEEGSBHW-UHFFFAOYSA-N 0 1 324.380 3.213 20 30 DGEDMN CC(C)(C)[C@H](C#N)OC(=O)c1ccc(CN2CCCCC2)o1 ZINC001122904958 1095096220 /nfs/dbraw/zinc/09/62/20/1095096220.db2.gz XLXHULXKCIGBCD-HNNXBMFYSA-N 0 1 304.390 3.361 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001171009967 1095123714 /nfs/dbraw/zinc/12/37/14/1095123714.db2.gz STRPCPUYHYIYLZ-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN Cc1cccc(CN2CCCC[C@H]2C(=O)N[C@@H](C#N)C(C)C)c1 ZINC001338038159 1095170394 /nfs/dbraw/zinc/17/03/94/1095170394.db2.gz KKBJOIKFLSBUHF-ROUUACIJSA-N 0 1 313.445 3.014 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@](C)(CC)CCCCC ZINC001115318645 1095176832 /nfs/dbraw/zinc/17/68/32/1095176832.db2.gz WRMKGEZYESPLRO-FAJBIJEISA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)CCCCCCCC)[C@@H](O)C1 ZINC001221030821 1095189025 /nfs/dbraw/zinc/18/90/25/1095189025.db2.gz OFXHTOWKPCPKDM-KSZLIROESA-N 0 1 324.509 3.111 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CCc2c1cccc2Cl ZINC001123233662 1095194991 /nfs/dbraw/zinc/19/49/91/1095194991.db2.gz MLQQDZQSCIMIMO-ZIAGYGMSSA-N 0 1 313.788 3.463 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@H](C)c1nc(C)no1 ZINC001171052992 1095203739 /nfs/dbraw/zinc/20/37/39/1095203739.db2.gz MIGSSJOOGGTEQW-UONOGXRCSA-N 0 1 322.453 3.060 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC001123250206 1095204564 /nfs/dbraw/zinc/20/45/64/1095204564.db2.gz LIKDKMYLCLTDPQ-LLVKDONJSA-N 0 1 311.834 3.146 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@@H+](C/C=C/c3ccccc3)C[C@H]21 ZINC001221423317 1095260804 /nfs/dbraw/zinc/26/08/04/1095260804.db2.gz DVWWGPGVSCQFHV-KWKDUPADSA-N 0 1 310.441 3.199 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(C/C=C/c3ccccc3)C[C@H]21 ZINC001221423317 1095260818 /nfs/dbraw/zinc/26/08/18/1095260818.db2.gz DVWWGPGVSCQFHV-KWKDUPADSA-N 0 1 310.441 3.199 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)COCCCCCCCC)[C@@H]2C1 ZINC001221620582 1095314571 /nfs/dbraw/zinc/31/45/71/1095314571.db2.gz MHLUJVMJRUSVIO-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(CC(C)C)CCC3)[C@@H]2C1 ZINC001221682681 1095327635 /nfs/dbraw/zinc/32/76/35/1095327635.db2.gz HPWWISRSOBWEDX-IAGOWNOFSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2nccs2)CCCCC1 ZINC001115701338 1095341706 /nfs/dbraw/zinc/34/17/06/1095341706.db2.gz JGFTVNBJYQSVNQ-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)CC[C@@H](C)c3ccccc3)[C@@H]2C1 ZINC001221850442 1095371838 /nfs/dbraw/zinc/37/18/38/1095371838.db2.gz MVABAVMFCOGWSK-BHIYHBOVSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC[C@@H](C)c3ccccc3)[C@@H]2C1 ZINC001221850442 1095371854 /nfs/dbraw/zinc/37/18/54/1095371854.db2.gz MVABAVMFCOGWSK-BHIYHBOVSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C(C)(C)C)C1 ZINC001338894521 1095427736 /nfs/dbraw/zinc/42/77/36/1095427736.db2.gz JNTPZRWNLQIJSQ-ZDUSSCGKSA-N 0 1 314.437 3.035 20 30 DGEDMN C#CCN(C)Cc1cc(Br)cc(OC(F)(F)F)c1 ZINC001144010830 1095474159 /nfs/dbraw/zinc/47/41/59/1095474159.db2.gz IBAZHPDQZSAZNN-UHFFFAOYSA-N 0 1 322.124 3.413 20 30 DGEDMN CCCC[C@H](CC)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001123935413 1095487165 /nfs/dbraw/zinc/48/71/65/1095487165.db2.gz BRSLUFUFIBCJKE-HNNXBMFYSA-N 0 1 319.424 3.120 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)cc1)Cc1cncc(C#N)c1 ZINC001144080181 1095504477 /nfs/dbraw/zinc/50/44/77/1095504477.db2.gz XPUHCLVWZBOIQQ-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN N#Cc1ccc(CN2CCC(Sc3ccncc3)CC2)nc1 ZINC001144163761 1095534918 /nfs/dbraw/zinc/53/49/18/1095534918.db2.gz UPQCOIBTSBHEJY-UHFFFAOYSA-N 0 1 310.426 3.105 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3ccc(C#N)cn3)CC2)cc1 ZINC001144163190 1095541415 /nfs/dbraw/zinc/54/14/15/1095541415.db2.gz TUGPDCNHLPMOHA-UHFFFAOYSA-N 0 1 316.408 3.280 20 30 DGEDMN Cc1c(C(=O)O[C@H](C)c2ccc(C#N)cc2)ccc2cncn21 ZINC001116501395 1095579024 /nfs/dbraw/zinc/57/90/24/1095579024.db2.gz AUJHINIFOLLCGO-CYBMUJFWSA-N 0 1 305.337 3.432 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)NCCN[C@@H](C)c1ccccc1Cl ZINC001124495171 1095597865 /nfs/dbraw/zinc/59/78/65/1095597865.db2.gz NHVCNFOIHOJYHD-KBPBESRZSA-N 0 1 324.852 3.088 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)C1 ZINC001116555637 1095601010 /nfs/dbraw/zinc/60/10/10/1095601010.db2.gz SFBBJVGXWHSQPE-HNNXBMFYSA-N 0 1 304.390 3.495 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2cc(Br)c(F)cc2O)C1 ZINC001144308338 1095601548 /nfs/dbraw/zinc/60/15/48/1095601548.db2.gz VWWMVOIGZZCHFB-ZDUSSCGKSA-N 0 1 313.170 3.029 20 30 DGEDMN CCc1nc([C@H](C)Nc2ccc(C#N)c(Br)c2)n[nH]1 ZINC001339645259 1095685390 /nfs/dbraw/zinc/68/53/90/1095685390.db2.gz PVAJRKSGWOQPTB-QMMMGPOBSA-N 0 1 320.194 3.174 20 30 DGEDMN COC(=O)c1cccc(CNCc2ccc(C#N)c(Cl)c2)c1 ZINC001116943988 1095706769 /nfs/dbraw/zinc/70/67/69/1095706769.db2.gz DVQDPIZOIPPTIZ-UHFFFAOYSA-N 0 1 314.772 3.288 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001276832960 1095724460 /nfs/dbraw/zinc/72/44/60/1095724460.db2.gz ANDWUPOYWBZMSL-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN C#CC1CCN(Cc2cc(OC)ccc2Br)CC1 ZINC001137910685 1095786618 /nfs/dbraw/zinc/78/66/18/1095786618.db2.gz HYOMWIOOAKFQKV-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN C#Cc1cccc(CNCc2ccc(Br)nc2)c1 ZINC001202814109 1095825624 /nfs/dbraw/zinc/82/56/24/1095825624.db2.gz YLTQBAFJSIOBFV-UHFFFAOYSA-N 0 1 301.187 3.115 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CC[C@@H](C)c3ccccc3)C2)C1 ZINC001276876601 1095915411 /nfs/dbraw/zinc/91/54/11/1095915411.db2.gz BGODCUWLHNBCGN-GOSISDBHSA-N 0 1 324.468 3.128 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@@H]2COCc3ccccc32)C1 ZINC001118027226 1095924215 /nfs/dbraw/zinc/92/42/15/1095924215.db2.gz VHFRLJVDESACFH-SKINQDTJSA-N 0 1 304.393 3.471 20 30 DGEDMN C=C[C@H](C)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223398382 1095958807 /nfs/dbraw/zinc/95/88/07/1095958807.db2.gz BJTKHMJVCRWDDH-RMLUDKJBSA-N 0 1 312.728 3.259 20 30 DGEDMN C#C[C@H](CC)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223403466 1095960738 /nfs/dbraw/zinc/96/07/38/1095960738.db2.gz MJJUJFOLVFJEKV-PSLIRLAXSA-N 0 1 324.739 3.097 20 30 DGEDMN C=CC(C=C)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223403481 1095960772 /nfs/dbraw/zinc/96/07/72/1095960772.db2.gz MXFNKQJLTWLLPQ-OAHLLOKOSA-N 0 1 324.739 3.425 20 30 DGEDMN CC[C@@H]1OC(C)=C(O[C@H](C(=O)OC)c2ccc(Cl)cc2)C1=O ZINC001223576210 1096004433 /nfs/dbraw/zinc/00/44/33/1096004433.db2.gz KKGDTYGJZAFKEX-WFASDCNBSA-N 0 1 324.760 3.180 20 30 DGEDMN O/N=C(\OC[C@@H]1COc2ccccc2O1)c1ccc(Cl)cc1 ZINC001223597884 1096010367 /nfs/dbraw/zinc/01/03/67/1096010367.db2.gz ZQWKNBCBFKVOGH-VDMFXUGISA-N 0 1 319.744 3.332 20 30 DGEDMN Cc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)cn1 ZINC001171275705 1096013123 /nfs/dbraw/zinc/01/31/23/1096013123.db2.gz ZYIOWEMOGOEOJL-UHFFFAOYSA-N 0 1 306.413 3.360 20 30 DGEDMN N#CC1(Nc2cccc(F)n2)CCN(Cc2ccccc2)CC1 ZINC001171276710 1096028181 /nfs/dbraw/zinc/02/81/81/1096028181.db2.gz GTFFSFCXNIWEDP-UHFFFAOYSA-N 0 1 310.376 3.191 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001276909739 1096029723 /nfs/dbraw/zinc/02/97/23/1096029723.db2.gz SCGJRIYMWIDHDX-SJLPKXTDSA-N 0 1 300.446 3.288 20 30 DGEDMN Cc1cccc(N2C[C@H]3CC[C@@H](C2)N3Cc2cc(C#N)n(C)c2)c1 ZINC001276911680 1096036111 /nfs/dbraw/zinc/03/61/11/1096036111.db2.gz CDOUHZGTQKVZMZ-KDURUIRLSA-N 0 1 320.440 3.058 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001276915623 1096049144 /nfs/dbraw/zinc/04/91/44/1096049144.db2.gz DEWXZORRWFFEKA-RHSMWYFYSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001276915621 1096049431 /nfs/dbraw/zinc/04/94/31/1096049431.db2.gz DEWXZORRWFFEKA-PBHICJAKSA-N 0 1 306.475 3.083 20 30 DGEDMN C[C@H](CCC#N)N1CCN(Cc2cccc3cccnc32)CC1 ZINC001340847179 1096050886 /nfs/dbraw/zinc/05/08/86/1096050886.db2.gz IQUCNQPWAXHXAN-MRXNPFEDSA-N 0 1 308.429 3.045 20 30 DGEDMN C=Cc1ncc(NC2(C#N)CCN(Cc3ccccc3)CC2)cn1 ZINC001171282645 1096086313 /nfs/dbraw/zinc/08/63/13/1096086313.db2.gz WMFQKAROCMQJNH-UHFFFAOYSA-N 0 1 319.412 3.090 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2cncc(C#N)c2)C1 ZINC001224139309 1096144223 /nfs/dbraw/zinc/14/42/23/1096144223.db2.gz UBSAUJVSSIRTIS-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@@H](CC)C1=O)c1ccccc1F ZINC001224154584 1096148249 /nfs/dbraw/zinc/14/82/49/1096148249.db2.gz NRAGHCVRJSCIOQ-BBRMVZONSA-N 0 1 322.332 3.056 20 30 DGEDMN Cc1ncsc1C[N@H+]1CC[C@H]2[C@@H]1CCN2c1ccccc1C#N ZINC001276952887 1096153378 /nfs/dbraw/zinc/15/33/78/1096153378.db2.gz BRBCPZBOPIWRJF-IRXDYDNUSA-N 0 1 324.453 3.176 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCc1ccccc1C(=O)OC(C)(C)C ZINC001224192232 1096158362 /nfs/dbraw/zinc/15/83/62/1096158362.db2.gz ZYKLAQQJHGNTLH-NSHDSACASA-N 0 1 318.369 3.378 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CC)CCCCC)C[C@@H]21 ZINC001224208350 1096164328 /nfs/dbraw/zinc/16/43/28/1096164328.db2.gz SYMGCIAAECWJIC-KSZLIROESA-N 0 1 304.478 3.149 20 30 DGEDMN CC[C@H]1OC(C)=C(OCCOc2ccc3c(c2)CCC3)C1=O ZINC001224301383 1096182971 /nfs/dbraw/zinc/18/29/71/1096182971.db2.gz ASXLSHPNVKMHLI-MRXNPFEDSA-N 0 1 302.370 3.180 20 30 DGEDMN C#CC[C@H](N)C(=O)Nc1ccc2c(c1)c1ccccc1n2CC ZINC000321472592 1096216130 /nfs/dbraw/zinc/21/61/30/1096216130.db2.gz ARJBOCQUEOCJAW-INIZCTEOSA-N 0 1 305.381 3.104 20 30 DGEDMN C=C(CC)C(=O)N1CCN(Cc2ccccc2)[C@H](C(C)C)C1 ZINC001147413676 1096221708 /nfs/dbraw/zinc/22/17/08/1096221708.db2.gz OXUQFZNWACTYPI-SFHVURJKSA-N 0 1 300.446 3.322 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](OCCc2ccc(C#N)cc2)C1 ZINC001224656429 1096258360 /nfs/dbraw/zinc/25/83/60/1096258360.db2.gz WRMIZDHSGDCLSL-QFBILLFUSA-N 0 1 321.424 3.348 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224665003 1096259360 /nfs/dbraw/zinc/25/93/60/1096259360.db2.gz AMLUJBFQXXZARI-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C#C[C@@H](C)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152566 1096354657 /nfs/dbraw/zinc/35/46/57/1096354657.db2.gz WAQIKCFBUMUYNE-VQIMIIECSA-N 0 1 301.430 3.094 20 30 DGEDMN COc1cc(C#N)ccc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001225195819 1096361067 /nfs/dbraw/zinc/36/10/67/1096361067.db2.gz WWIJSYCNMROLQG-GOEBONIOSA-N 0 1 323.396 3.176 20 30 DGEDMN COc1cc(C#N)ccc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001225195818 1096361635 /nfs/dbraw/zinc/36/16/35/1096361635.db2.gz WWIJSYCNMROLQG-GDBMZVCRSA-N 0 1 323.396 3.176 20 30 DGEDMN [O-]/N=C/c1cc(NC[C@@H]2CCCC[NH2+]2)cc(C(F)(F)F)c1 ZINC001171334267 1096408904 /nfs/dbraw/zinc/40/89/04/1096408904.db2.gz ILLCISQZLPZSAH-WUWVFJBVSA-N 0 1 301.312 3.068 20 30 DGEDMN Cc1noc(NC(=O)c2c(F)c(C)c(F)c(C)c2F)c1C#N ZINC001148749484 1096441014 /nfs/dbraw/zinc/44/10/14/1096441014.db2.gz CRYAZXCZGIDDGM-UHFFFAOYSA-N 0 1 309.247 3.141 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3ccc(Cl)cc3)C2)cn1 ZINC001225499017 1096449644 /nfs/dbraw/zinc/44/96/44/1096449644.db2.gz DWHMRPVFBCVTCF-KRWDZBQOSA-N 0 1 313.788 3.260 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C/C=C\Cl)C3)CCCC1 ZINC001148935682 1096468414 /nfs/dbraw/zinc/46/84/14/1096468414.db2.gz SQKONURBSLKMGJ-YHYXMXQVSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)/C=C/C3CC3)C2)CC1 ZINC001086910871 1096505050 /nfs/dbraw/zinc/50/50/50/1096505050.db2.gz DANIHPLQBOSEEZ-LLYBFZRZSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)c3cc[nH]c3)C2)CC1 ZINC001086924700 1096510475 /nfs/dbraw/zinc/51/04/75/1096510475.db2.gz ZQPYQCHKHQZTSH-CQSZACIVSA-N 0 1 321.852 3.084 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3n[nH]cc3c2)C1 ZINC001225721605 1096513955 /nfs/dbraw/zinc/51/39/55/1096513955.db2.gz YCBUTQDTPVYFSW-SFHVURJKSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)c(Br)c(F)c1 ZINC001225736646 1096517145 /nfs/dbraw/zinc/51/71/45/1096517145.db2.gz VGGDCMGXALMKHA-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001086944680 1096517730 /nfs/dbraw/zinc/51/77/30/1096517730.db2.gz GLRYYUDBHYVRGA-GJZGRUSLSA-N 0 1 322.880 3.242 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(Br)ccc1C#N ZINC001225787128 1096530168 /nfs/dbraw/zinc/53/01/68/1096530168.db2.gz XZBJGHCVCYBMDY-LLVKDONJSA-N 0 1 322.158 3.309 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(F)c(C#N)c2)C1 ZINC001225824005 1096539228 /nfs/dbraw/zinc/53/92/28/1096539228.db2.gz GNMHOKUBUWHFTM-XJKSGUPXSA-N 0 1 311.360 3.307 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1ccc(F)cc1)C2 ZINC001110137880 1096638080 /nfs/dbraw/zinc/63/80/80/1096638080.db2.gz AZELFWZVEAYPTO-NBMRYCAZSA-N 0 1 316.420 3.227 20 30 DGEDMN C[C@H](Oc1nccc(/C=N/O)n1)c1c(Cl)cncc1Cl ZINC001226255005 1096647352 /nfs/dbraw/zinc/64/73/52/1096647352.db2.gz GFSAKSWOEGCKTB-HJHUVIRGSA-N 0 1 313.144 3.127 20 30 DGEDMN C#CC[C@@H](CC)Oc1[nH]c(=O)nc2ccc(Br)cc21 ZINC001226294168 1096654294 /nfs/dbraw/zinc/65/42/94/1096654294.db2.gz ABNNFELQEOBIIC-SNVBAGLBSA-N 0 1 321.174 3.279 20 30 DGEDMN C=CC(C=C)Oc1[nH]c(=O)nc2ccc(Br)cc21 ZINC001226295259 1096655292 /nfs/dbraw/zinc/65/52/92/1096655292.db2.gz GQVRJASJJKEPFG-UHFFFAOYSA-N 0 1 307.147 3.217 20 30 DGEDMN C=C(Cl)CN1CC2(CCC2)[C@H]1C1CCN(C(=O)C2CC2)CC1 ZINC001093351539 1096677248 /nfs/dbraw/zinc/67/72/48/1096677248.db2.gz MKBRIGDPKYGPLO-MRXNPFEDSA-N 0 1 322.880 3.242 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001093558893 1096710565 /nfs/dbraw/zinc/71/05/65/1096710565.db2.gz DKQGWSZMORKZCZ-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(OC)ccc1Br ZINC001226550639 1096718911 /nfs/dbraw/zinc/71/89/11/1096718911.db2.gz VUHKFYSVRICKQI-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3[nH]ccc3C)CCC[C@@H]12 ZINC001094406378 1096823903 /nfs/dbraw/zinc/82/39/03/1096823903.db2.gz UKWSGNVMTHVUDF-RHSMWYFYSA-N 0 1 321.852 3.050 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2conc2C)CCC1 ZINC001280856206 1096881383 /nfs/dbraw/zinc/88/13/83/1096881383.db2.gz IHPRHPWFSPYVLE-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C[C@H](Oc1nc2ccc(C#N)cc2[nH]1)c1cnc2ccccn21 ZINC001227222000 1096899105 /nfs/dbraw/zinc/89/91/05/1096899105.db2.gz WCLYVHMJPPCXNE-NSHDSACASA-N 0 1 303.325 3.222 20 30 DGEDMN CCCCCCCN1CCc2n[nH]c(C(=O)NC(C)(C)C)c2C1 ZINC001277224606 1096959427 /nfs/dbraw/zinc/95/94/27/1096959427.db2.gz KJQNSIZDYMVGHS-UHFFFAOYSA-N 0 1 320.481 3.267 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1cscn1 ZINC001171818703 1096974416 /nfs/dbraw/zinc/97/44/16/1096974416.db2.gz RTZZOGLGWNLLGK-UHFFFAOYSA-N 0 1 311.495 3.490 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](Oc2nc(=O)[nH]c3c2CO[C@@H]3C)C(C)(C)O1 ZINC001227715792 1096975152 /nfs/dbraw/zinc/97/51/52/1096975152.db2.gz GUCIZDCUNJEVOX-ILMHXZGMSA-N 0 1 320.389 3.055 20 30 DGEDMN C=C[C@]1(C)CC[C@H](C(C)(C)Oc2nc(=O)[nH]c3c2CO[C@H]3C)O1 ZINC001227714514 1096975658 /nfs/dbraw/zinc/97/56/58/1096975658.db2.gz SYBONRHHVFFBJD-GSDQYQHOSA-N 0 1 320.389 3.055 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCN(c2ccc(Cl)c(Cl)c2)CC1 ZINC001171841533 1096989196 /nfs/dbraw/zinc/98/91/96/1096989196.db2.gz PGNAANIFZVAMHD-OAHLLOKOSA-N 0 1 315.244 3.052 20 30 DGEDMN N#Cc1c(Cl)cccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001227788771 1096989225 /nfs/dbraw/zinc/98/92/25/1096989225.db2.gz FRCCOMCFTVUVAR-HDJSIYSDSA-N 0 1 320.820 3.234 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(C(F)(F)F)ccc1OC ZINC001227888040 1097007633 /nfs/dbraw/zinc/00/76/33/1097007633.db2.gz JWEDAGUNPRHHTO-ZETCQYMHSA-N 0 1 302.248 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001227916783 1097012243 /nfs/dbraw/zinc/01/22/43/1097012243.db2.gz IQRJYUYIQVRFNR-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2cccc3c[nH]nc32)C1 ZINC001227985404 1097026467 /nfs/dbraw/zinc/02/64/67/1097026467.db2.gz OHMHWZHZYNKNQX-QGZVFWFLSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1ccc([O-])cc1O[C@@H]1CCC[N@H+](Cc2ccccc2)C1 ZINC001228005236 1097030815 /nfs/dbraw/zinc/03/08/15/1097030815.db2.gz JLHVLSSXLMUAJE-GOSISDBHSA-N 0 1 308.381 3.307 20 30 DGEDMN N#Cc1ccc([O-])cc1O[C@@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC001228005236 1097030820 /nfs/dbraw/zinc/03/08/20/1097030820.db2.gz JLHVLSSXLMUAJE-GOSISDBHSA-N 0 1 308.381 3.307 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cc(O)ccc2C#N)C1 ZINC001228007114 1097031861 /nfs/dbraw/zinc/03/18/61/1097031861.db2.gz MYSIZUZZAJGXDX-WMLDXEAASA-N 0 1 323.396 3.263 20 30 DGEDMN N#Cc1ccc(Cl)cc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001228084083 1097047993 /nfs/dbraw/zinc/04/79/93/1097047993.db2.gz HWMNBSSVTIKUPC-WKILWMFISA-N 0 1 320.820 3.234 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccccc1C(=O)OCCCC ZINC001228212480 1097075185 /nfs/dbraw/zinc/07/51/85/1097075185.db2.gz RNLVSSSOYZSYIM-NSHDSACASA-N 0 1 304.342 3.242 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1csc(C)n1 ZINC001228278098 1097087183 /nfs/dbraw/zinc/08/71/83/1097087183.db2.gz LWJHRGBOHQABRU-HZPDHXFCSA-N 0 1 321.490 3.325 20 30 DGEDMN Cc1ccccc1C1(N[C@H](C)Cc2ccc(C#N)cc2)COC1 ZINC001172300420 1097093706 /nfs/dbraw/zinc/09/37/06/1097093706.db2.gz VINBFDATGDGNMS-MRXNPFEDSA-N 0 1 306.409 3.313 20 30 DGEDMN Cc1ccc2c(c1)[C@H](N[C@@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172290876 1097105514 /nfs/dbraw/zinc/10/55/14/1097105514.db2.gz UATBAGZDUPXUSB-UGSOOPFHSA-N 0 1 305.381 3.081 20 30 DGEDMN Cc1cccc2c1[C@H](N[C@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172292006 1097106483 /nfs/dbraw/zinc/10/64/83/1097106483.db2.gz BXNJYJMERWLGEO-ACJLOTCBSA-N 0 1 305.381 3.081 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](C(C)(C)Oc2nc(Cl)nc3nc[nH]c32)O1 ZINC001228510734 1097133786 /nfs/dbraw/zinc/13/37/86/1097133786.db2.gz WTPRLRNDTPMHHL-PSLIRLAXSA-N 0 1 322.796 3.287 20 30 DGEDMN C[C@H](CCCC#N)NC1(c2cccc(Br)c2)COC1 ZINC001172483314 1097151366 /nfs/dbraw/zinc/15/13/66/1097151366.db2.gz PAFILQDDXMIGIN-GFCCVEGCSA-N 0 1 323.234 3.347 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(C(=O)OC(C)(C)C)C2(CC2)C1 ZINC001172502179 1097173282 /nfs/dbraw/zinc/17/32/82/1097173282.db2.gz SSRGCSLMTIXAST-CQSZACIVSA-N 0 1 307.438 3.154 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001228810578 1097195818 /nfs/dbraw/zinc/19/58/18/1097195818.db2.gz XKALYWWXMRPFLH-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CCCC)Oc1cc(O)c(C(=O)OC)cc1[N+](=O)[O-] ZINC001228814356 1097196628 /nfs/dbraw/zinc/19/66/28/1097196628.db2.gz LMLOOYADXOCOMW-SNVBAGLBSA-N 0 1 309.318 3.211 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228828697 1097199225 /nfs/dbraw/zinc/19/92/25/1097199225.db2.gz IQRKOJAIOCSNKG-HZPDHXFCSA-N 0 1 319.449 3.102 20 30 DGEDMN CC1(C)CC(N2CC(Oc3ccccc3C#N)C2)CC(C)(C)O1 ZINC001172661172 1097205354 /nfs/dbraw/zinc/20/53/54/1097205354.db2.gz PZUGMSZRLFUGEJ-UHFFFAOYSA-N 0 1 314.429 3.357 20 30 DGEDMN C=CC[C@@H](C=C)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228860278 1097205705 /nfs/dbraw/zinc/20/57/05/1097205705.db2.gz SGJBCMQLHLOJIT-SSDOTTSWSA-N 0 1 304.224 3.224 20 30 DGEDMN CC#CC[C@H](CC)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228858137 1097205769 /nfs/dbraw/zinc/20/57/69/1097205769.db2.gz DATNZYCLKHXQQP-QMMMGPOBSA-N 0 1 318.251 3.285 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(O[C@@H]2CCCCO2)cc1 ZINC001229047164 1097245016 /nfs/dbraw/zinc/24/50/16/1097245016.db2.gz OPEXSTGFDZJPCS-IAQYHMDHSA-N 0 1 304.342 3.190 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001229049087 1097245079 /nfs/dbraw/zinc/24/50/79/1097245079.db2.gz STUDYYFZZGPDFJ-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(O[C@@H]2CCCCO2)cc1 ZINC001229047173 1097245132 /nfs/dbraw/zinc/24/51/32/1097245132.db2.gz OPEXSTGFDZJPCS-XHDPSFHLSA-N 0 1 304.342 3.190 20 30 DGEDMN C=CCOCc1nn([C@@H]2CCc3ccc(C)cc3C2)c2c1CNC2 ZINC001172833153 1097251791 /nfs/dbraw/zinc/25/17/91/1097251791.db2.gz OXITYVYXLGSWLI-QGZVFWFLSA-N 0 1 323.440 3.227 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H](C)CCC=C(C)C)CC1 ZINC001229313780 1097294111 /nfs/dbraw/zinc/29/41/11/1097294111.db2.gz FHQPUDATGRHXFL-KRWDZBQOSA-N 0 1 304.478 3.363 20 30 DGEDMN C[C@H](N[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1)c1ccoc1 ZINC001172982532 1097297814 /nfs/dbraw/zinc/29/78/14/1097297814.db2.gz DHLYLRYUBHJHTA-CFVMTHIKSA-N 0 1 319.405 3.222 20 30 DGEDMN C=CC[C@@H](Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001229377292 1097308617 /nfs/dbraw/zinc/30/86/17/1097308617.db2.gz AHZIOFIIEMORTJ-GFCCVEGCSA-N 0 1 301.302 3.095 20 30 DGEDMN CCC[C@H](C#Cc1ccccc1)Oc1nc(C)nc2[nH]cnc21 ZINC001229438812 1097320779 /nfs/dbraw/zinc/32/07/79/1097320779.db2.gz WPJXYZRUEJIBDL-OAHLLOKOSA-N 0 1 306.369 3.261 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cccc(CCC(=O)OCC)c1 ZINC001229518852 1097338080 /nfs/dbraw/zinc/33/80/80/1097338080.db2.gz WVOBFXNZVHXMOV-HNNXBMFYSA-N 0 1 318.369 3.171 20 30 DGEDMN C[C@H](CS)Oc1ccc2c(c1)oc(=O)c1cc(O)ccc21 ZINC001229797577 1097389416 /nfs/dbraw/zinc/38/94/16/1097389416.db2.gz HFTLJZWTUOLRCL-SECBINFHSA-N 0 1 302.351 3.349 20 30 DGEDMN CCC[C@H](C#Cc1ccccc1)Oc1nc[nH]c(=O)c1Cl ZINC001230016322 1097428746 /nfs/dbraw/zinc/42/87/46/1097428746.db2.gz GIMAXAVKVRZKCE-CYBMUJFWSA-N 0 1 302.761 3.435 20 30 DGEDMN CCOCc1noc2c1CN(C1CCC(C)(C#N)CC1)CC2 ZINC001173596117 1097467022 /nfs/dbraw/zinc/46/70/22/1097467022.db2.gz KIAUEDCNKZIBAP-UHFFFAOYSA-N 0 1 303.406 3.042 20 30 DGEDMN CC1(C#N)CCC(N2CC(N3Cc4ccccc4NC3=O)C2)CC1 ZINC001173597402 1097467927 /nfs/dbraw/zinc/46/79/27/1097467927.db2.gz RYZFRXCVSQFLPA-UHFFFAOYSA-N 0 1 324.428 3.191 20 30 DGEDMN C=CCN(CCCNC(=O)C=C(CC)CC)Cc1cccnc1 ZINC001230726118 1097566502 /nfs/dbraw/zinc/56/65/02/1097566502.db2.gz BGIDCNDKTLZMFH-UHFFFAOYSA-N 0 1 315.461 3.322 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccc(C)n1C ZINC001230753089 1097573883 /nfs/dbraw/zinc/57/38/83/1097573883.db2.gz QWJGIVZJUDXIBC-QGZVFWFLSA-N 0 1 319.493 3.450 20 30 DGEDMN COc1ccc(OC)c(OC)c1Nc1cc(C#N)c(F)cc1O ZINC001174055635 1097584413 /nfs/dbraw/zinc/58/44/13/1097584413.db2.gz LPXUCTYCKHGYGD-UHFFFAOYSA-N 0 1 318.304 3.172 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(C)C1CC1)Cc1cccnc1 ZINC001230825004 1097599143 /nfs/dbraw/zinc/59/91/43/1097599143.db2.gz WNEFZVJWUWGKGA-UHFFFAOYSA-N 0 1 315.461 3.012 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Cl)nc1Br ZINC001230933096 1097625702 /nfs/dbraw/zinc/62/57/02/1097625702.db2.gz CDLYMBHUASODMS-RXMQYKEDSA-N 0 1 318.554 3.096 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001231122890 1097675174 /nfs/dbraw/zinc/67/51/74/1097675174.db2.gz PWNQRPRJCWAABV-SFHVURJKSA-N 0 1 300.446 3.248 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001231171090 1097687435 /nfs/dbraw/zinc/68/74/35/1097687435.db2.gz XQXBGVWAYYIGFR-KRWDZBQOSA-N 0 1 311.429 3.167 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1[C@@H](C)c1cccc(OC)c1 ZINC001231178247 1097689041 /nfs/dbraw/zinc/68/90/41/1097689041.db2.gz KMRAQTZLIOSXIA-RDJZCZTQSA-N 0 1 316.445 3.255 20 30 DGEDMN C[C@H](C#N)C(=O)N(C)C[C@H]1CCN1Cc1ccc2ccccc2c1 ZINC001231294168 1097714653 /nfs/dbraw/zinc/71/46/53/1097714653.db2.gz VRMUZHOHJBOLNA-DNVCBOLYSA-N 0 1 321.424 3.032 20 30 DGEDMN CN(CCCNC(=O)CC1CCCC1)Cc1ccccc1C#N ZINC001231379505 1097734665 /nfs/dbraw/zinc/73/46/65/1097734665.db2.gz SFYGUMIDTOTQLN-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCCC(=O)c1cccnc1 ZINC001231619908 1097793166 /nfs/dbraw/zinc/79/31/66/1097793166.db2.gz LVOGJCVFJWQBGS-UHFFFAOYSA-N 0 1 323.396 3.057 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@H](Oc2cccnc2)C1 ZINC001231618293 1097793652 /nfs/dbraw/zinc/79/36/52/1097793652.db2.gz FNQAGZLIHMOWNV-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@H](C(=O)c2ccccc2)C1 ZINC001231622490 1097794414 /nfs/dbraw/zinc/79/44/14/1097794414.db2.gz XYKXPPHUWNLBFX-SFHVURJKSA-N 0 1 320.392 3.272 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCNCc1nc(C)oc1C ZINC001174681860 1097799141 /nfs/dbraw/zinc/79/91/41/1097799141.db2.gz FYBSSKKMPPAPOC-ZDUSSCGKSA-N 0 1 321.465 3.268 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCN[C@H](C)c1csnn1 ZINC001174682010 1097799232 /nfs/dbraw/zinc/79/92/32/1097799232.db2.gz IKWUGZZXSVCASL-QWHCGFSZSA-N 0 1 324.494 3.076 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001174694319 1097827894 /nfs/dbraw/zinc/82/78/94/1097827894.db2.gz LRRSYFPEQCTBTA-ZDUSSCGKSA-N 0 1 321.465 3.268 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001174834085 1097853278 /nfs/dbraw/zinc/85/32/78/1097853278.db2.gz VTPDLUFDYDVATA-HZPDHXFCSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001174833661 1097853491 /nfs/dbraw/zinc/85/34/91/1097853491.db2.gz QTRHYRWRPHQXOP-GJZGRUSLSA-N 0 1 307.438 3.042 20 30 DGEDMN COc1cccc(C#N)c1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174813904 1097862740 /nfs/dbraw/zinc/86/27/40/1097862740.db2.gz AJBYRMZKOPBQEX-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001174848309 1097866637 /nfs/dbraw/zinc/86/66/37/1097866637.db2.gz BFUHXHSPCTWNFX-HOCLYGCPSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001174848308 1097866700 /nfs/dbraw/zinc/86/67/00/1097866700.db2.gz BFUHXHSPCTWNFX-GOEBONIOSA-N 0 1 321.465 3.350 20 30 DGEDMN COCc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1 ZINC001174917086 1097885058 /nfs/dbraw/zinc/88/50/58/1097885058.db2.gz PTXJCUPHKYOLNX-UHFFFAOYSA-N 0 1 313.426 3.498 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1nnc(C)o1 ZINC001174977792 1097891541 /nfs/dbraw/zinc/89/15/41/1097891541.db2.gz CVMSAMDDTKXLFA-KBPBESRZSA-N 0 1 322.453 3.060 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)CCCCNCc2ccon2)CC1 ZINC001175073863 1097939567 /nfs/dbraw/zinc/93/95/67/1097939567.db2.gz JRFCAOMQJBTPQN-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001175082375 1097941520 /nfs/dbraw/zinc/94/15/20/1097941520.db2.gz OANMJURFUFWXQS-KSSFIOAISA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](CNCc1nc(C)c(C)s1)C1CC1 ZINC001277621651 1097953953 /nfs/dbraw/zinc/95/39/53/1097953953.db2.gz DKEVSWVTNCSMGM-HNNXBMFYSA-N 0 1 321.490 3.053 20 30 DGEDMN CCCCCCC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001175185857 1097972088 /nfs/dbraw/zinc/97/20/88/1097972088.db2.gz QWLRWPGYMMQIHF-UHFFFAOYSA-N 0 1 319.424 3.264 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2cccc(C)c2)C1 ZINC001277637245 1097982682 /nfs/dbraw/zinc/98/26/82/1097982682.db2.gz VGYIOYZUHFWVNF-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@H](C)C(F)(F)F)C1 ZINC001277652540 1098013013 /nfs/dbraw/zinc/01/30/13/1098013013.db2.gz WGGBNKRZNIBXGR-RYUDHWBXSA-N 0 1 306.372 3.072 20 30 DGEDMN Cn1ncc2cc(Nc3sc4c(c3C#N)CCN(C)C4)ccc21 ZINC001175417457 1098039716 /nfs/dbraw/zinc/03/97/16/1098039716.db2.gz JPYQQRVNEIKHOY-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN CCc1ccc(CN2CCN(c3ccc(C#N)cc3)CC2)cc1 ZINC001175445303 1098051160 /nfs/dbraw/zinc/05/11/60/1098051160.db2.gz XELPORITNUQBOG-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)cc2C)C1 ZINC001277691394 1098090946 /nfs/dbraw/zinc/09/09/46/1098090946.db2.gz CPMZERSVCJJGOR-INIZCTEOSA-N 0 1 300.446 3.414 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1ccc2c(C)[nH]nc2c1 ZINC001175663093 1098130156 /nfs/dbraw/zinc/13/01/56/1098130156.db2.gz SNDDQTKGVJOUBR-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CC2)OC(=O)c2ccccc23)s1 ZINC001232843858 1098150150 /nfs/dbraw/zinc/15/01/50/1098150150.db2.gz GDONOGVNLNCXIK-UHFFFAOYSA-N 0 1 324.405 3.281 20 30 DGEDMN CC(C)N(CCNC(=O)OC(C)(C)C)Cc1ccc(C#N)s1 ZINC001232845890 1098151327 /nfs/dbraw/zinc/15/13/27/1098151327.db2.gz VUGPEEGYCKCPAJ-UHFFFAOYSA-N 0 1 323.462 3.355 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232985975 1098193080 /nfs/dbraw/zinc/19/30/80/1098193080.db2.gz DLWQMPZQUNEIRT-SFHVURJKSA-N 0 1 314.429 3.441 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2c(O)cc(Br)cc2F)C1 ZINC001233032050 1098207869 /nfs/dbraw/zinc/20/78/69/1098207869.db2.gz TYAPAQWRIWXSOV-VIFPVBQESA-N 0 1 313.170 3.029 20 30 DGEDMN CCCN(CCC#N)Cc1c(O)cc(Br)cc1F ZINC001233032254 1098208361 /nfs/dbraw/zinc/20/83/61/1098208361.db2.gz XBJIZZPRZGALJF-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN CC(C)CCC(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001277753813 1098211905 /nfs/dbraw/zinc/21/19/05/1098211905.db2.gz YMEKOVJUJHDTJP-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[N@@H+](C)[C@H](c2ccccc2)C1 ZINC001233081359 1098222402 /nfs/dbraw/zinc/22/24/02/1098222402.db2.gz VISDNHJYNBTPNI-FQEVSTJZSA-N 0 1 305.425 3.355 20 30 DGEDMN N#CCCN1CCN(Cc2ccc(Cc3ccccc3)cc2)CC1 ZINC001233331375 1098290324 /nfs/dbraw/zinc/29/03/24/1098290324.db2.gz SQFOJODPCMLWQG-UHFFFAOYSA-N 0 1 319.452 3.309 20 30 DGEDMN COc1cccc([C@H]2CC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)c1 ZINC001233387327 1098310198 /nfs/dbraw/zinc/31/01/98/1098310198.db2.gz FHEJHVAGIOSJSR-INIZCTEOSA-N 0 1 308.381 3.262 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@@H]2COCc2ccccc2)c([O-])c1 ZINC001233386485 1098310791 /nfs/dbraw/zinc/31/07/91/1098310791.db2.gz VWBYJOROSNMKIJ-GOSISDBHSA-N 0 1 308.381 3.055 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2[O-])CC[N@@H+]1C1CCCCC1 ZINC001233388147 1098313159 /nfs/dbraw/zinc/31/31/59/1098313159.db2.gz NUWHDOBIZRDNRK-HNNXBMFYSA-N 0 1 313.445 3.103 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2[O-])CC[N@H+]1C1CCCCC1 ZINC001233388147 1098313166 /nfs/dbraw/zinc/31/31/66/1098313166.db2.gz NUWHDOBIZRDNRK-HNNXBMFYSA-N 0 1 313.445 3.103 20 30 DGEDMN Cc1ccc(C#N)cc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176383343 1098326368 /nfs/dbraw/zinc/32/63/68/1098326368.db2.gz VISYRQYPQSDAIY-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1coc(CC)n1 ZINC001233810611 1098435758 /nfs/dbraw/zinc/43/57/58/1098435758.db2.gz QXFAALFXBBQGME-OAHLLOKOSA-N 0 1 319.449 3.130 20 30 DGEDMN C[C@H](c1ccccc1)N(C(=O)Cc1c[nH]cn1)C1=CC(=O)CCC1 ZINC001176832325 1098441351 /nfs/dbraw/zinc/44/13/51/1098441351.db2.gz OIOIFKTZFVLDAL-CQSZACIVSA-N 0 1 323.396 3.179 20 30 DGEDMN C#C[C@@H]1CCCCN1Cc1cc(=O)oc2cc3c(cc12)CCC3 ZINC001176832497 1098441840 /nfs/dbraw/zinc/44/18/40/1098441840.db2.gz DGIGKCNBPHGPFP-QGZVFWFLSA-N 0 1 307.393 3.269 20 30 DGEDMN C#Cc1ccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)nc1 ZINC001233965725 1098468133 /nfs/dbraw/zinc/46/81/33/1098468133.db2.gz KXVCSBMAAGTUDG-NVXWUHKLSA-N 0 1 307.397 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc(C)c1F ZINC001277857666 1098557858 /nfs/dbraw/zinc/55/78/58/1098557858.db2.gz FZAJILLDTFSDEC-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1ccns1 ZINC001234604409 1098616071 /nfs/dbraw/zinc/61/60/71/1098616071.db2.gz PNCBULLXATWNQN-CQSZACIVSA-N 0 1 307.463 3.036 20 30 DGEDMN C=CC[C@@H](NCc1cc2ccccc2n(C)c1=O)c1ccncc1 ZINC001177885038 1098635246 /nfs/dbraw/zinc/63/52/46/1098635246.db2.gz MHPXIZOIRFLFLY-GOSISDBHSA-N 0 1 319.408 3.341 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCCc1ccc2[nH]ccc2c1 ZINC001177915369 1098647085 /nfs/dbraw/zinc/64/70/85/1098647085.db2.gz MQQIZGLLUUMXEO-OAHLLOKOSA-N 0 1 323.421 3.271 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCCc2cnccc21 ZINC001177918175 1098648051 /nfs/dbraw/zinc/64/80/51/1098648051.db2.gz RCRDQKDTPVWVCY-CZUORRHYSA-N 0 1 311.410 3.019 20 30 DGEDMN CC(C)[C@H](NC(=O)C(C#N)Cc1cccs1)c1cccnc1 ZINC001177917888 1098648637 /nfs/dbraw/zinc/64/86/37/1098648637.db2.gz NAIIGJKHRRFAJG-HOCLYGCPSA-N 0 1 313.426 3.339 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CCCC(F)(F)C1 ZINC001177917014 1098648902 /nfs/dbraw/zinc/64/89/02/1098648902.db2.gz BUPCETJWGBLKJI-RYUDHWBXSA-N 0 1 312.385 3.372 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(Cl)c(OC)cc1OC ZINC001234944007 1098720302 /nfs/dbraw/zinc/72/03/02/1098720302.db2.gz VOPUNNYWEFJMLY-JTQLQIEISA-N 0 1 312.749 3.345 20 30 DGEDMN N#CCCc1ccc(NC(=O)Cc2n[nH]c3ccccc32)cc1 ZINC001178220200 1098758783 /nfs/dbraw/zinc/75/87/83/1098758783.db2.gz PZZFLXZQOLHZMR-UHFFFAOYSA-N 0 1 304.353 3.200 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2oc(C(C)C)nc2C)[C@@H]1C ZINC001178324162 1098797144 /nfs/dbraw/zinc/79/71/44/1098797144.db2.gz JYDXEWJOXNINJO-GJZGRUSLSA-N 0 1 319.449 3.152 20 30 DGEDMN C#CCN(C)Cc1ccc(Br)c(OC(F)(F)F)c1 ZINC001235180581 1098860728 /nfs/dbraw/zinc/86/07/28/1098860728.db2.gz SOPYZWQJPDGJMW-UHFFFAOYSA-N 0 1 322.124 3.413 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001277932524 1098906250 /nfs/dbraw/zinc/90/62/50/1098906250.db2.gz HOGRTZKGILSHAU-SRXBQZRASA-N 0 1 305.249 3.242 20 30 DGEDMN Cc1cnccc1O[C@H]1CC[N@@H+](Cc2ccccc2CC#N)C1 ZINC001235529369 1099078607 /nfs/dbraw/zinc/07/86/07/1099078607.db2.gz ZHHHYTPJJTYNDE-SFHVURJKSA-N 0 1 307.397 3.109 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@H](C)c1nnc(C)o1 ZINC001179426106 1099102295 /nfs/dbraw/zinc/10/22/95/1099102295.db2.gz PBWIOUUORVZJMX-CQSZACIVSA-N 0 1 322.453 3.062 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@@H](C)c1nnc(C)o1 ZINC001179426105 1099102788 /nfs/dbraw/zinc/10/27/88/1099102788.db2.gz PBWIOUUORVZJMX-AWEZNQCLSA-N 0 1 322.453 3.062 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179482374 1099112976 /nfs/dbraw/zinc/11/29/76/1099112976.db2.gz VDOBOHFBGCPTDG-SFHVURJKSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCCCCNCc1ccon1 ZINC001179482147 1099113295 /nfs/dbraw/zinc/11/32/95/1099113295.db2.gz QCLDNSWSWXYVAI-KRWDZBQOSA-N 0 1 307.438 3.043 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(O)c(OC(F)(F)F)c2)CC1 ZINC001235671487 1099115851 /nfs/dbraw/zinc/11/58/51/1099115851.db2.gz AYFQSGCEUHLCBV-UHFFFAOYSA-N 0 1 314.307 3.416 20 30 DGEDMN CSc1ccc(CNCc2cnc3onc(C)c3c2)cc1C#N ZINC001179584704 1099152442 /nfs/dbraw/zinc/15/24/42/1099152442.db2.gz WQUKRDZBCXQJSP-UHFFFAOYSA-N 0 1 324.409 3.415 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H]1CC[C@@H](C2CC2)O1 ZINC001179690779 1099164962 /nfs/dbraw/zinc/16/49/62/1099164962.db2.gz MQDHLFIRIRNOBI-PBHICJAKSA-N 0 1 319.832 3.399 20 30 DGEDMN CC[C@@H]1CN(C)c2ccccc2CN1Cc1cccc(C#N)n1 ZINC001179974189 1099263195 /nfs/dbraw/zinc/26/31/95/1099263195.db2.gz LXSUNCOGIZSTHI-GOSISDBHSA-N 0 1 306.413 3.184 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)C(C)(C)C)[C@H]1C ZINC001180012548 1099281862 /nfs/dbraw/zinc/28/18/62/1099281862.db2.gz OHQDMEXCIUZLKY-UBHSHLNASA-N 0 1 300.874 3.390 20 30 DGEDMN C#CC[N@H+](CC)Cc1cc(Cl)cc(Br)c1[O-] ZINC001180281217 1099370523 /nfs/dbraw/zinc/37/05/23/1099370523.db2.gz YUBUZZVHZMHNER-UHFFFAOYSA-N 0 1 302.599 3.263 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ncccc1OC ZINC001187993182 1099650571 /nfs/dbraw/zinc/65/05/71/1099650571.db2.gz MEXAXKGXVNIPSB-UHFFFAOYSA-N 0 1 300.424 3.192 20 30 DGEDMN Cc1[nH]c(NC(=O)c2cc(-c3ccccc3F)n[nH]2)c(C#N)c1C ZINC001188013590 1099665350 /nfs/dbraw/zinc/66/53/50/1099665350.db2.gz WMWUSXJVCUWNAB-UHFFFAOYSA-N 0 1 323.331 3.285 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2CN(Cc3ccc(F)cc3)C[C@H]21 ZINC001188075385 1099678504 /nfs/dbraw/zinc/67/85/04/1099678504.db2.gz XRIPZWNCIKZFBR-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2cc(C)cc(F)c2)C1=O ZINC001273557275 1099732622 /nfs/dbraw/zinc/73/26/22/1099732622.db2.gz PYEVJHBMZKUKKN-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN O=C(c1cc(C2CC2)[nH]n1)N1CCC(C#Cc2ccccc2)CC1 ZINC001188391492 1099752588 /nfs/dbraw/zinc/75/25/88/1099752588.db2.gz BTZNDNQLNODKRX-UHFFFAOYSA-N 0 1 319.408 3.191 20 30 DGEDMN COc1cc(O)c(CN(CCC#N)C2CCCC2)cc1OC ZINC001236755480 1099772729 /nfs/dbraw/zinc/77/27/29/1099772729.db2.gz DZNXMSSFYQOJNS-UHFFFAOYSA-N 0 1 304.390 3.068 20 30 DGEDMN N#C[C@H]1CC[C@H](NC(=O)c2ccc(O)c(C(F)(F)F)c2)CC1 ZINC001188589325 1099799660 /nfs/dbraw/zinc/79/96/60/1099799660.db2.gz RQIXHSSDHPONTO-HOMQSWHASA-N 0 1 312.291 3.223 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC/C=C/c3ccccc3)[C@@H]2C1 ZINC001189127761 1099961041 /nfs/dbraw/zinc/96/10/41/1099961041.db2.gz YKUOZRTVLNPNIW-ZFYMRNONSA-N 0 1 322.452 3.036 20 30 DGEDMN Oc1cccc(OC(F)(F)F)c1CN1CCC(S)CC1 ZINC001237122159 1099983137 /nfs/dbraw/zinc/98/31/37/1099983137.db2.gz DOMGJLICOUQZCC-UHFFFAOYSA-N 0 1 307.337 3.185 20 30 DGEDMN C#CCN1CCN(Cc2cc(OC)ccc2-c2ccccc2)CC1 ZINC001237310958 1100072156 /nfs/dbraw/zinc/07/21/56/1100072156.db2.gz OBHRPMGOFIASNB-UHFFFAOYSA-N 0 1 320.436 3.113 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1cn[nH]c1-c1ccccc1 ZINC001189697260 1100115509 /nfs/dbraw/zinc/11/55/09/1100115509.db2.gz SGPQXJWWFRDHIR-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001189883198 1100144774 /nfs/dbraw/zinc/14/47/74/1100144774.db2.gz RODQCVNKIDTXEE-MJGOQNOKSA-N 0 1 312.457 3.007 20 30 DGEDMN N#C[C@H]1CCCN(Cc2ccc3ncnc(Cl)c3c2)CC1 ZINC001237577635 1100176875 /nfs/dbraw/zinc/17/68/75/1100176875.db2.gz IFQPZJBZNCJVSP-LBPRGKRZSA-N 0 1 300.793 3.409 20 30 DGEDMN CN(CC/C=C/c1cccnc1)Cc1cn2cc(C#N)ccc2n1 ZINC001237618219 1100189943 /nfs/dbraw/zinc/18/99/43/1100189943.db2.gz PVGTVLRLVMEEMH-GORDUTHDSA-N 0 1 317.396 3.136 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H]([N@H+](C)CCOCCCC)C1 ZINC001190327072 1100245927 /nfs/dbraw/zinc/24/59/27/1100245927.db2.gz AAUUTLGURWCBBB-GOSISDBHSA-N 0 1 322.493 3.104 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC001190428582 1100272292 /nfs/dbraw/zinc/27/22/92/1100272292.db2.gz LZELXJHVOPMFKD-QGZVFWFLSA-N 0 1 322.399 3.354 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(F)c3ccccc3c2)C1 ZINC001190519090 1100285549 /nfs/dbraw/zinc/28/55/49/1100285549.db2.gz ILIIVJWRHSJJLN-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN CCCCCCCCCCN(C)S(=O)(=O)c1ncc[nH]1 ZINC001190716645 1100321518 /nfs/dbraw/zinc/32/15/18/1100321518.db2.gz VOOVIVQUYCPDOR-UHFFFAOYSA-N 0 1 301.456 3.171 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@](C)(O)c1ccc(F)cc1 ZINC001190708802 1100320092 /nfs/dbraw/zinc/32/00/92/1100320092.db2.gz VXXDXBLGPPAWGG-IBGZPJMESA-N 0 1 315.363 3.308 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc3c2CCCCC3)C1 ZINC001190801968 1100341493 /nfs/dbraw/zinc/34/14/93/1100341493.db2.gz RWJONKUCCIQAHL-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2cc(F)ccc2OC(C)C)CC1 ZINC001190815274 1100343574 /nfs/dbraw/zinc/34/35/74/1100343574.db2.gz IQANFSJBDVBHEN-UHFFFAOYSA-N 0 1 313.394 3.463 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@@H]3CCO)CC2)c(Cl)c1 ZINC001238083669 1100355593 /nfs/dbraw/zinc/35/55/93/1100355593.db2.gz VFQCLIUUISDQQI-HNNXBMFYSA-N 0 1 304.821 3.196 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C1CCC1)C1CCCC1 ZINC001278250029 1100473902 /nfs/dbraw/zinc/47/39/02/1100473902.db2.gz HPGKHBKTYPAMDY-XJKSGUPXSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCN1CCN(Cc2cc(OC)ccc2-c2ccncc2)CC1 ZINC001238649705 1100490344 /nfs/dbraw/zinc/49/03/44/1100490344.db2.gz FDYLXFLHPUIYPK-UHFFFAOYSA-N 0 1 323.440 3.061 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC001191911734 1100537182 /nfs/dbraw/zinc/53/71/82/1100537182.db2.gz JKYPDBOOUDCDBY-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001191868586 1100537515 /nfs/dbraw/zinc/53/75/15/1100537515.db2.gz WCXZFVHGIYBBHU-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN C[C@H](C#N)c1ccc(-c2ccnc(N3CCN(C)CC3)c2)cc1 ZINC001238822803 1100540532 /nfs/dbraw/zinc/54/05/32/1100540532.db2.gz MBHLDYQQDILBFS-OAHLLOKOSA-N 0 1 306.413 3.127 20 30 DGEDMN CCCCOc1ncc(-c2cc3[nH]cnc3c(C#N)n2)cc1C ZINC001238961145 1100563885 /nfs/dbraw/zinc/56/38/85/1100563885.db2.gz WJAIOTSIQORVKN-UHFFFAOYSA-N 0 1 307.357 3.379 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001192160273 1100580446 /nfs/dbraw/zinc/58/04/46/1100580446.db2.gz CQLAERBBMVVLOU-CTNGQTDRSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2cccnc2C)C1 ZINC001192586433 1100638625 /nfs/dbraw/zinc/63/86/25/1100638625.db2.gz OZMHTXWZZAZLRN-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC001192586433 1100638628 /nfs/dbraw/zinc/63/86/28/1100638628.db2.gz OZMHTXWZZAZLRN-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001192653388 1100644819 /nfs/dbraw/zinc/64/48/19/1100644819.db2.gz BDHCRYHFFYQYET-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)CC2CCCCC2)C1 ZINC001192640648 1100647342 /nfs/dbraw/zinc/64/73/42/1100647342.db2.gz DPSIBTHSRONLKM-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001192687947 1100652288 /nfs/dbraw/zinc/65/22/88/1100652288.db2.gz MUEXACZUDATLRF-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1)[C@H]1CCN(C(=O)CCC2(C)CC2)C1 ZINC001193256750 1100721168 /nfs/dbraw/zinc/72/11/68/1100721168.db2.gz CCFNWYLPWHVBHS-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)CCC2(C)CC2)C1 ZINC001193256750 1100721173 /nfs/dbraw/zinc/72/11/73/1100721173.db2.gz CCFNWYLPWHVBHS-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001193367587 1100734874 /nfs/dbraw/zinc/73/48/74/1100734874.db2.gz VUSLMOBMOGUXLY-WBVHZDCISA-N 0 1 324.424 3.092 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(-c3ccc(F)cc3)no2)ccc1O ZINC001193497828 1100752553 /nfs/dbraw/zinc/75/25/53/1100752553.db2.gz BJOGTEHABRMZPB-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN CN(C(=O)c1ccc(O)c(C#N)c1)C(C)(C)Cc1ccccc1 ZINC001193504050 1100757160 /nfs/dbraw/zinc/75/71/60/1100757160.db2.gz LWADTEFGNOKWLZ-UHFFFAOYSA-N 0 1 308.381 3.357 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(O)c(C#N)c2)cc2scnc21 ZINC001193512144 1100758119 /nfs/dbraw/zinc/75/81/19/1100758119.db2.gz LAONVFCXBZNMGN-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@H]([N@@H+](C)CCOCC)C1 ZINC001193643032 1100779981 /nfs/dbraw/zinc/77/99/81/1100779981.db2.gz PRCOVLBKCOMQJK-KRWDZBQOSA-N 0 1 312.498 3.306 20 30 DGEDMN CN(C)c1ccc(C2CCN(Cc3cc(C#N)ccn3)CC2)cc1 ZINC001193685289 1100790658 /nfs/dbraw/zinc/79/06/58/1100790658.db2.gz FMJXAYLSIPCVCC-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCC2CCCCC2)C1 ZINC001193872596 1100833880 /nfs/dbraw/zinc/83/38/80/1100833880.db2.gz CDSRACRRDOYMPV-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCOC(=O)N([C@H](C)c1ccccc1)[C@@H]1CN2CCC1CC2 ZINC001194311452 1100910402 /nfs/dbraw/zinc/91/04/02/1100910402.db2.gz NRKKBYSGQVKGLS-CRAIPNDOSA-N 0 1 314.429 3.466 20 30 DGEDMN C[C@@H](CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001194296277 1100915663 /nfs/dbraw/zinc/91/56/63/1100915663.db2.gz YYOYPXHGHOXISV-PXNSSMCTSA-N 0 1 324.468 3.007 20 30 DGEDMN C[C@@H](CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001194296276 1100916005 /nfs/dbraw/zinc/91/60/05/1100916005.db2.gz YYOYPXHGHOXISV-FXAWDEMLSA-N 0 1 324.468 3.007 20 30 DGEDMN N#CCc1cccc(-c2cccc(CCN3CCOCC3)c2)c1 ZINC001240266022 1100916587 /nfs/dbraw/zinc/91/65/87/1100916587.db2.gz PRBRORKSSAELKV-UHFFFAOYSA-N 0 1 306.409 3.294 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccccc2CC(C)C)C1 ZINC001194356765 1100918155 /nfs/dbraw/zinc/91/81/55/1100918155.db2.gz ARCOCBKSAKFRGY-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@H](N(C)C[C@@H](F)CC)C2)CCCCC1 ZINC001194381771 1100930197 /nfs/dbraw/zinc/93/01/97/1100930197.db2.gz NDEKYOCVKZKQCU-IRXDYDNUSA-N 0 1 322.468 3.241 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001152189532 1101081178 /nfs/dbraw/zinc/08/11/78/1101081178.db2.gz SXHQJIREXSABDQ-SVSAJMPUSA-N 0 1 319.474 3.303 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)c1cc(O)cnc1Cl ZINC001195297346 1101117697 /nfs/dbraw/zinc/11/76/97/1101117697.db2.gz AIZVXDWWBWVTMU-UHFFFAOYSA-N 0 1 318.764 3.121 20 30 DGEDMN C#CCCCC(=O)N1CCCN([C@H](C)c2ccc(F)cc2)CC1 ZINC001195645602 1101169611 /nfs/dbraw/zinc/16/96/11/1101169611.db2.gz WZMLZHPKQYZJJX-MRXNPFEDSA-N 0 1 316.420 3.225 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3c[nH]nc3c2Cl)c(O)c1 ZINC001195757574 1101190283 /nfs/dbraw/zinc/19/02/83/1101190283.db2.gz DPFKRSJLMKKNCX-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN C=CCOCCN1CCN(c2ccc(Cl)c(Cl)c2)CC1 ZINC001195946412 1101229710 /nfs/dbraw/zinc/22/97/10/1101229710.db2.gz JPRMDCLWKXDSTE-UHFFFAOYSA-N 0 1 315.244 3.318 20 30 DGEDMN N#Cc1nc(-c2cccc(O[C@H]3CCCCO3)c2)cc2[nH]cnc21 ZINC001241209831 1101297730 /nfs/dbraw/zinc/29/77/30/1101297730.db2.gz UOOKZLSMGYKTHD-KRWDZBQOSA-N 0 1 320.352 3.402 20 30 DGEDMN CN(C)/C=N/c1ccc(-c2c(F)ccc(O)c2F)cc1C#N ZINC001241293202 1101355907 /nfs/dbraw/zinc/35/59/07/1101355907.db2.gz CNBBNTMXPVZMKX-AWQFTUOYSA-N 0 1 301.296 3.430 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](CCC)c2ccccn2)CC1 ZINC001196934740 1101431159 /nfs/dbraw/zinc/43/11/59/1101431159.db2.gz KDQHTDNMLWZZRU-QGZVFWFLSA-N 0 1 315.461 3.076 20 30 DGEDMN COc1ccc(C#N)c(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241507040 1101522933 /nfs/dbraw/zinc/52/29/33/1101522933.db2.gz IKOPRTKFVRLRPI-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN CC(C)(C)OC(=O)c1cccc(-c2cc3[nH]cnc3c(C#N)n2)c1 ZINC001241536186 1101539094 /nfs/dbraw/zinc/53/90/94/1101539094.db2.gz RCNCYJPEFZLLBX-UHFFFAOYSA-N 0 1 320.352 3.452 20 30 DGEDMN C=C1CC=C(F)C=C1NC(=O)c1cc(C)n(-c2ccncc2)n1 ZINC001197545095 1101593256 /nfs/dbraw/zinc/59/32/56/1101593256.db2.gz FXVUMQAPSXTXQL-UHFFFAOYSA-N 0 1 310.332 3.003 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)CCC(C)(C)C)CC1 ZINC001197842036 1101674420 /nfs/dbraw/zinc/67/44/20/1101674420.db2.gz DBXABEXOAROLKY-KRWDZBQOSA-N 0 1 324.509 3.186 20 30 DGEDMN COC(=O)C1(c2ccc(-c3nccc4[nH]cc(C#N)c43)cc2)CC1 ZINC001241872321 1101759716 /nfs/dbraw/zinc/75/97/16/1101759716.db2.gz WLGHBZLCUUGRGE-UHFFFAOYSA-N 0 1 317.348 3.306 20 30 DGEDMN Cc1nnc(-c2cccc(-c3nccc4[nH]cc(C#N)c43)c2)o1 ZINC001241934206 1101789322 /nfs/dbraw/zinc/78/93/22/1101789322.db2.gz KYZWYEQWSKYXQO-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC(C)(C)C)c1ccccc1OC ZINC001198530946 1101895985 /nfs/dbraw/zinc/89/59/85/1101895985.db2.gz VCVNXSJGBQLPNN-HNNXBMFYSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@H](C)CC)c1ccccc1OC ZINC001198540122 1101912870 /nfs/dbraw/zinc/91/28/70/1101912870.db2.gz GBPBCKVSRRPRJW-ZBFHGGJFSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@H](C)C(C)C)c1ccccc1OC ZINC001198606327 1101924523 /nfs/dbraw/zinc/92/45/23/1101924523.db2.gz QZLFYEJFHQIGTD-DOTOQJQBSA-N 0 1 318.461 3.310 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C(F)=C(C)C)c1ccccc1OC ZINC001198657686 1101943884 /nfs/dbraw/zinc/94/38/84/1101943884.db2.gz TYPUAISWYJYHFV-OAHLLOKOSA-N 0 1 320.408 3.282 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC(C)(C)CC)c1ccccc1OC ZINC001198741308 1101964105 /nfs/dbraw/zinc/96/41/05/1101964105.db2.gz JXEGJETXIBSGFT-MRXNPFEDSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)C1(CC)CCC1)c1ccccc1OC ZINC001198843465 1102011461 /nfs/dbraw/zinc/01/14/61/1102011461.db2.gz RWSMZNWXYSWEAC-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C[C@H](NC(=O)C(F)(F)F)c1ccc(-c2cncc(C#N)c2)cc1 ZINC001242276392 1102020906 /nfs/dbraw/zinc/02/09/06/1102020906.db2.gz USJUASUYAJCKSP-JTQLQIEISA-N 0 1 319.286 3.360 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001110535143 1102144301 /nfs/dbraw/zinc/14/43/01/1102144301.db2.gz JHFPHPODJDISMD-KRTGQEBJSA-N 0 1 323.440 3.136 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1Nc1cc(O)cc([N+](=O)[O-])c1 ZINC001199819135 1102210812 /nfs/dbraw/zinc/21/08/12/1102210812.db2.gz LIVUCQGOZXCVGI-UHFFFAOYSA-N 0 1 324.218 3.329 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1cccc(O)c1Cl ZINC001242801491 1102351207 /nfs/dbraw/zinc/35/12/07/1102351207.db2.gz UCWMWEOXOLEDGP-UHFFFAOYSA-N 0 1 304.733 3.271 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(C)nc1C ZINC001493127765 1102384194 /nfs/dbraw/zinc/38/41/94/1102384194.db2.gz FUSOHUUELFPVJM-SFHVURJKSA-N 0 1 315.461 3.135 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)OC1CCCCC1)C2 ZINC001110560916 1102527086 /nfs/dbraw/zinc/52/70/86/1102527086.db2.gz GFLRYDHGLSCWNS-BGTYHANMSA-N 0 1 320.477 3.022 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc(Cl)c(C)c2)cc1C#N ZINC001201293088 1102543729 /nfs/dbraw/zinc/54/37/29/1102543729.db2.gz PSWFROKLVFTNJW-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN COc1ccc(C#N)cc1Nc1cccc(N2CCN(C)CC2)c1 ZINC001201584564 1102662963 /nfs/dbraw/zinc/66/29/63/1102662963.db2.gz ZYQJTVUXWJOWBJ-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CCOC(=O)c1ccc(OC)c(-c2nccc3[nH]cc(C#N)c32)c1 ZINC001243327979 1102665211 /nfs/dbraw/zinc/66/52/11/1102665211.db2.gz AZXKTSMXAYRAKB-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)N[C@@H]1CCN(CC)C1 ZINC001201837105 1102742737 /nfs/dbraw/zinc/74/27/37/1102742737.db2.gz NIEUSYHLLGYKTQ-MRXNPFEDSA-N 0 1 318.527 3.141 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1cccc(O)c1F ZINC001243543205 1102791858 /nfs/dbraw/zinc/79/18/58/1102791858.db2.gz RQTJLJXFRPWQKV-UHFFFAOYSA-N 0 1 313.332 3.450 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(OCC#N)cc3)cc2)CC1 ZINC001243592410 1102834838 /nfs/dbraw/zinc/83/48/38/1102834838.db2.gz RLVDTOYCCXEXCS-UHFFFAOYSA-N 0 1 307.397 3.008 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CCC=C(C)C)C2 ZINC001111054990 1103028227 /nfs/dbraw/zinc/02/82/27/1103028227.db2.gz WDVVLXLXOPIGRE-MLHJIOFPSA-N 0 1 302.462 3.114 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC1CCCCC1)C2 ZINC001111091871 1103042952 /nfs/dbraw/zinc/04/29/52/1103042952.db2.gz CAJKIEUACYQCJH-AITUJVMLSA-N 0 1 316.489 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)c1ccccc1C)C2 ZINC001111099330 1103044983 /nfs/dbraw/zinc/04/49/83/1103044983.db2.gz AQIQTJLTXHLGMV-ISARSNTHSA-N 0 1 312.457 3.396 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cc(NC2=CC[C@H](C#N)CC2)ncn1 ZINC001212856595 1103070894 /nfs/dbraw/zinc/07/08/94/1103070894.db2.gz PUYREBWDPIPDPI-NSHDSACASA-N 0 1 315.377 3.443 20 30 DGEDMN C#CCOc1ccc(CN2CCCC[C@](C)(F)C2)cc1OC ZINC001138343053 1103072050 /nfs/dbraw/zinc/07/20/50/1103072050.db2.gz FVFOULALSWOCPV-SFHVURJKSA-N 0 1 305.393 3.421 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CN(CC=C(Cl)Cl)C[C@H]2C1 ZINC001325740463 1103112554 /nfs/dbraw/zinc/11/25/54/1103112554.db2.gz JFGDCVARFVYKEA-BETUJISGSA-N 0 1 317.260 3.052 20 30 DGEDMN C=C[C@@H](COC)[NH2+][C@H](CC)c1cc(Br)ccc1[O-] ZINC001325786920 1103124026 /nfs/dbraw/zinc/12/40/26/1103124026.db2.gz XYSHKZBVCRDCMI-WCQYABFASA-N 0 1 314.223 3.396 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCc1cc(C)sc1C ZINC001488700345 1103132320 /nfs/dbraw/zinc/13/23/20/1103132320.db2.gz VYUKBVARZCNWRZ-QGZVFWFLSA-N 0 1 320.502 3.406 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@H]1CC[N@H+]2Cc1ccccc1C#N ZINC001325883937 1103149972 /nfs/dbraw/zinc/14/99/72/1103149972.db2.gz SXMWODIUTNHDBA-RBUKOAKNSA-N 0 1 323.440 3.090 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001325883937 1103149981 /nfs/dbraw/zinc/14/99/81/1103149981.db2.gz SXMWODIUTNHDBA-RBUKOAKNSA-N 0 1 323.440 3.090 20 30 DGEDMN CCn1nc(C)c(CNC2CC(C#N)(c3ccccc3)C2)c1C ZINC001326033149 1103187940 /nfs/dbraw/zinc/18/79/40/1103187940.db2.gz VUKCTNFSMYEZSF-UHFFFAOYSA-N 0 1 308.429 3.233 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCCC2CC2)C1 ZINC001488890670 1103190500 /nfs/dbraw/zinc/19/05/00/1103190500.db2.gz VZIJRWITBQDBDA-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2cc(C)sc2C)C1 ZINC001138571640 1103203833 /nfs/dbraw/zinc/20/38/33/1103203833.db2.gz RQVOIKWRNSHFTG-OAHLLOKOSA-N 0 1 308.447 3.242 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cc(C)sc2C)C1 ZINC001138571640 1103203842 /nfs/dbraw/zinc/20/38/42/1103203842.db2.gz RQVOIKWRNSHFTG-OAHLLOKOSA-N 0 1 308.447 3.242 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCCCCC)C2 ZINC001111313660 1103205098 /nfs/dbraw/zinc/20/50/98/1103205098.db2.gz POYNYJJCNXLIQB-KURKYZTESA-N 0 1 304.478 3.482 20 30 DGEDMN COc1cc(C#N)cc(-c2cc(F)c(O)c(F)c2)c1OC(C)=O ZINC001244093204 1103205150 /nfs/dbraw/zinc/20/51/50/1103205150.db2.gz DFXIJZIGBGXGCN-UHFFFAOYSA-N 0 1 319.263 3.143 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc3ccccc13)C2 ZINC001095833335 1103233401 /nfs/dbraw/zinc/23/34/01/1103233401.db2.gz XODSBFADCUUGOP-KCXAZCMYSA-N 0 1 312.438 3.422 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC1CCCCC1)C2 ZINC001111358933 1103245795 /nfs/dbraw/zinc/24/57/95/1103245795.db2.gz CRLWWDOWXBDZFV-QRVBRYPASA-N 0 1 316.489 3.482 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(Cl)cc1C)C2 ZINC001095858651 1103270198 /nfs/dbraw/zinc/27/01/98/1103270198.db2.gz DWIZXVIAMOFHJK-COXVUDFISA-N 0 1 318.848 3.478 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001489129000 1103286148 /nfs/dbraw/zinc/28/61/48/1103286148.db2.gz TVKYIGOAWMVPPT-RYQLBKOJSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C=C1CCCCC1 ZINC001326450187 1103321925 /nfs/dbraw/zinc/32/19/25/1103321925.db2.gz XGNADFWPQTVVQN-UHFFFAOYSA-N 0 1 310.441 3.258 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001489267700 1103324591 /nfs/dbraw/zinc/32/45/91/1103324591.db2.gz GBCZRYUKLSNTNH-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H]1C ZINC001489285170 1103339359 /nfs/dbraw/zinc/33/93/59/1103339359.db2.gz FKTYMMFCJRFWFP-XPKDYRNWSA-N 0 1 321.490 3.133 20 30 DGEDMN C[C@@H](O)[C@@H](NC1CC(C#N)(c2ccccc2)C1)c1ccccc1F ZINC001326519591 1103353227 /nfs/dbraw/zinc/35/32/27/1103353227.db2.gz SGSJMLUVKHRYBD-LUMXQQTQSA-N 0 1 324.399 3.461 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)C(CC)CC ZINC001489324124 1103360280 /nfs/dbraw/zinc/36/02/80/1103360280.db2.gz ZOIPDKQPSYYOOA-AEFFLSMTSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCC[C@H](C(=O)NOC(C)(C)C(=O)OCC)c1ccccc1 ZINC001326576336 1103372301 /nfs/dbraw/zinc/37/23/01/1103372301.db2.gz MEJQAXMZWMMQOG-HNNXBMFYSA-N 0 1 319.401 3.126 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001326874830 1103465883 /nfs/dbraw/zinc/46/58/83/1103465883.db2.gz KLDBQMBSLKFBMX-HNNXBMFYSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCCCN1C/C=C\Cl ZINC001276318292 1103486629 /nfs/dbraw/zinc/48/66/29/1103486629.db2.gz AJDWBFIPICDIKV-CKDIWJPDSA-N 0 1 310.869 3.293 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)/C=C\c2ccco2)CC1 ZINC001327094504 1103517570 /nfs/dbraw/zinc/51/75/70/1103517570.db2.gz KOCDKUSMQFUPJX-AFNCTOJWSA-N 0 1 308.809 3.016 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001490349255 1103557540 /nfs/dbraw/zinc/55/75/40/1103557540.db2.gz SAACXKBBONBBIJ-SJORKVTESA-N 0 1 320.864 3.371 20 30 DGEDMN COC(=O)c1c(F)cc(-c2cc(/C=N/O)ccc2F)cc1F ZINC001244606240 1103558164 /nfs/dbraw/zinc/55/81/64/1103558164.db2.gz ZXINZWUBHXORME-FBCYGCLPSA-N 0 1 309.243 3.366 20 30 DGEDMN C=CCCC(=O)N(C)CCCN(C)Cc1cc(F)ccc1F ZINC001327187106 1103574698 /nfs/dbraw/zinc/57/46/98/1103574698.db2.gz ASLVBCGFJQPWDQ-UHFFFAOYSA-N 0 1 310.388 3.211 20 30 DGEDMN C=CCCC(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001490399251 1103592429 /nfs/dbraw/zinc/59/24/29/1103592429.db2.gz GKCUBXMWGLPRSX-UHFFFAOYSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H](C)c2ccccc2F)C1 ZINC001490431576 1103625322 /nfs/dbraw/zinc/62/53/22/1103625322.db2.gz VWCSVHVPIHPVEP-GJZGRUSLSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001490554037 1103652516 /nfs/dbraw/zinc/65/25/16/1103652516.db2.gz FSWILGCXBDVARA-KGLIPLIRSA-N 0 1 304.409 3.289 20 30 DGEDMN COCOc1cnc(-c2cccc(C#N)c2C)cc1/C=C/N(C)C ZINC001244814990 1103699105 /nfs/dbraw/zinc/69/91/05/1103699105.db2.gz DFJUSQAJUGXQLI-CMDGGOBGSA-N 0 1 323.396 3.444 20 30 DGEDMN Cc1c(C#N)cccc1-c1ccc(CCN2CCOCC2)cc1 ZINC001244818158 1103700417 /nfs/dbraw/zinc/70/04/17/1103700417.db2.gz OURFOFHZNPUYRH-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN COC(=O)c1ccc(-c2cccc(CN(C)C)c2F)cc1C#N ZINC001244864160 1103730030 /nfs/dbraw/zinc/73/00/30/1103730030.db2.gz PLCHKXUKTHWKAP-UHFFFAOYSA-N 0 1 312.344 3.213 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1CCC)C2 ZINC001096342466 1103803687 /nfs/dbraw/zinc/80/36/87/1103803687.db2.gz AQBSACYJMAQPII-KBMXLJTQSA-N 0 1 302.418 3.143 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(C)s1)C2 ZINC001096504069 1103852507 /nfs/dbraw/zinc/85/25/07/1103852507.db2.gz WWKJLIJHIBYLFH-ILXRZTDVSA-N 0 1 304.459 3.276 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3ccccc3n1CC)C2 ZINC001096601556 1103878299 /nfs/dbraw/zinc/87/82/99/1103878299.db2.gz GARHWMNHSXASBL-RYRKJORJSA-N 0 1 323.440 3.182 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)cccc1F)C2 ZINC001096663181 1103905915 /nfs/dbraw/zinc/90/59/15/1103905915.db2.gz KRFQTNAHFBSZOI-KBMXLJTQSA-N 0 1 302.393 3.045 20 30 DGEDMN N#Cc1nc(-c2ccnc(OCC3CCC3)c2)cc2[nH]cnc21 ZINC001245238840 1103927714 /nfs/dbraw/zinc/92/77/14/1103927714.db2.gz RPENTTYNUGDHPE-UHFFFAOYSA-N 0 1 305.341 3.070 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](F)CC ZINC001328467992 1103957735 /nfs/dbraw/zinc/95/77/35/1103957735.db2.gz JIJDNJKINIMCEY-IRXDYDNUSA-N 0 1 304.409 3.026 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@@H](C=C)CC ZINC001328469900 1103958167 /nfs/dbraw/zinc/95/81/67/1103958167.db2.gz SWYDJQWJPQLKHG-FXAWDEMLSA-N 0 1 324.468 3.328 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1ccccc1-n1cccn1)C2 ZINC001137093631 1103975398 /nfs/dbraw/zinc/97/53/98/1103975398.db2.gz MFYNOOGPGWWLQA-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN N#CCOc1ccc(CN2CC[C@](CF)(C(F)(F)F)C2)cc1 ZINC001137115342 1103989803 /nfs/dbraw/zinc/98/98/03/1103989803.db2.gz JIPUSXIRPLTJOU-CQSZACIVSA-N 0 1 316.298 3.313 20 30 DGEDMN COc1ccc(Nc2ccc(-n3ccc(=O)[nH]3)cc2)c(C#N)c1 ZINC001212786175 1104028407 /nfs/dbraw/zinc/02/84/07/1104028407.db2.gz IALSHABHHZTWPL-UHFFFAOYSA-N 0 1 306.325 3.202 20 30 DGEDMN N#Cc1cc2ccccc2nc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245419342 1104038465 /nfs/dbraw/zinc/03/84/65/1104038465.db2.gz OEWSTNVMWVAPPC-GOSISDBHSA-N 0 1 319.408 3.375 20 30 DGEDMN COc1cc(C2=CC[C@@H](N3CCOCC3)CC2)ccc1CC#N ZINC001245423362 1104042557 /nfs/dbraw/zinc/04/25/57/1104042557.db2.gz GUQAEMWTUGWIBP-GOSISDBHSA-N 0 1 312.413 3.029 20 30 DGEDMN N#Cc1c(F)ccc(C2=CC[C@@H](N3CCOCC3)CC2)c1F ZINC001245424635 1104044621 /nfs/dbraw/zinc/04/46/21/1104044621.db2.gz FGSMZCAAIAUKAW-CYBMUJFWSA-N 0 1 304.340 3.105 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)CCCc1ccsc1 ZINC001328733895 1104045593 /nfs/dbraw/zinc/04/55/93/1104045593.db2.gz SCHFRVRHSMCAHZ-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CCCc1ccsc1 ZINC001328733895 1104045604 /nfs/dbraw/zinc/04/56/04/1104045604.db2.gz SCHFRVRHSMCAHZ-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN COc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c(CC#N)c1 ZINC001245424440 1104046752 /nfs/dbraw/zinc/04/67/52/1104046752.db2.gz GGDHOLWMOFMNSK-QGZVFWFLSA-N 0 1 312.413 3.029 20 30 DGEDMN N#CCc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c(F)c1 ZINC001245427255 1104047935 /nfs/dbraw/zinc/04/79/35/1104047935.db2.gz VUYXXQUKDIVONU-MRXNPFEDSA-N 0 1 300.377 3.160 20 30 DGEDMN N#Cc1c[nH]c2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc12 ZINC001245426466 1104048268 /nfs/dbraw/zinc/04/82/68/1104048268.db2.gz SEVQBSCIGXDMHV-KRWDZBQOSA-N 0 1 307.397 3.308 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CCC1CCCCC1 ZINC001328786565 1104069373 /nfs/dbraw/zinc/06/93/73/1104069373.db2.gz PMPIAQXVHQVGJX-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001328986191 1104114790 /nfs/dbraw/zinc/11/47/90/1104114790.db2.gz FBADONAUPIFXBV-ZIAGYGMSSA-N 0 1 319.424 3.260 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(OC)c1Cl ZINC001328996180 1104119195 /nfs/dbraw/zinc/11/91/95/1104119195.db2.gz NUBCKMNZNOKBDB-CHWSQXEVSA-N 0 1 324.852 3.298 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001329091189 1104150236 /nfs/dbraw/zinc/15/02/36/1104150236.db2.gz SARLSWPPQUJWGF-OLZOCXBDSA-N 0 1 319.836 3.325 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCC[N@@H+]2Cc1ccc([S-])cc1 ZINC001274110375 1104248453 /nfs/dbraw/zinc/24/84/53/1104248453.db2.gz IWPLMXMCLSTCJT-GOSISDBHSA-N 0 1 316.470 3.118 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCC[N@H+]2Cc2ccc([S-])cc2)C1=O ZINC001274112979 1104251164 /nfs/dbraw/zinc/25/11/64/1104251164.db2.gz RMNWCBXSECNFQQ-SFHVURJKSA-N 0 1 316.470 3.118 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(CO)c(F)c3Cl)c12 ZINC001245882297 1104285075 /nfs/dbraw/zinc/28/50/75/1104285075.db2.gz VHAXXRBOSHDTQF-UHFFFAOYSA-N 0 1 301.708 3.386 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2ccc3cncn3c2)nc1C#N ZINC001245898061 1104294481 /nfs/dbraw/zinc/29/44/81/1104294481.db2.gz NHINIVSJKNHACJ-UHFFFAOYSA-N 0 1 322.331 3.202 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001329521233 1104296166 /nfs/dbraw/zinc/29/61/66/1104296166.db2.gz CJPCAMPAPBQENT-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c(F)cccc1Cl ZINC001274524539 1104405164 /nfs/dbraw/zinc/40/51/64/1104405164.db2.gz BCFVJUPXRMIZQG-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2c(C)cccc2F)C1=O ZINC001274583007 1104412801 /nfs/dbraw/zinc/41/28/01/1104412801.db2.gz GMWLHONFVYJVJU-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC001274647258 1104433505 /nfs/dbraw/zinc/43/35/05/1104433505.db2.gz ZXQYUZZBONCWGA-CVEARBPZSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001278438308 1104457836 /nfs/dbraw/zinc/45/78/36/1104457836.db2.gz QIIPWGDIFAQZNN-QRWLVFNGSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001278438308 1104457842 /nfs/dbraw/zinc/45/78/42/1104457842.db2.gz QIIPWGDIFAQZNN-QRWLVFNGSA-N 0 1 324.424 3.140 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001330032656 1104457841 /nfs/dbraw/zinc/45/78/41/1104457841.db2.gz VUGSBNNKKLTNID-AWEZNQCLSA-N 0 1 318.436 3.283 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001330072236 1104471264 /nfs/dbraw/zinc/47/12/64/1104471264.db2.gz SBVIFBSFPXAXJB-GHMZBOCLSA-N 0 1 312.866 3.128 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CN(C/C=C\c2ccccc2)CC1(C)C ZINC001330189763 1104503681 /nfs/dbraw/zinc/50/36/81/1104503681.db2.gz DOHPQMJNPYJWNH-SCEWNMGZSA-N 0 1 324.468 3.186 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CCC=C)c2ccccc2)C(C)(C)C1 ZINC001330263136 1104525245 /nfs/dbraw/zinc/52/52/45/1104525245.db2.gz PNZJIESGOFLAMJ-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCCCCN1CC2(CCN2C(=O)[C@H]2C=CCC=C2C)C1 ZINC001274973826 1104563835 /nfs/dbraw/zinc/56/38/35/1104563835.db2.gz BMAKNMMWCOVQGW-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCCCN1CC2(CCN2Cc2nc3ccccc3[nH]2)C1 ZINC001274975109 1104564288 /nfs/dbraw/zinc/56/42/88/1104564288.db2.gz WONFMJUKIDMZJL-UHFFFAOYSA-N 0 1 310.445 3.179 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001275037768 1104587440 /nfs/dbraw/zinc/58/74/40/1104587440.db2.gz BSNFHSCFKAVZLI-UKRRQHHQSA-N 0 1 308.853 3.020 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(C)C(C)C ZINC001275062584 1104600305 /nfs/dbraw/zinc/60/03/05/1104600305.db2.gz DBNAFYJPUPPXLO-QZTJIDSGSA-N 0 1 312.457 3.064 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](C)C1CCCC1 ZINC001275080316 1104612233 /nfs/dbraw/zinc/61/22/33/1104612233.db2.gz CWNAVOKITDFFSO-CWFSZBLJSA-N 0 1 324.468 3.208 20 30 DGEDMN CC/C(C)=C\C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001275107817 1104627269 /nfs/dbraw/zinc/62/72/69/1104627269.db2.gz GGGZSOIYOYZUOW-TXSJJRPESA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(CC(C)C)CCC1 ZINC001275120601 1104634634 /nfs/dbraw/zinc/63/46/34/1104634634.db2.gz GDTGMNGIZANXQT-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(Cl)ccc1F ZINC001275120215 1104635175 /nfs/dbraw/zinc/63/51/75/1104635175.db2.gz BVKXAVKXQMWVJW-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN CCCCCCCN1CC2(CCN2Cc2nccn2CC)C1 ZINC001275130618 1104640575 /nfs/dbraw/zinc/64/05/75/1104640575.db2.gz CJCNYBFXDKMEHQ-UHFFFAOYSA-N 0 1 304.482 3.134 20 30 DGEDMN CCCCCCCN1CC2(CCN2Cc2cccc(=O)[nH]2)C1 ZINC001275130806 1104640645 /nfs/dbraw/zinc/64/06/45/1104640645.db2.gz HHANZXSKMXKIRV-UHFFFAOYSA-N 0 1 303.450 3.018 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](C)C1CCCCC1 ZINC001275150668 1104652225 /nfs/dbraw/zinc/65/22/25/1104652225.db2.gz LDCZCAVZBLKNNN-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001275265902 1104698594 /nfs/dbraw/zinc/69/85/94/1104698594.db2.gz HSNVOUIYSHFXDP-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(O)ccc1Cl ZINC001275481756 1104774121 /nfs/dbraw/zinc/77/41/21/1104774121.db2.gz NKEUDAZWJSWUNT-CHWSQXEVSA-N 0 1 324.852 3.385 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2ccccc2n1 ZINC001275552157 1104804746 /nfs/dbraw/zinc/80/47/46/1104804746.db2.gz JLRPRKYZTZPTEC-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN CCCCCCCCNC(=S)N1CCN(CC2CC2)CC1 ZINC001247154692 1104817299 /nfs/dbraw/zinc/81/72/99/1104817299.db2.gz RVQQDDZABPMMHK-UHFFFAOYSA-N 0 1 311.539 3.249 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(F)c2ccccc12 ZINC001275659069 1104851305 /nfs/dbraw/zinc/85/13/05/1104851305.db2.gz LFHVPQKGLNECOJ-CQSZACIVSA-N 0 1 312.388 3.052 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CCC)CC1CCCC1 ZINC001275666053 1104855921 /nfs/dbraw/zinc/85/59/21/1104855921.db2.gz RHQFMPIMJXCPDO-AEFFLSMTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1CNCc1nocc1C ZINC001275768724 1104900695 /nfs/dbraw/zinc/90/06/95/1104900695.db2.gz QBRLCFHDYNUOHR-JKSUJKDBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC[C@H](C)c1nc(-c2ccc(N3CCN(C)CC3)cc2)no1 ZINC001247519261 1104917774 /nfs/dbraw/zinc/91/77/74/1104917774.db2.gz JIVMIYJPRDJFMV-AWEZNQCLSA-N 0 1 312.417 3.168 20 30 DGEDMN C#Cc1cccc(CNC(=O)[C@H](c2ccccc2)N(CC)CC)c1 ZINC001275917394 1104954970 /nfs/dbraw/zinc/95/49/70/1104954970.db2.gz RDAJFOPETMEHNA-FQEVSTJZSA-N 0 1 320.436 3.367 20 30 DGEDMN N#Cc1ccccc1CN1CC2(CN(CCCC(F)(F)F)C2)C1 ZINC001275965761 1104970926 /nfs/dbraw/zinc/97/09/26/1104970926.db2.gz QKYKXTGGVONGRN-UHFFFAOYSA-N 0 1 323.362 3.018 20 30 DGEDMN N#Cc1ccc(-c2noc([C@H]3CNC[C@@H](F)C3)n2)c2ccccc12 ZINC001247782356 1104981449 /nfs/dbraw/zinc/98/14/49/1104981449.db2.gz MCEKZFNOEWQIIT-OLZOCXBDSA-N 0 1 322.343 3.176 20 30 DGEDMN CN[C@@H](Cc1ccccc1)c1nc(-c2cccc(C#N)c2)no1 ZINC001247825198 1104997870 /nfs/dbraw/zinc/99/78/70/1104997870.db2.gz KTIJYSGORRIHRJ-INIZCTEOSA-N 0 1 304.353 3.111 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(F)F)ccc1F)C2 ZINC001095425343 1105001618 /nfs/dbraw/zinc/00/16/18/1105001618.db2.gz MJBZFIFOWFRICS-UGFHNGPFSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1C[C@H]1c1ccccc1)C2 ZINC001095426369 1105002301 /nfs/dbraw/zinc/00/23/01/1105002301.db2.gz TVYCDVOLKVNNEV-PJVZLEMVSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3nccc(C)c3c1)C2 ZINC001095468715 1105024213 /nfs/dbraw/zinc/02/42/13/1105024213.db2.gz ZZUONOSUQBVGEL-MNEFBYGVSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc3c1cccc3C)C2 ZINC001095537958 1105070475 /nfs/dbraw/zinc/07/04/75/1105070475.db2.gz RANKAAANKGVPAF-COXVUDFISA-N 0 1 310.397 3.262 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3ccccc31)C2 ZINC001095621074 1105091420 /nfs/dbraw/zinc/09/14/20/1105091420.db2.gz NRTMQKXMONHTOQ-BVBHFADKSA-N 0 1 310.441 3.014 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCc1ccccc1)C2 ZINC001110974058 1105130570 /nfs/dbraw/zinc/13/05/70/1105130570.db2.gz LPADPAWJGKDGID-AQNXPRMDSA-N 0 1 324.468 3.144 20 30 DGEDMN Cn1cc(CN2CCC(C)(C#N)CC2)c2c1ccnc2Cl ZINC001248837727 1105176252 /nfs/dbraw/zinc/17/62/52/1105176252.db2.gz OUHHTKNJADPZSM-UHFFFAOYSA-N 0 1 302.809 3.352 20 30 DGEDMN N#C[C@H]1CN(Cc2sc(Cl)c3ccccc23)CCC1=O ZINC001249068659 1105219308 /nfs/dbraw/zinc/21/93/08/1105219308.db2.gz XAFMFIVSRHAIDK-JTQLQIEISA-N 0 1 304.802 3.469 20 30 DGEDMN N#Cc1ccn2ncc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c2c1 ZINC001249118612 1105228894 /nfs/dbraw/zinc/22/88/94/1105228894.db2.gz IGTIWKYVCAPYOJ-IYBDPMFKSA-N 0 1 314.392 3.293 20 30 DGEDMN C=CCOc1ccc(-c2noc([C@](C)(N)C(C)C)n2)c(F)c1 ZINC001249281167 1105261482 /nfs/dbraw/zinc/26/14/82/1105261482.db2.gz SAZQIDXIVQEWSZ-MRXNPFEDSA-N 0 1 305.353 3.270 20 30 DGEDMN COc1ccc(Cn2cc(CN3CCC[C@H](CC#N)C3)cn2)cc1 ZINC001249346131 1105271667 /nfs/dbraw/zinc/27/16/67/1105271667.db2.gz YYWXSYZQFMOMSV-MRXNPFEDSA-N 0 1 324.428 3.066 20 30 DGEDMN C=CC[N@H+](Cc1cnc(N)nc1C(F)(F)F)C1CCCCC1 ZINC001249396453 1105280103 /nfs/dbraw/zinc/28/01/03/1105280103.db2.gz XJXFGXVQZZMAHR-UHFFFAOYSA-N 0 1 314.355 3.398 20 30 DGEDMN COc1ccc2nc(CN(CCC#N)Cc3ccccc3)[nH]c2c1 ZINC001249410696 1105282067 /nfs/dbraw/zinc/28/20/67/1105282067.db2.gz CGAXOWQNLQUCTR-UHFFFAOYSA-N 0 1 320.396 3.487 20 30 DGEDMN SC1CCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)CC1 ZINC001249470206 1105293856 /nfs/dbraw/zinc/29/38/56/1105293856.db2.gz LUQOPTZNYRGCBQ-UHFFFAOYSA-N 0 1 317.245 3.111 20 30 DGEDMN O=C1C=C2CN(Cc3cc(F)cc4cc[nH]c43)CCC2S1 ZINC001249522673 1105304225 /nfs/dbraw/zinc/30/42/25/1105304225.db2.gz KRKUVZGWMPLYRS-CQSZACIVSA-N 0 1 302.374 3.081 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)C[C@H]1c1ccccc1 ZINC001249685089 1105353723 /nfs/dbraw/zinc/35/37/23/1105353723.db2.gz BZZPDZJRNJLZNM-SFHVURJKSA-N 0 1 309.388 3.186 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2cccc(C#N)c2F)C[C@@H]1C ZINC001249694166 1105357900 /nfs/dbraw/zinc/35/79/00/1105357900.db2.gz ZTWKBDMJJDPCJS-KBPBESRZSA-N 0 1 318.392 3.109 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2cccc(C#N)c2F)C[C@H]1C ZINC001249694167 1105357979 /nfs/dbraw/zinc/35/79/79/1105357979.db2.gz ZTWKBDMJJDPCJS-KGLIPLIRSA-N 0 1 318.392 3.109 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c(C)cccc1Cl)C2 ZINC001097439400 1105394421 /nfs/dbraw/zinc/39/44/21/1105394421.db2.gz WTUGOKXTKAWHQN-XYPHTWIQSA-N 0 1 318.848 3.098 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CCCC)cc1)C2 ZINC001097481320 1105400787 /nfs/dbraw/zinc/40/07/87/1105400787.db2.gz IRHLXGQCEOPJHO-AQNXPRMDSA-N 0 1 324.468 3.388 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(C)cc1Cl)C2 ZINC001097642856 1105420126 /nfs/dbraw/zinc/42/01/26/1105420126.db2.gz PPVBRNKSESJPCD-XYPHTWIQSA-N 0 1 318.848 3.478 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1C1CC1)C2 ZINC001097720541 1105435117 /nfs/dbraw/zinc/43/51/17/1105435117.db2.gz RQIHLUGVMRJBQS-BMFZPTHFSA-N 0 1 300.402 3.068 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(F)cc(F)cc1F)C2 ZINC001097761166 1105439470 /nfs/dbraw/zinc/43/94/70/1105439470.db2.gz VRABWRZSYNGXMC-DFBGVHRSSA-N 0 1 324.346 3.015 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(F)F)c(F)c1)C2 ZINC001097836794 1105454279 /nfs/dbraw/zinc/45/42/79/1105454279.db2.gz CEGJAYVHHSCHEG-DFBGVHRSSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)cc(Cl)c1)C2 ZINC001097912914 1105462619 /nfs/dbraw/zinc/46/26/19/1105462619.db2.gz JVFFEQPGPXOTHY-KFWWJZLASA-N 0 1 308.784 3.000 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)cs1)C2 ZINC001097977341 1105488403 /nfs/dbraw/zinc/48/84/03/1105488403.db2.gz NYZLFMVCMCHOED-UPJWGTAASA-N 0 1 310.850 3.313 20 30 DGEDMN CC[C@H](CC#N)NC[C@H]1COc2ccc(Br)cc2C1 ZINC001255165356 1105503668 /nfs/dbraw/zinc/50/36/68/1105503668.db2.gz XBXJMRHWBWHVLP-SMDDNHRTSA-N 0 1 323.234 3.282 20 30 DGEDMN C#C[C@H](NC[C@H]1CCC=CO1)c1ccc(Br)cc1 ZINC001462273938 1105534441 /nfs/dbraw/zinc/53/44/41/1105534441.db2.gz ABLLKMCVXMGNHP-CABCVRRESA-N 0 1 306.203 3.406 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccc(CC)cc1)C2 ZINC001098046712 1105536631 /nfs/dbraw/zinc/53/66/31/1105536631.db2.gz YZZUNLFIQQXLSJ-XLNTUCKNSA-N 0 1 324.468 3.097 20 30 DGEDMN Cc1nc(C#N)ccc1NS(=O)(=O)c1ccc2ccccc2c1 ZINC001250690900 1105561040 /nfs/dbraw/zinc/56/10/40/1105561040.db2.gz DTXLTLIEEYLDPB-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(c1)CCC=C3)C2 ZINC001098224828 1105562450 /nfs/dbraw/zinc/56/24/50/1105562450.db2.gz HULYAWKZRIQNEN-AQNXPRMDSA-N 0 1 320.436 3.004 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCCc3ccccc31)C2 ZINC001098254557 1105564167 /nfs/dbraw/zinc/56/41/67/1105564167.db2.gz JSTXBHWLCYFBKK-LFGUQSLTSA-N 0 1 324.468 3.404 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1CC(C)C)C2 ZINC001098479622 1105578608 /nfs/dbraw/zinc/57/86/08/1105578608.db2.gz RAOVPHHRXBTSLI-RLLQIKCJSA-N 0 1 324.468 3.244 20 30 DGEDMN COc1cc2nc(-c3ccc(C#N)cc3[N+](=O)[O-])[nH]c2cc1F ZINC001250954074 1105610131 /nfs/dbraw/zinc/61/01/31/1105610131.db2.gz VEYBYCCWRLFEGR-UHFFFAOYSA-N 0 1 312.260 3.157 20 30 DGEDMN CSc1nc(-c2nc3cc4c(cc3[nH]2)OCC4)ccc1C#N ZINC001250957918 1105610995 /nfs/dbraw/zinc/61/09/95/1105610995.db2.gz KBKAYAMAHNFZEY-UHFFFAOYSA-N 0 1 308.366 3.153 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C1CCCC1 ZINC001099111032 1105643444 /nfs/dbraw/zinc/64/34/44/1105643444.db2.gz OIIASXHNOCAJJT-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(C(C)C)cc2)C1 ZINC001099133589 1105647501 /nfs/dbraw/zinc/64/75/01/1105647501.db2.gz TWASYGZFPRTPNZ-ICSRJNTNSA-N 0 1 310.441 3.028 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H]1CC=CCC1 ZINC001099171897 1105652489 /nfs/dbraw/zinc/65/24/89/1105652489.db2.gz WHAKJRNLLVUTEL-ROUUACIJSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099188476 1105655673 /nfs/dbraw/zinc/65/56/73/1105655673.db2.gz GVGVDVSGDHPRDV-SJORKVTESA-N 0 1 304.478 3.219 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099188475 1105655790 /nfs/dbraw/zinc/65/57/90/1105655790.db2.gz GVGVDVSGDHPRDV-IRXDYDNUSA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)CCC ZINC001099213277 1105657229 /nfs/dbraw/zinc/65/72/29/1105657229.db2.gz BMUATAZSYBIVEE-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001099349914 1105671227 /nfs/dbraw/zinc/67/12/27/1105671227.db2.gz VGOKHXJFFHBILY-XLIONFOSSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCO[C@@H](C)C(=O)NC[C@@H]1CCC(C)(C)C[N@H+]1CC=C(C)C ZINC001099360592 1105673609 /nfs/dbraw/zinc/67/36/09/1105673609.db2.gz YXCXVHBJGMRKRE-IRXDYDNUSA-N 0 1 322.493 3.151 20 30 DGEDMN CC(C)(C)c1ccc(S(=O)(=O)Nc2ccc(C#N)nc2)cc1 ZINC001251993315 1105720633 /nfs/dbraw/zinc/72/06/33/1105720633.db2.gz NEPIQUVVNKIFOB-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(C[C@H](O)c2ccccc2)CC1 ZINC001251994900 1105721792 /nfs/dbraw/zinc/72/17/92/1105721792.db2.gz IABBFCMZUGPXJQ-IBGZPJMESA-N 0 1 324.399 3.416 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2nc(C)cs2)C(C)(C)C1 ZINC001278645056 1105741882 /nfs/dbraw/zinc/74/18/82/1105741882.db2.gz MQTDRFXYWQHNFA-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CCCCCCCC[C@H](O)CNc1ncnc2[nH]c(C)nc21 ZINC001252123348 1105744147 /nfs/dbraw/zinc/74/41/47/1105744147.db2.gz GJFMBHSCFAUQPG-ZDUSSCGKSA-N 0 1 305.426 3.185 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001491107764 1105754030 /nfs/dbraw/zinc/75/40/30/1105754030.db2.gz MNKVXXWBEMSSCJ-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN CCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC001491280675 1105795251 /nfs/dbraw/zinc/79/52/51/1105795251.db2.gz OJOZGJWJZLHAPU-UONOGXRCSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001491284477 1105797490 /nfs/dbraw/zinc/79/74/90/1105797490.db2.gz CRZIYRYKADANEC-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(O)CN[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001252585501 1105798305 /nfs/dbraw/zinc/79/83/05/1105798305.db2.gz PSZQZMPIARKQHW-QUCCMNQESA-N 0 1 321.424 3.189 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CCCC#CC)C1 ZINC001491293784 1105801325 /nfs/dbraw/zinc/80/13/25/1105801325.db2.gz XYVGAJHKSIHWLR-JKSUJKDBSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CC(C)(F)F)C1 ZINC001491301475 1105802754 /nfs/dbraw/zinc/80/27/54/1105802754.db2.gz XMSHBTCYQZXEES-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCC[C@@H](O)CNCC(=O)c1ccc(Cl)c(Cl)c1 ZINC001252617516 1105805939 /nfs/dbraw/zinc/80/59/39/1105805939.db2.gz DUAGHIFHSDASSD-LLVKDONJSA-N 0 1 302.201 3.093 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1CCc2ccccc2CC1 ZINC001491504631 1105835799 /nfs/dbraw/zinc/83/57/99/1105835799.db2.gz QWJDRGQILFLVPL-AWEZNQCLSA-N 0 1 320.864 3.028 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCCC[C@H]1C(N)=O ZINC001252984103 1105865415 /nfs/dbraw/zinc/86/54/15/1105865415.db2.gz DAKMDMDWTOMACG-IRXDYDNUSA-N 0 1 312.498 3.218 20 30 DGEDMN CCCC[C@@H](CC)COC[C@H](O)CNCc1ccccc1C#N ZINC001253020957 1105878001 /nfs/dbraw/zinc/87/80/01/1105878001.db2.gz YUGQHLGNGKNBTK-VQIMIIECSA-N 0 1 318.461 3.242 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)/C=C/C(C)(C)C)C[C@]2(C)C1 ZINC001101180092 1105894785 /nfs/dbraw/zinc/89/47/85/1105894785.db2.gz IUXIJFXDRJDLPP-PALPZSCYSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNc1cc(N2CCCC2)[nH]n1 ZINC001253233636 1105906339 /nfs/dbraw/zinc/90/63/39/1105906339.db2.gz JTYWZCIHEXIQEF-OAHLLOKOSA-N 0 1 306.454 3.309 20 30 DGEDMN C=CCCCCCC[C@H](O)CN(CC(=O)OC)C1CCCC1 ZINC001253240247 1105907135 /nfs/dbraw/zinc/90/71/35/1105907135.db2.gz HWFIOWBODVIRPH-KRWDZBQOSA-N 0 1 311.466 3.292 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101338005 1105911209 /nfs/dbraw/zinc/91/12/09/1105911209.db2.gz UIPDLOAGHNVFBG-MJIFEULRSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)c1cccc(F)c1 ZINC001253254769 1105912767 /nfs/dbraw/zinc/91/27/67/1105912767.db2.gz SBPTXXVTOBHMQJ-QGZVFWFLSA-N 0 1 307.409 3.486 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101377235 1105915519 /nfs/dbraw/zinc/91/55/19/1105915519.db2.gz VJIIEQRMSOUANU-BKSCXVJVSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H](C)CCC)[C@H](C)C1 ZINC001101800997 1105979563 /nfs/dbraw/zinc/97/95/63/1105979563.db2.gz LGMAILOESXQBKY-GZBFAFLISA-N 0 1 300.874 3.249 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2ccc(F)c(F)c2)C[C@H]1C ZINC001101804548 1105979839 /nfs/dbraw/zinc/97/98/39/1105979839.db2.gz WQOKAYZABFAANY-UKRRQHHQSA-N 0 1 322.399 3.115 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC(C)(C)CC)[C@H](C)C1 ZINC001101996743 1106006943 /nfs/dbraw/zinc/00/69/43/1106006943.db2.gz GDHWRBHIAXPBMZ-TZMCWYRMSA-N 0 1 300.874 3.249 20 30 DGEDMN COc1cc(NC(=O)CN[C@H]2CC[C@@H](C#N)C2)cc2ccccc21 ZINC001254654239 1106123738 /nfs/dbraw/zinc/12/37/38/1106123738.db2.gz SSEPESCFENQSLV-HIFRSBDPSA-N 0 1 323.396 3.069 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cccc(CN[C@H]2CC[C@H](C#N)C2)n1 ZINC001254655380 1106124222 /nfs/dbraw/zinc/12/42/22/1106124222.db2.gz CYCRHSMUCXFQEC-STQMWFEESA-N 0 1 316.405 3.210 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2cn(Cc3ccccc3Cl)cn2)C1 ZINC001254655677 1106124494 /nfs/dbraw/zinc/12/44/94/1106124494.db2.gz FJEWCZLDRIQDSI-HIFRSBDPSA-N 0 1 314.820 3.367 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2cn(Cc3ccccc3Cl)cn2)C1 ZINC001254655676 1106124628 /nfs/dbraw/zinc/12/46/28/1106124628.db2.gz FJEWCZLDRIQDSI-DZGCQCFKSA-N 0 1 314.820 3.367 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](N1CCC[C@H](C#N)C1)C2 ZINC001254782835 1106141298 /nfs/dbraw/zinc/14/12/98/1106141298.db2.gz CRWCWJSESGBFDQ-HUUCEWRRSA-N 0 1 319.449 3.012 20 30 DGEDMN C[C@](C#N)(N[C@@H]1CC2CCN(CC2)C1)c1ccccc1Cl ZINC001254841212 1106146916 /nfs/dbraw/zinc/14/69/16/1106146916.db2.gz VAXVCLMXVUPBTI-RHSMWYFYSA-N 0 1 303.837 3.153 20 30 DGEDMN CC[C@H](CC#N)NCCc1cnc(NC(=O)OC(C)(C)C)s1 ZINC001255159563 1106194468 /nfs/dbraw/zinc/19/44/68/1106194468.db2.gz XUFZMOPJXDKRRN-LLVKDONJSA-N 0 1 324.450 3.314 20 30 DGEDMN CCC[C@@]1(CN[C@H](CC)CC#N)CCN1C(=O)OC(C)(C)C ZINC001255164686 1106196536 /nfs/dbraw/zinc/19/65/36/1106196536.db2.gz PAWBPXPOMQJDFR-PBHICJAKSA-N 0 1 309.454 3.448 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN([C@@H](CC)CC#N)[C@@H]2CC ZINC001255167507 1106197081 /nfs/dbraw/zinc/19/70/81/1106197081.db2.gz BSBVGRSYVPYDJC-JZXOWHBKSA-N 0 1 303.450 3.100 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CC(NC(=O)OC(C)(C)C)C2)CC1 ZINC001255167224 1106197690 /nfs/dbraw/zinc/19/76/90/1106197690.db2.gz WGAUZXQGNMRBFU-OAHLLOKOSA-N 0 1 321.465 3.448 20 30 DGEDMN CC[C@@H](CC#N)N1CC[C@]2(CC(=O)N(c3ccc(C)cc3)C2)C1 ZINC001255172561 1106198799 /nfs/dbraw/zinc/19/87/99/1106198799.db2.gz PXOZGZUXBKOPJX-LPHOPBHVSA-N 0 1 311.429 3.116 20 30 DGEDMN CC[C@@H](CC#N)N(C)C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255174039 1106199514 /nfs/dbraw/zinc/19/95/14/1106199514.db2.gz HFXJUFUPAGNQIO-CABCVRRESA-N 0 1 309.454 3.258 20 30 DGEDMN CC[C@H](CC#N)N1CCC(Cc2ccc(C(=O)OC)cc2)CC1 ZINC001255177323 1106200529 /nfs/dbraw/zinc/20/05/29/1106200529.db2.gz PYVKAHHLOYDDIZ-GOSISDBHSA-N 0 1 314.429 3.420 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN([C@H](C)Cc2ccccc2F)C1 ZINC001255854456 1106329409 /nfs/dbraw/zinc/32/94/09/1106329409.db2.gz DQZJIBZJGNTDSO-ZBFHGGJFSA-N 0 1 320.408 3.133 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](N2CCC(C)(C#N)CC2)C1 ZINC001255917057 1106343406 /nfs/dbraw/zinc/34/34/06/1106343406.db2.gz FPWWUPUHVGVODP-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1coc2c1cccc2C)C(C)C ZINC001278907612 1106362377 /nfs/dbraw/zinc/36/23/77/1106362377.db2.gz GOFNOHVLBBLLDM-UHFFFAOYSA-N 0 1 312.413 3.157 20 30 DGEDMN N#Cc1ccc(F)c(NS(=O)(=O)c2ccc(Cl)s2)c1 ZINC001256485097 1106429187 /nfs/dbraw/zinc/42/91/87/1106429187.db2.gz JGHNPBAQKRZLSU-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)CCC)c1ccccc1CC ZINC001278969049 1106497220 /nfs/dbraw/zinc/49/72/20/1106497220.db2.gz CZUJROSICQZXKM-APWZRJJASA-N 0 1 314.473 3.455 20 30 DGEDMN N#CC1CCC(NC2(C#N)CCN(Cc3ccccc3)CC2)CC1 ZINC001256959391 1106516324 /nfs/dbraw/zinc/51/63/24/1106516324.db2.gz CYJSVNMVDFEVDD-UHFFFAOYSA-N 0 1 322.456 3.217 20 30 DGEDMN C[C@@H]1CN(C2CCC(C#N)CC2)CCN1C(=O)OC(C)(C)C ZINC001256964157 1106516539 /nfs/dbraw/zinc/51/65/39/1106516539.db2.gz RPJFFRLNEXITPG-WLYUNCDWSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@H]1C[C@@H](N(CC)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257136999 1106542727 /nfs/dbraw/zinc/54/27/27/1106542727.db2.gz ZDVSZEPPKDERBB-GJZGRUSLSA-N 0 1 309.454 3.400 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)C(C)(C)C ZINC001279010031 1106573627 /nfs/dbraw/zinc/57/36/27/1106573627.db2.gz ZHKHRZSPRKFTET-RRFJBIMHSA-N 0 1 312.885 3.296 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1cccc(Cl)c1C ZINC001257621658 1106612751 /nfs/dbraw/zinc/61/27/51/1106612751.db2.gz VDARUYFDVSHESN-UHFFFAOYSA-N 0 1 305.786 3.431 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccc(O)c2ccccc12 ZINC001258274032 1106705727 /nfs/dbraw/zinc/70/57/27/1106705727.db2.gz YUYAHCVRRSCBCM-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN Cc1cc2cc(NS(=O)(=O)c3ccccc3C#N)ccc2o1 ZINC001258279315 1106707283 /nfs/dbraw/zinc/70/72/83/1106707283.db2.gz ZMWDCLZTZUHVBS-UHFFFAOYSA-N 0 1 312.350 3.414 20 30 DGEDMN Cc1ccnc2cc(NS(=O)(=O)c3ccccc3C#N)ccc12 ZINC001258279251 1106707893 /nfs/dbraw/zinc/70/78/93/1106707893.db2.gz WHOIBTGKRSJKEF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2cc(C)no2)CC1 ZINC001279097365 1106734888 /nfs/dbraw/zinc/73/48/88/1106734888.db2.gz OZRSXYUXMZGTSG-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CC[C@@H](NC(=O)c1ccc2cncn2c1C)c1ccccc1 ZINC001319989270 1106766970 /nfs/dbraw/zinc/76/69/70/1106766970.db2.gz NQJAMWCMONWOCS-GOSISDBHSA-N 0 1 303.365 3.137 20 30 DGEDMN Cc1c(C(=O)Nc2ccc(C#N)cc2Cl)ccc2cncn21 ZINC001320031661 1106800089 /nfs/dbraw/zinc/80/00/89/1106800089.db2.gz ZAIBAHVRWVRGFC-UHFFFAOYSA-N 0 1 310.744 3.420 20 30 DGEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)Nc2cccc3ccccc32)C1 ZINC001320039170 1106809805 /nfs/dbraw/zinc/80/98/05/1106809805.db2.gz YCEJDNOUXDNNNG-DOTOQJQBSA-N 0 1 324.424 3.444 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cccc(SC(=O)N(C)C)c2)C1 ZINC001320037250 1106810502 /nfs/dbraw/zinc/81/05/02/1106810502.db2.gz DQMXKHGHLVFYPX-OAHLLOKOSA-N 0 1 320.458 3.237 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001316954315 1106822007 /nfs/dbraw/zinc/82/20/07/1106822007.db2.gz RJXOULFIMZFAAK-PKOBYXMFSA-N 0 1 314.473 3.412 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CCC(C)(C)C1)CC2 ZINC001258604116 1106841915 /nfs/dbraw/zinc/84/19/15/1106841915.db2.gz WGSIDJSVKMGNKT-CQSZACIVSA-N 0 1 303.450 3.060 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CCC(C)(F)F)CC1 ZINC001316997746 1106881219 /nfs/dbraw/zinc/88/12/19/1106881219.db2.gz HAFLSAZSHNXHQQ-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC=C)c1ccccc1 ZINC001317049369 1106950001 /nfs/dbraw/zinc/95/00/01/1106950001.db2.gz KXTBRZBPBOMPAM-HSALFYBXSA-N 0 1 322.452 3.045 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001320356533 1106974378 /nfs/dbraw/zinc/97/43/78/1106974378.db2.gz LDTHQSAYRPPDIQ-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001317087634 1106982762 /nfs/dbraw/zinc/98/27/62/1106982762.db2.gz NPPLBPUASAQEOA-ZQIUZPCESA-N 0 1 321.490 3.099 20 30 DGEDMN C=C[C@H](COC)[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC001320427707 1107005050 /nfs/dbraw/zinc/00/50/50/1107005050.db2.gz HRRIHDCUGXIGBQ-GXSJLCMTSA-N 0 1 300.196 3.006 20 30 DGEDMN C=CCOCc1nn([C@@H](CC)Cc2ccccc2)c2c1CNC2 ZINC001258845786 1107023803 /nfs/dbraw/zinc/02/38/03/1107023803.db2.gz GUALLQLHUMYXJI-INIZCTEOSA-N 0 1 311.429 3.383 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1cccc2cnccc21 ZINC001259157409 1107085980 /nfs/dbraw/zinc/08/59/80/1107085980.db2.gz ABALSDCSEHMHSE-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1c(F)cccc1F ZINC001317179141 1107098566 /nfs/dbraw/zinc/09/85/66/1107098566.db2.gz GYLOTPAGABQRGY-AWEZNQCLSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)Cc2ccc(C)o2)C1 ZINC001317206407 1107125030 /nfs/dbraw/zinc/12/50/30/1107125030.db2.gz XKTDGFAQCDUHRV-CABCVRRESA-N 0 1 324.852 3.100 20 30 DGEDMN CC(C)c1ccc(NS(=O)(=O)c2ccc(F)c(C#N)c2)cn1 ZINC001259412924 1107132929 /nfs/dbraw/zinc/13/29/29/1107132929.db2.gz WECRFQKZYXAHRK-UHFFFAOYSA-N 0 1 319.361 3.017 20 30 DGEDMN C=CCCCC(=O)NC1CCC(NCc2nc(C)c(C)o2)CC1 ZINC001317219914 1107142525 /nfs/dbraw/zinc/14/25/25/1107142525.db2.gz BPCZJYNCKPFYTP-UHFFFAOYSA-N 0 1 319.449 3.165 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001317227668 1107151901 /nfs/dbraw/zinc/15/19/01/1107151901.db2.gz BHJVXBGSDVCWAW-CVEARBPZSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(C)C)nc1C ZINC001320841498 1107159115 /nfs/dbraw/zinc/15/91/15/1107159115.db2.gz ZBJDGJCXNKDQGJ-UHFFFAOYSA-N 0 1 323.868 3.318 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)c(C)cc1Cl ZINC001317256442 1107176822 /nfs/dbraw/zinc/17/68/22/1107176822.db2.gz MQOVJNKBNDTNAZ-LBPRGKRZSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccc(C)cc1Cl ZINC001317257039 1107177453 /nfs/dbraw/zinc/17/74/53/1107177453.db2.gz RQDRXTFWRULRRO-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)oc1C(F)(F)F ZINC001317271802 1107194066 /nfs/dbraw/zinc/19/40/66/1107194066.db2.gz OTRQAKPIAZKIKI-QMMMGPOBSA-N 0 1 324.730 3.067 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(Cl)c(F)c1)C(=O)NC1(C#N)CCC1 ZINC001320951105 1107201132 /nfs/dbraw/zinc/20/11/32/1107201132.db2.gz JIRHLXUHRHZLEM-GHMZBOCLSA-N 0 1 323.799 3.081 20 30 DGEDMN Cc1c(C(=O)OCc2ccc(C#N)cc2F)ccc2cncn21 ZINC001321073176 1107240154 /nfs/dbraw/zinc/24/01/54/1107240154.db2.gz KGSVJGKTLAPFFJ-UHFFFAOYSA-N 0 1 309.300 3.011 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)CC2(C)CC2)C1 ZINC001317379550 1107284661 /nfs/dbraw/zinc/28/46/61/1107284661.db2.gz XUNCDPKFULRTOD-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1cc(C)c(C)cc1OC ZINC001260771238 1107319553 /nfs/dbraw/zinc/31/95/53/1107319553.db2.gz FWBAPKZUMOMCRT-UHFFFAOYSA-N 0 1 315.394 3.094 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001321531633 1107374112 /nfs/dbraw/zinc/37/41/12/1107374112.db2.gz MIUGDBWDHUQELY-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1nsc2ccccc21 ZINC001321531340 1107375462 /nfs/dbraw/zinc/37/54/62/1107375462.db2.gz IUTHNKXAWJYKIE-CYBMUJFWSA-N 0 1 317.458 3.247 20 30 DGEDMN CC(C)c1nc([C@@H](C)NC2CC(C#N)(c3ccccc3)C2)n[nH]1 ZINC001321625415 1107395594 /nfs/dbraw/zinc/39/55/94/1107395594.db2.gz MLQDJFZWKMGCLJ-MJJDPTRDSA-N 0 1 309.417 3.203 20 30 DGEDMN Cc1cccc(C)c1C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001321655709 1107404543 /nfs/dbraw/zinc/40/45/43/1107404543.db2.gz YKTVINBCAAYNLE-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCN2Cc2ccccc2F)C1 ZINC001317509874 1107471937 /nfs/dbraw/zinc/47/19/37/1107471937.db2.gz QFFWKUQDFAXQKG-MRXNPFEDSA-N 0 1 316.420 3.263 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001321967001 1107473654 /nfs/dbraw/zinc/47/36/54/1107473654.db2.gz VMHBCOGFDPYTNW-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@H](c1ccccc1)C(C)C ZINC001317512416 1107474539 /nfs/dbraw/zinc/47/45/39/1107474539.db2.gz KYBANFYYQKAWHU-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN[C@@H](C)c2c(F)cccc2F)C1 ZINC001321977561 1107475279 /nfs/dbraw/zinc/47/52/79/1107475279.db2.gz GFCPSGHHTRZUFJ-STQMWFEESA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC[C@@H]1CCc2ccccc21 ZINC001322083630 1107504149 /nfs/dbraw/zinc/50/41/49/1107504149.db2.gz YJBDIIIWNKQBHT-HOCLYGCPSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1ccccn1 ZINC001317542705 1107506591 /nfs/dbraw/zinc/50/65/91/1107506591.db2.gz IQTFTAAGDXJUTA-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(Cc1ccsc1)C1CC1 ZINC001317550872 1107523292 /nfs/dbraw/zinc/52/32/92/1107523292.db2.gz CKUSUTAKMFAAGD-UHFFFAOYSA-N 0 1 306.475 3.431 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC(Cc3c(F)cccc3Cl)C2)CC1 ZINC001261890435 1107557087 /nfs/dbraw/zinc/55/70/87/1107557087.db2.gz DSMGGUNTWUMPKE-ZDUSSCGKSA-N 0 1 322.811 3.008 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1ccccc1Cl ZINC001317622924 1107568035 /nfs/dbraw/zinc/56/80/35/1107568035.db2.gz FUSHNHYFXZLNSB-QGZVFWFLSA-N 0 1 308.853 3.490 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccn(C(C)C)c1C)c1ccccc1 ZINC001317721083 1107621214 /nfs/dbraw/zinc/62/12/14/1107621214.db2.gz BOMDWEUVQQALDH-LJQANCHMSA-N 0 1 323.440 3.071 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@](C)(CC)CCC)c1ccccc1 ZINC001317727550 1107626983 /nfs/dbraw/zinc/62/69/83/1107626983.db2.gz UNNXWEVGIZVRHM-HKUYNNGSSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001317768164 1107651703 /nfs/dbraw/zinc/65/17/03/1107651703.db2.gz ZOMGBCQJKQVZGJ-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)CC(C)C)C1 ZINC001317770382 1107653754 /nfs/dbraw/zinc/65/37/54/1107653754.db2.gz RLZHCQGLOGVZJG-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@](C)(F)CCCC)C1 ZINC001317790771 1107670428 /nfs/dbraw/zinc/67/04/28/1107670428.db2.gz XVTJCVRPPCWWCG-GOEBONIOSA-N 0 1 318.864 3.486 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001317798450 1107676362 /nfs/dbraw/zinc/67/63/62/1107676362.db2.gz WBTRHNLIZFDXHH-CVEARBPZSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CC23CCCC3)C1 ZINC001317804280 1107681258 /nfs/dbraw/zinc/68/12/58/1107681258.db2.gz ARRXADUKYBJYEW-LSDHHAIUSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(C3CCC3)CCC2)C1 ZINC001317845259 1107705146 /nfs/dbraw/zinc/70/51/46/1107705146.db2.gz NWEVVYCLPJTJEE-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001317851806 1107705439 /nfs/dbraw/zinc/70/54/39/1107705439.db2.gz ATFPRQKWOUDKCH-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H](C)C(C)C)CC1 ZINC001317879524 1107731812 /nfs/dbraw/zinc/73/18/12/1107731812.db2.gz GMCXSWCELIOBHB-CQSZACIVSA-N 0 1 300.874 3.201 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC001317943864 1107758631 /nfs/dbraw/zinc/75/86/31/1107758631.db2.gz ZAKNEUIRFOZGIA-QWHCGFSZSA-N 0 1 306.372 3.122 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)c2nccs2)C1 ZINC001317986998 1107785460 /nfs/dbraw/zinc/78/54/60/1107785460.db2.gz DTQSVJGZLPZUCX-AWEZNQCLSA-N 0 1 309.479 3.308 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001317994020 1107786186 /nfs/dbraw/zinc/78/61/86/1107786186.db2.gz JPPZIXASUPYCPN-IRXDYDNUSA-N 0 1 304.409 3.086 20 30 DGEDMN CC(C)(C)C#CC(=O)NC1CN(CC2CCC(C)(C)CC2)C1 ZINC001318024212 1107798095 /nfs/dbraw/zinc/79/80/95/1107798095.db2.gz HEQCQKSRBREZIM-UHFFFAOYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001318080222 1107828955 /nfs/dbraw/zinc/82/89/55/1107828955.db2.gz VKVYANVPYOQMFX-CQSZACIVSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1[C@@H]1CCCc2ccc(F)cc21 ZINC001323199180 1107880691 /nfs/dbraw/zinc/88/06/91/1107880691.db2.gz OBMAYXDFSZDWFQ-FUHWJXTLSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCC[C@H](C(=O)NCC1CN(CC(=C)C)C1)c1ccccc1 ZINC001318180282 1107881524 /nfs/dbraw/zinc/88/15/24/1107881524.db2.gz UJUXHNPFKBOZCA-IBGZPJMESA-N 0 1 312.457 3.361 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)sc1C ZINC001323214739 1107888550 /nfs/dbraw/zinc/88/85/50/1107888550.db2.gz OLZKSIILPSTPJH-KRWDZBQOSA-N 0 1 318.486 3.249 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1CN2CC1CC(F)(F)C1 ZINC001318201485 1107891179 /nfs/dbraw/zinc/89/11/79/1107891179.db2.gz OYXJDYNQZNEAIP-HOTGVXAUSA-N 0 1 324.415 3.085 20 30 DGEDMN COc1cc(O)ccc1CN=Nc1ccc([N+](=O)[O-])cc1Cl ZINC001318211615 1107899334 /nfs/dbraw/zinc/89/93/34/1107899334.db2.gz POAGELWCDPXPID-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN C=CCC[C@H](C)[N@@H+]1CC[C@@H]1CNC(=O)C1(COC)CCCCC1 ZINC001323245899 1107903600 /nfs/dbraw/zinc/90/36/00/1107903600.db2.gz IBESJXSNTWFBCB-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC001323339159 1107942298 /nfs/dbraw/zinc/94/22/98/1107942298.db2.gz DUENXVFPKMPBJN-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001318424305 1107996071 /nfs/dbraw/zinc/99/60/71/1107996071.db2.gz IUWQUNMLHQVARU-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001323530036 1108000125 /nfs/dbraw/zinc/00/01/25/1108000125.db2.gz HYILBJHZJTXLTB-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN CC[C@@H](F)C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001263307687 1108011741 /nfs/dbraw/zinc/01/17/41/1108011741.db2.gz HRTCFOIZUCMKCD-GHMZBOCLSA-N 0 1 317.147 3.389 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)Cc2ccc(C)cc2)CC1 ZINC001323617005 1108030437 /nfs/dbraw/zinc/03/04/37/1108030437.db2.gz QIOKWIMANVXETC-AWEZNQCLSA-N 0 1 320.864 3.165 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001318491509 1108050046 /nfs/dbraw/zinc/05/00/46/1108050046.db2.gz KBPJHFAJMLGULV-UKRRQHHQSA-N 0 1 322.399 3.177 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C=C)c1ccccc1 ZINC001323706186 1108057807 /nfs/dbraw/zinc/05/78/07/1108057807.db2.gz YLTXYSZJJQSMJL-RLLQIKCJSA-N 0 1 322.452 3.045 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1ccccc1F ZINC001318582601 1108109276 /nfs/dbraw/zinc/10/92/76/1108109276.db2.gz KSOQKOMSYYAOSG-STQMWFEESA-N 0 1 312.816 3.166 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(c2ccccc2F)CC1 ZINC001263615721 1108109831 /nfs/dbraw/zinc/10/98/31/1108109831.db2.gz DLMCMMQTZCUFJU-JTQLQIEISA-N 0 1 317.751 3.121 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1coc2cc(C)ccc12 ZINC001263617143 1108112638 /nfs/dbraw/zinc/11/26/38/1108112638.db2.gz WIRLHZDGVGZNBT-HNNXBMFYSA-N 0 1 307.353 3.377 20 30 DGEDMN C=C[C@H](Cc1nc([C@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC001323914173 1108118905 /nfs/dbraw/zinc/11/89/05/1108118905.db2.gz DRQJSTFBMDENIC-PBHICJAKSA-N 0 1 309.413 3.391 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN([C@H]2CCCc3ccccc32)C1 ZINC001323910055 1108118927 /nfs/dbraw/zinc/11/89/27/1108118927.db2.gz LMXRRXKWVXDTTN-FQEVSTJZSA-N 0 1 324.468 3.400 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001323995369 1108141396 /nfs/dbraw/zinc/14/13/96/1108141396.db2.gz TVWOWRUFBGPYPZ-QGZVFWFLSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1occc1C(C)C ZINC001324213322 1108208645 /nfs/dbraw/zinc/20/86/45/1108208645.db2.gz MFWXHJWKAGOZAL-ZDUSSCGKSA-N 0 1 310.825 3.350 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccccc1C ZINC001263814997 1108225910 /nfs/dbraw/zinc/22/59/10/1108225910.db2.gz PFEBGBVHRULVSG-DLBZAZTESA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(CC(C)C)c[nH]1 ZINC001324260779 1108226554 /nfs/dbraw/zinc/22/65/54/1108226554.db2.gz LCGJSDXQEWMXME-HNNXBMFYSA-N 0 1 323.868 3.160 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)C[C@H]1CCN1CC)c1ccccc1 ZINC001263823176 1108235977 /nfs/dbraw/zinc/23/59/77/1108235977.db2.gz IVXDUKDZFFKZPC-MSOLQXFVSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)C[C@@H]1CCN1CC)c1ccccc1 ZINC001263823179 1108236535 /nfs/dbraw/zinc/23/65/35/1108236535.db2.gz IVXDUKDZFFKZPC-ROUUACIJSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001318955686 1108252710 /nfs/dbraw/zinc/25/27/10/1108252710.db2.gz GCTPRVLETVCWGR-HDJSIYSDSA-N 0 1 321.490 3.262 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001324336316 1108255945 /nfs/dbraw/zinc/25/59/45/1108255945.db2.gz XUGOSYZFSHDBLI-KRWDZBQOSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001263863137 1108267052 /nfs/dbraw/zinc/26/70/52/1108267052.db2.gz GZROELDZOLNRGM-IKDFDVDSSA-N 0 1 305.249 3.146 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CCCCC)C1CCN(CC#C)CC1 ZINC001324427335 1108285268 /nfs/dbraw/zinc/28/52/68/1108285268.db2.gz VYZCEMDZPPYFQR-SFHVURJKSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1CCC)C1CCCC1 ZINC001324496834 1108314568 /nfs/dbraw/zinc/31/45/68/1108314568.db2.gz CGTLSYNYXICTQZ-BZUAXINKSA-N 0 1 312.885 3.440 20 30 DGEDMN CC(C)[C@]1(CO)CC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC001324570036 1108345567 /nfs/dbraw/zinc/34/55/67/1108345567.db2.gz DGQKTCPRGAWRME-QGZVFWFLSA-N 0 1 322.836 3.083 20 30 DGEDMN CC(C)[C@]1(CO)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC001324570036 1108345581 /nfs/dbraw/zinc/34/55/81/1108345581.db2.gz DGQKTCPRGAWRME-QGZVFWFLSA-N 0 1 322.836 3.083 20 30 DGEDMN CS(=O)(=O)c1ccccc1NN=Cc1ccc2c(c1)CCC2 ZINC001319193597 1108358641 /nfs/dbraw/zinc/35/86/41/1108358641.db2.gz GFVHZBURVOPJPK-UHFFFAOYSA-N 0 1 314.410 3.025 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001264059271 1108359001 /nfs/dbraw/zinc/35/90/01/1108359001.db2.gz CTEMWUXZZPASFD-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CCN(CCF)C[C@H]3C2)CCCCC1 ZINC001264100826 1108373621 /nfs/dbraw/zinc/37/36/21/1108373621.db2.gz IVYUSJAZQHTZJU-SJORKVTESA-N 0 1 322.468 3.263 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(CCC(F)(F)F)C1 ZINC001324641397 1108394161 /nfs/dbraw/zinc/39/41/61/1108394161.db2.gz KNNLUHYRBZODHG-GXTWGEPZSA-N 0 1 306.372 3.122 20 30 DGEDMN N#Cc1ccc(CNN=Cc2ccc(N3CCCC3)cc2)cc1 ZINC001319275385 1108396447 /nfs/dbraw/zinc/39/64/47/1108396447.db2.gz QOPMZSBYAOELBM-UHFFFAOYSA-N 0 1 304.397 3.282 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)CC2CCCCC2)C1 ZINC001319295834 1108406427 /nfs/dbraw/zinc/40/64/27/1108406427.db2.gz RHQWQYBVPDJMTN-WMZOPIPTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001319319164 1108412453 /nfs/dbraw/zinc/41/24/53/1108412453.db2.gz OFHXKMOEANSXEV-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cscc1C ZINC001264180405 1108415595 /nfs/dbraw/zinc/41/55/95/1108415595.db2.gz CJXUQJMNBVNDRC-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1CCCCCCC1 ZINC001264182962 1108419979 /nfs/dbraw/zinc/41/99/79/1108419979.db2.gz BYZHKUNJWWDPRI-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001319377372 1108437222 /nfs/dbraw/zinc/43/72/22/1108437222.db2.gz KXCSFVYIRYHRDL-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001319405234 1108447324 /nfs/dbraw/zinc/44/73/24/1108447324.db2.gz KEWQTZXPFJXRRY-CVEARBPZSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1cccc(C)c1 ZINC001264367022 1108473575 /nfs/dbraw/zinc/47/35/75/1108473575.db2.gz PVXXXWAKAWUPDG-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001264367439 1108476972 /nfs/dbraw/zinc/47/69/72/1108476972.db2.gz YMCYMBVUQIVIEE-KRWDZBQOSA-N 0 1 300.446 3.240 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](CCC=C)c1ccccc1 ZINC001264383145 1108496387 /nfs/dbraw/zinc/49/63/87/1108496387.db2.gz UXXUCHLOJOAPNC-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1F ZINC001319514391 1108501020 /nfs/dbraw/zinc/50/10/20/1108501020.db2.gz LAVHJZJNGNILBC-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C1CCC1)C1CCC1 ZINC001319522236 1108504526 /nfs/dbraw/zinc/50/45/26/1108504526.db2.gz BDLUEDDGEBPHOR-ZDUSSCGKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001264403224 1108509201 /nfs/dbraw/zinc/50/92/01/1108509201.db2.gz ILVCDPDBEGDZBD-WWWUCCBKSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc(C(F)F)c2)C1 ZINC001324979415 1108547834 /nfs/dbraw/zinc/54/78/34/1108547834.db2.gz YRANGLZQUUHUEX-GOSISDBHSA-N 0 1 320.383 3.089 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1ccc(C)o1 ZINC001319610972 1108555045 /nfs/dbraw/zinc/55/50/45/1108555045.db2.gz ZFSDGLLLMWQXTJ-AWEZNQCLSA-N 0 1 312.841 3.004 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1nccs1 ZINC001264509276 1108559539 /nfs/dbraw/zinc/55/95/39/1108559539.db2.gz RLSXCCBOWSEHLI-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccoc1C ZINC001264540487 1108568219 /nfs/dbraw/zinc/56/82/19/1108568219.db2.gz CIVHIWUAHKQQSR-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C=C(C)C)C1 ZINC001264596485 1108585625 /nfs/dbraw/zinc/58/56/25/1108585625.db2.gz XGQOFMMPLVWCBS-QZTJIDSGSA-N 0 1 316.420 3.252 20 30 DGEDMN Cc1nccn1-c1ccncc1NC(=O)c1ccc(C)c(C#N)c1 ZINC001325157879 1108586300 /nfs/dbraw/zinc/58/63/00/1108586300.db2.gz LWDZGHAIYUKOCY-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1CC ZINC001264615009 1108593673 /nfs/dbraw/zinc/59/36/73/1108593673.db2.gz KWRGNMVXPYVBED-DLBZAZTESA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCO[C@H]1CC[N@H+](Cc2ccc(OCC)c(OCC)c2)C1 ZINC001325298845 1108616835 /nfs/dbraw/zinc/61/68/35/1108616835.db2.gz HLPTWBYNDMOKBH-INIZCTEOSA-N 0 1 305.418 3.261 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCCC)c1ccccc1CC ZINC001325359972 1108631177 /nfs/dbraw/zinc/63/11/77/1108631177.db2.gz AIUBTXKUNISBMP-GOSISDBHSA-N 0 1 300.446 3.209 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H](C)C2CCCCC2)[C@H]1C ZINC001264722339 1108632012 /nfs/dbraw/zinc/63/20/12/1108632012.db2.gz MTYOMKDUQLKCPW-JZXOWHBKSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)/C=C(/C)CC)c1ccccc1CC ZINC001325362674 1108633958 /nfs/dbraw/zinc/63/39/58/1108633958.db2.gz OIFHUJHVORWJAH-VDUFWMCCSA-N 0 1 312.457 3.376 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@]12C[C@H]1CCC2)c1ccccc1CC ZINC001325364535 1108635448 /nfs/dbraw/zinc/63/54/48/1108635448.db2.gz BVFDLHRHQNOPLQ-YFVAEKQCSA-N 0 1 324.468 3.209 20 30 DGEDMN C#Cc1cccc(CNC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)c1 ZINC001325373772 1108638220 /nfs/dbraw/zinc/63/82/20/1108638220.db2.gz MXDNRCIFJWXWJT-UHFFFAOYSA-N 0 1 324.428 3.030 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1csc(C)c1C ZINC001264740471 1108638941 /nfs/dbraw/zinc/63/89/41/1108638941.db2.gz SWNGLDWMBIVZBG-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1coc(C(F)F)c1 ZINC001264742909 1108641486 /nfs/dbraw/zinc/64/14/86/1108641486.db2.gz LWNNPVDUNDYLOY-ZDUSSCGKSA-N 0 1 312.360 3.330 20 30 DGEDMN CCC[C@H](C#N)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001319963978 1108718093 /nfs/dbraw/zinc/71/80/93/1108718093.db2.gz YNPHVPWTCQRPHN-QZTJIDSGSA-N 0 1 313.445 3.158 20 30 DGEDMN CCC(C)(CC)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001265071575 1108719410 /nfs/dbraw/zinc/71/94/10/1108719410.db2.gz OXCNJKOYOXCWJN-UHFFFAOYSA-N 0 1 321.490 3.384 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)C(=O)C(C)(C)C)C1 ZINC001265208423 1108778284 /nfs/dbraw/zinc/77/82/84/1108778284.db2.gz TXWWLQBVNCTNKJ-HNNXBMFYSA-N 0 1 310.482 3.153 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001265209747 1108778367 /nfs/dbraw/zinc/77/83/67/1108778367.db2.gz CQOFJTCBRGUARW-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCCN(CCOCCCC)C2)CC1 ZINC001265225072 1108787450 /nfs/dbraw/zinc/78/74/50/1108787450.db2.gz QFOKRRSNCHBIOJ-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001265279356 1108802957 /nfs/dbraw/zinc/80/29/57/1108802957.db2.gz ROGZXWRIALQBFV-CVEARBPZSA-N 0 1 316.420 3.271 20 30 DGEDMN CC(C)(C)c1ccc(CN2CC[C@H](NC(=O)C#CC3CC3)C2)cc1 ZINC001265284614 1108806402 /nfs/dbraw/zinc/80/64/02/1108806402.db2.gz TXQBSXFZRGEZMO-IBGZPJMESA-N 0 1 324.468 3.088 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001265301297 1108813856 /nfs/dbraw/zinc/81/38/56/1108813856.db2.gz HYRGTJIXLFWVBQ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001265340571 1108834647 /nfs/dbraw/zinc/83/46/47/1108834647.db2.gz WRHFFSMUDROFIP-JENIJYKNSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C(C)(C)CC(C)C)C1CC1 ZINC001265376738 1108850719 /nfs/dbraw/zinc/85/07/19/1108850719.db2.gz UDELKOYIVUDNMX-AWEZNQCLSA-N 0 1 300.874 3.296 20 30 DGEDMN CC[C@@H](C)CCC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001265451341 1108876104 /nfs/dbraw/zinc/87/61/04/1108876104.db2.gz LIROCJVGQLANSP-MJGOQNOKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001265489770 1108887832 /nfs/dbraw/zinc/88/78/32/1108887832.db2.gz RXHZDEMUMHTEIT-JOCQHMNTSA-N 0 1 322.399 3.304 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)CC(C)(C)CC)C1 ZINC001265523018 1108898626 /nfs/dbraw/zinc/89/86/26/1108898626.db2.gz GKGOYZMOKOJLIC-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)CCCCC(C)C)C1 ZINC001265523552 1108899354 /nfs/dbraw/zinc/89/93/54/1108899354.db2.gz MQPIBWWHGXVPEY-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ncc(C)cc1C ZINC001265678253 1108961896 /nfs/dbraw/zinc/96/18/96/1108961896.db2.gz WWJZZOYIBLLBJB-HNNXBMFYSA-N 0 1 321.852 3.035 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CCCN(Cc2ccns2)C1 ZINC001265705683 1108976173 /nfs/dbraw/zinc/97/61/73/1108976173.db2.gz LZBSFXSOYUBDSW-KBPBESRZSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1cc(F)ccc1OC ZINC001265779814 1109002954 /nfs/dbraw/zinc/00/29/54/1109002954.db2.gz PZAGHRQXUCQJSF-CYBMUJFWSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001265792060 1109005533 /nfs/dbraw/zinc/00/55/33/1109005533.db2.gz GUDDNJZDWBNRCB-GFCCVEGCSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001265821652 1109015820 /nfs/dbraw/zinc/01/58/20/1109015820.db2.gz XQYAICOSZSJVKN-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001265843643 1109023271 /nfs/dbraw/zinc/02/32/71/1109023271.db2.gz KFJJQQNZKCVRAX-HZPDHXFCSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001265905039 1109037939 /nfs/dbraw/zinc/03/79/39/1109037939.db2.gz XPVLOEYSFRZOIK-MKMNVTDBSA-N 0 1 317.458 3.080 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc2[nH]ccc2c1 ZINC001266077828 1109081976 /nfs/dbraw/zinc/08/19/76/1109081976.db2.gz VGUNDIQFROSMEW-UHFFFAOYSA-N 0 1 319.836 3.362 20 30 DGEDMN CCN(CCCNC(=O)C(C)(C)CC)Cc1ccccc1C#N ZINC001266077364 1109082423 /nfs/dbraw/zinc/08/24/23/1109082423.db2.gz HCHIFZDHRZRYHY-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@@H]1CCCN1Cc1ncc(C)o1 ZINC001266220593 1109131014 /nfs/dbraw/zinc/13/10/14/1109131014.db2.gz XLOUMWAZCJMIMY-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001316600682 1109172683 /nfs/dbraw/zinc/17/26/83/1109172683.db2.gz AVGPUZVPEBFAPL-VQIMIIECSA-N 0 1 314.473 3.461 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001280164878 1109177994 /nfs/dbraw/zinc/17/79/94/1109177994.db2.gz TXRVOLFYHNDJLA-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@H](F)CC)cc1 ZINC001280354231 1109189399 /nfs/dbraw/zinc/18/93/99/1109189399.db2.gz LIPQXUKNKLCLTH-IUODEOHRSA-N 0 1 312.816 3.454 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@]3(C)CC=CCC3)cccc2C1 ZINC001280426945 1109201986 /nfs/dbraw/zinc/20/19/86/1109201986.db2.gz MCVGYRUGLWTYPO-NRFANRHFSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1cc(C)ns1 ZINC001280856697 1109263854 /nfs/dbraw/zinc/26/38/54/1109263854.db2.gz MYHKQALCPYIMFO-KRWDZBQOSA-N 0 1 323.506 3.334 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@H](CNC(=O)CC(C)(C)CC)O2 ZINC001280929560 1109275208 /nfs/dbraw/zinc/27/52/08/1109275208.db2.gz FPNAHQCMNDVASI-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(C/C=C/Cl)CC1(C)C ZINC001281546453 1109377476 /nfs/dbraw/zinc/37/74/76/1109377476.db2.gz JTZAUKXBLHESGL-KUCQQTCKSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001281663864 1109390536 /nfs/dbraw/zinc/39/05/36/1109390536.db2.gz GCVSVFKHFPPHCW-ICSRJNTNSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NC/C(Cl)=C\Cl)C1 ZINC001281747973 1109403316 /nfs/dbraw/zinc/40/33/16/1109403316.db2.gz HABYXYJMZIATCH-SERMCNLOSA-N 0 1 305.249 3.146 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@@H](C)CC ZINC001281775904 1109406422 /nfs/dbraw/zinc/40/64/22/1109406422.db2.gz FZIUOJCHZXKOCI-QFBILLFUSA-N 0 1 312.457 3.162 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1(C)CCCC1 ZINC001281777284 1109406752 /nfs/dbraw/zinc/40/67/52/1109406752.db2.gz UANGWDITNCJGCX-LJQANCHMSA-N 0 1 324.468 3.306 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)C1CC1 ZINC001281776683 1109407157 /nfs/dbraw/zinc/40/71/57/1109407157.db2.gz ONNJZEBRBBJUQC-KXBFYZLASA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1ccc(F)cc1 ZINC001281890016 1109413571 /nfs/dbraw/zinc/41/35/71/1109413571.db2.gz FLXIVTHCGDTZSQ-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(OCCC)c1 ZINC001282134308 1109455639 /nfs/dbraw/zinc/45/56/39/1109455639.db2.gz SMNVASISHJZWDZ-UONOGXRCSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(OCCC)c1 ZINC001282134307 1109456085 /nfs/dbraw/zinc/45/60/85/1109456085.db2.gz SMNVASISHJZWDZ-KGLIPLIRSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccnc2ccccc21 ZINC001282156667 1109458882 /nfs/dbraw/zinc/45/88/82/1109458882.db2.gz PJOHLUVIUAJHGY-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001282184833 1109464771 /nfs/dbraw/zinc/46/47/71/1109464771.db2.gz JXXCTVKCHBWCMG-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001282465092 1109517551 /nfs/dbraw/zinc/51/75/51/1109517551.db2.gz PGGGDIVAZQUWNL-SJORKVTESA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1coc2ccc(Cl)cc21 ZINC001282484504 1109522533 /nfs/dbraw/zinc/52/25/33/1109522533.db2.gz DMRLARMGIAALRD-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001282678190 1109550369 /nfs/dbraw/zinc/55/03/69/1109550369.db2.gz OYYIAISQGFZSAX-ROUUACIJSA-N 0 1 322.468 3.289 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001282736370 1109558963 /nfs/dbraw/zinc/55/89/63/1109558963.db2.gz BHGUHEMWEYOCHU-KMYSJOAASA-N 0 1 316.489 3.215 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](CCCCC)C(C)C)C1 ZINC001282740859 1109559925 /nfs/dbraw/zinc/55/99/25/1109559925.db2.gz NBLRCXGQRHCMND-SJLPKXTDSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001282741130 1109560350 /nfs/dbraw/zinc/56/03/50/1109560350.db2.gz QVFQDYPHCKZNJN-HZPDHXFCSA-N 0 1 300.446 3.111 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(Cc2cncc(C)c2)CC1(C)C ZINC001282809672 1109568233 /nfs/dbraw/zinc/56/82/33/1109568233.db2.gz GFISBTMVZRBPJQ-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCCCC(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@H]1C ZINC001283845878 1109688724 /nfs/dbraw/zinc/68/87/24/1109688724.db2.gz KSRRIDJSKBPFIH-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)[C@@H]1C ZINC001283881736 1109693278 /nfs/dbraw/zinc/69/32/78/1109693278.db2.gz OHVQEPJNECYTCH-XJKSGUPXSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cncc(C)c2)[C@H]1C ZINC001284130298 1109744461 /nfs/dbraw/zinc/74/44/61/1109744461.db2.gz KKHJUJNFUCLGCB-DOTOQJQBSA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc2ccccc2n1)C(C)C ZINC001284559364 1109812663 /nfs/dbraw/zinc/81/26/63/1109812663.db2.gz VGUCZTHAKNOTIC-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN N#Cc1cccc(NC(=O)c2ccc(NC3=NCCC3)cc2)c1 ZINC001290035489 1110232349 /nfs/dbraw/zinc/23/23/49/1110232349.db2.gz ZHCFJPDSWBKWRA-UHFFFAOYSA-N 0 1 304.353 3.415 20 30 DGEDMN CCCCCCCC[C@@H](C)CC(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001302936579 1111129489 /nfs/dbraw/zinc/12/94/89/1111129489.db2.gz IZXXISYXJFOBDP-HUUCEWRRSA-N 0 1 321.469 3.292 20 30 DGEDMN C#CCN(C(=O)CN1CCC(C)CC1)[C@H]1CCCc2ccccc21 ZINC001304065323 1111164506 /nfs/dbraw/zinc/16/45/06/1111164506.db2.gz AVCYOSHZWMWXHC-FQEVSTJZSA-N 0 1 324.468 3.258 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1CC ZINC001316736394 1111196126 /nfs/dbraw/zinc/19/61/26/1111196126.db2.gz HGIIJNKYZHIUQC-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN CN(C)c1ccc(CN(Cc2cc(C#N)ccn2)C2CC2)cc1 ZINC001307424086 1111298286 /nfs/dbraw/zinc/29/82/86/1111298286.db2.gz LXGXCAADQSHENI-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2cc(Cl)cc(C(C)=O)c2[O-])C1 ZINC001307872328 1111349354 /nfs/dbraw/zinc/34/93/54/1111349354.db2.gz MZHMGBIXTYFLLS-CYBMUJFWSA-N 0 1 305.805 3.484 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1C[C@H]2CCCC[C@H]21 ZINC001307901170 1111353342 /nfs/dbraw/zinc/35/33/42/1111353342.db2.gz NXFQEJWVWXICHN-RWBIUQBRSA-N 0 1 317.477 3.180 20 30 DGEDMN Fc1ccc(C#CCN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)cc1 ZINC001307966666 1111361200 /nfs/dbraw/zinc/36/12/00/1111361200.db2.gz CNKYWVXWHWQCOO-SFTDATJTSA-N 0 1 320.411 3.136 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1ccc(OC)cc1OC ZINC001308137109 1111383043 /nfs/dbraw/zinc/38/30/43/1111383043.db2.gz OTKPNWCREFDBEE-AWEZNQCLSA-N 0 1 318.417 3.073 20 30 DGEDMN Cn1c2ccccc2nc1CNCc1ccc(C2(C#N)CC2)cc1 ZINC001308247479 1111404301 /nfs/dbraw/zinc/40/43/01/1111404301.db2.gz ZJVCHCRPTQCPNR-UHFFFAOYSA-N 0 1 316.408 3.418 20 30 DGEDMN Cc1cc(C[NH2+]CCc2c(F)cc([O-])cc2F)ccc1C#N ZINC001308533734 1111439522 /nfs/dbraw/zinc/43/95/22/1111439522.db2.gz ZARDOZJXJJWBKV-UHFFFAOYSA-N 0 1 302.324 3.183 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@H]3CCc4ccccc4C3)C2)cc1 ZINC001308809507 1111477801 /nfs/dbraw/zinc/47/78/01/1111477801.db2.gz LQOOPMMRLKCRDT-KRWDZBQOSA-N 0 1 318.420 3.426 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@@H]1c2sccc2OC(C)(C)[C@H]1O ZINC001308812226 1111478735 /nfs/dbraw/zinc/47/87/35/1111478735.db2.gz GTTFENCWJMRIAW-SUHUHFCYSA-N 0 1 308.447 3.239 20 30 DGEDMN CC(C)(C)OC(=O)C1(CNCc2cccc(F)c2C#N)CCC1 ZINC001308881901 1111488416 /nfs/dbraw/zinc/48/84/16/1111488416.db2.gz LPEPXKKSNIFCHQ-UHFFFAOYSA-N 0 1 318.392 3.299 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)Nc1cc(CN(C)C)ccn1 ZINC001311076761 1111582607 /nfs/dbraw/zinc/58/26/07/1111582607.db2.gz CUCPKLMLYRYCQH-CVEARBPZSA-N 0 1 316.449 3.400 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC001312763981 1111671519 /nfs/dbraw/zinc/67/15/19/1111671519.db2.gz GCXNRWWUULBCDS-UHFFFAOYSA-N 0 1 315.461 3.115 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C1C=CC=CC=C1 ZINC001316699973 1111720178 /nfs/dbraw/zinc/72/01/78/1111720178.db2.gz VDTMJUTWDULOSU-PBHICJAKSA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(CF)cc1)C1CC1 ZINC001317031796 1111739224 /nfs/dbraw/zinc/73/92/24/1111739224.db2.gz MBVOBIJCFLXZAK-HNNXBMFYSA-N 0 1 310.800 3.007 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cccc(Cl)c2)[C@@H]1C ZINC001316777871 1111763605 /nfs/dbraw/zinc/76/36/05/1111763605.db2.gz XWYMDBJTZFBCAJ-CJNGLKHVSA-N 0 1 306.837 3.385 20 30 DGEDMN N#Cc1ccc(CNc2ccc(CCN3CCOCC3)cc2)cc1 ZINC000129201741 432002833 /nfs/dbraw/zinc/00/28/33/432002833.db2.gz WHORBIGKCIJTII-UHFFFAOYSA-N 0 1 321.424 3.045 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCC[C@H](C)C2)c1 ZINC000078823537 185115875 /nfs/dbraw/zinc/11/58/75/185115875.db2.gz KTUPUWIHHIPGHI-HNNXBMFYSA-N 0 1 313.445 3.282 20 30 DGEDMN Cc1cccc(CN(C)[C@H](C)C(=O)Nc2cccc(C#N)c2)c1 ZINC000321422169 231200979 /nfs/dbraw/zinc/20/09/79/231200979.db2.gz CNZTXAYBWNULNI-OAHLLOKOSA-N 0 1 307.397 3.326 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cccc4cnccc43)no2)CC1 ZINC000278206351 214023372 /nfs/dbraw/zinc/02/33/72/214023372.db2.gz VXGDYGOVMPKKBE-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN CCc1nc(CN2CCN(CCCC(C)(C)C#N)CC2)cs1 ZINC000174833329 529736591 /nfs/dbraw/zinc/73/65/91/529736591.db2.gz PYJQOUPYFUIFIC-UHFFFAOYSA-N 0 1 320.506 3.153 20 30 DGEDMN CN(CCCc1cc(-c2cccc(F)c2)n[nH]1)CC1(C#N)CC1 ZINC000343318978 529896598 /nfs/dbraw/zinc/89/65/98/529896598.db2.gz HQINPPJDSBAYNZ-UHFFFAOYSA-N 0 1 312.392 3.384 20 30 DGEDMN CN(Cc1ccc(CC#N)cc1)Cc1ccc([S@@](C)=O)cc1 ZINC000343577580 529984607 /nfs/dbraw/zinc/98/46/07/529984607.db2.gz MWJKCDVYJYDLSL-JOCHJYFZSA-N 0 1 312.438 3.122 20 30 DGEDMN C=C(C)C[NH+]1CCC(NC(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000106291074 432020346 /nfs/dbraw/zinc/02/03/46/432020346.db2.gz YWNNQZJVAIVPHH-UHFFFAOYSA-N 0 1 324.424 3.316 20 30 DGEDMN COc1cc2c(c(CNCc3cccc(C#N)c3)c1)O[C@H](C)C2 ZINC000237477042 186295027 /nfs/dbraw/zinc/29/50/27/186295027.db2.gz ZOTWYSDAVUAFEG-CYBMUJFWSA-N 0 1 308.381 3.180 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1cccc(C#N)c1 ZINC000287192727 219371716 /nfs/dbraw/zinc/37/17/16/219371716.db2.gz DCKUDYKMKDKAHU-BBRMVZONSA-N 0 1 315.417 3.046 20 30 DGEDMN C#CCCN1CCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000279831011 186499677 /nfs/dbraw/zinc/49/96/77/186499677.db2.gz ZMPLUVPDPUTIAY-UHFFFAOYSA-N 0 1 311.256 3.177 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(Br)cc1C ZINC000172619366 198125757 /nfs/dbraw/zinc/12/57/57/198125757.db2.gz DHEPHUHYVLQJCO-UHFFFAOYSA-N 0 1 311.223 3.204 20 30 DGEDMN C=CCN1CCC(Nc2nc(-c3ccccc3)ns2)CC1 ZINC000172757683 198146136 /nfs/dbraw/zinc/14/61/36/198146136.db2.gz MYNAEEFOSJJAJX-UHFFFAOYSA-N 0 1 300.431 3.267 20 30 DGEDMN C[C@H](NCc1cccnc1OCC(F)F)c1cccc(C#N)c1 ZINC000182093894 199385326 /nfs/dbraw/zinc/38/53/26/199385326.db2.gz IPXRYJCGCVPXPB-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000174358452 198324157 /nfs/dbraw/zinc/32/41/57/198324157.db2.gz SDCSXMVWGMKUNB-KDOFPFPSSA-N 0 1 305.381 3.014 20 30 DGEDMN N#Cc1ccccc1-c1ccc(CN2CCCC[C@@H]2C(N)=O)cc1 ZINC000073449123 191318691 /nfs/dbraw/zinc/31/86/91/191318691.db2.gz YGXQHCAYWYLEOL-LJQANCHMSA-N 0 1 319.408 3.065 20 30 DGEDMN N#Cc1cccc(CNCc2cncc(Br)c2)c1 ZINC000166875098 432062506 /nfs/dbraw/zinc/06/25/06/432062506.db2.gz MZYKOVRSDLBCRH-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN CC(C)(NCC(=O)Nc1sccc1C#N)c1cccc(F)c1 ZINC000179574962 199057678 /nfs/dbraw/zinc/05/76/78/199057678.db2.gz SNTZHJPAGRPUAP-UHFFFAOYSA-N 0 1 317.389 3.222 20 30 DGEDMN CC[C@@H](O)CN(Cc1ccccc1)Cc1cc(C#N)ccc1OC ZINC000134507091 432078347 /nfs/dbraw/zinc/07/83/47/432078347.db2.gz CLPGEDYXNDMZDG-LJQANCHMSA-N 0 1 324.424 3.340 20 30 DGEDMN CS[C@H]1CCCCN([C@H](C)C(=O)Nc2ccccc2C#N)C1 ZINC000134555053 432079747 /nfs/dbraw/zinc/07/97/47/432079747.db2.gz DECLABMQBAOJNE-HIFRSBDPSA-N 0 1 317.458 3.103 20 30 DGEDMN CN(C)[C@H](CNc1cccnc1C#N)c1ccccc1Cl ZINC000427987173 238006682 /nfs/dbraw/zinc/00/66/82/238006682.db2.gz APTIIBCLCUTVFS-MRXNPFEDSA-N 0 1 300.793 3.321 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CC[N@H+](Cc3cccc(Cl)c3)C2)nc1 ZINC000428807334 238031610 /nfs/dbraw/zinc/03/16/10/238031610.db2.gz LKJCYVFKUNQVGH-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3cccc(Cl)c3)C2)nc1 ZINC000428807334 238031611 /nfs/dbraw/zinc/03/16/11/238031611.db2.gz LKJCYVFKUNQVGH-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN CC(C)c1nc([C@H](C)N[C@H](C)c2ccc(C#N)c(F)c2)n[nH]1 ZINC000271983631 209359516 /nfs/dbraw/zinc/35/95/16/209359516.db2.gz XOFODHQOMCBXBO-MNOVXSKESA-N 0 1 301.369 3.351 20 30 DGEDMN CC(=Cc1cccc(OC(C)C)c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000193615263 201087908 /nfs/dbraw/zinc/08/79/08/201087908.db2.gz DOLVVYPGJZPNTO-XYOKQWHBSA-N 0 1 309.369 3.298 20 30 DGEDMN CCN(C(=O)c1ccc2[nH]nnc2c1)[C@@H](C)c1ccc(C#N)cc1 ZINC000194397986 201218703 /nfs/dbraw/zinc/21/87/03/201218703.db2.gz LQWAZYGAIZKAOH-LBPRGKRZSA-N 0 1 319.368 3.053 20 30 DGEDMN Cc1nc(-c2ccc(C(=O)C(C#N)c3ccncn3)cc2)cs1 ZINC000442548362 239095317 /nfs/dbraw/zinc/09/53/17/239095317.db2.gz XXGCSAWYTLJOAY-AWEZNQCLSA-N 0 1 320.377 3.399 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2nccn2CCCc2ccccc2)C1 ZINC000442781743 239123797 /nfs/dbraw/zinc/12/37/97/239123797.db2.gz OVCYAOGIESJVPK-ROUUACIJSA-N 0 1 308.429 3.298 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)CCC1 ZINC000189893821 432103108 /nfs/dbraw/zinc/10/31/08/432103108.db2.gz MYVUPQSRLDGYJJ-CQSZACIVSA-N 0 1 314.433 3.135 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(F)c(C#N)c2)c(Cl)c1 ZINC000076839237 283843102 /nfs/dbraw/zinc/84/31/02/283843102.db2.gz IKUCBLBJDLIWNH-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(C#N)ccc2Br)C[C@@H]1C ZINC000451569902 241176895 /nfs/dbraw/zinc/17/68/95/241176895.db2.gz OXTUCTWBLTUIGF-XHDPSFHLSA-N 0 1 323.234 3.178 20 30 DGEDMN C=CCN1CC[C@H](N2CCC[C@@H]2c2ccc(F)cc2C)C1=O ZINC000451988920 241293682 /nfs/dbraw/zinc/29/36/82/241293682.db2.gz KRUMUKGDDHPPCO-SJORKVTESA-N 0 1 302.393 3.058 20 30 DGEDMN N#Cc1ccc([C@H](O)CN(Cc2ccccc2F)C2CC2)cc1 ZINC000268605406 432110671 /nfs/dbraw/zinc/11/06/71/432110671.db2.gz JJHNRUIUXVGDHM-LJQANCHMSA-N 0 1 310.372 3.395 20 30 DGEDMN CCc1ccccc1NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271767856 209196998 /nfs/dbraw/zinc/19/69/98/209196998.db2.gz JGWTWANEJZYIID-UHFFFAOYSA-N 0 1 319.408 3.338 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)c[nH]1 ZINC000181235516 432117685 /nfs/dbraw/zinc/11/76/85/432117685.db2.gz WYQRPHKYJVFTMB-UHFFFAOYSA-N 0 1 305.341 3.282 20 30 DGEDMN C=CCN(CCn1cc(Cl)cn1)Cc1ccc(OC)cc1 ZINC000459312912 243330748 /nfs/dbraw/zinc/33/07/48/243330748.db2.gz WYCXHYSGOYXTGJ-UHFFFAOYSA-N 0 1 305.809 3.233 20 30 DGEDMN CC(C)CCC1CCN(CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000470022792 244141947 /nfs/dbraw/zinc/14/19/47/244141947.db2.gz RGGLRGNISXPDCQ-SFHVURJKSA-N 0 1 307.482 3.189 20 30 DGEDMN CCCN(CC(=O)NC)Cc1ccc(-c2ccccc2C#N)cc1 ZINC000118832389 284129751 /nfs/dbraw/zinc/12/97/51/284129751.db2.gz CKSWMEIEAJSJLQ-UHFFFAOYSA-N 0 1 321.424 3.183 20 30 DGEDMN Cc1cc(CN2CCC(Oc3ccccn3)CC2)ccc1C#N ZINC000488220443 245162649 /nfs/dbraw/zinc/16/26/49/245162649.db2.gz KKJPWZAAVLSYFO-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C[N@@H+](CCc1ccc(Cl)cc1)C[C@@H](O)c1ccc(C#N)cc1 ZINC000268709271 432123495 /nfs/dbraw/zinc/12/34/95/432123495.db2.gz SWRWOIDTRLLSET-GOSISDBHSA-N 0 1 314.816 3.420 20 30 DGEDMN C#CCCN[C@H](C(=O)Nc1cc(C)ccc1OC)c1ccccc1 ZINC000264931928 204281502 /nfs/dbraw/zinc/28/15/02/204281502.db2.gz AYWNIYMGBRMCJP-IBGZPJMESA-N 0 1 322.408 3.296 20 30 DGEDMN COCc1ccc(CN2CCN(c3ccccc3C#N)CC2)cc1 ZINC000126926491 284321829 /nfs/dbraw/zinc/32/18/29/284321829.db2.gz SMTUKSDDENCYCW-UHFFFAOYSA-N 0 1 321.424 3.027 20 30 DGEDMN COc1ccc(C#N)cc1C[N@@H+](C)CCOc1ccccc1F ZINC000127021496 284324667 /nfs/dbraw/zinc/32/46/67/284324667.db2.gz SCNYEXHIACYTNI-UHFFFAOYSA-N 0 1 314.360 3.217 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCOc1ccccc1F ZINC000127021496 284324670 /nfs/dbraw/zinc/32/46/70/284324670.db2.gz SCNYEXHIACYTNI-UHFFFAOYSA-N 0 1 314.360 3.217 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C)c1ccc(C#N)cc1 ZINC000271690792 209129626 /nfs/dbraw/zinc/12/96/26/209129626.db2.gz RGZIJLCXCQTMIO-ZXFNITATSA-N 0 1 313.445 3.150 20 30 DGEDMN C#CC[C@H](NCC(=O)N([C@@H](C)C1CC1)C1CC1)c1ccccc1 ZINC000271691001 209129444 /nfs/dbraw/zinc/12/94/44/209129444.db2.gz KHKLFTQJEAQUCI-KXBFYZLASA-N 0 1 310.441 3.130 20 30 DGEDMN CC[C@@H](NCc1ccc(C#N)o1)[C@@H](O)c1c(F)cccc1F ZINC000266312431 205257033 /nfs/dbraw/zinc/25/70/33/205257033.db2.gz PZQIKZLOBBZGMQ-GDBMZVCRSA-N 0 1 306.312 3.031 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccc(C#N)c(Cl)c2)c(C)n1 ZINC000128679746 284383571 /nfs/dbraw/zinc/38/35/71/284383571.db2.gz IPTLGTDVTLVILB-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(C#N)s2)c(OC)c1 ZINC000135679332 432132977 /nfs/dbraw/zinc/13/29/77/432132977.db2.gz ARSHWIGJIYPKJL-LLVKDONJSA-N 0 1 302.399 3.488 20 30 DGEDMN CCN(CCC#N)CC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000495529967 246277595 /nfs/dbraw/zinc/27/75/95/246277595.db2.gz TWTXKHLLTKWZNW-OAHLLOKOSA-N 0 1 309.454 3.259 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccc(F)cc2C)c1C#N ZINC000137209276 284516402 /nfs/dbraw/zinc/51/64/02/284516402.db2.gz ARIIYEJCDARMJI-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000190269776 432138432 /nfs/dbraw/zinc/13/84/32/432138432.db2.gz CMTZMPJIZOUEPW-KXBFYZLASA-N 0 1 300.446 3.152 20 30 DGEDMN N#Cc1ccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000269175909 207295887 /nfs/dbraw/zinc/29/58/87/207295887.db2.gz YLAKCYWQSCPWSW-CYBMUJFWSA-N 0 1 315.764 3.134 20 30 DGEDMN C[C@H]1CCCN(CC(=O)Nc2cc(Cl)ccc2C#N)CC1 ZINC000119693913 195019602 /nfs/dbraw/zinc/01/96/02/195019602.db2.gz URVKMFVXMILWLY-LBPRGKRZSA-N 0 1 305.809 3.272 20 30 DGEDMN C#CC[C@H](NCc1cc2c(c(OC)c1)OCO2)c1ccccc1 ZINC000270185693 208248840 /nfs/dbraw/zinc/24/88/40/208248840.db2.gz KDQVGCDZUQWHSQ-INIZCTEOSA-N 0 1 309.365 3.278 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000337765015 253171495 /nfs/dbraw/zinc/17/14/95/253171495.db2.gz RTPRJDDONYWSMH-KRWDZBQOSA-N 0 1 323.396 3.027 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)N[C@@H]1CCN(C)[C@H]1c1ccccc1 ZINC000338122006 253227014 /nfs/dbraw/zinc/22/70/14/253227014.db2.gz XKNYYKIXOZZPID-MOPGFXCFSA-N 0 1 319.408 3.042 20 30 DGEDMN C[C@H](NCC(=O)N(C)CC1CCCCC1)c1ccc(C#N)cc1 ZINC000338349665 253271058 /nfs/dbraw/zinc/27/10/58/253271058.db2.gz PHBZZWLOXSVXGF-HNNXBMFYSA-N 0 1 313.445 3.248 20 30 DGEDMN CN(CCc1cccc([N+](=O)[O-])c1)Cc1cccc(C#N)c1F ZINC000338357341 253271622 /nfs/dbraw/zinc/27/16/22/253271622.db2.gz ZZVNXRUUVRNYTA-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)c1ccc(C#N)cc1 ZINC000338363226 253273937 /nfs/dbraw/zinc/27/39/37/253273937.db2.gz QYCZCWUTGFICBX-OLZOCXBDSA-N 0 1 318.380 3.357 20 30 DGEDMN CN(C)c1cc(C#N)cc(C(=O)Nc2cccc(Cl)c2O)c1 ZINC000343918554 254202867 /nfs/dbraw/zinc/20/28/67/254202867.db2.gz PCMDJSWGFODYKN-UHFFFAOYSA-N 0 1 315.760 3.236 20 30 DGEDMN CCCc1ccc(CN2CCN(c3ccc(C#N)cn3)CC2)cc1 ZINC000345618654 254380279 /nfs/dbraw/zinc/38/02/79/254380279.db2.gz LSBXSHQWOFWTKG-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN CN(CCOc1ccccc1C#N)Cc1ccc(F)c(F)c1 ZINC000345209222 254341264 /nfs/dbraw/zinc/34/12/64/254341264.db2.gz LAXKAFGBRQNTMW-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN O[C@@H]1CC[C@@H]2CN(CC#Cc3ccccc3Cl)CC[C@H]2C1 ZINC000451699232 285324548 /nfs/dbraw/zinc/32/45/48/285324548.db2.gz KVACCSDXORZSKL-GVDBMIGSSA-N 0 1 303.833 3.174 20 30 DGEDMN COc1ccc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000345395701 533355334 /nfs/dbraw/zinc/35/53/34/533355334.db2.gz FZLPPTBFRUEJAA-AWEZNQCLSA-N 0 1 305.337 3.370 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@H](C)N1CCC(CCC#N)CC1 ZINC000584778360 400102024 /nfs/dbraw/zinc/10/20/24/400102024.db2.gz WLWSDKIDXFAXDE-INIZCTEOSA-N 0 1 313.445 3.016 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(Cc2ccccc2)CC1 ZINC000303697567 400111484 /nfs/dbraw/zinc/11/14/84/400111484.db2.gz SDVDWYZFTJIKDL-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN Cc1ccc(CN(CC(=O)N[C@@](C)(C#N)C(C)C)C(C)C)s1 ZINC000067461416 400171573 /nfs/dbraw/zinc/17/15/73/400171573.db2.gz DMPUAGVOBZHFGD-KRWDZBQOSA-N 0 1 321.490 3.321 20 30 DGEDMN Cc1cc(=O)[nH]c(C(C)(C)NCCC2(C#N)CCCCC2)n1 ZINC000595525774 400177994 /nfs/dbraw/zinc/17/79/94/400177994.db2.gz HRZDYEJAULZPKX-UHFFFAOYSA-N 0 1 302.422 3.180 20 30 DGEDMN C[C@@H](CN(C)CC(=O)Nc1sccc1C#N)c1ccccc1 ZINC000066853989 400126492 /nfs/dbraw/zinc/12/64/92/400126492.db2.gz QWHPIADRQSCJHT-ZDUSSCGKSA-N 0 1 313.426 3.294 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000066916131 400129062 /nfs/dbraw/zinc/12/90/62/400129062.db2.gz HATFWOGUUQSUEV-NSHDSACASA-N 0 1 316.361 3.050 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc2[nH]c(=O)oc2c1 ZINC000586789894 400157812 /nfs/dbraw/zinc/15/78/12/400157812.db2.gz MYJROCINIVCECL-SNVBAGLBSA-N 0 1 311.316 3.395 20 30 DGEDMN COc1ccc2c(c1)OCCN(CCc1ccc(C#N)cc1)C2 ZINC000595475930 400162666 /nfs/dbraw/zinc/16/26/66/400162666.db2.gz KKFZTMQYCMLORW-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN N#Cc1ccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)cc1 ZINC000609489352 400170612 /nfs/dbraw/zinc/17/06/12/400170612.db2.gz GNDVXKIERVKVDA-UHFFFAOYSA-N 0 1 310.744 3.018 20 30 DGEDMN Cc1ccc(CN(CC(=O)N[C@](C)(C#N)C(C)C)C(C)C)s1 ZINC000067461419 400170852 /nfs/dbraw/zinc/17/08/52/400170852.db2.gz DMPUAGVOBZHFGD-QGZVFWFLSA-N 0 1 321.490 3.321 20 30 DGEDMN COC[C@@H](NCc1ccc(C#N)cc1C)c1cccc(OC)c1 ZINC000595682546 400225527 /nfs/dbraw/zinc/22/55/27/400225527.db2.gz BBZYGYCHQJVZRQ-LJQANCHMSA-N 0 1 310.397 3.353 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2ccc(C#N)c(C#N)c2)o1 ZINC000610231503 400225971 /nfs/dbraw/zinc/22/59/71/400225971.db2.gz KGOKEYXVEYTVQF-UHFFFAOYSA-N 0 1 322.368 3.117 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@@H]2Cc2ccccc2)c1 ZINC000025439278 400236842 /nfs/dbraw/zinc/23/68/42/400236842.db2.gz FEIVTFNQIQASSJ-LJQANCHMSA-N 0 1 319.408 3.204 20 30 DGEDMN N#CCCOCCN1CCc2nc(-c3ccccc3)sc2C1 ZINC000595712073 400238946 /nfs/dbraw/zinc/23/89/46/400238946.db2.gz LTHMEVJUORVMEF-UHFFFAOYSA-N 0 1 313.426 3.098 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1[C@@H](C)CCC[C@@H]1C)c1cccc(C#N)c1 ZINC000610343248 400244320 /nfs/dbraw/zinc/24/43/20/400244320.db2.gz CDLSAVUZWROGID-JONQDZQNSA-N 0 1 313.445 3.387 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1cccc(C#N)c1 ZINC000610346210 400245657 /nfs/dbraw/zinc/24/56/57/400245657.db2.gz OKGSTZNTLQPOAA-OLZOCXBDSA-N 0 1 311.360 3.375 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)Nc1ccccc1C#N ZINC000610346445 400245902 /nfs/dbraw/zinc/24/59/02/400245902.db2.gz UTSZXNVPLPJLEV-ZIAGYGMSSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N(C)Cc1ccccc1 ZINC000610345926 400245937 /nfs/dbraw/zinc/24/59/37/400245937.db2.gz CWFNUVAFYDFLNW-HOTGVXAUSA-N 0 1 321.424 3.256 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CC[C@H](c4ccco4)C3)o2)c1 ZINC000610369558 400251825 /nfs/dbraw/zinc/25/18/25/400251825.db2.gz VRCODPRWJGZKRL-HNNXBMFYSA-N 0 1 320.352 3.191 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1C[C@@H]2CCCC[C@@H]21 ZINC000595566310 400190984 /nfs/dbraw/zinc/19/09/84/400190984.db2.gz NXFQEJWVWXICHN-ASLPWWTFSA-N 0 1 317.477 3.180 20 30 DGEDMN CCC(C)(C)CCN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000610389890 400254054 /nfs/dbraw/zinc/25/40/54/400254054.db2.gz NRWIHUZSSMPIRN-UHFFFAOYSA-N 0 1 316.449 3.372 20 30 DGEDMN CCN(CCCC1(C#N)CCOCC1)[C@H](C)C(=O)OC(C)(C)C ZINC000595606069 400201562 /nfs/dbraw/zinc/20/15/62/400201562.db2.gz NCLUXDRWVNHJAG-OAHLLOKOSA-N 0 1 324.465 3.139 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CCC[C@@H]1c1cccs1 ZINC000023220116 400207525 /nfs/dbraw/zinc/20/75/25/400207525.db2.gz CEIUESVUHMVFRI-CPUCHLNUSA-N 0 1 319.474 3.328 20 30 DGEDMN CC(C)C[C@@H](C)N(C)C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595635523 400213620 /nfs/dbraw/zinc/21/36/20/400213620.db2.gz LRDKXWBMSUZFFT-OAHLLOKOSA-N 0 1 315.461 3.276 20 30 DGEDMN Cc1ccc(CNC(=O)CNC(C)(C)c2cccc(C#N)c2)cc1 ZINC000595636609 400214361 /nfs/dbraw/zinc/21/43/61/400214361.db2.gz SMUVSXDWHQVFGL-UHFFFAOYSA-N 0 1 321.424 3.008 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2ccccc2C)n1 ZINC000067895401 400214458 /nfs/dbraw/zinc/21/44/58/400214458.db2.gz UOHUEPXJTTWWLD-GFCCVEGCSA-N 0 1 314.366 3.118 20 30 DGEDMN O=C(Cc1cccc(Cl)c1)Nc1nc(-c2ccccn2)n[nH]1 ZINC000067910499 400216634 /nfs/dbraw/zinc/21/66/34/400216634.db2.gz DMMJYGNMBFPTRY-UHFFFAOYSA-N 0 1 313.748 3.351 20 30 DGEDMN Cc1cc(C2CCN(C(=O)Nc3ccc(C#N)cc3)CC2)n[nH]1 ZINC000601224525 400267177 /nfs/dbraw/zinc/26/71/77/400267177.db2.gz GNLGHAFKSQVRFQ-UHFFFAOYSA-N 0 1 309.373 3.001 20 30 DGEDMN CN(CCc1nc(-c2ccccc2)no1)Cc1ccc(C#N)s1 ZINC000589187846 400271423 /nfs/dbraw/zinc/27/14/23/400271423.db2.gz ZRVZCEXKEICKOQ-UHFFFAOYSA-N 0 1 324.409 3.344 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000595845640 400281008 /nfs/dbraw/zinc/28/10/08/400281008.db2.gz RUVQTZIJLAWEID-IYBDPMFKSA-N 0 1 306.369 3.291 20 30 DGEDMN CC(C)(C)OCCCN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000610681998 400291004 /nfs/dbraw/zinc/29/10/04/400291004.db2.gz LLHAVTCFEUJJGC-GOSISDBHSA-N 0 1 315.461 3.074 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)c(Cl)c2)c(C(F)F)n1 ZINC000589736172 400324158 /nfs/dbraw/zinc/32/41/58/400324158.db2.gz IEGZHJVTMSBSQA-UHFFFAOYSA-N 0 1 310.735 3.173 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2ccc(C#N)c([N+](=O)[O-])c2)C1 ZINC000589585061 400305777 /nfs/dbraw/zinc/30/57/77/400305777.db2.gz KXZRNJPGYLGEAH-INIZCTEOSA-N 0 1 308.341 3.065 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCc2ccccc2[C@@H]1C(C)C ZINC000610788122 400305968 /nfs/dbraw/zinc/30/59/68/400305968.db2.gz XSFXHBSAASLIOU-IBGZPJMESA-N 0 1 313.445 3.004 20 30 DGEDMN CN(C)[C@H](CNc1ccc(C#N)cc1[N+](=O)[O-])c1ccsc1 ZINC000026720287 400260926 /nfs/dbraw/zinc/26/09/26/400260926.db2.gz LUXHMZLVPJJREJ-OAHLLOKOSA-N 0 1 316.386 3.243 20 30 DGEDMN Cc1[nH]n(Cc2ccc(Br)cc2)c(=O)c1CCC#N ZINC000601944921 400382798 /nfs/dbraw/zinc/38/27/98/400382798.db2.gz QSTUBMSSEOVEJM-CYBMUJFWSA-N 0 1 320.190 3.087 20 30 DGEDMN C[C@@H]1CCc2[nH]n(-c3cc(C#N)ccc3Br)c(=O)c21 ZINC000601948586 400385256 /nfs/dbraw/zinc/38/52/56/400385256.db2.gz PRLBTYFMEJJSPO-AMIZOPFISA-N 0 1 318.174 3.069 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2cccc(C#N)c2F)C1 ZINC000611374477 400386140 /nfs/dbraw/zinc/38/61/40/400386140.db2.gz QZPPAVBRRKPATH-HNNXBMFYSA-N 0 1 318.392 3.109 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1C)c1cccc(C#N)c1 ZINC000611386010 400388871 /nfs/dbraw/zinc/38/88/71/400388871.db2.gz AILPKWACJCYTRS-ZXFNITATSA-N 0 1 313.445 3.292 20 30 DGEDMN CCc1oc(C(=O)OC)cc1CN[C@@H](C)c1cccc(C#N)c1 ZINC000611388603 400389703 /nfs/dbraw/zinc/38/97/03/400389703.db2.gz KROSZQYFEAYHIX-LBPRGKRZSA-N 0 1 312.369 3.351 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@H](C)c1ccc(Cl)cc1 ZINC000069876113 400346989 /nfs/dbraw/zinc/34/69/89/400346989.db2.gz IFURSZBEVKACCI-MLGOLLRUSA-N 0 1 307.825 3.045 20 30 DGEDMN N#CCc1ccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)cc1 ZINC000611149898 400351771 /nfs/dbraw/zinc/35/17/71/400351771.db2.gz TUKLRYXKILIOCU-UHFFFAOYSA-N 0 1 324.771 3.212 20 30 DGEDMN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCN(CCC#N)CC1 ZINC000611173836 400354177 /nfs/dbraw/zinc/35/41/77/400354177.db2.gz NDPQPMPCXLGHHZ-ZDUSSCGKSA-N 0 1 311.351 3.298 20 30 DGEDMN N#CCCN1CCN(CCCOc2ccc3ccccc3c2)CC1 ZINC000611175583 400355371 /nfs/dbraw/zinc/35/53/71/400355371.db2.gz IOQYRHZKLYZBNY-UHFFFAOYSA-N 0 1 323.440 3.140 20 30 DGEDMN C[C@H](C/N=C/c1cccc(O)c1O)N1CCc2sccc2C1 ZINC000031854472 400355481 /nfs/dbraw/zinc/35/54/81/400355481.db2.gz VKAUDOUZYBPVRG-SGEFVQMOSA-N 0 1 316.426 3.025 20 30 DGEDMN CN(C)c1cccc(CN[C@H]2CCCc3cc(C#N)ccc32)n1 ZINC000611394586 400392861 /nfs/dbraw/zinc/39/28/61/400392861.db2.gz RNHYOVJSINQXLB-SFHVURJKSA-N 0 1 306.413 3.186 20 30 DGEDMN CN1CCN(c2ncc(C#N)cc2Cl)CC12CCCCC2 ZINC000596251409 400361230 /nfs/dbraw/zinc/36/12/30/400361230.db2.gz SIGWRTZDGPUHHO-UHFFFAOYSA-N 0 1 304.825 3.061 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@H](c2ccnc(C)n2)C1 ZINC000611235543 400362828 /nfs/dbraw/zinc/36/28/28/400362828.db2.gz LCONPCDVGVCALT-INIZCTEOSA-N 0 1 322.412 3.045 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C2)C1 ZINC000590160811 400364201 /nfs/dbraw/zinc/36/42/01/400364201.db2.gz JZQHMWXODHGMFG-RGOKHQFPSA-N 0 1 300.246 3.351 20 30 DGEDMN N#Cc1ccc([C@H](C(N)=O)N2CCCC3(CCCCC3)C2)cc1 ZINC000115544421 400399371 /nfs/dbraw/zinc/39/93/71/400399371.db2.gz ORXSBBTUPYJNLV-QGZVFWFLSA-N 0 1 311.429 3.131 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2ccc(C)cc2)[nH]n1)[C@H](C)COC ZINC000617576082 400415461 /nfs/dbraw/zinc/41/54/61/400415461.db2.gz LLVVCNLUGDJRTD-CQSZACIVSA-N 0 1 313.401 3.048 20 30 DGEDMN CN(CC(=O)Nc1cccc(OCCCC#N)c1)CC(C)(C)C ZINC000602075989 400409342 /nfs/dbraw/zinc/40/93/42/400409342.db2.gz QZLLAYPJSITBAZ-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN N#CCN(C(=O)[C@H]1CC[N@@H+](Cc2ccccc2)C1)c1ccccc1 ZINC000602251743 400430371 /nfs/dbraw/zinc/43/03/71/400430371.db2.gz MGGIHBIBLJFDRA-SFHVURJKSA-N 0 1 319.408 3.065 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N[C@@H](c1ccc(OC)cc1)C1CC1 ZINC000042289566 400427876 /nfs/dbraw/zinc/42/78/76/400427876.db2.gz ANOSFXPNLBLGJN-LJQANCHMSA-N 0 1 316.445 3.161 20 30 DGEDMN CCN(CC(=O)N1CCCCCC1)[C@@H](C)c1ccc(C#N)cc1 ZINC000042741501 400440644 /nfs/dbraw/zinc/44/06/44/400440644.db2.gz QMPVWCZDOCRIKX-INIZCTEOSA-N 0 1 313.445 3.344 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)Nc1cccc(F)c1 ZINC000127520519 400503877 /nfs/dbraw/zinc/50/38/77/400503877.db2.gz UJXZLQOVUDORCE-QWHCGFSZSA-N 0 1 311.360 3.375 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000127575290 400504367 /nfs/dbraw/zinc/50/43/67/400504367.db2.gz NHYXXCZQLAKPFY-JKSUJKDBSA-N 0 1 321.424 3.222 20 30 DGEDMN C=CCNC(=O)CN(CC)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000123304208 400467524 /nfs/dbraw/zinc/46/75/24/400467524.db2.gz FORUXJWDXRZJDJ-LBPRGKRZSA-N 0 1 314.351 3.391 20 30 DGEDMN CCOC(=O)[C@H](Cc1ccccc1)N(C)C[C@@H](C)CCC#N ZINC000602471414 400470690 /nfs/dbraw/zinc/47/06/90/400470690.db2.gz AFLZCJDGUCHFOG-RDJZCZTQSA-N 0 1 302.418 3.032 20 30 DGEDMN C[C@H](CC#N)C(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000596661187 400475304 /nfs/dbraw/zinc/47/53/04/400475304.db2.gz HHTGHHHVSFQFCK-CZUORRHYSA-N 0 1 319.836 3.143 20 30 DGEDMN COc1cc(Br)ccc1CCCN[C@@H](C)CC#N ZINC000612036890 400476744 /nfs/dbraw/zinc/47/67/44/400476744.db2.gz XARUXBZSFMJLMV-NSHDSACASA-N 0 1 311.223 3.282 20 30 DGEDMN CC1(C)OCc2cc(CN3CCC[C@@H](CC#N)C3)ccc2O1 ZINC000597177536 400577597 /nfs/dbraw/zinc/57/75/97/400577597.db2.gz OORYOWYUGFPQMU-AWEZNQCLSA-N 0 1 300.402 3.457 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cccc2c[nH]nc21 ZINC000045467075 400524711 /nfs/dbraw/zinc/52/47/11/400524711.db2.gz CASIWRLTHKUVAA-UHFFFAOYSA-N 0 1 318.380 3.487 20 30 DGEDMN C[C@@]1(C#N)CCCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000612370381 400533434 /nfs/dbraw/zinc/53/34/34/400533434.db2.gz RKJUJRYBDCPSNL-IBGZPJMESA-N 0 1 320.396 3.013 20 30 DGEDMN C[C@H](CCC#N)CN1CC(OCCCOc2ccccc2)C1 ZINC000602691622 400540450 /nfs/dbraw/zinc/54/04/50/400540450.db2.gz XPADPCZRNJJFRW-MRXNPFEDSA-N 0 1 302.418 3.096 20 30 DGEDMN CC[C@@](C)(NCc1cnc2c(C#N)cnn2c1)c1ccc(F)cc1 ZINC000602728386 400554896 /nfs/dbraw/zinc/55/48/96/400554896.db2.gz KYOIFURRJCYEEL-GOSISDBHSA-N 0 1 323.375 3.155 20 30 DGEDMN C[C@H](Cc1ccsc1)N1CCN(c2ccsc2C#N)CC1 ZINC000597183992 400580306 /nfs/dbraw/zinc/58/03/06/400580306.db2.gz PPVDFRWYSQORFF-CYBMUJFWSA-N 0 1 317.483 3.434 20 30 DGEDMN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597111183 400559924 /nfs/dbraw/zinc/55/99/24/400559924.db2.gz WPCWWDXNFDBPLV-NXHRZFHOSA-N 0 1 324.424 3.015 20 30 DGEDMN Cc1ccc(CN(CCO)Cc2ccc(C3(C#N)CC3)cc2)o1 ZINC000597118356 400562387 /nfs/dbraw/zinc/56/23/87/400562387.db2.gz XKDHHKWGBHTBMI-UHFFFAOYSA-N 0 1 310.397 3.138 20 30 DGEDMN CCCCCNC(=O)CN(CCC)Cc1ccc(C#N)cc1 ZINC000047726553 400629147 /nfs/dbraw/zinc/62/91/47/400629147.db2.gz RPBUTHIEGVSVOG-UHFFFAOYSA-N 0 1 301.434 3.077 20 30 DGEDMN CCC[C@H]1CN(C(=O)[C@@H](C)CC#N)CCN1Cc1ccccc1 ZINC000597481901 400636891 /nfs/dbraw/zinc/63/68/91/400636891.db2.gz WIZUPUOFFBVTLX-WMZOPIPTSA-N 0 1 313.445 3.049 20 30 DGEDMN CC(C)Cn1nccc1CNCc1ccc(C#N)c(Cl)c1 ZINC000592366621 400639014 /nfs/dbraw/zinc/63/90/14/400639014.db2.gz FAOHCTNULAKBBA-UHFFFAOYSA-N 0 1 302.809 3.354 20 30 DGEDMN N#CCC1(CN2CCC(Oc3ccc(C#N)cc3F)CC2)CC1 ZINC000602829209 400585313 /nfs/dbraw/zinc/58/53/13/400585313.db2.gz REHLIVOQXNBVGR-UHFFFAOYSA-N 0 1 313.376 3.234 20 30 DGEDMN COCc1cccc(OCC2(CN[C@H](C)CC#N)CCC2)c1 ZINC000602869457 400595039 /nfs/dbraw/zinc/59/50/39/400595039.db2.gz NYTVQMRLOFYXQX-OAHLLOKOSA-N 0 1 302.418 3.274 20 30 DGEDMN CCCCCc1cc(C(=O)N2CCCC(C)(C)[C@@H]2C#N)n[nH]1 ZINC000597568907 400646965 /nfs/dbraw/zinc/64/69/65/400646965.db2.gz RJNYRNDJUOCRSF-HNNXBMFYSA-N 0 1 302.422 3.297 20 30 DGEDMN C/C(=C/c1ccccc1C(F)(F)F)CN1CC[C@](O)(CC#N)C1 ZINC000592522748 400663750 /nfs/dbraw/zinc/66/37/50/400663750.db2.gz QVWCCAQUGMERPH-IVOILVROSA-N 0 1 324.346 3.459 20 30 DGEDMN CC[C@H](C#N)C(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597724196 400664642 /nfs/dbraw/zinc/66/46/42/400664642.db2.gz GAWCDMATYHYYII-NXEZZACHSA-N 0 1 304.781 3.285 20 30 DGEDMN C[C@@H](CC#N)C(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597725977 400665733 /nfs/dbraw/zinc/66/57/33/400665733.db2.gz RZIXNJZGHOIUFS-UWVGGRQHSA-N 0 1 304.781 3.285 20 30 DGEDMN CCCCCc1cc(C(=O)N2Cc3ccc(C#N)cc3C2)n[nH]1 ZINC000597826336 400677760 /nfs/dbraw/zinc/67/77/60/400677760.db2.gz LEAIGVICAIJIMJ-UHFFFAOYSA-N 0 1 308.385 3.170 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3cc(C#N)ccc32)c(OC)c1 ZINC000618742259 400680546 /nfs/dbraw/zinc/68/05/46/400680546.db2.gz VETTWONAZULEEP-GOSISDBHSA-N 0 1 308.381 3.353 20 30 DGEDMN Cc1sc(NC(=O)c2ccc(C#N)c(O)c2)nc1C(C)C ZINC000597895580 400690076 /nfs/dbraw/zinc/69/00/76/400690076.db2.gz YDYXOKRIUKARLH-UHFFFAOYSA-N 0 1 301.371 3.405 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)Cc1cccc2ccccc21 ZINC000613446495 400722575 /nfs/dbraw/zinc/72/25/75/400722575.db2.gz XNCHSVCLZQLWBI-UHFFFAOYSA-N 0 1 308.425 3.472 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)N[C@@](C)(C#N)C(C)C)c(C)c1 ZINC000604081297 400747755 /nfs/dbraw/zinc/74/77/55/400747755.db2.gz LPEZPCHQUKSXHJ-APWZRJJASA-N 0 1 315.461 3.351 20 30 DGEDMN Cc1[nH]ncc1CNC(=O)c1ccccc1-c1ccccc1C#N ZINC000051382419 400702001 /nfs/dbraw/zinc/70/20/01/400702001.db2.gz FJBSGHIGPIXTRM-UHFFFAOYSA-N 0 1 316.364 3.187 20 30 DGEDMN N#CCCC1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC000592817801 400705496 /nfs/dbraw/zinc/70/54/96/400705496.db2.gz QRLLGWKHJHTNAT-UHFFFAOYSA-N 0 1 308.385 3.233 20 30 DGEDMN CN(CCOc1cccc(C#N)c1)Cc1ccc(F)c(F)c1 ZINC000051710099 400707725 /nfs/dbraw/zinc/70/77/25/400707725.db2.gz HSLSEQBXIWINAQ-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc(O)c(Cl)c1 ZINC000618893238 400711211 /nfs/dbraw/zinc/71/12/11/400711211.db2.gz ZDWRTLCMQMOQFB-OAHLLOKOSA-N 0 1 312.756 3.335 20 30 DGEDMN N#Cc1cccc(CN2CCC(C(=O)c3ccc(O)cc3)CC2)c1 ZINC000078546390 400809358 /nfs/dbraw/zinc/80/93/58/400809358.db2.gz DEUQAJMXIIPQKI-UHFFFAOYSA-N 0 1 320.392 3.359 20 30 DGEDMN CC(C)CCN(C)[C@@H](C)C(=O)Nc1sc2c(c1C#N)CCC2 ZINC000053365554 400777643 /nfs/dbraw/zinc/77/76/43/400777643.db2.gz NJMSZBBYLZXFHJ-LBPRGKRZSA-N 0 1 319.474 3.413 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC000604504171 400782227 /nfs/dbraw/zinc/78/22/27/400782227.db2.gz MILHYSUWHJRPGV-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN CCC[C@H]1CN(C(=O)[C@@H](C#N)CC)CCN1Cc1ccccc1 ZINC000593587378 400877134 /nfs/dbraw/zinc/87/71/34/400877134.db2.gz GEOPVCFDHSWZDY-MSOLQXFVSA-N 0 1 313.445 3.049 20 30 DGEDMN C[C@H](NC[C@@H](O)CC(C)(C)C#N)c1nc(C(F)(F)F)cs1 ZINC000598612869 400843928 /nfs/dbraw/zinc/84/39/28/400843928.db2.gz DQFURIUOKNVANT-IUCAKERBSA-N 0 1 321.368 3.113 20 30 DGEDMN CN(C)c1ccnc(NC(=O)C[C@@H](CC#N)c2ccccc2)c1 ZINC000619442614 400849470 /nfs/dbraw/zinc/84/94/70/400849470.db2.gz YHAPKLHEBZECMO-OAHLLOKOSA-N 0 1 308.385 3.174 20 30 DGEDMN COc1ccc(C)cc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000619511697 400858923 /nfs/dbraw/zinc/85/89/23/400858923.db2.gz DKAPIRKJMQRQCE-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN N#Cc1cccc(CN2CCC(Nc3ncccc3F)CC2)c1 ZINC000593514936 400868666 /nfs/dbraw/zinc/86/86/66/400868666.db2.gz HCXMDEGVXTWTRK-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CC[C@@H](CC#N)NCCC(=O)Nc1ccc(Br)cc1 ZINC000594010887 400945870 /nfs/dbraw/zinc/94/58/70/400945870.db2.gz UQUZTAZLBRMMHV-LBPRGKRZSA-N 0 1 324.222 3.060 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC(C(=O)OC(C)(C)C)CC1 ZINC000614397383 400892878 /nfs/dbraw/zinc/89/28/78/400892878.db2.gz OPZUWRVXMRFJMZ-UHFFFAOYSA-N 0 1 314.429 3.420 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccsc1)C(=O)NC1(C#N)CCCCC1 ZINC000619746313 400897567 /nfs/dbraw/zinc/89/75/67/400897567.db2.gz MMTCZMNDCMZKAK-STQMWFEESA-N 0 1 305.447 3.130 20 30 DGEDMN O=C(Cc1ccc2c(c1)CCC2)Nc1nc(-c2ccco2)n[nH]1 ZINC000079814684 400898618 /nfs/dbraw/zinc/89/86/18/400898618.db2.gz QLULEACPTAETRN-UHFFFAOYSA-N 0 1 308.341 3.384 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000183501667 400900907 /nfs/dbraw/zinc/90/09/07/400900907.db2.gz CTKARSTYFYHICQ-HOTGVXAUSA-N 0 1 319.449 3.461 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000183501687 400901356 /nfs/dbraw/zinc/90/13/56/400901356.db2.gz CTKARSTYFYHICQ-CVEARBPZSA-N 0 1 319.449 3.461 20 30 DGEDMN CCN(C(=O)c1cc(C2CC2)[nH]n1)[C@H](C)c1ccc(C#N)cc1 ZINC000056222337 400915190 /nfs/dbraw/zinc/91/51/90/400915190.db2.gz XHBFPRQFJVCOQC-GFCCVEGCSA-N 0 1 308.385 3.382 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@@H](OC2CCC2)C1 ZINC000594027399 400953318 /nfs/dbraw/zinc/95/33/18/400953318.db2.gz UEENKVZOYSAABD-QGZVFWFLSA-N 0 1 300.402 3.100 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CC[C@H]3CCC[C@H]32)c1C#N ZINC000614600296 400920859 /nfs/dbraw/zinc/92/08/59/400920859.db2.gz CLRNOYWWFAIZLP-CRAIPNDOSA-N 0 1 306.413 3.427 20 30 DGEDMN COC(=O)CCCCN1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000599303875 401016501 /nfs/dbraw/zinc/01/65/01/401016501.db2.gz GVHSSQJWHYQIMY-IAGOWNOFSA-N 0 1 300.402 3.101 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000594417361 401060001 /nfs/dbraw/zinc/06/00/01/401060001.db2.gz CKXYECVACQATGK-SECBINFHSA-N 0 1 322.290 3.117 20 30 DGEDMN C=CC[C@H]1CCN(Cc2nc(-c3ccc([N+](=O)[O-])cc3)no2)C1 ZINC000599526048 401067655 /nfs/dbraw/zinc/06/76/55/401067655.db2.gz CKJTUUDYZPKXCD-LBPRGKRZSA-N 0 1 314.345 3.043 20 30 DGEDMN C[C@H](NC[C@H](O)CC1(C#N)CC1)c1ncc(-c2ccccc2)o1 ZINC000599362238 401033470 /nfs/dbraw/zinc/03/34/70/401033470.db2.gz IQMYKPZXFWHFPM-DZGCQCFKSA-N 0 1 311.385 3.047 20 30 DGEDMN Cc1ccc(CN[C@H](C[S@@](C)=O)c2ccccc2)cc1C#N ZINC000599355910 401033747 /nfs/dbraw/zinc/03/37/47/401033747.db2.gz JZFAWHUXMAMXDM-XMSQKQJNSA-N 0 1 312.438 3.076 20 30 DGEDMN Cc1ccc([C@H](NC[C@@H](O)CC2(C#N)CC2)c2ccccn2)cc1 ZINC000599391773 401040940 /nfs/dbraw/zinc/04/09/40/401040940.db2.gz LVUKVODLTCUKRL-HKUYNNGSSA-N 0 1 321.424 3.124 20 30 DGEDMN C=C(C)[C@H](CC(=O)Nc1c(=O)[nH][nH]c1-c1ccccc1)OCC ZINC000615283321 401047557 /nfs/dbraw/zinc/04/75/57/401047557.db2.gz RDJKCFVYUZKYTD-ZDUSSCGKSA-N 0 1 315.373 3.092 20 30 DGEDMN COC(=O)[C@@H]1CN([C@H](C)c2cccc(C#N)c2)Cc2ccccc21 ZINC000599668895 401108569 /nfs/dbraw/zinc/10/85/69/401108569.db2.gz ZVDPTWVSROZXLY-AUUYWEPGSA-N 0 1 320.392 3.392 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOc1ccccc1F)C2 ZINC000599675040 401110602 /nfs/dbraw/zinc/11/06/02/401110602.db2.gz HOATWNLIDDUVOA-UHFFFAOYSA-N 0 1 310.372 3.329 20 30 DGEDMN C/C=C/C[C@H]1CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000599691840 401118414 /nfs/dbraw/zinc/11/84/14/401118414.db2.gz TUQUODYTJPQLNS-KZSQQDEKSA-N 0 1 303.450 3.007 20 30 DGEDMN Cn1c2ccccc2nc1[C@@H]1CCCN1CCCSCC#N ZINC000084116505 401129173 /nfs/dbraw/zinc/12/91/73/401129173.db2.gz LOWRNDGOEMDYCT-INIZCTEOSA-N 0 1 314.458 3.357 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2ccc(Cl)c(Cl)c2)CC1 ZINC000594877735 401176304 /nfs/dbraw/zinc/17/63/04/401176304.db2.gz VBVMFUSAFPTYOC-LBPRGKRZSA-N 0 1 316.228 3.385 20 30 DGEDMN COC[C@H](NCc1ccc(C#N)c(C)c1)c1cccc(OC)c1 ZINC000594969051 401212801 /nfs/dbraw/zinc/21/28/01/401212801.db2.gz LVUALGSBIBJDMD-IBGZPJMESA-N 0 1 310.397 3.353 20 30 DGEDMN CC(C)(C#N)CCN1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC000625801692 401222256 /nfs/dbraw/zinc/22/22/56/401222256.db2.gz WTBRPFXGLUMKSZ-LJQANCHMSA-N 0 1 312.413 3.426 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H](c2ccc(Br)cc2)C[C@@H]1C ZINC000629377925 401682626 /nfs/dbraw/zinc/68/26/26/401682626.db2.gz PFRBHWZEQGFAPZ-ZKYQVNSYSA-N 0 1 324.218 3.266 20 30 DGEDMN Cc1[nH]ncc1CNc1ncc(Cc2ccc(C#N)cc2)s1 ZINC000185937296 165021871 /nfs/dbraw/zinc/02/18/71/165021871.db2.gz DFPXIGNSMHQNFJ-UHFFFAOYSA-N 0 1 309.398 3.249 20 30 DGEDMN Cc1oc(NC(=O)CN2C[C@@H](C)[C@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000182473265 432194169 /nfs/dbraw/zinc/19/41/69/432194169.db2.gz KRXVAJSVSXQALI-XFJVYGCCSA-N 0 1 315.417 3.217 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCc2ccsc2C1 ZINC000132746005 196279669 /nfs/dbraw/zinc/27/96/69/196279669.db2.gz WTKVFEXYBUKKPP-UHFFFAOYSA-N 0 1 314.410 3.065 20 30 DGEDMN N#CCC[C@@H](C#N)CSc1nc(-c2ccc3c(c2)CCC3)n[nH]1 ZINC000151212144 227057211 /nfs/dbraw/zinc/05/72/11/227057211.db2.gz OZFKZRCBWDSYHV-LBPRGKRZSA-N 0 1 323.425 3.496 20 30 DGEDMN N#CCCn1cc(C(=O)CN2C[C@H]3CCC[C@@H]3C2)c2ccccc21 ZINC000153331965 227084886 /nfs/dbraw/zinc/08/48/86/227084886.db2.gz GDKMACYMEPQAHT-HZPDHXFCSA-N 0 1 321.424 3.470 20 30 DGEDMN Cc1ccc([C@@H](C)NCC(=O)NC2(C#N)CCCCC2)cc1F ZINC000181371011 228361233 /nfs/dbraw/zinc/36/12/33/228361233.db2.gz HRKMBVIYQSPVLG-CQSZACIVSA-N 0 1 317.408 3.127 20 30 DGEDMN Cc1ccc([C@H](C)NCC(=O)NC2(C#N)CCCCC2)cc1F ZINC000181371032 228361959 /nfs/dbraw/zinc/36/19/59/228361959.db2.gz HRKMBVIYQSPVLG-AWEZNQCLSA-N 0 1 317.408 3.127 20 30 DGEDMN Cc1cc([C@H](C)NCC(=O)NC2(C#N)CCCCC2)c(C)s1 ZINC000182983412 228370797 /nfs/dbraw/zinc/37/07/97/228370797.db2.gz GHQUPXKGMFHVJX-ZDUSSCGKSA-N 0 1 319.474 3.358 20 30 DGEDMN Cc1nn(C)c(C)c1[NH+]=C([O-])Nc1ccnn1[C@@H](C)CC(C)C ZINC000330882643 229007864 /nfs/dbraw/zinc/00/78/64/229007864.db2.gz OFIMVISXNYDFNF-NSHDSACASA-N 0 1 318.425 3.498 20 30 DGEDMN N#CCC(=O)N1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000331484190 229031823 /nfs/dbraw/zinc/03/18/23/229031823.db2.gz GLYULBINAARFHW-ZDUSSCGKSA-N 0 1 302.765 3.184 20 30 DGEDMN N#CCc1ccc(CSc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000342564200 229114399 /nfs/dbraw/zinc/11/43/99/229114399.db2.gz JQZPCUHCXKDDBT-UHFFFAOYSA-N 0 1 307.382 3.225 20 30 DGEDMN COc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C#N)cc1 ZINC000299339975 229059769 /nfs/dbraw/zinc/05/97/69/229059769.db2.gz AECJZNAMAKKPHJ-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cncc(C(F)(F)F)c2)n1 ZINC000344838417 229144623 /nfs/dbraw/zinc/14/46/23/229144623.db2.gz DRZVMWKLTNYYKE-JTQLQIEISA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2cncc(C(F)(F)F)c2)n1 ZINC000344838417 229144625 /nfs/dbraw/zinc/14/46/25/229144625.db2.gz DRZVMWKLTNYYKE-JTQLQIEISA-N 0 1 311.288 3.355 20 30 DGEDMN COc1ccc(CNCC2(CC#N)CC2)c(Br)c1 ZINC000352706708 229259966 /nfs/dbraw/zinc/25/99/66/229259966.db2.gz PXBXTBCNIWJYCW-UHFFFAOYSA-N 0 1 309.207 3.241 20 30 DGEDMN COc1cccc(OC(F)(F)F)c1CN[C@@H]1CC[C@@H](C#N)C1 ZINC000353959305 229287300 /nfs/dbraw/zinc/28/73/00/229287300.db2.gz UBQFKDSOMGVKNO-GHMZBOCLSA-N 0 1 314.307 3.376 20 30 DGEDMN N#Cc1ccccc1CSCCNCc1ccn(C(F)F)n1 ZINC000346655853 264073522 /nfs/dbraw/zinc/07/35/22/264073522.db2.gz OKPULIIIIIQIEQ-UHFFFAOYSA-N 0 1 322.384 3.173 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000346787913 264097043 /nfs/dbraw/zinc/09/70/43/264097043.db2.gz WSGUASNNIDBXBY-UHFFFAOYSA-N 0 1 322.324 3.111 20 30 DGEDMN CO[C@H]1CCCC[C@H]1NCc1ccc(Br)cc1C#N ZINC000347114794 264159043 /nfs/dbraw/zinc/15/90/43/264159043.db2.gz AHDPBRPLPZRHCC-CABCVRRESA-N 0 1 323.234 3.368 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CC(C)(C)O[C@@]2(CCCOC2)C1 ZINC000361610208 270004716 /nfs/dbraw/zinc/00/47/16/270004716.db2.gz LNGYMLDYDPUDHM-KXBFYZLASA-N 0 1 314.429 3.279 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CC(C)(C)O[C@]2(CCCOC2)C1 ZINC000361610207 270004882 /nfs/dbraw/zinc/00/48/82/270004882.db2.gz LNGYMLDYDPUDHM-HNAYVOBHSA-N 0 1 314.429 3.279 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000351995305 264889429 /nfs/dbraw/zinc/88/94/29/264889429.db2.gz MLZGIGPEPSRBSY-IBGZPJMESA-N 0 1 321.399 3.334 20 30 DGEDMN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(C#N)cc2F)[C@]12CCCO2 ZINC000354971150 266297812 /nfs/dbraw/zinc/29/78/12/266297812.db2.gz SMGNZXSGCKFGSO-QRVDHSFSSA-N 0 1 318.392 3.075 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CC(C)(C)O[C@]2(CCCOC2)C1 ZINC000361609209 267000990 /nfs/dbraw/zinc/00/09/90/267000990.db2.gz ITDZFJIHJQSPJV-HNAYVOBHSA-N 0 1 314.429 3.279 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1ccccc1C#N ZINC000353554684 267233217 /nfs/dbraw/zinc/23/32/17/267233217.db2.gz LBQMLUGPWQUVCX-KRWDZBQOSA-N 0 1 305.425 3.265 20 30 DGEDMN Cc1c(C#N)cccc1NS(=O)(=O)c1cc(F)ccc1Cl ZINC000179025204 267289802 /nfs/dbraw/zinc/28/98/02/267289802.db2.gz ZRJAYUZYDQUMDG-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3ccccc3F)co2)cc1O ZINC000360957208 268048094 /nfs/dbraw/zinc/04/80/94/268048094.db2.gz PAKSQCYNTUBPFU-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN N#Cc1ccc(Cc2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000356980978 268093412 /nfs/dbraw/zinc/09/34/12/268093412.db2.gz HJZGSQGDFUBQQS-UHFFFAOYSA-N 0 1 301.309 3.075 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](n3ncc4ccccc43)C2)c1F ZINC000357823739 268247715 /nfs/dbraw/zinc/24/77/15/268247715.db2.gz ULBFHGIZYJZRJF-QGZVFWFLSA-N 0 1 320.371 3.494 20 30 DGEDMN N#Cc1cccc(CN2CCN(CCc3ccccc3)CC2)c1F ZINC000352889093 268248052 /nfs/dbraw/zinc/24/80/52/268248052.db2.gz XHGVIUJWTOCUEJ-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN C[C@@H]1CN(c2c(C#N)cccc2C#N)CCN1Cc1ccccc1 ZINC000413527586 274360428 /nfs/dbraw/zinc/36/04/28/274360428.db2.gz BLHOJNFBWCXXMY-MRXNPFEDSA-N 0 1 316.408 3.141 20 30 DGEDMN CC1(C)C[C@H]([NH+]=C([O-])N[C@@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330176725 276145556 /nfs/dbraw/zinc/14/55/56/276145556.db2.gz CMMFVKDKLPZQFS-CJNGLKHVSA-N 0 1 324.428 3.124 20 30 DGEDMN CC1(C)C[C@H](NC([O-])=[NH+][C@@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330176725 276145558 /nfs/dbraw/zinc/14/55/58/276145558.db2.gz CMMFVKDKLPZQFS-CJNGLKHVSA-N 0 1 324.428 3.124 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCCC[C@@H]1c1ccccc1 ZINC000358029464 276351826 /nfs/dbraw/zinc/35/18/26/276351826.db2.gz WXLOSGUGXFGJJM-SJLPKXTDSA-N 0 1 313.445 3.222 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC(C)(C)C[C@@H]2c2ccccc2)CC1 ZINC000491384811 276783009 /nfs/dbraw/zinc/78/30/09/276783009.db2.gz PRQHUOARJGPBOT-LJQANCHMSA-N 0 1 324.468 3.331 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000492024353 276923511 /nfs/dbraw/zinc/92/35/11/276923511.db2.gz PKLYQZQAMPARAW-JKSUJKDBSA-N 0 1 317.433 3.167 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000298404570 277631451 /nfs/dbraw/zinc/63/14/51/277631451.db2.gz KPQCAJKQRHIJKU-QKPAOTATSA-N 0 1 313.445 3.102 20 30 DGEDMN COc1ncc(CN[C@H]2CCc3ccc(C#N)cc32)cc1Cl ZINC000453281580 278089454 /nfs/dbraw/zinc/08/94/54/278089454.db2.gz CTBAKTLIHSMEPB-INIZCTEOSA-N 0 1 313.788 3.392 20 30 DGEDMN C[C@@H](NCC(=O)Nc1cc(F)ccc1F)c1ccc(C#N)cc1 ZINC000338360962 278338911 /nfs/dbraw/zinc/33/89/11/278338911.db2.gz KNKUPMRTYDAQNI-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2C)CC1 ZINC000111258550 431205091 /nfs/dbraw/zinc/20/50/91/431205091.db2.gz ZNWSGCSRGXHXEV-ZDUSSCGKSA-N 0 1 317.389 3.128 20 30 DGEDMN Cc1ccccc1CN(C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000007420793 431347417 /nfs/dbraw/zinc/34/74/17/431347417.db2.gz CFOOCWABRPJFCQ-OAHLLOKOSA-N 0 1 307.397 3.326 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H](C)c1cc(C)ccc1OC ZINC000073736559 431380301 /nfs/dbraw/zinc/38/03/01/431380301.db2.gz GUDZZXODIXOTJZ-JKSUJKDBSA-N 0 1 316.445 3.243 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc(C#N)c2)c(Cl)c1 ZINC000025366009 431653673 /nfs/dbraw/zinc/65/36/73/431653673.db2.gz VSIQEQGZBOLUAN-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN CCCN(Cc1cc(C(N)=O)cs1)Cc1ccc(C#N)cc1 ZINC000119275359 431671541 /nfs/dbraw/zinc/67/15/41/431671541.db2.gz KSIUKWJHCGLSHC-UHFFFAOYSA-N 0 1 313.426 3.131 20 30 DGEDMN N#CC(C(=O)c1ccc(Br)s1)c1ccccn1 ZINC000046705618 431899779 /nfs/dbraw/zinc/89/97/79/431899779.db2.gz SMGATHFYSQQGHJ-MRVPVSSYSA-N 0 1 307.172 3.396 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)c2ccccc2Cl)CC1 ZINC000098093228 431978148 /nfs/dbraw/zinc/97/81/48/431978148.db2.gz MNYMWOCBDLNDHP-CQSZACIVSA-N 0 1 318.848 3.205 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@H]1c1ccncc1 ZINC000125570043 431930251 /nfs/dbraw/zinc/93/02/51/431930251.db2.gz VSFSNYXZZTWXOG-KRWDZBQOSA-N 0 1 323.396 3.178 20 30 DGEDMN CC(C)(C)n1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cn1 ZINC000048813743 431933097 /nfs/dbraw/zinc/93/30/97/431933097.db2.gz VUIZFTWFQUQYNM-GFCCVEGCSA-N 0 1 307.357 3.004 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000125927704 431938608 /nfs/dbraw/zinc/93/86/08/431938608.db2.gz UUGTUZTYCNOTFL-CYBMUJFWSA-N 0 1 305.378 3.128 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000125927704 431938610 /nfs/dbraw/zinc/93/86/10/431938610.db2.gz UUGTUZTYCNOTFL-CYBMUJFWSA-N 0 1 305.378 3.128 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCC(C)CC2)c1C ZINC000051209937 431950489 /nfs/dbraw/zinc/95/04/89/431950489.db2.gz BJRYQYMFYBLINR-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCC[C@H](C)C2)c1C ZINC000051150449 431950561 /nfs/dbraw/zinc/95/05/61/431950561.db2.gz WDIDZWLYFWWUDQ-HNNXBMFYSA-N 0 1 313.445 3.282 20 30 DGEDMN CCOc1cc(C)ccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000055644424 431984235 /nfs/dbraw/zinc/98/42/35/431984235.db2.gz XYJSSWFGGPEMTQ-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN C=C[C@H](CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC000128478974 431994663 /nfs/dbraw/zinc/99/46/63/431994663.db2.gz JMFMHDYVSAGEND-GFCCVEGCSA-N 0 1 308.341 3.363 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(C(F)(F)F)CC1 ZINC000174192294 432327495 /nfs/dbraw/zinc/32/74/95/432327495.db2.gz JBEUDNJNBFUFCS-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C[C@@H](CNC(=O)OC(C)(C)C)N(C)Cc1ccc(C#N)c(F)c1 ZINC000192104385 432333915 /nfs/dbraw/zinc/33/39/15/432333915.db2.gz LOPUNVNOHKEUNV-LBPRGKRZSA-N 0 1 321.396 3.042 20 30 DGEDMN C[C@H](NCc1cnn(C)c1C(F)(F)F)c1ccc(C#N)cc1 ZINC000152544727 432339508 /nfs/dbraw/zinc/33/95/08/432339508.db2.gz QWGHXCQCDCLUQH-JTQLQIEISA-N 0 1 308.307 3.161 20 30 DGEDMN C[C@H](O)C[C@@H]1CCCN1Cc1cc(C#N)ccc1Br ZINC000191815738 432304365 /nfs/dbraw/zinc/30/43/65/432304365.db2.gz XGEAVFZGYKGXNA-FZMZJTMJSA-N 0 1 323.234 3.056 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000174671264 432362565 /nfs/dbraw/zinc/36/25/65/432362565.db2.gz HTKXMWWZFBGCQA-SJLPKXTDSA-N 0 1 313.445 3.049 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000174671253 432362653 /nfs/dbraw/zinc/36/26/53/432362653.db2.gz HTKXMWWZFBGCQA-FUHWJXTLSA-N 0 1 313.445 3.049 20 30 DGEDMN Cc1cnc([C@H](C)NCCC(=O)Nc2ccc(C#N)cc2)s1 ZINC000184979207 432452064 /nfs/dbraw/zinc/45/20/64/432452064.db2.gz KESNJKWLTGNXJZ-LBPRGKRZSA-N 0 1 314.414 3.003 20 30 DGEDMN C[N@@H+](Cc1ccccc1)[C@H](CNCc1ccc(C#N)o1)C1CC1 ZINC000185223107 432479276 /nfs/dbraw/zinc/47/92/76/432479276.db2.gz JQINEIIBLVRQKH-LJQANCHMSA-N 0 1 309.413 3.151 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc(F)cc3nccnc32)n1 ZINC000193580681 432482942 /nfs/dbraw/zinc/48/29/42/432482942.db2.gz JJGZNVIFNUDARW-LLVKDONJSA-N 0 1 312.329 3.024 20 30 DGEDMN COC(=O)[C@H](C)Oc1ccc(-c2nc3ccc(C#N)cc3[nH]2)cc1 ZINC000154234186 432484244 /nfs/dbraw/zinc/48/42/44/432484244.db2.gz FYNOMFBVWBQWOX-NSHDSACASA-N 0 1 321.336 3.042 20 30 DGEDMN CC(=O)N(c1nc(-c2nc3ccc(C#N)cc3[nH]2)cs1)C1CC1 ZINC000154231572 432484392 /nfs/dbraw/zinc/48/43/92/432484392.db2.gz PQTNVNQRDPKMFO-UHFFFAOYSA-N 0 1 323.381 3.073 20 30 DGEDMN COc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1Cl ZINC000193609662 432485139 /nfs/dbraw/zinc/48/51/39/432485139.db2.gz NDKFXWGHVIOYRR-RMKNXTFCSA-N 0 1 315.760 3.173 20 30 DGEDMN C[C@@H](NCCCC#N)c1ccccc1I ZINC000273172919 432561102 /nfs/dbraw/zinc/56/11/02/432561102.db2.gz CJYTYXDICMIUKM-SNVBAGLBSA-N 0 1 314.170 3.246 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2cccc(OC)c2)[nH]n1)C1CCCC1 ZINC000154707458 432516972 /nfs/dbraw/zinc/51/69/72/432516972.db2.gz HOVJFQXOJKTVBO-UHFFFAOYSA-N 0 1 323.396 3.103 20 30 DGEDMN COc1ccccc1[C@@H](CNCc1ccc(C#N)o1)OC(C)C ZINC000185721794 432527074 /nfs/dbraw/zinc/52/70/74/432527074.db2.gz QAONTJFGUQBWMU-GOSISDBHSA-N 0 1 314.385 3.416 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN[C@@H](CO)c1ccc(F)cc1 ZINC000272971831 432538430 /nfs/dbraw/zinc/53/84/30/432538430.db2.gz CDDFRPDHXWSMCI-SFHVURJKSA-N 0 1 312.388 3.450 20 30 DGEDMN C[C@@H](C(=O)[O-])[N@@H+](C)Cc1cccc(OCc2cccc(C#N)c2)c1 ZINC000263435385 432544113 /nfs/dbraw/zinc/54/41/13/432544113.db2.gz UJUNOMXLGGKWAV-AWEZNQCLSA-N 0 1 324.380 3.042 20 30 DGEDMN C=CCCOCC[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000186185704 432566103 /nfs/dbraw/zinc/56/61/03/432566103.db2.gz QBNCEFNOGKABEI-NSHDSACASA-N 0 1 314.223 3.398 20 30 DGEDMN N#C[C@H](C(=O)c1ccc(Cl)s1)c1nnc2n1CCCCC2 ZINC000194928041 432586772 /nfs/dbraw/zinc/58/67/72/432586772.db2.gz FSNQOASEXUBYGL-SECBINFHSA-N 0 1 320.805 3.209 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)s1)c1nnc2n1CCCCC2 ZINC000194928041 432586776 /nfs/dbraw/zinc/58/67/76/432586776.db2.gz FSNQOASEXUBYGL-SECBINFHSA-N 0 1 320.805 3.209 20 30 DGEDMN C=CC[C@@H](C)[C@@H](C)[NH2+]Cc1nnc(COc2ccccc2)[n-]1 ZINC000186431113 432594621 /nfs/dbraw/zinc/59/46/21/432594621.db2.gz KBACMCXXGALHTI-ZIAGYGMSSA-N 0 1 300.406 3.074 20 30 DGEDMN CC[C@@H](N[C@@H](C)C(=O)N(C)CCC#N)c1ccc(Cl)s1 ZINC000273483250 432604178 /nfs/dbraw/zinc/60/41/78/432604178.db2.gz IQASNAKELRXLGN-WDEREUQCSA-N 0 1 313.854 3.203 20 30 DGEDMN CC(C)(C)c1ccc(NS(=O)(=O)c2ccc(C#N)nc2)cc1 ZINC000195438197 432623491 /nfs/dbraw/zinc/62/34/91/432623491.db2.gz IAGWMFJIYGCKGF-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN CCN(CC)[C@@H](C(=O)NCc1ccc(C#N)cc1)c1ccccc1 ZINC000264189148 432624084 /nfs/dbraw/zinc/62/40/84/432624084.db2.gz BQPYVYWSHWKCCF-LJQANCHMSA-N 0 1 321.424 3.258 20 30 DGEDMN C=C(C)[C@@H](NCc1ccc(C(N)=O)cc1)c1ccc(F)c(F)c1 ZINC000187371088 432661486 /nfs/dbraw/zinc/66/14/86/432661486.db2.gz FUHSTCDBKINQMF-QGZVFWFLSA-N 0 1 316.351 3.471 20 30 DGEDMN CC(=Cc1cnc(C(C)(C)C)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000225719330 432696249 /nfs/dbraw/zinc/69/62/49/432696249.db2.gz APCZBXPKIKZDGZ-TWGQIWQCSA-N 0 1 314.414 3.265 20 30 DGEDMN C=CC[C@H](C(=O)Nc1nc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC000188202170 432711753 /nfs/dbraw/zinc/71/17/53/432711753.db2.gz QPGNVSDHYHFFJQ-AWEZNQCLSA-N 0 1 319.368 3.165 20 30 DGEDMN C=C(C)[C@H](NC(=O)NCc1cn[nH]c1C)c1ccc(F)c(F)c1 ZINC000274830880 432715094 /nfs/dbraw/zinc/71/50/94/432715094.db2.gz HLSGKNANJMVVBB-HNNXBMFYSA-N 0 1 320.343 3.113 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3ccco3)cs2)cc1O ZINC000188310038 432717454 /nfs/dbraw/zinc/71/74/54/432717454.db2.gz AYSRNJBDETUZLJ-UHFFFAOYSA-N 0 1 311.322 3.233 20 30 DGEDMN CC1(C)Oc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2O1 ZINC000188309766 432718606 /nfs/dbraw/zinc/71/86/06/432718606.db2.gz JMLLSRJSEIDVMN-UHFFFAOYSA-N 0 1 310.309 3.023 20 30 DGEDMN CCOc1ccc(CN2CCN(CCC(C)(C)C#N)CC2)cc1 ZINC000266005270 432751058 /nfs/dbraw/zinc/75/10/58/432751058.db2.gz LVDSBNKNJSKNDP-UHFFFAOYSA-N 0 1 315.461 3.143 20 30 DGEDMN N#C[C@H](NC(=O)CCc1cnc[nH]1)c1ccc(Cl)c(Cl)c1 ZINC000188970636 432755050 /nfs/dbraw/zinc/75/50/50/432755050.db2.gz KGLLVZINFIZJQK-ZDUSSCGKSA-N 0 1 323.183 3.030 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2ccc(OC)c(O)c2)cc1 ZINC000178409074 432731433 /nfs/dbraw/zinc/73/14/33/432731433.db2.gz PENDXAPAWBTFHT-CQSZACIVSA-N 0 1 311.381 3.264 20 30 DGEDMN CCN(Cc1ccc2c(c1)OCO2)Cc1ccc(F)c(C#N)c1 ZINC000160640159 432732654 /nfs/dbraw/zinc/73/26/54/432732654.db2.gz XAJYFJFYSULTQK-UHFFFAOYSA-N 0 1 312.344 3.448 20 30 DGEDMN C=CCCOCCNCc1cccc(F)c1Br ZINC000231821141 432734564 /nfs/dbraw/zinc/73/45/64/432734564.db2.gz LCZGCOHBRSJEOT-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN Cc1ccc(C#N)c(NC2CCN(Cc3ccc(F)cc3)CC2)n1 ZINC000160700709 432735664 /nfs/dbraw/zinc/73/56/64/432735664.db2.gz FDLOSXVNLKOGGP-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN N#Cc1ccc(Cl)c(S(=O)(=O)Nc2cccc(F)c2)c1 ZINC000160758647 432739659 /nfs/dbraw/zinc/73/96/59/432739659.db2.gz QNVZPQKFVDTAJP-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCCN(C3CCCCC3)C2=O)s1 ZINC000276263469 432789366 /nfs/dbraw/zinc/78/93/66/432789366.db2.gz YGDGDNGPEXMERI-MRXNPFEDSA-N 0 1 317.458 3.033 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)c(F)c1 ZINC000268281789 432860653 /nfs/dbraw/zinc/86/06/53/432860653.db2.gz PMWZQKMAZUESGS-DOTOQJQBSA-N 0 1 314.360 3.378 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@H]2C[C@@H](O)c2ccco2)c1 ZINC000268304332 432862624 /nfs/dbraw/zinc/86/26/24/432862624.db2.gz VMWIZSTXTIIPLH-DOTOQJQBSA-N 0 1 314.360 3.378 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)c1 ZINC000268304333 432862706 /nfs/dbraw/zinc/86/27/06/432862706.db2.gz VMWIZSTXTIIPLH-NVXWUHKLSA-N 0 1 314.360 3.378 20 30 DGEDMN N#Cc1ccc(CN(C[C@@H]2CCCO2)[C@H]2CCSC2)c(F)c1 ZINC000245933425 432871051 /nfs/dbraw/zinc/87/10/51/432871051.db2.gz VEFFGFSPAYBYLB-HOTGVXAUSA-N 0 1 320.433 3.184 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccccc1O[C@H]1CCOC1 ZINC000329944364 432884719 /nfs/dbraw/zinc/88/47/19/432884719.db2.gz IIWPNHRXMPNCAZ-KFWWJZLASA-N 0 1 318.417 3.315 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1O[C@H]1CCOC1 ZINC000329944367 432884757 /nfs/dbraw/zinc/88/47/57/432884757.db2.gz IIWPNHRXMPNCAZ-ZNMIVQPWSA-N 0 1 318.417 3.315 20 30 DGEDMN Cc1nc(CC(C)C)oc1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330015447 432889039 /nfs/dbraw/zinc/88/90/39/432889039.db2.gz NZUHFACNFHYGJV-LBPRGKRZSA-N 0 1 302.378 3.287 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)CC(C)(C)C#N)[nH]c2c1 ZINC000278224561 432889250 /nfs/dbraw/zinc/88/92/50/432889250.db2.gz IVUJFHGHAOWZRK-HNNXBMFYSA-N 0 1 310.401 3.475 20 30 DGEDMN Cc1nccc(NC(=O)N2CCC(c3ccsc3)CC2)n1 ZINC000330192679 432896205 /nfs/dbraw/zinc/89/62/05/432896205.db2.gz YAQRPGFACADRDU-UHFFFAOYSA-N 0 1 302.403 3.272 20 30 DGEDMN CC(C)[C@@H]1CCCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC1 ZINC000330110704 432893076 /nfs/dbraw/zinc/89/30/76/432893076.db2.gz AKJOQCYEXACFIK-UKRRQHHQSA-N 0 1 304.438 3.459 20 30 DGEDMN C[C@H](NC(=O)c1sccc1CN(C)C)c1ccc(C#N)cc1 ZINC000268034275 432839885 /nfs/dbraw/zinc/83/98/85/432839885.db2.gz NDUBHMYKKHZZKR-LBPRGKRZSA-N 0 1 313.426 3.172 20 30 DGEDMN CC1(C)CCCN(C(=O)c2cnc(-c3ccccc3)[nH]2)[C@H]1C#N ZINC000277522782 432857412 /nfs/dbraw/zinc/85/74/12/432857412.db2.gz LWGPJKIVXVAFME-HNNXBMFYSA-N 0 1 308.385 3.231 20 30 DGEDMN CCOC(=O)N1CC[C@H](N[C@H](C)c2ccc(C#N)cc2Cl)C1 ZINC000279052327 432930602 /nfs/dbraw/zinc/93/06/02/432930602.db2.gz KGRXQOJLUNZBRO-YPMHNXCESA-N 0 1 321.808 3.093 20 30 DGEDMN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000331166433 432933468 /nfs/dbraw/zinc/93/34/68/432933468.db2.gz TZRQSVGXVQSINA-GWCFXTLKSA-N 0 1 305.447 3.296 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)NC2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000330245478 432899346 /nfs/dbraw/zinc/89/93/46/432899346.db2.gz XRUCOIDSZDOMAR-VXGBXAGGSA-N 0 1 315.421 3.422 20 30 DGEDMN CC(C)CN1CCO[C@H](CNC(=O)c2occc2C(C)C)C1 ZINC000330468967 432911898 /nfs/dbraw/zinc/91/18/98/432911898.db2.gz KUQFXBWQMDZPAG-CQSZACIVSA-N 0 1 308.422 3.064 20 30 DGEDMN Cc1oc(C(C)C)cc1C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000330573180 432917875 /nfs/dbraw/zinc/91/78/75/432917875.db2.gz GJAJHHHWFXKZNU-OAHLLOKOSA-N 0 1 322.449 3.373 20 30 DGEDMN C=C[C@H](CO)NCc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC000289878829 432979373 /nfs/dbraw/zinc/97/93/73/432979373.db2.gz PPXABFRENYAQTR-LLVKDONJSA-N 0 1 313.241 3.361 20 30 DGEDMN COc1cccc(OC)c1OCCCCN(C)[C@@H](C)CC#N ZINC000290322980 433032006 /nfs/dbraw/zinc/03/20/06/433032006.db2.gz OLGKYSIFPWEAMY-AWEZNQCLSA-N 0 1 306.406 3.097 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)OC3(CCOCC3)C2)c1 ZINC000280422330 433048472 /nfs/dbraw/zinc/04/84/72/433048472.db2.gz IUKLUOSCUYQESR-INIZCTEOSA-N 0 1 317.429 3.021 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccccc1C#Cc1ccccc1 ZINC000349993127 433048201 /nfs/dbraw/zinc/04/82/01/433048201.db2.gz PTSVLXIEZQKCSG-UHFFFAOYSA-N 0 1 301.349 3.370 20 30 DGEDMN CCN(CCC#N)CCCCOc1c(OC)cccc1OC ZINC000290484378 433063927 /nfs/dbraw/zinc/06/39/27/433063927.db2.gz XLSQWMXNLUPXEY-UHFFFAOYSA-N 0 1 306.406 3.098 20 30 DGEDMN C[C@@H](CCC#N)N1CCCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000298241964 433067117 /nfs/dbraw/zinc/06/71/17/433067117.db2.gz SFLMKBAULCPOGS-AWEZNQCLSA-N 0 1 319.836 3.180 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000280610722 433106213 /nfs/dbraw/zinc/10/62/13/433106213.db2.gz YZMMARWPHTUFPI-GOSISDBHSA-N 0 1 315.461 3.283 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC000290593671 433109253 /nfs/dbraw/zinc/10/92/53/433109253.db2.gz QUWNKSRAFNEOTL-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](C3N=NC(=O)O3)C2)CCCCC1 ZINC000425312677 433159456 /nfs/dbraw/zinc/15/94/56/433159456.db2.gz ZOAFNAHMGUJBBV-CYBMUJFWSA-N 0 1 319.405 3.008 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)CCCCC1 ZINC000425312677 433159464 /nfs/dbraw/zinc/15/94/64/433159464.db2.gz ZOAFNAHMGUJBBV-CYBMUJFWSA-N 0 1 319.405 3.008 20 30 DGEDMN Cc1cc([C@H]2CCCN2CCCC2(C#N)CCOCC2)no1 ZINC000374098971 433174465 /nfs/dbraw/zinc/17/44/65/433174465.db2.gz IVUDPMGDQSLYRK-MRXNPFEDSA-N 0 1 303.406 3.221 20 30 DGEDMN C=CCN1CC[C@H](N(Cc2ccoc2)C2CCCCC2)C1=O ZINC000337168422 433210310 /nfs/dbraw/zinc/21/03/10/433210310.db2.gz DCASRZBMYRRSRO-KRWDZBQOSA-N 0 1 302.418 3.201 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000298581438 433186813 /nfs/dbraw/zinc/18/68/13/433186813.db2.gz LTGZDHNIZODTQG-CRAIPNDOSA-N 0 1 301.434 3.042 20 30 DGEDMN Cc1oc(NC(=O)CN(C)[C@H]2CCC(C)(C)C2)c(C#N)c1C ZINC000361470616 433193984 /nfs/dbraw/zinc/19/39/84/433193984.db2.gz OZTLXCWKBARIGU-ZDUSSCGKSA-N 0 1 303.406 3.217 20 30 DGEDMN Cc1nn(C)c2ncc(CN(C)[C@H](C)c3cccc(C#N)c3)cc12 ZINC000425365125 433202828 /nfs/dbraw/zinc/20/28/28/433202828.db2.gz IVMACBDKJNLSRW-CQSZACIVSA-N 0 1 319.412 3.341 20 30 DGEDMN CC1(C#N)CCN(C[C@H](O)c2ccc(C(F)(F)F)cc2)CC1 ZINC000291227429 433229248 /nfs/dbraw/zinc/22/92/48/433229248.db2.gz SXVRSZBDJOAPDQ-AWEZNQCLSA-N 0 1 312.335 3.364 20 30 DGEDMN C=CCOCCCN[C@H](CO)c1c(Cl)cccc1Cl ZINC000361540691 433231022 /nfs/dbraw/zinc/23/10/22/433231022.db2.gz JTFBTQJMYDEDHC-CYBMUJFWSA-N 0 1 304.217 3.209 20 30 DGEDMN COc1ccc2c(c1)C[C@@H](C)N(Cc1cc(C#N)n(C)c1)[C@@H]2C ZINC000361582589 433261524 /nfs/dbraw/zinc/26/15/24/433261524.db2.gz JWQCIKMWGAKTEY-ZIAGYGMSSA-N 0 1 309.413 3.413 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCc3c2cccc3Cl)C1=O ZINC000281401513 433296718 /nfs/dbraw/zinc/29/67/18/433296718.db2.gz QOOKXWMCVCVZKC-JKSUJKDBSA-N 0 1 304.821 3.046 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000425698316 433347305 /nfs/dbraw/zinc/34/73/05/433347305.db2.gz FLILHRSMXZNCAR-BLLLJJGKSA-N 0 1 323.400 3.061 20 30 DGEDMN Cc1nsc(N2CCN(CC3CCCCC3)CC2)c1C#N ZINC000341934967 433369451 /nfs/dbraw/zinc/36/94/51/433369451.db2.gz YRBKMVKQFKBCJW-UHFFFAOYSA-N 0 1 304.463 3.026 20 30 DGEDMN N#Cc1cc(CN[C@]2(CO)CCCc3ccccc32)ccc1F ZINC000281638254 433334306 /nfs/dbraw/zinc/33/43/06/433334306.db2.gz ISJIEWOMUYZMBB-IBGZPJMESA-N 0 1 310.372 3.011 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@H](CC(F)(F)F)C1 ZINC000420941275 433338525 /nfs/dbraw/zinc/33/85/25/433338525.db2.gz FIEFTXYQFDVVFR-UONOGXRCSA-N 0 1 320.399 3.464 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(C#N)c3ccccc3n2)cc1 ZINC000351219863 433421033 /nfs/dbraw/zinc/42/10/33/433421033.db2.gz HWJIXPDXKMOZML-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1cccc(CN2CCN(c3ccc(C#N)cc3F)CC2)c1 ZINC000301169414 433440809 /nfs/dbraw/zinc/44/08/09/433440809.db2.gz WPEIQMUYOXBFLB-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C[C@@H]1CN(CCCNc2ccc(C#N)c(Cl)c2)C[C@@H](C)O1 ZINC000301187360 433444948 /nfs/dbraw/zinc/44/49/48/433444948.db2.gz SYFHLYRMSAWUFO-CHWSQXEVSA-N 0 1 307.825 3.123 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nccc3ccccc32)nc1 ZINC000361974361 433473670 /nfs/dbraw/zinc/47/36/70/433473670.db2.gz PZANSMLYXYVMTF-HNNXBMFYSA-N 0 1 303.321 3.128 20 30 DGEDMN N#Cc1ccnc(N2CCN(Cc3cccc(C4CC4)c3)CC2)c1 ZINC000421321462 433477992 /nfs/dbraw/zinc/47/79/92/433477992.db2.gz CEKFPBRPNUUBML-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN CCn1cc(CCN2CCC[C@H]2[C@H](C#N)c2ccccc2)cn1 ZINC000375696310 433528810 /nfs/dbraw/zinc/52/88/10/433528810.db2.gz GEMYYVURFWNNOR-MOPGFXCFSA-N 0 1 308.429 3.217 20 30 DGEDMN CC(C)N1CCc2nc(CNc3ccccc3C#N)sc2C1 ZINC000414310469 433534764 /nfs/dbraw/zinc/53/47/64/433534764.db2.gz TWJZXMGKZISFPE-UHFFFAOYSA-N 0 1 312.442 3.393 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(CC)C[C@@H]2CC)c1 ZINC000454579457 433494708 /nfs/dbraw/zinc/49/47/08/433494708.db2.gz NKNFUWMJYZUHSU-INIZCTEOSA-N 0 1 317.433 3.199 20 30 DGEDMN C[C@@H](c1ccccc1F)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292321401 433553761 /nfs/dbraw/zinc/55/37/61/433553761.db2.gz VVFSOCWJOQCWID-DZGCQCFKSA-N 0 1 311.360 3.307 20 30 DGEDMN N#Cc1ccc(NC2CCN(Cc3ccc(F)cc3)CC2)cn1 ZINC000301494395 433580078 /nfs/dbraw/zinc/58/00/78/433580078.db2.gz ATSHKPXRDVDUCF-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN N#Cc1cccnc1Sc1nc(-c2ccc3c(c2)CCC3)n[nH]1 ZINC000301553092 433610257 /nfs/dbraw/zinc/61/02/57/433610257.db2.gz RLMJFHRAXAYNPA-UHFFFAOYSA-N 0 1 319.393 3.378 20 30 DGEDMN CC(C)c1ccc(CN2CCN(c3ccc(C#N)nc3)CC2)cc1 ZINC000301577527 433618355 /nfs/dbraw/zinc/61/83/55/433618355.db2.gz MUOCSPQOFUMEEW-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C[C@H](NCc1cc(C#N)cs1)c1ccc([S@](C)=O)cc1 ZINC000339498267 433619651 /nfs/dbraw/zinc/61/96/51/433619651.db2.gz JORFUMWGFGOCNF-YBTHPKLGSA-N 0 1 304.440 3.208 20 30 DGEDMN CC(C)C[C@@H](N)c1noc(-c2cccc(SCC#N)c2)n1 ZINC000414614632 433748851 /nfs/dbraw/zinc/74/88/51/433748851.db2.gz HIGQIYIWFGVKNN-CYBMUJFWSA-N 0 1 302.403 3.398 20 30 DGEDMN C=CCOc1cc(OC)ccc1-c1nc(C2(N)CCCC2)no1 ZINC000414632249 433763699 /nfs/dbraw/zinc/76/36/99/433763699.db2.gz ZLJUIHBGXDKOFX-UHFFFAOYSA-N 0 1 315.373 3.038 20 30 DGEDMN CCOc1cccc(CN(CCO)Cc2ccc(C#N)c(C)c2)c1 ZINC000449761849 433772979 /nfs/dbraw/zinc/77/29/79/433772979.db2.gz BODSGABCAPGBNK-UHFFFAOYSA-N 0 1 324.424 3.260 20 30 DGEDMN C=CCC[C@@H](NC(=O)CN(C)[C@H]1CCSC1)c1ccccc1 ZINC000344309234 433864074 /nfs/dbraw/zinc/86/40/74/433864074.db2.gz DHMSPLHXAPUAEJ-DLBZAZTESA-N 0 1 318.486 3.247 20 30 DGEDMN Cc1cccnc1OC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000377573978 433917933 /nfs/dbraw/zinc/91/79/33/433917933.db2.gz RXOKKXLIKYONBB-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN COc1ccc(C[N@@H+](C)CCN(C)c2ccccc2)cc1C#N ZINC000340150014 433941641 /nfs/dbraw/zinc/94/16/41/433941641.db2.gz GXVNTQLIORSINV-UHFFFAOYSA-N 0 1 309.413 3.135 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1cccc(C#N)c1 ZINC000303912031 433970556 /nfs/dbraw/zinc/97/05/56/433970556.db2.gz UPWBJBYUSCQPLH-KRWDZBQOSA-N 0 1 305.425 3.265 20 30 DGEDMN CC[C@H]1CN(c2cnccc2C#N)CCN1Cc1ccccc1 ZINC000353290929 434073618 /nfs/dbraw/zinc/07/36/18/434073618.db2.gz ILUGGQRYKSIBHS-SFHVURJKSA-N 0 1 306.413 3.054 20 30 DGEDMN CCC(CC)[C@@H](CNc1cccc(F)c1C#N)N1CCOCC1 ZINC000506829844 294277049 /nfs/dbraw/zinc/27/70/49/294277049.db2.gz AFIJFGGYHMXEOL-GOSISDBHSA-N 0 1 319.424 3.246 20 30 DGEDMN C[C@H](N[C@H]1CC(=O)N(c2ccccc2)C1)c1ccc(C#N)c(F)c1 ZINC000346149698 434150388 /nfs/dbraw/zinc/15/03/88/434150388.db2.gz DKPWUXVHNLTNDL-BBRMVZONSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2[C@H](C)C(=O)NC2(C#N)CCC2)s1 ZINC000346271611 434253247 /nfs/dbraw/zinc/25/32/47/434253247.db2.gz GOXQQFWZZVFBFZ-KGLIPLIRSA-N 0 1 317.458 3.144 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccc2nc(C(C)C)sc2c1 ZINC000416933096 434253869 /nfs/dbraw/zinc/25/38/69/434253869.db2.gz AIBQVLNMJQZTNC-LLVKDONJSA-N 0 1 323.443 3.464 20 30 DGEDMN C=CC[C@H](CO)CN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000346615940 434255492 /nfs/dbraw/zinc/25/54/92/434255492.db2.gz AJOLVUMOBJEUHV-KSSFIOAISA-N 0 1 300.377 3.084 20 30 DGEDMN CCC(C#N)(CC)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323856009 434265980 /nfs/dbraw/zinc/26/59/80/434265980.db2.gz ZORRGOGAMZXXTM-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000450600635 434289980 /nfs/dbraw/zinc/28/99/80/434289980.db2.gz WIZRXWRDRMFHFN-KRWDZBQOSA-N 0 1 300.446 3.322 20 30 DGEDMN COc1cc(CN2CC3(CCC3)[C@H]2[C@@H]2CCCO2)ccc1C#N ZINC000365621209 434301972 /nfs/dbraw/zinc/30/19/72/434301972.db2.gz MJLSWBUPOVJTCD-FUHWJXTLSA-N 0 1 312.413 3.100 20 30 DGEDMN CC(C)(CNC(=O)[C@@H]1CCc2[nH]nnc2C1)C1=CCCCC1 ZINC000328949726 434333955 /nfs/dbraw/zinc/33/39/55/434333955.db2.gz CDFTXJZWJICCBP-GFCCVEGCSA-N 0 1 302.422 3.393 20 30 DGEDMN CC(C)(CNC(=O)[C@@H]1CCc2nn[nH]c2C1)C1=CCCCC1 ZINC000328949726 434333965 /nfs/dbraw/zinc/33/39/65/434333965.db2.gz CDFTXJZWJICCBP-GFCCVEGCSA-N 0 1 302.422 3.393 20 30 DGEDMN COc1ccccc1NC(=O)CN1CC[C@@H](C2CCOCC2)C1 ZINC000328819382 434318188 /nfs/dbraw/zinc/31/81/88/434318188.db2.gz HHWQDWWFNLHEKO-OAHLLOKOSA-N 0 1 318.417 3.032 20 30 DGEDMN C[C@H](C[N@H+]1CCC[C@@H](C)C1)NC(=O)c1ccc2nsnc2c1 ZINC000329129164 434363631 /nfs/dbraw/zinc/36/36/31/434363631.db2.gz ZWQOFYLPDWGRET-VXGBXAGGSA-N 0 1 318.446 3.116 20 30 DGEDMN CC1=C(C(=O)N2CCCN(Cc3ccc(C#N)cc3)CC2)CCC1 ZINC000437951422 434372096 /nfs/dbraw/zinc/37/20/96/434372096.db2.gz FVQKBMKSBHUEHE-UHFFFAOYSA-N 0 1 323.440 3.093 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)[C@@H]1C[C@]12CCc1ccccc12 ZINC000328965598 434335767 /nfs/dbraw/zinc/33/57/67/434335767.db2.gz SFXMEQZFEMDUJI-WTANOLMUSA-N 0 1 307.397 3.128 20 30 DGEDMN COc1ccc(CN(CC2(C#N)CCC2)[C@H](CO)C(C)C)cc1 ZINC000450697513 434347358 /nfs/dbraw/zinc/34/73/58/434347358.db2.gz NMXXFWPRBCOPIM-GOSISDBHSA-N 0 1 316.445 3.208 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000329037792 434348213 /nfs/dbraw/zinc/34/82/13/434348213.db2.gz NHKSVEUKIRZOPP-RDBSUJKOSA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000329037792 434348220 /nfs/dbraw/zinc/34/82/20/434348220.db2.gz NHKSVEUKIRZOPP-RDBSUJKOSA-N 0 1 304.438 3.471 20 30 DGEDMN CC[C@H](NC([O-])=[NH+]C1(C)Cc2ccccc2C1)C1CCOCC1 ZINC000329089669 434359440 /nfs/dbraw/zinc/35/94/40/434359440.db2.gz WGZNCZKKGOVPIQ-KRWDZBQOSA-N 0 1 316.445 3.253 20 30 DGEDMN N#CC1(CCN[C@]2(CO)CCOc3ccccc32)CCCCC1 ZINC000411669639 294787404 /nfs/dbraw/zinc/78/74/04/294787404.db2.gz DXLDJYIIXIVNCC-IBGZPJMESA-N 0 1 314.429 3.110 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)[C@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600833 434422345 /nfs/dbraw/zinc/42/23/45/434422345.db2.gz NBARORWTRMMWLE-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)[C@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600833 434422351 /nfs/dbraw/zinc/42/23/51/434422351.db2.gz NBARORWTRMMWLE-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)N(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329536941 434427520 /nfs/dbraw/zinc/42/75/20/434427520.db2.gz BHODCAPGMFVVJT-DDUZABMNSA-N 0 1 324.428 3.264 20 30 DGEDMN C=C(CNC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000287939569 434485034 /nfs/dbraw/zinc/48/50/34/434485034.db2.gz FPPKTQWMTGMAKC-CYBMUJFWSA-N 0 1 313.405 3.002 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329863417 434494279 /nfs/dbraw/zinc/49/42/79/434494279.db2.gz RWNJUWXYWIRZKI-BJJXKVORSA-N 0 1 304.438 3.082 20 30 DGEDMN CC1CCC(N2CCN(c3ncc(C#N)cc3Cl)CC2)CC1 ZINC000360357150 434587307 /nfs/dbraw/zinc/58/73/07/434587307.db2.gz YQTBJWHUJJVFFY-UHFFFAOYSA-N 0 1 318.852 3.307 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000410642753 434664447 /nfs/dbraw/zinc/66/44/47/434664447.db2.gz ZAAAXRBFRHLYIX-HOTGVXAUSA-N 0 1 300.446 3.320 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@@H](C)c2cccc(C#N)c2)c1 ZINC000360772036 434686937 /nfs/dbraw/zinc/68/69/37/434686937.db2.gz ZQCAMQRFTHXXHY-NSHDSACASA-N 0 1 308.337 3.209 20 30 DGEDMN C[C@H](Oc1ccccc1C#N)c1nc(C2(N)CCCCC2)no1 ZINC000424346858 434706731 /nfs/dbraw/zinc/70/67/31/434706731.db2.gz QBFXHYFZBKIWNS-LBPRGKRZSA-N 0 1 312.373 3.199 20 30 DGEDMN CC(C)(C)c1[nH]n(CCC2CCOCC2)c(=O)c1CCC#N ZINC000418905815 434727673 /nfs/dbraw/zinc/72/76/73/434727673.db2.gz VRQPRHXTHXRHJL-UHFFFAOYSA-N 0 1 305.422 3.159 20 30 DGEDMN N#CC1(CCN2CCN(c3ccccc3O)CC2)CCCCC1 ZINC000411156246 434732093 /nfs/dbraw/zinc/73/20/93/434732093.db2.gz QOGUTFHFTUSMRW-UHFFFAOYSA-N 0 1 313.445 3.378 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@H](C)N(CCC)Cc1ccc(C#N)cc1 ZINC000411171271 434736860 /nfs/dbraw/zinc/73/68/60/434736860.db2.gz ZGQVGTVWIHNLRT-HOTGVXAUSA-N 0 1 315.461 3.464 20 30 DGEDMN C[C@@H](CCC#N)N[C@@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000411546810 434799730 /nfs/dbraw/zinc/79/97/30/434799730.db2.gz SZQBNTFJVBQATF-GXFFZTMASA-N 0 1 323.322 3.195 20 30 DGEDMN Cc1cc([C@@H](C)N[C@H]2CCN(c3ccc(C#N)cc3)C2=O)c(C)o1 ZINC000411523255 434794203 /nfs/dbraw/zinc/79/42/03/434794203.db2.gz YCLXDHMHXLRWHM-ACJLOTCBSA-N 0 1 323.396 3.224 20 30 DGEDMN C[C@H]1CN(CCC2(C#N)CCCCC2)C[C@]2(CCCOC2)O1 ZINC000411680911 434817299 /nfs/dbraw/zinc/81/72/99/434817299.db2.gz OBIRUOPMWCJJDA-WMZOPIPTSA-N 0 1 306.450 3.120 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN([C@H]3C[C@H]3c3cccc(F)c3)C2)nc1 ZINC000411756086 434827178 /nfs/dbraw/zinc/82/71/78/434827178.db2.gz JWWIVAAJHNXQHW-BZSNNMDCSA-N 0 1 323.371 3.102 20 30 DGEDMN Cc1cc(CNCc2nc(-c3ccc(F)cc3)n[nH]2)ccc1C#N ZINC000573055770 434870691 /nfs/dbraw/zinc/87/06/91/434870691.db2.gz ZBVJCQDJXAHBFB-UHFFFAOYSA-N 0 1 321.359 3.081 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)C=Cc2cccc(C#N)c2)c1 ZINC000492349384 434965430 /nfs/dbraw/zinc/96/54/30/434965430.db2.gz NWACXMMTAWMVMS-YVMONPNESA-N 0 1 306.321 3.118 20 30 DGEDMN Cc1cc(C2CCN(C(=O)C=Cc3cccc(C#N)c3)CC2)n[nH]1 ZINC000492366345 434969195 /nfs/dbraw/zinc/96/91/95/434969195.db2.gz ICBYTQZHFMYDLY-WAYWQWQTSA-N 0 1 320.396 3.009 20 30 DGEDMN COc1ccc([C@H](NCc2ccc(C#N)cc2F)C2CC2)cn1 ZINC000527840820 435015734 /nfs/dbraw/zinc/01/57/34/435015734.db2.gz JMANSELXJVNISR-GOSISDBHSA-N 0 1 311.360 3.342 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3[C@@H](C)[C@H](C)O[C@H]3C)[nH]c21 ZINC000528952440 435031315 /nfs/dbraw/zinc/03/13/15/435031315.db2.gz AHEAWYOKJGRJRQ-BAYHKCFCSA-N 0 1 311.385 3.107 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2C[C@H](C)C[C@H]2C)c1C ZINC000525410931 435007670 /nfs/dbraw/zinc/00/76/70/435007670.db2.gz RAWPYESDJWMCIK-GDBMZVCRSA-N 0 1 313.445 3.280 20 30 DGEDMN CC[C@H]1CN(C)CCN1C(=O)Nc1ccc(C(C)(C)C#N)cc1 ZINC000556542951 435011226 /nfs/dbraw/zinc/01/12/26/435011226.db2.gz XKWODMRZRYMLME-INIZCTEOSA-N 0 1 314.433 3.046 20 30 DGEDMN N#Cc1csc(CN[C@@H]2CCCN(C3CCCCC3)C2=O)c1 ZINC000527196941 435011654 /nfs/dbraw/zinc/01/16/54/435011654.db2.gz VYSOUZFYZUPGEJ-MRXNPFEDSA-N 0 1 317.458 3.033 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC1(c2ccc(Cl)cc2)CC1 ZINC000557538701 435058307 /nfs/dbraw/zinc/05/83/07/435058307.db2.gz AJCORHOSRKWXLS-UHFFFAOYSA-N 0 1 306.837 3.343 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)[C@H](N)[C@H](C)OCc1ccccc1 ZINC000638864831 435108130 /nfs/dbraw/zinc/10/81/30/435108130.db2.gz BYIXYFZSIXBXQV-DOTOQJQBSA-N 0 1 318.461 3.028 20 30 DGEDMN C=CCOCCCSc1nc(-c2cc(F)cc(F)c2)n[nH]1 ZINC000475975168 435219189 /nfs/dbraw/zinc/21/91/89/435219189.db2.gz UZIKQEJWTVFDPA-UHFFFAOYSA-N 0 1 311.357 3.435 20 30 DGEDMN C=CCOc1ccccc1CN1CC[C@@H](OCc2ccccn2)C1 ZINC000639340925 435251788 /nfs/dbraw/zinc/25/17/88/435251788.db2.gz OCOLOVNRDMGKPS-LJQANCHMSA-N 0 1 324.424 3.438 20 30 DGEDMN C=CCOc1ccccc1CN1CC[C@H](OCc2ccccn2)C1 ZINC000639340924 435252535 /nfs/dbraw/zinc/25/25/35/435252535.db2.gz OCOLOVNRDMGKPS-IBGZPJMESA-N 0 1 324.424 3.438 20 30 DGEDMN CC(C)(C)c1ccc(OC[C@@H](O)CNCC2(C#N)CCC2)cc1 ZINC000564858705 435274420 /nfs/dbraw/zinc/27/44/20/435274420.db2.gz WBSUHDIWPMZAET-INIZCTEOSA-N 0 1 316.445 3.007 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@H]2C(C)(C)C)c1C#N ZINC000535965601 435364847 /nfs/dbraw/zinc/36/48/47/435364847.db2.gz ZPNRARVKKGNXKE-INIZCTEOSA-N 0 1 300.402 3.355 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000481206469 435415892 /nfs/dbraw/zinc/41/58/92/435415892.db2.gz FPRBUBOHHHFVEZ-HNNXBMFYSA-N 0 1 300.446 3.251 20 30 DGEDMN C=C(C)CN(C)[C@@H](C)C(=O)N(C)CCOc1ccc(Cl)cc1 ZINC000481240179 435416241 /nfs/dbraw/zinc/41/62/41/435416241.db2.gz RHCCXOJYGQRYPW-AWEZNQCLSA-N 0 1 324.852 3.074 20 30 DGEDMN C=C(C)CN(C)CC(=O)NCc1ccccc1OC1CCCC1 ZINC000481329590 435422046 /nfs/dbraw/zinc/42/20/46/435422046.db2.gz IDKWGKCZHFSKAN-UHFFFAOYSA-N 0 1 316.445 3.132 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(-c2cccc(OC)c2)n1 ZINC000639662656 435384302 /nfs/dbraw/zinc/38/43/02/435384302.db2.gz GEUKDHKFVPRQHH-SJORKVTESA-N 0 1 314.385 3.173 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(N[C@H]2CC[C@@H](C#N)C2)c1 ZINC000568102161 435393672 /nfs/dbraw/zinc/39/36/72/435393672.db2.gz WKTYLYSGBQVWOF-PBHICJAKSA-N 0 1 314.433 3.071 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](C)c1ccc(OCC)cc1 ZINC000644332322 435435793 /nfs/dbraw/zinc/43/57/93/435435793.db2.gz UBCXISPRYPCALS-OAHLLOKOSA-N 0 1 304.434 3.161 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCN(C)C[C@H]1CC)c1ccccc1 ZINC000517311431 435588491 /nfs/dbraw/zinc/58/84/91/435588491.db2.gz VICLWZWWLRMQHI-MSOLQXFVSA-N 0 1 315.461 3.430 20 30 DGEDMN Cc1ccccc1[C@@H](C)NC(=O)[C@H](C)N1CCC(CC#N)CC1 ZINC000541024606 435635421 /nfs/dbraw/zinc/63/54/21/435635421.db2.gz OPYGAUFQXYBZSX-CVEARBPZSA-N 0 1 313.445 3.186 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@@H]([C@@H](C)O)C2)cc1Cl ZINC000541890752 435646238 /nfs/dbraw/zinc/64/62/38/435646238.db2.gz BQNRUYBTRFNILN-UKRRQHHQSA-N 0 1 309.837 3.498 20 30 DGEDMN C=C(C)CCn1cc([C@@H](N)c2ccc(Br)cc2)nn1 ZINC000641069341 435683399 /nfs/dbraw/zinc/68/33/99/435683399.db2.gz GOLILOMMMDRDNK-AWEZNQCLSA-N 0 1 321.222 3.055 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000490899737 435687527 /nfs/dbraw/zinc/68/75/27/435687527.db2.gz PUHDIQCBDLMADJ-CYBMUJFWSA-N 0 1 305.805 3.289 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cn(-c2ccccc2)nc1-c1cccnc1 ZINC000491405760 435747439 /nfs/dbraw/zinc/74/74/39/435747439.db2.gz KJKGIKMEWDYJPV-INIZCTEOSA-N 0 1 316.408 3.388 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCC[C@@H]2Cc2ccccc2F)C1=O ZINC000649794117 435707446 /nfs/dbraw/zinc/70/74/46/435707446.db2.gz AMMABVQAAYURRY-SJLPKXTDSA-N 0 1 316.420 3.010 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCC[C@H]2C(C)(C)C)CC1 ZINC000491263726 435723857 /nfs/dbraw/zinc/72/38/57/435723857.db2.gz BJUOTPYQURIOGW-IAGOWNOFSA-N 0 1 304.478 3.005 20 30 DGEDMN C#C[C@H](NCc1cn(-c2ccncc2)nc1C)c1ccc(F)cc1 ZINC000491666804 435789276 /nfs/dbraw/zinc/78/92/76/435789276.db2.gz JZLRPDZHDJLPJV-IBGZPJMESA-N 0 1 320.371 3.179 20 30 DGEDMN C#C[C@H](C)N(C)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000491671069 435791415 /nfs/dbraw/zinc/79/14/15/435791415.db2.gz NCVWPLNTOPAQBN-NSHDSACASA-N 0 1 309.394 3.385 20 30 DGEDMN C#C[C@@H](CC)NCc1cc(OC)ccc1OCc1ccccn1 ZINC000491724272 435799439 /nfs/dbraw/zinc/79/94/39/435799439.db2.gz AUEVHRUIDFGCKE-INIZCTEOSA-N 0 1 310.397 3.171 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CC[C@H](CC4CC4)C3)o2)cc1 ZINC000550409480 435803634 /nfs/dbraw/zinc/80/36/34/435803634.db2.gz JGBNWKXESJGDHS-OAHLLOKOSA-N 0 1 308.385 3.230 20 30 DGEDMN CC#CC[C@H](CO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000641767638 435815829 /nfs/dbraw/zinc/81/58/29/435815829.db2.gz NDZIZUYVASHQSP-GFCCVEGCSA-N 0 1 305.324 3.089 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000646776106 435918482 /nfs/dbraw/zinc/91/84/82/435918482.db2.gz IVHRQOSNWXITMG-YJBOKZPZSA-N 0 1 323.440 3.333 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)CCCC1 ZINC000660929365 435925744 /nfs/dbraw/zinc/92/57/44/435925744.db2.gz FRDHWFIGRFHVFK-AWEZNQCLSA-N 0 1 318.465 3.475 20 30 DGEDMN CN(CC#Cc1cccc(Cl)c1)[C@H](CO)Cc1ccccc1 ZINC000661091465 435962416 /nfs/dbraw/zinc/96/24/16/435962416.db2.gz MPIZJPNJARLTTF-IBGZPJMESA-N 0 1 313.828 3.227 20 30 DGEDMN C=C(CC)Cn1cc([C@@H](N)c2ccc(Br)cc2)nn1 ZINC000656453751 435950133 /nfs/dbraw/zinc/95/01/33/435950133.db2.gz VCTBQTWMLBPTDE-AWEZNQCLSA-N 0 1 321.222 3.055 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)NCc1ccc2cncn2c1 ZINC000661305750 436027407 /nfs/dbraw/zinc/02/74/07/436027407.db2.gz YJDNKAQLSUQDCY-RDJZCZTQSA-N 0 1 312.417 3.268 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2[C@H](C)CC[C@@H]2C(=O)[O-])cc1Cl ZINC000650793520 436033047 /nfs/dbraw/zinc/03/30/47/436033047.db2.gz BPYDYQWSKKSEIM-BXUZGUMPSA-N 0 1 309.793 3.342 20 30 DGEDMN CC(C)Cn1nccc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000647380417 436037157 /nfs/dbraw/zinc/03/71/57/436037157.db2.gz PVIDYNDEAPIASI-LBPRGKRZSA-N 0 1 314.414 3.363 20 30 DGEDMN C=CCCn1cc(CNCCc2ccccc2C(F)(F)F)nn1 ZINC000657325644 436136114 /nfs/dbraw/zinc/13/61/14/436136114.db2.gz ORSWZUCYRPUNIN-UHFFFAOYSA-N 0 1 324.350 3.205 20 30 DGEDMN C=CCN(C(=O)c1ccc(-c2nnc[nH]2)cc1)c1ccccc1 ZINC000647741755 436114680 /nfs/dbraw/zinc/11/46/80/436114680.db2.gz HFHSFQMTLQCOEY-UHFFFAOYSA-N 0 1 304.353 3.305 20 30 DGEDMN C=CCCn1cc(CNCC2(c3ccc(C)cc3C)CC2)nn1 ZINC000657477703 436218471 /nfs/dbraw/zinc/21/84/71/436218471.db2.gz RUWGTUAVDMJWQG-UHFFFAOYSA-N 0 1 310.445 3.292 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](c3cc(C)cc(C)c3)C2)nn1 ZINC000653613294 436224124 /nfs/dbraw/zinc/22/41/24/436224124.db2.gz BPUVYBJDFMKDOJ-QGZVFWFLSA-N 0 1 310.445 3.461 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N[C@H](C)c1ccccc1OC ZINC000662079102 436265896 /nfs/dbraw/zinc/26/58/96/436265896.db2.gz KGFOPXWAQSESLY-OAGGEKHMSA-N 0 1 314.429 3.055 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1ccc(F)c(F)c1 ZINC000662079325 436267766 /nfs/dbraw/zinc/26/77/66/436267766.db2.gz JYEIVCRJVOTTIW-BNOWGMLFSA-N 0 1 306.356 3.475 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nc(-c2ccc(OC)cc2)no1 ZINC000662080260 436268912 /nfs/dbraw/zinc/26/89/12/436268912.db2.gz OHADGOLSAFUFAK-GASCZTMLSA-N 0 1 311.385 3.428 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1ccc(F)c(F)c1 ZINC000662079326 436269054 /nfs/dbraw/zinc/26/90/54/436269054.db2.gz JYEIVCRJVOTTIW-FPMFFAJLSA-N 0 1 306.356 3.475 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CCCS(=O)(=O)c1ccccc1 ZINC000662084760 436270208 /nfs/dbraw/zinc/27/02/08/436270208.db2.gz WBLUZNDWCGXTAW-IYBDPMFKSA-N 0 1 305.443 3.033 20 30 DGEDMN C=CCOCCCNCc1c(F)ccc(Br)c1F ZINC000657658766 436270878 /nfs/dbraw/zinc/27/08/78/436270878.db2.gz DGGFCNYGKFIZLO-UHFFFAOYSA-N 0 1 320.177 3.410 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC1(c2cccc(OC)c2)CCC1 ZINC000662109455 436278034 /nfs/dbraw/zinc/27/80/34/436278034.db2.gz ZMHKMPFUGMTBGR-UHFFFAOYSA-N 0 1 316.445 3.089 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000663236364 436427433 /nfs/dbraw/zinc/42/74/33/436427433.db2.gz SZZBIKBVUHHEDJ-ZNMIVQPWSA-N 0 1 319.474 3.243 20 30 DGEDMN Cn1cc(CNCc2ccc(OC3CCCCC3)nc2)cc1C#N ZINC000558761038 314863182 /nfs/dbraw/zinc/86/31/82/314863182.db2.gz RYGGDRXVLUBPIA-UHFFFAOYSA-N 0 1 324.428 3.293 20 30 DGEDMN N#Cc1cnc2c(Cl)cccc2c1NCc1n[nH]c(C2CC2)n1 ZINC000564079875 315155996 /nfs/dbraw/zinc/15/59/96/315155996.db2.gz PBUDXQWEMMJPFC-UHFFFAOYSA-N 0 1 324.775 3.367 20 30 DGEDMN COc1cc(CN(C)Cc2ccccc2N(C)C)ccc1C#N ZINC000565070254 315226797 /nfs/dbraw/zinc/22/67/97/315226797.db2.gz RKRVBZMIVNQBQI-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN C[C@@H]1CN(c2nc3c(cc2C#N)CCCC3(C)C)C[C@H](C)N1C ZINC000577601641 316252156 /nfs/dbraw/zinc/25/21/56/316252156.db2.gz GTYKYJHXEQJYQJ-OKILXGFUSA-N 0 1 312.461 3.096 20 30 DGEDMN COc1ccc(C#N)cc1CN(Cc1ccccc1)CC(C)(C)O ZINC000180236315 323224579 /nfs/dbraw/zinc/22/45/79/323224579.db2.gz UVWBQZOZEVFKKK-UHFFFAOYSA-N 0 1 324.424 3.340 20 30 DGEDMN CCN(C(=O)[C@H](C)Cc1cnc[nH]1)[C@@H](C)c1ccc(C#N)cc1 ZINC000581080674 324071630 /nfs/dbraw/zinc/07/16/30/324071630.db2.gz UBVVEWUPLIAHEV-KGLIPLIRSA-N 0 1 310.401 3.070 20 30 DGEDMN COC(=O)c1cccc(C[N@@H+](CC2CC2)CC2CCC2)c1C#N ZINC000581859505 324160630 /nfs/dbraw/zinc/16/06/30/324160630.db2.gz MHAODSWGZZGRQU-UHFFFAOYSA-N 0 1 312.413 3.357 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)nc1 ZINC000582130649 324193616 /nfs/dbraw/zinc/19/36/16/324193616.db2.gz JUKMKOAZACVUSR-KRWDZBQOSA-N 0 1 313.788 3.260 20 30 DGEDMN Cc1cc([C@H](C)NCC(=O)N[C@](C)(C#N)C(C)C)c(C)s1 ZINC000193133279 332912572 /nfs/dbraw/zinc/91/25/72/332912572.db2.gz PFQUVXIRRSHAHX-BLLLJJGKSA-N 0 1 307.463 3.070 20 30 DGEDMN CC#CCNC(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000521131806 333201839 /nfs/dbraw/zinc/20/18/39/333201839.db2.gz FEKRNTNZSYJWQM-MRXNPFEDSA-N 0 1 321.852 3.045 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000499426696 333267335 /nfs/dbraw/zinc/26/73/35/333267335.db2.gz ZODASNAOFOIHEM-SJORKVTESA-N 0 1 300.446 3.464 20 30 DGEDMN Cc1ccnc2[nH]c([C@@H](C#N)C(=O)c3ccc(C4CC4)cn3)nc21 ZINC000531525245 333533523 /nfs/dbraw/zinc/53/35/23/333533523.db2.gz FSQGGEKKDQKBBQ-ZDUSSCGKSA-N 0 1 317.352 3.029 20 30 DGEDMN N#CCCOCCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000293580195 334199403 /nfs/dbraw/zinc/19/94/03/334199403.db2.gz TVCVSZXNZSCTAP-CQSZACIVSA-N 0 1 301.415 3.363 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C)C1CC1 ZINC000537927989 334273982 /nfs/dbraw/zinc/27/39/82/334273982.db2.gz AASBBRXFENHPOR-INIZCTEOSA-N 0 1 321.852 3.303 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cc(C(C)C)[nH]n3)[nH]c21 ZINC000345369997 335321047 /nfs/dbraw/zinc/32/10/47/335321047.db2.gz HZODRGOOPGNEGA-NSHDSACASA-N 0 1 307.357 3.208 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cc(Cl)cn3C)[nH]c21 ZINC000345371361 335321292 /nfs/dbraw/zinc/32/12/92/335321292.db2.gz JUUUFBCSFDPWDE-NSHDSACASA-N 0 1 312.760 3.353 20 30 DGEDMN CSCCCN1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348330505 335582228 /nfs/dbraw/zinc/58/22/28/335582228.db2.gz XMFKPUUCXHYOLT-INIZCTEOSA-N 0 1 323.893 3.275 20 30 DGEDMN N#CCC1CCN(Cc2cnn(Cc3ccc(F)cc3)c2)CC1 ZINC000526113153 336165479 /nfs/dbraw/zinc/16/54/79/336165479.db2.gz NWJOIGZFBVVZGP-UHFFFAOYSA-N 0 1 312.392 3.196 20 30 DGEDMN C=CCC[C@H](CO)NCc1csc(-c2ccc(F)cc2)n1 ZINC000320981948 337088507 /nfs/dbraw/zinc/08/85/07/337088507.db2.gz HUTDJWIEPLVMTK-CQSZACIVSA-N 0 1 306.406 3.366 20 30 DGEDMN CC#CCN(C)C[C@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000583825766 337337287 /nfs/dbraw/zinc/33/72/87/337337287.db2.gz CDEQNYXXBLECDG-IBGZPJMESA-N 0 1 309.409 3.048 20 30 DGEDMN Cc1c(C#N)c(NCc2n[nH]c(C(C)C)n2)nc2ccccc12 ZINC000294993986 533716451 /nfs/dbraw/zinc/71/64/51/533716451.db2.gz QRFTYWMGSWCODR-UHFFFAOYSA-N 0 1 306.373 3.269 20 30 DGEDMN C=CCCC[C@@H](C)N(C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000516217578 338007718 /nfs/dbraw/zinc/00/77/18/338007718.db2.gz MPMPENOMCGMJPH-CYBMUJFWSA-N 0 1 324.494 3.352 20 30 DGEDMN C=CCNC(=O)[C@H](C)Nc1ccc(N2CCCCCC2)cc1C ZINC000504416852 340147610 /nfs/dbraw/zinc/14/76/10/340147610.db2.gz VCEXKKRZCPCXJC-INIZCTEOSA-N 0 1 315.461 3.478 20 30 DGEDMN Cc1ccc(NC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000505437507 340168469 /nfs/dbraw/zinc/16/84/69/340168469.db2.gz CEPHWPQJDRFUMD-CQSZACIVSA-N 0 1 304.353 3.426 20 30 DGEDMN CCOC1CC(Cn2[nH]c(C(C)(C)C)c(CCC#N)c2=O)C1 ZINC000505546278 340170703 /nfs/dbraw/zinc/17/07/03/340170703.db2.gz DHIFCRHXWVRKIO-UHFFFAOYSA-N 0 1 305.422 3.157 20 30 DGEDMN CN(CC#Cc1ccc(OC(F)(F)F)cc1)[C@H]1CCCOC1 ZINC000510153968 340290750 /nfs/dbraw/zinc/29/07/50/340290750.db2.gz FDCSBLWQWSLNJO-AWEZNQCLSA-N 0 1 313.319 3.048 20 30 DGEDMN Fc1ccc(C#CCN2CC[C@@H](n3ncc4ccccc43)C2)cc1 ZINC000510459978 340300067 /nfs/dbraw/zinc/30/00/67/340300067.db2.gz SXWSMYZSFGZKJO-LJQANCHMSA-N 0 1 319.383 3.474 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccccc1-c1ccccc1 ZINC000088474746 340461232 /nfs/dbraw/zinc/46/12/32/340461232.db2.gz SVORWFDHCQAVQJ-CQSZACIVSA-N 0 1 300.383 3.397 20 30 DGEDMN COC[C@H](CNC[C@H](C#N)CCC#N)c1ccc(Cl)cc1 ZINC000517340523 340468101 /nfs/dbraw/zinc/46/81/01/340468101.db2.gz ZIOXSQJQSPYTSC-ZFWWWQNUSA-N 0 1 305.809 3.103 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000540557941 340936136 /nfs/dbraw/zinc/93/61/36/340936136.db2.gz DAWXWCQFCGHVOR-WMLDXEAASA-N 0 1 321.440 3.472 20 30 DGEDMN COc1cccc(CN2CCC(CC#N)CC2)c1OC(F)F ZINC000540993172 340954769 /nfs/dbraw/zinc/95/47/69/340954769.db2.gz GIWPWRZOITUDAW-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN N#CCC[C@@H](NCc1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000130411054 341020997 /nfs/dbraw/zinc/02/09/97/341020997.db2.gz QNXSMOMAMYBOCT-LJQANCHMSA-N 0 1 317.396 3.402 20 30 DGEDMN C[C@H](C#N)CNC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000543698848 341053900 /nfs/dbraw/zinc/05/39/00/341053900.db2.gz CAYKCNQSXSSQGC-SNVBAGLBSA-N 0 1 310.382 3.181 20 30 DGEDMN COc1cc2c(cc1CN1CCC(CC#N)CC1)O[C@@H](C)C2 ZINC000543779052 341058453 /nfs/dbraw/zinc/05/84/53/341058453.db2.gz BLYAXLRWDSOARL-ZDUSSCGKSA-N 0 1 300.402 3.144 20 30 DGEDMN CC#CCCNC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000544581217 341087246 /nfs/dbraw/zinc/08/72/46/341087246.db2.gz HZOSJUJKTOUAPX-UHFFFAOYSA-N 0 1 320.436 3.462 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CCC(C)CC1 ZINC000073602707 341118828 /nfs/dbraw/zinc/11/88/28/341118828.db2.gz LDONBMTUBDOOHO-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN CC(C)[C@]1(CO)CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC000550433461 341316936 /nfs/dbraw/zinc/31/69/36/341316936.db2.gz KUQGZRALJFNAEG-GOSISDBHSA-N 0 1 305.849 3.422 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1 ZINC000552053720 341365332 /nfs/dbraw/zinc/36/53/32/341365332.db2.gz TVMHKZZNCAIBLE-OAUYIBNBSA-N 0 1 319.355 3.298 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(CC)[C@H](C)Cc1ccsc1 ZINC000249589546 341399662 /nfs/dbraw/zinc/39/96/62/341399662.db2.gz VABCFYQXSISNDM-HUUCEWRRSA-N 0 1 321.490 3.009 20 30 DGEDMN COc1cc(CN2CC[C@@H](OCCC(C)C)C2)ccc1C#N ZINC000442885796 533818125 /nfs/dbraw/zinc/81/81/25/533818125.db2.gz OMNQIABYCNAWBM-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3cccc(C#N)c3C)[nH]c2c1 ZINC000432290222 534501484 /nfs/dbraw/zinc/50/14/84/534501484.db2.gz AZRNWTJQUVAKNY-UHFFFAOYSA-N 0 1 319.368 3.373 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)NCCc1ccc(Cl)cc1 ZINC000489502895 534559172 /nfs/dbraw/zinc/55/91/72/534559172.db2.gz ZGAMSPKXKZOLAG-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN CN(CCCOc1cccc(C#N)c1)Cc1cc(Cl)cn1C ZINC000156700430 522781959 /nfs/dbraw/zinc/78/19/59/522781959.db2.gz VVRMGIJSDYGNIT-UHFFFAOYSA-N 0 1 317.820 3.451 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000246311145 523524755 /nfs/dbraw/zinc/52/47/55/523524755.db2.gz QYHVJORSCBVCQS-LJQANCHMSA-N 0 1 321.424 3.056 20 30 DGEDMN N#C[C@H](C(=O)c1ccncc1Oc1ccccc1)c1ccncn1 ZINC000442535863 534741616 /nfs/dbraw/zinc/74/16/16/534741616.db2.gz MCAPBYZGMWWWJN-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1ccncc1Oc1ccccc1)c1ccncn1 ZINC000442535863 534741622 /nfs/dbraw/zinc/74/16/22/534741622.db2.gz MCAPBYZGMWWWJN-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN Cc1csc(-c2cccc(C(=O)[C@@H](C#N)c3ccncn3)c2)n1 ZINC000354464716 534763155 /nfs/dbraw/zinc/76/31/55/534763155.db2.gz KNROHZDTQFWWKO-AWEZNQCLSA-N 0 1 320.377 3.399 20 30 DGEDMN Cc1csc(-c2cccc(C(=O)C(C#N)c3ccncn3)c2)n1 ZINC000354464716 534763160 /nfs/dbraw/zinc/76/31/60/534763160.db2.gz KNROHZDTQFWWKO-AWEZNQCLSA-N 0 1 320.377 3.399 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000184147129 526375301 /nfs/dbraw/zinc/37/53/01/526375301.db2.gz YAYXNVWYYJMCLD-UHFFFAOYSA-N 0 1 324.409 3.362 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccccc2OC(F)F)CC1 ZINC000351863477 526445832 /nfs/dbraw/zinc/44/58/32/526445832.db2.gz WARQKUXGLVHYDP-UHFFFAOYSA-N 0 1 324.371 3.012 20 30 DGEDMN C=C(C)CN(CCc1csc(C)n1)Cc1ccc(CO)o1 ZINC000352893157 526553553 /nfs/dbraw/zinc/55/35/53/526553553.db2.gz NCJVDZVWJYOBAL-UHFFFAOYSA-N 0 1 306.431 3.158 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](C)c1oc2ccc(OC)cc2c1C ZINC000184320359 526636401 /nfs/dbraw/zinc/63/64/01/526636401.db2.gz POGXSCZTGMHKPK-OLZOCXBDSA-N 0 1 316.401 3.091 20 30 DGEDMN C=C(C)COCCNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093351698 526647367 /nfs/dbraw/zinc/64/73/67/526647367.db2.gz WSGBWVCDKUFVDH-UHFFFAOYSA-N 0 1 324.331 3.215 20 30 DGEDMN C#CCCCCC(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000180188419 526690944 /nfs/dbraw/zinc/69/09/44/526690944.db2.gz KDNZDCYRKSHCOH-UHFFFAOYSA-N 0 1 302.765 3.257 20 30 DGEDMN C=CCOCC(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000354567111 526707870 /nfs/dbraw/zinc/70/78/70/526707870.db2.gz WSKRBWRXBZUAGW-MRXNPFEDSA-N 0 1 324.852 3.042 20 30 DGEDMN C=CCOCCN(CC(=O)Nc1ccc(F)cc1)C(C)(C)C ZINC000339048341 526768606 /nfs/dbraw/zinc/76/86/06/526768606.db2.gz MBOINUCJMMPTDP-UHFFFAOYSA-N 0 1 308.397 3.067 20 30 DGEDMN C=CCOc1ccc(CN[C@H](CO)CC(F)(F)F)cc1Cl ZINC000339791262 526890908 /nfs/dbraw/zinc/89/09/08/526890908.db2.gz FLOXZWYTOPWUIH-NSHDSACASA-N 0 1 323.742 3.308 20 30 DGEDMN C=CCOc1ccc(CN[C@H](c2nnc[nH]2)c2ccccc2)cc1 ZINC000343924911 526890929 /nfs/dbraw/zinc/89/09/29/526890929.db2.gz IDFDQMPHKGYIGW-SFHVURJKSA-N 0 1 320.396 3.249 20 30 DGEDMN C=CCOc1cccc(CN2CCC[C@H]2[C@@H](O)C(F)(F)F)c1 ZINC000353529827 526900424 /nfs/dbraw/zinc/90/04/24/526900424.db2.gz RFBYSCDLIUEYHY-LSDHHAIUSA-N 0 1 315.335 3.139 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2cccc(-c3nnc[nH]3)c2)c1 ZINC000162506494 526919052 /nfs/dbraw/zinc/91/90/52/526919052.db2.gz ASWTVIRXFYZKOR-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN C=CCSCCN[C@@H](C)c1nnn(-c2ccc(C)cc2)c1C ZINC000358037945 527004982 /nfs/dbraw/zinc/00/49/82/527004982.db2.gz OKDHSQQTHVJALJ-AWEZNQCLSA-N 0 1 316.474 3.454 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1cccc(Br)c1 ZINC000342725906 527323754 /nfs/dbraw/zinc/32/37/54/527323754.db2.gz SJRMSJULYYBWMG-NSHDSACASA-N 0 1 311.223 3.284 20 30 DGEDMN C=CCN1C(=O)N=NC1S[C@H](CCOC)c1ccccc1 ZINC000340546205 527333756 /nfs/dbraw/zinc/33/37/56/527333756.db2.gz MXCLLLOKAWXNMI-CYBMUJFWSA-N 0 1 305.403 3.040 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1coc(-c2ccccc2)n1 ZINC000348113838 527334730 /nfs/dbraw/zinc/33/47/30/527334730.db2.gz GYKLXBWPWGTHJK-UHFFFAOYSA-N 0 1 314.370 3.117 20 30 DGEDMN C=CCN(C)Cc1cc(Br)c(OCC)c(OC)c1 ZINC000154777984 527574492 /nfs/dbraw/zinc/57/44/92/527574492.db2.gz WADVSYRLTXDLKA-UHFFFAOYSA-N 0 1 314.223 3.474 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCc1ccc2c(c1)OCCO2 ZINC000360026884 527681329 /nfs/dbraw/zinc/68/13/29/527681329.db2.gz AEROHERIUJVFCX-UHFFFAOYSA-N 0 1 302.418 3.262 20 30 DGEDMN CCOC(=O)[C@H](C)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000175899934 527943549 /nfs/dbraw/zinc/94/35/49/527943549.db2.gz WSZBEXVMQNIKOU-XUNMKLQISA-N 0 1 304.173 3.317 20 30 DGEDMN CCCC(=O)Nc1cccc(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000442614500 527966935 /nfs/dbraw/zinc/96/69/35/527966935.db2.gz ANYKSNXZUJEOFV-UHFFFAOYSA-N 0 1 323.352 3.255 20 30 DGEDMN CCOc1ccc2c(c1)CCN(CCOc1ccccc1C#N)C2 ZINC000441095689 528619105 /nfs/dbraw/zinc/61/91/05/528619105.db2.gz UWZDHUDWVGGBGA-UHFFFAOYSA-N 0 1 322.408 3.394 20 30 DGEDMN CCOc1ccccc1C1=CCN(CCOCCC#N)CC1 ZINC000338933128 528712825 /nfs/dbraw/zinc/71/28/25/528712825.db2.gz HVFIXYRUBKXHDX-UHFFFAOYSA-N 0 1 300.402 3.105 20 30 DGEDMN CCCCc1ccc(NS(=O)(=O)c2ccc(C#N)nc2)cc1 ZINC000318328543 529027286 /nfs/dbraw/zinc/02/72/86/529027286.db2.gz RMSJFLARNFTGSU-UHFFFAOYSA-N 0 1 315.398 3.097 20 30 DGEDMN CC1(C)CN(C[C@@H](O)c2ccc(C#N)cc2)[C@@H]1c1ccncc1 ZINC000292445981 529122203 /nfs/dbraw/zinc/12/22/03/529122203.db2.gz NICDVTOYPPAGHB-QZTJIDSGSA-N 0 1 307.397 3.070 20 30 DGEDMN CC[C@H](CC#N)N[C@@H](C)CC(=O)N(C)Cc1ccccc1Cl ZINC000184094294 529301256 /nfs/dbraw/zinc/30/12/56/529301256.db2.gz ZAOXODVJRCIBBO-DZGCQCFKSA-N 0 1 321.852 3.359 20 30 DGEDMN CC[C@H](CC#N)N[C@H](C)CC(=O)N(C)Cc1ccccc1Cl ZINC000184094330 529301315 /nfs/dbraw/zinc/30/13/15/529301315.db2.gz ZAOXODVJRCIBBO-UKRRQHHQSA-N 0 1 321.852 3.359 20 30 DGEDMN CC[C@H]1CN(c2nc(C)ccc2C#N)CCN1Cc1ccccc1 ZINC000302172917 529301973 /nfs/dbraw/zinc/30/19/73/529301973.db2.gz VOHZZHPGKIDXNI-IBGZPJMESA-N 0 1 320.440 3.363 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(CC#N)cc2)c(F)cc1F ZINC000084649982 545792281 /nfs/dbraw/zinc/79/22/81/545792281.db2.gz GUUVEOYVLYWHHR-UHFFFAOYSA-N 0 1 322.336 3.140 20 30 DGEDMN O=C(Nc1ccc2nc(N3CCOCC3)[nH]c2c1)C1CCCC1 ZINC000328784037 546481663 /nfs/dbraw/zinc/48/16/63/546481663.db2.gz GRROPEULVLEXHN-UHFFFAOYSA-N 0 1 314.389 3.178 20 30 DGEDMN N#C[C@@H]1CCC[C@H]1[NH+]=C([O-])N1CCCn2c(cc3ccccc32)C1 ZINC000329386385 546485566 /nfs/dbraw/zinc/48/55/66/546485566.db2.gz QJIDEAXCNRIXQQ-DOTOQJQBSA-N 0 1 322.412 3.453 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)c1 ZINC000668031660 547365466 /nfs/dbraw/zinc/36/54/66/547365466.db2.gz BPAJRSZSFGAAQZ-CYBMUJFWSA-N 0 1 311.385 3.108 20 30 DGEDMN CCC#C[C@@H](C)Sc1nc(-c2ccc(OC)cc2OC)n[nH]1 ZINC000671163409 547772858 /nfs/dbraw/zinc/77/28/58/547772858.db2.gz SHXKVPNQQHRUSR-LLVKDONJSA-N 0 1 317.414 3.383 20 30 DGEDMN C=C(C)[C@@H](CO)N[C@@H](C)c1ccc(Br)cc1F ZINC000671741194 547821752 /nfs/dbraw/zinc/82/17/52/547821752.db2.gz OHLLSNZUULGMOB-TVQRCGJNSA-N 0 1 302.187 3.176 20 30 DGEDMN OCC[C@@H]1CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000674251340 548052642 /nfs/dbraw/zinc/05/26/42/548052642.db2.gz RFTLVUSIUFCTBL-HNNXBMFYSA-N 0 1 311.347 3.151 20 30 DGEDMN C=C(CN[C@@H](C)CS(=O)(=O)c1ccccc1)c1ccccc1 ZINC000680462627 549054584 /nfs/dbraw/zinc/05/45/84/549054584.db2.gz SWZPCCJCRNVGJT-INIZCTEOSA-N 0 1 315.438 3.152 20 30 DGEDMN C=C(C)[C@@H](NCc1ccn(C)c(=O)c1)c1ccc(F)c(F)c1 ZINC000681464823 549207851 /nfs/dbraw/zinc/20/78/51/549207851.db2.gz PWOFTIJOLAULSX-QGZVFWFLSA-N 0 1 304.340 3.071 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@H]1CCCN(C(C)C)C1=O ZINC000684088784 549576732 /nfs/dbraw/zinc/57/67/32/549576732.db2.gz KMSOOGRHBMUAQR-SFHVURJKSA-N 0 1 300.446 3.117 20 30 DGEDMN C=CCOCCCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000684204913 549594724 /nfs/dbraw/zinc/59/47/24/549594724.db2.gz RWJHGTOPUAGGAM-GOSISDBHSA-N 0 1 300.377 3.492 20 30 DGEDMN C=CCN(CC1CCC(C(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000685411161 549755514 /nfs/dbraw/zinc/75/55/14/549755514.db2.gz SBOFNVKKNGVDNB-OFLPRAFFSA-N 0 1 313.507 3.124 20 30 DGEDMN C=CC[C@@H](CO)NCc1c(Cl)cc(Cl)cc1N(C)C ZINC000685620326 549781590 /nfs/dbraw/zinc/78/15/90/549781590.db2.gz GYISKCUKORCGOW-NSHDSACASA-N 0 1 303.233 3.086 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C)c(C)c1Cl ZINC001567589756 1190222562 /nfs/dbraw/zinc/22/25/62/1190222562.db2.gz OHHBTPOPLRDCIR-UHFFFAOYSA-N 0 1 315.244 3.371 20 30 DGEDMN N#CCN1CCC(/N=C/c2cc(Cl)cc(Cl)c2O)CC1 ZINC000730434733 574529457 /nfs/dbraw/zinc/52/94/57/574529457.db2.gz RUWLXFSPOHIXAA-GIJQJNRQSA-N 0 1 312.200 3.106 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2cn[nH]c2-c2ccccc2)cc1 ZINC000731052634 574542702 /nfs/dbraw/zinc/54/27/02/574542702.db2.gz CHYDJTVZSZGFMC-UHFFFAOYSA-N 0 1 317.348 3.341 20 30 DGEDMN COCCNC(=S)Nc1ccccc1S[C@@H](C)CC#N ZINC000731318793 574546964 /nfs/dbraw/zinc/54/69/64/574546964.db2.gz DEHUOTFERKBGBQ-NSHDSACASA-N 0 1 309.460 3.014 20 30 DGEDMN CC(=NNc1ccccc1S(C)(=O)=O)c1cccc(F)c1 ZINC000731935749 574562791 /nfs/dbraw/zinc/56/27/91/574562791.db2.gz PMXTWHYOTDBUTK-UHFFFAOYSA-N 0 1 306.362 3.065 20 30 DGEDMN CC(=NNc1ccccc1S(C)(=O)=O)c1ccc(F)cc1 ZINC000731934111 574562837 /nfs/dbraw/zinc/56/28/37/574562837.db2.gz WLVCUGRLSCSSNX-UHFFFAOYSA-N 0 1 306.362 3.065 20 30 DGEDMN Cc1ccc(C=NNc2ccccc2S(C)(=O)=O)c(C)c1 ZINC000731933281 574562957 /nfs/dbraw/zinc/56/29/57/574562957.db2.gz JKYPMIURKBQRRW-UHFFFAOYSA-N 0 1 302.399 3.153 20 30 DGEDMN CC[C@@H](C#N)Oc1cc(CNCc2ccncc2)ccc1OC ZINC000733330186 574616702 /nfs/dbraw/zinc/61/67/02/574616702.db2.gz RHRAIOLFIQBSBD-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN c1ccc(CN2CCC(N=Nc3cnnc4ccccc34)C2)cc1 ZINC000733748226 574636619 /nfs/dbraw/zinc/63/66/19/574636619.db2.gz MDNFDVZZTNNIRT-UHFFFAOYSA-N 0 1 317.396 3.304 20 30 DGEDMN C#CCN(CCNC(=O)OC(C)(C)C)[C@H]1CCc2ccccc21 ZINC000733897350 574642032 /nfs/dbraw/zinc/64/20/32/574642032.db2.gz BSNYZZFHAWCDHS-KRWDZBQOSA-N 0 1 314.429 3.134 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCc3ccccc32)nc(C)n1 ZINC000734705715 574673949 /nfs/dbraw/zinc/67/39/49/574673949.db2.gz OYRAGLGYJUOPJO-WBVHZDCISA-N 0 1 305.381 3.390 20 30 DGEDMN Cc1cccc(C(C)N=Nc2ccc(-c3nn[nH]n3)cc2)c1C ZINC000735451216 574703257 /nfs/dbraw/zinc/70/32/57/574703257.db2.gz UIYMQSJITWMUNG-UHFFFAOYSA-N 0 1 306.373 3.320 20 30 DGEDMN CCCCOCC(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000735767466 574713499 /nfs/dbraw/zinc/71/34/99/574713499.db2.gz KSLUMMQSSUIURL-ZDUSSCGKSA-N 0 1 315.398 3.198 20 30 DGEDMN C[C@H](C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1)c1ccccc1 ZINC000736574471 574759335 /nfs/dbraw/zinc/75/93/35/574759335.db2.gz DLKLPEFNSUONBJ-KDOFPFPSSA-N 0 1 308.381 3.419 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc2ccccc2[nH]c1=O ZINC000736639467 574762356 /nfs/dbraw/zinc/76/23/56/574762356.db2.gz YWIUPHHHTZFSRM-UHFFFAOYSA-N 0 1 318.376 3.242 20 30 DGEDMN C[C@@H]([NH2+][C@@H](C(=O)[O-])c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000736662717 574802064 /nfs/dbraw/zinc/80/20/64/574802064.db2.gz SEHGITAIINNAMI-QLJPJBMISA-N 0 1 316.307 3.313 20 30 DGEDMN CCS[C@H]1CCCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000737232126 574828139 /nfs/dbraw/zinc/82/81/39/574828139.db2.gz ZCGWFKSPDCCXOY-JKSUJKDBSA-N 0 1 318.442 3.292 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737472652 574847358 /nfs/dbraw/zinc/84/73/58/574847358.db2.gz UTEMXGBTGTUCKK-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)NCc1cc(CC)[nH]n1 ZINC000739021471 574889226 /nfs/dbraw/zinc/88/92/26/574889226.db2.gz ZNANHLKMJRVZSC-UHFFFAOYSA-N 0 1 316.380 3.009 20 30 DGEDMN CN(C)c1nccc(N=NCc2cccc(C(F)(F)F)c2)n1 ZINC000739414441 574902430 /nfs/dbraw/zinc/90/24/30/574902430.db2.gz YFOULQPUJZMNDP-UHFFFAOYSA-N 0 1 309.295 3.007 20 30 DGEDMN C=C(Cl)COC(=O)[C@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000741331922 574955975 /nfs/dbraw/zinc/95/59/75/574955975.db2.gz VQYXHYZGAUVRIX-AWEZNQCLSA-N 0 1 311.784 3.333 20 30 DGEDMN Cc1nc(Cl)cc(N=NC2CCN(Cc3ccccc3)C2)n1 ZINC000741765767 574969361 /nfs/dbraw/zinc/96/93/61/574969361.db2.gz DHDDHIPTBIVRLE-UHFFFAOYSA-N 0 1 315.808 3.112 20 30 DGEDMN C#CCN(CCOc1ccc(C#N)cc1)Cc1ccc(F)cc1 ZINC000744211287 575078630 /nfs/dbraw/zinc/07/86/30/575078630.db2.gz KIUBZWHTPAWAJO-UHFFFAOYSA-N 0 1 308.356 3.212 20 30 DGEDMN CN(C)c1ccc(C=NNCCCOc2ccccc2)cc1F ZINC000729148834 575220424 /nfs/dbraw/zinc/22/04/24/575220424.db2.gz GIZJYQVADYSYRD-UHFFFAOYSA-N 0 1 315.392 3.284 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1CCSC1=S ZINC000746055793 575429080 /nfs/dbraw/zinc/42/90/80/575429080.db2.gz GATFJYWJKWBKQK-UHFFFAOYSA-N 0 1 319.499 3.307 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1coc2ccccc12 ZINC000746559108 575461245 /nfs/dbraw/zinc/46/12/45/575461245.db2.gz TUAGHGVBQWCIDF-UHFFFAOYSA-N 0 1 312.276 3.214 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=Cc1cc(C)c(O)c(C)c1 ZINC000746681371 575469650 /nfs/dbraw/zinc/46/96/50/575469650.db2.gz HIOLVVBAVVOOQA-UHFFFAOYSA-N 0 1 315.329 3.372 20 30 DGEDMN COc1ccc(CN=Nc2ccc([N+](=O)[O-])cc2OC)cc1F ZINC000746681707 575469718 /nfs/dbraw/zinc/46/97/18/575469718.db2.gz NUFQTALXJSTCHP-UHFFFAOYSA-N 0 1 319.292 3.197 20 30 DGEDMN CCOc1cccc(C=NNc2ccc([N+](=O)[O-])cc2OC)c1 ZINC000746681713 575469732 /nfs/dbraw/zinc/46/97/32/575469732.db2.gz ODCQVSNEZOUWTH-UHFFFAOYSA-N 0 1 315.329 3.448 20 30 DGEDMN Cc1cc(C)c(OCC(=O)Nc2cc(C#N)ccc2O)c(C)c1 ZINC000748410089 575585137 /nfs/dbraw/zinc/58/51/37/575585137.db2.gz AZAXFFRESVKKPN-UHFFFAOYSA-N 0 1 310.353 3.207 20 30 DGEDMN C[C@@H](OC(=O)[C@@H](C)N1CCCCCC1)c1ccc(C#N)cc1 ZINC000748677742 575600592 /nfs/dbraw/zinc/60/05/92/575600592.db2.gz SIZIWSZJPGVQTK-HUUCEWRRSA-N 0 1 300.402 3.427 20 30 DGEDMN C#CCN(CCC(=O)N(C)c1ccccc1)Cc1ccc(F)cc1 ZINC000749513334 575662302 /nfs/dbraw/zinc/66/23/02/575662302.db2.gz CLKMBUHYRRHESX-UHFFFAOYSA-N 0 1 324.399 3.314 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)ccn1 ZINC000749523114 575662994 /nfs/dbraw/zinc/66/29/94/575662994.db2.gz PKSYORGNFDKGHM-JKSUJKDBSA-N 0 1 313.426 3.103 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(CCC#N)CC(C)C)o1 ZINC000751373171 575781841 /nfs/dbraw/zinc/78/18/41/575781841.db2.gz FCWMKDPFKNNRFB-UHFFFAOYSA-N 0 1 305.422 3.133 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc3c(c2)CCN3C)c(O)c1 ZINC000751536516 575789772 /nfs/dbraw/zinc/78/97/72/575789772.db2.gz VJYQCRSDBGAESP-XBXARRHUSA-N 0 1 309.365 3.289 20 30 DGEDMN C[C@H]1CN(C)CC1N=Nc1nc(Cl)c(Cl)cc1Cl ZINC000752164013 575825286 /nfs/dbraw/zinc/82/52/86/575825286.db2.gz PCOFSSLPZUXHIW-LURJTMIESA-N 0 1 307.612 3.391 20 30 DGEDMN Cc1ccc(C=Nn2c(=O)c3ccccc3[nH]c2=S)c(C)c1 ZINC000725858145 575934216 /nfs/dbraw/zinc/93/42/16/575934216.db2.gz HANOLLWPGNJGLI-UHFFFAOYSA-N 0 1 309.394 3.184 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=CCCc1ccccc1 ZINC000725857641 575934235 /nfs/dbraw/zinc/93/42/35/575934235.db2.gz AIWGIUNILVEUAF-UHFFFAOYSA-N 0 1 309.394 3.152 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1)C(C)C ZINC000726270711 576015097 /nfs/dbraw/zinc/01/50/97/576015097.db2.gz DRZCQKMEKOHRIQ-MRXNPFEDSA-N 0 1 319.449 3.331 20 30 DGEDMN Fc1ccc(N=NCc2nc(-c3ccccc3)no2)c(F)c1 ZINC000726421149 576021563 /nfs/dbraw/zinc/02/15/63/576021563.db2.gz LFGKUJVRSFVTEN-UHFFFAOYSA-N 0 1 300.268 3.461 20 30 DGEDMN CCn1cc(C(C)=NNc2ccc([N+](=O)[O-])cc2Cl)cn1 ZINC000727649203 576136263 /nfs/dbraw/zinc/13/62/63/576136263.db2.gz BCPQSAXIKBKHRC-UHFFFAOYSA-N 0 1 307.741 3.301 20 30 DGEDMN CN(C)c1ccc(CN=Nc2nncc3ccccc32)cc1F ZINC000727648595 576136289 /nfs/dbraw/zinc/13/62/89/576136289.db2.gz MFSNIYHQHUNRFA-UHFFFAOYSA-N 0 1 309.348 3.281 20 30 DGEDMN C=CCN(CC(=O)N[C@H](c1ccc(OC)cc1)C1CC1)C(C)C ZINC000917068789 620654971 /nfs/dbraw/zinc/65/49/71/620654971.db2.gz BUCGRJBLCCVNGO-IBGZPJMESA-N 0 1 316.445 3.159 20 30 DGEDMN COc1ccc(O)c(/C=N/C[C@@H]2CCN2Cc2ccccc2)c1 ZINC000852997942 620658539 /nfs/dbraw/zinc/65/85/39/620658539.db2.gz FKTPPCNKYQHVGQ-RONKMWSCSA-N 0 1 310.397 3.094 20 30 DGEDMN Clc1cccc(C=NNc2ncnc3nc[nH]c32)c1Cl ZINC000917555778 620675405 /nfs/dbraw/zinc/67/54/05/620675405.db2.gz XKVWSZQYEAFJIW-UHFFFAOYSA-N 0 1 307.144 3.106 20 30 DGEDMN CC(C)(C(=O)[O-])[C@@H]1CCC[N@@H+](Cc2ccc(CC#N)cc2)C1 ZINC000398974647 605387820 /nfs/dbraw/zinc/38/78/20/605387820.db2.gz AVXWFQSWYPZHKM-MRXNPFEDSA-N 0 1 300.402 3.075 20 30 DGEDMN C=CC1CCN(CC(=O)Nc2ccc(N3CCCC3)cc2)CC1 ZINC000111256490 620689499 /nfs/dbraw/zinc/68/94/99/620689499.db2.gz JADLXROYPARRDI-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN N#Cc1ccc(CN2CCCN(C(=O)C=C3CCCC3)CC2)cc1 ZINC000917973716 620690447 /nfs/dbraw/zinc/69/04/47/620690447.db2.gz YHEWGVSDCPYHRS-UHFFFAOYSA-N 0 1 323.440 3.093 20 30 DGEDMN Cc1nnc(NN=Cc2cc(Cl)ccc2OCC2CC2)n1C ZINC000853431576 620694338 /nfs/dbraw/zinc/69/43/38/620694338.db2.gz CGEKMIPCSXRIOZ-UHFFFAOYSA-N 0 1 319.796 3.012 20 30 DGEDMN N#Cc1ccc(COC(=O)c2ccc(CN3CCCCC3)o2)o1 ZINC000923834539 620697773 /nfs/dbraw/zinc/69/77/73/620697773.db2.gz CFJVWLZNWRKDBW-UHFFFAOYSA-N 0 1 314.341 3.087 20 30 DGEDMN C=CCc1cc(CN2CCO[C@@](C)(C3CC3)C2)c(O)c(OC)c1 ZINC000853528138 620706875 /nfs/dbraw/zinc/70/68/75/620706875.db2.gz LFLLKVIFOCMTPZ-LJQANCHMSA-N 0 1 317.429 3.130 20 30 DGEDMN C=CCc1cc(CN2CC3(CCC3)OC[C@@H]2C)c(O)c(OC)c1 ZINC000853542907 620708386 /nfs/dbraw/zinc/70/83/86/620708386.db2.gz WCWLNWVJQXGXOL-AWEZNQCLSA-N 0 1 317.429 3.273 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cn1nc(C)c2ccc([N+](=O)[O-])cc21 ZINC000853598611 620714122 /nfs/dbraw/zinc/71/41/22/620714122.db2.gz UEVLCIJYOSEPTP-OKILXGFUSA-N 0 1 312.373 3.393 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC000890658744 617635922 /nfs/dbraw/zinc/63/59/22/617635922.db2.gz RZQUIQZETUTHFV-CYBMUJFWSA-N 0 1 318.380 3.186 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1cn2c(n1)CCCC2 ZINC000806563864 617636195 /nfs/dbraw/zinc/63/61/95/617636195.db2.gz BSXCRTRVKFGQES-SNAWJCMRSA-N 0 1 304.296 3.099 20 30 DGEDMN C#CCCCCCC(=O)NC1(C(F)(F)F)CCN(CC)CC1 ZINC000891213501 617750421 /nfs/dbraw/zinc/75/04/21/617750421.db2.gz NOSYVCXNMYRQGB-UHFFFAOYSA-N 0 1 318.383 3.103 20 30 DGEDMN C=CC(C)(C)NC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000891497419 617816197 /nfs/dbraw/zinc/81/61/97/617816197.db2.gz FIXIFWQFBFWUBK-AWEZNQCLSA-N 0 1 305.422 3.070 20 30 DGEDMN C=CCN(Cc1cccs1)[C@@H]1CCC2(C[C@@H]1F)OCCO2 ZINC000891509900 617819134 /nfs/dbraw/zinc/81/91/34/617819134.db2.gz QSSZBXMVAYQQPC-LSDHHAIUSA-N 0 1 311.422 3.370 20 30 DGEDMN C=CCCCCCN1CC(C)(c2nnc(-c3cccnc3)o2)C1 ZINC000854078706 620767493 /nfs/dbraw/zinc/76/74/93/620767493.db2.gz DRSMGNRCIUNXQF-UHFFFAOYSA-N 0 1 312.417 3.451 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)NCc1ccccc1)CC(F)F ZINC000892483958 618079302 /nfs/dbraw/zinc/07/93/02/618079302.db2.gz CZUCUFXEZNJEBI-ZDUSSCGKSA-N 0 1 322.355 3.083 20 30 DGEDMN C[C@H](OC(=O)CSCC#N)c1nc2ccc(Cl)cc2[nH]1 ZINC000111989798 620778481 /nfs/dbraw/zinc/77/84/81/620778481.db2.gz YAYRRKPHHJFSDK-QMMMGPOBSA-N 0 1 309.778 3.077 20 30 DGEDMN CCc1ccc(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)nc1 ZINC000892702516 618143004 /nfs/dbraw/zinc/14/30/04/618143004.db2.gz DLDMTFMIWBNBJY-OAHLLOKOSA-N 0 1 318.380 3.248 20 30 DGEDMN CC(C)(C)SCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000155196731 620787072 /nfs/dbraw/zinc/78/70/72/620787072.db2.gz NIMFICSQMGNVFO-LBPRGKRZSA-N 0 1 319.430 3.041 20 30 DGEDMN CC1(C)CCc2cc(C=NNCCN3CCCCC3)ccc2O1 ZINC000920375579 620798202 /nfs/dbraw/zinc/79/82/02/620798202.db2.gz WHPLRGVJIHLKTJ-UHFFFAOYSA-N 0 1 315.461 3.200 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnc(F)cc2C)c1 ZINC000892973205 618375488 /nfs/dbraw/zinc/37/54/88/618375488.db2.gz OEWRLBQGQLRWJT-MRXNPFEDSA-N 0 1 313.376 3.500 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000909483385 618559741 /nfs/dbraw/zinc/55/97/41/618559741.db2.gz AGUVTOOJOHRCIX-INIZCTEOSA-N 0 1 310.401 3.121 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)OCCCCC1(C#N)CCC1 ZINC000909485690 618560231 /nfs/dbraw/zinc/56/02/31/618560231.db2.gz CREGYSDNDVAMLB-CJNGLKHVSA-N 0 1 315.417 3.483 20 30 DGEDMN COCCOc1ccc(CNC(C)(C)c2cccc(C#N)c2)o1 ZINC000895328231 618595499 /nfs/dbraw/zinc/59/54/99/618595499.db2.gz LHNAJUXZYMJFIV-UHFFFAOYSA-N 0 1 314.385 3.201 20 30 DGEDMN CC[N@@H+](Cc1cc(Br)cc(F)c1[O-])C[C@@H](C)C#N ZINC000893996010 618688702 /nfs/dbraw/zinc/68/87/02/618688702.db2.gz XQXYVMSLWJVMNL-VIFPVBQESA-N 0 1 315.186 3.275 20 30 DGEDMN CC[N@H+](Cc1cc(Br)cc(F)c1[O-])C[C@@H](C)C#N ZINC000893996010 618688703 /nfs/dbraw/zinc/68/87/03/618688703.db2.gz XQXYVMSLWJVMNL-VIFPVBQESA-N 0 1 315.186 3.275 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@](CO)(c3ccccc3)C2)cs1 ZINC000894269228 618747179 /nfs/dbraw/zinc/74/71/79/618747179.db2.gz RIBFXSNHCUACNJ-GOSISDBHSA-N 0 1 312.438 3.146 20 30 DGEDMN C=CC[C@H](NCc1csc(C(=O)OC)c1)c1ccncc1 ZINC000894274234 618748951 /nfs/dbraw/zinc/74/89/51/618748951.db2.gz WMOCPUMUBKYPJL-AWEZNQCLSA-N 0 1 302.399 3.337 20 30 DGEDMN CCc1[nH]c(CN[C@@H](C)c2cccc(C#N)c2)cc1C(=O)OC ZINC000894307687 618759167 /nfs/dbraw/zinc/75/91/67/618759167.db2.gz KRVSYDRMOGDSGJ-LBPRGKRZSA-N 0 1 311.385 3.086 20 30 DGEDMN N#C[C@H]1CCCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000928227812 618760497 /nfs/dbraw/zinc/76/04/97/618760497.db2.gz MMDSBGMLTJWDLB-LLVKDONJSA-N 0 1 314.776 3.106 20 30 DGEDMN COc1ccc([C@H](NC(C)C)C(=O)Nc2cccc(C#N)c2)cc1 ZINC000895546034 618797565 /nfs/dbraw/zinc/79/75/65/618797565.db2.gz XMSWRVPXZVBKFI-SFHVURJKSA-N 0 1 323.396 3.245 20 30 DGEDMN COC1(OC)CC2(CN(Cc3cc(C#N)cs3)[C@H]2C(C)C)C1 ZINC000895989637 618858260 /nfs/dbraw/zinc/85/82/60/618858260.db2.gz NENGNPBEXUFCMX-HNNXBMFYSA-N 0 1 320.458 3.229 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)NCC(=O)N1CCCCc2ccccc21 ZINC000896925647 618986944 /nfs/dbraw/zinc/98/69/44/618986944.db2.gz LSAHPMSZCFJROG-HNNXBMFYSA-N 0 1 313.445 3.274 20 30 DGEDMN C#CCN1CCC(NC(=O)c2cc3cccc(C)c3s2)CC1 ZINC000928653402 619017490 /nfs/dbraw/zinc/01/74/90/619017490.db2.gz LFQXMVHGRHVLQU-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN O=c1nc(NN=C2CCC3(CCCO3)CC2)[nH]c2ccccc12 ZINC000788019764 619030795 /nfs/dbraw/zinc/03/07/95/619030795.db2.gz FCEVSCRQERKJFG-UHFFFAOYSA-N 0 1 312.373 3.227 20 30 DGEDMN O=c1[nH]c(N=NC2CCC3(CCCO3)CC2)nc2ccccc21 ZINC000788019764 619030797 /nfs/dbraw/zinc/03/07/97/619030797.db2.gz FCEVSCRQERKJFG-UHFFFAOYSA-N 0 1 312.373 3.227 20 30 DGEDMN Oc1c(Cl)cccc1C=NNC(=S)NC1CCCCC1 ZINC000753160202 619120526 /nfs/dbraw/zinc/12/05/26/619120526.db2.gz KDDWFZJDZXWBGM-UHFFFAOYSA-N 0 1 311.838 3.176 20 30 DGEDMN COc1ncc(CN2CCC(c3ccc(C#N)cc3)CC2)s1 ZINC000897588609 619163493 /nfs/dbraw/zinc/16/34/93/619163493.db2.gz IBBOHRCMXRPQEW-UHFFFAOYSA-N 0 1 313.426 3.403 20 30 DGEDMN N#C[C@]1(C(=O)Nc2cccc(-c3cn[nH]n3)c2)CC2CCC1CC2 ZINC000897754754 619176680 /nfs/dbraw/zinc/17/66/80/619176680.db2.gz NZAPGNSPKKUIML-OOKWDQIISA-N 0 1 321.384 3.130 20 30 DGEDMN C=CCc1cc(CN2CC(Cc3ccoc3)C2)cc(OC)c1O ZINC000898160919 619219246 /nfs/dbraw/zinc/21/92/46/619219246.db2.gz ZJFGBHNOQNHISN-UHFFFAOYSA-N 0 1 313.397 3.397 20 30 DGEDMN C#CC[C@H]1C(=O)N(c2ccc(OC)cc2C(F)(F)F)N=C1C ZINC000899355925 619328360 /nfs/dbraw/zinc/32/83/60/619328360.db2.gz FIBHNYHDZBHQPW-LLVKDONJSA-N 0 1 310.275 3.076 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2ccc(OC)cc2C(F)(F)F)c1=O ZINC000899355925 619328362 /nfs/dbraw/zinc/32/83/62/619328362.db2.gz FIBHNYHDZBHQPW-LLVKDONJSA-N 0 1 310.275 3.076 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cn(C)nc2C2CC2)c1 ZINC000899377957 619332265 /nfs/dbraw/zinc/33/22/65/619332265.db2.gz KKVSOFCLOYUQBE-MDZDMXLPSA-N 0 1 309.413 3.255 20 30 DGEDMN C=CC[C@@H](CO)Nc1ccnc2cc(OC(F)(F)F)ccc21 ZINC000899877353 619380310 /nfs/dbraw/zinc/38/03/10/619380310.db2.gz RWSMRUIQHYWTGS-JTQLQIEISA-N 0 1 312.291 3.482 20 30 DGEDMN CC(C)(C)N1CC[C@@H]1C(=O)N(O)Cc1cccc2ccccc21 ZINC000900091805 619404318 /nfs/dbraw/zinc/40/43/18/619404318.db2.gz ODPQRFMKOQWNCC-QGZVFWFLSA-N 0 1 312.413 3.430 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@](C)(C#N)C1CCCCC1 ZINC000900724814 619447061 /nfs/dbraw/zinc/44/70/61/619447061.db2.gz RXPXPSNQJALQBF-XHBKTUGNSA-N 0 1 314.433 3.054 20 30 DGEDMN CC(N=Nc1cnnc2ccccc12)c1cnn(C2CCC2)c1 ZINC000901195258 619476881 /nfs/dbraw/zinc/47/68/81/619476881.db2.gz BGSUAIZTERARDV-UHFFFAOYSA-N 0 1 306.373 3.387 20 30 DGEDMN CC(=NN[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccc(F)cc1N(C)C ZINC000901310733 619484076 /nfs/dbraw/zinc/48/40/76/619484076.db2.gz OEWZSGIKUKHMDS-JJLQDPRZSA-N 0 1 307.413 3.161 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])C/C(C)=C/c1ccccc1OC(F)F ZINC000901586822 619519222 /nfs/dbraw/zinc/51/92/22/619519222.db2.gz TXEJBQLMUUEMNO-FMIVXFBMSA-N 0 1 311.328 3.264 20 30 DGEDMN Cc1c(Br)cccc1CCNC[C@@H](C#N)CCC#N ZINC000901574195 619521029 /nfs/dbraw/zinc/52/10/29/619521029.db2.gz GHMHIJHBRJSFMB-CYBMUJFWSA-N 0 1 320.234 3.333 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2C[C@H]3CCCC[C@@]3(C(=O)[O-])C2)cc1 ZINC000901915145 619560266 /nfs/dbraw/zinc/56/02/66/619560266.db2.gz YPEPJVBOHXSTPB-VQIMIIECSA-N 0 1 315.413 3.328 20 30 DGEDMN C=CCOc1ccccc1C[N@H+]1C[C@H]2CCCC[C@@]2(C(=O)[O-])C1 ZINC000901915164 619560323 /nfs/dbraw/zinc/56/03/23/619560323.db2.gz ZLSPKPROKIVASW-VQIMIIECSA-N 0 1 315.413 3.328 20 30 DGEDMN C[C@H](NCc1ccc(OC(F)F)cc1)c1cc(C#N)ccn1 ZINC000902725708 619666135 /nfs/dbraw/zinc/66/61/35/619666135.db2.gz FHXLCEHQFJNVBG-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H](NC[C@@H](O)c1c(F)cccc1Cl)c1cc(C#N)ccn1 ZINC000902873097 619685130 /nfs/dbraw/zinc/68/51/30/619685130.db2.gz VPNGCERKEJOTMX-MEBBXXQBSA-N 0 1 319.767 3.130 20 30 DGEDMN C[C@@H](NCc1cccc(OCC(F)F)c1)c1cc(C#N)ccn1 ZINC000902857599 619685830 /nfs/dbraw/zinc/68/58/30/619685830.db2.gz TUBYGNNURYDFFM-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1cc(C#N)ccn1 ZINC000902993395 619700866 /nfs/dbraw/zinc/70/08/66/619700866.db2.gz RVTOBTQSRIOECB-YVEFUNNKSA-N 0 1 313.426 3.153 20 30 DGEDMN C=CCC[C@H](NCc1nn(C)cc1Cl)[C@]1(C)CCCCO1 ZINC000903008764 619704091 /nfs/dbraw/zinc/70/40/91/619704091.db2.gz TZHACVXGURRZLW-HOTGVXAUSA-N 0 1 311.857 3.457 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](NCC(C)(C)C#N)c2ccccc21 ZINC000903101252 619716629 /nfs/dbraw/zinc/71/66/29/619716629.db2.gz DJSATFMORAEWOI-CVEARBPZSA-N 0 1 300.402 3.308 20 30 DGEDMN CC[C@H](CSc1ccccc1[N+](=O)[O-])NCC1(C#N)CC1 ZINC000903107063 619717974 /nfs/dbraw/zinc/71/79/74/619717974.db2.gz ZRJKKKIVEVYKFA-GFCCVEGCSA-N 0 1 305.403 3.359 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2ccc(C(C)C)cc2)n1 ZINC000903141757 619724959 /nfs/dbraw/zinc/72/49/59/619724959.db2.gz XKBXBKKFOJQOMN-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C[C@H](NCc1nc(C#N)cs1)c1cc2c(cc1O)CCCC2 ZINC000903263321 619747363 /nfs/dbraw/zinc/74/73/63/619747363.db2.gz LVVMRRQHEKWQKZ-NSHDSACASA-N 0 1 313.426 3.450 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC000903906007 619832539 /nfs/dbraw/zinc/83/25/39/619832539.db2.gz CFOAKJWUAYQRIY-CQSZACIVSA-N 0 1 308.381 3.168 20 30 DGEDMN CCn1nc(C)c(/C=C\C(=O)c2cccc(CN(C)C)c2)c1C ZINC000905078830 619968009 /nfs/dbraw/zinc/96/80/09/619968009.db2.gz WVLWOQSDAXFLBP-KHPPLWFESA-N 0 1 311.429 3.478 20 30 DGEDMN CC(C)Cn1nccc1/C=C\C(=O)c1cccc(CN(C)C)c1 ZINC000905078499 619968069 /nfs/dbraw/zinc/96/80/69/619968069.db2.gz TXSBLEACIPMCHU-HJWRWDBZSA-N 0 1 311.429 3.497 20 30 DGEDMN C[C@@](O)(CNCc1cc(F)c(C(F)(F)F)cc1F)C(F)F ZINC000905263024 619982834 /nfs/dbraw/zinc/98/28/34/619982834.db2.gz SLJVOJAZXSTDCK-LLVKDONJSA-N 0 1 319.220 3.089 20 30 DGEDMN C=C(C)CC[N@H+](C)[C@H](C(=O)[O-])c1cccc(Br)c1 ZINC000905369598 619992381 /nfs/dbraw/zinc/99/23/81/619992381.db2.gz CJLNXDURHDGHRS-ZDUSSCGKSA-N 0 1 312.207 3.473 20 30 DGEDMN Cc1nn(C)c(CN=Nc2ccc(C(F)(F)F)cn2)c1Cl ZINC000905420120 619996564 /nfs/dbraw/zinc/99/65/64/619996564.db2.gz SDWQNKIPUBHIKH-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cc(Cl)cs2)n1 ZINC000905499393 620002055 /nfs/dbraw/zinc/00/20/55/620002055.db2.gz OGMYFWUGFOPFNQ-UHFFFAOYSA-N 0 1 310.810 3.482 20 30 DGEDMN CCOCc1nc(C)cc(N=NCc2ccc(F)cc2F)n1 ZINC000905507581 620002929 /nfs/dbraw/zinc/00/29/29/620002929.db2.gz ZLSXWABUZPKLCM-UHFFFAOYSA-N 0 1 306.316 3.046 20 30 DGEDMN C#CCN1CCC(NC(=S)Nc2cccc(Cl)c2C)CC1 ZINC000905656133 620014206 /nfs/dbraw/zinc/01/42/06/620014206.db2.gz GAHRZRAUYKZTTF-UHFFFAOYSA-N 0 1 321.877 3.032 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)c1ccsc1C#N)c1ccco1 ZINC000906429964 620097601 /nfs/dbraw/zinc/09/76/01/620097601.db2.gz QCFNBZQPMIOYRD-ZDUSSCGKSA-N 0 1 317.414 3.026 20 30 DGEDMN CCc1nc[nH]c1C(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000906621814 620109357 /nfs/dbraw/zinc/10/93/57/620109357.db2.gz FTRNDFYVNLACNI-LLVKDONJSA-N 0 1 314.414 3.476 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)Cc1c(C)cc(C#N)cc1C ZINC000907198276 620141995 /nfs/dbraw/zinc/14/19/95/620141995.db2.gz LDMLGUUULGGRKU-UHFFFAOYSA-N 0 1 318.327 3.325 20 30 DGEDMN C[C@H](C#N)N(C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1)C1CC1 ZINC000912411214 620385538 /nfs/dbraw/zinc/38/55/38/620385538.db2.gz MBVTVBJHZAOFHQ-LLVKDONJSA-N 0 1 319.368 3.075 20 30 DGEDMN Fc1cc2[nH]c(=NN=C3CCOc4ccccc43)[nH]c2cc1F ZINC000912825282 620408336 /nfs/dbraw/zinc/40/83/36/620408336.db2.gz PLCXMPZDQKQWBM-UHFFFAOYSA-N 0 1 314.295 3.440 20 30 DGEDMN Fc1cc2[nH]c([N-]N=C3CCOc4ccccc43)[nH+]c2cc1F ZINC000912825282 620408337 /nfs/dbraw/zinc/40/83/37/620408337.db2.gz PLCXMPZDQKQWBM-UHFFFAOYSA-N 0 1 314.295 3.440 20 30 DGEDMN CC(N=Nc1nc2cc(F)c(F)cc2[nH]1)c1ccccc1O ZINC000912817676 620408806 /nfs/dbraw/zinc/40/88/06/620408806.db2.gz AYVHSFFKAISOGS-UHFFFAOYSA-N 0 1 302.284 3.383 20 30 DGEDMN CC(=[NH+][N-]c1cnccn1)c1ccc(N2CCCCC2)c(F)c1 ZINC000912961642 620416024 /nfs/dbraw/zinc/41/60/24/620416024.db2.gz JSIMWLGVOMNXFS-UHFFFAOYSA-N 0 1 313.380 3.442 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2cccnc2OCC)cc1 ZINC000151188247 620428729 /nfs/dbraw/zinc/42/87/29/620428729.db2.gz RUCRXSAKMLBLMR-HNNXBMFYSA-N 0 1 310.397 3.343 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@@H]2CC[C@H](C)C2)c1 ZINC000151590938 620465631 /nfs/dbraw/zinc/46/56/31/620465631.db2.gz VTILRGZIGGIJQU-WOSRLPQWSA-N 0 1 314.385 3.169 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913745397 620476276 /nfs/dbraw/zinc/47/62/76/620476276.db2.gz CEVKCNPXGAKDJM-CQSZACIVSA-N 0 1 321.469 3.292 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@H](CCC)C2)c1O ZINC000152501182 620510325 /nfs/dbraw/zinc/51/03/25/620510325.db2.gz OQYBYJLDWURXPE-MRXNPFEDSA-N 0 1 305.418 3.130 20 30 DGEDMN C[C@H](OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1)C(C)(C)C#N ZINC000153034543 620531346 /nfs/dbraw/zinc/53/13/46/620531346.db2.gz FFTYORZUZAOQRU-NSHDSACASA-N 0 1 324.384 3.444 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOC[C@@H]2CCOC2)ccc1[O-] ZINC000788034833 620565177 /nfs/dbraw/zinc/56/51/77/620565177.db2.gz VWXNWIPTIZVCSS-OAHLLOKOSA-N 0 1 320.433 3.011 20 30 DGEDMN O=[N+]([O-])c1ccccc1C=NNc1ncccc1C(F)(F)F ZINC000915051035 620565930 /nfs/dbraw/zinc/56/59/30/620565930.db2.gz KCRUDADIBYLZCX-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2cccc3c2OCO3)nc1 ZINC000915937174 620608943 /nfs/dbraw/zinc/60/89/43/620608943.db2.gz LFYLBFPKDVXDHS-UHFFFAOYSA-N 0 1 309.247 3.275 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1cccc(OC(F)F)c1 ZINC000915974972 620610203 /nfs/dbraw/zinc/61/02/03/620610203.db2.gz YHSTWXDMLPKZKX-UHFFFAOYSA-N 0 1 311.376 3.088 20 30 DGEDMN O=[N+]([O-])c1cc(Cl)ccc1NN=Cc1ccc(O)cc1O ZINC000915975944 620610525 /nfs/dbraw/zinc/61/05/25/620610525.db2.gz MZPAKPNYUZJUIU-UHFFFAOYSA-N 0 1 307.693 3.105 20 30 DGEDMN CN(C)c1ccc(CN=Nc2ncnc3sccc32)s1 ZINC000916368349 620626932 /nfs/dbraw/zinc/62/69/32/620626932.db2.gz MSNCFMFBXBCKIG-UHFFFAOYSA-N 0 1 303.416 3.265 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000920789806 620822901 /nfs/dbraw/zinc/82/29/01/620822901.db2.gz GKIURJUGUSTQBM-OIISXLGYSA-N 0 1 312.413 3.228 20 30 DGEDMN Cc1cc(C)cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1 ZINC000921255602 620845139 /nfs/dbraw/zinc/84/51/39/620845139.db2.gz WMFFGMRHTNXBCF-UHFFFAOYSA-N 0 1 300.309 3.085 20 30 DGEDMN C[C@H](NCC#Cc1ccc(C(F)(F)F)cc1)c1ccnnc1 ZINC000925488850 620890308 /nfs/dbraw/zinc/89/03/08/620890308.db2.gz MOMCRBYUPMNYOM-LBPRGKRZSA-N 0 1 305.303 3.198 20 30 DGEDMN COC[C@H](NCc1ccc(O[C@@H](C)C#N)cc1)c1ccccc1 ZINC000113355414 620905558 /nfs/dbraw/zinc/90/55/58/620905558.db2.gz PWUAPQRWNRZSJZ-KXBFYZLASA-N 0 1 310.397 3.455 20 30 DGEDMN N#Cc1ccc(Br)c(CNCCOC2CCCC2)c1 ZINC000921610787 620913999 /nfs/dbraw/zinc/91/39/99/620913999.db2.gz YGGFDTWXPKZFMG-UHFFFAOYSA-N 0 1 323.234 3.370 20 30 DGEDMN C=CCCC[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000921645198 620926462 /nfs/dbraw/zinc/92/64/62/620926462.db2.gz MSGRYKHPXONDQM-HOTGVXAUSA-N 0 1 324.428 3.438 20 30 DGEDMN C=CCCONC(=O)[C@@H](C)c1ccc(Br)s1 ZINC000856032545 620954979 /nfs/dbraw/zinc/95/49/79/620954979.db2.gz MDYUOVVGOSAZCQ-QMMMGPOBSA-N 0 1 304.209 3.238 20 30 DGEDMN C=CCCONC(=O)C(C)(C)c1ccc(Br)cc1 ZINC000856030238 620954990 /nfs/dbraw/zinc/95/49/90/620954990.db2.gz AWJZZVDXUKIBCA-UHFFFAOYSA-N 0 1 312.207 3.351 20 30 DGEDMN C=CCCONC(=O)C(C)(C)c1ccccc1Br ZINC000856035194 620955739 /nfs/dbraw/zinc/95/57/39/620955739.db2.gz YHXJYETVLHVUQE-UHFFFAOYSA-N 0 1 312.207 3.351 20 30 DGEDMN CN(C)Cc1ccc(C(=O)Nc2ccc(C3CC3)c(C#N)c2)cn1 ZINC000921828856 620956729 /nfs/dbraw/zinc/95/67/29/620956729.db2.gz WDEUCGKRMAYUJX-UHFFFAOYSA-N 0 1 320.396 3.145 20 30 DGEDMN C#C[C@H](NCc1cccc(Oc2ccccn2)c1)[C@@H]1CCCO1 ZINC000922013211 620980211 /nfs/dbraw/zinc/98/02/11/620980211.db2.gz RRIYHADDVZPBKN-ROUUACIJSA-N 0 1 308.381 3.144 20 30 DGEDMN N#Cc1ccc(Br)c(CN[C@H]2COC3(CCC3)C2)c1 ZINC000922244528 621012293 /nfs/dbraw/zinc/01/22/93/621012293.db2.gz OHRWDYNWRAWZIX-CYBMUJFWSA-N 0 1 321.218 3.122 20 30 DGEDMN C[C@H]1CCC[C@H](CNCc2cc(C#N)ccc2Br)O1 ZINC000922249734 621012907 /nfs/dbraw/zinc/01/29/07/621012907.db2.gz KKQDZRYPMJXZHI-SMDDNHRTSA-N 0 1 323.234 3.368 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000922524710 621050808 /nfs/dbraw/zinc/05/08/08/621050808.db2.gz XMHATIKMWKAHLA-CQSZACIVSA-N 0 1 309.369 3.062 20 30 DGEDMN c1cnc2sc(N=NC3CCCN4CCCC[C@H]34)nc2c1 ZINC000857218715 621069060 /nfs/dbraw/zinc/06/90/60/621069060.db2.gz UQWXVNLLWPKUKM-CYBMUJFWSA-N 0 1 301.419 3.108 20 30 DGEDMN Cc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1C#N ZINC000922759692 621095185 /nfs/dbraw/zinc/09/51/85/621095185.db2.gz JOPIMQXHQWYYPT-UHFFFAOYSA-N 0 1 304.397 3.094 20 30 DGEDMN COc1cc2c(c(CNCc3ccc(C)c(C#N)c3)c1)O[C@H](C)C2 ZINC000922759618 621095200 /nfs/dbraw/zinc/09/52/00/621095200.db2.gz RFSDXTMMSWFMEX-CQSZACIVSA-N 0 1 322.408 3.489 20 30 DGEDMN Cc1ccc(CNCc2ccccc2OCCCCO)cc1C#N ZINC000922759432 621095207 /nfs/dbraw/zinc/09/52/07/621095207.db2.gz IAUPTXWNOTYNPM-UHFFFAOYSA-N 0 1 324.424 3.308 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2cccc3c2OCC3)cc1 ZINC000922944460 621134561 /nfs/dbraw/zinc/13/45/61/621134561.db2.gz MSTJVZIQBVSGQX-CQSZACIVSA-N 0 1 308.381 3.202 20 30 DGEDMN Cn1nc(C2CC2)cc1CNCc1ccc(C(C)(C)C#N)cc1 ZINC000922947317 621135450 /nfs/dbraw/zinc/13/54/50/621135450.db2.gz LAVCHGHKEQGQJZ-UHFFFAOYSA-N 0 1 308.429 3.388 20 30 DGEDMN C#CC[C@H](COC)NCc1cc(C(F)(F)F)ccc1Cl ZINC000858334644 621177022 /nfs/dbraw/zinc/17/70/22/621177022.db2.gz DUDMXEBDWACMRT-GFCCVEGCSA-N 0 1 305.727 3.487 20 30 DGEDMN N#Cc1sccc1C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000923566689 621194380 /nfs/dbraw/zinc/19/43/80/621194380.db2.gz NSYIQRNSYQIUQZ-AWEZNQCLSA-N 0 1 312.394 3.051 20 30 DGEDMN C[C@@H](CC#N)N(C)CC[Si](C)(C)CCN(C)[C@@H](C)CC#N ZINC000859163348 621256184 /nfs/dbraw/zinc/25/61/84/621256184.db2.gz IROJGTYIHAJQQY-HOTGVXAUSA-N 0 1 308.546 3.163 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H](C)C1CCC1)c1ccccc1 ZINC000838866763 621293274 /nfs/dbraw/zinc/29/32/74/621293274.db2.gz RYOCPKNRUFHGNH-COXVUDFISA-N 0 1 312.413 3.399 20 30 DGEDMN C=C(C)[C@H](NCCC(=O)N1CCCC1)c1ccc(F)c(F)c1 ZINC000838936573 621306086 /nfs/dbraw/zinc/30/60/86/621306086.db2.gz RFOJTJGFBMCKQK-KRWDZBQOSA-N 0 1 308.372 3.184 20 30 DGEDMN C#CCN(Cc1cc(Br)c(O)c(OCC)c1)C1CC1 ZINC000839012604 621315327 /nfs/dbraw/zinc/31/53/27/621315327.db2.gz FOCXVMMCBCCFJI-UHFFFAOYSA-N 0 1 324.218 3.151 20 30 DGEDMN CC(=NOCc1ccccc1)c1ccc(N2CCOCC2)cc1 ZINC000839419854 621370501 /nfs/dbraw/zinc/37/05/01/621370501.db2.gz WAZHTNZYFXYSRF-UHFFFAOYSA-N 0 1 310.397 3.464 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C2CCc3ccccc3CC2)c1 ZINC000860445255 621406479 /nfs/dbraw/zinc/40/64/79/621406479.db2.gz PAOGNVVQDJHQOV-UHFFFAOYSA-N 0 1 306.365 3.398 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC2CCC(F)(F)CC2)nc(C)n1 ZINC000860465315 621408061 /nfs/dbraw/zinc/40/80/61/621408061.db2.gz HVISATVTWNPPJK-CYBMUJFWSA-N 0 1 307.344 3.485 20 30 DGEDMN CCC[C@@H](OCC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000860853356 621450627 /nfs/dbraw/zinc/45/06/27/621450627.db2.gz HPBRMINWAQDPKR-JKSUJKDBSA-N 0 1 313.397 3.201 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(OC(C)C)cc1 ZINC000753927197 621471757 /nfs/dbraw/zinc/47/17/57/621471757.db2.gz BMDOXJUVWWXXSL-IAGOWNOFSA-N 0 1 314.433 3.058 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(F)cc1Cl ZINC000753937529 621472660 /nfs/dbraw/zinc/47/26/60/621472660.db2.gz DQBMDPBVWNRJRI-ZIAGYGMSSA-N 0 1 308.788 3.063 20 30 DGEDMN CN(C)c1cc(CN(Cc2ccc(C#N)cc2)C2CC2)ccn1 ZINC000754014229 621480180 /nfs/dbraw/zinc/48/01/80/621480180.db2.gz PIRNTIAGCYJNME-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000754118577 621488961 /nfs/dbraw/zinc/48/89/61/621488961.db2.gz MHLWZIYIGCWQHU-MRXNPFEDSA-N 0 1 316.408 3.313 20 30 DGEDMN C[C@]1(CN2CCO[C@@H](c3cccc(C#N)c3)C2)CCCS1 ZINC000862254423 621568858 /nfs/dbraw/zinc/56/88/58/621568858.db2.gz YYABODXVUDJZLV-IAGOWNOFSA-N 0 1 302.443 3.217 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2c(O)cccc2Br)C1 ZINC000862399962 621585043 /nfs/dbraw/zinc/58/50/43/621585043.db2.gz IRFORYBOXGZIHU-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@@H]1CCC[N@@H+](Cc2c([O-])cccc2Br)C1 ZINC000862399962 621585045 /nfs/dbraw/zinc/58/50/45/621585045.db2.gz IRFORYBOXGZIHU-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2c([O-])cccc2Br)C1 ZINC000862399962 621585047 /nfs/dbraw/zinc/58/50/47/621585047.db2.gz IRFORYBOXGZIHU-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN Oc1ccc(CN=Nc2ccc(Br)cn2)cc1F ZINC000755689003 621585886 /nfs/dbraw/zinc/58/58/86/621585886.db2.gz IKXMTQCULYBTBM-UHFFFAOYSA-N 0 1 310.126 3.135 20 30 DGEDMN Fc1cc(F)c(N=NCc2cn(-c3ccccc3)nn2)cc1F ZINC000755730234 621589231 /nfs/dbraw/zinc/58/92/31/621589231.db2.gz GRIOCRIGTBBZFY-UHFFFAOYSA-N 0 1 317.274 3.131 20 30 DGEDMN CCCCCC[C@@H](C)NN=Cc1cnc(N2CCOCC2)s1 ZINC000755753100 621591017 /nfs/dbraw/zinc/59/10/17/621591017.db2.gz GHYQLAQTKVBJBH-CQSZACIVSA-N 0 1 324.494 3.262 20 30 DGEDMN C#CC[C@H]1CCN(Cc2ccc(Br)cc2OC)C1 ZINC000862445095 621591258 /nfs/dbraw/zinc/59/12/58/621591258.db2.gz LRTUQVJWBZBJMI-LBPRGKRZSA-N 0 1 308.219 3.303 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2c(C(C)C)nc3sc(C)nn23)C1 ZINC000862445472 621591329 /nfs/dbraw/zinc/59/13/29/621591329.db2.gz UVHBHLJBYLBYJF-CYBMUJFWSA-N 0 1 302.447 3.068 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2c(F)ccc(C3OCCO3)c2Cl)C1 ZINC000862447469 621591641 /nfs/dbraw/zinc/59/16/41/621591641.db2.gz NARIFAWBFKGXEY-GFCCVEGCSA-N 0 1 323.795 3.370 20 30 DGEDMN CCCCc1nc(C=NNc2ccncc2Cl)c(Cl)[nH]1 ZINC000755767341 621592172 /nfs/dbraw/zinc/59/21/72/621592172.db2.gz VPVUTEJVJWVOFB-UHFFFAOYSA-N 0 1 312.204 3.322 20 30 DGEDMN CC[C@H]1CC(=O)N(CN(Cc2ccc(C#N)cc2)CC(C)C)C1 ZINC000755911853 621602266 /nfs/dbraw/zinc/60/22/66/621602266.db2.gz DJTIBLFNZHWPDX-INIZCTEOSA-N 0 1 313.445 3.232 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000862572807 621603099 /nfs/dbraw/zinc/60/30/99/621603099.db2.gz OEAJCASFDKLSBI-JTQLQIEISA-N 0 1 319.817 3.277 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cc(-c3ccc(C)cc3C)[nH]n2)C1 ZINC000862572761 621603202 /nfs/dbraw/zinc/60/32/02/621603202.db2.gz NLMXTJNYLVORIE-HNNXBMFYSA-N 0 1 307.397 3.179 20 30 DGEDMN C#CCCCNCc1cn(-c2ccc(Cl)cc2Cl)nn1 ZINC000756039284 621611173 /nfs/dbraw/zinc/61/11/73/621611173.db2.gz HKNBGRKMVUQIMR-UHFFFAOYSA-N 0 1 309.200 3.077 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)CCCc1ccc(C)s1 ZINC000756551595 621647340 /nfs/dbraw/zinc/64/73/40/621647340.db2.gz OJRNWHCYDXUJKQ-HNNXBMFYSA-N 0 1 305.443 3.020 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)CCCc1c[nH]c2ccccc12 ZINC000756553859 621647952 /nfs/dbraw/zinc/64/79/52/621647952.db2.gz OHGAEDMNQRGHSF-QGZVFWFLSA-N 0 1 324.424 3.131 20 30 DGEDMN CC(C)(NCC(=O)Nc1sccc1C#N)c1ccc(F)cc1 ZINC000103483454 621695081 /nfs/dbraw/zinc/69/50/81/621695081.db2.gz PXMIFZRDXXEJSB-UHFFFAOYSA-N 0 1 317.389 3.222 20 30 DGEDMN C=CCOCC[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000757636463 621730062 /nfs/dbraw/zinc/73/00/62/621730062.db2.gz BMDFJIGQFMCPNT-JTQLQIEISA-N 0 1 300.196 3.008 20 30 DGEDMN N#CC1(CCN2CCN(c3ccnc(F)c3)CC2)CCCCC1 ZINC000839613507 621700178 /nfs/dbraw/zinc/70/01/78/621700178.db2.gz FVTLRLDJSIOXRT-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cnc3cccc(C)n23)c(O)c1 ZINC000757471578 621715024 /nfs/dbraw/zinc/71/50/24/621715024.db2.gz GOPIZBXMHHJXCN-RMKNXTFCSA-N 0 1 308.337 3.253 20 30 DGEDMN O=C(/C=C/c1cc2c(c(Cl)c1)OCO2)c1ccc(O)cc1O ZINC000757472163 621715117 /nfs/dbraw/zinc/71/51/17/621715117.db2.gz LQUXDDHBFXJXNL-DAFODLJHSA-N 0 1 318.712 3.376 20 30 DGEDMN C[C@@H]1CC(N=Nc2cncc(Cl)n2)CN1Cc1ccccc1 ZINC000757587237 621726529 /nfs/dbraw/zinc/72/65/29/621726529.db2.gz RNJNLQAKQMMGTL-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN O=c1[nH]c(NN=Cc2cccc(Cl)c2O)nc2ccccc12 ZINC000757584714 621726651 /nfs/dbraw/zinc/72/66/51/621726651.db2.gz UFKSDRYNJPISHN-UHFFFAOYSA-N 0 1 314.732 3.140 20 30 DGEDMN C=CCNC(=O)c1ccc(NCc2ccc(F)c(F)c2O)cc1 ZINC000864039507 621730055 /nfs/dbraw/zinc/73/00/55/621730055.db2.gz VWKPLALWGOHGDE-UHFFFAOYSA-N 0 1 318.323 3.198 20 30 DGEDMN O=[N+]([O-])c1ccc([O-])c(/C=N\C[C@H]2CC[N@H+]2C2CCCCC2)c1 ZINC000864345043 621758452 /nfs/dbraw/zinc/75/84/52/621758452.db2.gz NGRKENDRUYVYIM-CJMVGXJBSA-N 0 1 317.389 3.126 20 30 DGEDMN O=[N+]([O-])c1ccc([O-])c(/C=N\C[C@@H]2CC[N@H+]2C2CCCCC2)c1 ZINC000864345045 621758472 /nfs/dbraw/zinc/75/84/72/621758472.db2.gz NGRKENDRUYVYIM-GYXHFJGISA-N 0 1 317.389 3.126 20 30 DGEDMN CC[C@@H](C)N(Cc1ccccc1)Cn1ccc(C)c(C#N)c1=O ZINC000758195900 621764992 /nfs/dbraw/zinc/76/49/92/621764992.db2.gz IBLQJKDEYCAPMZ-MRXNPFEDSA-N 0 1 309.413 3.287 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H]2CCCc3[nH]ncc32)cc1 ZINC000758201096 621765617 /nfs/dbraw/zinc/76/56/17/621765617.db2.gz LJTAAQCYVHBHML-HNNXBMFYSA-N 0 1 308.385 3.085 20 30 DGEDMN CC(C)(C)CN(Cc1ccccc1)Cn1cccc(C#N)c1=O ZINC000758218808 621767949 /nfs/dbraw/zinc/76/79/49/621767949.db2.gz DKTUZMMIEJIBDV-UHFFFAOYSA-N 0 1 309.413 3.226 20 30 DGEDMN C(=NNCCCc1ccccc1)c1ccc(N2CCCC2)nc1 ZINC000758370560 621777767 /nfs/dbraw/zinc/77/77/67/621777767.db2.gz OHERMJXYHTUJBB-UHFFFAOYSA-N 0 1 308.429 3.238 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccc(Cl)nc1 ZINC000759081194 621823246 /nfs/dbraw/zinc/82/32/46/621823246.db2.gz DJWXKZZYBLOLNF-KTAJNNJTSA-N 0 1 304.689 3.245 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2ccc(F)c3cccnc23)nc1 ZINC000759203226 621835739 /nfs/dbraw/zinc/83/57/39/621835739.db2.gz WWRPPSBRNLBLDD-UHFFFAOYSA-N 0 1 311.276 3.123 20 30 DGEDMN CC(C)(C)CCN1CCN(C(=O)c2sccc2CC#N)CC1 ZINC000864966780 621838765 /nfs/dbraw/zinc/83/87/65/621838765.db2.gz OYHYHOAYESJCNE-UHFFFAOYSA-N 0 1 319.474 3.008 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCSc3ccccc32)c1 ZINC000759720690 621885404 /nfs/dbraw/zinc/88/54/04/621885404.db2.gz SVNPDVHMHOJSGG-ZDUSSCGKSA-N 0 1 310.378 3.482 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCSc3ccccc32)c1 ZINC000759720689 621885594 /nfs/dbraw/zinc/88/55/94/621885594.db2.gz SVNPDVHMHOJSGG-CYBMUJFWSA-N 0 1 310.378 3.482 20 30 DGEDMN Cc1cccc(C)c1OCCC(=O)Nc1cc(C#N)ccc1O ZINC000759720574 621885775 /nfs/dbraw/zinc/88/57/75/621885775.db2.gz NDZWTKMYHQMMAU-UHFFFAOYSA-N 0 1 310.353 3.288 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1CC12CCC2 ZINC000759832800 621894133 /nfs/dbraw/zinc/89/41/33/621894133.db2.gz IIJAJFRTJBHFPO-WDEREUQCSA-N 0 1 302.761 3.178 20 30 DGEDMN C[C@H](Oc1cccc(C(C)(C)C)c1)C(=O)C(C#N)c1ccncn1 ZINC000760339587 621933238 /nfs/dbraw/zinc/93/32/38/621933238.db2.gz KFYWIDQNDISRMR-XJKSGUPXSA-N 0 1 323.396 3.418 20 30 DGEDMN COc1ccc(CNCc2ccc(C(F)F)nc2)cc1C#N ZINC000865506020 621938159 /nfs/dbraw/zinc/93/81/59/621938159.db2.gz LAMKBFONCGXLHO-UHFFFAOYSA-N 0 1 303.312 3.189 20 30 DGEDMN COc1ccc(CNCc2cc(F)ccc2N(C)C)cc1C#N ZINC000865505662 621938277 /nfs/dbraw/zinc/93/82/77/621938277.db2.gz HMFAOTCREGOIOQ-UHFFFAOYSA-N 0 1 313.376 3.062 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1 ZINC000865565236 621952674 /nfs/dbraw/zinc/95/26/74/621952674.db2.gz KNYAKOXCELNHTI-UHFFFAOYSA-N 0 1 321.424 3.479 20 30 DGEDMN COc1c(C)cccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865618864 621963528 /nfs/dbraw/zinc/96/35/28/621963528.db2.gz JBDMHOLOKXUEDW-UHFFFAOYSA-N 0 1 309.413 3.231 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc([C@H]3CCOC3)c2)c1 ZINC000762077752 622051290 /nfs/dbraw/zinc/05/12/90/622051290.db2.gz XWZSUSXIHJSRGL-HNNXBMFYSA-N 0 1 308.337 3.020 20 30 DGEDMN N#Cc1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])s1 ZINC000762438358 622075931 /nfs/dbraw/zinc/07/59/31/622075931.db2.gz MUUUSHRDEXKUED-ORCRQEGFSA-N 0 1 300.295 3.130 20 30 DGEDMN COc1ccc(C#N)cc1COC(=O)c1ccc(O)c(Cl)c1 ZINC000762825949 622101997 /nfs/dbraw/zinc/10/19/97/622101997.db2.gz XBUPWKJIYGDAHS-UHFFFAOYSA-N 0 1 317.728 3.283 20 30 DGEDMN COc1ccc(C=NNc2nc3cc(F)c(F)cc3[nH]2)s1 ZINC000763163279 622127081 /nfs/dbraw/zinc/12/70/81/622127081.db2.gz HYRLYIZVOWTLRS-UHFFFAOYSA-N 0 1 308.313 3.357 20 30 DGEDMN COc1ccc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)s1 ZINC000763163279 622127083 /nfs/dbraw/zinc/12/70/83/622127083.db2.gz HYRLYIZVOWTLRS-UHFFFAOYSA-N 0 1 308.313 3.357 20 30 DGEDMN COc1cc(C(C)N=Nc2ccccc2F)c(OC)cc1O ZINC000763164076 622127284 /nfs/dbraw/zinc/12/72/84/622127284.db2.gz LQOOPGGBTMOWOL-UHFFFAOYSA-N 0 1 304.321 3.385 20 30 DGEDMN c1cc2c3c(c1)CCC[C@H]3CC2=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000763369301 622141470 /nfs/dbraw/zinc/14/14/70/622141470.db2.gz DWGJGVZUAFQVIP-NUEKZKHPSA-N 0 1 308.429 3.074 20 30 DGEDMN C=CC1CCN(Cn2nc(C)c3ccc([N+](=O)[O-])cc32)CC1 ZINC000121186197 622157394 /nfs/dbraw/zinc/15/73/94/622157394.db2.gz MESZNOUKSCZMEQ-UHFFFAOYSA-N 0 1 300.362 3.108 20 30 DGEDMN C=C(C)[C@@H](NC[C@@H]1CC2(CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000763777403 622162000 /nfs/dbraw/zinc/16/20/00/622162000.db2.gz CZEOHJLGISQNID-SWLSCSKDSA-N 0 1 307.340 3.267 20 30 DGEDMN Cc1cc(C)n(-c2nncn2N=CC2CCC(C)(C)CC2)n1 ZINC000764669496 622211937 /nfs/dbraw/zinc/21/19/37/622211937.db2.gz SEDNSJIIRPZGBT-UHFFFAOYSA-N 0 1 300.410 3.131 20 30 DGEDMN COCc1ccc(C=NNc2ccc(Br)cn2)o1 ZINC000764689898 622213667 /nfs/dbraw/zinc/21/36/67/622213667.db2.gz HFHVZJCOIFTWFR-UHFFFAOYSA-N 0 1 310.151 3.030 20 30 DGEDMN CCc1cccc(C)c1NC(=S)NN=C1CCN2CCC[C@@H]12 ZINC000765563611 622274129 /nfs/dbraw/zinc/27/41/29/622274129.db2.gz LLACPXRESVLJNF-HNNXBMFYSA-N 0 1 316.474 3.068 20 30 DGEDMN C=C(C)[C@@H](CC(=O)OC[C@H]1CCCN1Cc1ccco1)OCC ZINC000765971590 622297554 /nfs/dbraw/zinc/29/75/54/622297554.db2.gz MPIYJCABDOOBEW-NVXWUHKLSA-N 0 1 321.417 3.159 20 30 DGEDMN Cc1ccc(N2CCCN(Cc3ccc(F)cc3)CC2)c(C#N)n1 ZINC000881781130 625562886 /nfs/dbraw/zinc/56/28/86/625562886.db2.gz LSTCMGMKLJTRFB-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CCC[C@@H](C)[C@@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634508 622343630 /nfs/dbraw/zinc/34/36/30/622343630.db2.gz XDGBQFXSMZEWAX-KDOFPFPSSA-N 0 1 313.445 3.067 20 30 DGEDMN CC(C)CC[C@@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634357 622343726 /nfs/dbraw/zinc/34/37/26/622343726.db2.gz UKQSGIDVOYKMRM-INIZCTEOSA-N 0 1 313.445 3.067 20 30 DGEDMN COc1ccc(N=NCc2ccc(Cl)nc2)c([N+](=O)[O-])c1 ZINC000767801004 622422312 /nfs/dbraw/zinc/42/23/12/622422312.db2.gz DSNALXDILICMLU-UHFFFAOYSA-N 0 1 306.709 3.098 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc(O)cc2F)c([N+](=O)[O-])c1 ZINC000767803047 622422877 /nfs/dbraw/zinc/42/28/77/622422877.db2.gz GLFPIPWDMIKKPW-UHFFFAOYSA-N 0 1 319.292 3.284 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)Nc1ccc2nc[nH]c2c1 ZINC000767884064 622426041 /nfs/dbraw/zinc/42/60/41/622426041.db2.gz LQGZBBDHSCJMBD-OAHLLOKOSA-N 0 1 302.378 3.473 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc(-c2ccccc2)n[nH]1 ZINC000767920669 622428245 /nfs/dbraw/zinc/42/82/45/622428245.db2.gz NXZDTJXQWOTERK-UHFFFAOYSA-N 0 1 317.392 3.379 20 30 DGEDMN COC(=O)c1cc(C(=O)C(C#N)c2nc(C)cs2)ccc1C ZINC000870117429 622434658 /nfs/dbraw/zinc/43/46/58/622434658.db2.gz LMIQGWWTTFCVFY-ZDUSSCGKSA-N 0 1 314.366 3.037 20 30 DGEDMN CC[C@H](CNc1ncc(C#N)cc1Br)N1CCCC1 ZINC000881804056 625566302 /nfs/dbraw/zinc/56/63/02/625566302.db2.gz REQMYNWNBKVWOG-GFCCVEGCSA-N 0 1 323.238 3.002 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C(C)(C)C ZINC000870162283 622437989 /nfs/dbraw/zinc/43/79/89/622437989.db2.gz DOIJAQHHYHRAQE-OLZOCXBDSA-N 0 1 301.390 3.191 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NCc2cccc(Cl)c2O)c1 ZINC000768382009 622453761 /nfs/dbraw/zinc/45/37/61/622453761.db2.gz OPQYQTFVAXWXGM-UHFFFAOYSA-N 0 1 307.140 3.412 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C)c1ccccc1 ZINC000870310468 622455035 /nfs/dbraw/zinc/45/50/35/622455035.db2.gz YNTKIMRZXVFWLL-CZNMUHBBSA-N 0 1 324.424 3.255 20 30 DGEDMN N#Cc1cccc(NC(=O)C2CCN(Cc3ccoc3)CC2)c1 ZINC000769231240 622509050 /nfs/dbraw/zinc/50/90/50/622509050.db2.gz AURIXNSEZZUOEO-UHFFFAOYSA-N 0 1 309.369 3.002 20 30 DGEDMN CC(=O)[C@H](C)CCCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871073346 622544147 /nfs/dbraw/zinc/54/41/47/622544147.db2.gz YPTYCCRHFPKVOT-LLVKDONJSA-N 0 1 312.373 3.159 20 30 DGEDMN C=CCOC(=O)Cn1c2ccccc2c2c1CCN(C(C)C)C2 ZINC000769665612 622548346 /nfs/dbraw/zinc/54/83/46/622548346.db2.gz VUSWPASEXZOTOU-UHFFFAOYSA-N 0 1 312.413 3.137 20 30 DGEDMN C=CCCCNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805392242 622551022 /nfs/dbraw/zinc/55/10/22/622551022.db2.gz HAXIINADVHKRRP-UHFFFAOYSA-N 0 1 303.189 3.464 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NNCC(F)(F)F)ccc1[O-] ZINC000769822103 622565292 /nfs/dbraw/zinc/56/52/92/622565292.db2.gz PTJSJCJQIDBLDZ-UHFFFAOYSA-N 0 1 317.355 3.110 20 30 DGEDMN O=C(OCC#Cc1ccc(F)cc1)c1c(F)cc(O)cc1F ZINC000771362030 622748694 /nfs/dbraw/zinc/74/86/94/622748694.db2.gz KLYUDICJHOEAHV-UHFFFAOYSA-N 0 1 306.239 3.018 20 30 DGEDMN Cn1cc(C=NNc2ccccc2[N+](=O)[O-])c(-c2ccccc2)n1 ZINC000771554449 622775253 /nfs/dbraw/zinc/77/52/53/622775253.db2.gz WAXHONQMGQQTPO-UHFFFAOYSA-N 0 1 321.340 3.441 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cscc1Cl ZINC000771872015 622806761 /nfs/dbraw/zinc/80/67/61/622806761.db2.gz UBSWCVRIRNPIMD-UHFFFAOYSA-N 0 1 312.729 3.183 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1ccc(N(C)C)cc1 ZINC000771935199 622819873 /nfs/dbraw/zinc/81/98/73/622819873.db2.gz OJXBZJWRHCXJHZ-UHFFFAOYSA-N 0 1 308.425 3.397 20 30 DGEDMN FC(F)(F)Cc1ccccc1C=NNCCN1CCCCC1 ZINC000872344701 622892907 /nfs/dbraw/zinc/89/29/07/622892907.db2.gz VRQVJJWPENVONB-UHFFFAOYSA-N 0 1 313.367 3.201 20 30 DGEDMN c1cc(CN=Nc2cnnc3ccccc23)n(CC2CCC2)n1 ZINC000872352170 622894349 /nfs/dbraw/zinc/89/43/49/622894349.db2.gz HDYWNQZULWWUJY-UHFFFAOYSA-N 0 1 306.373 3.072 20 30 DGEDMN CC(N=Nc1cnnn1C)c1cccc(SC(F)(F)F)c1 ZINC000872379340 622900417 /nfs/dbraw/zinc/90/04/17/622900417.db2.gz UROANLRIHPIVQN-UHFFFAOYSA-N 0 1 315.324 3.263 20 30 DGEDMN Cc1cc(N=NC2CCCOc3cc(Cl)ccc32)nc(N)n1 ZINC000872420605 622911684 /nfs/dbraw/zinc/91/16/84/622911684.db2.gz IXEUQZWXRQBZHO-UHFFFAOYSA-N 0 1 317.780 3.009 20 30 DGEDMN Cc1cc(C)c(CN=Nc2cc(Cl)nc3c2c(C)nn3C)[nH]1 ZINC000872423424 622912595 /nfs/dbraw/zinc/91/25/95/622912595.db2.gz PZJGVCDIYPKCQY-UHFFFAOYSA-N 0 1 316.796 3.321 20 30 DGEDMN O=C1c2ccccc2OCC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000772807223 622943258 /nfs/dbraw/zinc/94/32/58/622943258.db2.gz QFRIFAXPWXGJHP-LUAWRHEFSA-N 0 1 311.293 3.349 20 30 DGEDMN N#Cc1ccc(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)c(F)c1 ZINC000772841086 622947523 /nfs/dbraw/zinc/94/75/23/622947523.db2.gz NOXGODYDWLMRLY-UHFFFAOYSA-N 0 1 315.258 3.298 20 30 DGEDMN Cc1ccc(C#N)c(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)n1 ZINC000872648039 622948399 /nfs/dbraw/zinc/94/83/99/622948399.db2.gz JGBIZTUJTGKYOI-HNNXBMFYSA-N 0 1 309.417 3.492 20 30 DGEDMN C=C(Cl)CN[C@H](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC000773005725 622965132 /nfs/dbraw/zinc/96/51/32/622965132.db2.gz TUCJNUNMAGCEBB-SNVBAGLBSA-N 0 1 317.216 3.408 20 30 DGEDMN C=C(Cl)CN[C@H](C)CC(=O)N(C)Cc1ccccc1Cl ZINC000773004956 622965328 /nfs/dbraw/zinc/96/53/28/622965328.db2.gz MSVAUWSANGJUGB-GFCCVEGCSA-N 0 1 315.244 3.419 20 30 DGEDMN COc1cc(C=NN[C@@H]2CCCCC2(C)C)cc([N+](=O)[O-])c1O ZINC000773126575 622988952 /nfs/dbraw/zinc/98/89/52/622988952.db2.gz UWGMWHLTSHBOQB-CQSZACIVSA-N 0 1 321.377 3.201 20 30 DGEDMN Cc1cccnc1NN=Cc1ccc(OC[C@@H]2CCCO2)cc1 ZINC000790193531 625615332 /nfs/dbraw/zinc/61/53/32/625615332.db2.gz HDUPRSDZMSSFDA-KRWDZBQOSA-N 0 1 311.385 3.394 20 30 DGEDMN CCN(C)c1ccc(C=NNCc2cnc3ccccc3c2)cn1 ZINC000790166555 625611982 /nfs/dbraw/zinc/61/19/82/625611982.db2.gz LHNAHCVEFHYAFN-UHFFFAOYSA-N 0 1 319.412 3.210 20 30 DGEDMN C#CCCCCNC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872937774 623002224 /nfs/dbraw/zinc/00/22/24/623002224.db2.gz QWLUTGIYHGBODD-HNNXBMFYSA-N 0 1 316.449 3.226 20 30 DGEDMN Cc1cccnc1NN=C1c2ccccc2N(CC(C)C)C1=O ZINC000790193050 625615448 /nfs/dbraw/zinc/61/54/48/625615448.db2.gz PTKKTEKZYHEBNA-UHFFFAOYSA-N 0 1 308.385 3.209 20 30 DGEDMN C#C[C@@H](NC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1)C(C)C ZINC000873000623 623014044 /nfs/dbraw/zinc/01/40/44/623014044.db2.gz TVHARCJLQBQGTQ-LSDHHAIUSA-N 0 1 316.449 3.080 20 30 DGEDMN Cc1cccnc1N=NCc1cc(O)ccc1Br ZINC000790191838 625615529 /nfs/dbraw/zinc/61/55/29/625615529.db2.gz YYRTUELPQDYUFI-UHFFFAOYSA-N 0 1 306.163 3.304 20 30 DGEDMN CC(C)(C)[C@H](Cn1ccnc1)NCc1cccc(F)c1C#N ZINC000873021570 623019416 /nfs/dbraw/zinc/01/94/16/623019416.db2.gz LHWPDFIXIFSZHE-INIZCTEOSA-N 0 1 300.381 3.098 20 30 DGEDMN C[C@@H](C[C@H](O)c1cccs1)NCc1cccc(F)c1C#N ZINC000873096941 623035241 /nfs/dbraw/zinc/03/52/41/623035241.db2.gz BHENNRWUHGYSLM-NHYWBVRUSA-N 0 1 304.390 3.361 20 30 DGEDMN C[C@H](C[C@@H](O)c1cccs1)NCc1cccc(F)c1C#N ZINC000873096940 623035313 /nfs/dbraw/zinc/03/53/13/623035313.db2.gz BHENNRWUHGYSLM-IAQYHMDHSA-N 0 1 304.390 3.361 20 30 DGEDMN CC(C)[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000773980683 623095753 /nfs/dbraw/zinc/09/57/53/623095753.db2.gz UJRFOOMSYVBDIT-SDDRHHMPSA-N 0 1 304.777 3.279 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C(C)=CC(C)(C)C)n1 ZINC000774026673 623100500 /nfs/dbraw/zinc/10/05/00/623100500.db2.gz LRALPFRGWDDFBA-BRNRAETOSA-N 0 1 320.414 3.488 20 30 DGEDMN Cc1ccc(C=CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cn1 ZINC000774106341 623107805 /nfs/dbraw/zinc/10/78/05/623107805.db2.gz XXAWKYYMHINIJR-CDNLZTBQSA-N 0 1 316.364 3.464 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2ccnn2C)cc(OC)c1OC ZINC000774239453 623124307 /nfs/dbraw/zinc/12/43/07/623124307.db2.gz LFTKOGOVAGESNV-CYBMUJFWSA-N 0 1 315.417 3.017 20 30 DGEDMN CCOCCON=C(C)c1ccc([O-])c(C[NH+](CC)CC)c1 ZINC000774432082 623143317 /nfs/dbraw/zinc/14/33/17/623143317.db2.gz GVZCZMAZIDYVFG-UHFFFAOYSA-N 0 1 308.422 3.011 20 30 DGEDMN N#CC(C(=O)Cc1csc(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000873495382 623159652 /nfs/dbraw/zinc/15/96/52/623159652.db2.gz LSIFYWWVJPRMAI-GFCCVEGCSA-N 0 1 322.393 3.316 20 30 DGEDMN C=CCN(Cn1ncc2cc([N+](=O)[O-])cc(Cl)c21)C(C)C ZINC000774633902 623168066 /nfs/dbraw/zinc/16/80/66/623168066.db2.gz GHBODNKYOAXEBT-UHFFFAOYSA-N 0 1 308.769 3.452 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)c1F ZINC000774660200 623172641 /nfs/dbraw/zinc/17/26/41/623172641.db2.gz PCXYRTWTDGFIJL-HOTGVXAUSA-N 0 1 314.360 3.378 20 30 DGEDMN C[C@@H](C(=O)OCc1cccc(C#N)c1F)N1[C@H](C)CC[C@@H]1C ZINC000774959293 623211097 /nfs/dbraw/zinc/21/10/97/623211097.db2.gz DXDAYOFRRBOJLE-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@H](C(=O)OCc1cccc(C#N)c1F)N1[C@H](C)CC[C@H]1C ZINC000774959289 623211142 /nfs/dbraw/zinc/21/11/42/623211142.db2.gz DXDAYOFRRBOJLE-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN Cc1nn(-c2ccc(Cl)cc2)cc1C=NNc1nccn1C ZINC000790300274 625631653 /nfs/dbraw/zinc/63/16/53/625631653.db2.gz QJPVPZGPOBUIAS-UHFFFAOYSA-N 0 1 314.780 3.014 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1ccc(Cl)cc1 ZINC000775297895 623250877 /nfs/dbraw/zinc/25/08/77/623250877.db2.gz CBGXGAKWJNZUCL-UHFFFAOYSA-N 0 1 321.785 3.153 20 30 DGEDMN CCCCC[C@H](C)NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000775335667 623255290 /nfs/dbraw/zinc/25/52/90/623255290.db2.gz OIGXPWQFUNIKKQ-LSDHHAIUSA-N 0 1 301.434 3.294 20 30 DGEDMN C#C[C@H](NCc1ccc(Br)c(C)c1)[C@H]1CCCO1 ZINC000775341396 623256400 /nfs/dbraw/zinc/25/64/00/623256400.db2.gz MFXSJTWJSJAZQJ-LSDHHAIUSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1ccc(OC(F)F)c(Cl)c1)[C@H]1CCCO1 ZINC000775343261 623257098 /nfs/dbraw/zinc/25/70/98/623257098.db2.gz VTJYBYWFAWXBHE-GXTWGEPZSA-N 0 1 315.747 3.212 20 30 DGEDMN C#CC[C@H](NCC(=O)NCCC1=CCCCC1)c1ccccc1 ZINC000775398662 623267911 /nfs/dbraw/zinc/26/79/11/623267911.db2.gz KNNGKQKZTIXRCV-IBGZPJMESA-N 0 1 310.441 3.347 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)CC1CCCCC1)c1ccccc1 ZINC000775399328 623268494 /nfs/dbraw/zinc/26/84/94/623268494.db2.gz BRFFHVULXDYCHM-LJQANCHMSA-N 0 1 312.457 3.379 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000775399494 623269033 /nfs/dbraw/zinc/26/90/33/623269033.db2.gz RVWLHIFEVIRJKR-INIZCTEOSA-N 0 1 314.335 3.258 20 30 DGEDMN C#C[C@H](N[C@H](CCC)c1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000775573650 623292116 /nfs/dbraw/zinc/29/21/16/623292116.db2.gz ILTLNTZQLSAKHT-GVDBMIGSSA-N 0 1 302.374 3.206 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc(Cl)c(N)c(Cl)c1)[C@H]1CCCO1 ZINC000775575506 623292784 /nfs/dbraw/zinc/29/27/84/623292784.db2.gz YCNUNDFMWZZDSZ-BIGNPOOSSA-N 0 1 313.228 3.407 20 30 DGEDMN C#C[C@@H](NCc1ccc(F)cc1C(F)(F)F)[C@H]1CCCO1 ZINC000776003011 623363773 /nfs/dbraw/zinc/36/37/73/623363773.db2.gz UYUDSDIDLLZRBV-ZIAGYGMSSA-N 0 1 301.283 3.115 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2ccc3[nH]ccc3c2)nc(C)n1 ZINC000776076936 623372737 /nfs/dbraw/zinc/37/27/37/623372737.db2.gz PGYRDPPOXGWQFY-MRXNPFEDSA-N 0 1 318.380 3.384 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCc2c1cccc2Cl ZINC000776088515 623374460 /nfs/dbraw/zinc/37/44/60/623374460.db2.gz KKCYAUFHSRBXAE-CZUORRHYSA-N 0 1 317.816 3.011 20 30 DGEDMN Cc1ccc(N=NC2CN(Cc3ccccc3)CC[C@H]2C)nn1 ZINC000776185972 623391799 /nfs/dbraw/zinc/39/17/99/623391799.db2.gz IQKLTADHUQEGSM-CQSZACIVSA-N 0 1 309.417 3.095 20 30 DGEDMN C[C@@H]1Cc2ccccc2C1N=Nc1cccc(S(C)(=O)=O)c1 ZINC000776260491 623402556 /nfs/dbraw/zinc/40/25/56/623402556.db2.gz HHEOVRURBQGMMB-GFCCVEGCSA-N 0 1 314.410 3.099 20 30 DGEDMN C#CCCN1CCN([C@@H](C)c2cccc(Br)c2)CC1 ZINC000776592570 623445117 /nfs/dbraw/zinc/44/51/17/623445117.db2.gz JODBLKKPBRUIBR-AWEZNQCLSA-N 0 1 321.262 3.151 20 30 DGEDMN N#Cc1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])cc1F ZINC000776728894 623456232 /nfs/dbraw/zinc/45/62/32/623456232.db2.gz OXEGTJGDHSZGGK-UTCJRWHESA-N 0 1 312.256 3.207 20 30 DGEDMN CC(C)C[C@H](C#N)NC(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875515406 623458319 /nfs/dbraw/zinc/45/83/19/623458319.db2.gz HFBZZNABQOJFKC-GFCCVEGCSA-N 0 1 302.271 3.111 20 30 DGEDMN C[C@@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(C#N)c(F)c1 ZINC000776744584 623458900 /nfs/dbraw/zinc/45/89/00/623458900.db2.gz AOAHGLUYLQBNQD-VERVWZFWSA-N 0 1 316.401 3.286 20 30 DGEDMN Cc1cc2ccccc2[nH]c1=NN=Cc1cnn2cccnc12 ZINC000776784139 623463493 /nfs/dbraw/zinc/46/34/93/623463493.db2.gz HZZIBIHBIIDPJA-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN Cc1cc2ccccc2nc1N=NCc1cnc2cnccn12 ZINC000776784769 623463524 /nfs/dbraw/zinc/46/35/24/623463524.db2.gz OMNAVGSGDOJBPW-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN N#Cc1ccc(CNC[C@@H]2COC3(CCCCCC3)O2)c(F)c1 ZINC000776960070 623479826 /nfs/dbraw/zinc/47/98/26/623479826.db2.gz ZSRMBXHVRQFVOU-MRXNPFEDSA-N 0 1 318.392 3.253 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccc(Cl)nc2)cc1 ZINC000125743544 623539173 /nfs/dbraw/zinc/53/91/73/623539173.db2.gz UZHVSEHESMVISH-UHFFFAOYSA-N 0 1 300.789 3.379 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1ccccc1CC ZINC000777651876 623551812 /nfs/dbraw/zinc/55/18/12/623551812.db2.gz YVKYIHFDHRDFJM-UHFFFAOYSA-N 0 1 315.394 3.062 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNc1cc(OC)ccn1 ZINC000777733396 623562758 /nfs/dbraw/zinc/56/27/58/623562758.db2.gz KQDSNRMMTPURDZ-UHFFFAOYSA-N 0 1 302.761 3.368 20 30 DGEDMN COc1cc(C=NNc2cc(Cl)ccn2)ccc1OC(C)=O ZINC000777906491 623583818 /nfs/dbraw/zinc/58/38/18/623583818.db2.gz YHUNHIDHJJGIEO-UHFFFAOYSA-N 0 1 319.748 3.115 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc([N+](=O)[O-])cc2F)c(O)c1 ZINC000777918463 623585379 /nfs/dbraw/zinc/58/53/79/623585379.db2.gz LVBCUPCTBLXTDY-XVNBXDOJSA-N 0 1 317.272 3.344 20 30 DGEDMN C#CCOc1ccc(-c2nc(-c3ccn4cncc4c3)no2)cc1 ZINC000790513783 625662916 /nfs/dbraw/zinc/66/29/16/625662916.db2.gz QSRHXURXBMTUJM-UHFFFAOYSA-N 0 1 316.320 3.063 20 30 DGEDMN CN(C)c1ccc(C#N)cc1C[N@H+]1CCC[C@@H]1c1cccn1C ZINC000876545981 623639712 /nfs/dbraw/zinc/63/97/12/623639712.db2.gz QRQUVHPJNHJKNI-LJQANCHMSA-N 0 1 308.429 3.300 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@@H]1c1cccn1C ZINC000876545981 623639714 /nfs/dbraw/zinc/63/97/14/623639714.db2.gz QRQUVHPJNHJKNI-LJQANCHMSA-N 0 1 308.429 3.300 20 30 DGEDMN CCN(CC)c1ccc(CN(C)Cc2cccc(C#N)n2)cc1 ZINC000876551837 623641724 /nfs/dbraw/zinc/64/17/24/623641724.db2.gz YOQSSVGTHBNVTD-UHFFFAOYSA-N 0 1 308.429 3.431 20 30 DGEDMN CC(C)(C(=O)OCc1ccc(Cl)cc1C#N)N1CCCC1 ZINC000805611839 623677516 /nfs/dbraw/zinc/67/75/16/623677516.db2.gz VPXSQIBDRWTRON-UHFFFAOYSA-N 0 1 306.793 3.129 20 30 DGEDMN CO[C@@H](CNCc1cc(C#N)cnc1Cl)c1ccccc1 ZINC000876958974 623754679 /nfs/dbraw/zinc/75/46/79/623754679.db2.gz MRPBSDPOVLKDFX-HNNXBMFYSA-N 0 1 301.777 3.084 20 30 DGEDMN N#Cc1cnc(Cl)c(CNCCCOc2ccc(F)cc2)c1 ZINC000876989596 623761554 /nfs/dbraw/zinc/76/15/54/623761554.db2.gz ZEFSIQJTLOZQNO-UHFFFAOYSA-N 0 1 319.767 3.304 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@@H](N)c1cccc(C(F)(F)F)c1 ZINC000876991452 623762261 /nfs/dbraw/zinc/76/22/61/623762261.db2.gz YEYOHULKIPWQID-ZDUSSCGKSA-N 0 1 314.351 3.424 20 30 DGEDMN C=CCc1cc(CNCc2ccns2)cc(OC)c1OC ZINC000877021256 623768259 /nfs/dbraw/zinc/76/82/59/623768259.db2.gz DCNKJIKXNNFYFU-UHFFFAOYSA-N 0 1 304.415 3.179 20 30 DGEDMN Cc1ccccc1O[C@H](C)CNCc1cc(C#N)cnc1Cl ZINC000877064297 623780858 /nfs/dbraw/zinc/78/08/58/623780858.db2.gz QIQRNIQCLVUYSH-CYBMUJFWSA-N 0 1 315.804 3.472 20 30 DGEDMN C=C[C@H](C)NCc1cc(C(C)(C)NC(=O)OC(C)(C)C)no1 ZINC000877087389 623788301 /nfs/dbraw/zinc/78/83/01/623788301.db2.gz KNXNPFXHYPHNCI-NSHDSACASA-N 0 1 309.410 3.099 20 30 DGEDMN C[C@@H](C[C@@H](O)c1ccccc1)NCc1cc(C#N)cnc1Cl ZINC000877127395 623797974 /nfs/dbraw/zinc/79/79/74/623797974.db2.gz GFLCPKHFUMHUHJ-BLLLJJGKSA-N 0 1 315.804 3.208 20 30 DGEDMN C[C@H]1CN=C(NN=C(CCCc2ccccc2)c2ccccc2)N1 ZINC000779802351 623809947 /nfs/dbraw/zinc/80/99/47/623809947.db2.gz FQVZNQOMPLWQAV-INIZCTEOSA-N 0 1 320.440 3.351 20 30 DGEDMN COc1cccc(NN=Cc2c(C)nn(C(C)(C)C)c2C)n1 ZINC000779817548 623814493 /nfs/dbraw/zinc/81/44/93/623814493.db2.gz RTBOXSZEAKLPEK-UHFFFAOYSA-N 0 1 301.394 3.105 20 30 DGEDMN C=C(Cl)CSc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC000779826631 623816806 /nfs/dbraw/zinc/81/68/06/623816806.db2.gz ACIZYGLTIPMHIE-UHFFFAOYSA-N 0 1 309.778 3.088 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cc2ccccc2s1 ZINC000780238662 623889624 /nfs/dbraw/zinc/88/96/24/623889624.db2.gz YTGHUOFSHMFBDO-OKILXGFUSA-N 0 1 312.442 3.485 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=N[C@@H]1CCOC(C)(C)C1 ZINC000780250497 623894987 /nfs/dbraw/zinc/89/49/87/623894987.db2.gz PKGDOVOSGXVLJV-NVXWUHKLSA-N 0 1 315.461 3.042 20 30 DGEDMN COCCN(Cc1cc(C#N)cnc1Cl)[C@@H]1CC[C@H](C)C1 ZINC000877474346 623899550 /nfs/dbraw/zinc/89/95/50/623899550.db2.gz TZVVRTHDPVVANP-SWLSCSKDSA-N 0 1 307.825 3.244 20 30 DGEDMN COc1ccc(N=NCc2ccc(OC)c(OC(F)F)c2)nc1 ZINC000780275558 623902628 /nfs/dbraw/zinc/90/26/28/623902628.db2.gz ZSMGBIMWXSNOCG-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1ccc(NN=C(C)c2cnn(Cc3ccccc3)c2)nc1 ZINC000780275593 623902723 /nfs/dbraw/zinc/90/27/23/623902723.db2.gz ASBHUQAYMVFQMT-UHFFFAOYSA-N 0 1 321.384 3.171 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@H]2CCc3ccccc32)c1 ZINC000780286419 623904038 /nfs/dbraw/zinc/90/40/38/623904038.db2.gz NNWLNFSGMGVZEL-GOSISDBHSA-N 0 1 318.420 3.268 20 30 DGEDMN C#CCCCCCC(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000780368076 623917323 /nfs/dbraw/zinc/91/73/23/623917323.db2.gz KYQOBHJCPDWXKB-UHFFFAOYSA-N 0 1 312.373 3.003 20 30 DGEDMN C=CC1CCN(CN2CC[C@](C)(Cc3ccccc3)C2=O)CC1 ZINC000780437296 623929178 /nfs/dbraw/zinc/92/91/78/623929178.db2.gz PSISEBVFSJWHMK-HXUWFJFHSA-N 0 1 312.457 3.323 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@@H](CO)CC2CCCCC2)c1 ZINC000877594578 623955615 /nfs/dbraw/zinc/95/56/15/623955615.db2.gz VRDSFQOHKTUZFT-OAHLLOKOSA-N 0 1 307.825 3.028 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cnc2c(cnn2C)c1 ZINC000877598658 623957920 /nfs/dbraw/zinc/95/79/20/623957920.db2.gz JEEQBMQOYMJZJW-ZDUSSCGKSA-N 0 1 305.385 3.033 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)[NH2+]Cc1cc(Cl)ccc1[O-] ZINC000877612531 623962338 /nfs/dbraw/zinc/96/23/38/623962338.db2.gz KFPJSVHGULPOPI-LBPRGKRZSA-N 0 1 308.809 3.234 20 30 DGEDMN C=C(Cl)C[C@@H](NCc1cccc(Cl)c1O)C(=O)OCC ZINC000877671136 623985820 /nfs/dbraw/zinc/98/58/20/623985820.db2.gz GGHVIUCDRBHSRP-GFCCVEGCSA-N 0 1 318.200 3.210 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)Nc1cc(C#N)ccc1O ZINC000780980440 624006582 /nfs/dbraw/zinc/00/65/82/624006582.db2.gz KEWJKSXUZNBSPN-OAHLLOKOSA-N 0 1 303.362 3.174 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1C(F)(F)F)c1ccnn1C ZINC000877763322 624026825 /nfs/dbraw/zinc/02/68/25/624026825.db2.gz VFNCMHTVQLYSCY-JTQLQIEISA-N 0 1 308.307 3.161 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC2([C@H]3CCCCO3)CCC2)c1 ZINC000877770939 624030700 /nfs/dbraw/zinc/03/07/00/624030700.db2.gz IZTHUQIPIWOQNY-CQSZACIVSA-N 0 1 305.809 3.188 20 30 DGEDMN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)Nc2ccc(C3CC3)c(C#N)c2)C1 ZINC000882309976 625694525 /nfs/dbraw/zinc/69/45/25/625694525.db2.gz CGKLUHHNSAGVET-PIGZYNQJSA-N 0 1 320.396 3.463 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)C[C@@H](O)c1ccccc1 ZINC000877907397 624087334 /nfs/dbraw/zinc/08/73/34/624087334.db2.gz YZJXFZJILJTEEJ-FUHWJXTLSA-N 0 1 317.454 3.221 20 30 DGEDMN CN(C)c1nccc(N=NCc2ccc(SC(F)F)cc2)n1 ZINC000781594717 624100105 /nfs/dbraw/zinc/10/01/05/624100105.db2.gz RQKOCTOOJWAMMB-UHFFFAOYSA-N 0 1 323.372 3.303 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000877937466 624101621 /nfs/dbraw/zinc/10/16/21/624101621.db2.gz MQAHTJJSTJILIK-MRXNPFEDSA-N 0 1 304.434 3.016 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC(C)(C)C2)c1 ZINC000781763919 624141241 /nfs/dbraw/zinc/14/12/41/624141241.db2.gz ZNOOWMYWYYZRAP-DOMZBBRYSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCC(C)(C)C2)cc1 ZINC000781769837 624143418 /nfs/dbraw/zinc/14/34/18/624143418.db2.gz XDUCAYITLSHDQH-SWLSCSKDSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCSC(C)(C)C1)c1ccccc1 ZINC000878175996 624202169 /nfs/dbraw/zinc/20/21/69/624202169.db2.gz AGZBEQQJUQWGLK-SFHVURJKSA-N 0 1 316.470 3.254 20 30 DGEDMN N#Cc1cc(F)c(CN=Nc2nncc3ccccc32)c(F)c1 ZINC000782115169 624206682 /nfs/dbraw/zinc/20/66/82/624206682.db2.gz YYQDJCWFALKUNF-UHFFFAOYSA-N 0 1 309.279 3.226 20 30 DGEDMN Cn1c(N=NCc2scnc2C2CC2)nnc1-c1ccccc1 ZINC000782203629 624219222 /nfs/dbraw/zinc/21/92/22/624219222.db2.gz RYVYXRNIEMEHGW-UHFFFAOYSA-N 0 1 324.413 3.262 20 30 DGEDMN CCCOc1ccc(C(=O)NC2=NO[C@H](C)C2)c(OC(C)C)c1 ZINC000790827130 625704602 /nfs/dbraw/zinc/70/46/02/625704602.db2.gz VJGWFKKGKJLFJD-GFCCVEGCSA-N 0 1 320.389 3.115 20 30 DGEDMN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC(CC#N)CC1 ZINC000878396372 624266012 /nfs/dbraw/zinc/26/60/12/624266012.db2.gz LQZGDDGYVFARBA-SFHVURJKSA-N 0 1 313.445 3.362 20 30 DGEDMN C[C@@H](NCc1cn(C)nc1-c1ccc(C#N)cc1)c1cncs1 ZINC000782783716 624281998 /nfs/dbraw/zinc/28/19/98/624281998.db2.gz ONEFTNJTBONVFU-GFCCVEGCSA-N 0 1 323.425 3.266 20 30 DGEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1O)c1c(F)cccc1F ZINC000127140037 624286277 /nfs/dbraw/zinc/28/62/77/624286277.db2.gz FAINZVINVMCJOK-VIFPVBQESA-N 0 1 302.280 3.284 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1ccc(-c2ccccc2)cc1 ZINC000782966444 624297361 /nfs/dbraw/zinc/29/73/61/624297361.db2.gz FBZHHKZLPCYMSX-UHFFFAOYSA-N 0 1 315.438 3.044 20 30 DGEDMN C[C@H](OC(=O)Cc1[nH]nc2ccccc21)c1ccc(C#N)cc1 ZINC000783155447 624317126 /nfs/dbraw/zinc/31/71/26/624317126.db2.gz GVRLBROJYIWKJG-LBPRGKRZSA-N 0 1 305.337 3.281 20 30 DGEDMN C[C@@H](OC(=O)Cc1[nH]nc2ccccc21)c1cccc(C#N)c1 ZINC000783157367 624317968 /nfs/dbraw/zinc/31/79/68/624317968.db2.gz QMPHAWQQBIKUBA-GFCCVEGCSA-N 0 1 305.337 3.281 20 30 DGEDMN CCC[C@@H](NCc1ccc(C#N)cn1)c1cccc([N+](=O)[O-])c1 ZINC000783300675 624336563 /nfs/dbraw/zinc/33/65/63/624336563.db2.gz PHXYKVZHZOAQCK-QGZVFWFLSA-N 0 1 310.357 3.492 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1oc2ccccc2c1CC ZINC000783531095 624361840 /nfs/dbraw/zinc/36/18/40/624361840.db2.gz GGRFVKWCYJOTMQ-ZDUSSCGKSA-N 0 1 321.442 3.247 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC2(CC1)c1ccccc1N=C2C ZINC000878797123 624361955 /nfs/dbraw/zinc/36/19/55/624361955.db2.gz HSFJYLUMPRQSQG-KRWDZBQOSA-N 0 1 312.413 3.244 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNCCCc1ccccc1 ZINC000783845349 624399957 /nfs/dbraw/zinc/39/99/57/624399957.db2.gz FIOMSLIQZKQXCA-UHFFFAOYSA-N 0 1 319.412 3.132 20 30 DGEDMN C#CCCOc1ccc(CN2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000790930611 625717826 /nfs/dbraw/zinc/71/78/26/625717826.db2.gz FXYJDAMPHXUTPM-SFHVURJKSA-N 0 1 301.430 3.336 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC000879223706 624454407 /nfs/dbraw/zinc/45/44/07/624454407.db2.gz VXOFNQPCQYWDSZ-XJKSGUPXSA-N 0 1 315.417 3.046 20 30 DGEDMN CC[C@@H](C(=O)OCc1ccc(C#N)cc1Cl)N(CC)CC ZINC000784228570 624457940 /nfs/dbraw/zinc/45/79/40/624457940.db2.gz LZGOMVYCBRDIGP-HNNXBMFYSA-N 0 1 308.809 3.375 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(C#N)cc1Cl)N1CCCC1 ZINC000784228047 624458250 /nfs/dbraw/zinc/45/82/50/624458250.db2.gz DGJOZRFOWJKGOL-MRXNPFEDSA-N 0 1 320.820 3.375 20 30 DGEDMN CC(C)[C@@H](F)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000784490578 624533615 /nfs/dbraw/zinc/53/36/15/624533615.db2.gz HBFKEVJYXAFAEU-GDBMZVCRSA-N 0 1 312.344 3.481 20 30 DGEDMN Cc1cccc2nc(CN(C)CCc3cccc(C#N)c3)cn21 ZINC000879433762 624547032 /nfs/dbraw/zinc/54/70/32/624547032.db2.gz DXZHKDIDSCWMLZ-UHFFFAOYSA-N 0 1 304.397 3.189 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1)N(C)CCc1cccc(C#N)c1 ZINC000879434846 624547060 /nfs/dbraw/zinc/54/70/60/624547060.db2.gz OAABCDLUYDGALK-HNNXBMFYSA-N 0 1 307.397 3.060 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)[C@H]1CC[C@H](O)CC1 ZINC000784669740 624568259 /nfs/dbraw/zinc/56/82/59/624568259.db2.gz IQVWQEVPQQOEJT-HDJSIYSDSA-N 0 1 307.821 3.087 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879689931 624641445 /nfs/dbraw/zinc/64/14/45/624641445.db2.gz BVZHIKWKNAOKRT-BEFAXECRSA-N 0 1 318.424 3.499 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879691680 624641952 /nfs/dbraw/zinc/64/19/52/624641952.db2.gz HEPMGKUVUJEPOL-KXBFYZLASA-N 0 1 318.424 3.499 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(CC#N)cc1)N1[C@H](C)CC[C@H]1C ZINC000785332980 624654435 /nfs/dbraw/zinc/65/44/35/624654435.db2.gz LTQZINCHVCPRKG-KFWWJZLASA-N 0 1 300.402 3.057 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CCC(C)(C)C#N ZINC000879756175 624667293 /nfs/dbraw/zinc/66/72/93/624667293.db2.gz ZSHOWUDUGXCGSY-CABCVRRESA-N 0 1 321.465 3.400 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccc(F)nc2C)c1 ZINC000785467633 624671072 /nfs/dbraw/zinc/67/10/72/624671072.db2.gz BIPXKAHPCJAXDX-MRXNPFEDSA-N 0 1 313.376 3.500 20 30 DGEDMN CC(C)c1nc(CN[C@@H](c2cccc(C#N)c2)C(F)(F)F)n[nH]1 ZINC000879784191 624676093 /nfs/dbraw/zinc/67/60/93/624676093.db2.gz ZQXNBEQIBGSBPV-ZDUSSCGKSA-N 0 1 323.322 3.193 20 30 DGEDMN C#CCOc1ccccc1-c1noc(CN2[C@H](C)CC[C@@H]2C)n1 ZINC000785584012 624691864 /nfs/dbraw/zinc/69/18/64/624691864.db2.gz INDIYYRKYLBXQZ-OKILXGFUSA-N 0 1 311.385 3.121 20 30 DGEDMN N#Cc1ccc(CNC[C@@H](O)c2cc(F)cc(Cl)c2)c(F)c1 ZINC000785613794 624701341 /nfs/dbraw/zinc/70/13/41/624701341.db2.gz DBRMECLSHXKZKV-MRXNPFEDSA-N 0 1 322.742 3.313 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCC[C@H](C)C2)c1 ZINC000786545245 624916525 /nfs/dbraw/zinc/91/65/25/624916525.db2.gz FFTJWSTYLJTHIA-BHYGNILZSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H](C)C2CCCC2)c1 ZINC000786546007 624918398 /nfs/dbraw/zinc/91/83/98/624918398.db2.gz AYGXQZKJGJWRAG-YOEHRIQHSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786546139 624918498 /nfs/dbraw/zinc/91/84/98/624918498.db2.gz HIOYNLAXZCLWPG-IUODEOHRSA-N 0 1 320.820 3.491 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786571808 624922543 /nfs/dbraw/zinc/92/25/43/624922543.db2.gz SAJCLILFIUCYFK-DGCLKSJQSA-N 0 1 322.355 3.116 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc(Br)cs1)[C@H]1CCCO1 ZINC000786916698 624964256 /nfs/dbraw/zinc/96/42/56/624964256.db2.gz HIEAOENPJOOXOP-ZMLRMANQSA-N 0 1 314.248 3.342 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1cnn(C(C)C)c1C ZINC000787152865 624983904 /nfs/dbraw/zinc/98/39/04/624983904.db2.gz DWPLBQZZAYNZQB-UHFFFAOYSA-N 0 1 310.405 3.495 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880659011 624995634 /nfs/dbraw/zinc/99/56/34/624995634.db2.gz VNUZWDBYUZJNHT-LNCBFAARSA-N 0 1 301.434 3.327 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc(C#N)cc1Cl ZINC000788425669 625166480 /nfs/dbraw/zinc/16/64/80/625166480.db2.gz JAUSPADMHLAXOE-UHFFFAOYSA-N 0 1 304.825 3.011 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)NC[C@@H]1CC[N@H+]1C(C)(C)C ZINC000880985933 625179972 /nfs/dbraw/zinc/17/99/72/625179972.db2.gz AOFPNLIZXOPNJX-ZDUSSCGKSA-N 0 1 318.396 3.000 20 30 DGEDMN CC[C@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000791891722 625878770 /nfs/dbraw/zinc/87/87/70/625878770.db2.gz UHPQJFJFDQOBKT-FZMZJTMJSA-N 0 1 306.793 3.101 20 30 DGEDMN C=CC[C@H](NCc1c2c(nn1C)CCSC2)c1ccncc1 ZINC000883003145 625893717 /nfs/dbraw/zinc/89/37/17/625893717.db2.gz AMERJVUZVSLHNO-HNNXBMFYSA-N 0 1 314.458 3.011 20 30 DGEDMN N#CC(=C(O)C=C1CCSCC1)c1cnc2ccccc2n1 ZINC000792110556 625910809 /nfs/dbraw/zinc/91/08/09/625910809.db2.gz OJEYNGSFFAYZFB-CYBMUJFWSA-N 0 1 309.394 3.259 20 30 DGEDMN COCc1c(C)cc(C)c(CNCc2ccc(C#N)cn2)c1C ZINC000883098470 625926316 /nfs/dbraw/zinc/92/63/16/625926316.db2.gz PHCSFWUMJMUVQN-UHFFFAOYSA-N 0 1 309.413 3.315 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@@H]2CCc3cc(C)ccc3O2)n1 ZINC000796620093 626067082 /nfs/dbraw/zinc/06/70/82/626067082.db2.gz STOQTAIQEXVNRH-ZFWWWQNUSA-N 0 1 312.394 3.330 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H](CC#N)c2ccccc2)nc(C)n1 ZINC000796632802 626067805 /nfs/dbraw/zinc/06/78/05/626067805.db2.gz NUZNDUXVRPATNW-IAGOWNOFSA-N 0 1 318.380 3.357 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc2c(c1)CCC(=O)N2C ZINC000796631118 626067974 /nfs/dbraw/zinc/06/79/74/626067974.db2.gz CTPMCAKAZDLZST-UHFFFAOYSA-N 0 1 318.420 3.231 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796631187 626068078 /nfs/dbraw/zinc/06/80/78/626068078.db2.gz NXVCSMUWVGENPZ-CABCVRRESA-N 0 1 310.413 3.310 20 30 DGEDMN CC[C@H](C)[C@H](OC)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000796636798 626068310 /nfs/dbraw/zinc/06/83/10/626068310.db2.gz RBFRXPLOBXFTIO-RWSFTLGLSA-N 0 1 322.792 3.048 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC1CC(C)(C)C1 ZINC000796745646 626078311 /nfs/dbraw/zinc/07/83/11/626078311.db2.gz MASAHQVQVUKALH-CYBMUJFWSA-N 0 1 314.385 3.169 20 30 DGEDMN COC(=O)c1ccc(C=NNc2ncnc(Cl)c2C(C)C)o1 ZINC000797403942 626140409 /nfs/dbraw/zinc/14/04/09/626140409.db2.gz CFJCMPFONBHANR-UHFFFAOYSA-N 0 1 322.752 3.079 20 30 DGEDMN C[C@H](OCC1CC1)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797570071 626154016 /nfs/dbraw/zinc/15/40/16/626154016.db2.gz CFOJLDBKYLFQTN-CMPLNLGQSA-N 0 1 306.431 3.432 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC(F)(F)C1)C(=O)Nc1ccccc1 ZINC000797943508 626182998 /nfs/dbraw/zinc/18/29/98/626182998.db2.gz RNVWVQAPAGKUNX-YPMHNXCESA-N 0 1 306.312 3.159 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000797942010 626183035 /nfs/dbraw/zinc/18/30/35/626183035.db2.gz JMMCGGGZMJODBD-RYUDHWBXSA-N 0 1 322.359 3.006 20 30 DGEDMN Cc1c(C(C)N=Nc2ccc(C#N)cc2C(F)(F)F)cnn1C ZINC000799491953 626305283 /nfs/dbraw/zinc/30/52/83/626305283.db2.gz KKFKBVKDIZTQBJ-UHFFFAOYSA-N 0 1 321.306 3.455 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(C#N)c(OC)c2)c(O)c1 ZINC000799497275 626306141 /nfs/dbraw/zinc/30/61/41/626306141.db2.gz MNZUNNJZZHIRJY-YWEYNIOJSA-N 0 1 309.321 3.177 20 30 DGEDMN COc1cccc(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)c1F ZINC000799874575 626332696 /nfs/dbraw/zinc/33/26/96/626332696.db2.gz RBNOQMKQTPKCLY-UHFFFAOYSA-N 0 1 320.274 3.435 20 30 DGEDMN CC(=CN=NC1=NC[C@H](C)N1)Cc1ccccc1C(F)(F)F ZINC000799884146 626333514 /nfs/dbraw/zinc/33/35/14/626333514.db2.gz FTXHDSJMZZNGFS-RJHZBIIISA-N 0 1 310.323 3.032 20 30 DGEDMN COC(=O)c1cc(CN=Nc2cccc(Cl)c2F)n(C)c1C ZINC000800810985 626376182 /nfs/dbraw/zinc/37/61/82/626376182.db2.gz CHBYJCAKFIMDAC-UHFFFAOYSA-N 0 1 323.755 3.359 20 30 DGEDMN CCOc1ccc(CN=Nc2cccc(F)c2C(=O)OC)cc1 ZINC000800817077 626376623 /nfs/dbraw/zinc/37/66/23/626376623.db2.gz MQRHAGKKPQURKN-UHFFFAOYSA-N 0 1 316.332 3.457 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1ccc(OC)cc1 ZINC000800817677 626376961 /nfs/dbraw/zinc/37/69/61/626376961.db2.gz XMOOCOMWBBTCJO-UHFFFAOYSA-N 0 1 302.305 3.067 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C1CCc2cc(O)ccc21 ZINC000800818682 626377008 /nfs/dbraw/zinc/37/70/08/626377008.db2.gz KFKJWCMARXEBCY-UHFFFAOYSA-N 0 1 314.316 3.080 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)c(Cl)c2)cc1C#N ZINC000800895938 626383301 /nfs/dbraw/zinc/38/33/01/626383301.db2.gz QOAKOYSTIMIMTL-UHFFFAOYSA-N 0 1 311.772 3.382 20 30 DGEDMN C[C@@H](C#N)OCCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000801941488 626427368 /nfs/dbraw/zinc/42/73/68/626427368.db2.gz OUTLBMWTWMMLNT-STQMWFEESA-N 0 1 301.415 3.389 20 30 DGEDMN C[C@H](C#N)OCCN[C@H](C)c1nc2ccccc2n1C(F)F ZINC000801947031 626427790 /nfs/dbraw/zinc/42/77/90/626427790.db2.gz QSDDYHGQHFIGFU-GHMZBOCLSA-N 0 1 308.332 3.011 20 30 DGEDMN Cc1cc(C)nc(C(=O)C(C#N)c2nc3ccccc3n2C)c1 ZINC000802031505 626429595 /nfs/dbraw/zinc/42/95/95/626429595.db2.gz GKFYCLZLONKDLJ-ZDUSSCGKSA-N 0 1 304.353 3.075 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C2CC2)[nH]1)[C@@H]1Cc2ccccc2O1 ZINC000883225494 626614833 /nfs/dbraw/zinc/61/48/33/626614833.db2.gz IFNSYIAFQFGRSS-RDJZCZTQSA-N 0 1 324.428 3.110 20 30 DGEDMN C[C@@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1ccc(F)cc1F ZINC000883358933 626660293 /nfs/dbraw/zinc/66/02/93/626660293.db2.gz UJZGFNPXYDTCOH-LHLIQPBNSA-N 0 1 319.220 3.174 20 30 DGEDMN C[C@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1ccc(F)cc1F ZINC000883358935 626660434 /nfs/dbraw/zinc/66/04/34/626660434.db2.gz UJZGFNPXYDTCOH-WKEGUHRASA-N 0 1 319.220 3.174 20 30 DGEDMN CC(C)(C)CCN1CCN(c2nc(Cl)c(C#N)s2)CC1 ZINC000804370243 626673500 /nfs/dbraw/zinc/67/35/00/626673500.db2.gz LDIRWCPXEMUIIT-UHFFFAOYSA-N 0 1 312.870 3.226 20 30 DGEDMN Cc1ccccc1-n1cc(/C=C/C(=O)c2ccc(O)c(F)c2)nn1 ZINC000806571067 626722591 /nfs/dbraw/zinc/72/25/91/626722591.db2.gz CNGMODZXBWQGHE-VQHVLOKHSA-N 0 1 323.327 3.317 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)C=Cc1cn(C(C)C)nn1 ZINC000806571807 626722885 /nfs/dbraw/zinc/72/28/85/626722885.db2.gz NWFWFZODJUHREC-MDZDMXLPSA-N 0 1 321.384 3.454 20 30 DGEDMN C#CC[C@H](OC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C)C1CC1 ZINC000133076493 626763123 /nfs/dbraw/zinc/76/31/23/626763123.db2.gz UELCQCIBEILXBH-INIZCTEOSA-N 0 1 324.380 3.352 20 30 DGEDMN CC(N=Nc1cccc(F)c1F)c1cnnn1-c1ccccc1 ZINC000807973646 626781524 /nfs/dbraw/zinc/78/15/24/626781524.db2.gz HNMJHYIROXPWKR-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN N#Cc1ccc(O)c(NS(=O)(=O)c2ccc(C(F)F)cc2)c1 ZINC000808096735 626792683 /nfs/dbraw/zinc/79/26/83/626792683.db2.gz WECPLUOAOVVCPG-UHFFFAOYSA-N 0 1 324.308 3.002 20 30 DGEDMN CCC[C@]1(CO)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000808796928 626862118 /nfs/dbraw/zinc/86/21/18/626862118.db2.gz VFCPVBRWYOGFAI-KRWDZBQOSA-N 0 1 322.836 3.227 20 30 DGEDMN Cc1cc(OC(=O)c2ccc3cncn3c2)c(C)c(C)c1C#N ZINC000809037157 626877279 /nfs/dbraw/zinc/87/72/79/626877279.db2.gz NGYTVECRLJUSDE-UHFFFAOYSA-N 0 1 305.337 3.350 20 30 DGEDMN C=CCCC(C)(C)NC(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000884419508 626878064 /nfs/dbraw/zinc/87/80/64/626878064.db2.gz SMYZRVAATKWSHY-HNNXBMFYSA-N 0 1 300.402 3.164 20 30 DGEDMN C#Cc1ccc(CN2CCCN(C(=O)CC3CCCC3)CC2)cc1 ZINC000809544780 626918015 /nfs/dbraw/zinc/91/80/15/626918015.db2.gz UCQWFOUNNCXYPL-UHFFFAOYSA-N 0 1 324.468 3.283 20 30 DGEDMN C#Cc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)cc1 ZINC000809622770 626927874 /nfs/dbraw/zinc/92/78/74/626927874.db2.gz KGPDGQHIFYEZED-FQEVSTJZSA-N 0 1 301.393 3.396 20 30 DGEDMN C#C[C@@H](CC)NCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC000809686068 626936011 /nfs/dbraw/zinc/93/60/11/626936011.db2.gz KWIVVJOUMABQHU-INIZCTEOSA-N 0 1 321.346 3.452 20 30 DGEDMN N#Cc1ccc(OC2CCN(Cc3ccoc3)CC2)c(F)c1 ZINC000809707118 626939256 /nfs/dbraw/zinc/93/92/56/626939256.db2.gz QXJYAFFACKWSBV-UHFFFAOYSA-N 0 1 300.333 3.334 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000810225543 626990171 /nfs/dbraw/zinc/99/01/71/626990171.db2.gz GSJJOCPMTKJULY-LJQANCHMSA-N 0 1 302.377 3.347 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)[C@H]3CCCc4[nH]ncc43)cc21 ZINC000885573416 627027184 /nfs/dbraw/zinc/02/71/84/627027184.db2.gz DQSZRIQRVURXJE-AWEZNQCLSA-N 0 1 321.384 3.004 20 30 DGEDMN COc1ccc(Cl)cc1CN(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885928472 627062322 /nfs/dbraw/zinc/06/23/22/627062322.db2.gz AJRKCIAVSDCYTO-HNNXBMFYSA-N 0 1 322.836 3.225 20 30 DGEDMN CC(=Cc1cccc(Cl)c1)C(=O)[C@@H](C#N)C(=O)N1CCCC1 ZINC000136378512 627062929 /nfs/dbraw/zinc/06/29/29/627062929.db2.gz PGMYAFZYCNKSCT-HMVFGEFZSA-N 0 1 316.788 3.075 20 30 DGEDMN CC(C)COC[C@H](NC[C@H](O)CC1(C#N)CCC1)c1ccco1 ZINC000886124785 627108747 /nfs/dbraw/zinc/10/87/47/627108747.db2.gz AKQXIXLYSONURI-CVEARBPZSA-N 0 1 320.433 3.028 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000886357203 627141785 /nfs/dbraw/zinc/14/17/85/627141785.db2.gz CKHFXCBPFKYUCO-GFCCVEGCSA-N 0 1 302.324 3.465 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)C(=O)Nc2cccc(Cl)c2C)C1 ZINC000886355801 627141896 /nfs/dbraw/zinc/14/18/96/627141896.db2.gz NWCYWYXTVPPCQD-ZIAGYGMSSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2C)C1 ZINC000886356259 627142112 /nfs/dbraw/zinc/14/21/12/627142112.db2.gz XEDZHBIYSXMVES-KGLIPLIRSA-N 0 1 304.821 3.321 20 30 DGEDMN C=CCC1(O)CCN([C@@H](CC)C(=O)Nc2ccc(C)cc2)CC1 ZINC000886780548 627203860 /nfs/dbraw/zinc/20/38/60/627203860.db2.gz RFRUUEULMVKHQR-KRWDZBQOSA-N 0 1 316.445 3.115 20 30 DGEDMN C[C@H](N[C@@H]1CCc2c1cccc2F)C(=O)Nc1ccccc1C#N ZINC000886924297 627214614 /nfs/dbraw/zinc/21/46/14/627214614.db2.gz VEAHOEQWORPSME-KPZWWZAWSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCC1(NCc2ncc(-c3ccccc3F)o2)CCOCC1 ZINC000887124450 627227221 /nfs/dbraw/zinc/22/72/21/627227221.db2.gz DZICCVXFYSJYSH-UHFFFAOYSA-N 0 1 314.360 3.143 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OCCCCC(C)(C)C#N)C1 ZINC000811448263 627275136 /nfs/dbraw/zinc/27/51/36/627275136.db2.gz UTRVIFQRZBJCQL-KRWDZBQOSA-N 0 1 312.429 3.462 20 30 DGEDMN C#Cc1ccccc1CC(=O)Nc1cc(Cl)c(O)cc1F ZINC000811527134 627287834 /nfs/dbraw/zinc/28/78/34/627287834.db2.gz IWZKUKSBCUKCBS-UHFFFAOYSA-N 0 1 303.720 3.347 20 30 DGEDMN COc1ccc(N=NC2CCN(Cc3ccccc3)[C@H]2C)nc1 ZINC000811648107 627299221 /nfs/dbraw/zinc/29/92/21/627299221.db2.gz FHYAHFYDTATXEL-AWEZNQCLSA-N 0 1 310.401 3.153 20 30 DGEDMN C=C(C)CNN=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000811651942 627299866 /nfs/dbraw/zinc/29/98/66/627299866.db2.gz SAODNFHFDKTALY-UHFFFAOYSA-N 0 1 301.434 3.268 20 30 DGEDMN C[C@H](COc1ccccc1)NN=Cc1ccc(N2CCCC2)nc1 ZINC000811668715 627304503 /nfs/dbraw/zinc/30/45/03/627304503.db2.gz SCAUTEAKNWXAJB-MRXNPFEDSA-N 0 1 324.428 3.073 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000811749914 627316104 /nfs/dbraw/zinc/31/61/04/627316104.db2.gz ZFYMWXQZBKRTPS-JKSUJKDBSA-N 0 1 308.466 3.038 20 30 DGEDMN C#C[C@@H](NC(=O)c1cccc2nc[nH]c21)c1ccc(Cl)cc1 ZINC000812353876 627374489 /nfs/dbraw/zinc/37/44/89/627374489.db2.gz AVHCVZISJWDWHR-CQSZACIVSA-N 0 1 309.756 3.321 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CCc2ncccn2)s1 ZINC000813096859 627448729 /nfs/dbraw/zinc/44/87/29/627448729.db2.gz YSHJDABMUULANM-LLVKDONJSA-N 0 1 314.414 3.040 20 30 DGEDMN CO[C@@](C)(C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000813096834 627448779 /nfs/dbraw/zinc/44/87/79/627448779.db2.gz YIKFUJYGKJVSLF-BDJLRTHQSA-N 0 1 306.431 3.432 20 30 DGEDMN N#C[C@H](C(=O)C[C@@H]1CCCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813215695 627468158 /nfs/dbraw/zinc/46/81/58/627468158.db2.gz AYCMJJRJWMSCSH-RYUDHWBXSA-N 0 1 312.291 3.236 20 30 DGEDMN O=C(C#Cc1ccccc1)NCc1cc(Cl)cc(Cl)c1O ZINC000813684871 627507580 /nfs/dbraw/zinc/50/75/80/627507580.db2.gz YIIPJEWRLQXQKE-UHFFFAOYSA-N 0 1 320.175 3.367 20 30 DGEDMN Cn1nccc1-c1ccccc1C=NN=c1[nH]c2ccccc2[nH]1 ZINC000814214144 627551836 /nfs/dbraw/zinc/55/18/36/627551836.db2.gz UMDALBBRWHJTIL-UHFFFAOYSA-N 0 1 316.368 3.409 20 30 DGEDMN CC(N=Nc1ccc(C(=O)[O-])cc1)c1ccc(C[NH+](C)C)cc1 ZINC000814681114 627583157 /nfs/dbraw/zinc/58/31/57/627583157.db2.gz XNISAXCIXZKSSI-UHFFFAOYSA-N 0 1 311.385 3.283 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1CN=Nc1ccccc1[N+](=O)[O-] ZINC000814686273 627583719 /nfs/dbraw/zinc/58/37/19/627583719.db2.gz ZZWIVHQALDKGAA-UHFFFAOYSA-N 0 1 314.132 3.081 20 30 DGEDMN Fc1cc2c(cc1Br)C(=N[N-]c1cccc[nH+]1)CO2 ZINC000814730198 627586955 /nfs/dbraw/zinc/58/69/55/627586955.db2.gz LQGHBBIZXCFTSP-UHFFFAOYSA-N 0 1 322.137 3.192 20 30 DGEDMN Fc1cc(F)cc(C2CC(=NNCCN3CCCCC3)C2)c1 ZINC000814808941 627593908 /nfs/dbraw/zinc/59/39/08/627593908.db2.gz VOTWQVVESJSYQB-UHFFFAOYSA-N 0 1 307.388 3.274 20 30 DGEDMN CCc1nn(C)c(OC)c1C=NNc1nccc2sccc21 ZINC000814808825 627594052 /nfs/dbraw/zinc/59/40/52/627594052.db2.gz IYRVCMZDNQWXRG-UHFFFAOYSA-N 0 1 315.402 3.047 20 30 DGEDMN Clc1cnc(C=NNc2ccc(Br)cn2)s1 ZINC000814832129 627596707 /nfs/dbraw/zinc/59/67/07/627596707.db2.gz GJJBNUZHQDUSGF-UHFFFAOYSA-N 0 1 317.599 3.400 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NC2COc3cc(F)cc(F)c32)c1 ZINC000814890932 627603580 /nfs/dbraw/zinc/60/35/80/627603580.db2.gz HEFZNVYICANMAV-UHFFFAOYSA-N 0 1 320.686 3.093 20 30 DGEDMN CC(=NN=c1[nH]c2cc(Cl)ccc2cc1C)c1cnnn1C ZINC000814898101 627604122 /nfs/dbraw/zinc/60/41/22/627604122.db2.gz UXOHXSDQUBLIKX-UHFFFAOYSA-N 0 1 314.780 3.161 20 30 DGEDMN C[C@H]1C(=NOC[C@H]2CCOC2)CCCN1Cc1ccccc1 ZINC000814912408 627606977 /nfs/dbraw/zinc/60/69/77/627606977.db2.gz RXANLOCUALWWAV-RDJZCZTQSA-N 0 1 302.418 3.080 20 30 DGEDMN COc1ccc(F)c(C=NNc2cccc(F)c2[N+](=O)[O-])c1 ZINC000814919117 627607690 /nfs/dbraw/zinc/60/76/90/627607690.db2.gz LPOWNLFVRMWJFZ-UHFFFAOYSA-N 0 1 307.256 3.328 20 30 DGEDMN CCc1nn(C)c(CC)c1CN=Nc1ccc(F)c(F)c1F ZINC000814945708 627612187 /nfs/dbraw/zinc/61/21/87/627612187.db2.gz MMIZKCPHOSLMSG-UHFFFAOYSA-N 0 1 310.323 3.408 20 30 DGEDMN COCc1nc(Cl)cc(N=NCc2c(F)cccc2F)n1 ZINC000814977301 627614593 /nfs/dbraw/zinc/61/45/93/627614593.db2.gz HWMRVSPCZMZMHV-UHFFFAOYSA-N 0 1 312.707 3.001 20 30 DGEDMN COCc1nc(Cl)cc(N=NCc2coc3ccccc23)n1 ZINC000814976787 627614900 /nfs/dbraw/zinc/61/49/00/627614900.db2.gz KIVXVJNEOKWDKU-UHFFFAOYSA-N 0 1 316.748 3.469 20 30 DGEDMN COCc1nc(Cl)cc(N=NCc2ccsc2Cl)n1 ZINC000814979906 627615406 /nfs/dbraw/zinc/61/54/06/627615406.db2.gz WYCMATFEOQTTQW-UHFFFAOYSA-N 0 1 317.201 3.437 20 30 DGEDMN N#Cc1cccc(COC(=O)[C@H]2CCCCN2C2CCCC2)c1 ZINC000815445599 627674260 /nfs/dbraw/zinc/67/42/60/627674260.db2.gz CUWHXQRMPPIVMQ-GOSISDBHSA-N 0 1 312.413 3.399 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCN(Cc2ccccc2)CC[C@H]1C ZINC000815740463 627701673 /nfs/dbraw/zinc/70/16/73/627701673.db2.gz LRIMVFUNWIBSJB-OAHLLOKOSA-N 0 1 322.399 3.321 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1CC2CCC1CC2 ZINC000815904596 627711322 /nfs/dbraw/zinc/71/13/22/627711322.db2.gz KTKXCUYYWZVOSJ-SSHXOBKSSA-N 0 1 304.434 3.218 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC(C)(C)C1CC1 ZINC000815909217 627712016 /nfs/dbraw/zinc/71/20/16/627712016.db2.gz UPBAKURLTZNANA-ZDUSSCGKSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@H]1[C@H](OC(=O)c2ccc(C#N)o2)CCCN1Cc1ccccc1 ZINC000816469777 627792841 /nfs/dbraw/zinc/79/28/41/627792841.db2.gz CWTVHFMEXVOWKH-WMLDXEAASA-N 0 1 324.380 3.361 20 30 DGEDMN C[C@@H](NC[C@@]1(C(F)(F)F)CCCN1)c1ccc(C#N)c(F)c1 ZINC000925858652 627807158 /nfs/dbraw/zinc/80/71/58/627807158.db2.gz XWVKOQINUARPFB-QMTHXVAHSA-N 0 1 315.314 3.032 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccccc1SC(F)(F)F ZINC000925979518 627824300 /nfs/dbraw/zinc/82/43/00/627824300.db2.gz NYBOCDPBVZEUTB-SNVBAGLBSA-N 0 1 318.364 3.251 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cccc(C2CC2)c1 ZINC000817323492 627882694 /nfs/dbraw/zinc/88/26/94/627882694.db2.gz DDKJNGGSQUGTME-UHFFFAOYSA-N 0 1 312.320 3.345 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2ccnn2C2CCC2)cc1 ZINC000926532741 627909542 /nfs/dbraw/zinc/90/95/42/627909542.db2.gz ZMDIUTSOKQUCDT-OAHLLOKOSA-N 0 1 309.413 3.471 20 30 DGEDMN C=C1CCC(CNC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)CC1 ZINC000926545228 627912537 /nfs/dbraw/zinc/91/25/37/627912537.db2.gz KKSNTUGSCJQRAC-GFCCVEGCSA-N 0 1 305.426 3.035 20 30 DGEDMN CCCCCCCCC(=O)NC[C@@H]1CCO[C@@H]1c1n[nH]c(C)n1 ZINC000926666075 627929396 /nfs/dbraw/zinc/92/93/96/627929396.db2.gz PJZCQCXMZSDEPM-HOCLYGCPSA-N 0 1 322.453 3.058 20 30 DGEDMN C[C@@H](C1CC(F)(F)C1)N1CCN(c2ccc(F)cc2C#N)CC1 ZINC000926808897 627959720 /nfs/dbraw/zinc/95/97/20/627959720.db2.gz UHSVQRLCSAAUTN-LBPRGKRZSA-N 0 1 323.362 3.253 20 30 DGEDMN C#Cc1cccc(CNCc2cc(C(OC)OC)ccc2F)c1 ZINC000818216726 628005738 /nfs/dbraw/zinc/00/57/38/628005738.db2.gz YNTHUWDJVYCFBA-UHFFFAOYSA-N 0 1 313.372 3.388 20 30 DGEDMN C=C(Br)CNC[C@@H](O)Cc1ccc2ccccc2c1 ZINC000818979076 628071932 /nfs/dbraw/zinc/07/19/32/628071932.db2.gz GRHJKZYIZHJWNY-INIZCTEOSA-N 0 1 320.230 3.241 20 30 DGEDMN C[C@H]1Cc2cn[nH]c2[C@H](C(=O)Nc2ccc(CCC#N)cc2)C1 ZINC000928400841 628148115 /nfs/dbraw/zinc/14/81/15/628148115.db2.gz JNEWRMMOJXAMMX-BLLLJJGKSA-N 0 1 308.385 3.170 20 30 DGEDMN C#CCN1CCC(NC(=O)c2cc(-c3ccccc3)oc2C)CC1 ZINC000928660730 628172789 /nfs/dbraw/zinc/17/27/89/628172789.db2.gz OINCMSFGTQUOMQ-UHFFFAOYSA-N 0 1 322.408 3.082 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC[C@@H]2C)c1 ZINC000819946278 628202540 /nfs/dbraw/zinc/20/25/40/628202540.db2.gz ZAHWAIRMCLLINP-APHBMKBZSA-N 0 1 314.385 3.169 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H]1C[C@@H]2COC[C@H]2O1 ZINC000929131197 628213946 /nfs/dbraw/zinc/21/39/46/628213946.db2.gz NXCVVDXTKFQXBQ-MBNYWOFBSA-N 0 1 313.850 3.193 20 30 DGEDMN C#CCCCOc1cccnc1NC[C@H](c1ccco1)N(C)C ZINC000820604116 628277663 /nfs/dbraw/zinc/27/76/63/628277663.db2.gz DJFRTOAIZFLMNG-OAHLLOKOSA-N 0 1 313.401 3.182 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1ccc2nonc2c1 ZINC000821362484 628360115 /nfs/dbraw/zinc/36/01/15/628360115.db2.gz JILJOTBTYTWOED-UHFFFAOYSA-N 0 1 309.369 3.420 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCC[C@@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000823738271 628592537 /nfs/dbraw/zinc/59/25/37/628592537.db2.gz UESXCANIUYMXDQ-HUUCEWRRSA-N 0 1 307.438 3.171 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN1CCC[C@@](C)(C#N)C1 ZINC000824146803 628631497 /nfs/dbraw/zinc/63/14/97/628631497.db2.gz BDPQWCYFGYOCDK-YJBOKZPZSA-N 0 1 323.481 3.409 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@@H](C)C[C@H]2C)o1 ZINC000824899411 628703826 /nfs/dbraw/zinc/70/38/26/628703826.db2.gz JMDSXDKODFHAOA-NXEZZACHSA-N 0 1 317.397 3.202 20 30 DGEDMN C#Cc1cccc(CNCc2cc(OC)cc3c2O[C@@H](C)C3)c1 ZINC000825227533 628739321 /nfs/dbraw/zinc/73/93/21/628739321.db2.gz UJYTYIAXKBJUKG-AWEZNQCLSA-N 0 1 307.393 3.290 20 30 DGEDMN C=CCOc1ccccc1C[NH2+][C@@H](C(=O)[O-])c1ccccc1F ZINC000825702146 628787410 /nfs/dbraw/zinc/78/74/10/628787410.db2.gz FSYJOPSTHZLXIS-QGZVFWFLSA-N 0 1 315.344 3.306 20 30 DGEDMN N#CCC[C@H](NCc1cccc(-c2nn[nH]n2)c1)c1ccccc1 ZINC000826381366 628850640 /nfs/dbraw/zinc/85/06/40/628850640.db2.gz IZFBRHHKTHRYSM-KRWDZBQOSA-N 0 1 318.384 3.001 20 30 DGEDMN CN(Cc1cc(C#N)cs1)CC(C)(C)NC(=O)OC(C)(C)C ZINC000826809307 628889057 /nfs/dbraw/zinc/88/90/57/628889057.db2.gz HZXKUOCXMYLGMU-UHFFFAOYSA-N 0 1 323.462 3.355 20 30 DGEDMN C#C[C@@H](NCc1sccc1Br)C1CCOCC1 ZINC000827559370 628970616 /nfs/dbraw/zinc/97/06/16/628970616.db2.gz DXLPLOYYZVQHHW-GFCCVEGCSA-N 0 1 314.248 3.029 20 30 DGEDMN C#C[C@H](NCc1nc(-c2ccccc2)cs1)C1CCOCC1 ZINC000827569699 628972051 /nfs/dbraw/zinc/97/20/51/628972051.db2.gz DDIWBCRPFXZFSJ-INIZCTEOSA-N 0 1 312.438 3.328 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccccc1OCc1cccnc1 ZINC000827823265 628994442 /nfs/dbraw/zinc/99/44/42/628994442.db2.gz MXVXHAUKSUHVLL-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C#CCCN(CCOC)Cc1ccccc1OCc1cccnc1 ZINC000827823265 628994444 /nfs/dbraw/zinc/99/44/44/628994444.db2.gz MXVXHAUKSUHVLL-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C#CCCOc1ccc(CN2Cc3c[nH]nc3CC(C)(C)C2)cc1 ZINC000828013659 629014270 /nfs/dbraw/zinc/01/42/70/629014270.db2.gz HGPILHCRTRHGLD-UHFFFAOYSA-N 0 1 323.440 3.396 20 30 DGEDMN C[C@@]1(c2ccccc2)CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000828128725 629026049 /nfs/dbraw/zinc/02/60/49/629026049.db2.gz WPXQSEVNDVSNCO-AZUAARDMSA-N 0 1 320.392 3.348 20 30 DGEDMN CC(C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1)=C1CCC1 ZINC000828688941 629082779 /nfs/dbraw/zinc/08/27/79/629082779.db2.gz YSVXWYZIAYTPMS-UHFFFAOYSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000829774679 629190976 /nfs/dbraw/zinc/19/09/76/629190976.db2.gz RYFIKEHVBRPWHU-GJZGRUSLSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000829774386 629191020 /nfs/dbraw/zinc/19/10/20/629191020.db2.gz PUGIPBWOYQHFCH-CABCVRRESA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cc3c(C)nn(C)c3nc2Cl)C1 ZINC000829779086 629191525 /nfs/dbraw/zinc/19/15/25/629191525.db2.gz MMNJPNVARCYFLT-ZDUSSCGKSA-N 0 1 316.836 3.165 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC000829788901 629192801 /nfs/dbraw/zinc/19/28/01/629192801.db2.gz JMRSSIBGTQKVJX-CQSZACIVSA-N 0 1 314.820 3.156 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC000829798621 629194087 /nfs/dbraw/zinc/19/40/87/629194087.db2.gz BEJCGDZPAQMENJ-ZDUSSCGKSA-N 0 1 301.821 3.462 20 30 DGEDMN C#CC1CCN(Cc2ccc(OC)c(Br)c2)CC1 ZINC000830301175 629250942 /nfs/dbraw/zinc/25/09/42/629250942.db2.gz HHSYACKQCYCRIK-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2ccc(SC)cc2)CC1 ZINC000830316437 629252260 /nfs/dbraw/zinc/25/22/60/629252260.db2.gz SJYTYJKHAZJVOG-CYBMUJFWSA-N 0 1 302.443 3.081 20 30 DGEDMN C#CC1CCN(CC(=O)N(C)[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000830330170 629253549 /nfs/dbraw/zinc/25/35/49/629253549.db2.gz SBICMDXAVIWAHT-CQSZACIVSA-N 0 1 318.848 3.205 20 30 DGEDMN C#CC1CCN([C@@H](C)c2nc(-c3ccc(OC)cc3)no2)CC1 ZINC000830330173 629253633 /nfs/dbraw/zinc/25/36/33/629253633.db2.gz SCIUCDHCZDGYEB-ZDUSSCGKSA-N 0 1 311.385 3.151 20 30 DGEDMN C[N@@H+](Cc1cccc(Cl)c1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830845385 629311307 /nfs/dbraw/zinc/31/13/07/629311307.db2.gz WBNSBPOQQIAQEU-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@@]23CCCC[C@@H]2C3(F)F)c1 ZINC000831272369 629356553 /nfs/dbraw/zinc/35/65/53/629356553.db2.gz VUYMLLVFKDWATF-ZFWWWQNUSA-N 0 1 306.312 3.418 20 30 DGEDMN C[C@H](C#N)OCCN1CC[C@H](c2cccc(Br)c2)C1 ZINC000831597590 629395408 /nfs/dbraw/zinc/39/54/08/629395408.db2.gz MECBPZZHGWDALT-OCCSQVGLSA-N 0 1 323.234 3.167 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831885076 629422623 /nfs/dbraw/zinc/42/26/23/629422623.db2.gz MABLVAJTVYNLBN-LBPRGKRZSA-N 0 1 311.376 3.451 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831890954 629424000 /nfs/dbraw/zinc/42/40/00/629424000.db2.gz BXSVCQPULCIOAI-DYVFJYSZSA-N 0 1 302.422 3.179 20 30 DGEDMN CCCCCCCCN1CCC[C@]2(CCS(=O)(=O)C2)C1 ZINC000831915155 629428007 /nfs/dbraw/zinc/42/80/07/629428007.db2.gz GMRRMFGEUJMMDJ-INIZCTEOSA-N 0 1 301.496 3.248 20 30 DGEDMN N#CCOc1ccc(Cl)cc1C[N@H+](CC(=O)[O-])C1CCCC1 ZINC000833068258 629554506 /nfs/dbraw/zinc/55/45/06/629554506.db2.gz SXJCWENYNBDMGQ-UHFFFAOYSA-N 0 1 322.792 3.072 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H]2Cc2ccccc2)c1 ZINC000833074036 629555345 /nfs/dbraw/zinc/55/53/45/629555345.db2.gz MVCOHNWWDXUZKJ-MSOLQXFVSA-N 0 1 306.365 3.001 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1Cl ZINC000833377560 629594607 /nfs/dbraw/zinc/59/46/07/629594607.db2.gz BQWHLQKOBNXMKE-DGCLKSJQSA-N 0 1 309.793 3.057 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)Cc1ccc(OCC(=O)[O-])cc1 ZINC000833375601 629594861 /nfs/dbraw/zinc/59/48/61/629594861.db2.gz AXULPQUFGVFTRU-UHFFFAOYSA-N 0 1 311.381 3.338 20 30 DGEDMN CC(C)([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1ccc(F)cc1 ZINC000833460961 629608354 /nfs/dbraw/zinc/60/83/54/629608354.db2.gz QRWOWZXPXJZWDV-UHFFFAOYSA-N 0 1 312.344 3.420 20 30 DGEDMN C[C@H](Oc1ccc(C[N@H+](CCC#N)CC(C)(C)C)cc1)C(=O)[O-] ZINC000833537691 629618062 /nfs/dbraw/zinc/61/80/62/629618062.db2.gz NQQZWWCRFGZOFC-AWEZNQCLSA-N 0 1 318.417 3.300 20 30 DGEDMN C[C@H](Oc1ccc(C[N@@H+](CCC#N)CC(C)(C)C)cc1)C(=O)[O-] ZINC000833537691 629618064 /nfs/dbraw/zinc/61/80/64/629618064.db2.gz NQQZWWCRFGZOFC-AWEZNQCLSA-N 0 1 318.417 3.300 20 30 DGEDMN C[C@@H](Oc1ccc(C[N@@H+](C)Cc2cccc(C#N)c2)cc1)C(=O)[O-] ZINC000833538563 629618179 /nfs/dbraw/zinc/61/81/79/629618179.db2.gz TWLLBLGNSAJSLN-CQSZACIVSA-N 0 1 324.380 3.042 20 30 DGEDMN CC1(C)C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)Cc2ccccc21 ZINC000833560348 629621248 /nfs/dbraw/zinc/62/12/48/629621248.db2.gz QASXNFMYHBDGOP-GOSISDBHSA-N 0 1 320.392 3.477 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1Cl)C1CCC(NC(=O)[O-])CC1 ZINC000833869608 629656877 /nfs/dbraw/zinc/65/68/77/629656877.db2.gz DKDPYMVUEWRTSS-UHFFFAOYSA-N 0 1 320.820 3.202 20 30 DGEDMN COc1cc2ccccc2cc1C=NNCCN1CCCCC1 ZINC000834940142 629810283 /nfs/dbraw/zinc/81/02/83/629810283.db2.gz FIKTYHJUJKIQPT-UHFFFAOYSA-N 0 1 311.429 3.258 20 30 DGEDMN Cn1cc(N=NCc2ccc(NC(=O)OC(C)(C)C)cc2)cn1 ZINC000834976710 629816059 /nfs/dbraw/zinc/81/60/59/629816059.db2.gz GZMJTXOWCWELLM-UHFFFAOYSA-N 0 1 315.377 3.213 20 30 DGEDMN Fc1cnc(N=NC2CCN(Cc3ccccc3)C2)c(F)c1 ZINC000835023088 629826770 /nfs/dbraw/zinc/82/67/70/629826770.db2.gz ZFOKJTPKPSVUNP-UHFFFAOYSA-N 0 1 302.328 3.034 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)C(=O)Nc1ccc(Cl)cc1 ZINC000835060424 629840530 /nfs/dbraw/zinc/84/05/30/629840530.db2.gz LONGVQBLUVVUHS-FDRIWYBQSA-N 0 1 316.788 3.424 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC2(F)F)cc1 ZINC000835060761 629840919 /nfs/dbraw/zinc/84/09/19/629840919.db2.gz CXGFQVFJBHCVOB-KGLIPLIRSA-N 0 1 320.339 3.332 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@]2(C)CC2(Cl)Cl)nc(C)n1 ZINC000835061106 629840958 /nfs/dbraw/zinc/84/09/58/629840958.db2.gz WZAZNYMVMUPPKE-GWCFXTLKSA-N 0 1 312.200 3.244 20 30 DGEDMN CCC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000835060156 629841079 /nfs/dbraw/zinc/84/10/79/629841079.db2.gz AXYAKKGSQJPLQZ-ZACQAIPSSA-N 0 1 312.413 3.228 20 30 DGEDMN CC[C@H](CC(F)(F)F)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000835063360 629841774 /nfs/dbraw/zinc/84/17/74/629841774.db2.gz KJTCGRYWWKJIAV-PWSUYJOCSA-N 0 1 318.339 3.123 20 30 DGEDMN N#CC(C(=O)C1(Cc2ccccc2)CCOCC1)c1ccccn1 ZINC000835062211 629841925 /nfs/dbraw/zinc/84/19/25/629841925.db2.gz ZCWWKYYSNJZZAA-KRWDZBQOSA-N 0 1 320.392 3.297 20 30 DGEDMN C[C@@]1(C#N)CC[C@H](OC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000836156628 630075619 /nfs/dbraw/zinc/07/56/19/630075619.db2.gz OCOBNJICBDCZPJ-KDYLLFBJSA-N 0 1 310.357 3.101 20 30 DGEDMN CCCCCCCC(=O)NC[C@@H](c1nc[nH]n1)c1ccccc1 ZINC000837046355 630206776 /nfs/dbraw/zinc/20/67/76/630206776.db2.gz TXTQSLLEXVQJBF-MRXNPFEDSA-N 0 1 314.433 3.413 20 30 DGEDMN Cc1ccncc1CON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000842032906 630480665 /nfs/dbraw/zinc/48/06/65/630480665.db2.gz JIWROGNFNKFNNQ-UHFFFAOYSA-N 0 1 324.428 3.217 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(C3CC3)c2)nc(C)n1 ZINC000842285587 630511346 /nfs/dbraw/zinc/51/13/46/630511346.db2.gz QZYDRUYSLJNBKE-KRWDZBQOSA-N 0 1 305.381 3.390 20 30 DGEDMN CCO[C@@H](CC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000842295015 630512474 /nfs/dbraw/zinc/51/24/74/630512474.db2.gz QXYPYUOLQSUJIG-OCCSQVGLSA-N 0 1 315.398 3.196 20 30 DGEDMN C#C[C@@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccc(F)cc1 ZINC000842406440 630528575 /nfs/dbraw/zinc/52/85/75/630528575.db2.gz DLDRYZBFFRYKOJ-QAPCUYQASA-N 0 1 321.367 3.256 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=CC2CCCC2)n1 ZINC000842699839 630565322 /nfs/dbraw/zinc/56/53/22/630565322.db2.gz FHCOUISFJBXRQD-QDZRJHCZSA-N 0 1 318.398 3.242 20 30 DGEDMN N#Cc1ccc(OCC(=O)C(C#N)c2nc(C3CC3)cs2)cc1 ZINC000842938079 630595927 /nfs/dbraw/zinc/59/59/27/630595927.db2.gz IKCUIMZYUPJFCV-CQSZACIVSA-N 0 1 323.377 3.147 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CC[C@@]1(O)CCCOC1 ZINC000844269267 630714944 /nfs/dbraw/zinc/71/49/44/630714944.db2.gz BEKSBQOBEGBHEZ-WZCGUGGKSA-N 0 1 318.200 3.050 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CCC[C@]2(CNC(=O)OC(C)(C)C)C1 ZINC000844359917 630720880 /nfs/dbraw/zinc/72/08/80/630720880.db2.gz NNFJGEJVONGNII-BBRMVZONSA-N 0 1 314.857 3.366 20 30 DGEDMN C=CCN(CN1CC(c2ccc(Cl)cc2)=CC1=O)C(C)C ZINC000844690190 630786705 /nfs/dbraw/zinc/78/67/05/630786705.db2.gz QUPJECKEQNEODO-UHFFFAOYSA-N 0 1 304.821 3.420 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000105642570 630947223 /nfs/dbraw/zinc/94/72/23/630947223.db2.gz TUBYAETVCHLAMI-ZDUSSCGKSA-N 0 1 309.344 3.278 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845442744 631175517 /nfs/dbraw/zinc/17/55/17/631175517.db2.gz PHJPEIHXADMKMP-YNEHKIRRSA-N 0 1 316.788 3.424 20 30 DGEDMN N#CC(C(=O)C1CCSCC1)=C(O)C1(c2ccccc2)CC1 ZINC000845838712 631198455 /nfs/dbraw/zinc/19/84/55/631198455.db2.gz OSURLWNEARVVJR-OAHLLOKOSA-N 0 1 313.422 3.139 20 30 DGEDMN N#CC(C(=O)CCc1cccnc1)=C(O)C1(c2ccccc2)CC1 ZINC000845842109 631198603 /nfs/dbraw/zinc/19/86/03/631198603.db2.gz RGUBPNUGMKYYNA-KRWDZBQOSA-N 0 1 318.376 3.024 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCSC1)=C(O)C1(c2ccccc2)CC1 ZINC000845845121 631198882 /nfs/dbraw/zinc/19/88/82/631198882.db2.gz VKRBUSBEXAXYKS-HIFRSBDPSA-N 0 1 313.422 3.139 20 30 DGEDMN CN(Cc1scnc1C1CC1)C[C@@H](O)c1cccc(C#N)c1 ZINC000846005656 631205185 /nfs/dbraw/zinc/20/51/85/631205185.db2.gz AZHPYULGYQSNDK-OAHLLOKOSA-N 0 1 313.426 3.058 20 30 DGEDMN C#CCSCCN[C@@H](c1ccc(OC)cc1)c1ccccn1 ZINC000846154863 631212503 /nfs/dbraw/zinc/21/25/03/631212503.db2.gz NZQAZDHWPLUCSN-SFHVURJKSA-N 0 1 312.438 3.136 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1ccc([C@@H](C)C(F)(F)F)cc1 ZINC000846263205 631217560 /nfs/dbraw/zinc/21/75/60/631217560.db2.gz FXCAHCULIFKSIC-LLVKDONJSA-N 0 1 301.308 3.425 20 30 DGEDMN C=CC[N@H+](CCCOc1ccc(C(C)(C)C)cc1)CC(=O)[O-] ZINC000846264670 631218341 /nfs/dbraw/zinc/21/83/41/631218341.db2.gz OEXUGKQGWXAOJK-UHFFFAOYSA-N 0 1 305.418 3.326 20 30 DGEDMN C=C(C)[C@H](NC[C@@H]1CN(CC)C(=O)O1)c1ccc(F)c(F)c1 ZINC000846862274 631331938 /nfs/dbraw/zinc/33/19/38/631331938.db2.gz UKTWCZHJHANSBX-DOMZBBRYSA-N 0 1 310.344 3.012 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N[C@H](C)c2ccc(Cl)cc2)C1 ZINC000847024278 631383160 /nfs/dbraw/zinc/38/31/60/631383160.db2.gz QFLCNGGAWZZYON-RBSFLKMASA-N 0 1 318.848 3.251 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)N(C)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC000847025565 631384056 /nfs/dbraw/zinc/38/40/56/631384056.db2.gz NVDUSJIUKKBQIA-GJZGRUSLSA-N 0 1 318.848 3.205 20 30 DGEDMN COCCOc1ccc(/C=C/C(=O)c2ccc(O)c(F)c2)cc1 ZINC000107099473 631529477 /nfs/dbraw/zinc/52/94/77/631529477.db2.gz OUQLMITWCOGXNS-XBXARRHUSA-N 0 1 316.328 3.453 20 30 DGEDMN N#CCCCCC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000848079640 631606379 /nfs/dbraw/zinc/60/63/79/631606379.db2.gz KJXIXQPKPOJHBL-UHFFFAOYSA-N 0 1 301.173 3.399 20 30 DGEDMN CC(C)(C)c1nc(C#N)c(N=NC2CCCN3CCCC[C@@H]23)o1 ZINC000848403928 631654734 /nfs/dbraw/zinc/65/47/34/631654734.db2.gz FSBPJDKXLHLKKT-AWEZNQCLSA-N 0 1 315.421 3.260 20 30 DGEDMN CC(C)c1nc(C#N)c(N=NC2CCCN3CCCC[C@@H]23)o1 ZINC000848404065 631654837 /nfs/dbraw/zinc/65/48/37/631654837.db2.gz IWLMPYLIOFTDRK-AWEZNQCLSA-N 0 1 301.394 3.086 20 30 DGEDMN c1ccc2ncc(CNN=C3CCCN4CCCC[C@@H]34)cc2c1 ZINC000848407601 631655550 /nfs/dbraw/zinc/65/55/50/631655550.db2.gz RTXCBRBIZUILIB-IBGZPJMESA-N 0 1 308.429 3.329 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cnc(NC(=O)OC(C)(C)C)s2)C1 ZINC000848924126 631789588 /nfs/dbraw/zinc/78/95/88/631789588.db2.gz OGDVTJCNMHLLKK-LBPRGKRZSA-N 0 1 321.446 3.335 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@H]2c2ccccc2C)nc(C)n1 ZINC000850756839 632216855 /nfs/dbraw/zinc/21/68/55/632216855.db2.gz WPOURWDRJPZSIX-GVDBMIGSSA-N 0 1 305.381 3.382 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCC1(C)C ZINC000850759604 632216868 /nfs/dbraw/zinc/21/68/68/632216868.db2.gz QFORPBXPECBDNK-OLZOCXBDSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H](C)[C@@H]3CCCO3)[nH]c21 ZINC000850849814 632237093 /nfs/dbraw/zinc/23/70/93/632237093.db2.gz KDSUBIAEKTUQQU-ZENOOKHLSA-N 0 1 311.385 3.253 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc(C2CC2)cs1)C1CCCC1 ZINC000851101597 632310403 /nfs/dbraw/zinc/31/04/03/632310403.db2.gz WSZDEWUDWJDSEO-DOMZBBRYSA-N 0 1 304.415 3.402 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccc(N(CC)C(C)C)cc1 ZINC000852097684 632553140 /nfs/dbraw/zinc/55/31/40/632553140.db2.gz ULHAOKZFNAOQRH-IBGZPJMESA-N 0 1 315.461 3.251 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1nc(-c2ccccc2)cs1 ZINC000852100977 632554127 /nfs/dbraw/zinc/55/41/27/632554127.db2.gz LYHZPZHWNDPTGL-KRWDZBQOSA-N 0 1 313.426 3.140 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccc(OC(F)F)cc1 ZINC000852098792 632554507 /nfs/dbraw/zinc/55/45/07/632554507.db2.gz WCUZOSQHPMMYFH-BDJLRTHQSA-N 0 1 310.344 3.007 20 30 DGEDMN C#CCOCCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000852157130 632564799 /nfs/dbraw/zinc/56/47/99/632564799.db2.gz UJQNMBUXVKUDFR-MRXNPFEDSA-N 0 1 317.820 3.393 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC([C@@H]2CCCN(CCC#N)C2)CC1 ZINC000852303506 632583647 /nfs/dbraw/zinc/58/36/47/632583647.db2.gz RIIZLGJOCYTTLJ-MRXNPFEDSA-N 0 1 321.465 3.259 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001032126019 660205357 /nfs/dbraw/zinc/20/53/57/660205357.db2.gz VTIPLXZFIZIUPS-UHFFFAOYSA-N 0 1 306.837 3.140 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001032180460 660210895 /nfs/dbraw/zinc/21/08/95/660210895.db2.gz CEQHSHFQBATOGU-UHFFFAOYSA-N 0 1 311.429 3.022 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2oc(C)cc2C)CC1(C)C ZINC000995646868 660234561 /nfs/dbraw/zinc/23/45/61/660234561.db2.gz FCTJTTSIDFCMFF-ZDUSSCGKSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@]2(C)CC=CCC2)CC1(C)C ZINC000995845497 660271597 /nfs/dbraw/zinc/27/15/97/660271597.db2.gz CELLFOPNMODACN-PBHICJAKSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(=C)Cl)CC1(C)C ZINC000995849383 660271977 /nfs/dbraw/zinc/27/19/77/660271977.db2.gz AWNABGLRORVJEW-LBPRGKRZSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CCC(F)(F)C2)CC1(C)C ZINC000995890735 660272537 /nfs/dbraw/zinc/27/25/37/660272537.db2.gz HRSBKRURJUALLN-RYUDHWBXSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1(C)C ZINC000996068402 660275010 /nfs/dbraw/zinc/27/50/10/660275010.db2.gz GTMKWJQGZONTNO-SOUVJXGZSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2ccc(C)o2)CC1(C)C ZINC000996388694 660281742 /nfs/dbraw/zinc/28/17/42/660281742.db2.gz KWQPWHAVGCAGQZ-UKRRQHHQSA-N 0 1 324.852 3.271 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@H](C)n2cccc2)CC1(C)C ZINC000996536196 660284583 /nfs/dbraw/zinc/28/45/83/660284583.db2.gz NHINSDJVYGOWKV-GJZGRUSLSA-N 0 1 323.868 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]23C[C@H]2CCCC3)CC1(C)C ZINC000996266193 660278887 /nfs/dbraw/zinc/27/88/87/660278887.db2.gz OJBOUUHFYBZGAQ-CKEIUWERSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC000996869345 660296131 /nfs/dbraw/zinc/29/61/31/660296131.db2.gz GRIMQVMHDSMZAJ-CQSZACIVSA-N 0 1 319.449 3.227 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@@H](c3ncc[nH]3)C2)c1 ZINC000929992213 661356258 /nfs/dbraw/zinc/35/62/58/661356258.db2.gz NXCRKIKPCJOCFW-CQSZACIVSA-N 0 1 300.793 3.314 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC000998157819 660373257 /nfs/dbraw/zinc/37/32/57/660373257.db2.gz USMXXWOAWLRLLR-IXDOHACOSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001032605502 660374289 /nfs/dbraw/zinc/37/42/89/660374289.db2.gz BSWJNAFUGVPWJG-JENIJYKNSA-N 0 1 302.462 3.374 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2coc3ccccc32)CC1 ZINC000998202921 660375990 /nfs/dbraw/zinc/37/59/90/660375990.db2.gz LEUUIKYUMMSANF-INIZCTEOSA-N 0 1 324.424 3.383 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001016088707 660369088 /nfs/dbraw/zinc/36/90/88/660369088.db2.gz SLCUQGVEKPXBBP-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3[C@H](C)c2ccccc2)C1 ZINC001032614041 660378554 /nfs/dbraw/zinc/37/85/54/660378554.db2.gz GMSIUMUZRMWYBL-NJAFHUGGSA-N 0 1 310.441 3.389 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(F)=C2CCCC2)C1 ZINC000998910493 660401894 /nfs/dbraw/zinc/40/18/94/660401894.db2.gz QUHPYPNZWPYBOV-ZDUSSCGKSA-N 0 1 300.805 3.117 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2ccccc2c1 ZINC001032651449 660406533 /nfs/dbraw/zinc/40/65/33/660406533.db2.gz YIZDIFQUBCAIFH-ROUUACIJSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc(C)c2F)C1 ZINC000999219205 660409094 /nfs/dbraw/zinc/40/90/94/660409094.db2.gz DBZLTFHWMLEYTA-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)cc1F ZINC001032701833 660414328 /nfs/dbraw/zinc/41/43/28/660414328.db2.gz IYXNZQBSMYLNTI-STQMWFEESA-N 0 1 324.346 3.238 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)oc1C ZINC001032711119 660415673 /nfs/dbraw/zinc/41/56/73/660415673.db2.gz IXMBTVOWNJWXDM-GJZGRUSLSA-N 0 1 302.418 3.186 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(CC)s2)C1 ZINC000999631275 660423807 /nfs/dbraw/zinc/42/38/07/660423807.db2.gz MUNBVWUYQYJOLC-GFCCVEGCSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(C3CCC3)CCC2)C1 ZINC000999816946 660427862 /nfs/dbraw/zinc/42/78/62/660427862.db2.gz YSPOSTKVEWUHIE-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC000999876456 660430433 /nfs/dbraw/zinc/43/04/33/660430433.db2.gz NFFLGNUUGUGPAO-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC000999877636 660430526 /nfs/dbraw/zinc/43/05/26/660430526.db2.gz VTYBVZIHFLALRN-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2ccncc2Cl)C1 ZINC000999901107 660430787 /nfs/dbraw/zinc/43/07/87/660430787.db2.gz SXOUOLBESAVOCN-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(CC)o1 ZINC001032728192 660434677 /nfs/dbraw/zinc/43/46/77/660434677.db2.gz PYVPNSWJJAHBKW-GJZGRUSLSA-N 0 1 302.418 3.015 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccccc1C(F)(F)F ZINC001032748384 660438033 /nfs/dbraw/zinc/43/80/33/660438033.db2.gz LPSMOWWRPUVWKN-STQMWFEESA-N 0 1 324.346 3.180 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccsc1C(F)F ZINC001032758026 660439457 /nfs/dbraw/zinc/43/94/57/660439457.db2.gz XYHGUMCJFUHAAH-QWRGUYRKSA-N 0 1 312.385 3.161 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCC(C)(C)C1 ZINC001032733278 660435400 /nfs/dbraw/zinc/43/54/00/660435400.db2.gz OSNUJJQNDICXFM-ULQDDVLXSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cccc(F)c2o1 ZINC001032792573 660447769 /nfs/dbraw/zinc/44/77/69/660447769.db2.gz FPVZEWVYGASHBH-KBPBESRZSA-N 0 1 314.360 3.047 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC[C@@H]1CCc2ccccc21 ZINC001032797100 660449634 /nfs/dbraw/zinc/44/96/34/660449634.db2.gz JBPCNDBOWCOOFQ-FHWLQOOXSA-N 0 1 324.468 3.358 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000131748307 660450825 /nfs/dbraw/zinc/45/08/25/660450825.db2.gz MGXKQDFKAUHMLL-OAHLLOKOSA-N 0 1 302.374 3.313 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)c1ccccc1 ZINC001032802630 660450981 /nfs/dbraw/zinc/45/09/81/660450981.db2.gz HOVGHCYJPJMVQH-ROUUACIJSA-N 0 1 312.457 3.216 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001032810445 660453134 /nfs/dbraw/zinc/45/31/34/660453134.db2.gz FXHXGCNVMRPPPX-LNLFQRSKSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCCN2C(=O)C(F)F)C1 ZINC001053063945 660454182 /nfs/dbraw/zinc/45/41/82/660454182.db2.gz SXRYDRWLGOAHDW-STQMWFEESA-N 0 1 320.811 3.097 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(F)c3ccccc3c2)CC1 ZINC001002973577 660482481 /nfs/dbraw/zinc/48/24/81/660482481.db2.gz MAYLNFRSACLFOI-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN COCCOc1ccccc1/C=C\C(=O)c1ccc(O)cc1O ZINC000255830555 660488986 /nfs/dbraw/zinc/48/89/86/660488986.db2.gz ORXPIURJGZIRBA-TWGQIWQCSA-N 0 1 314.337 3.019 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC001003139284 660487977 /nfs/dbraw/zinc/48/79/77/660487977.db2.gz PPZRLATXRCSLHW-RTBURBONSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC001003430871 660493242 /nfs/dbraw/zinc/49/32/42/660493242.db2.gz YZNCDGSUKUDXFY-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(C(F)F)c2F)C1 ZINC001033092302 660538040 /nfs/dbraw/zinc/53/80/40/660538040.db2.gz QJXMKYGPPZAVSQ-NSHDSACASA-N 0 1 312.335 3.096 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@]2(C)C=CCC2)CC1 ZINC000948694904 660576269 /nfs/dbraw/zinc/57/62/69/660576269.db2.gz VTZHVTHABPIGLV-WBVHZDCISA-N 0 1 310.869 3.408 20 30 DGEDMN CCc1ccc(C(=O)C(C#N)c2nnc3n2CCCCC3)s1 ZINC000073973325 660578708 /nfs/dbraw/zinc/57/87/08/660578708.db2.gz FVAAQTDFDBQIOC-LBPRGKRZSA-N 0 1 314.414 3.118 20 30 DGEDMN CCc1ccc(C(=O)[C@H](C#N)c2nnc3n2CCCCC3)s1 ZINC000073973325 660578709 /nfs/dbraw/zinc/57/87/09/660578709.db2.gz FVAAQTDFDBQIOC-LBPRGKRZSA-N 0 1 314.414 3.118 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc3cscc3s2)C1 ZINC001033271500 660601485 /nfs/dbraw/zinc/60/14/85/660601485.db2.gz HTLVJCMBKSHJKF-CYBMUJFWSA-N 0 1 318.467 3.132 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cnc3ccsc3c2)C1 ZINC001033325639 660608872 /nfs/dbraw/zinc/60/88/72/660608872.db2.gz XFZXCBXUBDQUKO-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC001033372777 660615936 /nfs/dbraw/zinc/61/59/36/660615936.db2.gz JEHSXOPWULFJST-NZSAHSFTSA-N 0 1 312.457 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001033451474 660623280 /nfs/dbraw/zinc/62/32/80/660623280.db2.gz DCYYYDYFSZCRPI-MRXNPFEDSA-N 0 1 310.397 3.279 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001033494415 660628447 /nfs/dbraw/zinc/62/84/47/660628447.db2.gz HSBYPQFAWSQBDI-YESZJQIVSA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001033567740 660631806 /nfs/dbraw/zinc/63/18/06/660631806.db2.gz RRACEHCSGRPYOR-NVXWUHKLSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc3ccsc32)C1 ZINC001033557292 660632527 /nfs/dbraw/zinc/63/25/27/660632527.db2.gz IEMXWJSGUWNUMN-CQSZACIVSA-N 0 1 300.427 3.234 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccsc2C(F)(F)F)C1 ZINC001033611899 660639454 /nfs/dbraw/zinc/63/94/54/660639454.db2.gz BCOAVVBONUDUAV-JTQLQIEISA-N 0 1 318.364 3.099 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc3ccccc3c2)C1 ZINC001033611569 660639547 /nfs/dbraw/zinc/63/95/47/660639547.db2.gz XOCVHVAFFNEOPK-IBGZPJMESA-N 0 1 306.409 3.009 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001033633596 660642402 /nfs/dbraw/zinc/64/24/02/660642402.db2.gz CBBSHUVMWXOYFV-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccccc2-c2ccccc2)C1 ZINC001033637808 660643419 /nfs/dbraw/zinc/64/34/19/660643419.db2.gz SEMOCWAGRMADQD-SFHVURJKSA-N 0 1 318.420 3.133 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(CCC)c(C)s2)C1 ZINC001033643029 660645404 /nfs/dbraw/zinc/64/54/04/660645404.db2.gz SFJJVKOKRRSIAL-OAHLLOKOSA-N 0 1 306.475 3.341 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001033647655 660646098 /nfs/dbraw/zinc/64/60/98/660646098.db2.gz FJVKAECIQJEFKK-INIZCTEOSA-N 0 1 303.450 3.018 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001033664000 660647386 /nfs/dbraw/zinc/64/73/86/660647386.db2.gz WEJKWVILGYUDNL-DZGCQCFKSA-N 0 1 318.486 3.205 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c3ccccc3oc2CC)C1 ZINC001033688609 660649228 /nfs/dbraw/zinc/64/92/28/660649228.db2.gz OTZBBBHKQYETIF-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2sccc2C(C)C)C1 ZINC001033685894 660649943 /nfs/dbraw/zinc/64/99/43/660649943.db2.gz WWPDMDIKKZALFP-CQSZACIVSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001033833621 660668016 /nfs/dbraw/zinc/66/80/16/660668016.db2.gz JJJOSOWKDLQYIW-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001033833621 660668018 /nfs/dbraw/zinc/66/80/18/660668018.db2.gz JJJOSOWKDLQYIW-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001033850574 660670434 /nfs/dbraw/zinc/67/04/34/660670434.db2.gz NZQOPKNOLSXFTQ-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001033896508 660676805 /nfs/dbraw/zinc/67/68/05/660676805.db2.gz DRLZJHYHNADZJX-QGZVFWFLSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc3c2CCCCC3)C1 ZINC001033870545 660673790 /nfs/dbraw/zinc/67/37/90/660673790.db2.gz UTBOPNJOMMVQEJ-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001033937240 660682440 /nfs/dbraw/zinc/68/24/40/660682440.db2.gz HBRHNILPHFFDPZ-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001033971560 660687138 /nfs/dbraw/zinc/68/71/38/660687138.db2.gz HCCCZAUOTYZWLH-RCCFBDPRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001033973203 660687256 /nfs/dbraw/zinc/68/72/56/660687256.db2.gz SNNALYMWTJXZPD-ULQDDVLXSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc([C@H](C)CC)no2)C1 ZINC001033980469 660689920 /nfs/dbraw/zinc/68/99/20/660689920.db2.gz TVNFJKFJTKVLEO-HUUCEWRRSA-N 0 1 319.449 3.301 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001033999176 660692343 /nfs/dbraw/zinc/69/23/43/660692343.db2.gz LFRCFTDAFWPACJ-GUDVDZBRSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(OC)cc2Cl)C1 ZINC001033988427 660692704 /nfs/dbraw/zinc/69/27/04/660692704.db2.gz KWTBPRJZNLEABU-CYBMUJFWSA-N 0 1 322.836 3.071 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001034053358 660699663 /nfs/dbraw/zinc/69/96/63/660699663.db2.gz QSOXFYQOWDNDRM-PBPGXSGUSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001034047936 660701215 /nfs/dbraw/zinc/70/12/15/660701215.db2.gz RWKHGQZYBLLCGQ-MRXNPFEDSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2nccc3ccsc32)C1 ZINC001034251740 660729613 /nfs/dbraw/zinc/72/96/13/660729613.db2.gz BDYZEAZLWHJVET-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001034337999 660738660 /nfs/dbraw/zinc/73/86/60/660738660.db2.gz JXGVUHPMKDWABU-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001034424712 660748721 /nfs/dbraw/zinc/74/87/21/660748721.db2.gz VBQRPLNCTJKLMD-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001034447699 660752578 /nfs/dbraw/zinc/75/25/78/660752578.db2.gz JETXQMHDOJBOBH-HOTGVXAUSA-N 0 1 318.436 3.476 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001034410455 660749400 /nfs/dbraw/zinc/74/94/00/660749400.db2.gz JGKAKPZDLRHQCR-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC001034430875 660752689 /nfs/dbraw/zinc/75/26/89/660752689.db2.gz BNCMJOORGNCUBT-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ncsc2C(C)C)C1 ZINC001034483747 660761389 /nfs/dbraw/zinc/76/13/89/660761389.db2.gz VBTYGWYNAAKWPU-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001034488272 660762524 /nfs/dbraw/zinc/76/25/24/660762524.db2.gz STIUBXVOEVDQFZ-MRXNPFEDSA-N 0 1 316.445 3.012 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC001034514749 660765437 /nfs/dbraw/zinc/76/54/37/660765437.db2.gz NBBYBZPOQOSJKD-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001034516514 660765464 /nfs/dbraw/zinc/76/54/64/660765464.db2.gz FFIAYNPRCKHUPC-AWEZNQCLSA-N 0 1 306.475 3.389 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001034514899 660765638 /nfs/dbraw/zinc/76/56/38/660765638.db2.gz ODUNIXPLZGHVTI-HXUWFJFHSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001034553907 660773671 /nfs/dbraw/zinc/77/36/71/660773671.db2.gz PTUXUZMROBUUJQ-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(C3CC3)c2)C1 ZINC001034544409 660771733 /nfs/dbraw/zinc/77/17/33/660771733.db2.gz OJOWJJLERLJUMY-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001034545786 660772068 /nfs/dbraw/zinc/77/20/68/660772068.db2.gz XPVDKSBZKZIIRG-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001034558433 660774106 /nfs/dbraw/zinc/77/41/06/660774106.db2.gz OSARKOMTERGRGG-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001034560661 660774250 /nfs/dbraw/zinc/77/42/50/660774250.db2.gz XIQIXUFLNPVQDL-INIZCTEOSA-N 0 1 320.383 3.016 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCc3ccccc32)CC1 ZINC001005587016 660781680 /nfs/dbraw/zinc/78/16/80/660781680.db2.gz BOZWTHKRPJFFQX-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc3sccc3s2)CC1 ZINC001005589429 660781859 /nfs/dbraw/zinc/78/18/59/660781859.db2.gz ORVPXVGJQFYKFG-UHFFFAOYSA-N 0 1 318.467 3.132 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(CCCC)cc2)CC1 ZINC001005681238 660788265 /nfs/dbraw/zinc/78/82/65/660788265.db2.gz UFGSOVPTLLLQAC-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccccc2CCC)CC1 ZINC001005695061 660790434 /nfs/dbraw/zinc/79/04/34/660790434.db2.gz QBZXUQLSBFEAKR-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNCc1cc(F)ccc1F ZINC001035013426 660808854 /nfs/dbraw/zinc/80/88/54/660808854.db2.gz CLTJAIKIDNKFTQ-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C(CC)CC)CC2 ZINC001035161513 660820578 /nfs/dbraw/zinc/82/05/78/660820578.db2.gz XQNYUBVTCJRSEZ-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C=C(/C)C1CC1)CC2 ZINC001035163042 660820588 /nfs/dbraw/zinc/82/05/88/660820588.db2.gz LVDQUWPKPQWZMO-KAMYIIQDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H](C)C1CCC1)CC2 ZINC001035202063 660825142 /nfs/dbraw/zinc/82/51/42/660825142.db2.gz YYQDGLBOZXOHRT-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1CC[C@@H](C)C1)CC2 ZINC001035234300 660831850 /nfs/dbraw/zinc/83/18/50/660831850.db2.gz WZQACFOVBCMDHR-GDBMZVCRSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1CC[C@H](C)C1)CC2 ZINC001035234301 660832027 /nfs/dbraw/zinc/83/20/27/660832027.db2.gz WZQACFOVBCMDHR-GOEBONIOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@H]1C(C)C)CC2 ZINC001035245470 660832835 /nfs/dbraw/zinc/83/28/35/660832835.db2.gz RCWZFWYWSFVSIE-JKSUJKDBSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(C)CCCCCC1)CC2 ZINC001035723896 660847206 /nfs/dbraw/zinc/84/72/06/660847206.db2.gz RBPQPOUNSWUWDK-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1coc3c1cccc3C)CC2 ZINC001035721841 660847334 /nfs/dbraw/zinc/84/73/34/660847334.db2.gz FNLPDUTWALPGMK-UHFFFAOYSA-N 0 1 324.424 3.465 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC[C@H]1CCC)CC2 ZINC001035736869 660848496 /nfs/dbraw/zinc/84/84/96/660848496.db2.gz CJIKNISRVWCNLF-MSOLQXFVSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1[C@@H](C=C(C)C)C1(C)C)CC2 ZINC001035746960 660850457 /nfs/dbraw/zinc/85/04/57/660850457.db2.gz FQPIVHPMZWOBAE-SJORKVTESA-N 0 1 316.489 3.335 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(C)cc(Cl)c1)CC2 ZINC001035747949 660850472 /nfs/dbraw/zinc/85/04/72/660850472.db2.gz FXHDNKGOHIOWQC-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)CC1CCCC1)CC2 ZINC001035812819 660855603 /nfs/dbraw/zinc/85/56/03/660855603.db2.gz RRUTYCRNAOAYSI-INIZCTEOSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(Cl)c1)CC2 ZINC001035840158 660857881 /nfs/dbraw/zinc/85/78/81/660857881.db2.gz ACRZSNZWENYQKV-UHFFFAOYSA-N 0 1 304.821 3.064 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1sc(C)cc1C)CC2 ZINC001035843621 660858199 /nfs/dbraw/zinc/85/81/99/660858199.db2.gz VHQCFQXJNMSAPR-UHFFFAOYSA-N 0 1 318.486 3.018 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(C3CC3)cc1)CC2 ZINC001035853654 660859499 /nfs/dbraw/zinc/85/94/99/660859499.db2.gz PDBUJUMJPCTSKA-UHFFFAOYSA-N 0 1 310.441 3.288 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccc(C3CC3)cc1)CC2 ZINC001035856268 660860116 /nfs/dbraw/zinc/86/01/16/660860116.db2.gz KQPNVIAHDCCOOH-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(C3CC3)c1)CC2 ZINC001035858857 660860503 /nfs/dbraw/zinc/86/05/03/660860503.db2.gz LVBTWEAUNWRLJW-UHFFFAOYSA-N 0 1 310.441 3.288 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccccc3C)CC[C@@H]21 ZINC001036631118 660933447 /nfs/dbraw/zinc/93/34/47/660933447.db2.gz QTSHNOBWIJFDLD-WBVHZDCISA-N 0 1 318.848 3.284 20 30 DGEDMN CC[C@@](O)(CN(Cc1ccc(C#N)cc1)C1CC1)C(F)(F)F ZINC000929367687 660953244 /nfs/dbraw/zinc/95/32/44/660953244.db2.gz QEQWOWZHVPVPMR-OAHLLOKOSA-N 0 1 312.335 3.226 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC3CCC3)CC[C@@H]21 ZINC001036679479 660944149 /nfs/dbraw/zinc/94/41/49/660944149.db2.gz HWQRDYOXGUBGCX-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(C)c2Cl)CC1 ZINC001006151919 660966253 /nfs/dbraw/zinc/96/62/53/660966253.db2.gz UDGJYFKBEMNEHU-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2coc3ccc(F)cc23)C[C@H]1C ZINC000947975799 660959667 /nfs/dbraw/zinc/95/96/67/660959667.db2.gz QCHQFOWOKKVMPV-TZMCWYRMSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CC[C@H](CC)CC2)CC1 ZINC001006311256 660974215 /nfs/dbraw/zinc/97/42/15/660974215.db2.gz ZWQXCZFMGUOPHE-QAQDUYKDSA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NNCC1(C)CCCC1 ZINC000793085040 661004076 /nfs/dbraw/zinc/00/40/76/661004076.db2.gz VSJYCDMRRDMOSM-MRXNPFEDSA-N 0 1 314.477 3.449 20 30 DGEDMN C#CCOc1ccc([C@H](C)OC(=O)c2ccc3cncn3c2)cc1 ZINC000793147499 661007752 /nfs/dbraw/zinc/00/77/52/661007752.db2.gz OOUDBZGPYOKNAC-AWEZNQCLSA-N 0 1 320.348 3.264 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@]2(C1)CCCOC2 ZINC000793620057 661050729 /nfs/dbraw/zinc/05/07/29/661050729.db2.gz KCZKOVZJYVJVBM-SFHVURJKSA-N 0 1 319.832 3.355 20 30 DGEDMN Cn1c(CC#N)nn(CN(CC2CC2)C2CCCCC2)c1=S ZINC000794626361 661095324 /nfs/dbraw/zinc/09/53/24/661095324.db2.gz HTHIDGCOMRQGCP-UHFFFAOYSA-N 0 1 319.478 3.019 20 30 DGEDMN COCCCNN=C(C)c1ccc(N2CCCCC2)c(F)c1 ZINC000794544599 661090148 /nfs/dbraw/zinc/09/01/48/661090148.db2.gz ZBEGDLFFOQUMBC-UHFFFAOYSA-N 0 1 307.413 3.166 20 30 DGEDMN Clc1cncc(NN=Cc2cscc2Br)n1 ZINC000794891929 661111982 /nfs/dbraw/zinc/11/19/82/661111982.db2.gz YBNIQCKVAIQGLN-UHFFFAOYSA-N 0 1 317.599 3.400 20 30 DGEDMN CCOC(=O)c1scnc1/C=C\C(=O)c1cc(F)ccc1O ZINC000794941245 661115108 /nfs/dbraw/zinc/11/51/08/661115108.db2.gz LZCBDPADIVLNQF-XQRVVYSFSA-N 0 1 321.329 3.061 20 30 DGEDMN CCCCOc1ccc(C=NNc2cncnc2)cc1OC ZINC000794978404 661118398 /nfs/dbraw/zinc/11/83/98/661118398.db2.gz FRRAIDNQQSZWQB-UHFFFAOYSA-N 0 1 300.362 3.110 20 30 DGEDMN COc1ccc(C(C)=NNc2cncnc2)cc1Br ZINC000794979259 661118591 /nfs/dbraw/zinc/11/85/91/661118591.db2.gz UGOHVAWSWMUJAH-UHFFFAOYSA-N 0 1 321.178 3.084 20 30 DGEDMN Fc1cccc(N2CCCC2)c1C=[NH+][N-]c1ncccc1F ZINC000794994512 661119927 /nfs/dbraw/zinc/11/99/27/661119927.db2.gz WRBFUMBOCYLVLG-UHFFFAOYSA-N 0 1 302.328 3.406 20 30 DGEDMN Cn1cc(C=NNc2cccc(F)n2)c(-c2cccs2)n1 ZINC000795009284 661121314 /nfs/dbraw/zinc/12/13/14/661121314.db2.gz ZIHBLQCFNVVNDX-UHFFFAOYSA-N 0 1 301.350 3.129 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)c(CC)o1 ZINC000969110748 655523195 /nfs/dbraw/zinc/52/31/95/655523195.db2.gz AMJNACAADONJBX-MRXNPFEDSA-N 0 1 316.445 3.148 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(C(F)F)c1 ZINC000969118311 655524996 /nfs/dbraw/zinc/52/49/96/655524996.db2.gz LGXIBCJJZTVLGP-MRXNPFEDSA-N 0 1 320.383 3.232 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC000969140166 655527978 /nfs/dbraw/zinc/52/79/78/655527978.db2.gz ZRWUDHFDBSMWOO-INIZCTEOSA-N 0 1 318.486 3.227 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C2CC2)cc1 ZINC000969153881 655528815 /nfs/dbraw/zinc/52/88/15/655528815.db2.gz RKCAFUXNRGOPQB-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCCCC1(C)C ZINC000969158174 655529116 /nfs/dbraw/zinc/52/91/16/655529116.db2.gz DGJCIUWBAOEADT-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccc(F)cc1F ZINC000254951308 655547942 /nfs/dbraw/zinc/54/79/42/655547942.db2.gz QCATYRCMXZIAMT-BHQIHCQQSA-N 0 1 305.236 3.475 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)nc2C(C)C)C1 ZINC000969491726 655548689 /nfs/dbraw/zinc/54/86/89/655548689.db2.gz SUQTWMZEPJOHEA-CQSZACIVSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)c(Cl)c2)C1 ZINC000969761230 655556930 /nfs/dbraw/zinc/55/69/30/655556930.db2.gz SIQVINJDUHQAIU-LLVKDONJSA-N 0 1 317.191 3.036 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(CC(C)C)s2)C1 ZINC000969852891 655560577 /nfs/dbraw/zinc/56/05/77/655560577.db2.gz RCIWDDPJLKQJHM-CYBMUJFWSA-N 0 1 306.475 3.183 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(CC(C)C)s2)C1 ZINC000969852892 655560602 /nfs/dbraw/zinc/56/06/02/655560602.db2.gz RCIWDDPJLKQJHM-ZDUSSCGKSA-N 0 1 306.475 3.183 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC000969869412 655561567 /nfs/dbraw/zinc/56/15/67/655561567.db2.gz QVBLUMQWGXWCHN-KBAYOESNSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)cc2Cl)C1 ZINC000970053749 655570760 /nfs/dbraw/zinc/57/07/60/655570760.db2.gz WYGAGNDMPORFKM-GFCCVEGCSA-N 0 1 313.228 3.205 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC000970269666 655591193 /nfs/dbraw/zinc/59/11/93/655591193.db2.gz ZBPMIKBFAKTRIT-AWEZNQCLSA-N 0 1 311.429 3.021 20 30 DGEDMN O=C1c2ccc(O)cc2CC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000255076832 655592453 /nfs/dbraw/zinc/59/24/53/655592453.db2.gz DEXPAEBECURFLI-XFFZJAGNSA-N 0 1 311.293 3.219 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC000970319622 655594019 /nfs/dbraw/zinc/59/40/19/655594019.db2.gz XPEUXZUUYDSPGH-LLVKDONJSA-N 0 1 318.804 3.322 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C(C)C)oc2C)C1 ZINC000970382946 655597346 /nfs/dbraw/zinc/59/73/46/655597346.db2.gz MWIAXMTWVCACRH-CYBMUJFWSA-N 0 1 310.825 3.268 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C2CCC2)C1 ZINC001039178250 655600818 /nfs/dbraw/zinc/60/08/18/655600818.db2.gz NDAUTKSFYYHSRK-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C(C)(C)F)C1 ZINC001039178536 655601034 /nfs/dbraw/zinc/60/10/34/655601034.db2.gz RVIRVSTVFHIUFO-ZIAGYGMSSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC000970508171 655603869 /nfs/dbraw/zinc/60/38/69/655603869.db2.gz FIXRLAMVNXCZDS-LBPRGKRZSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC000970553336 655606042 /nfs/dbraw/zinc/60/60/42/655606042.db2.gz VABVQKIWFCQFSK-OAUYIBNBSA-N 0 1 318.848 3.066 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000970747289 655616265 /nfs/dbraw/zinc/61/62/65/655616265.db2.gz VBAYEPLFPUQIBR-ZDUSSCGKSA-N 0 1 304.434 3.031 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC000970783577 655620134 /nfs/dbraw/zinc/62/01/34/655620134.db2.gz TWPFZHHRDBYZEE-MLGOLLRUSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc(Cl)c2Cl)C1 ZINC000970785520 655620460 /nfs/dbraw/zinc/62/04/60/655620460.db2.gz IEVHAZOONFNXIJ-JTQLQIEISA-N 0 1 313.228 3.230 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@H]2[C@@H]2CCN(CCF)C2)CC1 ZINC001039228515 655632114 /nfs/dbraw/zinc/63/21/14/655632114.db2.gz ISRQGMNKSHNGPZ-MSOLQXFVSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)C(F)=C(C)C)C1 ZINC001021559339 655632425 /nfs/dbraw/zinc/63/24/25/655632425.db2.gz QZAPYLPIBTVVBD-ZIAGYGMSSA-N 0 1 314.832 3.315 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC000970913265 655636599 /nfs/dbraw/zinc/63/65/99/655636599.db2.gz MKUZYGRONUSCOY-GFCCVEGCSA-N 0 1 306.475 3.282 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000970926629 655638427 /nfs/dbraw/zinc/63/84/27/655638427.db2.gz WKGJSEYOVXUXGN-AWEZNQCLSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccccc2CC(C)C)C1 ZINC000970949442 655641700 /nfs/dbraw/zinc/64/17/00/655641700.db2.gz IKFIIGFLDDRTMC-OAHLLOKOSA-N 0 1 300.446 3.121 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3sccc3s2)C[C@H]1C ZINC000947449459 655697681 /nfs/dbraw/zinc/69/76/81/655697681.db2.gz CYTJQHVPSYWHRT-NEPJUHHUSA-N 0 1 318.467 3.179 20 30 DGEDMN C=C(Cl)CNCc1cccc(I)c1 ZINC000308205503 655698598 /nfs/dbraw/zinc/69/85/98/655698598.db2.gz YPGSFDJMJNLODT-UHFFFAOYSA-N 0 1 307.562 3.133 20 30 DGEDMN C=CCN1CC[C@H](N2CC=C(c3c[nH]c4ccccc34)CC2)C1=O ZINC000933819370 655734521 /nfs/dbraw/zinc/73/45/21/655734521.db2.gz ONXIAGCNDDNWBL-IBGZPJMESA-N 0 1 321.424 3.044 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC000972072727 655761896 /nfs/dbraw/zinc/76/18/96/655761896.db2.gz LXIDBXZMVLZGIY-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC[C@@H](Cc3nccs3)C2)c1 ZINC000934334550 655768583 /nfs/dbraw/zinc/76/85/83/655768583.db2.gz ARJHEQRCSIXXMY-ZDUSSCGKSA-N 0 1 301.390 3.218 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC000972246546 655782449 /nfs/dbraw/zinc/78/24/49/655782449.db2.gz PNJOXHPTVNXFQT-WMLDXEAASA-N 0 1 321.490 3.087 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C2CCC=CCC2)C1 ZINC001023100116 655812832 /nfs/dbraw/zinc/81/28/32/655812832.db2.gz CHTWQQFPNYRPHN-KDURUIRLSA-N 0 1 323.440 3.041 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C[C@@H]1C ZINC000947559598 655837559 /nfs/dbraw/zinc/83/75/59/655837559.db2.gz KNTADADFQYNVHZ-QWHCGFSZSA-N 0 1 322.811 3.003 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2ccccc2Cl)c1 ZINC000176813660 655846989 /nfs/dbraw/zinc/84/69/89/655846989.db2.gz LRUJMBSHKCEBAA-UHFFFAOYSA-N 0 1 300.745 3.489 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCOc2ccccc2F)c1 ZINC000176817904 655847669 /nfs/dbraw/zinc/84/76/69/655847669.db2.gz CQTDLVFOLKXEIB-UHFFFAOYSA-N 0 1 314.316 3.201 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3ccccc3)no2)c1 ZINC000176820990 655848140 /nfs/dbraw/zinc/84/81/40/655848140.db2.gz MTSAPLHPUZVWSD-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OCC3CC3)cc2)c1 ZINC000176823504 655849496 /nfs/dbraw/zinc/84/94/96/655849496.db2.gz LQLGETHGBFJTFC-UHFFFAOYSA-N 0 1 308.337 3.305 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@@H]2c2c(F)cccc2F)c1 ZINC000176826747 655849797 /nfs/dbraw/zinc/84/97/97/655849797.db2.gz IJNBSLXUNOWQMZ-QWRGUYRKSA-N 0 1 314.291 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(CC)o3)CC[C@H]21 ZINC001036752145 661195725 /nfs/dbraw/zinc/19/57/25/661195725.db2.gz SOIIVVGIKQRXFK-UKRRQHHQSA-N 0 1 322.836 3.131 20 30 DGEDMN N#CCOc1cccc(NS(=O)(=O)c2ccccc2Cl)c1 ZINC000036682887 661196075 /nfs/dbraw/zinc/19/60/75/661196075.db2.gz HMYCNCYWEWBBQM-UHFFFAOYSA-N 0 1 322.773 3.043 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC000972719630 655873366 /nfs/dbraw/zinc/87/33/66/655873366.db2.gz XOHKNLWWRRCASG-INIZCTEOSA-N 0 1 318.848 3.072 20 30 DGEDMN CC1(C)CCC[C@@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C1 ZINC000179382146 655891589 /nfs/dbraw/zinc/89/15/89/655891589.db2.gz DNERTLIYRIBXNS-HIFRSBDPSA-N 0 1 304.434 3.361 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001024453228 655906335 /nfs/dbraw/zinc/90/63/35/655906335.db2.gz GLCJBJQLTPQJEW-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001024453228 655906336 /nfs/dbraw/zinc/90/63/36/655906336.db2.gz GLCJBJQLTPQJEW-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1scc(C)c1Cl ZINC001024456211 655907142 /nfs/dbraw/zinc/90/71/42/655907142.db2.gz RYKBHTXJNGODSL-ZDUSSCGKSA-N 0 1 324.877 3.318 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc2c1CCCC2 ZINC001024457439 655907192 /nfs/dbraw/zinc/90/71/92/655907192.db2.gz JMOCCZBYVQJHGV-KRWDZBQOSA-N 0 1 312.457 3.336 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1scc(C)c1Cl ZINC001024456210 655907278 /nfs/dbraw/zinc/90/72/78/655907278.db2.gz RYKBHTXJNGODSL-CYBMUJFWSA-N 0 1 324.877 3.318 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC001024463695 655907835 /nfs/dbraw/zinc/90/78/35/655907835.db2.gz XRWOXOMUUXBIJN-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C2CCC2)cc1 ZINC001024467650 655908605 /nfs/dbraw/zinc/90/86/05/655908605.db2.gz JCFAFBMOKPFPGJ-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN O=C(/C=C\c1ccc(-n2cccn2)cc1)c1ccc(O)cc1O ZINC000255838183 655909069 /nfs/dbraw/zinc/90/90/69/655909069.db2.gz ODYXXRDHGRHSGV-WTKPLQERSA-N 0 1 306.321 3.180 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1conc1C1CCCC1 ZINC001024478102 655909139 /nfs/dbraw/zinc/90/91/39/655909139.db2.gz QSFDHKKQDISWDA-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001024488422 655910181 /nfs/dbraw/zinc/91/01/81/655910181.db2.gz QBWPOIVIIJQSPP-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(CC)CCC3)CC[C@H]21 ZINC001036795257 661202272 /nfs/dbraw/zinc/20/22/72/661202272.db2.gz JUSVXWJCNHMCCB-HUUCEWRRSA-N 0 1 310.869 3.242 20 30 DGEDMN CC[C@H](OC1CCCCC1)C(=O)Nc1cc(C#N)ccc1O ZINC000179729275 655912944 /nfs/dbraw/zinc/91/29/44/655912944.db2.gz YRKHWFPWDNQXFQ-INIZCTEOSA-N 0 1 302.374 3.330 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ccc(CC)s1 ZINC001024540655 655914744 /nfs/dbraw/zinc/91/47/44/655914744.db2.gz MTYCFYSLNFKIPR-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545761 655915495 /nfs/dbraw/zinc/91/54/95/655915495.db2.gz NKGPEDMCDTVOHY-AWEZNQCLSA-N 0 1 322.399 3.434 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001024545761 655915497 /nfs/dbraw/zinc/91/54/97/655915497.db2.gz NKGPEDMCDTVOHY-AWEZNQCLSA-N 0 1 322.399 3.434 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)nc1C1CC1 ZINC001024580765 655919931 /nfs/dbraw/zinc/91/99/31/655919931.db2.gz YZHHUGNYMMBYGV-INIZCTEOSA-N 0 1 313.445 3.038 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)nc1C1CC1 ZINC001024580766 655920061 /nfs/dbraw/zinc/92/00/61/655920061.db2.gz YZHHUGNYMMBYGV-MRXNPFEDSA-N 0 1 313.445 3.038 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1nccc2ccccc21 ZINC001024624705 655925317 /nfs/dbraw/zinc/92/53/17/655925317.db2.gz XUTGNUKEZHDUSC-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccccc1C1(C)CC1 ZINC001024647157 655927229 /nfs/dbraw/zinc/92/72/29/655927229.db2.gz JTRNTUQJQHDXCI-QGZVFWFLSA-N 0 1 324.468 3.346 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001024674875 655929892 /nfs/dbraw/zinc/92/98/92/655929892.db2.gz ZMNBWGFZNSDDTI-WNRNVDISSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001024674872 655929930 /nfs/dbraw/zinc/92/99/30/655929930.db2.gz ZMNBWGFZNSDDTI-BRWVUGGUSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c(OCC)c1 ZINC001024691670 655931071 /nfs/dbraw/zinc/93/10/71/655931071.db2.gz ZDHSZNMJQCZLST-KRWDZBQOSA-N 0 1 316.445 3.164 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccn(C(CC)CC)n1 ZINC001024691754 655931198 /nfs/dbraw/zinc/93/11/98/655931198.db2.gz BIMYKJKXKCMYQM-INIZCTEOSA-N 0 1 318.465 3.015 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1cccc2ccncc21 ZINC001024737297 655934569 /nfs/dbraw/zinc/93/45/69/655934569.db2.gz HXUSGOQQIGALPJ-KRWDZBQOSA-N 0 1 323.440 3.395 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1occ2c1CCCC2 ZINC001024780391 655937949 /nfs/dbraw/zinc/93/79/49/655937949.db2.gz SVVMSKXWYGPJCP-MRXNPFEDSA-N 0 1 316.445 3.319 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)C)cc1 ZINC001024775307 655937992 /nfs/dbraw/zinc/93/79/92/655937992.db2.gz ZJMQRPPRZQWWQB-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC001024781611 655938426 /nfs/dbraw/zinc/93/84/26/655938426.db2.gz YZVSSNICXKDLPG-GFCCVEGCSA-N 0 1 312.360 3.378 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc2ccccc21 ZINC001024788884 655939335 /nfs/dbraw/zinc/93/93/35/655939335.db2.gz OVDNKWDIQUJBHV-SFHVURJKSA-N 0 1 320.436 3.447 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc2ccccc2o1 ZINC001024791093 655939658 /nfs/dbraw/zinc/93/96/58/655939658.db2.gz UVRPFJHKEFZNNA-INIZCTEOSA-N 0 1 310.397 3.040 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001024794022 655939820 /nfs/dbraw/zinc/93/98/20/655939820.db2.gz FCDYHUVDEWUSKB-KRWDZBQOSA-N 0 1 317.477 3.456 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1CC(C(C)(C)C)C1 ZINC001024821905 655942092 /nfs/dbraw/zinc/94/20/92/655942092.db2.gz VFORPZTVPXJISR-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC001024817132 655942565 /nfs/dbraw/zinc/94/25/65/655942565.db2.gz USAZZMFJWBXNSA-QGZVFWFLSA-N 0 1 324.468 3.091 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3occc3CC)CC[C@H]21 ZINC001036812257 661206178 /nfs/dbraw/zinc/20/61/78/661206178.db2.gz DWSXTZWRKZRPBN-HUUCEWRRSA-N 0 1 322.836 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CCC(=C)CC3)CC[C@H]21 ZINC001036811503 661206587 /nfs/dbraw/zinc/20/65/87/661206587.db2.gz RLZYPCRDDOROIW-DLBZAZTESA-N 0 1 322.880 3.408 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001025069769 655963812 /nfs/dbraw/zinc/96/38/12/655963812.db2.gz OMMFPYFEFIJLMA-MAUKXSAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ccoc1Cl ZINC001025224326 655981108 /nfs/dbraw/zinc/98/11/08/655981108.db2.gz OINZIKIUWRTJLU-HUUCEWRRSA-N 0 1 320.820 3.025 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001025234576 655982841 /nfs/dbraw/zinc/98/28/41/655982841.db2.gz JAPKIHMFWZCDHZ-HOTGVXAUSA-N 0 1 321.852 3.226 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2CC(C)(C)C2)C1 ZINC001025411033 656006959 /nfs/dbraw/zinc/00/69/59/656006959.db2.gz FSULDCQJMCQFLB-KBPBESRZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2C=CC=CC=C2)C1 ZINC001025429233 656010630 /nfs/dbraw/zinc/01/06/30/656010630.db2.gz AFIUQYKTRWJSFB-WBVHZDCISA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2[nH]c(C)cc2C)C1 ZINC001025459810 656016923 /nfs/dbraw/zinc/01/69/23/656016923.db2.gz SSRSESNAMBEISW-LSDHHAIUSA-N 0 1 323.868 3.214 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(F)c2ccccc2c1 ZINC001038333074 656056716 /nfs/dbraw/zinc/05/67/16/656056716.db2.gz JDLRBZOHFMZNLV-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000122901392 656098082 /nfs/dbraw/zinc/09/80/82/656098082.db2.gz BBUVIADYEMUTGZ-HNNXBMFYSA-N 0 1 315.376 3.481 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001007845035 656098314 /nfs/dbraw/zinc/09/83/14/656098314.db2.gz MZXSMSJOWXCDQW-LJQANCHMSA-N 0 1 314.473 3.194 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001008373372 656149781 /nfs/dbraw/zinc/14/97/81/656149781.db2.gz RDWJXXRXPOCMSX-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001008373372 656149783 /nfs/dbraw/zinc/14/97/83/656149783.db2.gz RDWJXXRXPOCMSX-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN CCC(=O)C(C#N)C(=O)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000193726874 656193210 /nfs/dbraw/zinc/19/32/10/656193210.db2.gz KAAPRNNPLFFVEI-GZMMTYOYSA-N 0 1 313.184 3.289 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cscc2C(F)F)C(C)(C)C1 ZINC000974585449 656215583 /nfs/dbraw/zinc/21/55/83/656215583.db2.gz GMOBMHOQJNRBAK-GFCCVEGCSA-N 0 1 314.401 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)oc3ccccc32)C(C)(C)C1 ZINC000974623532 656218115 /nfs/dbraw/zinc/21/81/15/656218115.db2.gz RZXWTGATPMMWSY-MRXNPFEDSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)oc3ccccc32)C(C)(C)C1 ZINC000974623530 656218322 /nfs/dbraw/zinc/21/83/22/656218322.db2.gz RZXWTGATPMMWSY-INIZCTEOSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3ccccc3nc2C)C(C)(C)C1 ZINC000974645839 656218504 /nfs/dbraw/zinc/21/85/04/656218504.db2.gz OVKRNQLSANVCOV-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C(C)(C)C1 ZINC000974738725 656226845 /nfs/dbraw/zinc/22/68/45/656226845.db2.gz DJSGXWMZPHPTJN-CQSZACIVSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-n3cccc3)cc2)C(C)(C)C1 ZINC000974786725 656229607 /nfs/dbraw/zinc/22/96/07/656229607.db2.gz AZUPIHWHWHHFQC-SFHVURJKSA-N 0 1 323.440 3.104 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C(C)(C)C1 ZINC000974824354 656232895 /nfs/dbraw/zinc/23/28/95/656232895.db2.gz CYFNFODGWRMGLT-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000975001549 656247368 /nfs/dbraw/zinc/24/73/68/656247368.db2.gz BPYDZNBOFDHADI-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CC[N@H+]1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)C(C)(C)C1 ZINC000974990302 656248660 /nfs/dbraw/zinc/24/86/60/656248660.db2.gz ZYTJWTOWBTYLFT-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)C(C)(C)C1 ZINC000974990302 656248661 /nfs/dbraw/zinc/24/86/61/656248661.db2.gz ZYTJWTOWBTYLFT-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987943 656248732 /nfs/dbraw/zinc/24/87/32/656248732.db2.gz NTTMHCFOVYZGQB-BBRMVZONSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987943 656248733 /nfs/dbraw/zinc/24/87/33/656248733.db2.gz NTTMHCFOVYZGQB-BBRMVZONSA-N 0 1 320.864 3.456 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000975010717 656249535 /nfs/dbraw/zinc/24/95/35/656249535.db2.gz VPCUSDZOQVVBOI-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)C(C)(C)C1 ZINC000975037929 656251013 /nfs/dbraw/zinc/25/10/13/656251013.db2.gz KVKSOMWQOOUFBU-MRXNPFEDSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2C2(C)CC2)C(C)(C)C1 ZINC000975033143 656252150 /nfs/dbraw/zinc/25/21/50/656252150.db2.gz XWZHMRDVAJQVBT-KRWDZBQOSA-N 0 1 312.457 3.364 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C(C)(C)C1 ZINC000975074685 656256363 /nfs/dbraw/zinc/25/63/63/656256363.db2.gz KOQCZKIGRQFOTL-XDNAFOTISA-N 0 1 316.489 3.053 20 30 DGEDMN C=CC[N@H+]1C[C@H](NC(=O)c2cc3cc(F)ccc3o2)C(C)(C)C1 ZINC000975098376 656257592 /nfs/dbraw/zinc/25/75/92/656257592.db2.gz DMFUOPBBYZOWDR-INIZCTEOSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(F)ccc3o2)C(C)(C)C1 ZINC000975098376 656257594 /nfs/dbraw/zinc/25/75/94/656257594.db2.gz DMFUOPBBYZOWDR-INIZCTEOSA-N 0 1 316.376 3.198 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027356127 656295103 /nfs/dbraw/zinc/29/51/03/656295103.db2.gz FWOXVLBXUQFAGW-HIICFIELSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001009584251 656295371 /nfs/dbraw/zinc/29/53/71/656295371.db2.gz CMADVNUZZCJHRH-YOEHRIQHSA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)C2CCCCC2)C(C)(C)C1 ZINC000977288645 656320998 /nfs/dbraw/zinc/32/09/98/656320998.db2.gz YOZIFGGOUKBNBL-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C(C)(C)C1 ZINC000977326928 656322488 /nfs/dbraw/zinc/32/24/88/656322488.db2.gz MLERQCAQCVYFIG-KSZLIROESA-N 0 1 312.457 3.111 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001009743842 656327163 /nfs/dbraw/zinc/32/71/63/656327163.db2.gz NEZHMWCRRUPKIA-MRXNPFEDSA-N 0 1 300.446 3.039 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001027521961 656328485 /nfs/dbraw/zinc/32/84/85/656328485.db2.gz OGSJTNRRZABMOH-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2ccccc2F)C1 ZINC001027522134 656328978 /nfs/dbraw/zinc/32/89/78/656328978.db2.gz RZWBQKDRSWMLRK-MRXNPFEDSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977440468 656331157 /nfs/dbraw/zinc/33/11/57/656331157.db2.gz AFXVLCFQCICMHQ-ZFWWWQNUSA-N 0 1 306.475 3.173 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977440454 656331568 /nfs/dbraw/zinc/33/15/68/656331568.db2.gz AFXVLCFQCICMHQ-DZGCQCFKSA-N 0 1 306.475 3.173 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)cccc2Cl)C(C)(C)C1 ZINC000977506383 656336174 /nfs/dbraw/zinc/33/61/74/656336174.db2.gz ALBVUVPKWJKEMF-AWEZNQCLSA-N 0 1 324.827 3.495 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001009749611 656338111 /nfs/dbraw/zinc/33/81/11/656338111.db2.gz NNEWVBAKSUHPGX-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)C(C)(C)C1 ZINC000977526254 656339216 /nfs/dbraw/zinc/33/92/16/656339216.db2.gz KPESXSOXAACMHJ-OAHLLOKOSA-N 0 1 317.458 3.246 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000977529574 656339534 /nfs/dbraw/zinc/33/95/34/656339534.db2.gz IDNNZJYXVMGJEG-QGZVFWFLSA-N 0 1 300.446 3.436 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cc(C)cc2C)C(C)(C)C1 ZINC000977555508 656341889 /nfs/dbraw/zinc/34/18/89/656341889.db2.gz ZJHCGOWQISHECE-KRWDZBQOSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(s2)CCCC3)C(C)(C)C1 ZINC000977555654 656342166 /nfs/dbraw/zinc/34/21/66/656342166.db2.gz GRNMTXFYILWTBA-INIZCTEOSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)C(C)(C)C1 ZINC000977609977 656347759 /nfs/dbraw/zinc/34/77/59/656347759.db2.gz CWLICMAIAQYSGN-OAHLLOKOSA-N 0 1 304.409 3.069 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C2CC(C(C)(C)C)C2)C(C)(C)C1 ZINC000977648367 656350973 /nfs/dbraw/zinc/35/09/73/656350973.db2.gz AAQSFUDUTHYQPD-UYSNPLJNSA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000977642869 656351451 /nfs/dbraw/zinc/35/14/51/656351451.db2.gz UTFMHZHEBRKNLN-DAWZGUTISA-N 0 1 312.457 3.193 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000977647402 656352247 /nfs/dbraw/zinc/35/22/47/656352247.db2.gz XSWSEKQPMWAJPY-XLIONFOSSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2C(C)C)C(C)(C)C1 ZINC000977650132 656352272 /nfs/dbraw/zinc/35/22/72/656352272.db2.gz MJZSEPFLOFVCTR-HNNXBMFYSA-N 0 1 304.434 3.419 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC2CCC(C)(C)CC2)C(C)(C)C1 ZINC000977676459 656355674 /nfs/dbraw/zinc/35/56/74/656355674.db2.gz YCBNOLAWOKPLCY-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3cc(C)c(C)s3)[C@@H]2C1 ZINC000979039139 656408488 /nfs/dbraw/zinc/40/84/88/656408488.db2.gz UBBWJKGSGXBFIV-ZSOGYDGISA-N 0 1 324.877 3.025 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc(Cl)c[nH]2)CC1 ZINC001052200541 656421957 /nfs/dbraw/zinc/42/19/57/656421957.db2.gz DUYHJSFICBAIKG-LBPRGKRZSA-N 0 1 316.232 3.005 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@@H]2CC=CCC2)CC1 ZINC001052624617 656450728 /nfs/dbraw/zinc/45/07/28/656450728.db2.gz LWJXPHCRIBRSJU-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cc(C)oc1C ZINC001039453689 656505789 /nfs/dbraw/zinc/50/57/89/656505789.db2.gz NFGWAYZCNOAGNO-UAGQMJEPSA-N 0 1 316.445 3.251 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CC2)s1 ZINC001039458750 656506134 /nfs/dbraw/zinc/50/61/34/656506134.db2.gz MPHHAWJMIPMFRJ-CABCVRRESA-N 0 1 316.470 3.490 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001039460694 656506353 /nfs/dbraw/zinc/50/63/53/656506353.db2.gz CMQBINMRSZQFIN-TVFCKZIOSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCC2 ZINC001039468418 656509685 /nfs/dbraw/zinc/50/96/85/656509685.db2.gz MCFGKBKSMYARFC-MOPGFXCFSA-N 0 1 310.441 3.040 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@]13C[C@H]1CCC3)C2 ZINC001048550522 656510621 /nfs/dbraw/zinc/51/06/21/656510621.db2.gz YRDINMSEJWOLHM-XLKGFZLASA-N 0 1 322.880 3.288 20 30 DGEDMN O=C(C#CC1CC1)N1C[C@H]2CCC[C@@H](C1)N2CCCC1CCC1 ZINC001039579394 656529046 /nfs/dbraw/zinc/52/90/46/656529046.db2.gz MSBOVPSHNFBOJD-KDURUIRLSA-N 0 1 314.473 3.045 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCF ZINC001039608973 656530727 /nfs/dbraw/zinc/53/07/27/656530727.db2.gz JXOGBDZDGNBZJM-OKILXGFUSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001039822194 656535914 /nfs/dbraw/zinc/53/59/14/656535914.db2.gz CPJJKYHZBZZWPC-BBWFWOEESA-N 0 1 322.493 3.079 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](CC)OC ZINC001039896857 656540538 /nfs/dbraw/zinc/54/05/38/656540538.db2.gz SLHSMWOHODHYQG-ZMMAXQRCSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)(C)C3CCCC3)C[C@@H]2C1 ZINC001048867917 656543994 /nfs/dbraw/zinc/54/39/94/656543994.db2.gz KMGJFFXYTIJXSA-GASCZTMLSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3ccc(CC)s3)C[C@@H]2C1 ZINC001048925300 656546646 /nfs/dbraw/zinc/54/66/46/656546646.db2.gz PUSHXHRDSFUEGM-BETUJISGSA-N 0 1 324.877 3.067 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001027969363 656558146 /nfs/dbraw/zinc/55/81/46/656558146.db2.gz JZPIMWVWEBNFRV-IEBWSBKVSA-N 0 1 312.457 3.263 20 30 DGEDMN CC(C)C(=O)N1CC[C@]2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC001040079867 656560119 /nfs/dbraw/zinc/56/01/19/656560119.db2.gz JCWCQRNHFXCRAL-OAQYLSRUSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1[nH]c2ccccc2c1Cl ZINC001027990320 656573899 /nfs/dbraw/zinc/57/38/99/656573899.db2.gz IZVPBLBGBAEKGF-LBPRGKRZSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1ccnc2ccccc21 ZINC001028006726 656592783 /nfs/dbraw/zinc/59/27/83/656592783.db2.gz BVGRTBBPJBZEOD-HNNXBMFYSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2cc(Cl)ccc2o1 ZINC001028007811 656594410 /nfs/dbraw/zinc/59/44/10/656594410.db2.gz QMAIEWSAYRYUEX-AWEZNQCLSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1C(C)C ZINC001049513037 656634058 /nfs/dbraw/zinc/63/40/58/656634058.db2.gz VJMHFZGXYZUURF-RTBURBONSA-N 0 1 310.441 3.122 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(C2CCC2)cc1 ZINC001049512080 656634567 /nfs/dbraw/zinc/63/45/67/656634567.db2.gz QVXMEVQLMRFLGN-WOJBJXKFSA-N 0 1 322.452 3.266 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](CC)OC2CCCCC2)CC1 ZINC000981153775 656634624 /nfs/dbraw/zinc/63/46/24/656634624.db2.gz DGAIGTCQGGPLBA-GOSISDBHSA-N 0 1 322.493 3.225 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522146 656635391 /nfs/dbraw/zinc/63/53/91/656635391.db2.gz DLIFPKZDLXRRJU-ZWKOTPCHSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1oc(C(F)F)cc1C ZINC001049528870 656636132 /nfs/dbraw/zinc/63/61/32/656636132.db2.gz BQMROASFUMDAAS-STQMWFEESA-N 0 1 324.371 3.391 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1CCC ZINC001049586608 656645655 /nfs/dbraw/zinc/64/56/55/656645655.db2.gz UFXNBBJHBPMWQN-VQTJNVASSA-N 0 1 324.468 3.341 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1coc2cc(C)c(C)cc12 ZINC001028050056 656646574 /nfs/dbraw/zinc/64/65/74/656646574.db2.gz XGPADJYLMWBTOU-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001041639551 656661470 /nfs/dbraw/zinc/66/14/70/656661470.db2.gz WMDXRCBQMZXVPR-REEAJDFCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cncc(-c3ccccc3)c2)CC1 ZINC000981283391 656669697 /nfs/dbraw/zinc/66/96/97/656669697.db2.gz UOYBLIZUQUVFNK-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@H]2CCN3C/C=C/Cl)C1 ZINC001049704611 656671155 /nfs/dbraw/zinc/67/11/55/656671155.db2.gz YRZAKPOFTLMKIZ-HYBGQWMJSA-N 0 1 308.853 3.161 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1csc(Cl)c1 ZINC001049763979 656683053 /nfs/dbraw/zinc/68/30/53/656683053.db2.gz ZROKXPCFNQJURI-KBPBESRZSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C(C)C)oc1C ZINC001049819458 656692484 /nfs/dbraw/zinc/69/24/84/656692484.db2.gz AKQOZLMJSISYQJ-IRXDYDNUSA-N 0 1 314.429 3.024 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc2ccsc21 ZINC001049824278 656692551 /nfs/dbraw/zinc/69/25/51/656692551.db2.gz GBFGRBLEHSRKQM-IRXDYDNUSA-N 0 1 324.449 3.213 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc(Cl)c1 ZINC001049855401 656699510 /nfs/dbraw/zinc/69/95/10/656699510.db2.gz CDKAVIKPQKBRIB-SJORKVTESA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(C(C)(C)C)cc1 ZINC001049863322 656703716 /nfs/dbraw/zinc/70/37/16/656703716.db2.gz LUBHHKXNKDEHMO-OALUTQOASA-N 0 1 324.468 3.296 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2c(s1)CCC2 ZINC001049875350 656709437 /nfs/dbraw/zinc/70/94/37/656709437.db2.gz DPLDEWORGVOGIM-CABCVRRESA-N 0 1 316.470 3.102 20 30 DGEDMN C=C(Br)CNCc1ccc2c(c1)COC(C)(C)O2 ZINC000187336603 661282664 /nfs/dbraw/zinc/28/26/64/661282664.db2.gz JBCQLWNNTQANFT-UHFFFAOYSA-N 0 1 312.207 3.330 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@]2(C)[C@H]3Cc4ccccc4[C@H]32)CC1 ZINC000981504183 656710156 /nfs/dbraw/zinc/71/01/56/656710156.db2.gz YCMKGCAIDNZWPZ-QKNQBKEWSA-N 0 1 324.468 3.073 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000981517746 656713646 /nfs/dbraw/zinc/71/36/46/656713646.db2.gz NYLWEWMOKWPMPN-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)c1ccccc1 ZINC001049885710 656714151 /nfs/dbraw/zinc/71/41/51/656714151.db2.gz OXWHUMLKLCEPFJ-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2c(c1)CCC2 ZINC001049892231 656715800 /nfs/dbraw/zinc/71/58/00/656715800.db2.gz LCXLYWXBBYJJLX-MOPGFXCFSA-N 0 1 310.441 3.040 20 30 DGEDMN C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2c(c1)CCC2 ZINC001049892233 656715813 /nfs/dbraw/zinc/71/58/13/656715813.db2.gz LCXLYWXBBYJJLX-RBUKOAKNSA-N 0 1 310.441 3.040 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sccc1C(C)C ZINC001049907990 656718079 /nfs/dbraw/zinc/71/80/79/656718079.db2.gz FXMOLARDQRRRAE-HZPDHXFCSA-N 0 1 316.470 3.184 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sccc1CC ZINC001049907267 656718569 /nfs/dbraw/zinc/71/85/69/656718569.db2.gz CICLRYBPHMFYNT-GJZGRUSLSA-N 0 1 304.459 3.175 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2cccc(C)c2o1 ZINC001049916450 656722183 /nfs/dbraw/zinc/72/21/83/656722183.db2.gz CEDMVZIZMNAVBQ-IAGOWNOFSA-N 0 1 322.408 3.053 20 30 DGEDMN C=C(C)CN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(F)s1 ZINC001049927777 656726078 /nfs/dbraw/zinc/72/60/78/656726078.db2.gz YHHPJGRXJSCFNL-STQMWFEESA-N 0 1 308.422 3.142 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C)C(C)(C)C1(C)C ZINC001049936278 656727324 /nfs/dbraw/zinc/72/73/24/656727324.db2.gz ILUPTOAZLZBIRH-HOTGVXAUSA-N 0 1 316.489 3.147 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3csc4ccccc34)C[C@H]21 ZINC001042169899 656730841 /nfs/dbraw/zinc/73/08/41/656730841.db2.gz NSXUBFOZMDFOEV-RHSMWYFYSA-N 0 1 324.449 3.071 20 30 DGEDMN CC(F)(F)CCCN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000929238528 661290445 /nfs/dbraw/zinc/29/04/45/661290445.db2.gz YPESDFRLAJZMDE-UHFFFAOYSA-N 0 1 307.388 3.111 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc3ccccc3cc2F)CC1 ZINC000981599866 656736386 /nfs/dbraw/zinc/73/63/86/656736386.db2.gz HINTYISWQKPUNX-UHFFFAOYSA-N 0 1 324.399 3.150 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001049979980 656739376 /nfs/dbraw/zinc/73/93/76/656739376.db2.gz XDSRIMGROWWAMF-YSIASYRMSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(Cl)c(Cl)[nH]2)CC1 ZINC000981717132 656754314 /nfs/dbraw/zinc/75/43/14/656754314.db2.gz BJCNQSBPTDRBJT-UHFFFAOYSA-N 0 1 316.232 3.046 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2cnc3ccsc3c2)CC1 ZINC000981743269 656756305 /nfs/dbraw/zinc/75/63/05/656756305.db2.gz UHHFWIUSNZXGKM-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cnc3ccsc3c2)CC1 ZINC000981743269 656756309 /nfs/dbraw/zinc/75/63/09/656756309.db2.gz UHHFWIUSNZXGKM-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(C)c(C)s3)C[C@@H]21 ZINC001042345240 656761235 /nfs/dbraw/zinc/76/12/35/656761235.db2.gz KHEXUTFAQYALNW-CABCVRRESA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](F)c2ccccc2)CC1 ZINC001052751931 656761652 /nfs/dbraw/zinc/76/16/52/656761652.db2.gz JMUREVASSSUMIW-JKSUJKDBSA-N 0 1 324.827 3.420 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCC[C@@H]3CCC)C2)C1 ZINC000981855687 656787562 /nfs/dbraw/zinc/78/75/62/656787562.db2.gz DNJGICGVPLSVRH-ROUUACIJSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2cccc(F)c2)CC1 ZINC001052915489 656789651 /nfs/dbraw/zinc/78/96/51/656789651.db2.gz COHXPTBSJYZAOF-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)CC3(C)CCCCC3)C2)C1 ZINC000981881105 656793963 /nfs/dbraw/zinc/79/39/63/656793963.db2.gz QIWQZPPZDYHEKT-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001053004467 656814814 /nfs/dbraw/zinc/81/48/14/656814814.db2.gz VXUKHPOBYWDWDW-HZPDHXFCSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)c2ccccc2C2(C)CC2)CC1 ZINC000981944476 656819376 /nfs/dbraw/zinc/81/93/76/656819376.db2.gz NQCKBSCFYSACCG-UHFFFAOYSA-N 0 1 312.457 3.462 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3ccc(CCC)cc3)C2)C1 ZINC000981975003 656840996 /nfs/dbraw/zinc/84/09/96/656840996.db2.gz HYGNKXXVSVZPOG-UHFFFAOYSA-N 0 1 324.468 3.200 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCCN2C(=O)C(C)C)C1 ZINC001053072342 656844574 /nfs/dbraw/zinc/84/45/74/656844574.db2.gz QHUSHRWKGIBIOE-HOTGVXAUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001043601730 656844678 /nfs/dbraw/zinc/84/46/78/656844678.db2.gz AEYWYOYZMNRKJN-UHFFFAOYSA-N 0 1 303.450 3.100 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cncc3sccc32)C1 ZINC001043622659 656845013 /nfs/dbraw/zinc/84/50/13/656845013.db2.gz PSYWKTIPSUOGEK-UHFFFAOYSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C2CCC2)C2CCC2)C1 ZINC001043663599 656846928 /nfs/dbraw/zinc/84/69/28/656846928.db2.gz KLRQRRMPSJLPTF-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CN(CC3CCCCC3)C2)CC1 ZINC001043760199 656854516 /nfs/dbraw/zinc/85/45/16/656854516.db2.gz RQKATQLGXLIETF-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001043974117 656867133 /nfs/dbraw/zinc/86/71/33/656867133.db2.gz SWIQRTIAPSABMW-UHFFFAOYSA-N 0 1 314.473 3.245 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](C)c3ccccc3C)C2)C1 ZINC000982050379 656876066 /nfs/dbraw/zinc/87/60/66/656876066.db2.gz GOBYYZNRONQZLR-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(Cl)ccc2C)C1 ZINC001044069463 656877616 /nfs/dbraw/zinc/87/76/16/656877616.db2.gz MWYDCACJNYPQEV-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CC[N@@H+]1CCCC2(CN(C(=O)C34CCC(CC3)C4(C)C)C2)C1 ZINC000982058274 656878738 /nfs/dbraw/zinc/87/87/38/656878738.db2.gz PJSMTKKLACVZHP-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2cccc3sccc32)CC1 ZINC000982086092 656884109 /nfs/dbraw/zinc/88/41/09/656884109.db2.gz GILJYZRVULNLKT-UHFFFAOYSA-N 0 1 314.454 3.164 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC000982089060 656888841 /nfs/dbraw/zinc/88/88/41/656888841.db2.gz WNQXBESRFAPCNK-LPHOPBHVSA-N 0 1 304.478 3.006 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCCC[C@H]3CC)C2)C1 ZINC000982099045 656893593 /nfs/dbraw/zinc/89/35/93/656893593.db2.gz VRRPRHJGSOKGTE-QZTJIDSGSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3cccc(C(C)C)c3)C2)C1 ZINC000982103672 656894963 /nfs/dbraw/zinc/89/49/63/656894963.db2.gz YIHKFAWVPDVRHU-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)c3ccc(C)cc3)C2)C1 ZINC000982123913 656900154 /nfs/dbraw/zinc/90/01/54/656900154.db2.gz SEIFOPWBQHIFOD-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2CCC(C(F)F)CC2)C1 ZINC001044246277 656902155 /nfs/dbraw/zinc/90/21/55/656902155.db2.gz JPJLIVNJOIZABW-UHFFFAOYSA-N 0 1 314.420 3.167 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H]1CCC1(C)C)C2 ZINC001054179785 656927045 /nfs/dbraw/zinc/92/70/45/656927045.db2.gz GQLJTPNDXRIVJU-QAPCUYQASA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1(CC)CC1)C2 ZINC001054191881 656932362 /nfs/dbraw/zinc/93/23/62/656932362.db2.gz OGAUIHOBSDVVTI-INIZCTEOSA-N 0 1 310.869 3.244 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc2ccsc21 ZINC001028239617 656936121 /nfs/dbraw/zinc/93/61/21/656936121.db2.gz RFHLORIXYXSOCC-OAHLLOKOSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C)c(C)c1Cl ZINC001028262723 656951219 /nfs/dbraw/zinc/95/12/19/656951219.db2.gz AVIZCWYLUFZQPJ-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C)c(C)c1Cl ZINC001028263527 656952086 /nfs/dbraw/zinc/95/20/86/656952086.db2.gz RQIQGMUYDCIGKM-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001028263300 656952404 /nfs/dbraw/zinc/95/24/04/656952404.db2.gz NXMKCMBHVJKWPE-LJQANCHMSA-N 0 1 310.441 3.416 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3C(C)(C)C3(C)C)cc2C1 ZINC001054260198 656953132 /nfs/dbraw/zinc/95/31/32/656953132.db2.gz FKDMLWPSCNBTRG-UHFFFAOYSA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC001028265544 656953497 /nfs/dbraw/zinc/95/34/97/656953497.db2.gz GHGSQNOQPOBFPD-HNNXBMFYSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccncc3C)cc2C1 ZINC001054264411 656954831 /nfs/dbraw/zinc/95/48/31/656954831.db2.gz DMUIKMAUDPMHRT-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3occc3CC)cc2C1 ZINC001054279621 656959804 /nfs/dbraw/zinc/95/98/04/656959804.db2.gz JPEFFWVRDYWYIW-UHFFFAOYSA-N 0 1 322.408 3.111 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cccc(Cl)c1Cl ZINC001028283400 656969854 /nfs/dbraw/zinc/96/98/54/656969854.db2.gz DTMPEZNGXZJGMP-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001028299966 656985425 /nfs/dbraw/zinc/98/54/25/656985425.db2.gz NWIMFNVSDXNWLF-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001045181961 656999016 /nfs/dbraw/zinc/99/90/16/656999016.db2.gz HSYBGLJLXCZFTO-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001028341493 656999645 /nfs/dbraw/zinc/99/96/45/656999645.db2.gz MAEZTDLDGRPGBF-VNCLNFNDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sc(C)cc2C)C[C@@H]1C ZINC001054696358 657002139 /nfs/dbraw/zinc/00/21/39/657002139.db2.gz NCNZLTQYAKDIBT-GXFFZTMASA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(F)ccc2CC)C[C@H]1C ZINC001054856770 657015060 /nfs/dbraw/zinc/01/50/60/657015060.db2.gz KYKOORCRDPNSHD-BDJLRTHQSA-N 0 1 324.827 3.191 20 30 DGEDMN C=CCn1cccc1C(=O)NC1(C)CCN(C[C@@H](F)CC)CC1 ZINC001045462115 657026790 /nfs/dbraw/zinc/02/67/90/657026790.db2.gz XOWRTVVGRKDSIV-HNNXBMFYSA-N 0 1 321.440 3.007 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2ccc(F)cc2F)C1 ZINC001054976919 657027427 /nfs/dbraw/zinc/02/74/27/657027427.db2.gz QUXRWEWEQNJQJN-BLLLJJGKSA-N 0 1 322.399 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2cc(F)ccc2F)C1 ZINC001054976871 657027554 /nfs/dbraw/zinc/02/75/54/657027554.db2.gz QIGNXFOJHUWOIG-BLLLJJGKSA-N 0 1 322.399 3.114 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc3cc(C)ccc3o2)CC1 ZINC001045645983 657048713 /nfs/dbraw/zinc/04/87/13/657048713.db2.gz RGDFZXBEIMYUDH-UHFFFAOYSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(-c3ccccc3)nc2)CC1 ZINC000982602097 657061200 /nfs/dbraw/zinc/06/12/00/657061200.db2.gz YRQKOPSJKQRLLQ-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)CC1CC1)C2 ZINC001045907180 657084817 /nfs/dbraw/zinc/08/48/17/657084817.db2.gz QWYBOPZQVTZYBZ-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2coc(C(F)F)c2)C1 ZINC001000012559 657085480 /nfs/dbraw/zinc/08/54/80/657085480.db2.gz AXPHFRRVDIVBTF-LLVKDONJSA-N 0 1 318.751 3.164 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCC[C@@]3(CCN(CCF)C3)C2)C1 ZINC001045963969 657107730 /nfs/dbraw/zinc/10/77/30/657107730.db2.gz VJHHSGNOHDTONR-SFHVURJKSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc3[nH]ccc32)C1 ZINC001000280627 657108252 /nfs/dbraw/zinc/10/82/52/657108252.db2.gz ZRWLWNDLGSDJSO-CYBMUJFWSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2ccc(CC)cc2)C1 ZINC001000327101 657113788 /nfs/dbraw/zinc/11/37/88/657113788.db2.gz DQWNRTFXENYNFP-QGZVFWFLSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2oc(CC)cc2C)C1 ZINC001000699970 657143658 /nfs/dbraw/zinc/14/36/58/657143658.db2.gz AKUKRJQOOGQSIL-CYBMUJFWSA-N 0 1 310.825 3.097 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001046317264 657145255 /nfs/dbraw/zinc/14/52/55/657145255.db2.gz FYKWJYMXXVVRHV-LJQANCHMSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2cc(C)ccc2F)C1 ZINC001000743866 657149159 /nfs/dbraw/zinc/14/91/59/657149159.db2.gz ZDPHSMPITSVRBG-HNNXBMFYSA-N 0 1 324.827 3.010 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)c(C)s2)C1 ZINC001000867615 657159818 /nfs/dbraw/zinc/15/98/18/657159818.db2.gz VMHQBGKZZGAOIW-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001000951019 657168259 /nfs/dbraw/zinc/16/82/59/657168259.db2.gz VHBZPEASGNTTJY-OAHLLOKOSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@]2(C)CC=C(C)CC2)C1 ZINC001000968194 657169123 /nfs/dbraw/zinc/16/91/23/657169123.db2.gz AKQMPSBWXZWQSJ-DOTOQJQBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001000972904 657170251 /nfs/dbraw/zinc/17/02/51/657170251.db2.gz PSXALAIKADUGDP-YOEHRIQHSA-N 0 1 320.864 3.198 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc(C)ccc2C2CC2)CC1 ZINC001000993903 657172092 /nfs/dbraw/zinc/17/20/92/657172092.db2.gz GQFRXWIBVUBRCR-UHFFFAOYSA-N 0 1 322.452 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001001007812 657173028 /nfs/dbraw/zinc/17/30/28/657173028.db2.gz SVXILSMTIWIBJP-CKUJCDMFSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2c(C)cccc2F)C1 ZINC001001069020 657179484 /nfs/dbraw/zinc/17/94/84/657179484.db2.gz ASYALJPVSBYHQZ-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001046639822 657192571 /nfs/dbraw/zinc/19/25/71/657192571.db2.gz CMWYYECAUTVZMI-IBGZPJMESA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001046727580 657209889 /nfs/dbraw/zinc/20/98/89/657209889.db2.gz IALYINDSUDGFKL-HXUWFJFHSA-N 0 1 321.424 3.129 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001046773146 657216073 /nfs/dbraw/zinc/21/60/73/657216073.db2.gz JSEYDPNEVZDGFS-HXUWFJFHSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001046811128 657224898 /nfs/dbraw/zinc/22/48/98/657224898.db2.gz OIZZVJVLLCCZMI-KRWDZBQOSA-N 0 1 316.426 3.388 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001046818593 657229517 /nfs/dbraw/zinc/22/95/17/657229517.db2.gz ODVAKQDUFIBTAG-IBGZPJMESA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001046827443 657231964 /nfs/dbraw/zinc/23/19/64/657231964.db2.gz SBHXGGRKDGVOGT-UGSOOPFHSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001046832680 657232995 /nfs/dbraw/zinc/23/29/95/657232995.db2.gz YGPDXTPABUKIAQ-KRWDZBQOSA-N 0 1 321.490 3.345 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001046869278 657241210 /nfs/dbraw/zinc/24/12/10/657241210.db2.gz NBLKHQCAZSJNAN-SFHVURJKSA-N 0 1 318.848 3.291 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001046881344 657242622 /nfs/dbraw/zinc/24/26/22/657242622.db2.gz QVQSKDYWWUBMCT-LJQANCHMSA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001046968269 657249235 /nfs/dbraw/zinc/24/92/35/657249235.db2.gz CPJQHMCESNKSIR-KGLIPLIRSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCC3CCCCCC3)[C@@H]2C1 ZINC001050098550 657249740 /nfs/dbraw/zinc/24/97/40/657249740.db2.gz QUCVVSWDFBSFPK-RBUKOAKNSA-N 0 1 316.489 3.293 20 30 DGEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ccc(C(C)C)cc3)[C@@H]2C1 ZINC001050189632 657266634 /nfs/dbraw/zinc/26/66/34/657266634.db2.gz AVMWCCHOBDTTHK-VQTJNVASSA-N 0 1 324.468 3.370 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc([C@H](C)CC)cc3)[C@@H]2C1 ZINC001050207090 657270545 /nfs/dbraw/zinc/27/05/45/657270545.db2.gz CVXSWNIDBWYGEU-LSTHTHJFSA-N 0 1 324.468 3.370 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)CCC)C2)CC1 ZINC001047219702 657280173 /nfs/dbraw/zinc/28/01/73/657280173.db2.gz PVXRLOYSCLJQJZ-MRXNPFEDSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001047252573 657287195 /nfs/dbraw/zinc/28/71/95/657287195.db2.gz YDYXTTOAWIWEQD-XOKHGSTOSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)(C)C(C)C)C2)CC1 ZINC001050527964 657320028 /nfs/dbraw/zinc/32/00/28/657320028.db2.gz GGTXZWFTIVAQQP-UHFFFAOYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3CC[C@H](C)C3)C2)CC1 ZINC001050623445 657332335 /nfs/dbraw/zinc/33/23/35/657332335.db2.gz MRXPZHGTJBKUAE-HOCLYGCPSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC[C@H]3CC)C2)CC1 ZINC001050651229 657338259 /nfs/dbraw/zinc/33/82/59/657338259.db2.gz KAWALCCLRKTWEY-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3occc3C)cccc2C1 ZINC001051278855 657379622 /nfs/dbraw/zinc/37/96/22/657379622.db2.gz GFAZQHWWYPCJHO-UHFFFAOYSA-N 0 1 310.397 3.062 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)c3ccsc3)cccc2C1 ZINC001051278742 657380068 /nfs/dbraw/zinc/38/00/68/657380068.db2.gz CCSKHGCCGBAIEB-UHFFFAOYSA-N 0 1 324.449 3.060 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3CCC=CCC3)cccc2C1 ZINC001051306984 657385936 /nfs/dbraw/zinc/38/59/36/657385936.db2.gz ZXLPKDRDVPSHCG-UHFFFAOYSA-N 0 1 322.452 3.041 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968354490 657392042 /nfs/dbraw/zinc/39/20/42/657392042.db2.gz UHMXDKDIIFIRLZ-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)CC2CCCCCC2)C1 ZINC000968354532 657392118 /nfs/dbraw/zinc/39/21/18/657392118.db2.gz UTPBPVZCDLDNPN-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968354763 657392281 /nfs/dbraw/zinc/39/22/81/657392281.db2.gz WCZJFMSZQCCIJM-MLGOLLRUSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968404084 657406037 /nfs/dbraw/zinc/40/60/37/657406037.db2.gz BVIBWYJOGAKHJC-HNAYVOBHSA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC000968417571 657408787 /nfs/dbraw/zinc/40/87/87/657408787.db2.gz GGOYJLURZKAFSJ-CHWSQXEVSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCCN1CCN(C(=O)C(C)(C)c2ccccc2Cl)CC1 ZINC000957387324 657410922 /nfs/dbraw/zinc/41/09/22/657410922.db2.gz QWYADRRTIKTWEF-UHFFFAOYSA-N 0 1 320.864 3.338 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968471892 657414787 /nfs/dbraw/zinc/41/47/87/657414787.db2.gz MRHYUQIEASDQAX-XSLAGTTESA-N 0 1 306.494 3.461 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968505009 657418290 /nfs/dbraw/zinc/41/82/90/657418290.db2.gz LYRKNODLVZOGEA-LPHOPBHVSA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529483 657421971 /nfs/dbraw/zinc/42/19/71/657421971.db2.gz RIUIXFXYUDHYRJ-KBPBESRZSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968529483 657421973 /nfs/dbraw/zinc/42/19/73/657421973.db2.gz RIUIXFXYUDHYRJ-KBPBESRZSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC000968544893 657423328 /nfs/dbraw/zinc/42/33/28/657423328.db2.gz WEOIPEGPIDJHSN-UZLBHIALSA-N 0 1 324.468 3.418 20 30 DGEDMN C[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC000249726377 657424980 /nfs/dbraw/zinc/42/49/80/657424980.db2.gz QGGLFFMCSGMVQS-JEZHCXPESA-N 0 1 311.168 3.297 20 30 DGEDMN C[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000249744880 657426854 /nfs/dbraw/zinc/42/68/54/657426854.db2.gz ZFLHVNVIOXVSFA-ZHAHWJHGSA-N 0 1 324.352 3.305 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2Cl)C1 ZINC000968575091 657431229 /nfs/dbraw/zinc/43/12/29/657431229.db2.gz RYTGUGSPDQCGQG-CZUORRHYSA-N 0 1 306.837 3.356 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968589317 657434643 /nfs/dbraw/zinc/43/46/43/657434643.db2.gz UHVQCRORPXVSMR-ZYHUDNBSSA-N 0 1 312.866 3.336 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597225 657435796 /nfs/dbraw/zinc/43/57/96/657435796.db2.gz UKRTWBJHDQFWGE-AEFFLSMTSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc3ccccc3o2)C1 ZINC000968618543 657443877 /nfs/dbraw/zinc/44/38/77/657443877.db2.gz HGEYGAKGEFFHFT-GDBMZVCRSA-N 0 1 312.413 3.449 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC000968620302 657445523 /nfs/dbraw/zinc/44/55/23/657445523.db2.gz SNVSCAIRTTUZQX-ZFWWWQNUSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC000968630701 657449439 /nfs/dbraw/zinc/44/94/39/657449439.db2.gz AVIDRXRIBDOAPK-KBXCAEBGSA-N 0 1 317.477 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC000968656460 657451363 /nfs/dbraw/zinc/45/13/63/657451363.db2.gz UEAMCHRDOMWVKK-MGPQQGTHSA-N 0 1 324.877 3.103 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000968664457 657452470 /nfs/dbraw/zinc/45/24/70/657452470.db2.gz GNBYYGRCCWZFFC-LRDDRELGSA-N 0 1 304.409 3.069 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(F)c3occc32)C1 ZINC000968678091 657455101 /nfs/dbraw/zinc/45/51/01/657455101.db2.gz OISANQFPZUVFFP-BLLLJJGKSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2sccc2CC)C1 ZINC000968682812 657456119 /nfs/dbraw/zinc/45/61/19/657456119.db2.gz VEWOUKAHFJTCRE-DZGCQCFKSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccccc2C)CC1 ZINC000957746581 657457068 /nfs/dbraw/zinc/45/70/68/657457068.db2.gz CVNCTMIOLIMMRF-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC000968685369 657457221 /nfs/dbraw/zinc/45/72/21/657457221.db2.gz RPKCWXWCMXAOED-GJZGRUSLSA-N 0 1 318.486 3.335 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684966 657457294 /nfs/dbraw/zinc/45/72/94/657457294.db2.gz LADLKODWBGXDJR-RDJZCZTQSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC000957754531 657459410 /nfs/dbraw/zinc/45/94/10/657459410.db2.gz NGURXWIRGHYSSA-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnc(CC)s2)C1 ZINC000968691934 657461256 /nfs/dbraw/zinc/46/12/56/657461256.db2.gz KGMWMHKTHMCJAF-UONOGXRCSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000968705178 657464028 /nfs/dbraw/zinc/46/40/28/657464028.db2.gz LCLURLBEANRCQA-BBWFWOEESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)Cc2cccc(F)c2)CC1 ZINC000957872604 657469794 /nfs/dbraw/zinc/46/97/94/657469794.db2.gz LAVRPNLMZNLLGX-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(C(C)(F)F)cc2)CC1 ZINC000957978134 657476444 /nfs/dbraw/zinc/47/64/44/657476444.db2.gz VVFMFWHTNWJATF-UHFFFAOYSA-N 0 1 308.372 3.132 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@H]1CNC(=O)c1sc(C)cc1C ZINC000968876924 657508184 /nfs/dbraw/zinc/50/81/84/657508184.db2.gz KGOCHXIOQMSJNZ-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1CCCCCCC1 ZINC000968944224 657520557 /nfs/dbraw/zinc/52/05/57/657520557.db2.gz HXIBXOHUHAVTGX-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1ccoc1C1CC1 ZINC000969005205 657531545 /nfs/dbraw/zinc/53/15/45/657531545.db2.gz NOFKGWGKNRDRSF-OAHLLOKOSA-N 0 1 302.418 3.317 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2[nH]ccc2c1 ZINC000969008330 657531995 /nfs/dbraw/zinc/53/19/95/657531995.db2.gz QCXZCUUWRLZOCZ-GOSISDBHSA-N 0 1 323.440 3.166 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1 ZINC000969010878 657532026 /nfs/dbraw/zinc/53/20/26/657532026.db2.gz GJMWUJIXBWFCQJ-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(Cl)c1F ZINC000969008462 657532414 /nfs/dbraw/zinc/53/24/14/657532414.db2.gz RYTNZECHFHHVMB-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1oc(C2CC2)nc1C ZINC000969062340 657547304 /nfs/dbraw/zinc/54/73/04/657547304.db2.gz MFBODLKBQDOIQZ-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1sc(CC)nc1C ZINC000969065513 657548492 /nfs/dbraw/zinc/54/84/92/657548492.db2.gz TWIGNKXANWKYQJ-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1sc(CC)nc1C ZINC000969065513 657548496 /nfs/dbraw/zinc/54/84/96/657548496.db2.gz TWIGNKXANWKYQJ-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC000969070090 657549725 /nfs/dbraw/zinc/54/97/25/657549725.db2.gz HPSVFSUOXJWHDL-HSALFYBXSA-N 0 1 324.468 3.093 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001007321183 657594610 /nfs/dbraw/zinc/59/46/10/657594610.db2.gz OLFCJTWFWICZAO-BZUAXINKSA-N 0 1 318.486 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc3scnc32)C1 ZINC001007322894 657595159 /nfs/dbraw/zinc/59/51/59/657595159.db2.gz WPUKTIZHDKIZBL-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nsc3ccccc32)C1 ZINC001007400221 657605098 /nfs/dbraw/zinc/60/50/98/657605098.db2.gz JTAGLYVWIVTNMR-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001007457383 657610592 /nfs/dbraw/zinc/61/05/92/657610592.db2.gz MHLORHAGABCVJK-LJQANCHMSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001007520256 657615910 /nfs/dbraw/zinc/61/59/10/657615910.db2.gz CMTAQAPLQHEWHJ-MGPQQGTHSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC001007866215 657642196 /nfs/dbraw/zinc/64/21/96/657642196.db2.gz CLVVJODBSDYGKI-QGZVFWFLSA-N 0 1 320.436 3.319 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001007868920 657642830 /nfs/dbraw/zinc/64/28/30/657642830.db2.gz YCRWJKILTBHUAY-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001007908037 657644705 /nfs/dbraw/zinc/64/47/05/657644705.db2.gz OLAAPFHDJXMFAR-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001008397729 657692078 /nfs/dbraw/zinc/69/20/78/657692078.db2.gz FIYLAAHZNWVKJH-BBRMVZONSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001008794513 657729604 /nfs/dbraw/zinc/72/96/04/657729604.db2.gz MUAPERABBUSBHU-KOHRHEQBSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001008841310 657733551 /nfs/dbraw/zinc/73/35/51/657733551.db2.gz SNPCZLDJLCAXDA-NZSAHSFTSA-N 0 1 312.457 3.255 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3cccnc3c2)C1 ZINC001008914037 657740097 /nfs/dbraw/zinc/74/00/97/657740097.db2.gz PGRXDYTZGMLPHZ-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001009104750 657748653 /nfs/dbraw/zinc/74/86/53/657748653.db2.gz PAMYNHLUVYIBOZ-WBVHZDCISA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(F)ccc2C)CC1 ZINC000985561411 657757244 /nfs/dbraw/zinc/75/72/44/657757244.db2.gz NASVQNZQJZKCKB-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001009219639 657758063 /nfs/dbraw/zinc/75/80/63/657758063.db2.gz LVKNBEJSLJKSAH-IBGZPJMESA-N 0 1 310.441 3.416 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001009289764 657764365 /nfs/dbraw/zinc/76/43/65/657764365.db2.gz DWXHTWBSAVBXQP-ROUUACIJSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001009299381 657766956 /nfs/dbraw/zinc/76/69/56/657766956.db2.gz CTLCWVMNMZCOEO-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001009357042 657768450 /nfs/dbraw/zinc/76/84/50/657768450.db2.gz CADHWKCIMCMHFK-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccn(CC)c2C)CC1 ZINC000985590986 657777898 /nfs/dbraw/zinc/77/78/98/657777898.db2.gz LATVOQMLLMDHEC-UHFFFAOYSA-N 0 1 323.868 3.105 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3ncsc3c2)C1 ZINC001009406028 657778616 /nfs/dbraw/zinc/77/86/16/657778616.db2.gz AUXOTNBZOWRHNN-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC000985597552 657779170 /nfs/dbraw/zinc/77/91/70/657779170.db2.gz MLMHWYHDEKQBSK-UHFFFAOYSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sccc2C2CC2)C1 ZINC001009417466 657781980 /nfs/dbraw/zinc/78/19/80/657781980.db2.gz JEJGDHJEGDJOCD-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001009425083 657782948 /nfs/dbraw/zinc/78/29/48/657782948.db2.gz NCEGQLXSPHLYAJ-IBGZPJMESA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC001009419225 657784149 /nfs/dbraw/zinc/78/41/49/657784149.db2.gz RPLARTLYYFDXAZ-KRWDZBQOSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC000985636657 657789698 /nfs/dbraw/zinc/78/96/98/657789698.db2.gz IMDWZAQHGNGLIH-AWEZNQCLSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@]2(C)CCC[C@H]2C)CC1 ZINC000985635277 657790398 /nfs/dbraw/zinc/79/03/98/657790398.db2.gz YRNODAQYGDZQGP-DYVFJYSZSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCOC(C)(C)C2)c1O ZINC000098051455 657793690 /nfs/dbraw/zinc/79/36/90/657793690.db2.gz BVONJVCPFJPMOM-UHFFFAOYSA-N 0 1 305.418 3.130 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001009584289 657797331 /nfs/dbraw/zinc/79/73/31/657797331.db2.gz CSLJSNLIZGKDEG-CZUORRHYSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001009590808 657798432 /nfs/dbraw/zinc/79/84/32/657798432.db2.gz WSRGTWOZBQVURU-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c3c2cccc3CC)C1 ZINC001009631383 657802939 /nfs/dbraw/zinc/80/29/39/657802939.db2.gz BSGYJNRVIOMBKU-OAHLLOKOSA-N 0 1 311.429 3.111 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001009746205 657814223 /nfs/dbraw/zinc/81/42/23/657814223.db2.gz UREWZBXIDZHOLZ-QGZVFWFLSA-N 0 1 314.473 3.429 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2c[nH]cc2C2CC2)CC1 ZINC000985736499 657827479 /nfs/dbraw/zinc/82/74/79/657827479.db2.gz SHRDAYDSWBARBW-UHFFFAOYSA-N 0 1 321.852 3.181 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(C)cc2)CC1 ZINC000985767007 657840781 /nfs/dbraw/zinc/84/07/81/657840781.db2.gz PGAPVJAQENSWHL-UHFFFAOYSA-N 0 1 306.837 3.284 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CC3CCC2CC3)CC1 ZINC000985800911 657859708 /nfs/dbraw/zinc/85/97/08/657859708.db2.gz UVQJMJMAWDADMP-DQPZFDDXSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC000960838404 657892495 /nfs/dbraw/zinc/89/24/95/657892495.db2.gz LLLDRCHERPHPFE-PKOBYXMFSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oc(C)cc1C ZINC001017456839 657909461 /nfs/dbraw/zinc/90/94/61/657909461.db2.gz QFMRSLBWQLENFR-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CCC3CC3)C2)C1 ZINC000961123336 657956065 /nfs/dbraw/zinc/95/60/65/657956065.db2.gz QZBVOLLIAWEGGN-IAGOWNOFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CCC3CC3)C2)C1 ZINC000961123339 657956471 /nfs/dbraw/zinc/95/64/71/657956471.db2.gz QZBVOLLIAWEGGN-SJORKVTESA-N 0 1 324.896 3.490 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCc3ccccc3[C@H]2CO)cc1 ZINC000305723599 657977111 /nfs/dbraw/zinc/97/71/11/657977111.db2.gz FBDUVTQZBYIVRT-FOIQADDNSA-N 0 1 322.408 3.069 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3(CC)CC3)C2)C1 ZINC000961235180 657984840 /nfs/dbraw/zinc/98/48/40/657984840.db2.gz QOTZHVXKWSRTPV-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@@H]2C[C@H]2C)C1 ZINC001029922145 658007277 /nfs/dbraw/zinc/00/72/77/658007277.db2.gz WSZNSPVDFIYDIJ-BHIYHBOVSA-N 0 1 312.457 3.322 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)[C@H]2C[C@@H]2C)C1 ZINC001029922148 658007750 /nfs/dbraw/zinc/00/77/50/658007750.db2.gz WSZNSPVDFIYDIJ-UHOSZYNNSA-N 0 1 312.457 3.322 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc3cc(C)ccc23)C1 ZINC001014568203 658031191 /nfs/dbraw/zinc/03/11/91/658031191.db2.gz DEUVHDSOVXNNNH-ZDUSSCGKSA-N 0 1 300.427 3.200 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2c(C)cccc2C)[C@@H]1C ZINC000986317691 658037421 /nfs/dbraw/zinc/03/74/21/658037421.db2.gz PZQRXTRUTLBFNE-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001014721674 658043498 /nfs/dbraw/zinc/04/34/98/658043498.db2.gz JNERZWXAMUXLCB-AWEZNQCLSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccsc3[nH]2)[C@@H]1C ZINC000986628903 658084058 /nfs/dbraw/zinc/08/40/58/658084058.db2.gz OFPACTWKFRNJJI-ZYHUDNBSSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001015373006 658115642 /nfs/dbraw/zinc/11/56/42/658115642.db2.gz NJHJLKCNUYYFBV-CYBMUJFWSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001015470472 658122814 /nfs/dbraw/zinc/12/28/14/658122814.db2.gz GRLLOZAWPIXATE-CQSZACIVSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001015508732 658126143 /nfs/dbraw/zinc/12/61/43/658126143.db2.gz KKAOCLIXKOMVKB-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=Cc1ccc(C(=O)N2CC[C@@H](NCC(=C)Cl)[C@@H]2C)cc1 ZINC000987041352 658143161 /nfs/dbraw/zinc/14/31/61/658143161.db2.gz PSSWGEDUYGFHEN-XJKSGUPXSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(OCC)c2)[C@H]1C ZINC000987065069 658149085 /nfs/dbraw/zinc/14/90/85/658149085.db2.gz HQUVUPLPDXVLIN-BBRMVZONSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(OCC)c2)[C@H]1C ZINC000987065084 658149301 /nfs/dbraw/zinc/14/93/01/658149301.db2.gz HQUVUPLPDXVLIN-XJKSGUPXSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3ccc4c(c3)CCC4)C2)C1 ZINC001015669568 658159745 /nfs/dbraw/zinc/15/97/45/658159745.db2.gz DFXOPEUVAXOXAF-IBGZPJMESA-N 0 1 324.468 3.222 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN([C@H](C)c3ccc(F)cc3)C2)C1 ZINC001015672789 658164435 /nfs/dbraw/zinc/16/44/35/658164435.db2.gz WISRLFWMKHPYOD-RHSMWYFYSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001015762135 658180239 /nfs/dbraw/zinc/18/02/39/658180239.db2.gz BARBXIAISWQELF-AWEZNQCLSA-N 0 1 304.434 3.266 20 30 DGEDMN Oc1ccccc1C=Nn1c(=S)[nH]nc1-c1ccccc1F ZINC000254816511 658191580 /nfs/dbraw/zinc/19/15/80/658191580.db2.gz HPTVVZIBCMAXQP-UHFFFAOYSA-N 0 1 314.345 3.335 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccoc2CCC)[C@@H]1C ZINC000987347784 658192821 /nfs/dbraw/zinc/19/28/21/658192821.db2.gz BGKFOBAHHFIQAW-OCCSQVGLSA-N 0 1 310.825 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)cc(C)c2)[C@H]1C ZINC000987404083 658199937 /nfs/dbraw/zinc/19/99/37/658199937.db2.gz LQYYJBDMHNYZMZ-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3c(c2)CCC3)[C@@H]1C ZINC000987490713 658219465 /nfs/dbraw/zinc/21/94/65/658219465.db2.gz GYMTZKCAUGDZCQ-CXAGYDPISA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3c2CCC3)[C@H]1C ZINC000987595957 658239487 /nfs/dbraw/zinc/23/94/87/658239487.db2.gz SIJRWGDUNPZUKW-GUYCJALGSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001016129120 658240420 /nfs/dbraw/zinc/24/04/20/658240420.db2.gz RAOJVMALHBBSMF-LBPRGKRZSA-N 0 1 304.777 3.076 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001016148938 658243759 /nfs/dbraw/zinc/24/37/59/658243759.db2.gz IAFMLYMKFZARGJ-ZDUSSCGKSA-N 0 1 316.426 3.388 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001016160041 658245684 /nfs/dbraw/zinc/24/56/84/658245684.db2.gz PDQQVPAJSLZXHS-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001016198461 658252168 /nfs/dbraw/zinc/25/21/68/658252168.db2.gz RXZQWWPQDDALQA-CYBMUJFWSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001016187838 658252653 /nfs/dbraw/zinc/25/26/53/658252653.db2.gz OGSGHQAPHAHIKZ-MRXNPFEDSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001016201306 658253943 /nfs/dbraw/zinc/25/39/43/658253943.db2.gz DAPLVBWFWNDFBL-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC001016224630 658257422 /nfs/dbraw/zinc/25/74/22/658257422.db2.gz DEKKROIUGNNZIR-OAHLLOKOSA-N 0 1 318.486 3.090 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001016374750 658275241 /nfs/dbraw/zinc/27/52/41/658275241.db2.gz VUHXLWGYKCJOSX-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)Cc2ccsc2)CC1 ZINC001016487631 658292457 /nfs/dbraw/zinc/29/24/57/658292457.db2.gz ZSVAYMDFCRFRES-AWEZNQCLSA-N 0 1 324.877 3.014 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H](C)c2ccco2)CC1 ZINC001016818997 658337353 /nfs/dbraw/zinc/33/73/53/658337353.db2.gz IHLKXUMLCLAJBE-HIFRSBDPSA-N 0 1 322.836 3.106 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccc(C)cc2)CC1 ZINC001016946067 658356855 /nfs/dbraw/zinc/35/68/55/658356855.db2.gz GSBWJGNIXVJGAE-MRXNPFEDSA-N 0 1 318.848 3.332 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)C(F)=C2CCCC2)C1 ZINC000988602648 658365556 /nfs/dbraw/zinc/36/55/56/658365556.db2.gz KFSCJNSGVMLALG-YPMHNXCESA-N 0 1 300.805 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cn(CC)c2ccccc12 ZINC001038478577 658404785 /nfs/dbraw/zinc/40/47/85/658404785.db2.gz VOFSGAIRRHKISZ-OAHLLOKOSA-N 0 1 311.429 3.041 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sc(C)cc1C ZINC001017587165 658443373 /nfs/dbraw/zinc/44/33/73/658443373.db2.gz PRBRBWFIYJGHLF-GASCZTMLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cc(C)cn2c1 ZINC001017609285 658444601 /nfs/dbraw/zinc/44/46/01/658444601.db2.gz YEXLTFATEUGTTL-KDURUIRLSA-N 0 1 323.440 3.113 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(o1)CCCC2 ZINC001017611809 658444643 /nfs/dbraw/zinc/44/46/43/658444643.db2.gz ZWHWWCYPRIJAQW-IYBDPMFKSA-N 0 1 314.429 3.023 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1CC ZINC001017704608 658452726 /nfs/dbraw/zinc/45/27/26/658452726.db2.gz ZVHRZIBEIYPVCN-CALCHBBNSA-N 0 1 316.420 3.253 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2cccc(C)c2)C1 ZINC000989238841 658455389 /nfs/dbraw/zinc/45/53/89/658455389.db2.gz VGSVGOJSGRYPDP-DOTOQJQBSA-N 0 1 320.864 3.259 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966263043 658458822 /nfs/dbraw/zinc/45/88/22/658458822.db2.gz LKLZYXNCUCSKID-CXAGYDPISA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cccc(C)c1 ZINC001017776231 658460784 /nfs/dbraw/zinc/46/07/84/658460784.db2.gz BURCNCHSOUEMBB-KDURUIRLSA-N 0 1 312.457 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc3[nH]ccc3c2)C1 ZINC000989364513 658468599 /nfs/dbraw/zinc/46/85/99/658468599.db2.gz FKFQTRJTDIVNSJ-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2cc(C)ccc2C)C1 ZINC000989408342 658474308 /nfs/dbraw/zinc/47/43/08/658474308.db2.gz QATLGENVGORDFO-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966601713 658485035 /nfs/dbraw/zinc/48/50/35/658485035.db2.gz RXCDVIRZFFOQQH-QAPCUYQASA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1Cl ZINC001017989120 658485952 /nfs/dbraw/zinc/48/59/52/658485952.db2.gz AUVGORITWBAJGZ-OKILXGFUSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)cc1F ZINC001017998729 658488745 /nfs/dbraw/zinc/48/87/45/658488745.db2.gz XMRJQHHXGHUKOD-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](c1ccccc1)C(C)C ZINC001018000266 658488912 /nfs/dbraw/zinc/48/89/12/658488912.db2.gz JWEUQARZNAWWKE-HSALFYBXSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2ccc(CC)cc2)C1 ZINC000989491644 658500635 /nfs/dbraw/zinc/50/06/35/658500635.db2.gz IGFWUFASMWEUPA-YOEHRIQHSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC000966878661 658506152 /nfs/dbraw/zinc/50/61/52/658506152.db2.gz HCYMBWNCTZCBKG-SJCJKPOMSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccsc2Cl)C1 ZINC000989520035 658508067 /nfs/dbraw/zinc/50/80/67/658508067.db2.gz AYVVQQFLKKWJEQ-NXEZZACHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)Cc2c(F)cccc2Cl)CC1 ZINC000989545207 658511297 /nfs/dbraw/zinc/51/12/97/658511297.db2.gz PEGUAIRGPZMOND-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2csc3c2CC[C@@H](C)C3)CC1 ZINC000989604081 658524331 /nfs/dbraw/zinc/52/43/31/658524331.db2.gz QTVCQFCBRLNQIS-CQSZACIVSA-N 0 1 318.486 3.207 20 30 DGEDMN C=CCN1CCCN(C(=O)c2csc3c2CC[C@@H](C)C3)CC1 ZINC000989604081 658524337 /nfs/dbraw/zinc/52/43/37/658524337.db2.gz QTVCQFCBRLNQIS-CQSZACIVSA-N 0 1 318.486 3.207 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2cnccc21 ZINC001018268337 658526987 /nfs/dbraw/zinc/52/69/87/658526987.db2.gz TWFNFNWBRGRQIS-CALCHBBNSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2oc3ccccc3c2C)CC1 ZINC000989611007 658528976 /nfs/dbraw/zinc/52/89/76/658528976.db2.gz XDMYZKNMGUWOHI-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC000967007752 658529319 /nfs/dbraw/zinc/52/93/19/658529319.db2.gz OHDABBMBMUTTJH-ZIAGYGMSSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CC=C(C)CC1 ZINC001018298406 658532724 /nfs/dbraw/zinc/53/27/24/658532724.db2.gz IZLQNWUUPHNLEM-ZIFCJYIRSA-N 0 1 302.462 3.374 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001018327803 658537611 /nfs/dbraw/zinc/53/76/11/658537611.db2.gz XGTLEAKZIJAOFV-BANKROOTSA-N 0 1 316.489 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC000989685578 658541149 /nfs/dbraw/zinc/54/11/49/658541149.db2.gz DAMYCEUKTKGIEU-ZFWWWQNUSA-N 0 1 323.868 3.158 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2cc(C)ccc2F)C1 ZINC000989703453 658546282 /nfs/dbraw/zinc/54/62/82/658546282.db2.gz MKOQCBVGCDLHRT-HIFRSBDPSA-N 0 1 324.827 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)ccc2C)C1 ZINC000989722740 658556204 /nfs/dbraw/zinc/55/62/04/658556204.db2.gz LNAQRUZQPBAYBY-HUUCEWRRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(CC)s2)C1 ZINC000967273192 658557656 /nfs/dbraw/zinc/55/76/56/658557656.db2.gz JNIPULKGCFZYBF-DZGCQCFKSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC000967273185 658558339 /nfs/dbraw/zinc/55/83/39/658558339.db2.gz JJZQGEPKZBNTFL-LPHOPBHVSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC000967283772 658563161 /nfs/dbraw/zinc/56/31/61/658563161.db2.gz IVBHZYPGRUBXAF-SJLPKXTDSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc(C)c2C)C1 ZINC000989741459 658563338 /nfs/dbraw/zinc/56/33/38/658563338.db2.gz DEOVVFXHOKXUFR-DZGCQCFKSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](CC)c2ccc(F)cc2)CC1 ZINC000989756982 658563617 /nfs/dbraw/zinc/56/36/17/658563617.db2.gz FFTKXDDNZJRYAD-SFHVURJKSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(c3cccc(C)c3)CC2)CC1 ZINC000989743191 658564089 /nfs/dbraw/zinc/56/40/89/658564089.db2.gz FPDSRAAIMDTKOU-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC000967291162 658564596 /nfs/dbraw/zinc/56/45/96/658564596.db2.gz JYEFEQPVWGLOGV-BBRMVZONSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)c(C)s2)C1 ZINC000989765478 658566786 /nfs/dbraw/zinc/56/67/86/658566786.db2.gz IFYOVNDZFSZUQL-AAEUAGOBSA-N 0 1 312.866 3.310 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C2CCCCCCC2)C1 ZINC000967314754 658567448 /nfs/dbraw/zinc/56/74/48/658567448.db2.gz PPVBREFTSFMVRE-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)Cc2ccc(C)cc2)CC1 ZINC000989752691 658570797 /nfs/dbraw/zinc/57/07/97/658570797.db2.gz RQGDVZGIKZBXFX-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424117 658579587 /nfs/dbraw/zinc/57/95/87/658579587.db2.gz PAVJPAUMJSFDER-OXQOHEQNSA-N 0 1 324.468 3.336 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2ccccc2C)CC1 ZINC000989797983 658580196 /nfs/dbraw/zinc/58/01/96/658580196.db2.gz LEWJRJUWKXANFI-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001018856737 658594943 /nfs/dbraw/zinc/59/49/43/658594943.db2.gz LCLURLBEANRCQA-ULQDDVLXSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cscc2C(F)F)C1 ZINC001018856336 658595094 /nfs/dbraw/zinc/59/50/94/658595094.db2.gz ZPTSGQMJSGNGCT-VIFPVBQESA-N 0 1 320.792 3.242 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)C=C2CCCCC2)C1 ZINC000967525862 658598939 /nfs/dbraw/zinc/59/89/39/658598939.db2.gz JEBWBLCSIPKOJZ-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cccc(C(C)C)c2)C1 ZINC001019090459 658608129 /nfs/dbraw/zinc/60/81/29/658608129.db2.gz NRIFUUJMGZUWLH-KRWDZBQOSA-N 0 1 320.864 3.296 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2ccc(F)cc2Cl)C1 ZINC001019237944 658618412 /nfs/dbraw/zinc/61/84/12/658618412.db2.gz FGTVHRBHIIJBED-AWEZNQCLSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001019237999 658618884 /nfs/dbraw/zinc/61/88/84/658618884.db2.gz IJQWGSPTANAOAJ-STQMWFEESA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001019373791 658640337 /nfs/dbraw/zinc/64/03/37/658640337.db2.gz WTQFBXVRSSAMJX-HNNXBMFYSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)cc2Cl)C1 ZINC001019730550 658669783 /nfs/dbraw/zinc/66/97/83/658669783.db2.gz VCXIUQDLLHXRON-NSHDSACASA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001019837391 658678116 /nfs/dbraw/zinc/67/81/16/658678116.db2.gz QHZQWZYAWKCHPQ-YOEHRIQHSA-N 0 1 320.864 3.117 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC000968213684 658684372 /nfs/dbraw/zinc/68/43/72/658684372.db2.gz QCGSZBQXVYPYGW-SWLSCSKDSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC000968345934 658704266 /nfs/dbraw/zinc/70/42/66/658704266.db2.gz PVLOAJZMDZRWRX-DOMZBBRYSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC000968345727 658704285 /nfs/dbraw/zinc/70/42/85/658704285.db2.gz OSGWRNXXTLNWJW-XJKSGUPXSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1scnc1C(C)(C)C ZINC001038791811 658802091 /nfs/dbraw/zinc/80/20/91/658802091.db2.gz KVZFVFLTOUHXSQ-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3coc4c3cccc4C)CCC[C@H]12 ZINC000991045609 658802527 /nfs/dbraw/zinc/80/25/27/658802527.db2.gz TZNLPRHTMFRGRQ-FXAWDEMLSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC001038802925 658807995 /nfs/dbraw/zinc/80/79/95/658807995.db2.gz XPBOKXIHJLBAML-YESZJQIVSA-N 0 1 318.848 3.210 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cc(F)c(C)cc3F)CCC[C@@H]12 ZINC000991139383 658827956 /nfs/dbraw/zinc/82/79/56/658827956.db2.gz ACLVCEKCPIWMRN-SJLPKXTDSA-N 0 1 320.383 3.186 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)O[C@@H](CC)C2)c(O)c(OC)c1 ZINC000164318645 658928847 /nfs/dbraw/zinc/92/88/47/658928847.db2.gz QJJHTWRQVDDBLV-BBRMVZONSA-N 0 1 305.418 3.129 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2nccn2CC(F)(F)F)c(O)c1 ZINC000156710023 658935593 /nfs/dbraw/zinc/93/55/93/658935593.db2.gz UUOCELZOCURLJC-SNAWJCMRSA-N 0 1 310.275 3.356 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001038927353 658958067 /nfs/dbraw/zinc/95/80/67/658958067.db2.gz VMPHKDWTVLSSQI-INIZCTEOSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1sccc1C(F)F ZINC001038979543 658970216 /nfs/dbraw/zinc/97/02/16/658970216.db2.gz PGIILIRUSVIAOM-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001039106038 659002232 /nfs/dbraw/zinc/00/22/32/659002232.db2.gz HFJPVXCROBEXDZ-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)c2cc[nH]c2)C1 ZINC001039201429 659036692 /nfs/dbraw/zinc/03/66/92/659036692.db2.gz CHBPPGNZTLNLFL-HZPDHXFCSA-N 0 1 321.852 3.084 20 30 DGEDMN CCn1nc(C)c(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])c1C ZINC000157278802 659043352 /nfs/dbraw/zinc/04/33/52/659043352.db2.gz MDFCADLFULJOMJ-VMPITWQZSA-N 0 1 315.329 3.030 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3ccc(CC)s3)CCC[C@@H]12 ZINC000991678232 659126110 /nfs/dbraw/zinc/12/61/10/659126110.db2.gz INPCXQJGJXOTAN-NVXWUHKLSA-N 0 1 304.459 3.223 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(CC)s2)C[C@@H]1C ZINC000948007370 659269893 /nfs/dbraw/zinc/26/98/93/659269893.db2.gz QRHNFZXLSVRQJA-KBPBESRZSA-N 0 1 306.475 3.388 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2ccc(CCCC)cc2)C1 ZINC001030621850 659282126 /nfs/dbraw/zinc/28/21/26/659282126.db2.gz VMEIPWUWHIDAIY-UHFFFAOYSA-N 0 1 314.473 3.338 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H]1CNCc1ccc(C#N)o1 ZINC000230648626 659344161 /nfs/dbraw/zinc/34/41/61/659344161.db2.gz XHLAFUYGUQVDKU-CYBMUJFWSA-N 0 1 319.405 3.030 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccnc(C)c2)CC1 ZINC000948868344 659348643 /nfs/dbraw/zinc/34/86/43/659348643.db2.gz HLXDTBMBAJMXBO-INIZCTEOSA-N 0 1 321.852 3.069 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1ccc(O)c(Cl)c1 ZINC000173789515 659400509 /nfs/dbraw/zinc/40/05/09/659400509.db2.gz MMKMAKWJDVLQBE-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCC[C@@H](C)C2)c1 ZINC000173959368 659406673 /nfs/dbraw/zinc/40/66/73/659406673.db2.gz NJGMBCZUXVYWSJ-DVOMOZLQSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2CCC2)cc1Cl ZINC000173980402 659407270 /nfs/dbraw/zinc/40/72/70/659407270.db2.gz MAPKAMUAVMFTSW-LBPRGKRZSA-N 0 1 320.776 3.186 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2C[C@H]2Cc2ccccc2)C1 ZINC001030720782 659449134 /nfs/dbraw/zinc/44/91/34/659449134.db2.gz WXBMAGXNNMWBAX-MSOLQXFVSA-N 0 1 312.457 3.022 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001030754436 659460049 /nfs/dbraw/zinc/46/00/49/659460049.db2.gz XTVUWYLWFQOZHX-UHFFFAOYSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3coc(C(F)F)c3)CCC[C@H]12 ZINC000992137680 659471146 /nfs/dbraw/zinc/47/11/46/659471146.db2.gz OUNHWSKPCPDCFF-BBRMVZONSA-N 0 1 310.344 3.130 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccsc3Cl)CCC[C@@H]12 ZINC000992305834 659518725 /nfs/dbraw/zinc/51/87/25/659518725.db2.gz UGGUGYHXUGAAFE-CZUORRHYSA-N 0 1 322.861 3.152 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@@H]12 ZINC000992505274 659566690 /nfs/dbraw/zinc/56/66/90/659566690.db2.gz BLPRFASOQZZRPF-AEBIURJHSA-N 0 1 316.489 3.195 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2CCCC2)cc1Cl ZINC000130276011 659648706 /nfs/dbraw/zinc/64/87/06/659648706.db2.gz RRBXAEDVSBOTPJ-LBPRGKRZSA-N 0 1 320.776 3.186 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)C2=CCCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993225250 659702859 /nfs/dbraw/zinc/70/28/59/659702859.db2.gz DUDGQKDCSPHWAC-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C(C)(C)C)n2)[C@H]1C ZINC000993321986 659714220 /nfs/dbraw/zinc/71/42/20/659714220.db2.gz BQUIPAQAVWAJNR-ZIAGYGMSSA-N 0 1 319.449 3.131 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2Cl)[C@H]1C ZINC000993362763 659721099 /nfs/dbraw/zinc/72/10/99/659721099.db2.gz OKWAGNPRNNGMMB-DGCLKSJQSA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc3c2CCCC3)[C@H]1C ZINC000993370430 659723261 /nfs/dbraw/zinc/72/32/61/659723261.db2.gz VVHXQQFYEVDZRB-UZLBHIALSA-N 0 1 324.468 3.171 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3occc3c2)[C@H]1C ZINC000993387338 659726211 /nfs/dbraw/zinc/72/62/11/659726211.db2.gz WRILUUZJNBYOGH-RHSMWYFYSA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccsc2C(F)F)C1 ZINC001046802770 659734217 /nfs/dbraw/zinc/73/42/17/659734217.db2.gz MHDGDSIBWIIYBW-CQSZACIVSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)c(C)cc2F)[C@@H]1C ZINC000993478982 659746290 /nfs/dbraw/zinc/74/62/90/659746290.db2.gz QHZUGCUDBUMPTO-GUYCJALGSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1C ZINC000993462377 659748938 /nfs/dbraw/zinc/74/89/38/659748938.db2.gz FDFRJONZIAPAQG-NEPJUHHUSA-N 0 1 312.360 3.294 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c3ccccc3n2)[C@H]1C ZINC000993492030 659754133 /nfs/dbraw/zinc/75/41/33/659754133.db2.gz WZDZRTBNYUHZRL-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C(C)(C)C)on2)[C@H]1C ZINC000993582650 659760064 /nfs/dbraw/zinc/76/00/64/659760064.db2.gz AEQWFDLSTDLKLE-KGLIPLIRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@@H]1C ZINC000993554297 659761298 /nfs/dbraw/zinc/76/12/98/659761298.db2.gz CIFVXTBXQLWNPJ-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@H]1C ZINC000993560696 659763312 /nfs/dbraw/zinc/76/33/12/659763312.db2.gz ICQYWORCNTUCEE-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(CCCC)cc2)[C@@H]1C ZINC000993591759 659768256 /nfs/dbraw/zinc/76/82/56/659768256.db2.gz VZYAPHUZWSIULS-QFBILLFUSA-N 0 1 312.457 3.245 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(CCCC)cc2)[C@H]1C ZINC000993591756 659768960 /nfs/dbraw/zinc/76/89/60/659768960.db2.gz VZYAPHUZWSIULS-APWZRJJASA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)[C@@H]1C ZINC000993658422 659777514 /nfs/dbraw/zinc/77/75/14/659777514.db2.gz GZECCBQDROFLJE-SWLSCSKDSA-N 0 1 315.392 3.076 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cnc(C(C)C)s2)C1 ZINC001046882784 659797215 /nfs/dbraw/zinc/79/72/15/659797215.db2.gz IRCHUJWEOIBKCR-MRXNPFEDSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(Cl)cs2)[C@H]1C ZINC000993918047 659802549 /nfs/dbraw/zinc/80/25/49/659802549.db2.gz OHVCFIOZOOHQEK-DGCLKSJQSA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@@H]1C ZINC000993959872 659803489 /nfs/dbraw/zinc/80/34/89/659803489.db2.gz HEVRDCVVAXKODP-JXFKEZNVSA-N 0 1 322.452 3.252 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(C(C)C)n2)[C@@H]1C ZINC000994025280 659809526 /nfs/dbraw/zinc/80/95/26/659809526.db2.gz RKCVYWKGLCPVCX-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@H]1C ZINC000994069015 659811470 /nfs/dbraw/zinc/81/14/70/659811470.db2.gz IRSNCYGRXBMXCP-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3sccc3c2)[C@@H]1C ZINC000994118174 659812995 /nfs/dbraw/zinc/81/29/95/659812995.db2.gz AQQJEUCZQYISTC-BBRMVZONSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3F)CC2)[C@@H]1C ZINC000994135184 659813543 /nfs/dbraw/zinc/81/35/43/659813543.db2.gz QMANHIJJCBEESU-WMLDXEAASA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc(C3CCCC3)n2)[C@@H]1C ZINC000994132537 659813609 /nfs/dbraw/zinc/81/36/09/659813609.db2.gz HBMKXNVPCSNFPX-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cnccc3c2)[C@@H]1C ZINC000994171097 659816588 /nfs/dbraw/zinc/81/65/88/659816588.db2.gz VYZNTHDXKFUZQG-KSSFIOAISA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3ccsc32)[C@@H]1C ZINC000994172965 659817410 /nfs/dbraw/zinc/81/74/10/659817410.db2.gz QCSWXMFVAPBRPH-BBRMVZONSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C3CCC3)n2)[C@@H]1C ZINC000994189044 659818342 /nfs/dbraw/zinc/81/83/42/659818342.db2.gz MFTGMDJDUCEFAI-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(C3CCC3)c2)[C@H]1C ZINC000994206021 659819328 /nfs/dbraw/zinc/81/93/28/659819328.db2.gz HKKWAJCJHKKNRO-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2OC(C)C)[C@H]1C ZINC000994271009 659830156 /nfs/dbraw/zinc/83/01/56/659830156.db2.gz IESRPWVPFQEOIC-NVXWUHKLSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)[C@@H]1C ZINC000994285880 659832209 /nfs/dbraw/zinc/83/22/09/659832209.db2.gz ZDRVIRFKMNVYKQ-XSLAGTTESA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C(F)F)o2)[C@@H]1C ZINC000994344643 659840940 /nfs/dbraw/zinc/84/09/40/659840940.db2.gz GZVPHZSFRICNHA-NWDGAFQWSA-N 0 1 312.360 3.376 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C(F)F)o2)[C@H]1C ZINC000994344646 659841165 /nfs/dbraw/zinc/84/11/65/659841165.db2.gz GZVPHZSFRICNHA-VXGBXAGGSA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccsc2C(F)F)[C@@H]1C ZINC000994355239 659843877 /nfs/dbraw/zinc/84/38/77/659843877.db2.gz WSQKEVGLDYGYDO-JQWIXIFHSA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc3c2CCCC3)[C@H]1C ZINC000994382154 659848691 /nfs/dbraw/zinc/84/86/91/659848691.db2.gz UUMDCEJAIYDWGK-CJNGLKHVSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@@H]1C ZINC000994380458 659848814 /nfs/dbraw/zinc/84/88/14/659848814.db2.gz RXKZYBQTIFDDIR-DZGCQCFKSA-N 0 1 318.486 3.396 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C3CC3)cc2)[C@H]1C ZINC000994461150 659857187 /nfs/dbraw/zinc/85/71/87/659857187.db2.gz JCMMEGQRTGBGBI-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@@H]1C ZINC000994484977 659859597 /nfs/dbraw/zinc/85/95/97/659859597.db2.gz AVSSTFXZBBKEJY-GKVPXEHWSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H]1C ZINC000994488579 659860606 /nfs/dbraw/zinc/86/06/06/659860606.db2.gz REBKCQVULRAWHJ-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3o2)[C@@H]1C ZINC000994488579 659860607 /nfs/dbraw/zinc/86/06/07/659860607.db2.gz REBKCQVULRAWHJ-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(Cl)cccc2OC)[C@H]1C ZINC000994504437 659863961 /nfs/dbraw/zinc/86/39/61/659863961.db2.gz WXDNERJBHLPBMA-TZMCWYRMSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cncc3ccccc32)[C@H]1C ZINC000994503726 659864219 /nfs/dbraw/zinc/86/42/19/659864219.db2.gz WLUNAEGUZGPXBA-KDOFPFPSSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@@H]1C ZINC000994519012 659868685 /nfs/dbraw/zinc/86/86/85/659868685.db2.gz NUSKLYXJYFNPSK-RDJZCZTQSA-N 0 1 323.440 3.450 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(C)C(C)(C)C2(C)C)[C@@H]1C ZINC000994530554 659873152 /nfs/dbraw/zinc/87/31/52/659873152.db2.gz JKYNYESAWQXZLH-LSDHHAIUSA-N 0 1 304.478 3.051 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@@H]1C ZINC000994570873 659888331 /nfs/dbraw/zinc/88/83/31/659888331.db2.gz ZIIXHIAROLGSOE-OXJNMPFZSA-N 0 1 322.452 3.470 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@H]1C ZINC000994570881 659888488 /nfs/dbraw/zinc/88/84/88/659888488.db2.gz ZIIXHIAROLGSOE-UZLBHIALSA-N 0 1 322.452 3.470 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN(CCCCC2(C#N)CCC2)CC1 ZINC000930114820 659910075 /nfs/dbraw/zinc/91/00/75/659910075.db2.gz KEXLSJQSWRYNPF-KRWDZBQOSA-N 0 1 302.466 3.016 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2coc3ccc(CC)cc23)C1 ZINC001031672260 659912062 /nfs/dbraw/zinc/91/20/62/659912062.db2.gz BRSDVTUAURTJMC-UHFFFAOYSA-N 0 1 312.413 3.233 20 30 DGEDMN CC(=O)N(C)[C@H]1CCCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000948108824 659930252 /nfs/dbraw/zinc/93/02/52/659930252.db2.gz NIJAZFARSYEWPN-SFHVURJKSA-N 0 1 318.848 3.024 20 30 DGEDMN Cc1ccc(OCCC(=O)Nc2cc(C#N)ccc2O)c(C)c1 ZINC000179739878 659940451 /nfs/dbraw/zinc/94/04/51/659940451.db2.gz FMKNUBLCNCSNAE-UHFFFAOYSA-N 0 1 310.353 3.288 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cccc(C)c3s2)C1 ZINC001031778971 659983769 /nfs/dbraw/zinc/98/37/69/659983769.db2.gz HBRHNELSPVLSMP-UHFFFAOYSA-N 0 1 314.454 3.447 20 30 DGEDMN C=Cc1ccc(C(=O)N2C[C@@H](C)[C@H](NCC(=C)Cl)C2)cc1 ZINC000939177678 659989419 /nfs/dbraw/zinc/98/94/19/659989419.db2.gz IDCDVFWBXPNARD-MLGOLLRUSA-N 0 1 304.821 3.132 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2Cl)C[C@@H]1C ZINC000939594023 660006109 /nfs/dbraw/zinc/00/61/09/660006109.db2.gz YWLIUWMGHZEWOF-HZMBPMFUSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C(F)F)o2)C[C@H]1C ZINC000939636447 660007946 /nfs/dbraw/zinc/00/79/46/660007946.db2.gz CKGPGQTWBGTKFB-PSASIEDQSA-N 0 1 318.751 3.020 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C23CC(C(C)(C)C)(C2)C3)C[C@H]1C ZINC000939835298 660016115 /nfs/dbraw/zinc/01/61/15/660016115.db2.gz DLENXPVTLWZHCD-NYYZSHSESA-N 0 1 324.896 3.392 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000940800655 660064505 /nfs/dbraw/zinc/06/45/05/660064505.db2.gz CURRZLKJYBENQO-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2sc(C)cc2C)C(C)(C)C1 ZINC000940780484 660064735 /nfs/dbraw/zinc/06/47/35/660064735.db2.gz SFLYYYXJZWEOMR-OAHLLOKOSA-N 0 1 318.486 3.219 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C(C)(C)C2CCCC2)C(C)(C)C1 ZINC000940805585 660065099 /nfs/dbraw/zinc/06/50/99/660065099.db2.gz IFIHXZFIRDKWER-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(C)CCCCCC2)C(C)(C)C1 ZINC000940806817 660065105 /nfs/dbraw/zinc/06/51/05/660065105.db2.gz BQFBSEFSXPODPL-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2CCCCCCC2)C(C)(C)C1 ZINC000940879373 660070287 /nfs/dbraw/zinc/07/02/87/660070287.db2.gz UFKBVVPLPWDCNV-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C(C)(C)C1 ZINC000940896671 660071545 /nfs/dbraw/zinc/07/15/45/660071545.db2.gz XLYHWRXDQMRNEO-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC2CCCCCC2)C(C)(C)C1 ZINC000941058808 660083046 /nfs/dbraw/zinc/08/30/46/660083046.db2.gz PCXRDEFUCVPOKC-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)[C@H](F)c2ccccc2)C(C)(C)C1 ZINC000941063546 660083741 /nfs/dbraw/zinc/08/37/41/660083741.db2.gz HAEBDVSBRQRZPC-HZPDHXFCSA-N 0 1 304.409 3.100 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3ccccc3c2)C(C)(C)C1 ZINC000941177171 660091817 /nfs/dbraw/zinc/09/18/17/660091817.db2.gz HPKIISZXGQGOSF-IBGZPJMESA-N 0 1 320.436 3.303 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@]2(C)CC=C(C)CC2)C(C)(C)C1 ZINC000941232860 660096158 /nfs/dbraw/zinc/09/61/58/660096158.db2.gz PTHLCNIETRPADK-FXAWDEMLSA-N 0 1 316.489 3.363 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccccc2O[C@@H](C)C#N)cc1 ZINC000183133404 660102646 /nfs/dbraw/zinc/10/26/46/660102646.db2.gz HIRKBAFQSOMPDT-ZDUSSCGKSA-N 0 1 316.382 3.087 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2ccccc2O[C@@H](C)C#N)c1 ZINC000183136567 660102897 /nfs/dbraw/zinc/10/28/97/660102897.db2.gz JWKIJYMWFUTMJJ-ZDUSSCGKSA-N 0 1 316.382 3.087 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3ccc(CC)cc23)[C@H](C)C1 ZINC000942062383 660133774 /nfs/dbraw/zinc/13/37/74/660133774.db2.gz YOEMSCPAONUCFO-RDTXWAMCSA-N 0 1 324.424 3.069 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)[C@@H](C)C1 ZINC000942444366 660152053 /nfs/dbraw/zinc/15/20/53/660152053.db2.gz MJRZHZHLTFRBFT-LIRRHRJNSA-N 0 1 324.399 3.052 20 30 DGEDMN C#Cc1cccc(NC(=O)c2ccc(CN3CCCCC3)o2)c1 ZINC000929654212 661324877 /nfs/dbraw/zinc/32/48/77/661324877.db2.gz SCSFRIAPLNCHBJ-UHFFFAOYSA-N 0 1 308.381 3.499 20 30 DGEDMN CC(=O)NCCCCCN1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000929756523 661335423 /nfs/dbraw/zinc/33/54/23/661335423.db2.gz HAKIANRVAHEEMQ-RBUKOAKNSA-N 0 1 313.445 3.065 20 30 DGEDMN CN(Cc1cc(Cl)cc(C#N)c1)[C@H]1CCCc2c1cnn2C ZINC000930065050 661362698 /nfs/dbraw/zinc/36/26/98/661362698.db2.gz FLRXEOOQYFEZHZ-INIZCTEOSA-N 0 1 314.820 3.455 20 30 DGEDMN C[C@H](NCc1cncc(C#N)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000930199888 661377002 /nfs/dbraw/zinc/37/70/02/661377002.db2.gz AUXIOAWUMYHHHD-ZDUSSCGKSA-N 0 1 320.396 3.153 20 30 DGEDMN N#CC1(CCCCN2CCN(c3ccc(F)cn3)CC2)CCC1 ZINC000930234422 661380736 /nfs/dbraw/zinc/38/07/36/661380736.db2.gz OUCVKRILNWOTHE-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN CCCOc1ccccc1[C@@H](CC(C)C)NC[C@H](O)CC#N ZINC000930233920 661380760 /nfs/dbraw/zinc/38/07/60/661380760.db2.gz XTDRBFNFNPRILY-NVXWUHKLSA-N 0 1 304.434 3.427 20 30 DGEDMN CNC(=O)CC[C@@H]1CCCCN1Cc1cc(Cl)cc(C#N)c1 ZINC000930469379 661401749 /nfs/dbraw/zinc/40/17/49/661401749.db2.gz MBTQIAYYFIMPBF-INIZCTEOSA-N 0 1 319.836 3.092 20 30 DGEDMN N#CC1(CCCCN2CCc3c(cccc3C(N)=O)C2)CCC1 ZINC000931075363 661449926 /nfs/dbraw/zinc/44/99/26/661449926.db2.gz WGHZDIRMSSAKQR-UHFFFAOYSA-N 0 1 311.429 3.008 20 30 DGEDMN N#CCC[C@H](C#N)CN1CCC[C@@H](c2c[nH]c3ncccc23)C1 ZINC000931366456 661470854 /nfs/dbraw/zinc/47/08/54/661470854.db2.gz UCJNZTSPIJRGLL-HUUCEWRRSA-N 0 1 307.401 3.186 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000931470099 661480158 /nfs/dbraw/zinc/48/01/58/661480158.db2.gz BATXBBGXMTVNTB-JKSUJKDBSA-N 0 1 304.434 3.443 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CC[C@H](O)[C@@H]3CCCC[C@H]32)c1 ZINC000931503830 661483361 /nfs/dbraw/zinc/48/33/61/661483361.db2.gz CDIGPKVMWJBJSX-ZACQAIPSSA-N 0 1 304.821 3.337 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H](c3nc4c(s3)CCC4)C2)c1 ZINC000932189313 661545871 /nfs/dbraw/zinc/54/58/71/661545871.db2.gz SWBYYJIDWZJLLB-HNNXBMFYSA-N 0 1 324.453 3.278 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)Nc2ccc(CCC#N)cc2)C[C@@H]1C ZINC000932569770 661581158 /nfs/dbraw/zinc/58/11/58/661581158.db2.gz FTNCRAJUWRDTCI-LSDHHAIUSA-N 0 1 314.433 3.089 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CNC(=O)OC(C)(C)C)[C@H](C)C1 ZINC000932705378 661592998 /nfs/dbraw/zinc/59/29/98/661592998.db2.gz ZVKAKRYSTOMMAH-DGCLKSJQSA-N 0 1 302.846 3.222 20 30 DGEDMN N#CCN(C(=O)c1cccc2[nH]c(C(F)F)nc21)C1CCCC1 ZINC000933240705 661625250 /nfs/dbraw/zinc/62/52/50/661625250.db2.gz BDIYYWPRVIZHEU-UHFFFAOYSA-N 0 1 318.327 3.409 20 30 DGEDMN COc1ncccc1CN1CCC(c2ccc(C#N)cc2)CC1 ZINC000933613358 661644888 /nfs/dbraw/zinc/64/48/88/661644888.db2.gz ZEVSCZKUNOMJPY-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN N#CC1(CCN2CCOC[C@H]2CC2CCOCC2)CCCCC1 ZINC000934635833 661720949 /nfs/dbraw/zinc/72/09/49/661720949.db2.gz ZLUTVWZXBBBJHL-GOSISDBHSA-N 0 1 320.477 3.368 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2ccncc2)C2CC2)c([N+](=O)[O-])c1 ZINC000934701043 661727394 /nfs/dbraw/zinc/72/73/94/661727394.db2.gz JZBMZGHVBZEMEB-QGZVFWFLSA-N 0 1 308.341 3.102 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3s2)C[C@H]1C ZINC000947505840 661912145 /nfs/dbraw/zinc/91/21/45/661912145.db2.gz FWSPJHYXUBCBIS-HIFRSBDPSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ccccc3s2)C[C@@H]1C ZINC000947505839 661912229 /nfs/dbraw/zinc/91/22/29/661912229.db2.gz FWSPJHYXUBCBIS-DZGCQCFKSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)C[C@@H]1C ZINC000947735940 661927889 /nfs/dbraw/zinc/92/78/89/661927889.db2.gz PRTDAJRNSOSRGA-DOTOQJQBSA-N 0 1 322.408 3.163 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C(C)(C)C)CCN1Cc1ccccc1C#N ZINC000947962198 661940395 /nfs/dbraw/zinc/94/03/95/661940395.db2.gz KYUWUJHLTUGZJE-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C[C@H]1C ZINC000947975940 661941838 /nfs/dbraw/zinc/94/18/38/661941838.db2.gz RSIIHWYCNQACIN-OLZOCXBDSA-N 0 1 322.811 3.003 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)C[C@@H]1C ZINC000948103203 661951298 /nfs/dbraw/zinc/95/12/98/661951298.db2.gz COXLTTOZPHSIGC-YJBOKZPZSA-N 0 1 322.408 3.163 20 30 DGEDMN CN(C(=O)CC1CC1)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948135447 661954165 /nfs/dbraw/zinc/95/41/65/661954165.db2.gz CORDTVMLTMGETF-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ncccc2C)CC1 ZINC000948269943 661964224 /nfs/dbraw/zinc/96/42/24/661964224.db2.gz SEDWBUNUQJLYSJ-HNNXBMFYSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ncccc2C)CC1 ZINC000948269945 661964286 /nfs/dbraw/zinc/96/42/86/661964286.db2.gz SEDWBUNUQJLYSJ-OAHLLOKOSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)Cc2ccc(C)o2)CC1 ZINC000948309289 661967537 /nfs/dbraw/zinc/96/75/37/661967537.db2.gz OCRPIWQTMYRFSE-HNNXBMFYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H](C)C2CCC2)CC1 ZINC000948542751 661982139 /nfs/dbraw/zinc/98/21/39/661982139.db2.gz UQVVPWZXXBOSDD-GOEBONIOSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)c2cc3occc3s2)CC1 ZINC000948766412 661996904 /nfs/dbraw/zinc/99/69/04/661996904.db2.gz JXGVCCKDGMXWMI-CYBMUJFWSA-N 0 1 316.426 3.054 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1occc1C ZINC000948893619 662006291 /nfs/dbraw/zinc/00/62/91/662006291.db2.gz BFPJHWLVUMHFFG-SFHVURJKSA-N 0 1 324.424 3.493 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@]12C[C@H]1CCC2 ZINC000948940160 662008107 /nfs/dbraw/zinc/00/81/07/662008107.db2.gz FESDETGFQMDLCL-SLYNCCJLSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000948951007 662008690 /nfs/dbraw/zinc/00/86/90/662008690.db2.gz QRIDLMWGFXKRJR-DOADOZAASA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)cc(Cl)c2)CC1 ZINC000949306329 662014133 /nfs/dbraw/zinc/01/41/33/662014133.db2.gz ZRJJLSUWJJROGS-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CC[C@H]2c2ccc(F)cc2)CC1 ZINC000949460283 662016520 /nfs/dbraw/zinc/01/65/20/662016520.db2.gz IYTUUKDJGCEVFA-ZWKOTPCHSA-N 0 1 316.420 3.040 20 30 DGEDMN O=C(/C=C/c1nccn1-c1ccccc1)c1ccc(O)cc1O ZINC000191319230 662059259 /nfs/dbraw/zinc/05/92/59/662059259.db2.gz IAWUAYSXGWPLBA-CMDGGOBGSA-N 0 1 306.321 3.180 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2cccc(F)c2)CC1 ZINC000950467283 662068287 /nfs/dbraw/zinc/06/82/87/662068287.db2.gz XHMWKWBWBBZAQB-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccccc2CC(C)C)CC1 ZINC001006458340 662070449 /nfs/dbraw/zinc/07/04/49/662070449.db2.gz KZMPMSOOCBITSJ-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(F)cc(Cl)c2)CC1 ZINC000950679311 662078070 /nfs/dbraw/zinc/07/80/70/662078070.db2.gz WGJRCPPMEOAFKH-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C23CC(C(C)(C)C)(C2)C3)CC1 ZINC001006463295 662088815 /nfs/dbraw/zinc/08/88/15/662088815.db2.gz ONINRSMMCMEGSP-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@H]2CCCC[C@H]2C)CC1 ZINC001006464730 662093948 /nfs/dbraw/zinc/09/39/48/662093948.db2.gz VJXQUAIXVBSCCA-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1cccc(CNCc2ccc(OCC#N)cc2)c1F ZINC000192680226 662107977 /nfs/dbraw/zinc/10/79/77/662107977.db2.gz RYNZVPONNFINHT-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN C=CCCCCCN1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000193308367 662129995 /nfs/dbraw/zinc/12/99/95/662129995.db2.gz YYUFDNUCUKZJRN-HNNXBMFYSA-N 0 1 305.418 3.299 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)CC1 ZINC000951588196 662141507 /nfs/dbraw/zinc/14/15/07/662141507.db2.gz YXRIRRFHQWWQMI-HZPDHXFCSA-N 0 1 318.486 3.270 20 30 DGEDMN COc1cccc(/C(C)=C/C(=O)Nc2cc(C#N)ccc2O)c1 ZINC000081804653 662173150 /nfs/dbraw/zinc/17/31/50/662173150.db2.gz PERUKXANOZXIBA-XYOKQWHBSA-N 0 1 308.337 3.314 20 30 DGEDMN CCc1ccc(C(=O)/C=C/c2cc(OC)c(O)c([N+](=O)[O-])c2)o1 ZINC000083982160 662198374 /nfs/dbraw/zinc/19/83/74/662198374.db2.gz FSOSNQXLQGFNFK-GQCTYLIASA-N 0 1 317.297 3.361 20 30 DGEDMN CC(C)[C@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CCCC2)CC1 ZINC000245559465 662236461 /nfs/dbraw/zinc/23/64/61/662236461.db2.gz UEPXBGJSIMPOPA-DZKIICNBSA-N 0 1 304.434 3.216 20 30 DGEDMN C[C@H](ON=C(N)CN1CCCCCC1)c1ncc(C(C)(C)C)o1 ZINC000089126833 662237764 /nfs/dbraw/zinc/23/77/64/662237764.db2.gz TXLDSICOMRZTLI-ZDUSSCGKSA-N 0 1 322.453 3.198 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1ccc(-n2cncn2)cc1 ZINC000092541650 662332499 /nfs/dbraw/zinc/33/24/99/662332499.db2.gz CXTCYQWXQSCUDF-UHFFFAOYSA-N 0 1 320.371 3.042 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3cnccc3c2)C1 ZINC000957101711 662350055 /nfs/dbraw/zinc/35/00/55/662350055.db2.gz WZACLVCRDFTQJS-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC000970466566 685334641 /nfs/dbraw/zinc/33/46/41/685334641.db2.gz IIGRLKAZWOJMJB-CQSZACIVSA-N 0 1 310.397 3.183 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3[nH]ccc3c2)[C@H]1C ZINC000986778803 685350950 /nfs/dbraw/zinc/35/09/50/685350950.db2.gz LFJHIEXTDNVCNT-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)[C@H]1C ZINC000994239849 685360032 /nfs/dbraw/zinc/36/00/32/685360032.db2.gz LKRQEBBLZMYZOF-RHSMWYFYSA-N 0 1 318.436 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)cn2)[C@H]1C ZINC000986964805 685354328 /nfs/dbraw/zinc/35/43/28/685354328.db2.gz AKIYHOZTXCHZHF-DZGCQCFKSA-N 0 1 321.852 3.150 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1c(Cl)cccc1Cl ZINC001028283897 685379372 /nfs/dbraw/zinc/37/93/72/685379372.db2.gz RVAGDFZUKOUGGL-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001046384265 685399862 /nfs/dbraw/zinc/39/98/62/685399862.db2.gz BSGZIEZEPDCRAK-QGZVFWFLSA-N 0 1 306.475 3.327 20 30 DGEDMN C[C@@H](NCC(C)(C)C#N)[C@@H]1CCCCN1C(=O)OC(C)(C)C ZINC000385987414 685175198 /nfs/dbraw/zinc/17/51/98/685175198.db2.gz DOGNKNZCGKVXIA-KGLIPLIRSA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@H](CC#N)N[C@H](C)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000395094350 685224703 /nfs/dbraw/zinc/22/47/03/685224703.db2.gz WLFMRHRMXKGIBZ-RBSFLKMASA-N 0 1 309.454 3.446 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2nc(C(C)C)oc2C)CC[C@H]1C ZINC001071509133 686671971 /nfs/dbraw/zinc/67/19/71/686671971.db2.gz YLUWWOVJSMVXDB-UKRRQHHQSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3c2CCCC3)CC[C@@H]1C ZINC001071531233 686681413 /nfs/dbraw/zinc/68/14/13/686681413.db2.gz IWZALKASBYVTBZ-RDJZCZTQSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)CC[C@@H]1C ZINC001071548818 686686757 /nfs/dbraw/zinc/68/67/57/686686757.db2.gz MBVJSWMTRFYEKP-YOEHRIQHSA-N 0 1 316.420 3.012 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C3CCC3)cc2)CC[C@@H]1C ZINC001071548630 686687080 /nfs/dbraw/zinc/68/70/80/686687080.db2.gz IQLFTBJQGLYCJH-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3c2CCCCC3)CC[C@H]1C ZINC001071590860 686695751 /nfs/dbraw/zinc/69/57/51/686695751.db2.gz JEQXWDMUSBMQSZ-SJLPKXTDSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c3ccccc3n2)CC[C@H]1C ZINC001071612221 686702104 /nfs/dbraw/zinc/70/21/04/686702104.db2.gz DOVRIXVVMSVNJC-HZPDHXFCSA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@H]1C ZINC001071671046 686719409 /nfs/dbraw/zinc/71/94/09/686719409.db2.gz WFOAEBILFCEOAO-ZIAGYGMSSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2CCC)CC[C@@H]1C ZINC001071674309 686721191 /nfs/dbraw/zinc/72/11/91/686721191.db2.gz RIYZKSFGBFVSTM-RDJZCZTQSA-N 0 1 300.446 3.408 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2C2CC2)CC[C@@H]1C ZINC001071694772 686724262 /nfs/dbraw/zinc/72/42/62/686724262.db2.gz JQPQHRUSCKCTRG-SWLSCSKDSA-N 0 1 317.433 3.019 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2C2CC2)CC[C@@H]1C ZINC001071694774 686724449 /nfs/dbraw/zinc/72/44/49/686724449.db2.gz JQPQHRUSCKCTRG-WFASDCNBSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cc(F)cc2C)CC[C@@H]1C ZINC001071735999 686737525 /nfs/dbraw/zinc/73/75/25/686737525.db2.gz WCBOOPALLUHKFO-DOTOQJQBSA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)c2cccs2)CC[C@H]1C ZINC001071741750 686739357 /nfs/dbraw/zinc/73/93/57/686739357.db2.gz JWMNOZPXHZDSOW-HUUCEWRRSA-N 0 1 318.486 3.018 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2C)CC[C@H]1C ZINC001071792324 686754221 /nfs/dbraw/zinc/75/42/21/686754221.db2.gz HSASGKGZKDJTBE-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncsc2C2CC2)CC[C@@H]1C ZINC001071822505 686761080 /nfs/dbraw/zinc/76/10/80/686761080.db2.gz XXQBRBWUZSGCRV-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc[nH]c2C2CCC2)CC[C@H]1C ZINC001071828753 686763478 /nfs/dbraw/zinc/76/34/78/686763478.db2.gz TWOHFTDXWDWWGI-UKRRQHHQSA-N 0 1 301.434 3.051 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(F)c3ccccc23)CC[C@H]1C ZINC001071835631 686765309 /nfs/dbraw/zinc/76/53/09/686765309.db2.gz OOMBTQZDJPFDRS-CABCVRRESA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3cccc(F)c3)CC2)CC[C@H]1C ZINC001071854715 686772108 /nfs/dbraw/zinc/77/21/08/686772108.db2.gz FCOMXIWULNXDFR-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(F)ccc3o2)CC[C@@H]1C ZINC001071867538 686775080 /nfs/dbraw/zinc/77/50/80/686775080.db2.gz BVEGQCAOJRLKBW-WFASDCNBSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(C(C)(C)C)n2)CC[C@@H]1C ZINC001071892994 686785063 /nfs/dbraw/zinc/78/50/63/686785063.db2.gz JWIVEXBDMSWDNM-STQMWFEESA-N 0 1 321.490 3.209 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(C(C)C)n2)CC[C@@H]1C ZINC001071901845 686787928 /nfs/dbraw/zinc/78/79/28/686787928.db2.gz XYBPJIAAOJFFOD-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccn2C2CCCC2)CC[C@H]1C ZINC001071940801 686794319 /nfs/dbraw/zinc/79/43/19/686794319.db2.gz YNPZCAMUTGJFOL-HZPDHXFCSA-N 0 1 315.461 3.372 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C3CCC3)n2)CC[C@@H]1C ZINC001071972526 686800957 /nfs/dbraw/zinc/80/09/57/686800957.db2.gz WWRSBDKJGWFYJM-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2CCC)CC[C@H]1C ZINC001071982653 686803352 /nfs/dbraw/zinc/80/33/52/686803352.db2.gz OKJXDXJPPADMPV-HUUCEWRRSA-N 0 1 304.434 3.391 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C3CC3)s2)CC[C@H]1C ZINC001071987465 686805651 /nfs/dbraw/zinc/80/56/51/686805651.db2.gz DDZZQENMLAQZLE-OCCSQVGLSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(CC)c(CC)o2)CC[C@@H]1C ZINC001071997299 686808528 /nfs/dbraw/zinc/80/85/28/686808528.db2.gz XVUSSVAWJOZWEU-DZGCQCFKSA-N 0 1 304.434 3.173 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cnc(C3CC3)s2)CC[C@@H]1C ZINC001072008347 686813600 /nfs/dbraw/zinc/81/36/00/686813600.db2.gz FABSVHCURPEWIE-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc3ccccc3c2)CC[C@@H]1C ZINC001072018945 686824131 /nfs/dbraw/zinc/82/41/31/686824131.db2.gz AQTOCMIGEDYYDJ-HNAYVOBHSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)CC[C@H]1C ZINC001072018938 686824736 /nfs/dbraw/zinc/82/47/36/686824736.db2.gz ABPDINZZPQOXQE-MVJVIOSPSA-N 0 1 316.489 3.358 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)CC[C@H]1C ZINC001072022465 686828103 /nfs/dbraw/zinc/82/81/03/686828103.db2.gz AIYRYUAKIOXPTO-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccccc2C2CCC2)CC[C@H]1C ZINC001072032446 686832107 /nfs/dbraw/zinc/83/21/07/686832107.db2.gz DTEKCHVOEMMKHQ-WBVHZDCISA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(CC)s2)CC[C@@H]1C ZINC001072054419 686847576 /nfs/dbraw/zinc/84/75/76/686847576.db2.gz CSQIRLBUIKWREL-KBPBESRZSA-N 0 1 306.475 3.388 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCCC2)CC[C@@H]1C ZINC001072052832 686848654 /nfs/dbraw/zinc/84/86/54/686848654.db2.gz PLRMCLWVFLDDNB-HKUYNNGSSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(CC)c(C)s2)CC[C@H]1C ZINC001072055247 686849154 /nfs/dbraw/zinc/84/91/54/686849154.db2.gz RKFKFLBSYQCLQB-DOMZBBRYSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(CC)c(C)s2)CC[C@@H]1C ZINC001072055250 686850326 /nfs/dbraw/zinc/85/03/26/686850326.db2.gz RKFKFLBSYQCLQB-WFASDCNBSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)CC[C@@H]1C ZINC001072061413 686850863 /nfs/dbraw/zinc/85/08/63/686850863.db2.gz CTBLRCUYXBPNSZ-WMLDXEAASA-N 0 1 317.477 3.455 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C3CCCC3)no2)CC[C@H]1C ZINC001072088185 686860245 /nfs/dbraw/zinc/86/02/45/686860245.db2.gz HINKOQIZAZFETP-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)CC[C@H]1C ZINC001072101920 686866378 /nfs/dbraw/zinc/86/63/78/686866378.db2.gz LNLDZWZNDXTBMS-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C3CC3)c2)CC[C@H]1C ZINC001072109619 686870617 /nfs/dbraw/zinc/87/06/17/686870617.db2.gz KGBBCPBRZLXURD-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@H]1C ZINC001072109768 686871818 /nfs/dbraw/zinc/87/18/18/686871818.db2.gz MKZXHHZIPKTVKV-JZFKGDSASA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(C3CC3)n2)CC[C@H]1C ZINC001072112557 686873102 /nfs/dbraw/zinc/87/31/02/686873102.db2.gz BQTRDQLVRIIRHW-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC2(C1)CCN(C/C=C/Cl)C2 ZINC001072779683 686960644 /nfs/dbraw/zinc/96/06/44/686960644.db2.gz ACZXEDFLBOOZRY-GQCTYLIASA-N 0 1 317.260 3.052 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCN(C/C=C/Cl)C3)CC1 ZINC001072941584 686987995 /nfs/dbraw/zinc/98/79/95/686987995.db2.gz YBBDIJXTTCSEMA-KRXBUXKQSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC3CCCC3)C(C)C)C2)C1 ZINC001073029859 687001387 /nfs/dbraw/zinc/00/13/87/687001387.db2.gz JGHWMUDFSIENHV-GOSISDBHSA-N 0 1 316.489 3.006 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3C[C@@H](C)CC(C)(C)C3)C2)C1 ZINC001073062593 687007495 /nfs/dbraw/zinc/00/74/95/687007495.db2.gz HZTMPSAVEPXXJP-SJORKVTESA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC=CCCC2)[C@H]1C ZINC001074707589 687290384 /nfs/dbraw/zinc/29/03/84/687290384.db2.gz ICIGYELEGDPDCJ-JYJNAYRXSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCC(F)(F)C2)[C@H]1C ZINC001074871301 687308649 /nfs/dbraw/zinc/30/86/49/687308649.db2.gz VLPVKFSYPYXUQF-AVGNSLFASA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccsc2)[C@H]1C ZINC001074874054 687308686 /nfs/dbraw/zinc/30/86/86/687308686.db2.gz RCGKGCCEJBARAE-OBJOEFQTSA-N 0 1 312.866 3.183 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc(Cl)cs3)[C@@H]2C1 ZINC001076318244 687507950 /nfs/dbraw/zinc/50/79/50/687507950.db2.gz PJELPUKWWJJEHU-WCQYABFASA-N 0 1 310.850 3.124 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)CC(C)(C)C3CCCCC3)[C@@H]2C1 ZINC001076461202 687526582 /nfs/dbraw/zinc/52/65/82/687526582.db2.gz VYOZEHRJBCMCEQ-FUHWJXTLSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001079897748 687901215 /nfs/dbraw/zinc/90/12/15/687901215.db2.gz NKVAPNTXBLMGBK-CHWSQXEVSA-N 0 1 321.490 3.067 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001080144582 687923549 /nfs/dbraw/zinc/92/35/49/687923549.db2.gz HUEBJQXNHRDTKP-OXQOHEQNSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001080245964 687934748 /nfs/dbraw/zinc/93/47/48/687934748.db2.gz MSVPHOJEPVWPHU-CZUORRHYSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001080258043 687935507 /nfs/dbraw/zinc/93/55/07/687935507.db2.gz MGWCQOLWSXHIEZ-CZUORRHYSA-N 0 1 306.837 3.193 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001080781083 688012805 /nfs/dbraw/zinc/01/28/05/688012805.db2.gz NDXPOMBARNTILD-OXQOHEQNSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001080859835 688020528 /nfs/dbraw/zinc/02/05/28/688020528.db2.gz KGWHTNYQXYETGJ-IAQYHMDHSA-N 0 1 318.804 3.322 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)CC)C[C@H]1c1ccccc1 ZINC001081090263 688050514 /nfs/dbraw/zinc/05/05/14/688050514.db2.gz AHMVTDUXJNYQEJ-XOKHGSTOSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCCC2(C)C)C[C@H]1C ZINC001082951472 688133331 /nfs/dbraw/zinc/13/33/31/688133331.db2.gz ASSHRTZDRJMGFF-VHDGCEQUSA-N 0 1 312.885 3.392 20 30 DGEDMN CC(C)(C)SCCN1CCN(c2ccc(F)cc2C#N)CC1 ZINC001620507662 1191968312 /nfs/dbraw/zinc/96/83/12/1191968312.db2.gz SKVMCPNNGHSZQI-UHFFFAOYSA-N 0 1 321.465 3.351 20 30 DGEDMN N#Cc1cc(NC(=O)[C@H]2NCCc3ccccc32)ccc1C1CC1 ZINC001580062621 1192005118 /nfs/dbraw/zinc/00/51/18/1192005118.db2.gz KSAPRCLGUHOMDA-IBGZPJMESA-N 0 1 317.392 3.261 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1ccc(-c2nnc[nH]2)cc1F ZINC001586358604 1192325150 /nfs/dbraw/zinc/32/51/50/1192325150.db2.gz RKDYWCZOUYRYRU-UHFFFAOYSA-N 0 1 300.337 3.318 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2csc(-c3nn[nH]n3)c2)CCCC1(C)C ZINC001588564149 1192450765 /nfs/dbraw/zinc/45/07/65/1192450765.db2.gz IYNLATHIXJLGBL-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@@H+](Cc2csc(-c3nnn[n-]3)c2)CCCC1(C)C ZINC001588564149 1192450768 /nfs/dbraw/zinc/45/07/68/1192450768.db2.gz IYNLATHIXJLGBL-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2csc(-c3nnn[n-]3)c2)CCCC1(C)C ZINC001588564149 1192450771 /nfs/dbraw/zinc/45/07/71/1192450771.db2.gz IYNLATHIXJLGBL-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@@H+](Cc2csc(-c3nn[n-]n3)c2)CCCC1(C)C ZINC001588564149 1192450773 /nfs/dbraw/zinc/45/07/73/1192450773.db2.gz IYNLATHIXJLGBL-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2csc(-c3nn[n-]n3)c2)CCCC1(C)C ZINC001588564149 1192450777 /nfs/dbraw/zinc/45/07/77/1192450777.db2.gz IYNLATHIXJLGBL-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CCCCCCC[NH2+]Cc1cccc(F)c1-c1nnn[n-]1 ZINC001588594341 1192451081 /nfs/dbraw/zinc/45/10/81/1192451081.db2.gz TUUKSPVLMVEBJZ-UHFFFAOYSA-N 0 1 303.385 3.232 20 30 DGEDMN C=CCCCCCC[NH2+]Cc1cccc(F)c1-c1nn[n-]n1 ZINC001588594341 1192451084 /nfs/dbraw/zinc/45/10/84/1192451084.db2.gz TUUKSPVLMVEBJZ-UHFFFAOYSA-N 0 1 303.385 3.232 20 30 DGEDMN C#CC1(F)CN(C(=O)c2cc(-c3ccc4ccccc4c3)[nH]n2)C1 ZINC001589646138 1192470712 /nfs/dbraw/zinc/47/07/12/1192470712.db2.gz GCYPQAVMLYWRRJ-UHFFFAOYSA-N 0 1 319.339 3.027 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NCCC2CCCCC2)o1 ZINC001589773801 1192473632 /nfs/dbraw/zinc/47/36/32/1192473632.db2.gz NHLHTZGKPBYQJR-UHFFFAOYSA-N 0 1 317.397 3.346 20 30 DGEDMN CCCCCC(C)N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001590588245 1192527905 /nfs/dbraw/zinc/52/79/05/1192527905.db2.gz UZPFGONINACXMN-UHFFFAOYSA-N 0 1 305.386 3.346 20 30 DGEDMN C#CCOc1ccc(CNCc2ncccc2C(F)(F)F)cc1 ZINC001620941657 1192638315 /nfs/dbraw/zinc/63/83/15/1192638315.db2.gz BUPQBNKVIGFWOF-UHFFFAOYSA-N 0 1 320.314 3.402 20 30 DGEDMN C#CC[C@H](CO)NCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC001620948312 1192643505 /nfs/dbraw/zinc/64/35/05/1192643505.db2.gz BMQYPZCLWCSETQ-CQSZACIVSA-N 0 1 323.314 3.439 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3C[C@H]3c3ccsc3)[nH]c2c1 ZINC001595740400 1192668565 /nfs/dbraw/zinc/66/85/65/1192668565.db2.gz TTXPMUXQUJVGBK-NWDGAFQWSA-N 0 1 308.366 3.238 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001671326907 1176131303 /nfs/dbraw/zinc/13/13/03/1176131303.db2.gz CVFLEDVBKQGJCZ-YHUYYLMFSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1sccc1Cl)C1CC1 ZINC001670475344 1176148245 /nfs/dbraw/zinc/14/82/45/1176148245.db2.gz PIIBMDITXUYMNJ-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1CCC)C1CC1 ZINC001670544449 1176164940 /nfs/dbraw/zinc/16/49/40/1176164940.db2.gz LRDGWQWHLDLUOH-CQSZACIVSA-N 0 1 310.825 3.083 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1cc(C)on1 ZINC001691987850 1176165154 /nfs/dbraw/zinc/16/51/54/1176165154.db2.gz RXAIGBMNEANJEV-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1sccc1C)c1ccccc1 ZINC001691771119 1176177108 /nfs/dbraw/zinc/17/71/08/1176177108.db2.gz ABDVGRZVYJWTIE-INIZCTEOSA-N 0 1 312.438 3.141 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[N@H+]1Cc1ccc(CF)cc1 ZINC001670646644 1176201310 /nfs/dbraw/zinc/20/13/10/1176201310.db2.gz YGJQWUFUWZCUOF-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001670646644 1176201318 /nfs/dbraw/zinc/20/13/18/1176201318.db2.gz YGJQWUFUWZCUOF-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@H](C)NCc2nocc2C)C1 ZINC001772541458 1176272890 /nfs/dbraw/zinc/27/28/90/1176272890.db2.gz KMMGKZWVTLRUEE-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCN1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)C)s1 ZINC001691074631 1176296405 /nfs/dbraw/zinc/29/64/05/1176296405.db2.gz FCRFJRLRGXRPCA-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN CN(C(=O)c1ccc2ccc(O)cc2c1O)c1cccc(C#N)c1 ZINC001597403125 1192731675 /nfs/dbraw/zinc/73/16/75/1192731675.db2.gz JEXLHUZHSNBKON-UHFFFAOYSA-N 0 1 318.332 3.399 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)CC(C)(C)C1CCCCC1 ZINC001691106725 1176315416 /nfs/dbraw/zinc/31/54/16/1176315416.db2.gz ZSCQIXPJFULMRV-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(CC)CC)c1ccccc1 ZINC001691799997 1176346257 /nfs/dbraw/zinc/34/62/57/1176346257.db2.gz FGASRHFLLCJUHI-QGZVFWFLSA-N 0 1 300.446 3.283 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001691158521 1176347103 /nfs/dbraw/zinc/34/71/03/1176347103.db2.gz GYBZXGXQCOSZEF-JOCQHMNTSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691199858 1176380362 /nfs/dbraw/zinc/38/03/62/1176380362.db2.gz JPXHPRXOSVDJFS-QKNQBKEWSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CC[N@H+](CCNC(=O)c1oc2c(cccc2F)c1C)C1CC1 ZINC001691212962 1176395546 /nfs/dbraw/zinc/39/55/46/1176395546.db2.gz FTDWIZPPQZVQJR-UHFFFAOYSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001691253770 1176414182 /nfs/dbraw/zinc/41/41/82/1176414182.db2.gz PJYGOLNGMLADOK-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001691912172 1176455925 /nfs/dbraw/zinc/45/59/25/1176455925.db2.gz HYQDFMXUKGEZAV-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](CC)CC1CCCC1 ZINC001691401957 1176469247 /nfs/dbraw/zinc/46/92/47/1176469247.db2.gz CGJHYAONQMDQPE-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1C ZINC001691416363 1176475176 /nfs/dbraw/zinc/47/51/76/1176475176.db2.gz ILABGFOJKNFMNR-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001750933373 1176567518 /nfs/dbraw/zinc/56/75/18/1176567518.db2.gz OXLJIRWMWQPKEZ-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(CC)CC)C1 ZINC001754741695 1176680528 /nfs/dbraw/zinc/68/05/28/1176680528.db2.gz MHRYRYSXGNPVBI-AWEZNQCLSA-N 0 1 302.409 3.072 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1 ZINC001597981743 1192757873 /nfs/dbraw/zinc/75/78/73/1192757873.db2.gz GTKCIMRDZQHLKH-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2ncccc2o1 ZINC001752199717 1176702829 /nfs/dbraw/zinc/70/28/29/1176702829.db2.gz OQYNGFAJWCNLAX-UHFFFAOYSA-N 0 1 321.808 3.022 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CC)(NCc2ncc(C)o2)CC1 ZINC001755069104 1176733753 /nfs/dbraw/zinc/73/37/53/1176733753.db2.gz LZOYKGZKKNRREC-UHFFFAOYSA-N 0 1 319.449 3.200 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@H](C#N)CCc2ccccc2)c1 ZINC001598064200 1192760945 /nfs/dbraw/zinc/76/09/45/1192760945.db2.gz MWWZWTMPKUNZNH-INIZCTEOSA-N 0 1 322.364 3.306 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(F)cc1Cl ZINC001755201260 1176849606 /nfs/dbraw/zinc/84/96/06/1176849606.db2.gz PXZPJWHHKHZXJN-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC)CC1CCCC1 ZINC001691500132 1176910384 /nfs/dbraw/zinc/91/03/84/1176910384.db2.gz JZZLHQJRDKMORT-HNNXBMFYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1oc(C(C)C)nc1C ZINC001752875492 1176985502 /nfs/dbraw/zinc/98/55/02/1176985502.db2.gz UTZMPBUGKXCAIZ-ZDUSSCGKSA-N 0 1 307.438 3.057 20 30 DGEDMN Cc1cc(C)c2c(c1)CC[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)C2 ZINC001600164510 1192798475 /nfs/dbraw/zinc/79/84/75/1192798475.db2.gz DZRYUEIMRRKRIM-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN Cc1cc(C)c2c(c1)CC[N@H+](Cc1cccc(C(=O)[O-])c1C#N)C2 ZINC001600164510 1192798479 /nfs/dbraw/zinc/79/84/79/1192798479.db2.gz DZRYUEIMRRKRIM-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@](C)(C=C)CC)C1 ZINC001691557041 1177311770 /nfs/dbraw/zinc/31/17/70/1177311770.db2.gz PSFMAXDLNDAFNM-NLWGTHIKSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@H](NCc2nc(C)cs2)C1 ZINC001691613528 1177328605 /nfs/dbraw/zinc/32/86/05/1177328605.db2.gz JPGAVZNNKCTXDQ-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001691719559 1177884302 /nfs/dbraw/zinc/88/43/02/1177884302.db2.gz IEBUWTFXMGSMMI-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN N#Cc1cccc(S(=O)(=O)Nc2cncc(C3CCC3)c2)c1 ZINC001259118888 1178083245 /nfs/dbraw/zinc/08/32/45/1178083245.db2.gz VMGGPEASUSJJSG-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(C#N)cn2)CN1Cc1ccccc1 ZINC001330919651 1178091779 /nfs/dbraw/zinc/09/17/79/1178091779.db2.gz SUQCHMFKYNIKKK-CQSZACIVSA-N 0 1 305.385 3.016 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001331504566 1178229027 /nfs/dbraw/zinc/22/90/27/1178229027.db2.gz PUXNKCOOIAUJSX-MLGOLLRUSA-N 0 1 324.827 3.045 20 30 DGEDMN Cc1cccc(C)c1C[N@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001600520529 1192866884 /nfs/dbraw/zinc/86/68/84/1192866884.db2.gz SQKHMXZAGXBXAK-SFHVURJKSA-N 0 1 308.381 3.433 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(C(F)F)CCCC1 ZINC001331531562 1178235547 /nfs/dbraw/zinc/23/55/47/1178235547.db2.gz SYUYETGZUWWALW-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCC(F)(F)F)[C@@H]1C ZINC001331917965 1178308486 /nfs/dbraw/zinc/30/84/86/1178308486.db2.gz JZHMPKADTIJZCX-GHMZBOCLSA-N 0 1 312.763 3.051 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCC[N@@H+](Cc2ccsc2)[C@H]1C ZINC001332179215 1178382601 /nfs/dbraw/zinc/38/26/01/1178382601.db2.gz UGTBEMLRRSVMQK-DOTOQJQBSA-N 0 1 318.486 3.411 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001332179215 1178382609 /nfs/dbraw/zinc/38/26/09/1178382609.db2.gz UGTBEMLRRSVMQK-DOTOQJQBSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001332862158 1178510401 /nfs/dbraw/zinc/51/04/01/1178510401.db2.gz XTNLZQLDWPQSER-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001333021664 1178542408 /nfs/dbraw/zinc/54/24/08/1178542408.db2.gz GSKWVFXVMQIYQM-WFASDCNBSA-N 0 1 316.228 3.304 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001333121311 1178559906 /nfs/dbraw/zinc/55/99/06/1178559906.db2.gz SMJJEJUMNFAUPI-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2cccc(F)c2)CC1 ZINC001333304769 1178585468 /nfs/dbraw/zinc/58/54/68/1178585468.db2.gz PUUWQUYRHHBIFW-UHFFFAOYSA-N 0 1 302.393 3.040 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001333751999 1178667977 /nfs/dbraw/zinc/66/79/77/1178667977.db2.gz CIHSAQKMGKWCQT-JTQLQIEISA-N 0 1 306.353 3.025 20 30 DGEDMN N#Cc1ccc(C[NH2+][C@@H](C[C@@H]2CCCc3ccccc32)C(=O)[O-])o1 ZINC001601101499 1192918884 /nfs/dbraw/zinc/91/88/84/1192918884.db2.gz CBZMKPFQGMKUML-KSSFIOAISA-N 0 1 324.380 3.204 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](C[C@@H]1CCCC[C@@H]1C(=O)[O-])C2 ZINC001601077555 1192916505 /nfs/dbraw/zinc/91/65/05/1192916505.db2.gz FSOSCTAGQUEZOA-WMZOPIPTSA-N 0 1 312.413 3.002 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)cc1F ZINC001601100990 1192918550 /nfs/dbraw/zinc/91/85/50/1192918550.db2.gz UPYMFIMWBXHHJW-YQQAZPJKSA-N 0 1 316.376 3.163 20 30 DGEDMN C#C[C@@H](NCCC(=O)Nc1ccc(N(C)C)cc1)c1ccccc1 ZINC001335442940 1178910984 /nfs/dbraw/zinc/91/09/84/1178910984.db2.gz BUAAMMOVQREDEN-LJQANCHMSA-N 0 1 321.424 3.045 20 30 DGEDMN C=C1CCC(C(=O)N2CCc3nc[nH]c3[C@H]2c2cccnc2)CC1 ZINC001335960417 1178976233 /nfs/dbraw/zinc/97/62/33/1178976233.db2.gz HBGUABGJHUHTIK-GOSISDBHSA-N 0 1 322.412 3.025 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001341825281 1179067960 /nfs/dbraw/zinc/06/79/60/1179067960.db2.gz XSGDNALQWVJKSC-PMUMKWKESA-N 0 1 323.396 3.097 20 30 DGEDMN CCCC[C@H](C(=O)C(C#N)C(=O)Nc1ccccn1)C(C)C ZINC001342632128 1179164080 /nfs/dbraw/zinc/16/40/80/1179164080.db2.gz ZIXHDHIYQXVFCQ-UONOGXRCSA-N 0 1 301.390 3.191 20 30 DGEDMN N#CC(C(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O)c1cccc(F)n1 ZINC001342717063 1179178058 /nfs/dbraw/zinc/17/80/58/1179178058.db2.gz MOMRZPIMXTUWOX-PIGZVRMJSA-N 0 1 314.360 3.182 20 30 DGEDMN CN(C)c1ncccc1CN=Nc1ncccc1C(F)(F)F ZINC001342843033 1179196533 /nfs/dbraw/zinc/19/65/33/1179196533.db2.gz OFUCFFCERRAZER-UHFFFAOYSA-N 0 1 309.295 3.007 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)O[C@H]3CC[C@@](C)(C#N)CC3)c2C1 ZINC001343427657 1179272554 /nfs/dbraw/zinc/27/25/54/1179272554.db2.gz RMAMPXFEVYKCNW-QFSBIZTOSA-N 0 1 301.390 3.164 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)[C@H]2CCCC[C@H]12 ZINC001601826665 1192947578 /nfs/dbraw/zinc/94/75/78/1192947578.db2.gz LXKPNNVMZALWGJ-KURKYZTESA-N 0 1 315.388 3.143 20 30 DGEDMN N#CC(C(=O)Cc1ccc(F)cc1)=C(O)C1(c2ccccc2)CC1 ZINC001343834187 1179335968 /nfs/dbraw/zinc/33/59/68/1179335968.db2.gz ANMUKSCBKMDXCV-QGZVFWFLSA-N 0 1 321.351 3.378 20 30 DGEDMN N#CC(C(=O)Cc1ccc(F)cc1)=C(O)C1(c2ccccc2)CC1 ZINC001343834186 1179336983 /nfs/dbraw/zinc/33/69/83/1179336983.db2.gz ANMUKSCBKMDXCV-KRWDZBQOSA-N 0 1 321.351 3.378 20 30 DGEDMN Cc1cc(C)c2cc(C(=O)C(C#N)c3nccn3C)sc2n1 ZINC001343854320 1179338935 /nfs/dbraw/zinc/33/89/35/1179338935.db2.gz YAWXAVCDHVQLEP-LBPRGKRZSA-N 0 1 310.382 3.137 20 30 DGEDMN C#CC1(NCc2cccc(Br)c2OC)CCCC1 ZINC001621045218 1192955904 /nfs/dbraw/zinc/95/59/04/1192955904.db2.gz MZKXVQLRHCFSIA-UHFFFAOYSA-N 0 1 308.219 3.493 20 30 DGEDMN CCCc1nc(C)c(C(=O)C(C#N)c2ncc(Cl)n2C)s1 ZINC001344863846 1179488715 /nfs/dbraw/zinc/48/87/15/1179488715.db2.gz KLTYEYLDEHVRSN-VIFPVBQESA-N 0 1 322.821 3.281 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cc(C)c(Br)o1 ZINC001345643572 1179585145 /nfs/dbraw/zinc/58/51/45/1179585145.db2.gz RNUHNACIKOYGCP-VIFPVBQESA-N 0 1 322.162 3.057 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)c1cc(C)c(Br)o1 ZINC001345643572 1179585149 /nfs/dbraw/zinc/58/51/49/1179585149.db2.gz RNUHNACIKOYGCP-VIFPVBQESA-N 0 1 322.162 3.057 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1conc1C1CCCC1 ZINC001345641947 1179585610 /nfs/dbraw/zinc/58/56/10/1179585610.db2.gz FSJSOJFAMIKIQJ-SNVBAGLBSA-N 0 1 318.764 3.209 20 30 DGEDMN Cn1c(Cl)cnc1[C@H](C#N)C(=O)c1conc1C1CCCC1 ZINC001345641947 1179585616 /nfs/dbraw/zinc/58/56/16/1179585616.db2.gz FSJSOJFAMIKIQJ-SNVBAGLBSA-N 0 1 318.764 3.209 20 30 DGEDMN C#CCN1CCC(OC(=O)CCc2c[nH]c3cc(C)ccc23)CC1 ZINC001345673817 1179592426 /nfs/dbraw/zinc/59/24/26/1179592426.db2.gz XIOWEBOJWMBQEO-UHFFFAOYSA-N 0 1 324.424 3.050 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@]1(c2ccccc2)CC1(C)C ZINC001346096820 1179652594 /nfs/dbraw/zinc/65/25/94/1179652594.db2.gz VAVOYAVVSPXCFP-HNAYVOBHSA-N 0 1 307.397 3.447 20 30 DGEDMN N#CC1(CNC[C@H](O)Cc2ccc3ccccc3c2)CCCC1 ZINC001346327504 1179685303 /nfs/dbraw/zinc/68/53/03/1179685303.db2.gz YIVAUBQLRVPOSA-LJQANCHMSA-N 0 1 308.425 3.417 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)c1nnc2n1CCCCC2 ZINC001346527032 1179715723 /nfs/dbraw/zinc/71/57/23/1179715723.db2.gz PVHDOBMBIFPUPA-XQLPTFJDSA-N 0 1 312.417 3.007 20 30 DGEDMN C=CCNC(=O)[C@@H](C#N)C(=O)C=Cc1cc(Cl)cc(Cl)c1 ZINC001347064021 1179773188 /nfs/dbraw/zinc/77/31/88/1179773188.db2.gz PQTLJSSXUBHETQ-OOPCZODUSA-N 0 1 323.179 3.018 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1cccc(OC)c1 ZINC001347337392 1179797032 /nfs/dbraw/zinc/79/70/32/1179797032.db2.gz ICBYPNSCWRURKU-OAHLLOKOSA-N 0 1 311.385 3.066 20 30 DGEDMN C#CC[C@@H]([NH2+]Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])c1ccccc1 ZINC001602694158 1192994711 /nfs/dbraw/zinc/99/47/11/1192994711.db2.gz IKLZRZOJELQDKX-MRXNPFEDSA-N 0 1 324.336 3.147 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)Cc1ccccc1-c1nn[nH]n1 ZINC001602859818 1193000711 /nfs/dbraw/zinc/00/07/11/1193000711.db2.gz LWTSTFBALLBHCN-UHFFFAOYSA-N 0 1 305.385 3.055 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@H](c1ccsc1)N(C)C)c1ccccc1 ZINC001350880781 1180135911 /nfs/dbraw/zinc/13/59/11/1180135911.db2.gz DPJYBTIJFZVMJL-SJORKVTESA-N 0 1 314.454 3.437 20 30 DGEDMN CCCCCCCCCCC(=O)N[C@@H]1CC[N@@H+](CC)C[C@@H]1O ZINC001100083187 1180366263 /nfs/dbraw/zinc/36/62/63/1180366263.db2.gz OIQPGCLSWYTFDQ-SJORKVTESA-N 0 1 312.498 3.089 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)CCCCCCCCC)[C@@H](O)C1 ZINC001100087056 1180368843 /nfs/dbraw/zinc/36/88/43/1180368843.db2.gz FJQRHXBIUXGJCI-ROUUACIJSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCNC(=S)Nc1cc(Br)cc(Cl)c1O ZINC001200296075 1180519804 /nfs/dbraw/zinc/51/98/04/1180519804.db2.gz ZEAYPQKPYFQTGR-UHFFFAOYSA-N 0 1 321.627 3.281 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)CCCC(=O)OC(C)(C)C)n1 ZINC001354062819 1180715455 /nfs/dbraw/zinc/71/54/55/1180715455.db2.gz NFUAXRUIMFIDQZ-NSHDSACASA-N 0 1 308.403 3.140 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NCc2nc(C)sc2C)C1 ZINC001100623226 1180860165 /nfs/dbraw/zinc/86/01/65/1180860165.db2.gz DQGKAFKDELEGTH-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CC)C[C@@H](C)CC ZINC001355921112 1181114063 /nfs/dbraw/zinc/11/40/63/1181114063.db2.gz JRRCRWIJGCZUBT-LBPRGKRZSA-N 0 1 302.426 3.035 20 30 DGEDMN Cc1cc(C)cc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)c1 ZINC001357545985 1181407369 /nfs/dbraw/zinc/40/73/69/1181407369.db2.gz YSKXQSDYEQZZCU-HNNXBMFYSA-N 0 1 316.364 3.319 20 30 DGEDMN C#CCSc1ccc(COC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001435907601 1181854476 /nfs/dbraw/zinc/85/44/76/1181854476.db2.gz BRTCUUKEOCKMRG-KRWDZBQOSA-N 0 1 317.454 3.328 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)CN(C)CCc2ccccc2)c1 ZINC001443407392 1182100482 /nfs/dbraw/zinc/10/04/82/1182100482.db2.gz KRBZNFDAUJOVRZ-UHFFFAOYSA-N 0 1 320.436 3.195 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1ccc2c(C#N)c[nH]c2c1 ZINC001446201162 1182163753 /nfs/dbraw/zinc/16/37/53/1182163753.db2.gz KFVIIMJKHIHBIZ-UHFFFAOYSA-N 0 1 315.283 3.261 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2oc3ccccc3c2Br)NO1 ZINC001447230916 1182185020 /nfs/dbraw/zinc/18/50/20/1182185020.db2.gz JDCIDNVXEVHGCK-SSDOTTSWSA-N 0 1 323.146 3.047 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCC(=O)c3ccccc3)[nH]c2c1 ZINC001454326238 1182337274 /nfs/dbraw/zinc/33/72/74/1182337274.db2.gz GRVUFKCJORSAKN-UHFFFAOYSA-N 0 1 318.336 3.036 20 30 DGEDMN Cc1c2cc(C)c(C)cc2sc1C(=O)NCC#CCN(C)C ZINC001454639445 1182349668 /nfs/dbraw/zinc/34/96/68/1182349668.db2.gz CJJJTEWZJCOATQ-UHFFFAOYSA-N 0 1 314.454 3.121 20 30 DGEDMN Cc1ccc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c(F)c1 ZINC001458048801 1182492071 /nfs/dbraw/zinc/49/20/71/1182492071.db2.gz NIVVOPZWMYQLCP-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=CCN(CCc1ccccc1)C[C@@](O)(CC)C(F)(F)F ZINC001459392588 1182584274 /nfs/dbraw/zinc/58/42/74/1182584274.db2.gz OFZBXSZDNWJLQZ-HNNXBMFYSA-N 0 1 301.352 3.421 20 30 DGEDMN CC[C@H]1CN(C)c2ccccc2CN1Cc1cc(C#N)ccn1 ZINC001459645238 1182601571 /nfs/dbraw/zinc/60/15/71/1182601571.db2.gz BUCKVBUSNUXLJJ-SFHVURJKSA-N 0 1 306.413 3.184 20 30 DGEDMN CCC#C[C@@H](C)N1CC[C@@H](c2nc(C)c(C(=O)OCC)s2)C1 ZINC001460719540 1182712922 /nfs/dbraw/zinc/71/29/22/1182712922.db2.gz QUBDZYLBWQIRIH-TZMCWYRMSA-N 0 1 320.458 3.219 20 30 DGEDMN Cc1cc([C@H]2CCCCN2Cc2ccc(OCC#N)cc2)[nH]n1 ZINC001460837973 1182729720 /nfs/dbraw/zinc/72/97/20/1182729720.db2.gz ZIDXGGVUEGCVIB-GOSISDBHSA-N 0 1 310.401 3.348 20 30 DGEDMN N#Cc1cc(CNCc2ccc(-n3ccnc3)c(F)c2)cs1 ZINC001461091655 1182766346 /nfs/dbraw/zinc/76/63/46/1182766346.db2.gz CKANQHYMJAXYJZ-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1C[C@H](OC)C1(C)C ZINC001461267471 1182789989 /nfs/dbraw/zinc/78/99/89/1182789989.db2.gz VHPHJLWBCDPAGC-HOTGVXAUSA-N 0 1 307.821 3.255 20 30 DGEDMN C#C[C@@H](NCc1cc(Br)c(C)s1)[C@H]1CCCO1 ZINC001461445947 1182815439 /nfs/dbraw/zinc/81/54/39/1182815439.db2.gz XJFFTNQZGUWFQQ-CHWSQXEVSA-N 0 1 314.248 3.089 20 30 DGEDMN N#Cc1ccc(CN[C@H]2COc3c(F)cc(F)cc3C2)c(F)c1 ZINC001461815191 1182860346 /nfs/dbraw/zinc/86/03/46/1182860346.db2.gz VMPQCTBZVLIWKG-CQSZACIVSA-N 0 1 318.298 3.069 20 30 DGEDMN CC(C)COc1ccc(CNCC2(C#N)CCOCC2)cc1 ZINC001461820411 1182861488 /nfs/dbraw/zinc/86/14/88/1182861488.db2.gz VHIVNDVXJJMLMZ-UHFFFAOYSA-N 0 1 302.418 3.131 20 30 DGEDMN C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)CC1(c2ccccc2)CC1 ZINC001606165710 1193193297 /nfs/dbraw/zinc/19/32/97/1193193297.db2.gz FTKKBYXMAAQPDC-UHFFFAOYSA-N 0 1 320.392 3.420 20 30 DGEDMN O=C(C#CC1CC1)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001465131883 1183130714 /nfs/dbraw/zinc/13/07/14/1183130714.db2.gz HQIOBXXLOQYDEQ-FQEVSTJZSA-N 0 1 315.376 3.182 20 30 DGEDMN N#Cc1cc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)cs1 ZINC001468749912 1183356457 /nfs/dbraw/zinc/35/64/57/1183356457.db2.gz YZKIXLNFSKPNIT-UHFFFAOYSA-N 0 1 316.773 3.079 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(F)ccc2NC2CC2)cc1O ZINC001470909350 1183524059 /nfs/dbraw/zinc/52/40/59/1183524059.db2.gz YUCKAZWTOBQTKH-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2cn[nH]c2-c2ccccc2)c1 ZINC001471133263 1183541124 /nfs/dbraw/zinc/54/11/24/1183541124.db2.gz MKKGQTZMCGILAM-UHFFFAOYSA-N 0 1 306.300 3.340 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2n1 ZINC001472036559 1183610433 /nfs/dbraw/zinc/61/04/33/1183610433.db2.gz IHFZYMNFLUQKBI-UHFFFAOYSA-N 0 1 318.336 3.052 20 30 DGEDMN Cc1[nH]c(C[N@@H+](Cc2ccc(C#N)cc2)C2CC2)cc1C(=O)[O-] ZINC001607493694 1193232692 /nfs/dbraw/zinc/23/26/92/1193232692.db2.gz GDWKSQCURKCIGS-UHFFFAOYSA-N 0 1 309.369 3.058 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3c(C)cc(C#N)cc3C)n2)o1 ZINC001472525737 1183651123 /nfs/dbraw/zinc/65/11/23/1183651123.db2.gz CZVDFOBVMFERIU-UHFFFAOYSA-N 0 1 321.340 3.114 20 30 DGEDMN C#CCCOc1ccc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)cc1 ZINC001472562021 1183653470 /nfs/dbraw/zinc/65/34/70/1183653470.db2.gz NNMYBZNUYXKKQE-UHFFFAOYSA-N 0 1 323.274 3.083 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)c1ccc(F)cc1O ZINC001472632131 1183657883 /nfs/dbraw/zinc/65/78/83/1183657883.db2.gz MXRIVFWWNIOKQO-AWEZNQCLSA-N 0 1 311.397 3.373 20 30 DGEDMN C[C@H](NCc1ccc(C#N)c(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC001473685289 1183790218 /nfs/dbraw/zinc/79/02/18/1183790218.db2.gz RZYDJULPXVKLBZ-JTQLQIEISA-N 0 1 311.316 3.395 20 30 DGEDMN Cc1cc(C[N@H+]2CCc3ccc(C(=O)[O-])cc3C2)ccc1C#N ZINC001607642017 1193243539 /nfs/dbraw/zinc/24/35/39/1193243539.db2.gz RYBRXSWYAHJMOQ-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN Cc1cc(C)c(CN2CCc3c(C#N)c(N)sc3C2)c(C)n1 ZINC001473857862 1183812855 /nfs/dbraw/zinc/81/28/55/1183812855.db2.gz DZTVAGLMYUACLC-UHFFFAOYSA-N 0 1 312.442 3.081 20 30 DGEDMN C[C@H]1CC[C@@](CO)(NCc2ccc(C#N)s2)c2ccccc21 ZINC001473885769 1183819128 /nfs/dbraw/zinc/81/91/28/1183819128.db2.gz OSBHLQCALMSZDM-UGSOOPFHSA-N 0 1 312.438 3.494 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H](C3CCCC3)C2)cc1 ZINC001473888557 1183820981 /nfs/dbraw/zinc/82/09/81/1183820981.db2.gz WYAOBFDMMLAAFE-KRWDZBQOSA-N 0 1 311.429 3.399 20 30 DGEDMN COc1ccc2c(c1)[C@H](NCc1ccc(C#N)c(C)c1)CCO2 ZINC001474104823 1183867345 /nfs/dbraw/zinc/86/73/45/1183867345.db2.gz DREXRTFLPFSUIG-GOSISDBHSA-N 0 1 308.381 3.489 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H](CO)C[C@H](C)CC ZINC001474189018 1183879023 /nfs/dbraw/zinc/87/90/23/1183879023.db2.gz LAKXFBGMMAANJI-KGLIPLIRSA-N 0 1 309.837 3.239 20 30 DGEDMN C#Cc1ccc(CN(C)[C@@H](c2nnc[nH]2)c2ccccc2)cc1 ZINC001474570682 1183942539 /nfs/dbraw/zinc/94/25/39/1183942539.db2.gz HKPYUCKVYVOQPH-GOSISDBHSA-N 0 1 302.381 3.007 20 30 DGEDMN C=CCC1(O)CN(CC(C)(C)c2ccc(Br)cc2)C1 ZINC001474750876 1183972010 /nfs/dbraw/zinc/97/20/10/1183972010.db2.gz AZCDMKXGOZOHMN-UHFFFAOYSA-N 0 1 324.262 3.350 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001496070177 1184131237 /nfs/dbraw/zinc/13/12/37/1184131237.db2.gz DZICQFMMTMCWOF-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1cccc(Cl)c1F ZINC001479250754 1184217551 /nfs/dbraw/zinc/21/75/51/1184217551.db2.gz XVJGXYQTKJGVNX-CQSZACIVSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001479393144 1184244608 /nfs/dbraw/zinc/24/46/08/1184244608.db2.gz CPOIAJWRTFDYGK-ZQIUZPCESA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001479393490 1184244755 /nfs/dbraw/zinc/24/47/55/1184244755.db2.gz ILVCDPDBEGDZBD-HRPOAOECSA-N 0 1 319.276 3.392 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)CCC2CC2)CCN1CC#Cc1ccccc1 ZINC001479632658 1184279942 /nfs/dbraw/zinc/27/99/42/1184279942.db2.gz HHIYHOUIHJCQJS-VQTJNVASSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(CCc3ccccc3)CC2)[C@H]1C ZINC001479730874 1184303887 /nfs/dbraw/zinc/30/38/87/1184303887.db2.gz OZRGZSXURPVWQJ-AEFFLSMTSA-N 0 1 312.457 3.164 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C3CC(C)(C)CC(C)(C)C3)C2)C1 ZINC001479759249 1184315783 /nfs/dbraw/zinc/31/57/83/1184315783.db2.gz NFAFPMQSXKLQMT-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN N#Cc1csc(C[N@H+](CCC(=O)[O-])Cc2ccccc2)c1 ZINC001608222434 1193284294 /nfs/dbraw/zinc/28/42/94/1193284294.db2.gz RERHAZLSJGBXSE-UHFFFAOYSA-N 0 1 300.383 3.097 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001479804872 1184332977 /nfs/dbraw/zinc/33/29/77/1184332977.db2.gz PXJDUIAMUGSBOR-ZWKOTPCHSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)CC1CC(F)(F)C1 ZINC001479847465 1184347440 /nfs/dbraw/zinc/34/74/40/1184347440.db2.gz MXEFLFAUAGELDY-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001479893072 1184367214 /nfs/dbraw/zinc/36/72/14/1184367214.db2.gz HXUSAANWXKHTLO-HZPDHXFCSA-N 0 1 306.494 3.251 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)s1 ZINC001608205176 1193283075 /nfs/dbraw/zinc/28/30/75/1193283075.db2.gz WSKYWDYPNDFLKW-HOTGVXAUSA-N 0 1 312.394 3.410 20 30 DGEDMN N#Cc1ccc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)s1 ZINC001608205176 1193283078 /nfs/dbraw/zinc/28/30/78/1193283078.db2.gz WSKYWDYPNDFLKW-HOTGVXAUSA-N 0 1 312.394 3.410 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@@H](C)c1ccco1)C1CC1 ZINC001480060502 1184421315 /nfs/dbraw/zinc/42/13/15/1184421315.db2.gz RFWAMEKVWQKEMD-BXUZGUMPSA-N 0 1 310.825 3.010 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@H](C)c1ccco1)C1CC1 ZINC001480060505 1184421368 /nfs/dbraw/zinc/42/13/68/1184421368.db2.gz RFWAMEKVWQKEMD-SMDDNHRTSA-N 0 1 310.825 3.010 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@H](C)C2CC2)C1 ZINC001480090934 1184432806 /nfs/dbraw/zinc/43/28/06/1184432806.db2.gz YXWCJYJGTKQVDM-DZGCQCFKSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NCc2csc(CCC)n2)C1 ZINC001480142238 1184444203 /nfs/dbraw/zinc/44/42/03/1184444203.db2.gz JRXMIVGPRFIGDU-HDJSIYSDSA-N 0 1 321.490 3.189 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@@H]1CCCCN1CC#CC)c1ccccc1 ZINC001480329614 1184493422 /nfs/dbraw/zinc/49/34/22/1184493422.db2.gz BUAWQEHEQSYLTF-PMACEKPBSA-N 0 1 324.468 3.340 20 30 DGEDMN O=C([O-])[C@@H](Cc1cc2ccccc2o1)[NH2+]CC#Cc1ccccc1 ZINC001608539422 1193291552 /nfs/dbraw/zinc/29/15/52/1193291552.db2.gz CXTBLIAOUBQGHH-GOSISDBHSA-N 0 1 319.360 3.070 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](C)[C@H]1CCCN(CC(=C)Cl)C1 ZINC001480382803 1184516469 /nfs/dbraw/zinc/51/64/69/1184516469.db2.gz MTRJWIASLCBZEX-HRANTDMQSA-N 0 1 310.869 3.478 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2cccc(Cl)n2)C1 ZINC001480721010 1184588802 /nfs/dbraw/zinc/58/88/02/1184588802.db2.gz ZDTZTTUVZRCTGB-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1cccnc1 ZINC001493308644 1184683777 /nfs/dbraw/zinc/68/37/77/1184683777.db2.gz MQGHPUHSDGGCDK-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](c1ccccc1)C(C)C)C1CC1 ZINC001493416708 1184704022 /nfs/dbraw/zinc/70/40/22/1184704022.db2.gz ALHTYINOEMUQPM-LJQANCHMSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN(CCNC(=O)C[C@H](c1ccccc1)C(C)C)C1CC1 ZINC001493435799 1184706892 /nfs/dbraw/zinc/70/68/92/1184706892.db2.gz MCXVLTMEJLZUCE-IBGZPJMESA-N 0 1 312.457 3.030 20 30 DGEDMN CCC(CC)(CC)C(=O)N(C)CCNCc1ccccc1C#N ZINC001493744793 1184733629 /nfs/dbraw/zinc/73/36/29/1184733629.db2.gz SWGKQHYOAJFYHN-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN CC[C@H](CC(=O)N(C)CCNCC#Cc1ccccc1)C(C)C ZINC001493766717 1184735980 /nfs/dbraw/zinc/73/59/80/1184735980.db2.gz GJQQSRMXYUEWAG-LJQANCHMSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@@H](C)NCc2cscn2)CC1 ZINC001493827015 1184744639 /nfs/dbraw/zinc/74/46/39/1184744639.db2.gz KRBVHLPJKCOOOF-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(C)cc(F)c1)c1ccccc1 ZINC001493897485 1184761402 /nfs/dbraw/zinc/76/14/02/1184761402.db2.gz FZAVPHHBSQWYNT-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001493962788 1184778662 /nfs/dbraw/zinc/77/86/62/1184778662.db2.gz CRGYQQCYTSZZLI-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2occ3c2CCC3)C1 ZINC001493963554 1184779015 /nfs/dbraw/zinc/77/90/15/1184779015.db2.gz HJOIRGHECKHKIL-MRXNPFEDSA-N 0 1 316.445 3.271 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ncc(C)cc2C)C1 ZINC001493964637 1184779187 /nfs/dbraw/zinc/77/91/87/1184779187.db2.gz MARLGLYMCYARNX-QGZVFWFLSA-N 0 1 315.461 3.201 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001494149042 1184816514 /nfs/dbraw/zinc/81/65/14/1184816514.db2.gz YOXZCQRGOSMBSJ-LUKYLMHMSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)ccc2C)C1 ZINC001494172800 1184823854 /nfs/dbraw/zinc/82/38/54/1184823854.db2.gz ADFWQQPIAXNWEA-INIZCTEOSA-N 0 1 320.864 3.450 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001494513273 1184879642 /nfs/dbraw/zinc/87/96/42/1184879642.db2.gz DRRJIOSMVPEJKW-CABCVRRESA-N 0 1 318.436 3.159 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1(CC(C)C)CCC1)CC2 ZINC001495979735 1185121204 /nfs/dbraw/zinc/12/12/04/1185121204.db2.gz VJLNMMLSGRYYQQ-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccccc1OC(C)C ZINC001496210041 1185148201 /nfs/dbraw/zinc/14/82/01/1185148201.db2.gz NTKXKDQMEDRNSS-CQSZACIVSA-N 0 1 324.852 3.276 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1occc1Cl ZINC001496286077 1185159981 /nfs/dbraw/zinc/15/99/81/1185159981.db2.gz JBONTTDERGNLBY-NSHDSACASA-N 0 1 319.232 3.420 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1cnoc1C)CC(C)C ZINC001496287114 1185159997 /nfs/dbraw/zinc/15/99/97/1185159997.db2.gz ZSDRVYUYVACCRM-INIZCTEOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)CC)C1CCCCC1 ZINC001496309130 1185161872 /nfs/dbraw/zinc/16/18/72/1185161872.db2.gz QPTXGSYKRZMJHK-WFASDCNBSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cc(C)c(C)o1 ZINC001496463624 1185193052 /nfs/dbraw/zinc/19/30/52/1185193052.db2.gz GLMGPKUTMRWCIM-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2c[nH]cc2C)CC1 ZINC001496512264 1185203578 /nfs/dbraw/zinc/20/35/78/1185203578.db2.gz AZYDYRMWKIYCRA-UHFFFAOYSA-N 0 1 323.868 3.250 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1csc(C)c1 ZINC001496763924 1185243337 /nfs/dbraw/zinc/24/33/37/1185243337.db2.gz KQNJUHYGBBHPES-UHFFFAOYSA-N 0 1 306.475 3.140 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C=C(CC)CC)CC[C@@H]21 ZINC001496858308 1185259225 /nfs/dbraw/zinc/25/92/25/1185259225.db2.gz LCBMEQWNIKNTQR-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCNC/C(Cl)=C/Cl ZINC001497281423 1185309263 /nfs/dbraw/zinc/30/92/63/1185309263.db2.gz FKNYFICQMBCQQG-ZGSOTFDTSA-N 0 1 307.265 3.392 20 30 DGEDMN Cc1cccc(Cl)c1CNC/C=C/CNC(=O)C#CC(C)C ZINC001497577747 1185356474 /nfs/dbraw/zinc/35/64/74/1185356474.db2.gz FNCMLRMJYRVHPN-SNAWJCMRSA-N 0 1 318.848 3.070 20 30 DGEDMN CC(C)CCCC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001497686594 1185376965 /nfs/dbraw/zinc/37/69/65/1185376965.db2.gz RAXZTIPRKIXXJH-VOTSOKGWSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(CC(C)C)s1 ZINC001498306229 1185467798 /nfs/dbraw/zinc/46/77/98/1185467798.db2.gz PFZNKDRIEVBZRT-CQSZACIVSA-N 0 1 306.475 3.020 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCC[C@H]1CNCc1nc(C)oc1C ZINC001498474732 1185504449 /nfs/dbraw/zinc/50/44/49/1185504449.db2.gz QJZXTJOHSVJXGV-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN CC(C)CCC(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001498509985 1185510430 /nfs/dbraw/zinc/51/04/30/1185510430.db2.gz BUYUKNNWEQMSRC-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc2cc[nH]c2c1)C1CC1 ZINC001498827531 1185552705 /nfs/dbraw/zinc/55/27/05/1185552705.db2.gz JYXURZTYQWWXBK-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2coc(C)n2)[C@@H](CC)C1 ZINC001498900190 1185566147 /nfs/dbraw/zinc/56/61/47/1185566147.db2.gz WZCWZZOOZBQJIS-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@H]1CNC(=O)c1scnc1C(C)C ZINC001499080554 1185607332 /nfs/dbraw/zinc/60/73/32/1185607332.db2.gz NUBBAEGZRCFKPZ-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001499341570 1185640356 /nfs/dbraw/zinc/64/03/56/1185640356.db2.gz UZJGPXFUQVYXBT-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001499396671 1185646094 /nfs/dbraw/zinc/64/60/94/1185646094.db2.gz WEDPPGGRFVGZMP-CYBMUJFWSA-N 0 1 319.474 3.184 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)C(C)C ZINC001499514698 1185660537 /nfs/dbraw/zinc/66/05/37/1185660537.db2.gz FIWVOQWQTRQPGL-KURKYZTESA-N 0 1 304.478 3.147 20 30 DGEDMN C=C[C@@H](CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC)c1ccccc1 ZINC001499560494 1185669159 /nfs/dbraw/zinc/66/91/59/1185669159.db2.gz NAOKZAAOQBZJCD-YTQUADARSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc3ccc(C)nc32)C1 ZINC001499690568 1185684822 /nfs/dbraw/zinc/68/48/22/1185684822.db2.gz HELZQYOTBCRHIO-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2ccc(F)cc2)C1 ZINC001499748976 1185691172 /nfs/dbraw/zinc/69/11/72/1185691172.db2.gz YPJZKGDJLOPLND-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN CC[N@@H+](Cc1ccc(OC)cc1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001610002996 1193371794 /nfs/dbraw/zinc/37/17/94/1193371794.db2.gz PSACWJXFKUMHQF-SFHVURJKSA-N 0 1 324.380 3.215 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(F)cc(F)c2)C1 ZINC001499779707 1185694481 /nfs/dbraw/zinc/69/44/81/1185694481.db2.gz DXPFOCVHWBYLLB-LBPRGKRZSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3c2CCC3)C1 ZINC001499805943 1185697060 /nfs/dbraw/zinc/69/70/60/1185697060.db2.gz YMTVMVKCMCMLBS-HNNXBMFYSA-N 0 1 312.457 3.286 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001499804291 1185697305 /nfs/dbraw/zinc/69/73/05/1185697305.db2.gz GHFKZFXFHRYNLZ-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001500250886 1185764151 /nfs/dbraw/zinc/76/41/51/1185764151.db2.gz GQQVCRVMDDJBLP-MOWFPQLSSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2scnc2C)C1 ZINC001500250967 1185765119 /nfs/dbraw/zinc/76/51/19/1185765119.db2.gz HRPAQYIKHHLXDD-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001500276195 1185767674 /nfs/dbraw/zinc/76/76/74/1185767674.db2.gz YHCPGMQARPYBNG-UYAOXDASSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2CCCCCCC2)C1 ZINC001500508164 1185810160 /nfs/dbraw/zinc/81/01/60/1185810160.db2.gz CENUPJZAOAPWJT-IBGZPJMESA-N 0 1 304.478 3.198 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)CCCC)C2)CC1 ZINC001501735276 1185926786 /nfs/dbraw/zinc/92/67/86/1185926786.db2.gz GYAYDHKNIYZKHT-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C)(C)C(=C)C)cccc2C1 ZINC001501853820 1185932391 /nfs/dbraw/zinc/93/23/91/1185932391.db2.gz FONUJVSVISZDJX-UHFFFAOYSA-N 0 1 312.457 3.449 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)c(F)c2)CCC1 ZINC001501981170 1185942712 /nfs/dbraw/zinc/94/27/12/1185942712.db2.gz HQYTWKFNIHRUGL-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001501988158 1185944142 /nfs/dbraw/zinc/94/41/42/1185944142.db2.gz FOEZXFAHWNMFIF-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001502131961 1185958653 /nfs/dbraw/zinc/95/86/53/1185958653.db2.gz KFPFJWWTOPCGSD-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CC(C)C)CCCC2)C(C)(C)C1 ZINC001502834270 1186021803 /nfs/dbraw/zinc/02/18/03/1186021803.db2.gz IDAAUJBFMSNDRZ-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2oc(C)nc2C)[C@H](C)C1 ZINC001502970486 1186032583 /nfs/dbraw/zinc/03/25/83/1186032583.db2.gz RWBKZGOCPQGNJX-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cnoc2C)[C@H](C)C1 ZINC001502986128 1186033954 /nfs/dbraw/zinc/03/39/54/1186033954.db2.gz JHYSXZLEJHXWCG-CZUORRHYSA-N 0 1 319.449 3.054 20 30 DGEDMN CCC1(C(=O)N[C@@H]2CCN(CC#Cc3ccccc3)[C@@H](C)C2)CC1 ZINC001502991395 1186035225 /nfs/dbraw/zinc/03/52/25/1186035225.db2.gz WGYQGAZKGGWUBK-PKOBYXMFSA-N 0 1 324.468 3.197 20 30 DGEDMN CCC1(C(=O)N[C@@H]2CCN(CC#Cc3ccccc3)[C@H](C)C2)CC1 ZINC001502991391 1186035601 /nfs/dbraw/zinc/03/56/01/1186035601.db2.gz WGYQGAZKGGWUBK-IEBWSBKVSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCC1(C)CC1 ZINC001503692321 1186051856 /nfs/dbraw/zinc/05/18/56/1186051856.db2.gz UQEAPUYGBWQKPS-IBGZPJMESA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1cnccc1C ZINC001505443329 1186081505 /nfs/dbraw/zinc/08/15/05/1186081505.db2.gz IMMIWNSBIWCDNY-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1cnccc1C ZINC001505443329 1186081508 /nfs/dbraw/zinc/08/15/08/1186081508.db2.gz IMMIWNSBIWCDNY-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](C)Cc1ccccc1F ZINC001505444883 1186081855 /nfs/dbraw/zinc/08/18/55/1186081855.db2.gz KMICDRAGCFTHFP-DOTOQJQBSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C/Cl ZINC001505612943 1186113894 /nfs/dbraw/zinc/11/38/94/1186113894.db2.gz BMDZOQLWMBIOSL-JNBVNVQXSA-N 0 1 307.265 3.391 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001505614782 1186114582 /nfs/dbraw/zinc/11/45/82/1186114582.db2.gz RWPOIGZRHICREL-KBPBESRZSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(CC(C)C)CCC1 ZINC001505652636 1186118187 /nfs/dbraw/zinc/11/81/87/1186118187.db2.gz LESHNUVTOIHKST-UONOGXRCSA-N 0 1 300.874 3.438 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc2ccccc2o1 ZINC001505670682 1186121509 /nfs/dbraw/zinc/12/15/09/1186121509.db2.gz HONYGJYKRLCYFS-STQMWFEESA-N 0 1 315.417 3.023 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001505671268 1186122374 /nfs/dbraw/zinc/12/23/74/1186122374.db2.gz PHKLQCUYLJEDLC-KFOKOMITSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(Cl)c(C)c1 ZINC001505680951 1186123455 /nfs/dbraw/zinc/12/34/55/1186123455.db2.gz HKNAAPRVOJUTTK-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001505697911 1186126709 /nfs/dbraw/zinc/12/67/09/1186126709.db2.gz MZYGYHHSEYHXNC-RYUDHWBXSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2ccccc2o1 ZINC001505746072 1186133469 /nfs/dbraw/zinc/13/34/69/1186133469.db2.gz PKYHZRHWTPXKDP-VXGBXAGGSA-N 0 1 306.793 3.282 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@@H](c3ccccc3)C2)cccc1C(=O)[O-] ZINC001610623213 1193401326 /nfs/dbraw/zinc/40/13/26/1193401326.db2.gz KQMDRKJMQUGSFK-OAHLLOKOSA-N 0 1 306.365 3.246 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)[C@H](C)c1ccccc1Cl ZINC001505916342 1186163200 /nfs/dbraw/zinc/16/32/00/1186163200.db2.gz JDIQDPVZZNSRGL-HUUCEWRRSA-N 0 1 320.864 3.497 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001506557578 1186246228 /nfs/dbraw/zinc/24/62/28/1186246228.db2.gz IJGKMSCPTRFNSR-IBGZPJMESA-N 0 1 324.468 3.003 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001506589105 1186257999 /nfs/dbraw/zinc/25/79/99/1186257999.db2.gz FMKUZMPXQBSBGP-FZKQIMNGSA-N 0 1 316.420 3.216 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001506683172 1186274060 /nfs/dbraw/zinc/27/40/60/1186274060.db2.gz YOCNOLHOHVJPHH-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C/c2ccc(C)cc2)C(C)(C)C1 ZINC001506757679 1186289658 /nfs/dbraw/zinc/28/96/58/1186289658.db2.gz UCHLTGKGQCLYCA-UCFODXPJSA-N 0 1 324.468 3.248 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1cccc(Cl)c1F ZINC001507075852 1186354650 /nfs/dbraw/zinc/35/46/50/1186354650.db2.gz MLZQXFHNWCMIJT-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1OC ZINC001507080735 1186356999 /nfs/dbraw/zinc/35/69/99/1186356999.db2.gz IRYZJDMQPQOOER-AWEZNQCLSA-N 0 1 322.424 3.127 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1cccc(C2CC2)c1 ZINC001507109281 1186361457 /nfs/dbraw/zinc/36/14/57/1186361457.db2.gz XBGLGUWOCJFVEO-AWEZNQCLSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1c(C)noc1CC ZINC001507119851 1186365685 /nfs/dbraw/zinc/36/56/85/1186365685.db2.gz VVJSVWUEZCGJKY-SCLBCKFNSA-N 0 1 321.465 3.084 20 30 DGEDMN C[C@H](CN(C)C(=O)C(C)(C)C)NCC#Cc1ccccc1Cl ZINC001507148106 1186372877 /nfs/dbraw/zinc/37/28/77/1186372877.db2.gz OKPISBLHLGMPHK-CQSZACIVSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C2CC2)cc1 ZINC001507160982 1186376248 /nfs/dbraw/zinc/37/62/48/1186376248.db2.gz WDUQMIHRFNQPBL-ZDUSSCGKSA-N 0 1 306.837 3.367 20 30 DGEDMN CC(C)=CC(=O)N[C@@]12CCC[C@@H]1N(Cc1ccccc1C#N)CC2 ZINC001507334831 1186394594 /nfs/dbraw/zinc/39/45/94/1186394594.db2.gz KNQIUDKQIXUDKL-AZUAARDMSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CCCC2CCCCC2)[C@@H]1C ZINC001507386738 1186413961 /nfs/dbraw/zinc/41/39/61/1186413961.db2.gz QPDMFUTVPPRJAH-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@@H](C)CCC)CC1(C)C ZINC001507423105 1186426510 /nfs/dbraw/zinc/42/65/10/1186426510.db2.gz BKGSUGKNJMSOFU-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](CC)CC(F)(F)F)C(C)C ZINC001507854003 1186450807 /nfs/dbraw/zinc/45/08/07/1186450807.db2.gz FDOWYAIZXIGLRT-AWEZNQCLSA-N 0 1 320.399 3.157 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1conc1CC)C(C)(C)C ZINC001507989917 1186464906 /nfs/dbraw/zinc/46/49/06/1186464906.db2.gz JRRIGHNXGKRQHH-OAHLLOKOSA-N 0 1 321.465 3.070 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001507991124 1186465747 /nfs/dbraw/zinc/46/57/47/1186465747.db2.gz NZZMYJCJBVJEIC-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C2CCCCC2)c(F)c1 ZINC001508233241 1186491812 /nfs/dbraw/zinc/49/18/12/1186491812.db2.gz NSKLQTAIDYXNNS-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=CCCC(C)(C)NC(=O)c1c[nH]c2cc(OC)ccc2c1=O ZINC001611605880 1193438941 /nfs/dbraw/zinc/43/89/41/1193438941.db2.gz CJUXLTNGJJDLTA-UHFFFAOYSA-N 0 1 314.385 3.011 20 30 DGEDMN CO[C@]1(C(=O)C(C#N)c2ncc(C(C)(C)C)s2)CCSC1 ZINC001514903587 1186735675 /nfs/dbraw/zinc/73/56/75/1186735675.db2.gz STFHYUNMRQKALG-MEBBXXQBSA-N 0 1 324.471 3.139 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccncc2)s1)c1cccc(F)n1 ZINC001516731625 1186791009 /nfs/dbraw/zinc/79/10/09/1186791009.db2.gz YHRVVVRYIYHAEH-NSHDSACASA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(-c2ccncc2)s1)c1cccc(F)n1 ZINC001516731625 1186791012 /nfs/dbraw/zinc/79/10/12/1186791012.db2.gz YHRVVVRYIYHAEH-NSHDSACASA-N 0 1 324.340 3.229 20 30 DGEDMN CC1CCC(OCC(=O)[C@H](C#N)c2ncc(F)cc2F)CC1 ZINC001518066322 1186830977 /nfs/dbraw/zinc/83/09/77/1186830977.db2.gz RAODGGHKVVQJQP-GDKBPFBDSA-N 0 1 308.328 3.131 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001520030081 1186911882 /nfs/dbraw/zinc/91/18/82/1186911882.db2.gz UWNQWFNTGRUGQO-ZDUSSCGKSA-N 0 1 315.760 3.075 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(F)cccc1Cl ZINC001520035776 1186911990 /nfs/dbraw/zinc/91/19/90/1186911990.db2.gz GLFSDYKNPZDOLB-LLVKDONJSA-N 0 1 305.740 3.114 20 30 DGEDMN C#CCN1CCC[C@H](Nc2ccc(C(F)(F)F)c(Cl)n2)C1 ZINC001612894756 1193463334 /nfs/dbraw/zinc/46/33/34/1193463334.db2.gz YBEGHPZGAUIGLW-JTQLQIEISA-N 0 1 317.742 3.263 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1C1CCCC1 ZINC001524711800 1187262688 /nfs/dbraw/zinc/26/26/88/1187262688.db2.gz JUDOBEZWHGBUOC-ZDUSSCGKSA-N 0 1 312.348 3.331 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)c1cnc2ccccc2n1 ZINC001525468950 1187316308 /nfs/dbraw/zinc/31/63/08/1187316308.db2.gz SCAJTYMTAAFYNW-FCIHNUDMSA-N 0 1 303.365 3.098 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1c(F)cccc1F ZINC001526587818 1187376365 /nfs/dbraw/zinc/37/63/65/1187376365.db2.gz UQFQZWCIYZBYPP-GHMZBOCLSA-N 0 1 303.312 3.161 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@H]1SCCc2ccccc21 ZINC001526593634 1187377456 /nfs/dbraw/zinc/37/74/56/1187377456.db2.gz HYJOIYJOYHFETI-ZBFHGGJFSA-N 0 1 311.410 3.110 20 30 DGEDMN CSc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001534068203 1187873276 /nfs/dbraw/zinc/87/32/76/1187873276.db2.gz ZEEKHAWYKMDPET-UHFFFAOYSA-N 0 1 308.366 3.409 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCCOc3ccccc3)[nH]c2c1 ZINC001534359132 1187893617 /nfs/dbraw/zinc/89/36/17/1187893617.db2.gz UDAMMIRKSWIIFE-UHFFFAOYSA-N 0 1 320.352 3.232 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3ccc(C(F)(F)F)cc3[nH]2)co1 ZINC001536275466 1187997432 /nfs/dbraw/zinc/99/74/32/1187997432.db2.gz LMCYAEQNOAUUAN-UHFFFAOYSA-N 0 1 320.230 3.299 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)s1 ZINC001537168255 1188056266 /nfs/dbraw/zinc/05/62/66/1188056266.db2.gz BIQSZJCCHAUZJW-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN C#Cc1ccccc1CC(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001537490023 1188078490 /nfs/dbraw/zinc/07/84/90/1188078490.db2.gz XTAOUGMYMJIBEB-UHFFFAOYSA-N 0 1 309.756 3.379 20 30 DGEDMN C#CCN1CCC(C(=O)Oc2ccc3cc(C)ccc3c2)CC1 ZINC001538111967 1188120395 /nfs/dbraw/zinc/12/03/95/1188120395.db2.gz UYZUTTIYNXZZNG-UHFFFAOYSA-N 0 1 307.393 3.399 20 30 DGEDMN Cc1c(C(=O)Nc2ccc(Cl)cc2C#N)ccc2cncn21 ZINC001538944014 1188170908 /nfs/dbraw/zinc/17/09/08/1188170908.db2.gz WXRNWKNATLHHGP-UHFFFAOYSA-N 0 1 310.744 3.420 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc(F)cc(F)c1O ZINC001540631489 1188250350 /nfs/dbraw/zinc/25/03/50/1188250350.db2.gz FJFOHOGKALJAMI-UHFFFAOYSA-N 0 1 303.308 3.499 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1ccc(Cl)c(O)c1 ZINC001540804550 1188262714 /nfs/dbraw/zinc/26/27/14/1188262714.db2.gz BZMSIZPNNRHICU-UHFFFAOYSA-N 0 1 300.745 3.189 20 30 DGEDMN Cc1noc(C)c1[C@H](C)CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001543408192 1188331701 /nfs/dbraw/zinc/33/17/01/1188331701.db2.gz ULAZJEJFEILUQJ-SECBINFHSA-N 0 1 323.356 3.172 20 30 DGEDMN CCCc1ncc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)s1 ZINC001543407610 1188332264 /nfs/dbraw/zinc/33/22/64/1188332264.db2.gz IJJMLPYEANKNIT-UHFFFAOYSA-N 0 1 311.370 3.096 20 30 DGEDMN N#Cc1c[nH]c2cc(C(=O)Nc3ccc4nc[nH]c4c3)ccc12 ZINC001544106923 1188377392 /nfs/dbraw/zinc/37/73/92/1188377392.db2.gz OWYVKAZFPLUCBZ-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN c1cc2sc(N=NC3CCCN4CCCC[C@H]34)nc2cn1 ZINC001552234979 1188826761 /nfs/dbraw/zinc/82/67/61/1188826761.db2.gz LOLMARKOQIOIIS-CYBMUJFWSA-N 0 1 301.419 3.108 20 30 DGEDMN C=CC[C@H]1N(C(=O)c2ccc(CN(C)C)nc2)CCCC1(C)C ZINC001556416266 1189000184 /nfs/dbraw/zinc/00/01/84/1189000184.db2.gz QDLYNCQOOUHWNC-QGZVFWFLSA-N 0 1 315.461 3.350 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1ccccc1Nc1ccncc1 ZINC001556440623 1189001302 /nfs/dbraw/zinc/00/13/02/1189001302.db2.gz WDFIHHKHVJQFLR-UHFFFAOYSA-N 0 1 319.389 3.299 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@H](C)SCc1ccccc1 ZINC001556466057 1189001874 /nfs/dbraw/zinc/00/18/74/1189001874.db2.gz IAACTOHZKNPFTG-INIZCTEOSA-N 0 1 320.502 3.275 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC001557333725 1189057564 /nfs/dbraw/zinc/05/75/64/1189057564.db2.gz DLPJGXQXRWSFAM-OKZBNKHCSA-N 0 1 312.457 3.156 20 30 DGEDMN CCN(CC[S@](=O)C(F)(F)F)[C@@H](C)c1ccc(C#N)cc1 ZINC001559494984 1189210495 /nfs/dbraw/zinc/21/04/95/1189210495.db2.gz SWBNCWNJIMWQNH-MQJDWESPSA-N 0 1 318.364 3.210 20 30 DGEDMN CC(C)[C@@H](NCc1cc(C#N)ccn1)c1ccc2c(c1)OCCO2 ZINC001559708068 1189232669 /nfs/dbraw/zinc/23/26/69/1189232669.db2.gz KHNMASFUVMDDML-LJQANCHMSA-N 0 1 323.396 3.211 20 30 DGEDMN C=C(Cl)CN(C)Cc1ccc(CN2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC001559953343 1189261129 /nfs/dbraw/zinc/26/11/29/1189261129.db2.gz OLRIDQJKBVXBMY-IYBDPMFKSA-N 0 1 322.880 3.480 20 30 DGEDMN Cc1cc(CNCc2cccc(C#N)c2)ncc1Br ZINC001560261931 1189301088 /nfs/dbraw/zinc/30/10/88/1189301088.db2.gz XWOSCXKGKYBAQD-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN C=CCOc1ccccc1CNCc1cnc2c(C)cccn12 ZINC001560337508 1189312856 /nfs/dbraw/zinc/31/28/56/1189312856.db2.gz LBKYMKAYAUBKJI-UHFFFAOYSA-N 0 1 307.397 3.497 20 30 DGEDMN CCc1ccc(CNCc2cn(C)nc2-c2ccc(C#N)cc2)o1 ZINC001560436025 1189328163 /nfs/dbraw/zinc/32/81/63/1189328163.db2.gz NLRXIVMDEZDXQJ-UHFFFAOYSA-N 0 1 320.396 3.404 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCN(C)c2ccccc2C1 ZINC001560688685 1189361341 /nfs/dbraw/zinc/36/13/41/1189361341.db2.gz PMRRVGHROJAKOG-UHFFFAOYSA-N 0 1 320.440 3.076 20 30 DGEDMN COc1ccccc1N1CCCN(CC#Cc2ccccc2)CC1 ZINC001561045670 1189425770 /nfs/dbraw/zinc/42/57/70/1189425770.db2.gz OUGYTIWBERTAOK-UHFFFAOYSA-N 0 1 320.436 3.259 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)C=C(CC)CC ZINC001568265832 1189480840 /nfs/dbraw/zinc/48/08/40/1189480840.db2.gz GYZPOWAPPZFWFF-LBPRGKRZSA-N 0 1 317.271 3.078 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C(C)C)c1C ZINC001565824642 1189677009 /nfs/dbraw/zinc/67/70/09/1189677009.db2.gz KZRWEALCHGFDDR-KRWDZBQOSA-N 0 1 317.477 3.490 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccccc1C ZINC001565828439 1189680737 /nfs/dbraw/zinc/68/07/37/1189680737.db2.gz OGAHNNWLWNWUBU-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001565906966 1189702520 /nfs/dbraw/zinc/70/25/20/1189702520.db2.gz UKTASDWIJSMBJS-SOUVJXGZSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1CC ZINC001566019563 1189718133 /nfs/dbraw/zinc/71/81/33/1189718133.db2.gz UCERZSRLDDJYDJ-DLBZAZTESA-N 0 1 315.461 3.071 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001566449582 1189773349 /nfs/dbraw/zinc/77/33/49/1189773349.db2.gz TVKJYXOVLHXPBT-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN O=C(CC1CCC1)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001566583488 1189810549 /nfs/dbraw/zinc/81/05/49/1189810549.db2.gz HQSCVAQPFRTRRB-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001566611697 1189822575 /nfs/dbraw/zinc/82/25/75/1189822575.db2.gz MQRXWQNKGCWTFE-MRXNPFEDSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC001566626669 1189832293 /nfs/dbraw/zinc/83/22/93/1189832293.db2.gz VOCOQRRNHNQGAF-HNNXBMFYSA-N 0 1 306.475 3.349 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566672818 1189854137 /nfs/dbraw/zinc/85/41/37/1189854137.db2.gz WUZYZDZSMMAACS-SFHVURJKSA-N 0 1 316.420 3.040 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001566698121 1189863781 /nfs/dbraw/zinc/86/37/81/1189863781.db2.gz ZZQVZAAOBFGAKX-KSZLIROESA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCc1ccc(C)cc1 ZINC001566755899 1189891487 /nfs/dbraw/zinc/89/14/87/1189891487.db2.gz VUFQRWFZGNHSJD-BGYRXZFFSA-N 0 1 324.468 3.016 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCCN1C/C=C/c1ccccc1 ZINC001566919484 1189951392 /nfs/dbraw/zinc/95/13/92/1189951392.db2.gz AHXBKGGQMUHDSB-ZBWUASRJSA-N 0 1 324.468 3.330 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)Cc1cccc(C2CC2)c1 ZINC001566924433 1189955097 /nfs/dbraw/zinc/95/50/97/1189955097.db2.gz NXFGEXJNWONKCN-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)Cc1ccccc1 ZINC001566930248 1189959914 /nfs/dbraw/zinc/95/99/14/1189959914.db2.gz SXNJLRDTNJUNTI-AEFFLSMTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1C(C)(C)C1(C)C ZINC001566935813 1189960624 /nfs/dbraw/zinc/96/06/24/1189960624.db2.gz GJWVUSYOURLGMC-ZDUSSCGKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)CCc1ccccc1 ZINC001566951985 1189964872 /nfs/dbraw/zinc/96/48/72/1189964872.db2.gz VPJXATIZWOGTFE-KRWDZBQOSA-N 0 1 320.864 3.342 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001567049138 1190008474 /nfs/dbraw/zinc/00/84/74/1190008474.db2.gz IXVNUXCESGSZCT-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001567049296 1190008970 /nfs/dbraw/zinc/00/89/70/1190008970.db2.gz KBBARQCHWJFJRR-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN CCC[C@H](C(=O)NC[C@@H](C)NCc1ccccc1C#N)C(C)C ZINC001567059585 1190014638 /nfs/dbraw/zinc/01/46/38/1190014638.db2.gz QFUUOBGUNWMYBB-QAPCUYQASA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1sccc1C(F)F ZINC001567074577 1190022550 /nfs/dbraw/zinc/02/25/50/1190022550.db2.gz SMOXIROEZMEKMC-QMMMGPOBSA-N 0 1 308.781 3.146 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001567096426 1190028642 /nfs/dbraw/zinc/02/86/42/1190028642.db2.gz BGXMCKBJBZKCPQ-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001567186772 1190057144 /nfs/dbraw/zinc/05/71/44/1190057144.db2.gz UIIIJGLAGFNIPZ-LJQANCHMSA-N 0 1 312.457 3.007 20 30 DGEDMN C=Cc1ccc(C(=O)N(C)CCNCC#Cc2ccccc2)cc1 ZINC001567565236 1190218885 /nfs/dbraw/zinc/21/88/85/1190218885.db2.gz FYVHNXZPHTUPSV-UHFFFAOYSA-N 0 1 318.420 3.043 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C[C@@H]1CC[C@H]2C[C@H]21)C(C)C ZINC001567645289 1190236882 /nfs/dbraw/zinc/23/68/82/1190236882.db2.gz QAUIYYPVEWKAOQ-HRCADAONSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@]12C[C@@H]1CCCC2)c1ccccc1 ZINC001567663488 1190242374 /nfs/dbraw/zinc/24/23/74/1190242374.db2.gz PUXFDTMTALXWQV-NSHGMRRFSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2cccs2)C1 ZINC001567693431 1190258261 /nfs/dbraw/zinc/25/82/61/1190258261.db2.gz PZXSAMUYYIKZOJ-OAHLLOKOSA-N 0 1 306.475 3.180 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)cc(C)c2)C1 ZINC001567741006 1190281882 /nfs/dbraw/zinc/28/18/82/1190281882.db2.gz AYZJNLMWYABQED-MRXNPFEDSA-N 0 1 320.864 3.450 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(C)cc2C)C1 ZINC001567743294 1190282754 /nfs/dbraw/zinc/28/27/54/1190282754.db2.gz WVEJWBDEMRFISS-INIZCTEOSA-N 0 1 320.864 3.450 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)/C(C)=C\C)C1 ZINC001567745130 1190283759 /nfs/dbraw/zinc/28/37/59/1190283759.db2.gz KPUKYUBTOWAFPG-LLOGYHTPSA-N 0 1 324.468 3.469 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)/C(C)=C/C)C1 ZINC001567745132 1190284531 /nfs/dbraw/zinc/28/45/31/1190284531.db2.gz KPUKYUBTOWAFPG-PUQBOAQESA-N 0 1 324.468 3.469 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(C)cc2Cl)C1 ZINC001567798188 1190300484 /nfs/dbraw/zinc/30/04/84/1190300484.db2.gz KFJHWFCTHLWCQJ-CQSZACIVSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001567798010 1190301063 /nfs/dbraw/zinc/30/10/63/1190301063.db2.gz FAHAAETVYPNCJI-KEDPEKRHSA-N 0 1 316.420 3.384 20 30 DGEDMN CCN(C(=O)CC(C)C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001567930680 1190360186 /nfs/dbraw/zinc/36/01/86/1190360186.db2.gz NTJGFXMJHNSGHQ-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1nc(C)c(C)s1 ZINC001567972775 1190382320 /nfs/dbraw/zinc/38/23/20/1190382320.db2.gz VZGYNXDIYLNVLG-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NCc1nc(C)c(C)s1 ZINC001567975244 1190383814 /nfs/dbraw/zinc/38/38/14/1190383814.db2.gz MOFUGJALHNRJKR-RHSMWYFYSA-N 0 1 323.506 3.347 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NCc1nc(C)sc1C ZINC001567980612 1190386739 /nfs/dbraw/zinc/38/67/39/1190386739.db2.gz YZCILBQBKPUIBW-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2cc[nH]c2C)cc1 ZINC001568116180 1190425559 /nfs/dbraw/zinc/42/55/59/1190425559.db2.gz FAPHCBQVZKAMCK-UHFFFAOYSA-N 0 1 317.820 3.095 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)[C@H](CC#N)c1ccccc1 ZINC001568192527 1190447955 /nfs/dbraw/zinc/44/79/55/1190447955.db2.gz DCSTVADWWYHKDA-MRXNPFEDSA-N 0 1 324.428 3.363 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1nsc2ccccc12 ZINC001568260141 1190465294 /nfs/dbraw/zinc/46/52/94/1190465294.db2.gz PPQZMUSKXCPKGO-CYBMUJFWSA-N 0 1 317.458 3.199 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001568261564 1190466592 /nfs/dbraw/zinc/46/65/92/1190466592.db2.gz YRKGJLNTJVSNFW-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1ccccc1Cl ZINC001568315439 1190488138 /nfs/dbraw/zinc/48/81/38/1190488138.db2.gz FIADZTYGFFHCSY-LBPRGKRZSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1Cc2ccccc21 ZINC001568333379 1190493962 /nfs/dbraw/zinc/49/39/62/1190493962.db2.gz APABLABUWCZPPF-WBVHZDCISA-N 0 1 320.864 3.199 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1ccc(Cl)c(OC)c1 ZINC001568475734 1190535633 /nfs/dbraw/zinc/53/56/33/1190535633.db2.gz DWVVIQXKIJPWSG-UHFFFAOYSA-N 0 1 324.852 3.205 20 30 DGEDMN CCC(CC)C(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001568475107 1190535680 /nfs/dbraw/zinc/53/56/80/1190535680.db2.gz XZMXDXHVNDPMED-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CCCCO[C@@H]1C[C@H](N(C)Cc2cc(C#N)ccn2)C1(C)C ZINC001657719654 1196172107 /nfs/dbraw/zinc/17/21/07/1196172107.db2.gz XAYLZFQWJRRKQX-DLBZAZTESA-N 0 1 301.434 3.369 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001568637687 1190572191 /nfs/dbraw/zinc/57/21/91/1190572191.db2.gz ZUKMCEAIFYIKEK-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN CC[C@H](C)CC(=O)N(CC)CCNCc1cc(C#N)ccc1F ZINC001568739115 1190584157 /nfs/dbraw/zinc/58/41/57/1190584157.db2.gz NGYDDFNWOPXSLN-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CCc1ccc(C)cc1 ZINC001568758824 1190585823 /nfs/dbraw/zinc/58/58/23/1190585823.db2.gz SXUZTAGJFOYFRL-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001568759377 1190586133 /nfs/dbraw/zinc/58/61/33/1190586133.db2.gz PNDZXSGPDXNYNV-QGZVFWFLSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](CC)CC(F)(F)F ZINC001568791598 1190589161 /nfs/dbraw/zinc/58/91/61/1190589161.db2.gz NPSHBJDTWCSOQR-LLVKDONJSA-N 0 1 314.779 3.156 20 30 DGEDMN CC(C)C(C)(C)C(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001568856210 1190594932 /nfs/dbraw/zinc/59/49/32/1190594932.db2.gz VYKIHVWVUXAGOG-AATRIKPKSA-N 0 1 319.474 3.064 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1c(Cl)cccc1OC ZINC001569011382 1190607925 /nfs/dbraw/zinc/60/79/25/1190607925.db2.gz MJJMDUDNVSQPQH-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN CCC1(C(=O)N[C@@H](C)CNCc2ccccc2C#N)CCCC1 ZINC001569088649 1190619184 /nfs/dbraw/zinc/61/91/84/1190619184.db2.gz KTANYLPQUOHNFU-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1(CC)CCC(F)(F)CC1 ZINC001569124961 1190632118 /nfs/dbraw/zinc/63/21/18/1190632118.db2.gz PKPJZXGKQGVNQK-CQSZACIVSA-N 0 1 314.420 3.052 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@@H](CC)c1ccccc1 ZINC001569146663 1190642015 /nfs/dbraw/zinc/64/20/15/1190642015.db2.gz JKJIKTRPNWVELE-DLBZAZTESA-N 0 1 300.446 3.030 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1scnc1C(C)C ZINC001569375796 1190683625 /nfs/dbraw/zinc/68/36/25/1190683625.db2.gz BYFFRGFCAICYRT-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC001569384364 1190688142 /nfs/dbraw/zinc/68/81/42/1190688142.db2.gz JFWWQKYOGWYVRK-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cc(Cl)ccc2F)CC1 ZINC001569392903 1190690610 /nfs/dbraw/zinc/69/06/10/1190690610.db2.gz FCTIMMOWLSBGST-UHFFFAOYSA-N 0 1 310.800 3.184 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3cc(C)ccc3[nH]2)CC1 ZINC001569411799 1190693178 /nfs/dbraw/zinc/69/31/78/1190693178.db2.gz KJMYMWLFIYDCCF-UHFFFAOYSA-N 0 1 317.820 3.081 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C(F)F)nc2)C1 ZINC001569492002 1190707536 /nfs/dbraw/zinc/70/75/36/1190707536.db2.gz IIBBPBHMTAJEGI-GFCCVEGCSA-N 0 1 323.387 3.130 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(OC(C)C)cc2)C1 ZINC001569505815 1190710538 /nfs/dbraw/zinc/71/05/38/1190710538.db2.gz KHVZZQSDFDVKDK-UHFFFAOYSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001569507926 1190710675 /nfs/dbraw/zinc/71/06/75/1190710675.db2.gz JWZIQYFNMOASEA-ZWKOTPCHSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2ccc(C)c(F)c2)C1 ZINC001569632754 1190727288 /nfs/dbraw/zinc/72/72/88/1190727288.db2.gz ZASFJEKUTZZCBD-SFHVURJKSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001569638844 1190729680 /nfs/dbraw/zinc/72/96/80/1190729680.db2.gz IHQTZKSGUUJUBQ-GOSISDBHSA-N 0 1 304.409 3.263 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCC1(C)C)c1ccccc1CC ZINC001569802219 1190756302 /nfs/dbraw/zinc/75/63/02/1190756302.db2.gz QRVNGQSQORGBKI-ROUUACIJSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](CC)CCCCC)[C@@H]2C1 ZINC001569921153 1190775091 /nfs/dbraw/zinc/77/50/91/1190775091.db2.gz CCCBQQKDPOXQRU-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1CCC[C@H]1C ZINC001570026924 1190783519 /nfs/dbraw/zinc/78/35/19/1190783519.db2.gz OHMCFCTXAOWZRZ-IAGOWNOFSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1CCC[C@@H]1C ZINC001570026923 1190783588 /nfs/dbraw/zinc/78/35/88/1190783588.db2.gz OHMCFCTXAOWZRZ-DLBZAZTESA-N 0 1 312.457 3.194 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H](C)C(C)(F)F)cccc2C1 ZINC001570078095 1190791503 /nfs/dbraw/zinc/79/15/03/1190791503.db2.gz LSHKMNXHHBTQAU-CYBMUJFWSA-N 0 1 322.399 3.138 20 30 DGEDMN CCC1(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)CCC1 ZINC001570114107 1190798823 /nfs/dbraw/zinc/79/88/23/1190798823.db2.gz UEFPJHCDTSXXOU-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1scnc1C ZINC001570207598 1190820460 /nfs/dbraw/zinc/82/04/60/1190820460.db2.gz WARJFPFWFQBBQB-QGZVFWFLSA-N 0 1 323.506 3.334 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(OC)cc1 ZINC001570516620 1190873319 /nfs/dbraw/zinc/87/33/19/1190873319.db2.gz DUCAGPPHHBADNG-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001570534977 1190878958 /nfs/dbraw/zinc/87/89/58/1190878958.db2.gz UMGCBNYWHOFIRT-FQQSSWHASA-N 0 1 312.457 3.284 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H]2CN(C)C[C@@H](F)CC)CC1 ZINC001570541086 1190880941 /nfs/dbraw/zinc/88/09/41/1190880941.db2.gz XCEJACCGWCXXEL-DLBZAZTESA-N 0 1 310.457 3.404 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1cccc(Cl)c1F ZINC001570540231 1190881262 /nfs/dbraw/zinc/88/12/62/1190881262.db2.gz MMFOFEWCKGWOLQ-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(Cl)c1F ZINC001570540231 1190881263 /nfs/dbraw/zinc/88/12/63/1190881263.db2.gz MMFOFEWCKGWOLQ-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C)c1Cl ZINC001570542591 1190882092 /nfs/dbraw/zinc/88/20/92/1190882092.db2.gz UJPDHPVATXSPCU-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1Cl ZINC001570591730 1190895371 /nfs/dbraw/zinc/89/53/71/1190895371.db2.gz BNZVHMPVVOCCGQ-NWDGAFQWSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001570607840 1190899767 /nfs/dbraw/zinc/89/97/67/1190899767.db2.gz KRQCGXSJBNBBGU-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001570606695 1190900175 /nfs/dbraw/zinc/90/01/75/1190900175.db2.gz AWYLARQQBOUBBS-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN CCCC1(C(=O)N[C@H](C)[C@@H](C)NCc2ccccc2C#N)CC1 ZINC001570633022 1190906608 /nfs/dbraw/zinc/90/66/08/1190906608.db2.gz BLDAWRYQDXRBGL-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(c2cccc(F)c2)CC1 ZINC001570636742 1190907705 /nfs/dbraw/zinc/90/77/05/1190907705.db2.gz MZFKKVBJDSFCRB-CHWSQXEVSA-N 0 1 324.827 3.093 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1c(C)noc1CC ZINC001570636908 1190907768 /nfs/dbraw/zinc/90/77/68/1190907768.db2.gz OVUYAWBJUMYKFD-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C[C@H](CNC(=O)CC(C)(C)C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001570698059 1190921018 /nfs/dbraw/zinc/92/10/18/1190921018.db2.gz MXCDISUQNWFBOJ-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccncc1C ZINC001570810603 1190953922 /nfs/dbraw/zinc/95/39/22/1190953922.db2.gz SFPSJDARAATUNA-CQSZACIVSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@H](CC)CCCC)C2)C1 ZINC001570882357 1190969344 /nfs/dbraw/zinc/96/93/44/1190969344.db2.gz RJYZAIOSPROONA-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)CCCC)C2)C1 ZINC001570882108 1190969385 /nfs/dbraw/zinc/96/93/85/1190969385.db2.gz MIPSSABPKIIPQT-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570905357 1190974182 /nfs/dbraw/zinc/97/41/82/1190974182.db2.gz RSEDOWYCEFXQFB-MSOLQXFVSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(C)[C@H](C(C)(C)C)C1)c1ccccc1 ZINC001570914473 1190976069 /nfs/dbraw/zinc/97/60/69/1190976069.db2.gz FIDHQXDLIXPQSX-IRXDYDNUSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC001571036603 1190980495 /nfs/dbraw/zinc/98/04/95/1190980495.db2.gz ZUWRXSWAPAVGHD-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H](C)C(C)(C)C ZINC001571362394 1191002693 /nfs/dbraw/zinc/00/26/93/1191002693.db2.gz IZKMLCVPRAPELC-TZMCWYRMSA-N 0 1 300.874 3.248 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@H](C)NCc1cccc(F)c1F ZINC001571384591 1191009578 /nfs/dbraw/zinc/00/95/78/1191009578.db2.gz RFXONPQSKSOXGE-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001571393715 1191012619 /nfs/dbraw/zinc/01/26/19/1191012619.db2.gz VEALDRMYHWDDHJ-FPMFFAJLSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1cc(C2CC2)no1 ZINC001571404555 1191016004 /nfs/dbraw/zinc/01/60/04/1191016004.db2.gz OATRKOPGGDQXKU-ACJLOTCBSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c[nH]c2ccc(C)cc21 ZINC001571419068 1191020835 /nfs/dbraw/zinc/02/08/35/1191020835.db2.gz KKVIKXQJPZLJQD-ZDUSSCGKSA-N 0 1 319.836 3.279 20 30 DGEDMN Cc1cc(CN(C)CCN(C(=O)[C@@H](C)C#N)C(C)C)c(C)s1 ZINC001571610698 1191056589 /nfs/dbraw/zinc/05/65/89/1191056589.db2.gz YJZMPMJUBLOVOC-ZDUSSCGKSA-N 0 1 321.490 3.193 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2ccc(F)cc2)CC1 ZINC001571693611 1191084819 /nfs/dbraw/zinc/08/48/19/1191084819.db2.gz FLXFONUYANYKME-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN C=CC[C@]1(C(=O)OCc2ccc(OCC)cc2C)CCCN1 ZINC001574897509 1191456587 /nfs/dbraw/zinc/45/65/87/1191456587.db2.gz POOKHDOSMCJOLN-GOSISDBHSA-N 0 1 303.402 3.135 20 30 DGEDMN C=CC[C@]1(C(=O)OCc2ccc3nc(C)sc3c2)CCCN1 ZINC001574896974 1191456979 /nfs/dbraw/zinc/45/69/79/1191456979.db2.gz CUTRMRQPVRTKMO-QGZVFWFLSA-N 0 1 316.426 3.346 20 30 DGEDMN COC[C@@H](C)N(Cc1cc(C#N)ccn1)[C@@H]1CCc2ccccc21 ZINC001620472250 1193770795 /nfs/dbraw/zinc/77/07/95/1193770795.db2.gz MSKDPCHNBDSLMW-FOIQADDNSA-N 0 1 321.424 3.478 20 30 DGEDMN CC[C@@H](CC#N)N[C@H]1CCN(C(=O)OC(C)(C)C)C12CCC2 ZINC001621227037 1193818408 /nfs/dbraw/zinc/81/84/08/1193818408.db2.gz JLZDQOMYFASKMJ-KBPBESRZSA-N 0 1 307.438 3.200 20 30 DGEDMN CC[C@@](C)(CN1CCN(c2ccc(C#N)cc2Cl)CC1)OC ZINC001621290665 1193827308 /nfs/dbraw/zinc/82/73/08/1193827308.db2.gz XWAXSOSHDLVPLC-KRWDZBQOSA-N 0 1 321.852 3.149 20 30 DGEDMN CCc1cccc(NC(=O)CNCc2c(C)cc(C#N)cc2C)c1 ZINC001621403139 1193838557 /nfs/dbraw/zinc/83/85/57/1193838557.db2.gz QYDRKQRLMAUVAL-UHFFFAOYSA-N 0 1 321.424 3.466 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C)c(C#N)c2)cc1F ZINC001621518453 1193852687 /nfs/dbraw/zinc/85/26/87/1193852687.db2.gz IUPDBGDSPFQFTR-UHFFFAOYSA-N 0 1 312.344 3.082 20 30 DGEDMN COc1cccc(CC(=O)Nc2cc(C#N)ccc2O)c1Cl ZINC001624287625 1193990527 /nfs/dbraw/zinc/99/05/27/1193990527.db2.gz JVKCBFRNSFVSIC-UHFFFAOYSA-N 0 1 316.744 3.107 20 30 DGEDMN C=C(C)[C@H](NC(=O)CCc1cc(C)[nH]n1)c1ccc(F)c(F)c1 ZINC001625552785 1194058095 /nfs/dbraw/zinc/05/80/95/1194058095.db2.gz HOCJJXLQMLCDJW-KRWDZBQOSA-N 0 1 319.355 3.363 20 30 DGEDMN C[C@H](C#N)CN(C)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001626456835 1194078036 /nfs/dbraw/zinc/07/80/36/1194078036.db2.gz YFXMPXRQJGSGJK-CYBMUJFWSA-N 0 1 318.380 3.462 20 30 DGEDMN C[C@@H](C#N)CN(C)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001626456836 1194078174 /nfs/dbraw/zinc/07/81/74/1194078174.db2.gz YFXMPXRQJGSGJK-ZDUSSCGKSA-N 0 1 318.380 3.462 20 30 DGEDMN N#Cc1cccc2c1CCN2C(=O)c1ncc2ccccc2c1O ZINC001626959742 1194104558 /nfs/dbraw/zinc/10/45/58/1194104558.db2.gz KKIWPGVJWICGPP-UHFFFAOYSA-N 0 1 315.332 3.015 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1cccc2n[nH]cc21 ZINC001627129797 1194113049 /nfs/dbraw/zinc/11/30/49/1194113049.db2.gz ILKQWCHNORJHPH-LBPRGKRZSA-N 0 1 304.353 3.268 20 30 DGEDMN C=CCC1(CNC(=O)c2ccc(Cc3nc[nH]n3)cc2)CCCC1 ZINC001627417899 1194126988 /nfs/dbraw/zinc/12/69/88/1194126988.db2.gz WTNYSVWGIFQZKO-UHFFFAOYSA-N 0 1 324.428 3.262 20 30 DGEDMN CC(C)C[N@H+]1Cc2cccc(NC(=O)c3cc(C#N)ccn3)c2C1 ZINC001632469904 1194390791 /nfs/dbraw/zinc/39/07/91/1194390791.db2.gz XRDOOZAEJZIJHJ-UHFFFAOYSA-N 0 1 320.396 3.177 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3cc(C#N)ccn3)c2C1 ZINC001632469904 1194390796 /nfs/dbraw/zinc/39/07/96/1194390796.db2.gz XRDOOZAEJZIJHJ-UHFFFAOYSA-N 0 1 320.396 3.177 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)CN1CCC(C)CC1 ZINC001633043812 1194418893 /nfs/dbraw/zinc/41/88/93/1194418893.db2.gz QFTJANJBVKWYTO-UHFFFAOYSA-N 0 1 316.445 3.255 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538480 1194441509 /nfs/dbraw/zinc/44/15/09/1194441509.db2.gz SCIIOTBOIJWXSN-RYUDHWBXSA-N 0 1 301.390 3.351 20 30 DGEDMN C[C@]1(C#N)CCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001633641370 1194448275 /nfs/dbraw/zinc/44/82/75/1194448275.db2.gz XOSYIPKDKXPJRN-MRXNPFEDSA-N 0 1 314.776 3.106 20 30 DGEDMN C#CCC1(C(=O)N2CC(N(C)Cc3ccccc3)C2)CCCCC1 ZINC001635208679 1194537930 /nfs/dbraw/zinc/53/79/30/1194537930.db2.gz ZEGVOXUZFTXPMS-UHFFFAOYSA-N 0 1 324.468 3.303 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cccc(CC#N)c3)[nH]n2)o1 ZINC001635704625 1194567165 /nfs/dbraw/zinc/56/71/65/1194567165.db2.gz PYGXLRZDTATEDD-UHFFFAOYSA-N 0 1 306.325 3.297 20 30 DGEDMN CCCCCCCCCCC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001636501526 1194616703 /nfs/dbraw/zinc/61/67/03/1194616703.db2.gz HIDRFCUKPSEABG-AWEZNQCLSA-N 0 1 307.442 3.046 20 30 DGEDMN CCCCCCCCCCC(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001636501526 1194616705 /nfs/dbraw/zinc/61/67/05/1194616705.db2.gz HIDRFCUKPSEABG-AWEZNQCLSA-N 0 1 307.442 3.046 20 30 DGEDMN N#CCc1cccc(NC(=O)c2cc(-c3cccs3)n[nH]2)c1 ZINC001636827585 1194636107 /nfs/dbraw/zinc/63/61/07/1194636107.db2.gz LVFOWGOQQYGLTA-UHFFFAOYSA-N 0 1 308.366 3.457 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001671175228 1194637759 /nfs/dbraw/zinc/63/77/59/1194637759.db2.gz AMYWYPGNMHBNOJ-RBUKOAKNSA-N 0 1 312.457 3.207 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(C3CCC3)CC2)CCCC1 ZINC001636897846 1194638933 /nfs/dbraw/zinc/63/89/33/1194638933.db2.gz QBKUSGDEMBOAJP-UHFFFAOYSA-N 0 1 319.493 3.393 20 30 DGEDMN C[C@H](CSC(F)(F)F)C(=O)Nc1cc(C#N)ccc1O ZINC001637619904 1194678571 /nfs/dbraw/zinc/67/85/71/1194678571.db2.gz FSFQPOKOCAZEFL-SSDOTTSWSA-N 0 1 304.293 3.091 20 30 DGEDMN CC[N@@H+](C)[C@H](C(=O)NCc1ccc(C)c(C#N)c1)c1ccccc1 ZINC001637702509 1194683311 /nfs/dbraw/zinc/68/33/11/1194683311.db2.gz ANFXIEWWTPUCRQ-IBGZPJMESA-N 0 1 321.424 3.176 20 30 DGEDMN CCN(C)[C@H](C(=O)NCc1ccc(C)c(C#N)c1)c1ccccc1 ZINC001637702509 1194683312 /nfs/dbraw/zinc/68/33/12/1194683312.db2.gz ANFXIEWWTPUCRQ-IBGZPJMESA-N 0 1 321.424 3.176 20 30 DGEDMN Cc1ccccc1Oc1ccc(C(=O)N=C2C[C@H](C)ON2)nc1 ZINC001637839917 1194689440 /nfs/dbraw/zinc/68/94/40/1194689440.db2.gz BBAROGGKOCCDDO-LBPRGKRZSA-N 0 1 311.341 3.034 20 30 DGEDMN C=C[C@](C)(O)C(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC001638668859 1194731185 /nfs/dbraw/zinc/73/11/85/1194731185.db2.gz NYTHFAHJWXOGTF-SFHVURJKSA-N 0 1 307.353 3.105 20 30 DGEDMN Cc1c(C(=O)N(O)Cc2ccccc2Cl)ccc2cncn21 ZINC001639058568 1194748723 /nfs/dbraw/zinc/74/87/23/1194748723.db2.gz LMHKXHJVSPTBSK-UHFFFAOYSA-N 0 1 315.760 3.328 20 30 DGEDMN Cc1cc(NCc2ccc(Nc3ccncc3)cc2)ncc1C#N ZINC001640216698 1194802095 /nfs/dbraw/zinc/80/20/95/1194802095.db2.gz DVXSAESRBPDLNN-UHFFFAOYSA-N 0 1 315.380 3.434 20 30 DGEDMN C=CC[C@@H](C)Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC001641024746 1194836386 /nfs/dbraw/zinc/83/63/86/1194836386.db2.gz KYLUQOAGIVAZOR-SNVBAGLBSA-N 0 1 303.387 3.300 20 30 DGEDMN C=CC1CCN(CC(=O)NC[C@@H](c2ccccc2)C(C)C)CC1 ZINC001641778200 1194871071 /nfs/dbraw/zinc/87/10/71/1194871071.db2.gz FOKNSCYZWXDQDS-LJQANCHMSA-N 0 1 314.473 3.440 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)cc1 ZINC001641797626 1194872044 /nfs/dbraw/zinc/87/20/44/1194872044.db2.gz GUPUUMLUDVXLIA-YVEFUNNKSA-N 0 1 322.412 3.092 20 30 DGEDMN C[C@@H]1c2c(F)cccc2CCN1C[C@H](O)c1cccc(C#N)c1 ZINC001641851751 1194875357 /nfs/dbraw/zinc/87/53/57/1194875357.db2.gz MTSRUZRCWUHWGZ-ACJLOTCBSA-N 0 1 310.372 3.350 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641929449 1194879053 /nfs/dbraw/zinc/87/90/53/1194879053.db2.gz BIRBFLLLSYIQAU-QGZVFWFLSA-N 0 1 311.389 3.498 20 30 DGEDMN CCC[C@H]1CCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641930564 1194879059 /nfs/dbraw/zinc/87/90/59/1194879059.db2.gz YTNLTMZCCNKXDN-DZGCQCFKSA-N 0 1 323.400 3.498 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1CN1CCC[C@](C)(C#N)C1 ZINC001642374120 1194905930 /nfs/dbraw/zinc/90/59/30/1194905930.db2.gz GDKDKVBSKCNYPI-IIDMSEBBSA-N 0 1 321.465 3.115 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001642494344 1194914877 /nfs/dbraw/zinc/91/48/77/1194914877.db2.gz XOLXMTQJQIWPGY-HNNXBMFYSA-N 0 1 309.413 3.466 20 30 DGEDMN CCCN(CCN1CCCCC1)c1cc(C#N)sc1[N+](=O)[O-] ZINC001642680977 1194929136 /nfs/dbraw/zinc/92/91/36/1194929136.db2.gz UWQJOLJMHDEXPA-UHFFFAOYSA-N 0 1 322.434 3.230 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccc(F)cc2)CCN1Cc1ccccc1 ZINC001643414810 1195021185 /nfs/dbraw/zinc/02/11/85/1195021185.db2.gz JVMQTJCEWDQFFH-SFHVURJKSA-N 0 1 322.427 3.384 20 30 DGEDMN C=C[C@@H](C)O[N-]C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)C[C@H]1CCC ZINC001644300726 1195097299 /nfs/dbraw/zinc/09/72/99/1195097299.db2.gz SITKTKGYFTYABS-KBAYOESNSA-N 0 1 316.445 3.157 20 30 DGEDMN C=C[C@@H](C)O[N-]C(=O)[C@@H]1C[N@H+](Cc2ccccc2)C[C@H]1CCC ZINC001644300726 1195097304 /nfs/dbraw/zinc/09/73/04/1195097304.db2.gz SITKTKGYFTYABS-KBAYOESNSA-N 0 1 316.445 3.157 20 30 DGEDMN N#Cc1cscc1C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001644304259 1195098874 /nfs/dbraw/zinc/09/88/74/1195098874.db2.gz RANZBHKIPCHSBA-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN CC(C)CN(CCC#N)CCC(=O)N1CCCc2ccccc21 ZINC001644669165 1195124518 /nfs/dbraw/zinc/12/45/18/1195124518.db2.gz FQWAZJCNXJVNGQ-UHFFFAOYSA-N 0 1 313.445 3.228 20 30 DGEDMN C[C@H](NC[C@H](O)CC#N)c1ccccc1OCc1ccccc1 ZINC001645157282 1195161726 /nfs/dbraw/zinc/16/17/26/1195161726.db2.gz WYZCBQJORYFLLX-DOTOQJQBSA-N 0 1 310.397 3.191 20 30 DGEDMN Cc1cc(C)nc(CN(C)CCOCc2ccc(C#N)cc2)c1 ZINC001645970987 1195209332 /nfs/dbraw/zinc/20/93/32/1195209332.db2.gz OYHBJUXVALQFDY-UHFFFAOYSA-N 0 1 309.413 3.219 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC001647657469 1195249904 /nfs/dbraw/zinc/24/99/04/1195249904.db2.gz OWYVXRCAESLHQM-GFCCVEGCSA-N 0 1 313.788 3.358 20 30 DGEDMN COC(=O)CC[C@@H]1CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC001648217553 1195284334 /nfs/dbraw/zinc/28/43/34/1195284334.db2.gz CAKNEVBFYGFXOO-INIZCTEOSA-N 0 1 319.832 3.357 20 30 DGEDMN N#Cc1c(NCc2cnc(-c3ccccc3)[nH]2)n[nH]c1C1CC1 ZINC001651191386 1195424964 /nfs/dbraw/zinc/42/49/64/1195424964.db2.gz WQDJAACXTZHFOG-UHFFFAOYSA-N 0 1 304.357 3.161 20 30 DGEDMN N#Cc1ccc(F)cc1N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001651294336 1195439010 /nfs/dbraw/zinc/43/90/10/1195439010.db2.gz YOWOULHCFPCWAT-ROUUACIJSA-N 0 1 307.372 3.160 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3c(Cl)cccc32)C[C@@H]1C ZINC001651651805 1195491903 /nfs/dbraw/zinc/49/19/03/1195491903.db2.gz ARKAPECMTZFECT-LBPRGKRZSA-N 0 1 314.820 3.290 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SCc3cncc(C#N)c3)n2)o1 ZINC001652589242 1195622916 /nfs/dbraw/zinc/62/29/16/1195622916.db2.gz TZDRGQXOMBXVNU-SNAWJCMRSA-N 0 1 323.381 3.436 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2ccc(C#N)s2)n[nH]1 ZINC001652780049 1195650588 /nfs/dbraw/zinc/65/05/88/1195650588.db2.gz CCHFITRLBFHCAS-CYBMUJFWSA-N 0 1 300.387 3.019 20 30 DGEDMN N#Cc1ccnc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC001653015645 1195680763 /nfs/dbraw/zinc/68/07/63/1195680763.db2.gz IXIYBQNKJGOGGT-LPHOPBHVSA-N 0 1 307.397 3.211 20 30 DGEDMN C=CCOCCN1CCN(c2ccc(Cl)c(F)c2)C[C@H]1C ZINC001654635541 1195825177 /nfs/dbraw/zinc/82/51/77/1195825177.db2.gz LFHZKRZJTZTHKG-CYBMUJFWSA-N 0 1 312.816 3.192 20 30 DGEDMN C[C@H]1CC(C)(C)CN1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC001654745765 1195835175 /nfs/dbraw/zinc/83/51/75/1195835175.db2.gz DJDWEPAYWJOTTI-NSHDSACASA-N 0 1 305.809 3.271 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Br)C1 ZINC001654762757 1195837566 /nfs/dbraw/zinc/83/75/66/1195837566.db2.gz VYRHQRFAZPNFEN-LLVKDONJSA-N 0 1 323.190 3.203 20 30 DGEDMN N#Cc1ccc(CN2CCc3nc[nH]c3C23CCCCC3)cc1 ZINC001654777866 1195839438 /nfs/dbraw/zinc/83/94/38/1195839438.db2.gz MCCXKKMDYQYJEF-UHFFFAOYSA-N 0 1 306.413 3.499 20 30 DGEDMN N#Cc1c(N)sc2c1CCN([C@@H]1CCc3ccc(F)cc31)C2 ZINC001654998730 1195862552 /nfs/dbraw/zinc/86/25/52/1195862552.db2.gz HZMULRJVSSWFMF-OAHLLOKOSA-N 0 1 313.401 3.387 20 30 DGEDMN C=CCC[C@H]1CCCN1CCS(=O)(=O)c1ccc(F)cc1 ZINC001654999074 1195862624 /nfs/dbraw/zinc/86/26/24/1195862624.db2.gz OELYYGKHFDYTAQ-HNNXBMFYSA-N 0 1 311.422 3.030 20 30 DGEDMN C=CCCCN(CC)[C@@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC001655014837 1195865117 /nfs/dbraw/zinc/86/51/17/1195865117.db2.gz VVUHUPCLKTVJBR-QGZVFWFLSA-N 0 1 304.409 3.434 20 30 DGEDMN CCCCCCCN1Cc2cccnc2N2C[C@@H](OC)C[C@H]2C1 ZINC001655317916 1195903363 /nfs/dbraw/zinc/90/33/63/1195903363.db2.gz LJHSUEMQCIBVPH-ROUUACIJSA-N 0 1 317.477 3.461 20 30 DGEDMN Cc1cc(CN2CCc3c(C#N)c(N)sc3C2)c(C)s1 ZINC001655797399 1195964293 /nfs/dbraw/zinc/96/42/93/1195964293.db2.gz ZLNZFQDNFFGKRZ-UHFFFAOYSA-N 0 1 303.456 3.439 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1c(F)cccc1F ZINC001656080340 1195995625 /nfs/dbraw/zinc/99/56/25/1195995625.db2.gz DHIJGKGHRQQRGJ-ZIAGYGMSSA-N 0 1 322.399 3.177 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)C1CCCCC1 ZINC001656096959 1195999755 /nfs/dbraw/zinc/99/97/55/1195999755.db2.gz GMIZGKGQOWQSDN-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1F ZINC001656097242 1196000467 /nfs/dbraw/zinc/00/04/67/1196000467.db2.gz IUQLSBDGURPZBV-HUUCEWRRSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2CCOCC)CCCCC1 ZINC001656109698 1196004986 /nfs/dbraw/zinc/00/49/86/1196004986.db2.gz PVWQVDOKQUJVCJ-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001656113251 1196006305 /nfs/dbraw/zinc/00/63/05/1196006305.db2.gz UHYKCEROMTUYDW-HKUYNNGSSA-N 0 1 302.462 3.069 20 30 DGEDMN N#Cc1cccc(C2(NCc3cccc4[nH]c(=O)oc43)CC2)c1 ZINC001656235809 1196021973 /nfs/dbraw/zinc/02/19/73/1196021973.db2.gz HPGFILZATVBEMZ-UHFFFAOYSA-N 0 1 305.337 3.184 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C/C(C)(C)C)C1 ZINC001656248120 1196023196 /nfs/dbraw/zinc/02/31/96/1196023196.db2.gz FVVDVTYTRXIENM-UBJZUDALSA-N 0 1 324.468 3.196 20 30 DGEDMN N#CCOc1cccc(CNCc2cccc(Cl)c2N)c1 ZINC001656479814 1196043953 /nfs/dbraw/zinc/04/39/53/1196043953.db2.gz HQUXPWOHSFOOMD-UHFFFAOYSA-N 0 1 301.777 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](C)CCC ZINC001656526391 1196047228 /nfs/dbraw/zinc/04/72/28/1196047228.db2.gz HJNGYAGSDSPMCZ-IPYPFGDCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001656580836 1196052121 /nfs/dbraw/zinc/05/21/21/1196052121.db2.gz OFVLQXPRHMCNJX-ISWURRPUSA-N 0 1 324.896 3.392 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(Cc3ccccc3)CCC2)[C@H]1C ZINC001656964405 1196088198 /nfs/dbraw/zinc/08/81/98/1196088198.db2.gz ZGFAXUBSOMLCMQ-AEFFLSMTSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2nocc2C)CC(C)(C)C1 ZINC001657095403 1196104181 /nfs/dbraw/zinc/10/41/81/1196104181.db2.gz AIQQHIRNBFRULK-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C#CC(C)C)CC(C)(C)C1 ZINC001657097066 1196104641 /nfs/dbraw/zinc/10/46/41/1196104641.db2.gz UGQJZPWPEKTBDP-HNNXBMFYSA-N 0 1 310.869 3.005 20 30 DGEDMN Cc1cc(CNCc2cn(C)nc2-c2ccc(C#N)cc2)sn1 ZINC001657152649 1196111175 /nfs/dbraw/zinc/11/11/75/1196111175.db2.gz HQGGEGCJILJVKD-UHFFFAOYSA-N 0 1 323.425 3.014 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(C(C)(C)C)c1)[C@@H]1CCCO1 ZINC001657822248 1196181789 /nfs/dbraw/zinc/18/17/89/1196181789.db2.gz BVPXTQKUBYYJCR-WMZOPIPTSA-N 0 1 301.430 3.263 20 30 DGEDMN COc1cc(CNCc2ccc(SC)c(C#N)c2)ccc1C#N ZINC001657992471 1196200029 /nfs/dbraw/zinc/20/00/29/1196200029.db2.gz FJRADJMXTLIBQH-UHFFFAOYSA-N 0 1 323.421 3.450 20 30 DGEDMN C#Cc1cccc(CNCc2cc(C)c(Br)cn2)c1 ZINC001658122325 1196214148 /nfs/dbraw/zinc/21/41/48/1196214148.db2.gz AHXNFXCJAWIYCJ-UHFFFAOYSA-N 0 1 315.214 3.424 20 30 DGEDMN C#CCN(CC)Cc1c[nH]nc1-c1ccc(Br)cc1 ZINC001658558268 1196273234 /nfs/dbraw/zinc/27/32/34/1196273234.db2.gz RJEJIRBMWBINPI-UHFFFAOYSA-N 0 1 318.218 3.294 20 30 DGEDMN C#CCN(CCOCCOc1ccccc1)Cc1ccccc1 ZINC001658571915 1196274786 /nfs/dbraw/zinc/27/47/86/1196274786.db2.gz NLBKSTJISUVMPL-UHFFFAOYSA-N 0 1 309.409 3.217 20 30 DGEDMN N#Cc1cccc(CNC[C@H](O)c2ccc(Cl)c(Cl)c2)n1 ZINC001658603326 1196279176 /nfs/dbraw/zinc/27/91/76/1196279176.db2.gz PFHWQRTXKJJWMP-HNNXBMFYSA-N 0 1 322.195 3.083 20 30 DGEDMN C#CCCN(CCOC)Cc1cccc(F)c1OC(F)(F)F ZINC001658622228 1196281541 /nfs/dbraw/zinc/28/15/41/1196281541.db2.gz AVNDUBCDJWVNNP-UHFFFAOYSA-N 0 1 319.298 3.196 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2cn(-c3ccc(F)c(Cl)c3)nn2)C1 ZINC001658628704 1196282359 /nfs/dbraw/zinc/28/23/59/1196282359.db2.gz ZSRQXNINCHZFHL-GFCCVEGCSA-N 0 1 320.799 3.458 20 30 DGEDMN C#CCN(Cc1ccc(I)s1)C(C)C ZINC001659021158 1196333992 /nfs/dbraw/zinc/33/39/92/1196333992.db2.gz RSAOYVVDLVEDNB-UHFFFAOYSA-N 0 1 319.211 3.196 20 30 DGEDMN N#Cc1c(F)cccc1CN[C@@H]1COc2c(F)cc(F)cc2C1 ZINC001659082072 1196342421 /nfs/dbraw/zinc/34/24/21/1196342421.db2.gz QAZZOSDRTCNQFI-ZDUSSCGKSA-N 0 1 318.298 3.069 20 30 DGEDMN CN(CCc1nc(-c2ccccc2)no1)Cc1csc(C#N)c1 ZINC001659195301 1196357564 /nfs/dbraw/zinc/35/75/64/1196357564.db2.gz WPPSLVAZKLDKRY-UHFFFAOYSA-N 0 1 324.409 3.344 20 30 DGEDMN C=C(CC)CN1CCN(c2cc(-c3ccc(C#N)cc3)[nH]n2)CC1 ZINC001659329310 1196371766 /nfs/dbraw/zinc/37/17/66/1196371766.db2.gz NAYHVHNIGRMEII-UHFFFAOYSA-N 0 1 321.428 3.037 20 30 DGEDMN CC1(C)CCC[C@@H](CN2CCN(c3ccc(C#N)cc3)CC2)O1 ZINC001659828423 1196418278 /nfs/dbraw/zinc/41/82/78/1196418278.db2.gz FOZYBQNTBIHPAW-SFHVURJKSA-N 0 1 313.445 3.028 20 30 DGEDMN N#Cc1ccc(CNCc2cnccc2C(F)(F)F)cc1F ZINC001660321826 1196471088 /nfs/dbraw/zinc/47/10/88/1196471088.db2.gz ROBFATQAQVEGBC-UHFFFAOYSA-N 0 1 309.266 3.401 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC[C@@H](F)C1 ZINC001660529056 1196494689 /nfs/dbraw/zinc/49/46/89/1196494689.db2.gz KXLPCOJAPCINRW-ZIAGYGMSSA-N 0 1 304.837 3.095 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](F)c1ccccc1 ZINC001660598488 1196502265 /nfs/dbraw/zinc/50/22/65/1196502265.db2.gz JYNQUPXGULTOII-OAHLLOKOSA-N 0 1 312.816 3.278 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1(c2ccccc2)CC1 ZINC001660623525 1196505378 /nfs/dbraw/zinc/50/53/78/1196505378.db2.gz QBSVKQFNNYZOTD-UHFFFAOYSA-N 0 1 320.864 3.299 20 30 DGEDMN C#CCC1(N[C@@H](C)c2ncc(C(=O)OC)s2)CCCCC1 ZINC001660766537 1196521654 /nfs/dbraw/zinc/52/16/54/1196521654.db2.gz OGCGOOOMZIHRQO-LBPRGKRZSA-N 0 1 306.431 3.307 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001661156314 1196573232 /nfs/dbraw/zinc/57/32/32/1196573232.db2.gz FEXSWLXJZNUHLE-VNKGSWCUSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001661162750 1196574833 /nfs/dbraw/zinc/57/48/33/1196574833.db2.gz MYIIHYFYTJNIIC-MKGJVGOLSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCCN2CCC(F)(F)F)C1 ZINC001661881199 1196657296 /nfs/dbraw/zinc/65/72/96/1196657296.db2.gz VQDIQFHWKONQNX-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CNCc1ccc(F)cn1 ZINC001661921516 1196662785 /nfs/dbraw/zinc/66/27/85/1196662785.db2.gz CMKWFOBJAYLAMF-QGZVFWFLSA-N 0 1 319.424 3.048 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](C)C(C)(C)C ZINC001661929701 1196663548 /nfs/dbraw/zinc/66/35/48/1196663548.db2.gz KCDBZFHTAOGBDB-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001662399679 1196717978 /nfs/dbraw/zinc/71/79/78/1196717978.db2.gz MUDWSOGOVVJOET-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN CCCC(=O)N[C@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001661977004 1196668506 /nfs/dbraw/zinc/66/85/06/1196668506.db2.gz IMDQCLAZEHECMH-MJGOQNOKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CCF ZINC001661977325 1196668786 /nfs/dbraw/zinc/66/87/86/1196668786.db2.gz KYZFAZJBNDISPV-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@H]1CCCN(CC(=C)Cl)C1 ZINC001661988568 1196672130 /nfs/dbraw/zinc/67/21/30/1196672130.db2.gz ADGOLAIZDOSNCT-HOTGVXAUSA-N 0 1 310.869 3.149 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccsc2)s1)[C@@H]1CCCO1 ZINC001662265991 1196701255 /nfs/dbraw/zinc/70/12/55/1196701255.db2.gz GDXKYHPHFVDAER-KGLIPLIRSA-N 0 1 304.440 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1cccc2ccccc21 ZINC001662395604 1196716707 /nfs/dbraw/zinc/71/67/07/1196716707.db2.gz YJLZXPFHQQLFEH-AWEZNQCLSA-N 0 1 316.832 3.229 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1cccc(OC)c1C ZINC001663266350 1196822866 /nfs/dbraw/zinc/82/28/66/1196822866.db2.gz FUYSRSGMIULULI-LJQANCHMSA-N 0 1 318.461 3.154 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001663280794 1196826396 /nfs/dbraw/zinc/82/63/96/1196826396.db2.gz CPSUZMSPELEJJN-RTBURBONSA-N 0 1 312.457 3.032 20 30 DGEDMN C#CCC1(NCc2cn(-c3ccc(F)cc3Cl)nn2)CCC1 ZINC001663330377 1196836763 /nfs/dbraw/zinc/83/67/63/1196836763.db2.gz UEWSLSZLIIFDGB-UHFFFAOYSA-N 0 1 318.783 3.095 20 30 DGEDMN CCCCCCCOc1cccc(CN[C@@]2(C)CCNC2=O)c1 ZINC001663349765 1196840952 /nfs/dbraw/zinc/84/09/52/1196840952.db2.gz SWCKHMRXRVXZMO-IBGZPJMESA-N 0 1 318.461 3.404 20 30 DGEDMN CC1(C(=O)NC[C@H]2CCN(CC#Cc3ccccc3)C2)CCCC1 ZINC001663609006 1196912856 /nfs/dbraw/zinc/91/28/56/1196912856.db2.gz QELWCQZVTGWEBS-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C#CCN(CCNC(=O)c1cc2cc(C)ccc2s1)C1CC1 ZINC001663763437 1196942896 /nfs/dbraw/zinc/94/28/96/1196942896.db2.gz UYJDGAVUHYBVBT-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1oc2ccccc2c1C ZINC001664349506 1196991358 /nfs/dbraw/zinc/99/13/58/1196991358.db2.gz OEZCEZULDRKHAT-UHFFFAOYSA-N 0 1 306.793 3.155 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CC1(C)C)c1ccc(C(C)C)cc1 ZINC001664561951 1197013938 /nfs/dbraw/zinc/01/39/38/1197013938.db2.gz SNGMWFSJRXIVDE-ROUUACIJSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CC1(C)C)c1ccc(C(C)C)cc1 ZINC001664561949 1197014244 /nfs/dbraw/zinc/01/42/44/1197014244.db2.gz SNGMWFSJRXIVDE-MSOLQXFVSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C)C(C)(C)C1(C)C)c1ccccc1 ZINC001664603766 1197020595 /nfs/dbraw/zinc/02/05/95/1197020595.db2.gz DFIRNVNRNHLKAU-MRXNPFEDSA-N 0 1 312.457 3.139 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C)c(C)cn2)C1 ZINC001664674260 1197031956 /nfs/dbraw/zinc/03/19/56/1197031956.db2.gz ZUYKAQWZAWLOHS-QGZVFWFLSA-N 0 1 315.461 3.201 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCCC2(C)C)C1 ZINC001664678989 1197032569 /nfs/dbraw/zinc/03/25/69/1197032569.db2.gz SCYAQRBHVQVULJ-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(C/C=C\c3cccc(F)c3)C2)nc1 ZINC001664867567 1197072046 /nfs/dbraw/zinc/07/20/46/1197072046.db2.gz FDKSGABJIVMRKK-VEXQSBSHSA-N 0 1 323.371 3.259 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@H]3C[C@@H]3c3ccccc3)C2)cc1 ZINC001664951052 1197081330 /nfs/dbraw/zinc/08/13/30/1197081330.db2.gz MQPDUKVALIPKSP-YLJYHZDGSA-N 0 1 304.393 3.425 20 30 DGEDMN C#Cc1cccc(CNCc2cc(OC)c(O)cc2Cl)c1 ZINC001665000527 1197085432 /nfs/dbraw/zinc/08/54/32/1197085432.db2.gz OUXXPMGKAFZJPR-UHFFFAOYSA-N 0 1 301.773 3.325 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2ncccc2C)C1 ZINC001665013031 1197087370 /nfs/dbraw/zinc/08/73/70/1197087370.db2.gz AVKYOLKOOONSKW-DLBZAZTESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@]2(C)CCc3ccccc32)C1 ZINC001665234597 1197112070 /nfs/dbraw/zinc/11/20/70/1197112070.db2.gz BNTZPXUVPCDQAF-FOIQADDNSA-N 0 1 312.457 3.046 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCCc1ccc(F)cc1 ZINC001665417022 1197133907 /nfs/dbraw/zinc/13/39/07/1197133907.db2.gz VAQBMMLSDOYREI-ROUUACIJSA-N 0 1 316.420 3.010 20 30 DGEDMN C=CC[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2CC(=C)C)c1ccccc1 ZINC001665432819 1197136350 /nfs/dbraw/zinc/13/63/50/1197136350.db2.gz LJTRDHINLYXPGA-GBESFXJTSA-N 0 1 310.441 3.208 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001665658635 1197166064 /nfs/dbraw/zinc/16/60/64/1197166064.db2.gz ARCKMEARAFOZOV-GDBMZVCRSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@H](CC)NCC(=C)Cl)c1ccccc1 ZINC001665888469 1197212163 /nfs/dbraw/zinc/21/21/63/1197212163.db2.gz SQCCMLNYVQZZPK-HZPDHXFCSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2c1CCC2 ZINC001665892789 1197212603 /nfs/dbraw/zinc/21/26/03/1197212603.db2.gz GTQBHAGLPHTSOK-CQSZACIVSA-N 0 1 306.837 3.026 20 30 DGEDMN C=CCCCC(=O)N1CCC(CCNCc2cc(C)on2)CC1 ZINC001666240015 1197244821 /nfs/dbraw/zinc/24/48/21/1197244821.db2.gz GQGODDUJFDXCMM-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@H](C)CC)CC1 ZINC001666364247 1197255565 /nfs/dbraw/zinc/25/55/65/1197255565.db2.gz LLYAURWYICVDLE-CYBMUJFWSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)/C=C/c1ccco1 ZINC001667361345 1197340895 /nfs/dbraw/zinc/34/08/95/1197340895.db2.gz SRVFGAOKAJNKSA-OGWZURKVSA-N 0 1 322.836 3.262 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1cnc(C)o1 ZINC001667374754 1197342221 /nfs/dbraw/zinc/34/22/21/1197342221.db2.gz LATIHAILWJHSGY-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H](C)CC)CC1 ZINC001667406070 1197345278 /nfs/dbraw/zinc/34/52/78/1197345278.db2.gz UDEVPMBDIIOJDO-ZDUSSCGKSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001667416961 1197345469 /nfs/dbraw/zinc/34/54/69/1197345469.db2.gz ORFQHZKSSQCUCJ-FVQHAEBGSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1cc(F)ccc1F ZINC001668517075 1197418134 /nfs/dbraw/zinc/41/81/34/1197418134.db2.gz LJNHIFHTVUBBQY-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c(F)ccc(F)c1Cl ZINC001669674418 1197469992 /nfs/dbraw/zinc/46/99/92/1197469992.db2.gz ZABHAKLIRGRNPE-QMMMGPOBSA-N 0 1 323.170 3.079 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](F)CC1CCCCC1 ZINC001669716107 1197472990 /nfs/dbraw/zinc/47/29/90/1197472990.db2.gz QAYFGMSJJMUNRK-GXTWGEPZSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1cccc(OC)c1 ZINC001669753319 1197475424 /nfs/dbraw/zinc/47/54/24/1197475424.db2.gz JXKKMHGRJDGASH-OCCSQVGLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC(C)(F)F)C1CCCC1 ZINC001671536820 1197546501 /nfs/dbraw/zinc/54/65/01/1197546501.db2.gz SNCJLNOQPFHQAQ-CYBMUJFWSA-N 0 1 322.827 3.439 20 30 DGEDMN C#CCC1(C(=O)NC[C@]2(C)CCCN(CCF)C2)CCCCC1 ZINC001671916178 1197584579 /nfs/dbraw/zinc/58/45/79/1197584579.db2.gz GEFFWRSTIOTYHJ-SFHVURJKSA-N 0 1 322.468 3.148 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](C)c2ccccc2)C1 ZINC001671916446 1197585443 /nfs/dbraw/zinc/58/54/43/1197585443.db2.gz LWYTWYVBNIJJIT-YLJYHZDGSA-N 0 1 312.457 3.032 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](C)c2ccccc2)C1 ZINC001671916444 1197585982 /nfs/dbraw/zinc/58/59/82/1197585982.db2.gz LWYTWYVBNIJJIT-PXNSSMCTSA-N 0 1 312.457 3.032 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H](C2CCN(CCF)CC2)C1 ZINC001672171096 1197594374 /nfs/dbraw/zinc/59/43/74/1197594374.db2.gz MGRMSZXKGOOJPA-SFHVURJKSA-N 0 1 322.468 3.100 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)CCC)c1ccccc1CC ZINC001672176309 1197594899 /nfs/dbraw/zinc/59/48/99/1197594899.db2.gz IARZEKXKKQJMDL-CRAIPNDOSA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCC=CCC1)c1ccccc1CC ZINC001672183158 1197595417 /nfs/dbraw/zinc/59/54/17/1197595417.db2.gz MWXZWXYPNVSGDV-HXUWFJFHSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CC[N@H+]2Cc1ccns1 ZINC001672521751 1197614406 /nfs/dbraw/zinc/61/44/06/1197614406.db2.gz IQHHQPLFIWVCPQ-HOTGVXAUSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1ccns1 ZINC001672521751 1197614407 /nfs/dbraw/zinc/61/44/07/1197614407.db2.gz IQHHQPLFIWVCPQ-HOTGVXAUSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ccns1 ZINC001672521752 1197614616 /nfs/dbraw/zinc/61/46/16/1197614616.db2.gz IQHHQPLFIWVCPQ-HZPDHXFCSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccns1 ZINC001672521752 1197614617 /nfs/dbraw/zinc/61/46/17/1197614617.db2.gz IQHHQPLFIWVCPQ-HZPDHXFCSA-N 0 1 319.474 3.065 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(CC(C)C)CCCC1 ZINC001672537670 1197615799 /nfs/dbraw/zinc/61/57/99/1197615799.db2.gz JSDMRIZZIKQKGO-ZWKOTPCHSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(OC)cc1 ZINC001673129083 1197638842 /nfs/dbraw/zinc/63/88/42/1197638842.db2.gz DUCAGPPHHBADNG-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001673633235 1197681154 /nfs/dbraw/zinc/68/11/54/1197681154.db2.gz FNBRIMLZRVZMMD-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1Cc2ccccc2CN1CC(=C)C ZINC001673635197 1197682340 /nfs/dbraw/zinc/68/23/40/1197682340.db2.gz YBFAEKYPQGGDDE-SFHVURJKSA-N 0 1 312.457 3.318 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(Br)c(Cl)c1 ZINC000390639067 1197713598 /nfs/dbraw/zinc/71/35/98/1197713598.db2.gz MKDODYXUSWKVAB-SNVBAGLBSA-N 0 1 304.615 3.393 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(C)no2)[C@@H](C)C1 ZINC001674139983 1197737074 /nfs/dbraw/zinc/73/70/74/1197737074.db2.gz DFCCIXXHPUGQNN-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@]1(C)C=CCC1 ZINC001674272184 1197756006 /nfs/dbraw/zinc/75/60/06/1197756006.db2.gz SSSXZUUZXQMEGP-CTNGQTDRSA-N 0 1 322.452 3.082 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001674486340 1197784785 /nfs/dbraw/zinc/78/47/85/1197784785.db2.gz IUJIFBCQNKWNDE-INDMIFKZSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCCC(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001674573511 1197797913 /nfs/dbraw/zinc/79/79/13/1197797913.db2.gz AICRNQJVMRKBGX-XYOKQWHBSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2cccnc21 ZINC001674693441 1197835780 /nfs/dbraw/zinc/83/57/80/1197835780.db2.gz GMQBMHULCCLEQY-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccn(C(C)C)c1C ZINC001674715564 1197844565 /nfs/dbraw/zinc/84/45/65/1197844565.db2.gz IMHUMTDBRRIZNE-STQMWFEESA-N 0 1 311.857 3.226 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C)c(F)c1F ZINC001674728462 1197850431 /nfs/dbraw/zinc/85/04/31/1197850431.db2.gz GZPDINNTSFMZPK-MNOVXSKESA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CC(C)(C)C(F)(F)F ZINC001674759837 1197861339 /nfs/dbraw/zinc/86/13/39/1197861339.db2.gz GVBXGYBFMWMRFH-ZJUUUORDSA-N 0 1 314.779 3.200 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)c(C)s1 ZINC001674766688 1197864262 /nfs/dbraw/zinc/86/42/62/1197864262.db2.gz PMWXPKLZRGPLHD-MNOVXSKESA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cncc(C)c1 ZINC001675218016 1198009462 /nfs/dbraw/zinc/00/94/62/1198009462.db2.gz MWTVKJUFIMNGTB-OAHLLOKOSA-N 0 1 309.841 3.021 20 30 DGEDMN C=C(Br)CNC[C@H](CCCC)NC(=O)C(C)(C)C ZINC001675233563 1198014024 /nfs/dbraw/zinc/01/40/24/1198014024.db2.gz ANLOGOVCIZUEDC-LBPRGKRZSA-N 0 1 319.287 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccnc(C)c1 ZINC001675234213 1198014681 /nfs/dbraw/zinc/01/46/81/1198014681.db2.gz PQROBKUHNIUMJE-OAHLLOKOSA-N 0 1 309.841 3.021 20 30 DGEDMN O=C(CC1CC1)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001675241864 1198017433 /nfs/dbraw/zinc/01/74/33/1198017433.db2.gz SSHBCURNBDMIBC-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1ccns1 ZINC001675252655 1198021753 /nfs/dbraw/zinc/02/17/53/1198021753.db2.gz BBMKXHSLMDLDAH-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001675332637 1198045861 /nfs/dbraw/zinc/04/58/61/1198045861.db2.gz SQJBCAOYPDUZQM-CABCVRRESA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001675363158 1198054475 /nfs/dbraw/zinc/05/44/75/1198054475.db2.gz FNZAPJFSULZGLP-OLZOCXBDSA-N 0 1 306.837 3.066 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)Cc2cccc(F)c2)C(C)(C)C1 ZINC001675487220 1198080428 /nfs/dbraw/zinc/08/04/28/1198080428.db2.gz TXTAJOKPKMGBQW-RHSMWYFYSA-N 0 1 318.436 3.017 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@]2(C)CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001675692602 1198118395 /nfs/dbraw/zinc/11/83/95/1198118395.db2.gz IFTMQHQSTVKUFJ-BEPFOMCCSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)NC[C@H](c1cccs1)N(C)C ZINC001675738873 1198130328 /nfs/dbraw/zinc/13/03/28/1198130328.db2.gz OPBNMDDIZFPZJR-HUUCEWRRSA-N 0 1 321.490 3.491 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)NC[C@@H](c1cccs1)N(C)C ZINC001675738872 1198131184 /nfs/dbraw/zinc/13/11/84/1198131184.db2.gz OPBNMDDIZFPZJR-GJZGRUSLSA-N 0 1 321.490 3.491 20 30 DGEDMN C[C@H](CN(C)C(=O)CCC1CCC1)NCc1ccccc1C#N ZINC001676331194 1198291174 /nfs/dbraw/zinc/29/11/74/1198291174.db2.gz UKKDOHWJZYIZBS-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1coc(C(F)F)c1 ZINC001676407028 1198313284 /nfs/dbraw/zinc/31/32/84/1198313284.db2.gz LZRFIVZGIVNKRJ-VIFPVBQESA-N 0 1 306.740 3.020 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001676456040 1198324213 /nfs/dbraw/zinc/32/42/13/1198324213.db2.gz ZSKVNZSVKUHARR-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001676757348 1198382716 /nfs/dbraw/zinc/38/27/16/1198382716.db2.gz XQNWKXOTPDBZCW-RYUDHWBXSA-N 0 1 305.249 3.241 20 30 DGEDMN C/C=C(\C)C(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001676795748 1198392295 /nfs/dbraw/zinc/39/22/95/1198392295.db2.gz FCWMOTHCBGOVDW-DVVMMHACSA-N 0 1 322.452 3.118 20 30 DGEDMN CN(C)CC#CCNC(=O)N1CCC[C@H]1CCC1CCCCC1 ZINC001676930393 1198438106 /nfs/dbraw/zinc/43/81/06/1198438106.db2.gz OORCWNLFJCRXLN-SFHVURJKSA-N 0 1 319.493 3.086 20 30 DGEDMN C=CC[C@@H]1CCN(C(=O)NC[C@@H](c2ccco2)N(CC)CC)C1 ZINC001677953145 1198610590 /nfs/dbraw/zinc/61/05/90/1198610590.db2.gz YABGOSUJFFEQAY-CVEARBPZSA-N 0 1 319.449 3.270 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(CC)C[C@@H]1CC ZINC001683814630 1199308452 /nfs/dbraw/zinc/30/84/52/1199308452.db2.gz OAMZAVMNILSHPG-IKGGRYGDSA-N 0 1 307.482 3.247 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(CC(C)C)CC1 ZINC001687035719 1199614563 /nfs/dbraw/zinc/61/45/63/1199614563.db2.gz AEUVZJHODWTVRY-SJORKVTESA-N 0 1 307.482 3.105 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(Cl)c(Br)c1 ZINC000309942974 1199711694 /nfs/dbraw/zinc/71/16/94/1199711694.db2.gz CHUOHSSMJOLLAZ-JTQLQIEISA-N 0 1 304.615 3.393 20 30 DGEDMN C=CC1(CC(=O)N(C)C[C@H]2CCN2CCOCC)CCCCC1 ZINC001688891945 1199946603 /nfs/dbraw/zinc/94/66/03/1199946603.db2.gz ZZFCKALBWVSPFE-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001688893891 1199946956 /nfs/dbraw/zinc/94/69/56/1199946956.db2.gz MVEKXDQVQAHYQN-NVXWUHKLSA-N 0 1 324.424 3.092 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1sccc1CC ZINC001688896944 1199948770 /nfs/dbraw/zinc/94/87/70/1199948770.db2.gz NCIAUVPQIXDJOH-HNNXBMFYSA-N 0 1 306.475 3.423 20 30 DGEDMN C=C[C@H](CC(=O)N(C)C[C@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688896433 1199949539 /nfs/dbraw/zinc/94/95/39/1199949539.db2.gz DDEPAXGMIOCVAK-IEBWSBKVSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2CCCF)CCCCC1 ZINC001688897354 1199950163 /nfs/dbraw/zinc/95/01/63/1199950163.db2.gz UXQZOHSLTSKTKZ-INIZCTEOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001688897596 1199950476 /nfs/dbraw/zinc/95/04/76/1199950476.db2.gz ZBLSRXDABZZLEB-UKRRQHHQSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@@H](NCc2nc(C)cs2)C1 ZINC001688911705 1199955699 /nfs/dbraw/zinc/95/56/99/1199955699.db2.gz VWQRSRCEWCIAHU-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@H](C)CC)C1 ZINC001688952348 1199970906 /nfs/dbraw/zinc/97/09/06/1199970906.db2.gz BCBXETIXQJXQGY-NZSAHSFTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1ncc(C)s1)C(C)C ZINC001753840403 1199989875 /nfs/dbraw/zinc/98/98/75/1199989875.db2.gz DJUJUTMQJJPZCD-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H](C)CC)C1 ZINC001689150693 1200045580 /nfs/dbraw/zinc/04/55/80/1200045580.db2.gz XPKIGHVDQLMWLU-KBAYOESNSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2nc(C)oc2C)CCC1 ZINC001753996441 1200064316 /nfs/dbraw/zinc/06/43/16/1200064316.db2.gz FFLWCCLBTRFCEO-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@@H](NCc2conc2C)C1 ZINC001689261614 1200073272 /nfs/dbraw/zinc/07/32/72/1200073272.db2.gz ADXJPKDVJHUBLJ-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2cc(C)on2)CCCC1 ZINC001754028233 1200077770 /nfs/dbraw/zinc/07/77/70/1200077770.db2.gz PKBYBZBLCYVGQZ-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C(C)C)cc1 ZINC001689353497 1200107791 /nfs/dbraw/zinc/10/77/91/1200107791.db2.gz HCICWGGYXHYREZ-IBGZPJMESA-N 0 1 312.457 3.370 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)c2ccco2)C1 ZINC001689410315 1200122816 /nfs/dbraw/zinc/12/28/16/1200122816.db2.gz PWKHNCHUWYHRES-HUUCEWRRSA-N 0 1 322.836 3.349 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)c2ccco2)C1 ZINC001689410314 1200123142 /nfs/dbraw/zinc/12/31/42/1200123142.db2.gz PWKHNCHUWYHRES-GJZGRUSLSA-N 0 1 322.836 3.349 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001698593402 1200128647 /nfs/dbraw/zinc/12/86/47/1200128647.db2.gz IVXUPPIYSVVYSB-CXAGYDPISA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)Cc2cccnc2C)CC1 ZINC001754323712 1200213326 /nfs/dbraw/zinc/21/33/26/1200213326.db2.gz UMIPOBIJQQBMGM-HNNXBMFYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H](CNCc1ccccc1C#N)C1CC1 ZINC001754448887 1200268173 /nfs/dbraw/zinc/26/81/73/1200268173.db2.gz HVWYSRXOMXAKLU-UCSSQIFYSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC2CCC1CC2)C1CC1 ZINC001754460904 1200274875 /nfs/dbraw/zinc/27/48/75/1200274875.db2.gz DAVGAVHYIFRSGL-BGHVQYPCSA-N 0 1 310.869 3.050 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@]1(C)CC=CCC1 ZINC001689804305 1200290355 /nfs/dbraw/zinc/29/03/55/1200290355.db2.gz MVBXUBYQVNBTKC-OAQYLSRUSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc2ccncc2s1 ZINC001754486505 1200296567 /nfs/dbraw/zinc/29/65/67/1200296567.db2.gz PRVVOQVTNRIPSO-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001754496917 1200313160 /nfs/dbraw/zinc/31/31/60/1200313160.db2.gz YBRKTHLXXSKHJW-RBUKOAKNSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1conc1C ZINC001689903765 1200323936 /nfs/dbraw/zinc/32/39/36/1200323936.db2.gz ZHUMCIUTEYTWMM-DOTOQJQBSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C[C@@H](CC(=O)OCc1ccc2[nH]nnc2c1)c1ccccc1 ZINC000124248548 1200336651 /nfs/dbraw/zinc/33/66/51/1200336651.db2.gz RRWFUQVHRHNZSR-AWEZNQCLSA-N 0 1 307.353 3.361 20 30 DGEDMN C=C[C@@H](CC(=O)OCc1ccc2nn[nH]c2c1)c1ccccc1 ZINC000124248548 1200336655 /nfs/dbraw/zinc/33/66/55/1200336655.db2.gz RRWFUQVHRHNZSR-AWEZNQCLSA-N 0 1 307.353 3.361 20 30 DGEDMN CC1(C)CC[C@@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001754524933 1200337461 /nfs/dbraw/zinc/33/74/61/1200337461.db2.gz WLKUVEWBPMCRAQ-GOSISDBHSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCC(=O)N(C)C1CN(CC[C@@H]2CCc3ccccc32)C1 ZINC001754572018 1200372453 /nfs/dbraw/zinc/37/24/53/1200372453.db2.gz PJZNKLNSDFNURU-KRWDZBQOSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(C)oc(C)c2C)C1 ZINC001754577643 1200373994 /nfs/dbraw/zinc/37/39/94/1200373994.db2.gz CQGOTDGWOLXWJH-GFCCVEGCSA-N 0 1 304.434 3.316 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001690046605 1200382712 /nfs/dbraw/zinc/38/27/12/1200382712.db2.gz MRDCDFGYCDPGKX-GDBMZVCRSA-N 0 1 320.864 3.285 20 30 DGEDMN CCCN(C(=O)CC)C1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001690130575 1200411022 /nfs/dbraw/zinc/41/10/22/1200411022.db2.gz GPPVRGNANNZKNT-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)C1CC1)C1CCN(C/C=C/Cl)CC1 ZINC001754643238 1200411342 /nfs/dbraw/zinc/41/13/42/1200411342.db2.gz PWQOAPFQGRXZSY-BCFOUKGLSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001690135746 1200414090 /nfs/dbraw/zinc/41/40/90/1200414090.db2.gz RCXKDCBKMXTQGI-BCDXTJNWSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC001690136821 1200415611 /nfs/dbraw/zinc/41/56/11/1200415611.db2.gz UWTUOQMGTGIBOJ-SJLPKXTDSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](F)c2ccccc2)CC1 ZINC001690136599 1200415909 /nfs/dbraw/zinc/41/59/09/1200415909.db2.gz PZZSGQQYBGLCBK-GOSISDBHSA-N 0 1 316.420 3.033 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001690154281 1200423349 /nfs/dbraw/zinc/42/33/49/1200423349.db2.gz YMMIPXSFQXPJCX-BWODNOAJSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C)n1 ZINC001690203785 1200453783 /nfs/dbraw/zinc/45/37/83/1200453783.db2.gz PFZQKQRBAAGNPM-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001754703457 1200454500 /nfs/dbraw/zinc/45/45/00/1200454500.db2.gz PCVLTWFHXTYMIK-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2cccnc2)C1 ZINC001754728024 1200473696 /nfs/dbraw/zinc/47/36/96/1200473696.db2.gz RCLKWUNAWGUZSL-LJQANCHMSA-N 0 1 315.461 3.156 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(C)(C)C)c1ccccc1CC ZINC001754786116 1200489054 /nfs/dbraw/zinc/48/90/54/1200489054.db2.gz VAFKZLIRDHATSC-SFHVURJKSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)CCCCCC)[C@@H]2C1 ZINC001754873532 1200527409 /nfs/dbraw/zinc/52/74/09/1200527409.db2.gz MRALMZSNGBQLIT-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001690390138 1200534669 /nfs/dbraw/zinc/53/46/69/1200534669.db2.gz JZKVDVKFNIZDFB-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001754930558 1200540498 /nfs/dbraw/zinc/54/04/98/1200540498.db2.gz KSTHEJGVJKKSSK-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@](C)(F)CCCC ZINC001754945635 1200548411 /nfs/dbraw/zinc/54/84/11/1200548411.db2.gz DEWAALFUZXPTCG-IBGZPJMESA-N 0 1 318.436 3.286 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@@H]1C(C)C ZINC001754946662 1200549408 /nfs/dbraw/zinc/54/94/08/1200549408.db2.gz RBZOHJOTHCXPAG-RTBURBONSA-N 0 1 312.457 3.050 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001690454326 1200568178 /nfs/dbraw/zinc/56/81/78/1200568178.db2.gz BROLPHVIHUCNPB-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cc(F)ccc2F)CCC1 ZINC001754985690 1200571047 /nfs/dbraw/zinc/57/10/47/1200571047.db2.gz ALJIEIMIMAFAHW-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C(C)(C)CC(C)(C)C ZINC001690458980 1200573726 /nfs/dbraw/zinc/57/37/26/1200573726.db2.gz KFRNHPKMHJUXDV-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1(C(F)(F)F)CC1 ZINC001690479515 1200582325 /nfs/dbraw/zinc/58/23/25/1200582325.db2.gz KHJGHCPQXKESPO-NSHDSACASA-N 0 1 324.774 3.052 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1c[nH]cc1C1CC1 ZINC001690482651 1200584170 /nfs/dbraw/zinc/58/41/70/1200584170.db2.gz UIKJYOMKFWISCM-AWEZNQCLSA-N 0 1 321.852 3.229 20 30 DGEDMN C[C@H](NC(=O)CC1CC1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001690490937 1200587855 /nfs/dbraw/zinc/58/78/55/1200587855.db2.gz GXRVZMOOCYPPKK-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001755028242 1200590507 /nfs/dbraw/zinc/59/05/07/1200590507.db2.gz LVUGHTMCXSVIGW-CQSZACIVSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)Cc2occc2C)C1 ZINC001690502514 1200598016 /nfs/dbraw/zinc/59/80/16/1200598016.db2.gz ZEMUVCPJYXGZML-HUUCEWRRSA-N 0 1 324.852 3.100 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cccc(F)c2F)[C@@H](C)C1 ZINC001755113429 1200641645 /nfs/dbraw/zinc/64/16/45/1200641645.db2.gz HJJYDZFPEFQRFN-BBRMVZONSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001690626798 1200657089 /nfs/dbraw/zinc/65/70/89/1200657089.db2.gz LWWNIPULOZIUNA-HOCLYGCPSA-N 0 1 308.853 3.273 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001755143120 1200660032 /nfs/dbraw/zinc/66/00/32/1200660032.db2.gz XDRMDRYSZNDVNB-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@H](C)C1 ZINC001755163419 1200670724 /nfs/dbraw/zinc/67/07/24/1200670724.db2.gz HTKFVAQIFUMZRM-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)CCC(F)(F)F ZINC001755198315 1200694721 /nfs/dbraw/zinc/69/47/21/1200694721.db2.gz XWKPINCYKIXYIZ-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001755198315 1200694725 /nfs/dbraw/zinc/69/47/25/1200694725.db2.gz XWKPINCYKIXYIZ-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC001690771330 1200707445 /nfs/dbraw/zinc/70/74/45/1200707445.db2.gz DLTODRFNJSUKIR-KBPBESRZSA-N 0 1 307.463 3.016 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1cc2ccccc2o1 ZINC001755245548 1200730524 /nfs/dbraw/zinc/73/05/24/1200730524.db2.gz FFQJTLUARBPEQY-CHWSQXEVSA-N 0 1 320.820 3.211 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2oc(C)nc2C)C1 ZINC001690819268 1200732891 /nfs/dbraw/zinc/73/28/91/1200732891.db2.gz XPQKIRPGFKYLKV-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)CC(C)=C(C)C ZINC001755248031 1200734053 /nfs/dbraw/zinc/73/40/53/1200734053.db2.gz GAUZPXQOEZQXBT-STQMWFEESA-N 0 1 317.271 3.124 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@H](C)NCc2ncc(C)s2)CC1 ZINC001755253364 1200739748 /nfs/dbraw/zinc/73/97/48/1200739748.db2.gz RYJAQNIHZLLDIA-KBPBESRZSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2cnccc2c1 ZINC001755264615 1200747328 /nfs/dbraw/zinc/74/73/28/1200747328.db2.gz YEWIHLCUOUQCBB-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(Cl)s1 ZINC001755265548 1200748334 /nfs/dbraw/zinc/74/83/34/1200748334.db2.gz GTZJWCICOLTWTI-BDAKNGLRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1CCC(C)(C)CC1 ZINC001755271660 1200752953 /nfs/dbraw/zinc/75/29/53/1200752953.db2.gz VHBIDPIGQIGMHD-QWHCGFSZSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001755303926 1200784736 /nfs/dbraw/zinc/78/47/36/1200784736.db2.gz TWVXDTHWERGQPD-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@@H]1C=CCC1 ZINC001691789230 1200808215 /nfs/dbraw/zinc/80/82/15/1200808215.db2.gz NWFNNNDYVUXEPR-BMFZPTHFSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccccc1-c1ccccc1 ZINC001755324814 1200817135 /nfs/dbraw/zinc/81/71/35/1200817135.db2.gz QPRYONXSDGZQMQ-MRXNPFEDSA-N 0 1 306.409 3.037 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1cc(C)no1 ZINC001691815412 1200819873 /nfs/dbraw/zinc/81/98/73/1200819873.db2.gz GVZKLBGQSAJNEE-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1CCC1(F)F ZINC001691819282 1200823251 /nfs/dbraw/zinc/82/32/51/1200823251.db2.gz DFMDSCWYAYLBGC-WXHSDQCUSA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@]1(C)CC=CCC1 ZINC001755363233 1200848025 /nfs/dbraw/zinc/84/80/25/1200848025.db2.gz FAZPKKIOKUCYFX-PKOBYXMFSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccc3c2CCC3)C1 ZINC001691869672 1200849516 /nfs/dbraw/zinc/84/95/16/1200849516.db2.gz MUIHGENTAXKSSL-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2ccsc2)CC1(C)C ZINC001755410513 1200884944 /nfs/dbraw/zinc/88/49/44/1200884944.db2.gz TUVQWWJECUPTSK-CQSZACIVSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CN(Cc2ccccn2)CC1(C)C ZINC001755413722 1200888853 /nfs/dbraw/zinc/88/88/53/1200888853.db2.gz IDYXJSBODWUHEV-RDJZCZTQSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](c2ccccc2)C(C)C)C(C)(C)C1 ZINC001755412933 1200888975 /nfs/dbraw/zinc/88/89/75/1200888975.db2.gz YUJPEXYSOHATSM-ZWKOTPCHSA-N 0 1 314.473 3.439 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(Cc2ccccn2)CC1(C)C ZINC001755413721 1200889346 /nfs/dbraw/zinc/88/93/46/1200889346.db2.gz IDYXJSBODWUHEV-NVXWUHKLSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(C)(C)CCC)C1 ZINC001691975835 1200893843 /nfs/dbraw/zinc/89/38/43/1200893843.db2.gz KZZNKVLTRANEJR-CQSZACIVSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)[C@@H]1C ZINC001692148158 1200948785 /nfs/dbraw/zinc/94/87/85/1200948785.db2.gz BFCPJBIJAZHOMJ-PBHICJAKSA-N 0 1 304.409 3.179 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](CNCc1nccs1)C1CC1 ZINC001755565633 1200956892 /nfs/dbraw/zinc/95/68/92/1200956892.db2.gz CGJXHMKGHGPISQ-YOEHRIQHSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C)(C)C(C)(F)F ZINC001755571754 1200959307 /nfs/dbraw/zinc/95/93/07/1200959307.db2.gz MRSXKUONWICDQD-LBPRGKRZSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(F)ccc2CC)C1 ZINC001692212808 1200970498 /nfs/dbraw/zinc/97/04/98/1200970498.db2.gz OYOKYUVXSVZTPN-ZDUSSCGKSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001755589033 1200974081 /nfs/dbraw/zinc/97/40/81/1200974081.db2.gz LHIRVCHLIONGMA-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(Cl)c1F ZINC001755603343 1200981406 /nfs/dbraw/zinc/98/14/06/1200981406.db2.gz ZJEZYVFJJIQPRU-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(F)F)cc1 ZINC001755612324 1200989938 /nfs/dbraw/zinc/98/99/38/1200989938.db2.gz HFAVNAIXZOXRSH-NSHDSACASA-N 0 1 316.779 3.427 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CN(C)Cc1cccnc1 ZINC001692257967 1200991309 /nfs/dbraw/zinc/99/13/09/1200991309.db2.gz YIVPXFMUXZKYQU-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccc(C)s2)C1 ZINC001692273903 1201000379 /nfs/dbraw/zinc/00/03/79/1201000379.db2.gz IIDDPKHBTTYTLZ-CYBMUJFWSA-N 0 1 306.475 3.144 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C[C@H]1C ZINC001755675080 1201009839 /nfs/dbraw/zinc/00/98/39/1201009839.db2.gz IFSFWFKOPPTDJW-KGLIPLIRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C[C@H]1C ZINC001755683632 1201013294 /nfs/dbraw/zinc/01/32/94/1201013294.db2.gz YVZGQFVJNXLBDN-KGLIPLIRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H](C)SC)C(C)(C)C ZINC001755824136 1201089879 /nfs/dbraw/zinc/08/98/79/1201089879.db2.gz TYYMVLWPRKVAFM-NWDGAFQWSA-N 0 1 306.903 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cncc(CC)c1)C(C)(C)C ZINC001755828661 1201090956 /nfs/dbraw/zinc/09/09/56/1201090956.db2.gz MZKAQGLTLVZAAL-HNNXBMFYSA-N 0 1 323.868 3.131 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC000229810871 1201153020 /nfs/dbraw/zinc/15/30/20/1201153020.db2.gz PAGIEPPQLQMGHE-MRXNPFEDSA-N 0 1 315.417 3.047 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001698559366 1201229990 /nfs/dbraw/zinc/22/99/90/1201229990.db2.gz BBNRWELZXDAKGJ-INIZCTEOSA-N 0 1 318.436 3.461 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001698565206 1201235661 /nfs/dbraw/zinc/23/56/61/1201235661.db2.gz VKUJSKOKQXYZKZ-CRAIPNDOSA-N 0 1 316.420 3.223 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001698587199 1201262197 /nfs/dbraw/zinc/26/21/97/1201262197.db2.gz FTAPFMYAUNWROK-SJLPKXTDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1cc(C)ccc1C ZINC001698613567 1201282684 /nfs/dbraw/zinc/28/26/84/1201282684.db2.gz KRLCSPRKLRHJOI-MRXNPFEDSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NC/C(Cl)=C/Cl ZINC001698613818 1201285630 /nfs/dbraw/zinc/28/56/30/1201285630.db2.gz PKKKDIATPBVIDG-CTFRTPTRSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1csc(Cl)c1 ZINC001698622566 1201291895 /nfs/dbraw/zinc/29/18/95/1201291895.db2.gz RSFVAMOZVGXNGL-JTQLQIEISA-N 0 1 307.246 3.252 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CCNCc2nocc2C)C1 ZINC001698679399 1201330666 /nfs/dbraw/zinc/33/06/66/1201330666.db2.gz LMMUKRQDXXGJGP-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNCc1cc(C(C)(C)C)on1 ZINC001698760144 1201395167 /nfs/dbraw/zinc/39/51/67/1201395167.db2.gz HEAXHROVOZRZOT-ZDUSSCGKSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccco1)C1CCCCC1 ZINC001698876790 1201536898 /nfs/dbraw/zinc/53/68/98/1201536898.db2.gz BQONHXQAGVBYOH-AWEZNQCLSA-N 0 1 310.825 3.300 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CNC/C(Cl)=C\Cl ZINC001698942106 1201593137 /nfs/dbraw/zinc/59/31/37/1201593137.db2.gz XEZNFPZIMSJOQQ-GANVNIMBSA-N 0 1 319.276 3.488 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1cc(C)sc1C ZINC001698993707 1201659974 /nfs/dbraw/zinc/65/99/74/1201659974.db2.gz OAROWHHBSBHNTE-UHFFFAOYSA-N 0 1 320.502 3.449 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(F)cc(Cl)c1 ZINC001750935194 1201729861 /nfs/dbraw/zinc/72/98/61/1201729861.db2.gz SOGIYIGNBFUEKS-INIZCTEOSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001699226050 1201763450 /nfs/dbraw/zinc/76/34/50/1201763450.db2.gz GYBNHGMOUVQCCF-SHTZXODSSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(CCC)cc1 ZINC001699299299 1201783414 /nfs/dbraw/zinc/78/34/14/1201783414.db2.gz HTZKKPAIBPXGSN-SNAWJCMRSA-N 0 1 306.837 3.267 20 30 DGEDMN CC1(C)CC[C@H](C(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001699303948 1201784617 /nfs/dbraw/zinc/78/46/17/1201784617.db2.gz ALYOPNALFIPUBH-RURPWVQCSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C)c2c1CCC2 ZINC001699345152 1201797540 /nfs/dbraw/zinc/79/75/40/1201797540.db2.gz MSECIAAGGGUVTH-ARJAWSKDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1csc(C)n1)C1CC1 ZINC001752483261 1201852090 /nfs/dbraw/zinc/85/20/90/1201852090.db2.gz XDUGXWWIUDLQOJ-RDJZCZTQSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@H](NCC(=C)Cl)C1CC1)c1ccccc1 ZINC001752499959 1201857808 /nfs/dbraw/zinc/85/78/08/1201857808.db2.gz RZMNYOGJGTXAKE-IAGOWNOFSA-N 0 1 318.848 3.193 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2sccc2c1 ZINC001699428497 1201861511 /nfs/dbraw/zinc/86/15/11/1201861511.db2.gz NAPCBGDFKDNHBR-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C/C=C\c1ccc(C)cc1 ZINC001699435042 1201864911 /nfs/dbraw/zinc/86/49/11/1201864911.db2.gz DFEBCBCKZDADFF-XVWMLYKFSA-N 0 1 306.837 3.245 20 30 DGEDMN Cc1cccc(C)c1CN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001752535040 1201872129 /nfs/dbraw/zinc/87/21/29/1201872129.db2.gz WJDVEUAUKDLUAP-KDURUIRLSA-N 0 1 324.468 3.138 20 30 DGEDMN CC[C@@H](C)C(=O)NC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001752813496 1201938093 /nfs/dbraw/zinc/93/80/93/1201938093.db2.gz FULGGWGYHKFBTP-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCNC/C(Cl)=C\Cl ZINC001699538828 1201942214 /nfs/dbraw/zinc/94/22/14/1201942214.db2.gz YYLPUXZBFNXTEU-ZRDIBKRKSA-N 0 1 307.265 3.346 20 30 DGEDMN C=CC1(CC(=O)N(C)CCCNCc2ccon2)CCCCC1 ZINC001699542599 1201942553 /nfs/dbraw/zinc/94/25/53/1201942553.db2.gz RTLXIQNBGNBZIK-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2nc(C)cs2)C1 ZINC001752836367 1201961785 /nfs/dbraw/zinc/96/17/85/1201961785.db2.gz FOQOZTPTFGAHQZ-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001699620536 1201984726 /nfs/dbraw/zinc/98/47/26/1201984726.db2.gz BPRFULLGPTUXMY-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)C2(C)CCC2)C1 ZINC001752994376 1202045657 /nfs/dbraw/zinc/04/56/57/1202045657.db2.gz ATTMLAPGTIOLAK-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2scnc2C)C1 ZINC001752997187 1202047439 /nfs/dbraw/zinc/04/74/39/1202047439.db2.gz SPPSIMLJZYZLMN-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001699723712 1202054379 /nfs/dbraw/zinc/05/43/79/1202054379.db2.gz UXELYLPNFNILLQ-IPELMVKDSA-N 0 1 312.457 3.287 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1nc(C)c(C)s1 ZINC001699753372 1202065887 /nfs/dbraw/zinc/06/58/87/1202065887.db2.gz OYLKGMBRTCBILS-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001699766070 1202071718 /nfs/dbraw/zinc/07/17/18/1202071718.db2.gz LUGBDRCRRKSBFW-NRXISQOPSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001699766071 1202072040 /nfs/dbraw/zinc/07/20/40/1202072040.db2.gz LUGBDRCRRKSBFW-YMAMQOFZSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC001699774227 1202075735 /nfs/dbraw/zinc/07/57/35/1202075735.db2.gz OVCBAIQCARLWQU-GFCCVEGCSA-N 0 1 324.877 3.109 20 30 DGEDMN C#CCN(C(=O)C/C=C(\C)C=C)C1CCN(C[C@H](F)CC)CC1 ZINC001699784272 1202077167 /nfs/dbraw/zinc/07/71/67/1202077167.db2.gz CPINHKFLCUVPQX-QLGNDSFESA-N 0 1 320.452 3.183 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)CCC)C1CCCC1 ZINC001699793390 1202081213 /nfs/dbraw/zinc/08/12/13/1202081213.db2.gz SXOMZVHJYBMYGO-IUODEOHRSA-N 0 1 300.874 3.440 20 30 DGEDMN CCCCCC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001699812672 1202089299 /nfs/dbraw/zinc/08/92/99/1202089299.db2.gz QHVBHMDKBCHWDO-IBGZPJMESA-N 0 1 313.445 3.219 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001753068723 1202097078 /nfs/dbraw/zinc/09/70/78/1202097078.db2.gz PWMYWUISMYMIEQ-HNNXBMFYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccsc2)CC1 ZINC001699859780 1202118120 /nfs/dbraw/zinc/11/81/20/1202118120.db2.gz CJGXIEIQKIOZAZ-UHFFFAOYSA-N 0 1 312.866 3.333 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cccc(-c2ccoc2)c1 ZINC001753092952 1202122863 /nfs/dbraw/zinc/12/28/63/1202122863.db2.gz QWHTVIGYGHHWIY-UHFFFAOYSA-N 0 1 310.397 3.022 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2cncs2)C1 ZINC001699875412 1202131758 /nfs/dbraw/zinc/13/17/58/1202131758.db2.gz VGIMEXRGMWUSAO-KRWDZBQOSA-N 0 1 321.490 3.218 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](CC)CCCCC)C1 ZINC001699879053 1202135470 /nfs/dbraw/zinc/13/54/70/1202135470.db2.gz HHONNKXANOXYHV-MJGOQNOKSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1C ZINC001753109278 1202140081 /nfs/dbraw/zinc/14/00/81/1202140081.db2.gz SEDZWCUOCBFFGM-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@H](C)CC)c1ccccc1CC ZINC001699934018 1202140579 /nfs/dbraw/zinc/14/05/79/1202140579.db2.gz NKISEWWONWRCTC-VQIMIIECSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC1CCCC1)c1ccccc1CC ZINC001699933466 1202140656 /nfs/dbraw/zinc/14/06/56/1202140656.db2.gz MRERPODCIPUOIS-LJQANCHMSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1 ZINC001753110566 1202140666 /nfs/dbraw/zinc/14/06/66/1202140666.db2.gz PYAVAMWWUXOGMP-QGZVFWFLSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001753112410 1202142642 /nfs/dbraw/zinc/14/26/42/1202142642.db2.gz CCLFDDDCYXQHGB-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C(C)(C)c1ccccc1Cl ZINC001753116607 1202145339 /nfs/dbraw/zinc/14/53/39/1202145339.db2.gz NZTAYUXBKQANOI-CQSZACIVSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CCC1CC1)C2 ZINC001699974226 1202153812 /nfs/dbraw/zinc/15/38/12/1202153812.db2.gz MMNMQHGJAFQYLK-MUJYYYPQSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1cncs1 ZINC001700014602 1202165310 /nfs/dbraw/zinc/16/53/10/1202165310.db2.gz XWNYIBLOLXVAIP-JKSUJKDBSA-N 0 1 319.474 3.065 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H](CC)c1ccccc1)C1CC1 ZINC001753162101 1202176844 /nfs/dbraw/zinc/17/68/44/1202176844.db2.gz AXMMZSDUVHHMMI-KRWDZBQOSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN(CCNC(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1)C1CC1 ZINC001753161038 1202177334 /nfs/dbraw/zinc/17/73/34/1202177334.db2.gz KMNFBKMSQGSYQI-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C)cc1F ZINC001700092229 1202178597 /nfs/dbraw/zinc/17/85/97/1202178597.db2.gz VPQVUZLMZIKZPF-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(CCF)CC3)CCCC1 ZINC001700111334 1202183401 /nfs/dbraw/zinc/18/34/01/1202183401.db2.gz WIAZTXTXYQSWTI-UHFFFAOYSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CNCc1ncc(C)s1 ZINC001700124769 1202185134 /nfs/dbraw/zinc/18/51/34/1202185134.db2.gz JDNNVFZRCCVTJF-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCNCc1ccccc1Cl ZINC001753221768 1202185425 /nfs/dbraw/zinc/18/54/25/1202185425.db2.gz BZPDTPVQUBJKLY-CQSZACIVSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001700137231 1202189277 /nfs/dbraw/zinc/18/92/77/1202189277.db2.gz WBNUMCDOOMEBPF-MRXNPFEDSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CCC1(F)F)C(C)C ZINC001753287513 1202195885 /nfs/dbraw/zinc/19/58/85/1202195885.db2.gz YWAUNIDGODGOEI-GFCCVEGCSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H](C)n1cccc1)C(C)C ZINC001753286333 1202195902 /nfs/dbraw/zinc/19/59/02/1202195902.db2.gz WIUNLSIVRSQDJZ-OAHLLOKOSA-N 0 1 311.857 3.018 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C(C)(C)C(F)F)CC1 ZINC001700180133 1202197647 /nfs/dbraw/zinc/19/76/47/1202197647.db2.gz BJMCYRJEPDRIOR-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@](C)(F)CCCC)c1ccccc1 ZINC001753299559 1202199899 /nfs/dbraw/zinc/19/98/99/1202199899.db2.gz DYVVFUBITYMDPN-HKUYNNGSSA-N 0 1 318.436 3.375 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H](C)C(C)(F)F)C(C)C ZINC001753299364 1202200003 /nfs/dbraw/zinc/20/00/03/1202200003.db2.gz HKDDNKGVKDQXBG-GFCCVEGCSA-N 0 1 310.816 3.247 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1CCC2(CC2)CC1)c1ccccc1 ZINC001753299759 1202200183 /nfs/dbraw/zinc/20/01/83/1202200183.db2.gz HITLKDCXLJXMFG-IBGZPJMESA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)c1ccccc1 ZINC001753313850 1202204393 /nfs/dbraw/zinc/20/43/93/1202204393.db2.gz YMBZLSAXLCNOCW-WCIQWLHISA-N 0 1 324.468 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(OC)cs2)C1 ZINC001753318064 1202206774 /nfs/dbraw/zinc/20/67/74/1202206774.db2.gz BHTOHCQBRGTFOV-AWEZNQCLSA-N 0 1 322.474 3.259 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cncc(Cl)c2)C1 ZINC001753319594 1202207791 /nfs/dbraw/zinc/20/77/91/1202207791.db2.gz INKNPXOQKDNGDL-INIZCTEOSA-N 0 1 321.852 3.238 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC(C)(C)CC(F)F)C1 ZINC001753319063 1202207976 /nfs/dbraw/zinc/20/79/76/1202207976.db2.gz WYJIDJNATRDWFM-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2sccc2CC)C1 ZINC001753321522 1202209277 /nfs/dbraw/zinc/20/92/77/1202209277.db2.gz AQGVVICDWFQOCF-INIZCTEOSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001753349767 1202222737 /nfs/dbraw/zinc/22/27/37/1202222737.db2.gz VJIXONRRQXKHLR-LTIDMASMSA-N 0 1 322.880 3.122 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCC(C)C)CC1 ZINC001753363651 1202230920 /nfs/dbraw/zinc/23/09/20/1202230920.db2.gz YWFFOOAWXSFWPM-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001700311482 1202231040 /nfs/dbraw/zinc/23/10/40/1202231040.db2.gz OABDBBOTKULYAL-XRMRRZJCSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2ccccc2C)C1 ZINC001753395627 1202240758 /nfs/dbraw/zinc/24/07/58/1202240758.db2.gz PGEBKLXHFRHMKL-JKSUJKDBSA-N 0 1 300.446 3.254 20 30 DGEDMN C=CCC(CC=C)C(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001753404666 1202242568 /nfs/dbraw/zinc/24/25/68/1202242568.db2.gz NZYFQOMMKVOXJG-UHFFFAOYSA-N 0 1 312.457 3.311 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001753408369 1202243722 /nfs/dbraw/zinc/24/37/22/1202243722.db2.gz MTNVUERYHLHXCU-HNNXBMFYSA-N 0 1 318.436 3.284 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3cc(C)sc3C)C2)C1 ZINC001753410038 1202244347 /nfs/dbraw/zinc/24/43/47/1202244347.db2.gz QHWJMJSCWLSSQF-UHFFFAOYSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001753410973 1202244444 /nfs/dbraw/zinc/24/44/44/1202244444.db2.gz WURDHFUEYVAQJA-CQSZACIVSA-N 0 1 304.409 3.291 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1C ZINC001753419733 1202248201 /nfs/dbraw/zinc/24/82/01/1202248201.db2.gz GPDGZGRBAPXWHQ-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(F)F)c1 ZINC001753424051 1202249108 /nfs/dbraw/zinc/24/91/08/1202249108.db2.gz JFNFDVGZTUKURA-STQMWFEESA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)cc1F ZINC001753423534 1202249256 /nfs/dbraw/zinc/24/92/56/1202249256.db2.gz NZPWWJIIRBDUOZ-KBPBESRZSA-N 0 1 322.811 3.344 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C/C=C\c1ccc(C)cc1 ZINC001700364279 1202251020 /nfs/dbraw/zinc/25/10/20/1202251020.db2.gz MXJKEIWFOBOSTM-LZVBJTEYSA-N 0 1 324.468 3.344 20 30 DGEDMN CN(C(=O)CC(C)(C)C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001753430907 1202251960 /nfs/dbraw/zinc/25/19/60/1202251960.db2.gz VWGHPKJKZOYKOQ-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001753443623 1202256731 /nfs/dbraw/zinc/25/67/31/1202256731.db2.gz AFPKIHKFXNNHSL-IEBWSBKVSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1F ZINC001700398264 1202261712 /nfs/dbraw/zinc/26/17/12/1202261712.db2.gz YWWFFNVNPUQNFH-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001700398261 1202262039 /nfs/dbraw/zinc/26/20/39/1202262039.db2.gz YWWFFNVNPUQNFH-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001700413038 1202266096 /nfs/dbraw/zinc/26/60/96/1202266096.db2.gz UFSHXEJFKZAASD-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](CC)NCc2nc(C)c(C)s2)C1 ZINC001753487954 1202272866 /nfs/dbraw/zinc/27/28/66/1202272866.db2.gz ACJHRDYTTJICBW-CQSZACIVSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl ZINC001753711697 1202318991 /nfs/dbraw/zinc/31/89/91/1202318991.db2.gz VSHDIOIVPVMRJW-MYUVLUJPSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001700541932 1202310100 /nfs/dbraw/zinc/31/01/00/1202310100.db2.gz YOHURBMWWVAAQT-JEJOPICUSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001700547293 1202311142 /nfs/dbraw/zinc/31/11/42/1202311142.db2.gz CLKQAXVGMKSJDM-AWEZNQCLSA-N 0 1 318.436 3.283 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001700555221 1202312771 /nfs/dbraw/zinc/31/27/71/1202312771.db2.gz PXEMCSFKPSTIJN-KBPBESRZSA-N 0 1 312.413 3.159 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H](C)CNCc1c(F)cccc1F ZINC001753684639 1202312976 /nfs/dbraw/zinc/31/29/76/1202312976.db2.gz CZJXZOJXZICYTJ-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001753688567 1202314050 /nfs/dbraw/zinc/31/40/50/1202314050.db2.gz BGKXAYXNSKRKAK-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001700570832 1202316414 /nfs/dbraw/zinc/31/64/14/1202316414.db2.gz KHSFEDBDANRPDM-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)CCCC(C)C ZINC001753713304 1202319224 /nfs/dbraw/zinc/31/92/24/1202319224.db2.gz BQDAHDLACHMXSB-ZDUSSCGKSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001700637907 1202326711 /nfs/dbraw/zinc/32/67/11/1202326711.db2.gz DKNQORCLWUEWRS-XSWJXKHESA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc[nH]c1C)C1CCCCC1 ZINC001753744542 1202327664 /nfs/dbraw/zinc/32/76/64/1202327664.db2.gz JOYJAENHBWPJHV-INIZCTEOSA-N 0 1 323.868 3.344 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncc(C(C)C)o2)[C@H](C)C1 ZINC001753764562 1202330252 /nfs/dbraw/zinc/33/02/52/1202330252.db2.gz GGRSUYQMSAUYTQ-HUUCEWRRSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CCC(C)(F)F)CC1 ZINC001700693260 1202335596 /nfs/dbraw/zinc/33/55/96/1202335596.db2.gz HPAJVFTZAKFDNR-UHFFFAOYSA-N 0 1 308.800 3.097 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@H](C)[C@@H]1CNCC(=C)Cl ZINC001753810035 1202337419 /nfs/dbraw/zinc/33/74/19/1202337419.db2.gz VAIYBLDKBDWANH-KVGPOCCESA-N 0 1 310.869 3.478 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@H](C)[C@@H]1CNCC(=C)Cl ZINC001753810036 1202337518 /nfs/dbraw/zinc/33/75/18/1202337518.db2.gz VAIYBLDKBDWANH-WEJWTIOFSA-N 0 1 310.869 3.478 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001700741786 1202346391 /nfs/dbraw/zinc/34/63/91/1202346391.db2.gz VRUFPVRFFUYOTK-QWHCGFSZSA-N 0 1 310.388 3.429 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001700764129 1202350504 /nfs/dbraw/zinc/35/05/04/1202350504.db2.gz DDODZBGJNGXNFM-JKSUJKDBSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H]1CCCC1(F)F ZINC001700766567 1202351390 /nfs/dbraw/zinc/35/13/90/1202351390.db2.gz VBYABAGPUPTRFX-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)c2cnns2)[C@@H]1C ZINC001700880502 1202364809 /nfs/dbraw/zinc/36/48/09/1202364809.db2.gz SRJIONRTSQDDTI-UONOGXRCSA-N 0 1 324.494 3.091 20 30 DGEDMN CC(C)N(CCN(C)Cc1cccc(C#N)c1)C(=O)C1CCC1 ZINC001700970823 1202372351 /nfs/dbraw/zinc/37/23/51/1202372351.db2.gz ZBCCEZHQIGOBHX-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)N(CCN(C)C/C=C\c1ccccc1)C(=O)C#CC1CC1 ZINC001700971297 1202372573 /nfs/dbraw/zinc/37/25/73/1202372573.db2.gz TXMDGEOIQKVKOR-YFHOEESVSA-N 0 1 324.468 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C\C(C)(C)C)C(C)(C)C ZINC001700983056 1202376446 /nfs/dbraw/zinc/37/64/46/1202376446.db2.gz FFUQOHKPJDDKPU-LJTDUEICSA-N 0 1 300.874 3.462 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C1CCN(C/C=C\Cl)CC1 ZINC001701007677 1202380243 /nfs/dbraw/zinc/38/02/43/1202380243.db2.gz WYVXDTFEIQBURK-OCOPJHETSA-N 0 1 310.869 3.149 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C1CCN(C/C=C/Cl)CC1 ZINC001701007678 1202380431 /nfs/dbraw/zinc/38/04/31/1202380431.db2.gz WYVXDTFEIQBURK-XKOZXHHJSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1cc2ccccc2o1 ZINC001707046579 1202568596 /nfs/dbraw/zinc/56/85/96/1202568596.db2.gz IQCNBLCGXGCSKL-INIZCTEOSA-N 0 1 312.413 3.084 20 30 DGEDMN C=CC1(CC(=O)N(C)C[C@@H]2CCN2[C@@H](C)COC)CCCCC1 ZINC001707052966 1202569847 /nfs/dbraw/zinc/56/98/47/1202569847.db2.gz GVOOZFVLAXPJMO-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001707063869 1202571163 /nfs/dbraw/zinc/57/11/63/1202571163.db2.gz RTHXCIIEFIUMPL-MSOLQXFVSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001707115820 1202573804 /nfs/dbraw/zinc/57/38/04/1202573804.db2.gz KLDFXWWXOXITGM-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCC(=C)Cl ZINC001707155645 1202574735 /nfs/dbraw/zinc/57/47/35/1202574735.db2.gz NVJGPSZWUUUWIH-ZBFHGGJFSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C(C)(C)C=C)C1 ZINC001707193024 1202576035 /nfs/dbraw/zinc/57/60/35/1202576035.db2.gz WGDSJUPPLNVRLQ-QZTJIDSGSA-N 0 1 312.457 3.359 20 30 DGEDMN CC(C)C(C)(C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001707380292 1202581798 /nfs/dbraw/zinc/58/17/98/1202581798.db2.gz JMDIVJWJBDYNTR-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN CN(CCCNC(=O)CCC1CCC1)Cc1ccc(C#N)s1 ZINC001712941042 1202605346 /nfs/dbraw/zinc/60/53/46/1202605346.db2.gz YDRAKPBQKPIDDU-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CC(C)CC(=O)N(C)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001713051051 1202612949 /nfs/dbraw/zinc/61/29/49/1202612949.db2.gz OHZGFUFLKLGCFJ-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001713085314 1202615598 /nfs/dbraw/zinc/61/55/98/1202615598.db2.gz HTYBLXLBKCXRPQ-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2ccc(Cl)o2)CC1 ZINC001713099996 1202617082 /nfs/dbraw/zinc/61/70/82/1202617082.db2.gz KZIIOYCACWFGMU-UHFFFAOYSA-N 0 1 310.825 3.436 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C(C)(C)C2CC2)C1 ZINC001713132722 1202620502 /nfs/dbraw/zinc/62/05/02/1202620502.db2.gz FKZXYMQTJNZMKY-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(C3CC3)CC2)C1 ZINC001713131623 1202620600 /nfs/dbraw/zinc/62/06/00/1202620600.db2.gz IYEPNMWJKDJFPD-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(C3CC3)CC2)C1 ZINC001713131624 1202620620 /nfs/dbraw/zinc/62/06/20/1202620620.db2.gz IYEPNMWJKDJFPD-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCOC1 ZINC001713143579 1202621735 /nfs/dbraw/zinc/62/17/35/1202621735.db2.gz JYRRRISTZUPQLX-ZIFCJYIRSA-N 0 1 322.493 3.059 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001713300990 1202637173 /nfs/dbraw/zinc/63/71/73/1202637173.db2.gz VOOOERKOXVRSDL-NHYWBVRUSA-N 0 1 308.372 3.013 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@@H]1CCCN1Cc1coc(C)n1 ZINC001713432324 1202649271 /nfs/dbraw/zinc/64/92/71/1202649271.db2.gz AKSOXWCKVRQRCF-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1cc(F)ccc1C ZINC001713504749 1202661800 /nfs/dbraw/zinc/66/18/00/1202661800.db2.gz OWASBNIDPBTRMA-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cnc(C)s1 ZINC001713512157 1202662656 /nfs/dbraw/zinc/66/26/56/1202662656.db2.gz IBBYRCWLKJTUHF-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001713511813 1202662869 /nfs/dbraw/zinc/66/28/69/1202662869.db2.gz BPXTXURLOIEROM-HVRVZBDQSA-N 0 1 323.440 3.161 20 30 DGEDMN CC/C=C(\C)C(=O)NCCN(CCC)Cc1ccc(C#N)s1 ZINC001713544719 1202667589 /nfs/dbraw/zinc/66/75/89/1202667589.db2.gz ZZFWWBALDNMNBH-MKMNVTDBSA-N 0 1 319.474 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC#Cc2ccccc2)CCC)C1 ZINC001713550700 1202668994 /nfs/dbraw/zinc/66/89/94/1202668994.db2.gz LILNNYWVTVZZHA-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(F)c(C(F)F)c1 ZINC001713592031 1202672793 /nfs/dbraw/zinc/67/27/93/1202672793.db2.gz HBIZWPLPJIMVAS-UHFFFAOYSA-N 0 1 320.742 3.177 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cc(F)ccc2F)CCC1 ZINC001713631004 1202674213 /nfs/dbraw/zinc/67/42/13/1202674213.db2.gz NQTQVPWAZFULDL-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001713720709 1202681289 /nfs/dbraw/zinc/68/12/89/1202681289.db2.gz BKDWDCZTQQHRTD-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001713723035 1202682280 /nfs/dbraw/zinc/68/22/80/1202682280.db2.gz ZJCJCPAHBZFZLO-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN CC1(CC(=O)NCCCN(CC#Cc2ccccc2)C2CC2)CC1 ZINC001713769342 1202688674 /nfs/dbraw/zinc/68/86/74/1202688674.db2.gz QZNSLIQPYLSFEL-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCCN(CC#CC)C2)CCCCC1 ZINC001713792507 1202691512 /nfs/dbraw/zinc/69/15/12/1202691512.db2.gz BSTWPFUABMIAGS-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001713829145 1202693641 /nfs/dbraw/zinc/69/36/41/1202693641.db2.gz IIPPVACYHPOHQI-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001713830944 1202693938 /nfs/dbraw/zinc/69/39/38/1202693938.db2.gz GKIADFNEUMRBSS-WMZOPIPTSA-N 0 1 300.446 3.255 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC001713857412 1202696245 /nfs/dbraw/zinc/69/62/45/1202696245.db2.gz UBIGUNJIPMEXNF-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2ccc(F)cc2F)C1 ZINC001713856477 1202696358 /nfs/dbraw/zinc/69/63/58/1202696358.db2.gz YZLZHXXAZDROCN-CHWSQXEVSA-N 0 1 322.399 3.223 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2oc(CC)nc2C)CC1 ZINC001713858063 1202696435 /nfs/dbraw/zinc/69/64/35/1202696435.db2.gz KULSLYYKOZTDFM-UHFFFAOYSA-N 0 1 319.449 3.048 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(C)c(C)c1)C1CC1 ZINC001713883420 1202698714 /nfs/dbraw/zinc/69/87/14/1202698714.db2.gz BIXWYERYMXWDJU-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cccc(F)c2)C1 ZINC001713885137 1202698834 /nfs/dbraw/zinc/69/88/34/1202698834.db2.gz DIPZPKZLBGWVEQ-AWEZNQCLSA-N 0 1 324.827 3.362 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001713925571 1202702753 /nfs/dbraw/zinc/70/27/53/1202702753.db2.gz GECWZCGDBODLJV-HNNXBMFYSA-N 0 1 318.436 3.019 20 30 DGEDMN C=CC[C@H](C(=O)N1CC[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001713938217 1202703709 /nfs/dbraw/zinc/70/37/09/1202703709.db2.gz PALBXRUQHDYZEJ-IRXDYDNUSA-N 0 1 318.848 3.289 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001714000778 1202721288 /nfs/dbraw/zinc/72/12/88/1202721288.db2.gz IYKDCCHWXYBSPV-QGZVFWFLSA-N 0 1 324.424 3.125 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)N[C@@H](C)c1cc(F)ccc1F ZINC001714074338 1202763117 /nfs/dbraw/zinc/76/31/17/1202763117.db2.gz QWJVFDUMLFKRPY-ZFWWWQNUSA-N 0 1 322.399 3.170 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)N[C@@H](C)c1cc(F)ccc1F ZINC001714074335 1202763128 /nfs/dbraw/zinc/76/31/28/1202763128.db2.gz QWJVFDUMLFKRPY-DZGCQCFKSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C/C=C/c1ccc(F)cc1 ZINC001714082123 1202765413 /nfs/dbraw/zinc/76/54/13/1202765413.db2.gz HTVYBMUPXRZXJR-APHBUQMISA-N 0 1 324.827 3.466 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccccc1F ZINC001714113783 1202784588 /nfs/dbraw/zinc/78/45/88/1202784588.db2.gz COSPVFBMYMMFEK-GJZGRUSLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)c1ccccc1 ZINC001714118675 1202788129 /nfs/dbraw/zinc/78/81/29/1202788129.db2.gz WZXSQINUBLJDNC-QGZVFWFLSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1(C)CC(F)(F)C1 ZINC001714137957 1202796808 /nfs/dbraw/zinc/79/68/08/1202796808.db2.gz BAIANDFVWXQLDS-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCCC(=O)N[C@](C)(CNCc1nc(C)sc1C)C1CC1 ZINC001714158942 1202809849 /nfs/dbraw/zinc/80/98/49/1202809849.db2.gz FHHNVMAUKBDGRF-QGZVFWFLSA-N 0 1 321.490 3.101 20 30 DGEDMN CC1=C(C)C[C@@H](C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CC1 ZINC001714234903 1202841992 /nfs/dbraw/zinc/84/19/92/1202841992.db2.gz OBCUGXKPVPAZJZ-QUCCMNQESA-N 0 1 324.468 3.269 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001714270625 1202857947 /nfs/dbraw/zinc/85/79/47/1202857947.db2.gz RLBOJJZJRODBAE-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001714270731 1202857977 /nfs/dbraw/zinc/85/79/77/1202857977.db2.gz UANXZRZZDRDSDB-VXGBXAGGSA-N 0 1 315.244 3.290 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001714290846 1202865451 /nfs/dbraw/zinc/86/54/51/1202865451.db2.gz MBLBILFUPKEMLD-QZTJIDSGSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CCC(C)(F)F)CC1 ZINC001714327653 1202884408 /nfs/dbraw/zinc/88/44/08/1202884408.db2.gz CYKMSKMYCDXDKU-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1cc(C(C)(C)C)on1 ZINC001714473068 1202953590 /nfs/dbraw/zinc/95/35/90/1202953590.db2.gz YNZMLZTUMPMBEB-CQSZACIVSA-N 0 1 321.465 3.313 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)/C=C/C(C)(C)C ZINC001714562237 1203005868 /nfs/dbraw/zinc/00/58/68/1203005868.db2.gz KDDUOXZKMBSYIX-AUECHBEKSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(CC)Cc1sc(C)nc1C ZINC001714585879 1203025021 /nfs/dbraw/zinc/02/50/21/1203025021.db2.gz VATWWKPHZOCLQQ-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1Cl ZINC001714597814 1203037154 /nfs/dbraw/zinc/03/71/54/1203037154.db2.gz REMGQGNYNMXRAA-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001714624637 1203059563 /nfs/dbraw/zinc/05/95/63/1203059563.db2.gz YOXUQJZIXXHHTI-VQIMIIECSA-N 0 1 315.461 3.073 20 30 DGEDMN CC[C@H](C)CC(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001714664552 1203088828 /nfs/dbraw/zinc/08/88/28/1203088828.db2.gz YQLNXKSQWIMUNI-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](NCC#CC)c2ccccc2)CCC1 ZINC001714840777 1203147325 /nfs/dbraw/zinc/14/73/25/1203147325.db2.gz SBQHJDJKDKDIHI-GOSISDBHSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccoc1CCC)c1ccccc1 ZINC001714850261 1203151076 /nfs/dbraw/zinc/15/10/76/1203151076.db2.gz UGGYAAURYMMRHO-GOSISDBHSA-N 0 1 324.424 3.316 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001714853890 1203153130 /nfs/dbraw/zinc/15/31/30/1203153130.db2.gz ATVZSFKLUNBKOW-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2ccc(C)s2)C1 ZINC001714853721 1203154329 /nfs/dbraw/zinc/15/43/29/1203154329.db2.gz XYFXCLXTAYJMMW-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(F)c(C)c2)C1 ZINC001714862939 1203162437 /nfs/dbraw/zinc/16/24/37/1203162437.db2.gz BOBQTDSVJMNANK-QGZVFWFLSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001714863539 1203163097 /nfs/dbraw/zinc/16/30/97/1203163097.db2.gz UEOUECFEYZOUOC-HSALFYBXSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cscc2C)C1 ZINC001714897189 1203184081 /nfs/dbraw/zinc/18/40/81/1203184081.db2.gz DWLHWDICAZRNFN-CYBMUJFWSA-N 0 1 312.866 3.203 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001714896413 1203184714 /nfs/dbraw/zinc/18/47/14/1203184714.db2.gz PHBOFHSQORAOMT-HZPDHXFCSA-N 0 1 319.449 3.181 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(CC)CCCC2)C1 ZINC001714901553 1203187706 /nfs/dbraw/zinc/18/77/06/1203187706.db2.gz IDUHAIXGQONWGI-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001714922403 1203201279 /nfs/dbraw/zinc/20/12/79/1203201279.db2.gz IGGCGTRBABQYPS-RTBURBONSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001714970518 1203221293 /nfs/dbraw/zinc/22/12/93/1203221293.db2.gz LVFAKRBHAXMBAC-KRWDZBQOSA-N 0 1 314.473 3.454 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001715041660 1203250750 /nfs/dbraw/zinc/25/07/50/1203250750.db2.gz GACYOPXVNFIROE-HKUYNNGSSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)[C@@H]1CCN(Cc2ccccn2)C1 ZINC001715045018 1203252427 /nfs/dbraw/zinc/25/24/27/1203252427.db2.gz PQXSKQPRYOHCEB-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1c(C)cc(C)cc1C ZINC001715074531 1203263766 /nfs/dbraw/zinc/26/37/66/1203263766.db2.gz VCUNQJZWZFCGIB-MRXNPFEDSA-N 0 1 322.880 3.391 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(CNCc2nccs2)CCCC1 ZINC001715098812 1203272087 /nfs/dbraw/zinc/27/20/87/1203272087.db2.gz QIADRIBVBKMGOV-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2oc(C)cc2C)CC1 ZINC001715200472 1203294667 /nfs/dbraw/zinc/29/46/67/1203294667.db2.gz MLEDLJUCLFVGHX-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1F ZINC001715335499 1203318305 /nfs/dbraw/zinc/31/83/05/1203318305.db2.gz DAJCMKADBDDGPI-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1Cl ZINC001715336659 1203318591 /nfs/dbraw/zinc/31/85/91/1203318591.db2.gz XYHPZXBAAJLPTN-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2cccnc2)CC1 ZINC001715470858 1203346625 /nfs/dbraw/zinc/34/66/25/1203346625.db2.gz OGBRAHHJLOPDCT-UHFFFAOYSA-N 0 1 321.852 3.008 20 30 DGEDMN C=CCCCC(=O)N(C)CCN(C)Cc1ccc(F)cc1C ZINC001715528179 1203361754 /nfs/dbraw/zinc/36/17/54/1203361754.db2.gz DRDPXFLRIUBIBA-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2csc(C)c2)C1 ZINC001715530594 1203363180 /nfs/dbraw/zinc/36/31/80/1203363180.db2.gz WYGWKUMKOGBQTQ-UHFFFAOYSA-N 0 1 306.475 3.303 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001715543591 1203368523 /nfs/dbraw/zinc/36/85/23/1203368523.db2.gz IJIURJALDONDKD-DLBZAZTESA-N 0 1 322.880 3.245 20 30 DGEDMN CCN(CCNCc1cc(C#N)ccc1F)C(=O)C(C)(C)CC ZINC001715754178 1203388433 /nfs/dbraw/zinc/38/84/33/1203388433.db2.gz PYSYIODISIVQGA-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CCC(C)(C)CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001715820203 1203395520 /nfs/dbraw/zinc/39/55/20/1203395520.db2.gz MFCQOFAZRYMSEJ-CMDGGOBGSA-N 0 1 312.457 3.126 20 30 DGEDMN CC(C)(C)C#CC(=O)NC/C=C/CNCc1ccccc1Cl ZINC001715818116 1203395611 /nfs/dbraw/zinc/39/56/11/1203395611.db2.gz RQWQEBLFQGJKGF-VOTSOKGWSA-N 0 1 318.848 3.152 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001715910883 1203402831 /nfs/dbraw/zinc/40/28/31/1203402831.db2.gz DOEJVPKFEKWQKQ-YIEVGGLXSA-N 0 1 324.827 3.334 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1cccc(F)c1Cl ZINC001715924284 1203404888 /nfs/dbraw/zinc/40/48/88/1203404888.db2.gz JNBWNRNBPUQHCG-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001715962329 1203412243 /nfs/dbraw/zinc/41/22/43/1203412243.db2.gz INODOAQNSNRNRA-CABCVRRESA-N 0 1 306.837 3.193 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001715973576 1203414418 /nfs/dbraw/zinc/41/44/18/1203414418.db2.gz SOAVBPLJTFHADK-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CCc1ccc(C)s1 ZINC001716256970 1203454465 /nfs/dbraw/zinc/45/44/65/1203454465.db2.gz CXYAPIVFSMZATC-UHFFFAOYSA-N 0 1 314.882 3.180 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001716015547 1203423135 /nfs/dbraw/zinc/42/31/35/1203423135.db2.gz JQBHNTAWWNOXOK-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001716016878 1203423428 /nfs/dbraw/zinc/42/34/28/1203423428.db2.gz QIAHACTVODLSRN-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001716016880 1203423916 /nfs/dbraw/zinc/42/39/16/1203423916.db2.gz QIAHACTVODLSRN-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2cc(C)ns2)CCC1 ZINC001716238489 1203452647 /nfs/dbraw/zinc/45/26/47/1203452647.db2.gz LMTRQQCCSJGSES-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H](C)CC(C)(C)C ZINC001716344935 1203461069 /nfs/dbraw/zinc/46/10/69/1203461069.db2.gz FVWZMYAGMKIJOA-YBJDMEARSA-N 0 1 300.874 3.463 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CNCc1cccc(Cl)c1F ZINC001716408682 1203467948 /nfs/dbraw/zinc/46/79/48/1203467948.db2.gz DILWEXMUSFKBPT-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001716414340 1203469107 /nfs/dbraw/zinc/46/91/07/1203469107.db2.gz AIMSMVPIUPLUSE-NVXWUHKLSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001716423715 1203470590 /nfs/dbraw/zinc/47/05/90/1203470590.db2.gz GCSODODIXBSHKH-VXGBXAGGSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)Cc1ccccc1C ZINC001716461156 1203478628 /nfs/dbraw/zinc/47/86/28/1203478628.db2.gz ZWPFNDOSIYNNAF-HOTGVXAUSA-N 0 1 322.880 3.411 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN(C)Cc1csc(C)c1 ZINC001716475666 1203483685 /nfs/dbraw/zinc/48/36/85/1203483685.db2.gz CPDBUNUDPCMRKN-CQSZACIVSA-N 0 1 306.475 3.187 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCNCc1nc(C)sc1C ZINC001716543900 1203501176 /nfs/dbraw/zinc/50/11/76/1203501176.db2.gz GGEFKUMRPVPFOD-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H](C)c1ccc(F)cc1 ZINC001716670216 1203520873 /nfs/dbraw/zinc/52/08/73/1203520873.db2.gz CPJBXMHMOHMMLR-YOEHRIQHSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001716693806 1203526635 /nfs/dbraw/zinc/52/66/35/1203526635.db2.gz ZRMPSYIUXYTKNO-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)sc2C)C1 ZINC001716744184 1203536029 /nfs/dbraw/zinc/53/60/29/1203536029.db2.gz UEMIUGVCCWVBAA-GFCCVEGCSA-N 0 1 306.475 3.476 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2cc(C)[nH]c2C)C1 ZINC001716748535 1203536231 /nfs/dbraw/zinc/53/62/31/1203536231.db2.gz JPEFMGTXVOIQDX-UHFFFAOYSA-N 0 1 303.450 3.134 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)C(C)C)C1 ZINC001716911222 1203567698 /nfs/dbraw/zinc/56/76/98/1203567698.db2.gz SYJGJAUWZAYPQN-CQSZACIVSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCCC2CC2)C1 ZINC001716912679 1203568153 /nfs/dbraw/zinc/56/81/53/1203568153.db2.gz KYNXYBCAPNEVBL-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)(CC)CC)C1 ZINC001716914122 1203568487 /nfs/dbraw/zinc/56/84/87/1203568487.db2.gz YRWSXKMDFFIGOD-CQSZACIVSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C)c(C)o1)c1ccccc1CC ZINC001716953722 1203569283 /nfs/dbraw/zinc/56/92/83/1203569283.db2.gz GJFXWENJGOSIRS-GOSISDBHSA-N 0 1 324.424 3.153 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)CCC ZINC001717113561 1203586022 /nfs/dbraw/zinc/58/60/22/1203586022.db2.gz YZICHOSEZVWVBS-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001717113607 1203586255 /nfs/dbraw/zinc/58/62/55/1203586255.db2.gz MJKXLYNAAOYHCI-BCDXTJNWSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CCc1ccccc1 ZINC001717117411 1203586934 /nfs/dbraw/zinc/58/69/34/1203586934.db2.gz RQQFRNKWQWFTQW-UHFFFAOYSA-N 0 1 320.436 3.001 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cncc(F)c2)CC1 ZINC001717196188 1203597499 /nfs/dbraw/zinc/59/74/99/1203597499.db2.gz YJROFMHWMXTGAY-QGZVFWFLSA-N 0 1 319.424 3.048 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CC1(c2ccccc2)CC1 ZINC001717367487 1203626916 /nfs/dbraw/zinc/62/69/16/1203626916.db2.gz LCOJPAAZBBNLLN-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C=C(C)CCC(=O)NC1CC(CNCc2csc(CC)n2)C1 ZINC001717384371 1203632933 /nfs/dbraw/zinc/63/29/33/1203632933.db2.gz DYKUDUQFBHDOHE-UHFFFAOYSA-N 0 1 321.490 3.046 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@@H](C)NC(=O)CCC1CCC1 ZINC001717404592 1203637481 /nfs/dbraw/zinc/63/74/81/1203637481.db2.gz LOEATVCTEHOOBU-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1cc(C2CC2)no1 ZINC001717407869 1203637917 /nfs/dbraw/zinc/63/79/17/1203637917.db2.gz CPTVAWKHQPFIAO-QWHCGFSZSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001717424063 1203641377 /nfs/dbraw/zinc/64/13/77/1203641377.db2.gz AXIHXHGOKQDALM-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2nc(C)c(C)s2)C1 ZINC001717438568 1203644383 /nfs/dbraw/zinc/64/43/83/1203644383.db2.gz IOSIGKMYTUVOEI-NWDGAFQWSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1coc(C)n1 ZINC001717457831 1203648398 /nfs/dbraw/zinc/64/83/98/1203648398.db2.gz UXPKXZCDLWDPGP-SFHVURJKSA-N 0 1 321.465 3.160 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](C)CCCc1ccccc1 ZINC001717498492 1203666578 /nfs/dbraw/zinc/66/65/78/1203666578.db2.gz BUBUVUAUZLCEGK-ZWKOTPCHSA-N 0 1 314.473 3.105 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001717555059 1203680819 /nfs/dbraw/zinc/68/08/19/1203680819.db2.gz NADSOCVFQMRDQY-HNNXBMFYSA-N 0 1 318.486 3.268 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584708 1203686731 /nfs/dbraw/zinc/68/67/31/1203686731.db2.gz BJINGGDVRPEBLT-SWLSCSKDSA-N 0 1 320.399 3.368 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CCCCC)C(C)C)C(C)(C)C1 ZINC001717587641 1203687257 /nfs/dbraw/zinc/68/72/57/1203687257.db2.gz JCABLFSUGGVVFX-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1oc(C)cc1C ZINC001717728078 1203705745 /nfs/dbraw/zinc/70/57/45/1203705745.db2.gz WHUXJPAEPCQMCD-CQSZACIVSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C12CCC(CC1)C2(C)C ZINC001717746479 1203708933 /nfs/dbraw/zinc/70/89/33/1203708933.db2.gz SLEWJAHIPYFTAT-CBCUQQMYSA-N 0 1 312.885 3.392 20 30 DGEDMN C[C@H](CN(C)C(=O)CCC1(C)CC1)NCc1ccccc1C#N ZINC001717748094 1203709310 /nfs/dbraw/zinc/70/93/10/1203709310.db2.gz AIRHZTIUKNKFAD-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ccncc2Cl)[C@H]1C ZINC001717792818 1203712727 /nfs/dbraw/zinc/71/27/27/1203712727.db2.gz AYTXFFIXMUPQED-CZUORRHYSA-N 0 1 321.852 3.170 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001717808485 1203714778 /nfs/dbraw/zinc/71/47/78/1203714778.db2.gz JGHCFVIICMXSJZ-CHWSQXEVSA-N 0 1 317.260 3.078 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cnccc2C)[C@@H]1C ZINC001717858197 1203723528 /nfs/dbraw/zinc/72/35/28/1203723528.db2.gz QCNIQJBBOZVEOP-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccccc2F)[C@@H]1C ZINC001717864375 1203725219 /nfs/dbraw/zinc/72/52/19/1203725219.db2.gz XBARQKOINJTSTO-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C(F)F)CCCC1)C1CC1 ZINC001723323735 1203963386 /nfs/dbraw/zinc/96/33/86/1203963386.db2.gz LXWACIAMTQGURI-GFCCVEGCSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C(F)F)CCCC1)C1CC1 ZINC001723323736 1203963503 /nfs/dbraw/zinc/96/35/03/1203963503.db2.gz LXWACIAMTQGURI-LBPRGKRZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)ccc1C)C1CC1 ZINC001723351061 1203964995 /nfs/dbraw/zinc/96/49/95/1203964995.db2.gz ODKDHNINLNJUIB-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2cccc(CC)c2)C1 ZINC001723453657 1203974024 /nfs/dbraw/zinc/97/40/24/1203974024.db2.gz PHMZQUXEFCCVFN-SFHVURJKSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC/C=C/c2ccccc2)CC1 ZINC001723558313 1203982129 /nfs/dbraw/zinc/98/21/29/1203982129.db2.gz JALDJOOIFSSKSD-WEVVVXLNSA-N 0 1 318.848 3.471 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2cc(Cl)cs2)C1 ZINC001723800006 1203993809 /nfs/dbraw/zinc/99/38/09/1203993809.db2.gz UQCVMCKJRWJGOY-UHFFFAOYSA-N 0 1 312.866 3.053 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@H](C)c2ccco2)C1 ZINC001723812459 1203993900 /nfs/dbraw/zinc/99/39/00/1203993900.db2.gz DSKYXZBFJGGTMQ-GJZGRUSLSA-N 0 1 304.434 3.271 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H](C)c2cccnc2)C1 ZINC001723813827 1203994209 /nfs/dbraw/zinc/99/42/09/1203994209.db2.gz SMRAPHDOLFUGFE-MRXNPFEDSA-N 0 1 317.477 3.298 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(F)c1)C1CCCC1 ZINC001724071049 1204003036 /nfs/dbraw/zinc/00/30/36/1204003036.db2.gz DOQDJNKIYJXVCT-MRXNPFEDSA-N 0 1 324.827 3.456 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(C)CCN(Cc2ccc(C)nc2C)C1 ZINC001724154854 1204009975 /nfs/dbraw/zinc/00/99/75/1204009975.db2.gz UZGZDARHYMWYCX-IBGZPJMESA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(C)CCN(Cc2ccc(C)nc2C)C1 ZINC001724154855 1204010259 /nfs/dbraw/zinc/01/02/59/1204010259.db2.gz UZGZDARHYMWYCX-LJQANCHMSA-N 0 1 315.461 3.135 20 30 DGEDMN C[C@]1(CNC(=O)C2CCC2)CCCN(CC#Cc2ccccc2)C1 ZINC001724309381 1204019588 /nfs/dbraw/zinc/01/95/88/1204019588.db2.gz DTLYQMLUZJICFA-OAQYLSRUSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CCCN(Cc2ccccn2)C1 ZINC001724328805 1204021979 /nfs/dbraw/zinc/02/19/79/1204021979.db2.gz ALRZIIPPPKVNON-IBGZPJMESA-N 0 1 315.461 3.012 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001724374811 1204025658 /nfs/dbraw/zinc/02/56/58/1204025658.db2.gz BOQRVPNUDYDBSD-UONOGXRCSA-N 0 1 316.436 3.318 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C1CC1)C1CC1)c1ccccc1CC ZINC001724512474 1204026829 /nfs/dbraw/zinc/02/68/29/1204026829.db2.gz UMMXLAKMWJXHHI-IBGZPJMESA-N 0 1 324.468 3.065 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001724725333 1204040027 /nfs/dbraw/zinc/04/00/27/1204040027.db2.gz VMDSQTBDDAGLCY-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC[C@H](C)C1 ZINC001724737666 1204041437 /nfs/dbraw/zinc/04/14/37/1204041437.db2.gz DAWLPCWVUHHDPH-DLBZAZTESA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)C(F)F ZINC001724738168 1204041543 /nfs/dbraw/zinc/04/15/43/1204041543.db2.gz JPTOWGORVWMCGD-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCCC1(C)C ZINC001724739494 1204041960 /nfs/dbraw/zinc/04/19/60/1204041960.db2.gz YYDWFIBXZBENHS-SFHVURJKSA-N 0 1 312.457 3.194 20 30 DGEDMN C=CC(C)(C)CC(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001724777610 1204045321 /nfs/dbraw/zinc/04/53/21/1204045321.db2.gz SRLCLXJKHCXLNU-UHFFFAOYSA-N 0 1 324.468 3.287 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)CCC(F)(F)F)CC1 ZINC001724832276 1204050661 /nfs/dbraw/zinc/05/06/61/1204050661.db2.gz FZYVHXBNRHWNNX-NSHDSACASA-N 0 1 312.763 3.052 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C(C)=C\CC)C2 ZINC001724896507 1204064835 /nfs/dbraw/zinc/06/48/35/1204064835.db2.gz NBYBZHWSDFLLPF-JJKQHFEOSA-N 0 1 310.869 3.410 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC001725089446 1204092280 /nfs/dbraw/zinc/09/22/80/1204092280.db2.gz KJDQJVNBJQUJAP-CHWSQXEVSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001725096688 1204093557 /nfs/dbraw/zinc/09/35/57/1204093557.db2.gz UKUINLDOMBNYAE-NEPJUHHUSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1ccc(CC)cc1 ZINC001725123505 1204098835 /nfs/dbraw/zinc/09/88/35/1204098835.db2.gz CPJRDWRCAIGIPX-GJZGRUSLSA-N 0 1 322.880 3.417 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001725176998 1204112276 /nfs/dbraw/zinc/11/22/76/1204112276.db2.gz XNTPVNWDFSELHY-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H](CCCC)CNCC(=C)Cl)cc1 ZINC001725231345 1204122613 /nfs/dbraw/zinc/12/26/13/1204122613.db2.gz IRUZYXIJPOIMSG-KRWDZBQOSA-N 0 1 318.848 3.299 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H](C)c2ccc(CC)cc2)C1 ZINC001725261917 1204129842 /nfs/dbraw/zinc/12/98/42/1204129842.db2.gz AFGNEANYKUMGRQ-HZPDHXFCSA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CN(CCOCC(C)C)CC1(C)C ZINC001725289537 1204133450 /nfs/dbraw/zinc/13/34/50/1204133450.db2.gz NNAUJINQWUICHB-INIZCTEOSA-N 0 1 324.509 3.088 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H](CC)CCCC)C(C)(C)C1 ZINC001725293986 1204134414 /nfs/dbraw/zinc/13/44/14/1204134414.db2.gz MBFKOMIZWRKYFN-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(N(C)Cc2conc2CC)CC1 ZINC001725420489 1204147884 /nfs/dbraw/zinc/14/78/84/1204147884.db2.gz MPTVUXRMRQNXGI-UHFFFAOYSA-N 0 1 319.449 3.016 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1sccc1C ZINC001725454271 1204152663 /nfs/dbraw/zinc/15/26/63/1204152663.db2.gz UTWMDYKPXBSMMD-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@H]1C ZINC001725556642 1204167548 /nfs/dbraw/zinc/16/75/48/1204167548.db2.gz HNUNPHSLNGPHDK-GROCXKSISA-N 0 1 305.249 3.241 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)sc2C)C[C@H]1C ZINC001725582496 1204171353 /nfs/dbraw/zinc/17/13/53/1204171353.db2.gz BHWSRGKRQANAKW-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccccc2F)[C@H]1C ZINC001725637962 1204188431 /nfs/dbraw/zinc/18/84/31/1204188431.db2.gz WWTAIJCINLOFCM-MAUKXSAKSA-N 0 1 316.420 3.098 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001725743791 1204209826 /nfs/dbraw/zinc/20/98/26/1204209826.db2.gz HACUVCOILIUOAE-WMLDXEAASA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c[nH]cc1C1CC1)C(C)(C)C ZINC001725754147 1204212877 /nfs/dbraw/zinc/21/28/77/1204212877.db2.gz HIDGTQUFOMHBLN-HNNXBMFYSA-N 0 1 323.868 3.379 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2cnc(C)o2)CC1 ZINC001725767172 1204217126 /nfs/dbraw/zinc/21/71/26/1204217126.db2.gz PZQWXJDRDQDSQL-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CCN(C/C=C/Cl)CC2)C1 ZINC001725777355 1204219886 /nfs/dbraw/zinc/21/98/86/1204219886.db2.gz UKOQPGFDPVKNSH-GBXSZLQWSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2cccc(F)c2)CC1 ZINC001725859859 1204234063 /nfs/dbraw/zinc/23/40/63/1204234063.db2.gz LCESMFBSBSYJAW-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1cccc(Cl)n1 ZINC001731259591 1204354556 /nfs/dbraw/zinc/35/45/56/1204354556.db2.gz MMVKCYQEXHAURW-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCCC[C@H]1C ZINC001731314660 1204370179 /nfs/dbraw/zinc/37/01/79/1204370179.db2.gz QLHFDHPVJQJOIQ-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(Cc1ccccc1C#N)C1CC1 ZINC001731330431 1204375820 /nfs/dbraw/zinc/37/58/20/1204375820.db2.gz ZBOCAQITLJHGPJ-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(Cc1ccccc1C#N)C1CC1 ZINC001731330432 1204376023 /nfs/dbraw/zinc/37/60/23/1204376023.db2.gz ZBOCAQITLJHGPJ-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C1CCN(CC#CC)CC1)c1ccccc1 ZINC001731351024 1204381642 /nfs/dbraw/zinc/38/16/42/1204381642.db2.gz SALRALVDKVSANK-FQEVSTJZSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC001731388011 1204392419 /nfs/dbraw/zinc/39/24/19/1204392419.db2.gz ZMSLDXVCQQTWTD-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(CF)CCC2)C1 ZINC001731421294 1204406939 /nfs/dbraw/zinc/40/69/39/1204406939.db2.gz HGAZGLNUFUWVAD-CQSZACIVSA-N 0 1 316.848 3.049 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN(CCF)C2)CCCCC1 ZINC001731506710 1204437656 /nfs/dbraw/zinc/43/76/56/1204437656.db2.gz IDOAPHONEUAWFI-INIZCTEOSA-N 0 1 310.457 3.311 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H](C)CCC=C(C)C ZINC001731540930 1204450941 /nfs/dbraw/zinc/45/09/41/1204450941.db2.gz NAGMYUCQFUNXLM-MSOLQXFVSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1ncccc1C ZINC001731541676 1204452121 /nfs/dbraw/zinc/45/21/21/1204452121.db2.gz RSULNWXDAIMXNH-KRWDZBQOSA-N 0 1 315.461 3.217 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001731543611 1204453687 /nfs/dbraw/zinc/45/36/87/1204453687.db2.gz ZFXBPWKQNFPUNX-AQKVLALTSA-N 0 1 324.468 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C(CC)CC)C1 ZINC001731557397 1204460326 /nfs/dbraw/zinc/46/03/26/1204460326.db2.gz RCPAMIKUUHOSPM-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731594945 1204476500 /nfs/dbraw/zinc/47/65/00/1204476500.db2.gz PINYIMSTWASXAL-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1ccc(Cl)cc1F ZINC001731614091 1204482988 /nfs/dbraw/zinc/48/29/88/1204482988.db2.gz FJSJUELHDGOMHK-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2ocnc2C)CCCCC1 ZINC001731621685 1204487074 /nfs/dbraw/zinc/48/70/74/1204487074.db2.gz CFXVEERVYLDIRR-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(Cl)c1Cl ZINC001731630741 1204489877 /nfs/dbraw/zinc/48/98/77/1204489877.db2.gz KCIAWGTZRUCFDN-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c(Cl)cccc1Cl ZINC001731632746 1204491619 /nfs/dbraw/zinc/49/16/19/1204491619.db2.gz CTNZWVKKVNHEMA-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NCc2ncoc2C(C)C)C1 ZINC001731690154 1204506105 /nfs/dbraw/zinc/50/61/05/1204506105.db2.gz NSYFSJNLXHUZLZ-CABCVRRESA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NCc2ncoc2C(C)C)C1 ZINC001731690156 1204506408 /nfs/dbraw/zinc/50/64/08/1204506408.db2.gz NSYFSJNLXHUZLZ-HUUCEWRRSA-N 0 1 319.449 3.281 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1cc2ccccc2o1 ZINC001731780896 1204552901 /nfs/dbraw/zinc/55/29/01/1204552901.db2.gz GYLVUMGSUNCEGH-UHFFFAOYSA-N 0 1 312.413 3.174 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2ccc(C)c(F)c2)CC1 ZINC001731783824 1204555867 /nfs/dbraw/zinc/55/58/67/1204555867.db2.gz QZKLENXNQMBXGM-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2ccnc(Cl)c2)C1 ZINC001731811326 1204577512 /nfs/dbraw/zinc/57/75/12/1204577512.db2.gz OBLZDIZUMDNLAX-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc[nH]c1C(C)C)c1ccccc1 ZINC001732022269 1204652338 /nfs/dbraw/zinc/65/23/38/1204652338.db2.gz NRLOHRRCOUEEGZ-SFHVURJKSA-N 0 1 323.440 3.222 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(CCC)CCC1)c1ccccc1 ZINC001732022764 1204652617 /nfs/dbraw/zinc/65/26/17/1204652617.db2.gz PPGXGBMSLHMIET-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)c1ccccc1 ZINC001732024257 1204653132 /nfs/dbraw/zinc/65/31/32/1204653132.db2.gz WBQNMYMLNMDJOI-YSTOQKLRSA-N 0 1 324.468 3.283 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CCC)C(=O)CCc2ccoc2)C1 ZINC001732035902 1204658931 /nfs/dbraw/zinc/65/89/31/1204658931.db2.gz NMFDDMGKSQFXGB-KRWDZBQOSA-N 0 1 304.434 3.101 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(OC)c2)C1 ZINC001732038508 1204660028 /nfs/dbraw/zinc/66/00/28/1204660028.db2.gz GPGNNKGTZNKSHU-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)c2ccncc2)C1 ZINC001732040249 1204661178 /nfs/dbraw/zinc/66/11/78/1204661178.db2.gz XMDSISDKRMBQEE-WMZOPIPTSA-N 0 1 315.461 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2oc(C)cc2C)C1 ZINC001732043306 1204663476 /nfs/dbraw/zinc/66/34/76/1204663476.db2.gz KIOCTRMHGOEUPD-AWEZNQCLSA-N 0 1 310.825 3.091 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)oc2C)C1 ZINC001732043121 1204664245 /nfs/dbraw/zinc/66/42/45/1204664245.db2.gz AGJBOSSNHOGJQU-CQSZACIVSA-N 0 1 310.825 3.091 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@@H](C)CCC)C1 ZINC001732067236 1204677017 /nfs/dbraw/zinc/67/70/17/1204677017.db2.gz PMUWQHZFAPMKQW-DZGCQCFKSA-N 0 1 300.874 3.346 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC2CCCCCC2)C1 ZINC001732074009 1204681171 /nfs/dbraw/zinc/68/11/71/1204681171.db2.gz HTEKFRHVOXHVJU-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC001732087936 1204689480 /nfs/dbraw/zinc/68/94/80/1204689480.db2.gz XUYYIOLEXJVBLA-QGZVFWFLSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001732107573 1204696033 /nfs/dbraw/zinc/69/60/33/1204696033.db2.gz LJADCSNBPNNNLI-AWEZNQCLSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001732110172 1204696937 /nfs/dbraw/zinc/69/69/37/1204696937.db2.gz WQSGIGGZNVJZLC-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc(Cl)s2)C1 ZINC001732116873 1204700407 /nfs/dbraw/zinc/70/04/07/1204700407.db2.gz DMCJFFUWSORHSZ-UHFFFAOYSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001732135277 1204708497 /nfs/dbraw/zinc/70/84/97/1204708497.db2.gz ZIIZSROGIQQBSD-LJQANCHMSA-N 0 1 318.436 3.306 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2o1 ZINC001732150405 1204714583 /nfs/dbraw/zinc/71/45/83/1204714583.db2.gz WVTLPGUEWASWCO-HOTGVXAUSA-N 0 1 310.397 3.298 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1ccccc1CC ZINC001732204378 1204748080 /nfs/dbraw/zinc/74/80/80/1204748080.db2.gz UQXTYFYHZXUGAP-INIZCTEOSA-N 0 1 308.853 3.028 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001732310347 1204786380 /nfs/dbraw/zinc/78/63/80/1204786380.db2.gz PMNVEUWZZLBAHD-MPGHIAIKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2CC3(CC3)C2)cc1 ZINC001732320117 1204790578 /nfs/dbraw/zinc/79/05/78/1204790578.db2.gz WQBVWNFWECLQBK-UHFFFAOYSA-N 0 1 318.848 3.335 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC001732377658 1204810804 /nfs/dbraw/zinc/81/08/04/1204810804.db2.gz FXZCXAJADSJNIT-IAGOWNOFSA-N 0 1 324.509 3.088 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)CNCc2ccon2)CCCCC1 ZINC001732373117 1204811171 /nfs/dbraw/zinc/81/11/71/1204811171.db2.gz RQCUBXGXZKANHZ-HNNXBMFYSA-N 0 1 319.449 3.043 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CN(CCC(C)(C)C)CCO1 ZINC001732379318 1204812001 /nfs/dbraw/zinc/81/20/01/1204812001.db2.gz QIDXEHBQEBRWGU-KRWDZBQOSA-N 0 1 322.493 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(F)ccc(C)c1F ZINC001732436846 1204840506 /nfs/dbraw/zinc/84/05/06/1204840506.db2.gz OEIRXIPSVDHWNS-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001732439615 1204841616 /nfs/dbraw/zinc/84/16/16/1204841616.db2.gz RGALVJIWOJATAL-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)c1cccc(F)c1 ZINC001732456353 1204851475 /nfs/dbraw/zinc/85/14/75/1204851475.db2.gz YUQQRCBFGLRWSD-QWHCGFSZSA-N 0 1 312.816 3.118 20 30 DGEDMN CN(CCN(C)C(=O)C1(C)CCCC1)Cc1ccc(C#N)cc1 ZINC001732588508 1204911496 /nfs/dbraw/zinc/91/14/96/1204911496.db2.gz QYEIFOLTOPGSGK-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(CC)CC)CC[C@H]21 ZINC001732612042 1204922114 /nfs/dbraw/zinc/92/21/14/1204922114.db2.gz YCWMHNQZUBRTLR-JKSUJKDBSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2sccc2s1 ZINC001732959557 1204966225 /nfs/dbraw/zinc/96/62/25/1204966225.db2.gz AKZNCFUDBONMSB-SECBINFHSA-N 0 1 314.863 3.423 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001732969148 1204968491 /nfs/dbraw/zinc/96/84/91/1204968491.db2.gz VNCCAWOFFZSTCW-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(C)C)nc1CC ZINC001732970856 1204969339 /nfs/dbraw/zinc/96/93/39/1204969339.db2.gz DOYORPJXWARURA-CYBMUJFWSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC/C=C/c1ccccc1 ZINC001732972312 1204969521 /nfs/dbraw/zinc/96/95/21/1204969521.db2.gz NPJSRFLGBVSGKV-WCLQSUPFSA-N 0 1 306.837 3.327 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C(C)(C)C)oc1C ZINC001732973133 1204969824 /nfs/dbraw/zinc/96/98/24/1204969824.db2.gz YUQZMNRAGVBWFK-LLVKDONJSA-N 0 1 312.841 3.346 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1ccc(Cl)cc1F ZINC001733009567 1204980004 /nfs/dbraw/zinc/98/00/04/1204980004.db2.gz FPQPKLAVWQROLL-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2c(F)cccc2F)CC1 ZINC001733011432 1204981481 /nfs/dbraw/zinc/98/14/81/1204981481.db2.gz BRCHFGIURBLETO-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CNCc2cnoc2C)CCCCC1 ZINC001733016400 1204982353 /nfs/dbraw/zinc/98/23/53/1204982353.db2.gz IGJRBPKNZHNARF-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCC(C)=C(C)C1)C1CC1 ZINC001733170709 1205032979 /nfs/dbraw/zinc/03/29/79/1205032979.db2.gz IVRAFMVQZNLSCI-HOTGVXAUSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001733287963 1205082363 /nfs/dbraw/zinc/08/23/63/1205082363.db2.gz SYOZDODLFVWDEM-INDRUJQFSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001733287966 1205082627 /nfs/dbraw/zinc/08/26/27/1205082627.db2.gz SYOZDODLFVWDEM-QVNOTHCHSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@H]2CCC(F)(F)C2)C1 ZINC001733307464 1205089108 /nfs/dbraw/zinc/08/91/08/1205089108.db2.gz AYLGXJBFBYRTIA-UONOGXRCSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(C(F)F)CCCC2)C1 ZINC001733311203 1205090514 /nfs/dbraw/zinc/09/05/14/1205090514.db2.gz VPFZCVAUKPORMP-ZDUSSCGKSA-N 0 1 314.420 3.309 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001733313508 1205092125 /nfs/dbraw/zinc/09/21/25/1205092125.db2.gz OHGAQECEGGMNIS-GOSISDBHSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCO[C@H](CC)C2)C1 ZINC001733315505 1205093148 /nfs/dbraw/zinc/09/31/48/1205093148.db2.gz NMJDPVCWPUHDQD-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cc(C)on2)C[C@H]1C ZINC001733330948 1205097356 /nfs/dbraw/zinc/09/73/56/1205097356.db2.gz UZYIRALWIVRJBJ-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1ccsc1 ZINC001733348217 1205102106 /nfs/dbraw/zinc/10/21/06/1205102106.db2.gz HLFFMIFHHZNPKC-OCCSQVGLSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H](C)CC)C1CCCC1 ZINC001733383626 1205112373 /nfs/dbraw/zinc/11/23/73/1205112373.db2.gz XIERPOKNJUJDPJ-WFASDCNBSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001733413311 1205124681 /nfs/dbraw/zinc/12/46/81/1205124681.db2.gz RVIZPAWCURZHFP-PXNSSMCTSA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CCC1CC1)c1ccccc1CC ZINC001733519453 1205152612 /nfs/dbraw/zinc/15/26/12/1205152612.db2.gz YZHRNFWBGKZPHV-IBGZPJMESA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CCC)c1ccccc1 ZINC001733604523 1205172625 /nfs/dbraw/zinc/17/26/25/1205172625.db2.gz XFTQFIIDSIKARH-HSALFYBXSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CCNCc2csc(C)n2)C1 ZINC001733650153 1205176959 /nfs/dbraw/zinc/17/69/59/1205176959.db2.gz HYPGSPUVUVTOPC-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1C(C)(C)C1(C)C ZINC001733676549 1205181533 /nfs/dbraw/zinc/18/15/33/1205181533.db2.gz DZQREEQLAOPOHS-UHFFFAOYSA-N 0 1 312.457 3.050 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccoc1CC ZINC001733677289 1205181631 /nfs/dbraw/zinc/18/16/31/1205181631.db2.gz NDIKCDQEIPVLDA-UHFFFAOYSA-N 0 1 324.424 3.227 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C(CC)CC ZINC001733679700 1205182695 /nfs/dbraw/zinc/18/26/95/1205182695.db2.gz CWIDSSZCSBSWAP-INIZCTEOSA-N 0 1 314.473 3.440 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)CC ZINC001733680537 1205182720 /nfs/dbraw/zinc/18/27/20/1205182720.db2.gz SPZIZYJYVWDYLC-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C(C)(C)C(C)C)CC1 ZINC001733759688 1205200908 /nfs/dbraw/zinc/20/09/08/1205200908.db2.gz HHUIWYSMDITFOT-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(F)c2Cl)[C@@H](C)C1 ZINC001733837066 1205227344 /nfs/dbraw/zinc/22/73/44/1205227344.db2.gz BBDAGRRSLRICJU-WFASDCNBSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@@H](C)C1 ZINC001733900848 1205246639 /nfs/dbraw/zinc/24/66/39/1205246639.db2.gz QBWONRJMXSGDBE-ZFWWWQNUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CCCC(=O)NC[C@H]1c2ccccc2CCN1CCCF ZINC001733908563 1205251451 /nfs/dbraw/zinc/25/14/51/1205251451.db2.gz ZUHZIHIZMTVISE-KRWDZBQOSA-N 0 1 304.409 3.028 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1ccnc(Cl)c1 ZINC001733924015 1205256494 /nfs/dbraw/zinc/25/64/94/1205256494.db2.gz CBXHHOFZAWICKK-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001733938550 1205265823 /nfs/dbraw/zinc/26/58/23/1205265823.db2.gz XEAJWXDKFQYIEO-FCEWJHQRSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc2ccccc21 ZINC001733938591 1205265896 /nfs/dbraw/zinc/26/58/96/1205265896.db2.gz IVMUUJXVZANUOY-SFHVURJKSA-N 0 1 320.436 3.400 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc2ccccc21 ZINC001733938590 1205266552 /nfs/dbraw/zinc/26/65/52/1205266552.db2.gz IVMUUJXVZANUOY-GOSISDBHSA-N 0 1 320.436 3.400 20 30 DGEDMN CC(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001733970876 1205275252 /nfs/dbraw/zinc/27/52/52/1205275252.db2.gz IQBJKZXIHGQRPJ-GJZGRUSLSA-N 0 1 315.461 3.223 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2ccsc2[nH]1 ZINC001733984046 1205278909 /nfs/dbraw/zinc/27/89/09/1205278909.db2.gz KESAUOJDQCKSIA-ZJUUUORDSA-N 0 1 311.838 3.078 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001733998562 1205284208 /nfs/dbraw/zinc/28/42/08/1205284208.db2.gz YTQYYCYMAWCWNR-GJZGRUSLSA-N 0 1 313.445 3.143 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2cnc(C)o2)CCCC1 ZINC001734009001 1205287291 /nfs/dbraw/zinc/28/72/91/1205287291.db2.gz ZBMKNKSFCAPDHA-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H](C)N(C)C[C@@H](F)CC)CCCCC1 ZINC001734064949 1205313485 /nfs/dbraw/zinc/31/34/85/1205313485.db2.gz HBRYADSVNWXIIQ-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN C#CCC1(C(=O)NC[C@H](C)N(C)C[C@H](F)CC)CCCCC1 ZINC001734064953 1205313693 /nfs/dbraw/zinc/31/36/93/1205313693.db2.gz HBRYADSVNWXIIQ-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc2occc2[nH]1 ZINC001734093348 1205319711 /nfs/dbraw/zinc/31/97/11/1205319711.db2.gz JNJUDUFURSMRCB-GFCCVEGCSA-N 0 1 323.824 3.392 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001734104287 1205324914 /nfs/dbraw/zinc/32/49/14/1205324914.db2.gz RQWYFMSCBHFVTK-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2cnccc2C)CC1(C)C ZINC001734146509 1205334464 /nfs/dbraw/zinc/33/44/64/1205334464.db2.gz SDXNTQMADGETKM-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCCN(Cc1cccc(F)c1)C2 ZINC001734195360 1205341418 /nfs/dbraw/zinc/34/14/18/1205341418.db2.gz ZCZJMMZKHTUVST-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CC2CCC1CC2 ZINC001734310725 1205361605 /nfs/dbraw/zinc/36/16/05/1205361605.db2.gz ZJNVEJNWXSSBJL-MMADZMRASA-N 0 1 324.896 3.392 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC001734316842 1205363408 /nfs/dbraw/zinc/36/34/08/1205363408.db2.gz LCRVRDBTDIYVOD-STQMWFEESA-N 0 1 310.388 3.429 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001734352701 1205370104 /nfs/dbraw/zinc/37/01/04/1205370104.db2.gz UEMMHNUXWINBLS-RBSFLKMASA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc2cnccc21 ZINC001734354348 1205370298 /nfs/dbraw/zinc/37/02/98/1205370298.db2.gz KHDFKMXGCUCNFO-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCc2ncc(C)s2)C[C@@H]1C ZINC001734416415 1205374596 /nfs/dbraw/zinc/37/45/96/1205374596.db2.gz BVCKUKYAPQIQJM-JSGCOSHPSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](CC)CCC)CC1(C)C ZINC001734502984 1205388105 /nfs/dbraw/zinc/38/81/05/1205388105.db2.gz RCTXXNVJBRRWAU-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1scc(C)c1Cl)C(C)C ZINC001734547698 1205389773 /nfs/dbraw/zinc/38/97/73/1205389773.db2.gz MSPZHNJEEJXYNN-UHFFFAOYSA-N 0 1 312.866 3.126 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C\c1ccco1)C(C)(C)C ZINC001734556646 1205393579 /nfs/dbraw/zinc/39/35/79/1205393579.db2.gz CBNMBKGESRIDRR-DANTVBBOSA-N 0 1 310.825 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1C=CC=CC=C1)C(C)(C)C ZINC001734561825 1205395229 /nfs/dbraw/zinc/39/52/29/1205395229.db2.gz REOPGIYWHMJAFQ-OAHLLOKOSA-N 0 1 308.853 3.158 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCc1ccc(CNCC#CC)cc1F ZINC001734641074 1205408610 /nfs/dbraw/zinc/40/86/10/1205408610.db2.gz AGIXZFWMOYMAIG-OAHLLOKOSA-N 0 1 316.420 3.157 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001666866043 1197292938 /nfs/dbraw/zinc/29/29/38/1197292938.db2.gz YIVYFOHFDWXQCN-STQMWFEESA-N 0 1 310.388 3.429 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1CCC1(C(=O)[O-])CCC1 ZINC001574474586 961641188 /nfs/dbraw/zinc/64/11/88/961641188.db2.gz PUJWIHFRQSJTDH-IAGOWNOFSA-N 0 1 312.413 3.403 20 30 DGEDMN C#CC[N@@H+](Cc1occc1C(=O)[O-])[C@@H]1CCCc2ccccc21 ZINC001573311768 962711406 /nfs/dbraw/zinc/71/14/06/962711406.db2.gz XWCYHEZOWIKQGB-QGZVFWFLSA-N 0 1 309.365 3.491 20 30 DGEDMN C#CC[N@H+](Cc1occc1C(=O)[O-])[C@@H]1CCCc2ccccc21 ZINC001573311768 962711419 /nfs/dbraw/zinc/71/14/19/962711419.db2.gz XWCYHEZOWIKQGB-QGZVFWFLSA-N 0 1 309.365 3.491 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC=C(c2ccc(OCC)cc2)CC1 ZINC001573350029 962961972 /nfs/dbraw/zinc/96/19/72/962961972.db2.gz AAUNIVJBQUUSRJ-QGZVFWFLSA-N 0 1 301.386 3.204 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000319874525 970582715 /nfs/dbraw/zinc/58/27/15/970582715.db2.gz JHXFUKJZZQMSOI-UHFFFAOYSA-N 0 1 300.402 3.463 20 30 DGEDMN Cc1cccc([C@H]([NH2+]Cc2ccc(Cl)cc2C#N)C(=O)[O-])c1 ZINC001605328528 973184809 /nfs/dbraw/zinc/18/48/09/973184809.db2.gz BJFYFKMIRXVTKQ-INIZCTEOSA-N 0 1 314.772 3.436 20 30 DGEDMN CC[C@@H](CC#N)[NH2+]Cc1ccc(C(=O)[O-])cc1C(F)(F)F ZINC001595030874 979171203 /nfs/dbraw/zinc/17/12/03/979171203.db2.gz ZBJMRNBNLYRXOS-NSHDSACASA-N 0 1 300.280 3.185 20 30 DGEDMN CCC[N@H+](Cc1cccc(C(=O)[O-])c1C#N)C[C@@H]1CCCCO1 ZINC001595899093 982947183 /nfs/dbraw/zinc/94/71/83/982947183.db2.gz COSBMNGAFMEMMZ-HNNXBMFYSA-N 0 1 316.401 3.038 20 30 DGEDMN C#C[C@H]([NH2+]Cc1cc(C)c(C(=O)[O-])[nH]1)c1ccc(Cl)cc1 ZINC001588377632 983357900 /nfs/dbraw/zinc/35/79/00/983357900.db2.gz OFPSMFSPOYALTD-AWEZNQCLSA-N 0 1 302.761 3.139 20 30 DGEDMN C=C(C)C[C@H]([NH2+]Cc1nc(-c2ccccc2)cs1)C(=O)[O-] ZINC001588553219 983627798 /nfs/dbraw/zinc/62/77/98/983627798.db2.gz JKDSNXHSLSEIIT-ZDUSSCGKSA-N 0 1 302.399 3.319 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001588567319 983646931 /nfs/dbraw/zinc/64/69/31/983646931.db2.gz JOYHTKFXRPIZQR-YOEHRIQHSA-N 0 1 321.417 3.041 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](Cc2cc(Cl)ccc2OC)C1 ZINC001588662637 983790933 /nfs/dbraw/zinc/79/09/33/983790933.db2.gz DSAQDMGWDBCONE-DOMZBBRYSA-N 0 1 323.820 3.242 20 30 DGEDMN CC(C)(C)C[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001589764312 988100149 /nfs/dbraw/zinc/10/01/49/988100149.db2.gz GJDOBZBNEQWNSU-GDBMZVCRSA-N 0 1 300.402 3.442 20 30 DGEDMN CC(C)[N@@H+](Cc1ccc(C#N)cc1)Cc1cccc(C(=O)[O-])n1 ZINC001591596693 992824858 /nfs/dbraw/zinc/82/48/58/992824858.db2.gz NCVJSOMGCFDYPO-UHFFFAOYSA-N 0 1 309.369 3.062 20 30 DGEDMN COc1ccc([C@@H](C)[N@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001599507513 997236348 /nfs/dbraw/zinc/23/63/48/997236348.db2.gz QVIYOYVESOZRIN-CYBMUJFWSA-N 0 1 324.380 3.458 20 30 DGEDMN COc1ccc([C@@H](C)[N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001599507513 997236362 /nfs/dbraw/zinc/23/63/62/997236362.db2.gz QVIYOYVESOZRIN-CYBMUJFWSA-N 0 1 324.380 3.458 20 30 DGEDMN C=CCN1CCN(Cc2c(C)cc(Br)cc2C)CC1 ZINC001235787016 1099179090 /nfs/dbraw/zinc/17/90/90/1099179090.db2.gz YVBQZDFUGXYJOI-UHFFFAOYSA-N 0 1 323.278 3.370 20 30 DGEDMN C=CCCC(=O)N1CCCN(CC[C@@H]2CC2(Cl)Cl)CC1 ZINC001195226501 1101101741 /nfs/dbraw/zinc/10/17/41/1101101741.db2.gz RPUBHXFOABQDKF-CYBMUJFWSA-N 0 1 319.276 3.071 20 30 DGEDMN C=C[C@H](COC)[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC001320427709 1107003640 /nfs/dbraw/zinc/00/36/40/1107003640.db2.gz HRRIHDCUGXIGBQ-MWLCHTKSSA-N 0 1 300.196 3.006 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C/c1ccccc1 ZINC001109046427 1081755170 /nfs/dbraw/zinc/75/51/70/1081755170.db2.gz PKENXWCEHAKKTM-COSRZOQTSA-N 0 1 310.441 3.388 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C/c1ccccc1 ZINC001109245420 1081791311 /nfs/dbraw/zinc/79/13/11/1081791311.db2.gz QLDZUACGULPEKO-INRVHYOMSA-N 0 1 322.452 3.081 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC[C@H](C)CCC)C2 ZINC001109333212 1081801575 /nfs/dbraw/zinc/80/15/75/1081801575.db2.gz RPUZUFWKJJPDJT-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C/c1ccc(F)cc1)C2 ZINC001109445380 1081838846 /nfs/dbraw/zinc/83/88/46/1081838846.db2.gz ZAVWRONFNHTTTF-JPCOKXJSSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C\c1ccc(F)cc1)C2 ZINC001109445381 1081839109 /nfs/dbraw/zinc/83/91/09/1081839109.db2.gz ZAVWRONFNHTTTF-ZXGOUQINSA-N 0 1 314.404 3.137 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2[nH]c(C)cc2C)C1 ZINC001266459858 1081856325 /nfs/dbraw/zinc/85/63/25/1081856325.db2.gz UOHFXTOJIADPRN-HNNXBMFYSA-N 0 1 323.868 3.168 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccc(C(C)C)cc1)C2 ZINC001109516623 1081859363 /nfs/dbraw/zinc/85/93/63/1081859363.db2.gz IOOOSSQVECRBFT-AABGKKOBSA-N 0 1 324.468 3.097 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC/C=C\c1ccccc1)C2 ZINC001109712721 1081902649 /nfs/dbraw/zinc/90/26/49/1081902649.db2.gz CBVRPTHGYNTOFH-XKLQVCGUSA-N 0 1 322.452 3.225 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](c1ccccc1)C(C)C)C2 ZINC001109966282 1081942173 /nfs/dbraw/zinc/94/21/73/1081942173.db2.gz KXFFFXTZQTZOAH-FYQPLNBISA-N 0 1 324.468 3.171 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001266547314 1081980524 /nfs/dbraw/zinc/98/05/24/1081980524.db2.gz OTQLCDODQSABDC-SMDDNHRTSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001266547313 1081980418 /nfs/dbraw/zinc/98/04/18/1081980418.db2.gz OTQLCDODQSABDC-FZMZJTMJSA-N 0 1 305.249 3.098 20 30 DGEDMN CCCN(CCNC(=O)C#CC(C)C)Cc1cc(C)ccc1F ZINC001266560761 1082000020 /nfs/dbraw/zinc/00/00/20/1082000020.db2.gz VUUFWIBKZBEFBQ-UHFFFAOYSA-N 0 1 318.436 3.122 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001112775157 1082002439 /nfs/dbraw/zinc/00/24/39/1082002439.db2.gz ILCNKWZHXBWFLW-HXUWFJFHSA-N 0 1 324.468 3.402 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2c(C)ccn2C)CC1 ZINC001112791817 1082005050 /nfs/dbraw/zinc/00/50/50/1082005050.db2.gz BYZGROGJXVSDMO-UHFFFAOYSA-N 0 1 305.466 3.062 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(CC2CCC(C)(C)CC2)CC1 ZINC001112833398 1082014949 /nfs/dbraw/zinc/01/49/49/1082014949.db2.gz WOBDZEFNAPPMJO-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN(CC2CCC3(CC3)CC2)CC1 ZINC001112836620 1082019396 /nfs/dbraw/zinc/01/93/96/1082019396.db2.gz FNJOLTBDATZTFX-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCN(CCCCCC)CC1 ZINC001112850993 1082023298 /nfs/dbraw/zinc/02/32/98/1082023298.db2.gz PNRSJMBKRRZNEV-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2nc(C(C)C)oc2C)CC1 ZINC001112849934 1082023322 /nfs/dbraw/zinc/02/33/22/1082023322.db2.gz OXDUXZBCBBGNII-AWEZNQCLSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001167362764 1082025950 /nfs/dbraw/zinc/02/59/50/1082025950.db2.gz ASYJPCSOQUYKGR-UHFFFAOYSA-N 0 1 321.465 3.170 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)CCc2ccc(F)cc2)CC1 ZINC001112940198 1082057951 /nfs/dbraw/zinc/05/79/51/1082057951.db2.gz YWJVMJYDDHSDFK-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)CC(F)F)CC1 ZINC001112950150 1082058767 /nfs/dbraw/zinc/05/87/67/1082058767.db2.gz MOMOJPWLZYTTPJ-CYBMUJFWSA-N 0 1 302.409 3.167 20 30 DGEDMN CCc1ncc(CNc2cc(Br)c(C#N)cc2F)[nH]1 ZINC001655896194 1082059201 /nfs/dbraw/zinc/05/92/01/1082059201.db2.gz CLOFOVSUHFGZHZ-UHFFFAOYSA-N 0 1 323.169 3.357 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCC3=CCCCC3)CC2)CCC1 ZINC001112953442 1082065078 /nfs/dbraw/zinc/06/50/78/1082065078.db2.gz WXNMDEUVOONJAT-UHFFFAOYSA-N 0 1 314.473 3.215 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCCCCC=C)CC2)CCC1 ZINC001112953181 1082065088 /nfs/dbraw/zinc/06/50/88/1082065088.db2.gz JANSKLKWPVYDLL-UHFFFAOYSA-N 0 1 302.462 3.071 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)COCCCCCCCC)CC1 ZINC001112970007 1082071581 /nfs/dbraw/zinc/07/15/81/1082071581.db2.gz NREHVTWWLYOBAV-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C\c2ccccc2F)CC1 ZINC001113012283 1082083954 /nfs/dbraw/zinc/08/39/54/1082083954.db2.gz ODKGVUSESLAPPF-CLFYSBASSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)CC1 ZINC001113011886 1082084634 /nfs/dbraw/zinc/08/46/34/1082084634.db2.gz GEBLWNLTDSJTMZ-HYVNUMGLSA-N 0 1 318.486 3.351 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(C(F)(F)F)CCC2)CC1 ZINC001113020490 1082089978 /nfs/dbraw/zinc/08/99/78/1082089978.db2.gz JHCSMJORELFEOH-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCOc1ccccc1C(=O)N1CCN(CCCCC)CC1 ZINC001113024631 1082093069 /nfs/dbraw/zinc/09/30/69/1082093069.db2.gz UZDOYJAECGUKEQ-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2CCCCC2)C1 ZINC001086572624 1082100403 /nfs/dbraw/zinc/10/04/03/1082100403.db2.gz GXDWQHGHNVMVDI-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccncc2CC)CC1 ZINC001113050673 1082100846 /nfs/dbraw/zinc/10/08/46/1082100846.db2.gz SVDLTMNECUAEBB-UHFFFAOYSA-N 0 1 317.477 3.372 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(Cl)cn2C)CC1 ZINC001113082694 1082110779 /nfs/dbraw/zinc/11/07/79/1082110779.db2.gz KAZLNYYLIBNPTO-UHFFFAOYSA-N 0 1 323.868 3.183 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H]2CC(C)(C)CO2)CC1 ZINC001113094140 1082113464 /nfs/dbraw/zinc/11/34/64/1082113464.db2.gz NFLDZXLCPPEUSL-QGZVFWFLSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(C(F)F)cc2)CC1 ZINC001113094494 1082113655 /nfs/dbraw/zinc/11/36/55/1082113655.db2.gz WWFZCELHRXBBGS-UHFFFAOYSA-N 0 1 322.399 3.277 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@](C)(C=C)CCOC)CC1 ZINC001113164372 1082129783 /nfs/dbraw/zinc/12/97/83/1082129783.db2.gz MQSBKKANYARJPH-LJQANCHMSA-N 0 1 322.493 3.106 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CC/C=C/c2ccccc2)CC1 ZINC001113192899 1082135944 /nfs/dbraw/zinc/13/59/44/1082135944.db2.gz DKWKMEZWSUJSKJ-XYOKQWHBSA-N 0 1 312.457 3.446 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CC/C=C\CCC)CC1 ZINC001113205494 1082138961 /nfs/dbraw/zinc/13/89/61/1082138961.db2.gz TYWDBYWOULPDMZ-NTMALXAHSA-N 0 1 304.478 3.461 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2nccc3ccccc32)CC1 ZINC001113265480 1082160090 /nfs/dbraw/zinc/16/00/90/1082160090.db2.gz VCUZUDSZEFVWQQ-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1ccccc1Cl ZINC001266711788 1082161942 /nfs/dbraw/zinc/16/19/42/1082161942.db2.gz DMLCNTCOGWLRDT-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(CC(C)C)s1 ZINC001266728687 1082173588 /nfs/dbraw/zinc/17/35/88/1082173588.db2.gz HUVBLVCDBQMSPT-UHFFFAOYSA-N 0 1 314.882 3.361 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CCCC(F)(F)C2)CC1 ZINC001113336689 1082180232 /nfs/dbraw/zinc/18/02/32/1082180232.db2.gz IIYZYKCTCLBCIN-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)c2cccs2)CC1 ZINC001113338717 1082181792 /nfs/dbraw/zinc/18/17/92/1082181792.db2.gz KBWKUGOJFQKYFI-HUUCEWRRSA-N 0 1 306.475 3.351 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001113386819 1082190129 /nfs/dbraw/zinc/19/01/29/1082190129.db2.gz VLFQXVUQEILYDZ-UHFFFAOYSA-N 0 1 305.466 3.360 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)Cc2cccs2)CC1 ZINC001113391367 1082194731 /nfs/dbraw/zinc/19/47/31/1082194731.db2.gz AADGKYQGCMJBDH-HNNXBMFYSA-N 0 1 306.475 3.037 20 30 DGEDMN C=CCCCN1CCN(C(=O)C23CCC(CC2)C3(C)C)CC1 ZINC001113413058 1082201417 /nfs/dbraw/zinc/20/14/17/1082201417.db2.gz DXPHMRQHUIJGGQ-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN(CCCCCCC)CC1 ZINC001113422797 1082207713 /nfs/dbraw/zinc/20/77/13/1082207713.db2.gz UUFIQQNHVMUEFC-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)c2cc(C)oc2C)CC1 ZINC001113432354 1082210169 /nfs/dbraw/zinc/21/01/69/1082210169.db2.gz ZUHNPPXKJNHSOA-HOCLYGCPSA-N 0 1 318.461 3.499 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3cccnc3c2)CC1 ZINC001113439527 1082211726 /nfs/dbraw/zinc/21/17/26/1082211726.db2.gz FRSFSCOKSOYFTB-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(Cl)ccc2C)CC1 ZINC001113441827 1082212594 /nfs/dbraw/zinc/21/25/94/1082212594.db2.gz WMMVEGYFTVACKW-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(OC)cc2Cl)CC1 ZINC001113437901 1082213132 /nfs/dbraw/zinc/21/31/32/1082213132.db2.gz SYYZDMAJUWFEMP-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c[nH]cc2C2CC2)CC1 ZINC001113476611 1082227367 /nfs/dbraw/zinc/22/73/67/1082227367.db2.gz XGCJLPHOIWATJB-CQSZACIVSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3sccc3[nH]2)CC1 ZINC001113492128 1082233275 /nfs/dbraw/zinc/23/32/75/1082233275.db2.gz GFYRMMGRHDDDHU-CYBMUJFWSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2oc(CCC)nc2C)CC1 ZINC001113543771 1082243874 /nfs/dbraw/zinc/24/38/74/1082243874.db2.gz CUFFOHVEJBYNGW-AWEZNQCLSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](CC)CC(F)(F)F)CC1 ZINC001113550441 1082247884 /nfs/dbraw/zinc/24/78/84/1082247884.db2.gz CEDUKZGGLWBZJB-ZDUSSCGKSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)C(F)(F)F)CC1 ZINC001113566408 1082252883 /nfs/dbraw/zinc/25/28/83/1082252883.db2.gz VIVMBZAGSVJDQB-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H](C)[C@H]2CCCO2)CC1 ZINC001113580108 1082253793 /nfs/dbraw/zinc/25/37/93/1082253793.db2.gz CGHBIVRCTINABW-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](OC)C2CCCC2)CC1 ZINC001113590137 1082258528 /nfs/dbraw/zinc/25/85/28/1082258528.db2.gz UIVNLPCMGSCJBS-SFHVURJKSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2sccc2C)CC1 ZINC001113591693 1082259307 /nfs/dbraw/zinc/25/93/07/1082259307.db2.gz GEXZQPCSORQIBL-UHFFFAOYSA-N 0 1 320.502 3.490 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2Cc3ccc(Cl)cc32)CC1 ZINC001113593699 1082260318 /nfs/dbraw/zinc/26/03/18/1082260318.db2.gz QAUPCFNAKJZEIZ-KRWDZBQOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(C)cc(C)cc2C)CC1 ZINC001113610350 1082263880 /nfs/dbraw/zinc/26/38/80/1082263880.db2.gz ZFQVXKZCTFEXNI-UHFFFAOYSA-N 0 1 300.446 3.336 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3c(c2)CCC3)CC1 ZINC001113620750 1082266741 /nfs/dbraw/zinc/26/67/41/1082266741.db2.gz PKWGPFPJXQYJFG-MRXNPFEDSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(F)c3occc32)CC1 ZINC001113652806 1082271870 /nfs/dbraw/zinc/27/18/70/1082271870.db2.gz JFHDCFQIPAQFFO-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCc2cc(C)ccc2C)CC1 ZINC001113661149 1082272251 /nfs/dbraw/zinc/27/22/51/1082272251.db2.gz FTPDCDCXBXEHQN-UHFFFAOYSA-N 0 1 314.473 3.346 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCCn2cccc2)CC1 ZINC001113669846 1082274328 /nfs/dbraw/zinc/27/43/28/1082274328.db2.gz DWXATFCCSVJAEE-UHFFFAOYSA-N 0 1 317.477 3.159 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001266859907 1082275967 /nfs/dbraw/zinc/27/59/67/1082275967.db2.gz SANMOXUJLCSTKI-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cncc3ccccc32)CC1 ZINC001113673446 1082277553 /nfs/dbraw/zinc/27/75/53/1082277553.db2.gz RTWVOMWIVUZLHO-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2sccc2CC)CC1 ZINC001113672226 1082278542 /nfs/dbraw/zinc/27/85/42/1082278542.db2.gz PPORELQUSKCXDI-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)Cc2ccccc2C)CC1 ZINC001113698227 1082286208 /nfs/dbraw/zinc/28/62/08/1082286208.db2.gz MGUGEFMJIQQXKC-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@]2(C)CCc3ccccc32)CC1 ZINC001113700187 1082287495 /nfs/dbraw/zinc/28/74/95/1082287495.db2.gz PBASZXBOFPMHAR-FQEVSTJZSA-N 0 1 312.457 3.001 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)C[C@@H]2CCCO2)CC1 ZINC001113699976 1082288086 /nfs/dbraw/zinc/28/80/86/1082288086.db2.gz FCQMEDRUTWVNKQ-MSOLQXFVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)Cc2ccc(F)cc2)CC1 ZINC001113710618 1082288739 /nfs/dbraw/zinc/28/87/39/1082288739.db2.gz RNVNCRCDTCRPFC-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(C)cccc2F)CC1 ZINC001113727129 1082293017 /nfs/dbraw/zinc/29/30/17/1082293017.db2.gz DGSZZMPMBRPDLM-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)C2CCOCC2)CC1 ZINC001113745065 1082294222 /nfs/dbraw/zinc/29/42/22/1082294222.db2.gz VVGQUJPFRPFPFV-KRWDZBQOSA-N 0 1 324.509 3.164 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(CCc3ccccc3)CC2)CC1 ZINC001113748734 1082294336 /nfs/dbraw/zinc/29/43/36/1082294336.db2.gz DPUPVHFYTHYHQM-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccoc1C1CC1 ZINC001266878596 1082297127 /nfs/dbraw/zinc/29/71/27/1082297127.db2.gz ZYKVGXGWIGMDSD-CQSZACIVSA-N 0 1 322.836 3.494 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001266890898 1082309745 /nfs/dbraw/zinc/30/97/45/1082309745.db2.gz HQUBBJPGMZKNTG-ICSRJNTNSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001480902012 1082342912 /nfs/dbraw/zinc/34/29/12/1082342912.db2.gz DCGIWJFVJGRAOP-OAHLLOKOSA-N 0 1 306.425 3.284 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1cc(Cl)ccc1F ZINC001266963557 1082382326 /nfs/dbraw/zinc/38/23/26/1082382326.db2.gz FWGATFCVLOQJIF-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCCc1sccc1C ZINC001266990520 1082410950 /nfs/dbraw/zinc/41/09/50/1082410950.db2.gz QSPUOJRVNGDSEW-CYBMUJFWSA-N 0 1 314.882 3.226 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ccns2)CCCCC1 ZINC001266996554 1082417160 /nfs/dbraw/zinc/41/71/60/1082417160.db2.gz HTKLIMSFVNWBIZ-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(Cl)ccc2F)C1 ZINC001267006185 1082425856 /nfs/dbraw/zinc/42/58/56/1082425856.db2.gz ATVUEVITDVRKAP-AWEZNQCLSA-N 0 1 310.800 3.136 20 30 DGEDMN CC(C)CCCC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001267019509 1082438944 /nfs/dbraw/zinc/43/89/44/1082438944.db2.gz UZBSXFIUKJXMCY-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](CC)CC(C)C)C[C@H]1C ZINC001216270930 1082452080 /nfs/dbraw/zinc/45/20/80/1082452080.db2.gz HYBOODVMZAIUPO-VHDGCEQUSA-N 0 1 300.874 3.248 20 30 DGEDMN C=CCCCCCCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001129466815 1082474132 /nfs/dbraw/zinc/47/41/32/1082474132.db2.gz ZTOOXJHKKLPFOH-UHFFFAOYSA-N 0 1 322.371 3.086 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2ncc(C)o2)C1 ZINC001267085933 1082490992 /nfs/dbraw/zinc/49/09/92/1082490992.db2.gz KUCCADLYXAIOBM-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001267099369 1082509417 /nfs/dbraw/zinc/50/94/17/1082509417.db2.gz AETAQXGZQWMEAR-MJGOQNOKSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NCc2ncoc2C(C)C)C1 ZINC001267143056 1082560455 /nfs/dbraw/zinc/56/04/55/1082560455.db2.gz JXKISGNHVCZMLC-AWEZNQCLSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)C[C@H](OC)c1ccccc1 ZINC001267210106 1082642101 /nfs/dbraw/zinc/64/21/01/1082642101.db2.gz AHBGNFHJDZHEEH-KRWDZBQOSA-N 0 1 318.461 3.024 20 30 DGEDMN Cc1nc(Cl)ccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131673837 1082704844 /nfs/dbraw/zinc/70/48/44/1082704844.db2.gz AOSABBQVAFDGMS-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H]1CCCCC1(C)C ZINC001267283866 1082747541 /nfs/dbraw/zinc/74/75/41/1082747541.db2.gz SENYIXBPHOUURR-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2c(C)nsc2C)C1 ZINC001131899757 1082758133 /nfs/dbraw/zinc/75/81/33/1082758133.db2.gz PELQIJLRUOVGRK-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccc(F)c2)C1 ZINC001131895191 1082762138 /nfs/dbraw/zinc/76/21/38/1082762138.db2.gz GXFZZFIGGSVVEB-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001132002869 1082791072 /nfs/dbraw/zinc/79/10/72/1082791072.db2.gz NYQYRYOALNIEAB-HOCLYGCPSA-N 0 1 304.459 3.021 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccccc2F)C1 ZINC001132003193 1082791099 /nfs/dbraw/zinc/79/10/99/1082791099.db2.gz RBGGPBYUEIHBQO-RDJZCZTQSA-N 0 1 316.420 3.098 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccnc2)C1 ZINC001132069284 1082807716 /nfs/dbraw/zinc/80/77/16/1082807716.db2.gz RUAQXEPXXZQBGD-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ncccc2C)C1 ZINC001132063975 1082812080 /nfs/dbraw/zinc/81/20/80/1082812080.db2.gz BUPYYZSNQPLSQA-IAGOWNOFSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2c(C)noc2C)C1 ZINC001132064911 1082813407 /nfs/dbraw/zinc/81/34/07/1082813407.db2.gz OHVFFNWYYOFLMU-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CC[N@@H+](CCNC(=O)c1ccc2ccccc2c1)C1CC1 ZINC001267337261 1082814994 /nfs/dbraw/zinc/81/49/94/1082814994.db2.gz NHQRCQFLOISALX-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc2ccccc2c1)C1CC1 ZINC001267337261 1082815001 /nfs/dbraw/zinc/81/50/01/1082815001.db2.gz NHQRCQFLOISALX-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2(c3ccccc3)CC2)CC[C@@H]1C ZINC001132091397 1082815033 /nfs/dbraw/zinc/81/50/33/1082815033.db2.gz XNBKHOVHEIADKQ-PKOBYXMFSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(C)o1)c1ccc(C(C)C)cc1 ZINC001267346330 1082823991 /nfs/dbraw/zinc/82/39/91/1082823991.db2.gz OKXXYIZFWHXDLA-SFHVURJKSA-N 0 1 324.424 3.405 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001267353459 1082828143 /nfs/dbraw/zinc/82/81/43/1082828143.db2.gz OLFZICCNGFHGAW-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C)CCCCCC1)c1ccccc1 ZINC001267361686 1082833446 /nfs/dbraw/zinc/83/34/46/1082833446.db2.gz FVCFTKUTIJRXRQ-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)c1ccccc1F)c1ccccc1 ZINC001267376154 1082844999 /nfs/dbraw/zinc/84/49/99/1082844999.db2.gz AMFUIXQSKIPSST-DNVCBOLYSA-N 0 1 324.399 3.010 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCc2ccccc2)CC[C@H]1C ZINC001132260790 1082860586 /nfs/dbraw/zinc/86/05/86/1082860586.db2.gz HWLHXRINUZNVSG-IEBWSBKVSA-N 0 1 312.457 3.002 20 30 DGEDMN CC(C)c1cc(NCCc2ccc(C#N)cc2)nc(N(C)C)n1 ZINC001167678359 1082863883 /nfs/dbraw/zinc/86/38/83/1082863883.db2.gz FWDVGCKHAIHGAG-UHFFFAOYSA-N 0 1 309.417 3.192 20 30 DGEDMN C=CCOCC[N@H+]1C[C@@H](NC(=O)CCCCC(C)C)CC[C@H]1C ZINC001132368620 1082890406 /nfs/dbraw/zinc/89/04/06/1082890406.db2.gz OTFFCGIPSKARTL-MSOLQXFVSA-N 0 1 324.509 3.375 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C(C)=C2CCCC2)C1 ZINC001267454502 1082892102 /nfs/dbraw/zinc/89/21/02/1082892102.db2.gz OAHZQUBZHQEKMG-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)Cc2ccccc2)CC[C@@H]1C ZINC001132409929 1082904807 /nfs/dbraw/zinc/90/48/07/1082904807.db2.gz GYOLKKFGOHMQGF-WMZOPIPTSA-N 0 1 314.473 3.410 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCC2CCCCC2)CC[C@H]1C ZINC001132463139 1082918215 /nfs/dbraw/zinc/91/82/15/1082918215.db2.gz CHWHUOCTRVHAIJ-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C(F)(F)F)CCCC2)CC[C@H]1C ZINC001132541449 1082937491 /nfs/dbraw/zinc/93/74/91/1082937491.db2.gz FRAHAEZOHIFVIP-CHWSQXEVSA-N 0 1 318.383 3.264 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132569481 1082948276 /nfs/dbraw/zinc/94/82/76/1082948276.db2.gz SKGGAJOIBPLJRC-VXGBXAGGSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1sccc1Cl ZINC001132569223 1082948607 /nfs/dbraw/zinc/94/86/07/1082948607.db2.gz MVSLAQBQIRETSY-QWRGUYRKSA-N 0 1 300.855 3.066 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132569387 1082948821 /nfs/dbraw/zinc/94/88/21/1082948821.db2.gz PUCGEIOCUUOQPK-VXGBXAGGSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132573945 1082950187 /nfs/dbraw/zinc/95/01/87/1082950187.db2.gz STQLFAPZPMRVKV-CHWSQXEVSA-N 0 1 324.852 3.013 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001267496006 1082953937 /nfs/dbraw/zinc/95/39/37/1082953937.db2.gz KBCRDWIKCKHEPR-FOIPXRHGSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C=C)c2ccccc2)C1 ZINC001267496584 1082955195 /nfs/dbraw/zinc/95/51/95/1082955195.db2.gz WEOAIIBSVKZCOV-AZUAARDMSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CC[C@@H](F)C1)C(C)C ZINC001267507791 1082966901 /nfs/dbraw/zinc/96/69/01/1082966901.db2.gz NEEWDZXTKXQGHH-ZIAGYGMSSA-N 0 1 304.837 3.094 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132653268 1082968080 /nfs/dbraw/zinc/96/80/80/1082968080.db2.gz IODILADEIJYAGK-AWEZNQCLSA-N 0 1 308.853 3.457 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132660238 1082973594 /nfs/dbraw/zinc/97/35/94/1082973594.db2.gz LAEYXLCDWGTHLI-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN Cc1ccccc1CN[C@@H](CNC(=O)[C@H](C)C#N)c1ccccc1 ZINC001267517937 1082977816 /nfs/dbraw/zinc/97/78/16/1082977816.db2.gz VAQXMRHDCNMKIK-APWZRJJASA-N 0 1 321.424 3.102 20 30 DGEDMN C=CCC(CC=C)C(=O)N(CCC)[C@H]1CCN(CC#CC)C1 ZINC001267541689 1083008686 /nfs/dbraw/zinc/00/86/86/1083008686.db2.gz GMDXHWXVSCXGSA-SFHVURJKSA-N 0 1 302.462 3.091 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001267546783 1083016860 /nfs/dbraw/zinc/01/68/60/1083016860.db2.gz DVYAALADZDTXIG-SJORKVTESA-N 0 1 316.445 3.028 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccccc2CC)C1 ZINC001267564076 1083043934 /nfs/dbraw/zinc/04/39/34/1083043934.db2.gz LRQRRQNNSNPYSC-HNNXBMFYSA-N 0 1 320.864 3.443 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2(C(F)F)CCC2)C1 ZINC001267563547 1083044024 /nfs/dbraw/zinc/04/40/24/1083044024.db2.gz ZYHBFEMJHWTKMY-LBPRGKRZSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(C)cc2F)C1 ZINC001267568416 1083050011 /nfs/dbraw/zinc/05/00/11/1083050011.db2.gz UUSNFNMTDRILLY-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN N#Cc1cccc(CN2CCC3(C[C@@H](F)CO3)CC2)c1Cl ZINC001140813178 1083076724 /nfs/dbraw/zinc/07/67/24/1083076724.db2.gz YIWXCIRMUANWSL-CQSZACIVSA-N 0 1 308.784 3.305 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)c(CC)s1 ZINC001158846906 1083104124 /nfs/dbraw/zinc/10/41/24/1083104124.db2.gz JOCMLTWZCPDMJZ-OAHLLOKOSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2cccc(F)c2Cl)CC1 ZINC001133365584 1083107325 /nfs/dbraw/zinc/10/73/25/1083107325.db2.gz XLNRWBNWWNAOBM-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001133383246 1083111612 /nfs/dbraw/zinc/11/16/12/1083111612.db2.gz MCNLPNJYUWLJPU-PXAZEXFGSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccccc1F ZINC001481051173 1083135147 /nfs/dbraw/zinc/13/51/47/1083135147.db2.gz AWTCPVDMILWUPC-KRWDZBQOSA-N 0 1 316.420 3.100 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4cc(F)cc(F)c4C3)CCC2S1 ZINC001170690213 1083136238 /nfs/dbraw/zinc/13/62/38/1083136238.db2.gz PWDJMUKPNDUNGX-BBRMVZONSA-N 0 1 321.392 3.096 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001481057708 1083140612 /nfs/dbraw/zinc/14/06/12/1083140612.db2.gz BLLHMLDMPBCIOJ-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC[C@H](C(=O)NC[C@H]1CCN(CCF)C1)c1ccccc1 ZINC001481125330 1083202584 /nfs/dbraw/zinc/20/25/84/1083202584.db2.gz DHURAHOWOYMAAE-AEFFLSMTSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)c1cccc(F)c1 ZINC001283715316 1083228863 /nfs/dbraw/zinc/22/88/63/1083228863.db2.gz UHSJSVXGHLBPEQ-QWHCGFSZSA-N 0 1 312.816 3.118 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CN(C)Cc2cc(F)ccc2F)C1 ZINC001267633239 1083236038 /nfs/dbraw/zinc/23/60/38/1083236038.db2.gz VUNTXSMNEFIRTJ-AWEZNQCLSA-N 0 1 322.399 3.211 20 30 DGEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001267633925 1083236939 /nfs/dbraw/zinc/23/69/39/1083236939.db2.gz VCOCOLYPCLYPRQ-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cnc3ccccc3c2C)C1 ZINC001267654419 1083248090 /nfs/dbraw/zinc/24/80/90/1083248090.db2.gz XFTVRTSTTFRTNW-CQSZACIVSA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(F)c2ccccc12 ZINC001134164721 1083248971 /nfs/dbraw/zinc/24/89/71/1083248971.db2.gz DJGQTVKREQMDQH-UHFFFAOYSA-N 0 1 306.768 3.051 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(Cl)ccc2C)C1 ZINC001267662108 1083252231 /nfs/dbraw/zinc/25/22/31/1083252231.db2.gz PGXFSQRXDMXIGV-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001267683027 1083261874 /nfs/dbraw/zinc/26/18/74/1083261874.db2.gz IHCWXGJBRHOMLV-JKSUJKDBSA-N 0 1 319.449 3.181 20 30 DGEDMN CC#CCCCC(=O)NCC1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001267689435 1083266231 /nfs/dbraw/zinc/26/62/31/1083266231.db2.gz KTNVLDJNBOIWJE-HNNXBMFYSA-N 0 1 316.420 3.128 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001267695715 1083269506 /nfs/dbraw/zinc/26/95/06/1083269506.db2.gz FSBQWKIMQYJSTD-LJQANCHMSA-N 0 1 314.473 3.440 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@H](C)NCc1nocc1C ZINC001134418005 1083286676 /nfs/dbraw/zinc/28/66/76/1083286676.db2.gz DCIQLJFVGKZCHC-GJZGRUSLSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@@H](C)NCc1cscn1 ZINC001134418877 1083287299 /nfs/dbraw/zinc/28/72/99/1083287299.db2.gz QZGKFRKTXMUZNX-KGLIPLIRSA-N 0 1 321.490 3.284 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@@H](C)NCc1cc(C)on1 ZINC001134419629 1083287386 /nfs/dbraw/zinc/28/73/86/1083287386.db2.gz YQMTWICXISAVIW-KGLIPLIRSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001134448923 1083289252 /nfs/dbraw/zinc/28/92/52/1083289252.db2.gz QZTXMOAJHTVVKR-CHWSQXEVSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1ncc(C2CC2)o1 ZINC001134470215 1083294274 /nfs/dbraw/zinc/29/42/74/1083294274.db2.gz OQMHNEGZUBEOSE-UONOGXRCSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001134476009 1083298156 /nfs/dbraw/zinc/29/81/56/1083298156.db2.gz LNZXMNSWFUWIEP-CHWSQXEVSA-N 0 1 307.438 3.021 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1coc(C2CC2)n1 ZINC001134475616 1083298202 /nfs/dbraw/zinc/29/82/02/1083298202.db2.gz GFCOYCIKHOAEPN-ZIAGYGMSSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H]1C[C@@H]3[C@H](C1)C3(C)C)CCC2 ZINC001170754975 1083300854 /nfs/dbraw/zinc/30/08/54/1083300854.db2.gz YLDWRTJMJGFYRH-MUJYYYPQSA-N 0 1 315.461 3.226 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001267740317 1083305060 /nfs/dbraw/zinc/30/50/60/1083305060.db2.gz AZBBBJDDSBFBEU-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001267748415 1083315272 /nfs/dbraw/zinc/31/52/72/1083315272.db2.gz ZHRBMNAMEABFHP-QGZVFWFLSA-N 0 1 324.424 3.317 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001267769658 1083332589 /nfs/dbraw/zinc/33/25/89/1083332589.db2.gz CQJXUDBARQIPCT-XEDBTPMOSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc([C@@H]2C[C@H]2C)o1 ZINC001481255684 1083335936 /nfs/dbraw/zinc/33/59/36/1083335936.db2.gz PNHRCFMOLUBLPS-IUODEOHRSA-N 0 1 324.852 3.136 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)[C@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001267779770 1083342350 /nfs/dbraw/zinc/34/23/50/1083342350.db2.gz VIBGFNXYNRLAMB-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN CC[C@@H](CNC(=O)CC1CCCC1)NCc1ccccc1C#N ZINC001267792723 1083350948 /nfs/dbraw/zinc/35/09/48/1083350948.db2.gz GNAKLDLWFMBDMO-SFHVURJKSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C)nc1C1CC1 ZINC001267813717 1083365416 /nfs/dbraw/zinc/36/54/16/1083365416.db2.gz VDQVRCLUEJFIBH-AWEZNQCLSA-N 0 1 321.852 3.118 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C[C@H]1[C@H](C(=O)OC)C1(F)F ZINC001181410521 1083460288 /nfs/dbraw/zinc/46/02/88/1083460288.db2.gz NPMPGSIQEGVTIM-LSDHHAIUSA-N 0 1 309.356 3.119 20 30 DGEDMN C=C(CC(C)C)C(=O)Nc1n[nH]c2cnc(Br)cc21 ZINC001151044945 1083486033 /nfs/dbraw/zinc/48/60/33/1083486033.db2.gz WJLJYMPQUWUYEQ-UHFFFAOYSA-N 0 1 323.194 3.261 20 30 DGEDMN C#C[C@@H](NCc1cc(OC)c(Br)cc1F)C(C)C ZINC001181576834 1083494487 /nfs/dbraw/zinc/49/44/87/1083494487.db2.gz POZHACRGZPHMRI-CYBMUJFWSA-N 0 1 314.198 3.344 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001267919667 1083568659 /nfs/dbraw/zinc/56/86/59/1083568659.db2.gz OBABSFOFXDXJIM-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2cc(C)no2)CCCCC1 ZINC001481290618 1083682471 /nfs/dbraw/zinc/68/24/71/1083682471.db2.gz KGFDWGBUHWOHAR-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F ZINC001182361433 1083701855 /nfs/dbraw/zinc/70/18/55/1083701855.db2.gz RHSWDXYQAOUTFB-VHSXEESVSA-N 0 1 320.274 3.042 20 30 DGEDMN C#CCCCCC(=O)NCCN[C@@H](C)c1ccccc1Cl ZINC001125951562 1083717568 /nfs/dbraw/zinc/71/75/68/1083717568.db2.gz QVXUUSISGGVFAR-AWEZNQCLSA-N 0 1 306.837 3.300 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)Cc1ccccc1 ZINC001182607284 1083766458 /nfs/dbraw/zinc/76/64/58/1083766458.db2.gz VCUWIZHKSMJCRE-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)Cc2ccc3nc[nH]c3c2)cc1 ZINC001182845253 1083808269 /nfs/dbraw/zinc/80/82/69/1083808269.db2.gz XEKHXMNKDQEYLN-LBPRGKRZSA-N 0 1 304.353 3.371 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CC2CCCCCC2)C1 ZINC001268027369 1083808502 /nfs/dbraw/zinc/80/85/02/1083808502.db2.gz VFHUJTJOSYMGNB-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)CC)c1ccc(C(C)C)cc1 ZINC001481357472 1083818153 /nfs/dbraw/zinc/81/81/53/1083818153.db2.gz DVAGEFNVITUOCC-CRAIPNDOSA-N 0 1 300.446 3.236 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(C)ccc1C)c1ccccc1 ZINC001481371869 1083832597 /nfs/dbraw/zinc/83/25/97/1083832597.db2.gz HSJZBUUIYVRLJG-HXUWFJFHSA-N 0 1 320.436 3.387 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCC=CCCC2)C1 ZINC001481401591 1083869920 /nfs/dbraw/zinc/86/99/20/1083869920.db2.gz OFGUTSPKQNJTID-MOPGFXCFSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001481407997 1083877621 /nfs/dbraw/zinc/87/76/21/1083877621.db2.gz YVDWBZFNZFFJHB-OTWHNJEPSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccccc2)C1 ZINC001481410548 1083880560 /nfs/dbraw/zinc/88/05/60/1083880560.db2.gz JJBNAHJFUJUFLU-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1sc2ccccc2c1C#N)N(C)C ZINC001183360111 1083925713 /nfs/dbraw/zinc/92/57/13/1083925713.db2.gz MXPNUFLCROXBEI-CQSZACIVSA-N 0 1 301.415 3.298 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CC[C@H](C)c1ccccc1)CC2 ZINC001268047257 1084007397 /nfs/dbraw/zinc/00/73/97/1084007397.db2.gz XLMWDRIKZSTUJG-SFHVURJKSA-N 0 1 324.468 3.128 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(C)[C@H](C)c2ncc(C)o2)CC1 ZINC001481510908 1084040926 /nfs/dbraw/zinc/04/09/26/1084040926.db2.gz JNCCJIHTCWWJKK-OAHLLOKOSA-N 0 1 319.449 3.181 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001268081445 1084120518 /nfs/dbraw/zinc/12/05/18/1084120518.db2.gz PUNZWDFOOQYEIH-WFASDCNBSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001268105964 1084174719 /nfs/dbraw/zinc/17/47/19/1084174719.db2.gz OLAUBZNSVJPNCS-STQMWFEESA-N 0 1 322.827 3.295 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCC2CCCCC2)C1 ZINC001268113992 1084190197 /nfs/dbraw/zinc/19/01/97/1084190197.db2.gz IVUXZBDDYKIKJI-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C[C@@H]1CCC[C@@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001184469834 1084190918 /nfs/dbraw/zinc/19/09/18/1084190918.db2.gz BTEKGIYTPQITEP-BXUZGUMPSA-N 0 1 309.373 3.108 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CNCc2cscn2)[C@H](C)C1 ZINC001185060130 1084325750 /nfs/dbraw/zinc/32/57/50/1084325750.db2.gz DRIZXLKJLFEQIX-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@H](C)c2cccc(F)c2)C1 ZINC001481638977 1084327226 /nfs/dbraw/zinc/32/72/26/1084327226.db2.gz ODFAINVNRAEIMA-CYBMUJFWSA-N 0 1 304.409 3.147 20 30 DGEDMN N#Cc1ccc(F)cc1N1CCN(CCc2cccs2)CC1 ZINC001185028518 1084327815 /nfs/dbraw/zinc/32/78/15/1084327815.db2.gz JTIHQLGLCAZTRC-UHFFFAOYSA-N 0 1 315.417 3.124 20 30 DGEDMN C[C@@H](C(=O)N(C)CCN(C)Cc1cccc(C#N)c1)C(C)(C)C ZINC001268239294 1084388872 /nfs/dbraw/zinc/38/88/72/1084388872.db2.gz AQYGAIGHJVVHCB-HNNXBMFYSA-N 0 1 315.461 3.131 20 30 DGEDMN CC1(C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CCCCC1 ZINC001185369201 1084432635 /nfs/dbraw/zinc/43/26/35/1084432635.db2.gz LFMKNUWLYCXWSB-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@H]1CNCc1nc(C)c(C)o1 ZINC001268274694 1084440019 /nfs/dbraw/zinc/44/00/19/1084440019.db2.gz COWNYJGOKFVMDT-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cc(C#N)cnc2C)ccc1Cl ZINC001185496282 1084455587 /nfs/dbraw/zinc/45/55/87/1084455587.db2.gz KBIZIRJONSOIOI-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1C ZINC001481677328 1084469110 /nfs/dbraw/zinc/46/91/10/1084469110.db2.gz DWNQQCQPUSLRHL-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)CCC=C(C)C ZINC001481678292 1084472325 /nfs/dbraw/zinc/47/23/25/1084472325.db2.gz PKRLHTIMQVREBK-SQNIBIBYSA-N 0 1 302.462 3.067 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1scnc1C(C)C ZINC001481680203 1084473332 /nfs/dbraw/zinc/47/33/32/1084473332.db2.gz WVKKETCHIHZNFA-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1CN(CC2CCC(C)CC2)C1 ZINC001268311381 1084485761 /nfs/dbraw/zinc/48/57/61/1084485761.db2.gz YNQLVXMRQTVRQL-UHFFFAOYSA-N 0 1 304.478 3.382 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481709408 1084503616 /nfs/dbraw/zinc/50/36/16/1084503616.db2.gz AQZGRFRWZKQSLI-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNC/C(Cl)=C/Cl ZINC001268388950 1084550999 /nfs/dbraw/zinc/55/09/99/1084550999.db2.gz HFFUVKXIONYIGS-HQQGHWSLSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001481762027 1084605849 /nfs/dbraw/zinc/60/58/49/1084605849.db2.gz QKEJXTUQHLUSAJ-KRWDZBQOSA-N 0 1 316.445 3.084 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001481773730 1084631496 /nfs/dbraw/zinc/63/14/96/1084631496.db2.gz BPWZEEIFCQETNB-DOTOQJQBSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C(C)(C)C)cn1 ZINC001481811745 1084650232 /nfs/dbraw/zinc/65/02/32/1084650232.db2.gz CLQCFPBXWOQPIO-CQSZACIVSA-N 0 1 323.868 3.230 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccn(C(C)C)c1C ZINC001481842743 1084661628 /nfs/dbraw/zinc/66/16/28/1084661628.db2.gz JHXNTGBELDQLTE-CQSZACIVSA-N 0 1 311.857 3.228 20 30 DGEDMN CC[C@@H](C)CCC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001186537018 1084664006 /nfs/dbraw/zinc/66/40/06/1084664006.db2.gz JKPRLDPERQXOQN-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN N#Cc1cc(C(F)(F)F)cc(NCc2ccc3cncn3c2)n1 ZINC001186661739 1084687508 /nfs/dbraw/zinc/68/75/08/1084687508.db2.gz KIMOSPFAQPUWQF-UHFFFAOYSA-N 0 1 317.274 3.232 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(C[C@@H](C)CC(C)C)C1)c1ccccc1 ZINC001268483443 1084705759 /nfs/dbraw/zinc/70/57/59/1084705759.db2.gz MEZUNWHQVSTROG-LPHOPBHVSA-N 0 1 314.473 3.439 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001482022991 1084770610 /nfs/dbraw/zinc/77/06/10/1084770610.db2.gz OLIYRJYLKQHSET-IIDMSEBBSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2C[N@H+](Cc3cc(C)sc3C)C[C@H]21 ZINC001187208645 1084775397 /nfs/dbraw/zinc/77/53/97/1084775397.db2.gz HOQDEIIRCOOZJX-DOTOQJQBSA-N 0 1 318.486 3.364 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CN[C@@H](C)c1cc(F)ccc1F ZINC001268533069 1084789541 /nfs/dbraw/zinc/78/95/41/1084789541.db2.gz NDKSRRXXLMOSNU-UZYOAWRESA-N 0 1 320.383 3.091 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccsc1C(F)F ZINC001268620001 1084897905 /nfs/dbraw/zinc/89/79/05/1084897905.db2.gz VDTJKTFWZHUADM-IHWYPQMZSA-N 0 1 320.792 3.314 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(CCC2CCCCCC2)CCO1 ZINC001482181077 1084900641 /nfs/dbraw/zinc/90/06/41/1084900641.db2.gz AMOYISYVVOBFNV-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@@](C)(CC)CCC ZINC001268667866 1084929414 /nfs/dbraw/zinc/92/94/14/1084929414.db2.gz ZULBWLGVXVVYKJ-OCCSQVGLSA-N 0 1 319.287 3.206 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001268703969 1084957924 /nfs/dbraw/zinc/95/79/24/1084957924.db2.gz VCLJTTHDFJOZEQ-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001268739381 1085004346 /nfs/dbraw/zinc/00/43/46/1085004346.db2.gz AEGBHCURKBLSES-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001268741390 1085013497 /nfs/dbraw/zinc/01/34/97/1085013497.db2.gz RFEQMDSKGKGNBV-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@H](C)c2cccc(OC)c2)C1 ZINC001268833065 1085064426 /nfs/dbraw/zinc/06/44/26/1085064426.db2.gz CIWCISDKWUERFX-OAHLLOKOSA-N 0 1 316.445 3.161 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)sn1 ZINC001268939933 1085083469 /nfs/dbraw/zinc/08/34/69/1085083469.db2.gz WHXHYEVYQGCWIB-GJZGRUSLSA-N 0 1 321.490 3.321 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001268969984 1085090752 /nfs/dbraw/zinc/09/07/52/1085090752.db2.gz RUCBUOLQPYHBJW-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN CCCCCCCC(=O)N1C[C@H]2CN(C3CCCC3)C[C@@H](C1)O2 ZINC001269074477 1085114337 /nfs/dbraw/zinc/11/43/37/1085114337.db2.gz CELFPNXSBJDJAC-HDICACEKSA-N 0 1 322.493 3.201 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cscc1Cl)C1CC1 ZINC001269079207 1085117094 /nfs/dbraw/zinc/11/70/94/1085117094.db2.gz GGYGMCDXRSSWAW-GFCCVEGCSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccccc1CC)C1CC1 ZINC001269085573 1085118366 /nfs/dbraw/zinc/11/83/66/1085118366.db2.gz VLDNFGQVWJPVON-KRWDZBQOSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1nc(C)cs1)C1CC1 ZINC001269120630 1085133743 /nfs/dbraw/zinc/13/37/43/1085133743.db2.gz QJPXYOFUYHOKHU-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001269233653 1085226875 /nfs/dbraw/zinc/22/68/75/1085226875.db2.gz KJSIJKHUKCMLHL-YJBOKZPZSA-N 0 1 316.420 3.223 20 30 DGEDMN CC[C@H](CNC(=O)C[C@@H](C)CC)NCc1ccc(C#N)cc1F ZINC001269397668 1085363165 /nfs/dbraw/zinc/36/31/65/1085363165.db2.gz MTYOWBKCIOPFQB-XJKSGUPXSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001269397664 1085363635 /nfs/dbraw/zinc/36/36/35/1085363635.db2.gz MTYOWBKCIOPFQB-BBRMVZONSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(Cl)c1C ZINC001269407544 1085371181 /nfs/dbraw/zinc/37/11/81/1085371181.db2.gz FCNCOTRTTCGUPV-LBPRGKRZSA-N 0 1 315.244 3.499 20 30 DGEDMN C[C@@]1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CC=CCC1 ZINC001269420185 1085375921 /nfs/dbraw/zinc/37/59/21/1085375921.db2.gz UFPFNLREEZBGNZ-HXUWFJFHSA-N 0 1 322.452 3.023 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1cccc(C)c1 ZINC001269442971 1085388965 /nfs/dbraw/zinc/38/89/65/1085388965.db2.gz POHFGZJEQBMXQV-MRXNPFEDSA-N 0 1 308.853 3.165 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C)c(F)c1F ZINC001269471971 1085408059 /nfs/dbraw/zinc/40/80/59/1085408059.db2.gz RFTHUHQJGLHZQP-LLVKDONJSA-N 0 1 316.779 3.124 20 30 DGEDMN CC[C@H](CNC(=O)CCC1(C)CC1)NCc1ccccc1C#N ZINC001269485876 1085414452 /nfs/dbraw/zinc/41/44/52/1085414452.db2.gz DARIJIYTFHLDCQ-QGZVFWFLSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(F)cccc1Cl ZINC001269497028 1085426955 /nfs/dbraw/zinc/42/69/55/1085426955.db2.gz BFQBPSCEUBDZPK-JTQLQIEISA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001482226388 1085431517 /nfs/dbraw/zinc/43/15/17/1085431517.db2.gz JIHHJPPTXJPCIJ-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3cccc(C)c3o2)CC1 ZINC001269544444 1085456000 /nfs/dbraw/zinc/45/60/00/1085456000.db2.gz GMTUTUBXJUBVSP-UHFFFAOYSA-N 0 1 318.804 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](CC)CC(F)F)C1 ZINC001269800701 1085569720 /nfs/dbraw/zinc/56/97/20/1085569720.db2.gz OTSFJWCCDUFXIL-STQMWFEESA-N 0 1 302.409 3.165 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001269861593 1085591787 /nfs/dbraw/zinc/59/17/87/1085591787.db2.gz JZPYAVCUNYJWIL-OAHLLOKOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccccc2)C1 ZINC001269881963 1085597497 /nfs/dbraw/zinc/59/74/97/1085597497.db2.gz SVBFYRPTFBEZTI-MRXNPFEDSA-N 0 1 300.446 3.117 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001482261035 1085671158 /nfs/dbraw/zinc/67/11/58/1085671158.db2.gz QJKJDIRMDXHBMG-CYBMUJFWSA-N 0 1 307.438 3.009 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001482261871 1085673734 /nfs/dbraw/zinc/67/37/34/1085673734.db2.gz VVKQLQQXVJCKGM-KGLIPLIRSA-N 0 1 315.417 3.292 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001280165055 1085698112 /nfs/dbraw/zinc/69/81/12/1085698112.db2.gz TXRVOLFYHNDJLA-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001270138001 1085707541 /nfs/dbraw/zinc/70/75/41/1085707541.db2.gz LNKBWQSRHCRKGV-LSDHHAIUSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1ccc(C)o1 ZINC001270276000 1085758011 /nfs/dbraw/zinc/75/80/11/1085758011.db2.gz QCOFQEJKCUAIMR-ZIAGYGMSSA-N 0 1 310.825 3.025 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001270575626 1085869899 /nfs/dbraw/zinc/86/98/99/1085869899.db2.gz FUBQSAVDJSAQCK-BEFAXECRSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2cnccc2C)C1 ZINC001270591002 1085875128 /nfs/dbraw/zinc/87/51/28/1085875128.db2.gz SMGPZUPOSMFKTC-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)N[C@]1(C)CCN(Cc2sc(C)nc2C)C1 ZINC001270625311 1085897736 /nfs/dbraw/zinc/89/77/36/1085897736.db2.gz XNGTUMYVRACJGK-QGZVFWFLSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CN(CCCC)CCO2)CCCCC1 ZINC001270701759 1085952032 /nfs/dbraw/zinc/95/20/32/1085952032.db2.gz YNFKGKVFVNLNFD-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNCc1c(C)onc1CC ZINC001482326962 1086004037 /nfs/dbraw/zinc/00/40/37/1086004037.db2.gz HVJMPQXLFLPUCB-FZKQIMNGSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001482327184 1086007136 /nfs/dbraw/zinc/00/71/36/1086007136.db2.gz QTPNRZUPXPOVBF-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001270845593 1086044303 /nfs/dbraw/zinc/04/43/03/1086044303.db2.gz PZKKGKIIHWGHRX-KRWDZBQOSA-N 0 1 322.468 3.427 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(C)c(C)n1 ZINC001482388890 1086116271 /nfs/dbraw/zinc/11/62/71/1086116271.db2.gz DZCGNIJLIOISCL-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C#CC[N@H+]1CC2(CCC2)[C@@H]1C1CCN(C(=O)/C(C)=C/CC)CC1 ZINC001105389308 1086129240 /nfs/dbraw/zinc/12/92/40/1086129240.db2.gz NCGQSTRDPMIPBF-ULUBDBHXSA-N 0 1 314.473 3.069 20 30 DGEDMN C#CC[N@H+]1CC2(CCC2)[C@H]1C1CCN(C(=O)/C(C)=C/CC)CC1 ZINC001105389307 1086129731 /nfs/dbraw/zinc/12/97/31/1086129731.db2.gz NCGQSTRDPMIPBF-ROBMAMTRSA-N 0 1 314.473 3.069 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CCNC/C(Cl)=C\Cl)C1 ZINC001501612518 1086129809 /nfs/dbraw/zinc/12/98/09/1086129809.db2.gz RWXBRINMNWTZPK-PCRWRXJSSA-N 0 1 319.276 3.490 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@](C)(CNC(=O)CC(C)(C)C2CC2)C1 ZINC001270957982 1086216775 /nfs/dbraw/zinc/21/67/75/1086216775.db2.gz DXMHNSZSGKTNNZ-IBGZPJMESA-N 0 1 304.478 3.054 20 30 DGEDMN CC[C@@H](F)CN1CCC[C@](C)(CNC(=O)C#CC(C)(C)C)C1 ZINC001270958656 1086217386 /nfs/dbraw/zinc/21/73/86/1086217386.db2.gz NMQSWLPWEQGHAO-CRAIPNDOSA-N 0 1 310.457 3.002 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNCc1c(F)cccc1Cl ZINC001270956143 1086218465 /nfs/dbraw/zinc/21/84/65/1086218465.db2.gz GCIBIXBOTNSLNV-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C=C2CCC2)CCN1CC#Cc1ccccc1 ZINC001087538619 1086249449 /nfs/dbraw/zinc/24/94/49/1086249449.db2.gz ARXZVBWIQSXHKA-VQTJNVASSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)CC(C)C)C1 ZINC001271100908 1086293539 /nfs/dbraw/zinc/29/35/39/1086293539.db2.gz OJIMOHIDAUHCLY-AWEZNQCLSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001271121003 1086302011 /nfs/dbraw/zinc/30/20/11/1086302011.db2.gz LLRCWUCNCAXCRY-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3occc3c2)[C@H]1CC ZINC001087581840 1086313286 /nfs/dbraw/zinc/31/32/86/1086313286.db2.gz DAUXXIJKRRHPJA-DLBZAZTESA-N 0 1 310.397 3.039 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CCCCCC2)[C@H]1CC ZINC001087605703 1086326028 /nfs/dbraw/zinc/32/60/28/1086326028.db2.gz SHXPNPQTAWIDLR-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2CCC)[C@H]1CC ZINC001087651629 1086340131 /nfs/dbraw/zinc/34/01/31/1086340131.db2.gz ZGCJLZOPDKBFRP-RBUKOAKNSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1CC ZINC001087671006 1086348591 /nfs/dbraw/zinc/34/85/91/1086348591.db2.gz ODEIMOIKHRROQF-VQTJNVASSA-N 0 1 324.468 3.478 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2csc3ccccc23)[C@H]1CC ZINC001087676743 1086355320 /nfs/dbraw/zinc/35/53/20/1086355320.db2.gz FSYFKRXWLHVUJF-JKSUJKDBSA-N 0 1 312.438 3.117 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(CCC)cc2)[C@H]1CC ZINC001087706840 1086370500 /nfs/dbraw/zinc/37/05/00/1086370500.db2.gz NXRWCZURDUSDMX-RBUKOAKNSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)[C@H]1CC ZINC001087737095 1086385279 /nfs/dbraw/zinc/38/52/79/1086385279.db2.gz CYJYGFSPVFWVGT-WCXIOVBPSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3sccc3c2)[C@H]1CC ZINC001087780797 1086407957 /nfs/dbraw/zinc/40/79/57/1086407957.db2.gz ACPWXHUXFBTSKA-JKSUJKDBSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)[C@H]1CC ZINC001087789331 1086409107 /nfs/dbraw/zinc/40/91/07/1086409107.db2.gz KTALEPFMISDXDE-FRRDWIJNSA-N 0 1 324.877 3.107 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)[C@H]1CC ZINC001087789331 1086409113 /nfs/dbraw/zinc/40/91/13/1086409113.db2.gz KTALEPFMISDXDE-FRRDWIJNSA-N 0 1 324.877 3.107 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1CC ZINC001087822237 1086429490 /nfs/dbraw/zinc/42/94/90/1086429490.db2.gz CKVPKHGKFMHGMN-LSDHHAIUSA-N 0 1 306.475 3.388 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)[C@H]1CC ZINC001087844732 1086441328 /nfs/dbraw/zinc/44/13/28/1086441328.db2.gz OBMNXILEFZYENX-SCTDSRPQSA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2coc3ccccc23)[C@H]1CC ZINC001087848241 1086445193 /nfs/dbraw/zinc/44/51/93/1086445193.db2.gz NRIITVVOWPFUDP-DLBZAZTESA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2cnc(C)o2)CCCC1 ZINC001271239869 1086553707 /nfs/dbraw/zinc/55/37/07/1086553707.db2.gz YWKYAAPTBDGQBI-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CCNCc1nc(C)c(C)o1 ZINC001271493923 1086668983 /nfs/dbraw/zinc/66/89/83/1086668983.db2.gz DYLANQITYCKCIG-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CCNCc1ncc(C)o1 ZINC001271534459 1086675866 /nfs/dbraw/zinc/67/58/66/1086675866.db2.gz IULWYTUVTXGOSG-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CCNCc1ncc(C)o1 ZINC001271534460 1086675890 /nfs/dbraw/zinc/67/58/90/1086675890.db2.gz IULWYTUVTXGOSG-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CCNCc1coc(C)n1 ZINC001271542781 1086677947 /nfs/dbraw/zinc/67/79/47/1086677947.db2.gz BXIPHUKQPUVFKJ-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1nc(C)oc1C)C(C)C ZINC001271891005 1086811203 /nfs/dbraw/zinc/81/12/03/1086811203.db2.gz BAEHTZSEBRKVFM-MAUKXSAKSA-N 0 1 321.465 3.124 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CCCC)C(C)C ZINC001272052583 1087031788 /nfs/dbraw/zinc/03/17/88/1087031788.db2.gz CQHVYXMGTUUONR-OKZBNKHCSA-N 0 1 304.478 3.147 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)[C@H]1C ZINC001088568298 1087071681 /nfs/dbraw/zinc/07/16/81/1087071681.db2.gz BPBACDWZXMWBMX-KDOFPFPSSA-N 0 1 324.424 3.211 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)[C@H]1C ZINC001088572584 1087074701 /nfs/dbraw/zinc/07/47/01/1087074701.db2.gz WSVPPFWJOIFRKU-LULLPPNCSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCCCC(=O)N(C)CCN(C)Cc1cc(F)ccc1C ZINC001482621865 1087089432 /nfs/dbraw/zinc/08/94/32/1087089432.db2.gz CLSBOVTWRGNNAZ-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H](c1ccccc1)C(C)C ZINC001482641272 1087099245 /nfs/dbraw/zinc/09/92/45/1087099245.db2.gz OTBWECSHEZTEMQ-LJQANCHMSA-N 0 1 314.473 3.230 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC(C)(F)F)CC[C@@H]21 ZINC001482658334 1087104667 /nfs/dbraw/zinc/10/46/67/1087104667.db2.gz GQLXLVLMYAXODE-STQMWFEESA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CC1(c2ccccc2)CC1 ZINC001482929110 1087190304 /nfs/dbraw/zinc/19/03/04/1087190304.db2.gz SZWMHNWSBODUIT-UHFFFAOYSA-N 0 1 320.864 3.299 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C)nc1C1CC1 ZINC001482941581 1087193535 /nfs/dbraw/zinc/19/35/35/1087193535.db2.gz LXKQNCAZFKZEOG-UHFFFAOYSA-N 0 1 321.852 3.072 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc3ccsc31)C2 ZINC001098156262 1087216959 /nfs/dbraw/zinc/21/69/59/1087216959.db2.gz BHBRLPWZFSFNNC-OIISXLGYSA-N 0 1 324.449 3.260 20 30 DGEDMN CCC(=CC(=O)NC/C=C/CNCC#Cc1ccccc1)CC ZINC001482998958 1087228352 /nfs/dbraw/zinc/22/83/52/1087228352.db2.gz UNARQTMEXVAHLU-CMDGGOBGSA-N 0 1 310.441 3.047 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)[C@H]1C ZINC001088627930 1087240141 /nfs/dbraw/zinc/24/01/41/1087240141.db2.gz OOTVDEYNUYESQT-HIFRSBDPSA-N 0 1 306.475 3.325 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)[C@H]1C ZINC001088681377 1087253058 /nfs/dbraw/zinc/25/30/58/1087253058.db2.gz WSTHJTBBOLPMGW-RISCZKNCSA-N 0 1 310.800 3.166 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2c(F)cc(C)cc2F)[C@H]1C ZINC001088730713 1087326228 /nfs/dbraw/zinc/32/62/28/1087326228.db2.gz PYYIEFFIPYZJBW-DOMZBBRYSA-N 0 1 308.372 3.042 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@H]1C ZINC001088791940 1087356926 /nfs/dbraw/zinc/35/69/26/1087356926.db2.gz LGKHAQNXMQOOTR-PBHICJAKSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(Cl)oc3ccccc32)[C@H]1C ZINC001088809364 1087370141 /nfs/dbraw/zinc/37/01/41/1087370141.db2.gz PRAISPADYWJSJI-YPMHNXCESA-N 0 1 318.804 3.465 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1cccc(Cl)c1F ZINC001483157791 1087407524 /nfs/dbraw/zinc/40/75/24/1087407524.db2.gz ZSGPLYQVKFKILW-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(F)F)cc1F ZINC001483178538 1087412018 /nfs/dbraw/zinc/41/20/18/1087412018.db2.gz CRZKFVKMRLHVAX-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(F)c2occc21 ZINC001483195937 1087415690 /nfs/dbraw/zinc/41/56/90/1087415690.db2.gz GVVYCFGVBIWPRI-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(C)(F)F)cc1 ZINC001483202264 1087416954 /nfs/dbraw/zinc/41/69/54/1087416954.db2.gz NZDZZXJLPMSRLC-LLVKDONJSA-N 0 1 316.779 3.259 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001483220237 1087427192 /nfs/dbraw/zinc/42/71/92/1087427192.db2.gz AHCVSLFRFKVMSB-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001483307306 1087464448 /nfs/dbraw/zinc/46/44/48/1087464448.db2.gz HQMMUHYNJOIEJY-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001483352163 1087477774 /nfs/dbraw/zinc/47/77/74/1087477774.db2.gz OQAQUMHVVPCYHE-INIZCTEOSA-N 0 1 314.473 3.448 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)Cc2ccsc2)CC1 ZINC001483381356 1087486569 /nfs/dbraw/zinc/48/65/69/1087486569.db2.gz XBRDYYUUUPNXEL-AWEZNQCLSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CN(C)Cc2cccnc2C)CCC1 ZINC001483380082 1087486631 /nfs/dbraw/zinc/48/66/31/1087486631.db2.gz DFXUOBGNFIRXND-HNNXBMFYSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CCC)c1ccccc1 ZINC001483418143 1087496559 /nfs/dbraw/zinc/49/65/59/1087496559.db2.gz STGIPTGCIICSKY-AEFFLSMTSA-N 0 1 300.446 3.030 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@@H]1CNCc1ccon1 ZINC001483435941 1087502778 /nfs/dbraw/zinc/50/27/78/1087502778.db2.gz YTNUARURMPCFEZ-GDBMZVCRSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C)C(C)(C)C1(C)C)C1CC1 ZINC001483608895 1087534926 /nfs/dbraw/zinc/53/49/26/1087534926.db2.gz IUZMNHORDLFNBK-ZDUSSCGKSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(CC)CC)no2)[C@H]1C ZINC001088904471 1087601129 /nfs/dbraw/zinc/60/11/29/1087601129.db2.gz MYVQVLZWPPOHAE-HIFRSBDPSA-N 0 1 319.449 3.347 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCOC1CCCCCC1 ZINC001483652628 1087605350 /nfs/dbraw/zinc/60/53/50/1087605350.db2.gz HIRVQHGGSJGNCG-QGZVFWFLSA-N 0 1 322.493 3.273 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)[C@H]1C ZINC001088981218 1087614805 /nfs/dbraw/zinc/61/48/05/1087614805.db2.gz IKAIZZKTFOVBLO-IKGGRYGDSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc3c2CC[C@H](C)C3)[C@H]1C ZINC001089019972 1087622024 /nfs/dbraw/zinc/62/20/24/1087622024.db2.gz LRNZRKSNJODSMX-ZENOOKHLSA-N 0 1 318.486 3.252 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1[C@H](C)c1ccccc1C ZINC001483671339 1087624092 /nfs/dbraw/zinc/62/40/92/1087624092.db2.gz KLXBBMDQTXCZAX-HZPDHXFCSA-N 0 1 300.446 3.459 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2sc(CCC)nc2C)[C@H]1C ZINC001089036128 1087626055 /nfs/dbraw/zinc/62/60/55/1087626055.db2.gz PZBRIURYTKTFLY-KGLIPLIRSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sc(CCC)nc2C)[C@H]1C ZINC001089036128 1087626056 /nfs/dbraw/zinc/62/60/56/1087626056.db2.gz PZBRIURYTKTFLY-KGLIPLIRSA-N 0 1 321.490 3.173 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2ccccc2C)[C@H]1C ZINC001089077869 1087632650 /nfs/dbraw/zinc/63/26/50/1087632650.db2.gz AOQDRMYYHVQMOG-WBVHZDCISA-N 0 1 300.446 3.038 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)[C@H]1C ZINC001089083938 1087633288 /nfs/dbraw/zinc/63/32/88/1087633288.db2.gz KJQFAXKNXUWDDQ-IKGGRYGDSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001483683047 1087647751 /nfs/dbraw/zinc/64/77/51/1087647751.db2.gz GKTMGTYEFVDFAA-CQSZACIVSA-N 0 1 306.475 3.083 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@H]1CNC(=O)c1sc(CC)nc1C ZINC001483684470 1087650076 /nfs/dbraw/zinc/65/00/76/1087650076.db2.gz GWUVJFYRDSUQDC-OCCSQVGLSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H]1CCN1Cc1ccccc1)c1ccccc1 ZINC001483707276 1087683416 /nfs/dbraw/zinc/68/34/16/1087683416.db2.gz CSRGKLFGMOTFRN-PMACEKPBSA-N 0 1 320.436 3.347 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1ccc(C)cc1F)CC2 ZINC001272394980 1087689776 /nfs/dbraw/zinc/68/97/76/1087689776.db2.gz MPATXWDRGDOHTM-MRXNPFEDSA-N 0 1 316.420 3.038 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cnc(-c3ccccn3)s2)c1 ZINC000176395124 1087722600 /nfs/dbraw/zinc/72/26/00/1087722600.db2.gz FHWPRMRXWOMFQV-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@@H]2c2cccc(F)c2F)c1 ZINC000176402843 1087725836 /nfs/dbraw/zinc/72/58/36/1087725836.db2.gz KRVAJECWELKVGN-VXGBXAGGSA-N 0 1 314.291 3.284 20 30 DGEDMN CCC(=O)N[C@H]1CCN(Cc2ccccc2C#N)CC(C)(C)C1 ZINC001089285883 1087734699 /nfs/dbraw/zinc/73/46/99/1087734699.db2.gz SCJBENMVSKNHHT-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2cc(C#N)cs2)cc1 ZINC000176460246 1087809493 /nfs/dbraw/zinc/80/94/93/1087809493.db2.gz HFXFDVZYOAIKFV-AWEZNQCLSA-N 0 1 323.377 3.143 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccccc1CC ZINC001158411309 1087825728 /nfs/dbraw/zinc/82/57/28/1087825728.db2.gz DUPZEYROERZJIC-GOSISDBHSA-N 0 1 312.457 3.199 20 30 DGEDMN Cc1cccc2nc(C(=O)Nc3cc(F)c(C#N)cc3C)[nH]c21 ZINC001151992439 1087862563 /nfs/dbraw/zinc/86/25/63/1087862563.db2.gz MZWODDQIWBYGDZ-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](CN[C@H](C)c2ncc(C)o2)[C@H](C)C1 ZINC001106194620 1087876460 /nfs/dbraw/zinc/87/64/60/1087876460.db2.gz UXYNWVYWAHHRPC-FVQBIDKESA-N 0 1 319.449 3.084 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(Cl)c(F)c1 ZINC001158512099 1087887798 /nfs/dbraw/zinc/88/77/98/1087887798.db2.gz CHWPBTDREWTNLS-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001158529875 1087897893 /nfs/dbraw/zinc/89/78/93/1087897893.db2.gz RIUJIKRNVIDDBL-SQNIBIBYSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001158578804 1087921256 /nfs/dbraw/zinc/92/12/56/1087921256.db2.gz ZBKLKFFFXJCNOU-HSALFYBXSA-N 0 1 324.468 3.045 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1oc(CCC)nc1C ZINC001152352894 1087955931 /nfs/dbraw/zinc/95/59/31/1087955931.db2.gz OGAZPSMSLSTNJK-CQSZACIVSA-N 0 1 321.465 3.228 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(Cl)c1 ZINC001158773437 1088022306 /nfs/dbraw/zinc/02/23/06/1088022306.db2.gz LMPPTRUFDWWCLX-QGZVFWFLSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C1CC=C(F)C=C1NC(=O)c1cc(=O)c2cccc(O)c2[nH]1 ZINC001152681228 1088043427 /nfs/dbraw/zinc/04/34/27/1088043427.db2.gz IAUFVTMYNOJBHI-UHFFFAOYSA-N 0 1 312.300 3.073 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C1=CCCC1 ZINC001152718024 1088058766 /nfs/dbraw/zinc/05/87/66/1088058766.db2.gz DRDWSMGLOZWUQH-CYBMUJFWSA-N 0 1 317.458 3.057 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001152747285 1088067184 /nfs/dbraw/zinc/06/71/84/1088067184.db2.gz KVVRFJPNWCJDED-HNNXBMFYSA-N 0 1 312.816 3.024 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1CC(c2ccccc2)C1 ZINC001158949525 1088112418 /nfs/dbraw/zinc/11/24/18/1088112418.db2.gz OKUNQMDOPHLFHB-SOAGJPPSSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCOCc1nn([C@H](C)Cc2cccc(F)c2)c2c1CNC2 ZINC001168487186 1088120322 /nfs/dbraw/zinc/12/03/22/1088120322.db2.gz OEFVCFQNESKMNZ-CYBMUJFWSA-N 0 1 315.392 3.132 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001152999854 1088133792 /nfs/dbraw/zinc/13/37/92/1088133792.db2.gz CRBYSWGHJWYDRL-QZTJIDSGSA-N 0 1 314.473 3.301 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccc4c(C#N)c[nH]c4c3)c2[nH]1 ZINC001153608030 1088301594 /nfs/dbraw/zinc/30/15/94/1088301594.db2.gz GAAXFSGJYSNDHR-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H]3CCc4c3cccc4C#N)c2[nH]1 ZINC001153615599 1088307504 /nfs/dbraw/zinc/30/75/04/1088307504.db2.gz KGCRTDOLEZFMAG-MRXNPFEDSA-N 0 1 316.364 3.160 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1coc2c1cccc2C ZINC001153844108 1088385440 /nfs/dbraw/zinc/38/54/40/1088385440.db2.gz SFYJFEFYPIDYQU-OAHLLOKOSA-N 0 1 312.413 3.205 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@]23CCN(C2CCCC2)C3=O)c1 ZINC001272647066 1088423072 /nfs/dbraw/zinc/42/30/72/1088423072.db2.gz ZAQFZXKHHUSKAU-HXUWFJFHSA-N 0 1 323.440 3.068 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1cccc(F)c1 ZINC001272653932 1088457558 /nfs/dbraw/zinc/45/75/58/1088457558.db2.gz RPVKZMUBAUXNIM-IBGZPJMESA-N 0 1 316.420 3.359 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C=C2CCC2)CC(C)(C)C1 ZINC001089357536 1088472427 /nfs/dbraw/zinc/47/24/27/1088472427.db2.gz MEKXIYHESNKSPA-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3ccc(C)cc3[nH]2)CC1 ZINC001483756258 1088513570 /nfs/dbraw/zinc/51/35/70/1088513570.db2.gz SUYDZPVMGJOOGD-UHFFFAOYSA-N 0 1 317.820 3.081 20 30 DGEDMN Cc1nc2c(nc(Cl)nc2N[C@@H]2CCc3c2cccc3C#N)[nH]1 ZINC001159914872 1088546073 /nfs/dbraw/zinc/54/60/73/1088546073.db2.gz YHORCBYSOJQEGB-GFCCVEGCSA-N 0 1 324.775 3.286 20 30 DGEDMN N#CC1(NC(=O)c2cccc3nc(-c4ccccc4)[nH]c32)CCC1 ZINC001154483800 1088625453 /nfs/dbraw/zinc/62/54/53/1088625453.db2.gz LAHOYHVKBDJYMV-UHFFFAOYSA-N 0 1 316.364 3.406 20 30 DGEDMN CCc1nccnc1NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001160100707 1088640122 /nfs/dbraw/zinc/64/01/22/1088640122.db2.gz PWSKGTQSAGKOSI-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN Cc1ccc2ncc(O)c(C(=O)Nc3ccc(C#N)cc3O)c2c1 ZINC001154532258 1088645610 /nfs/dbraw/zinc/64/56/10/1088645610.db2.gz KYCIWQVZJDJHGH-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)CC(C)(C)C)CC1 ZINC001483769794 1088704322 /nfs/dbraw/zinc/70/43/22/1088704322.db2.gz QHHKEEHMSVHHKN-GFCCVEGCSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001154743188 1088736182 /nfs/dbraw/zinc/73/61/82/1088736182.db2.gz VPDYBPRVURZJSR-IAGOWNOFSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001154742889 1088738347 /nfs/dbraw/zinc/73/83/47/1088738347.db2.gz NVPVAEPQQPUQHT-QWHCGFSZSA-N 0 1 308.388 3.368 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001154751431 1088743244 /nfs/dbraw/zinc/74/32/44/1088743244.db2.gz WQLFGQUVFHBQIN-SJORKVTESA-N 0 1 317.477 3.319 20 30 DGEDMN C#CCC1(C(=O)NCCC2=CCN(C[C@@H](F)CC)CC2)CCC1 ZINC001160315190 1088752616 /nfs/dbraw/zinc/75/26/16/1088752616.db2.gz WIGYOEYOTDAUDR-KRWDZBQOSA-N 0 1 320.452 3.067 20 30 DGEDMN COc1cc2[nH]ncc2cc1C(=O)Nc1sc(C)cc1C#N ZINC001154787092 1088756087 /nfs/dbraw/zinc/75/60/87/1088756087.db2.gz SENHDRIQSKZYGA-UHFFFAOYSA-N 0 1 312.354 3.065 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(F)c1 ZINC001089601898 1088797393 /nfs/dbraw/zinc/79/73/93/1088797393.db2.gz RNHXBHMOROQBQI-MRXNPFEDSA-N 0 1 304.409 3.232 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1F ZINC001089600778 1088799169 /nfs/dbraw/zinc/79/91/69/1088799169.db2.gz GUJJAJXRENUBDP-OAHLLOKOSA-N 0 1 316.420 3.069 20 30 DGEDMN C#CCC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)oc1C ZINC001089605061 1088807672 /nfs/dbraw/zinc/80/76/72/1088807672.db2.gz KSSJJWYQYRJQQA-INIZCTEOSA-N 0 1 316.445 3.140 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)noc1C ZINC001089608423 1088814619 /nfs/dbraw/zinc/81/46/19/1088814619.db2.gz ZKYJQBGPTBMMII-OAHLLOKOSA-N 0 1 319.449 3.088 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1cccc(Cl)n1 ZINC001154958008 1088852047 /nfs/dbraw/zinc/85/20/47/1088852047.db2.gz VOLOOKFZWHAOTH-CQSZACIVSA-N 0 1 323.868 3.418 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)c2nc3ccc(OC)cc3[nH]2)CC1 ZINC001155009079 1088893544 /nfs/dbraw/zinc/89/35/44/1088893544.db2.gz LBGPLYDTAPTHOJ-GFCCVEGCSA-N 0 1 313.401 3.495 20 30 DGEDMN C=C1CCC(F)=CC1=NC(F)(F)c1cc(OC)nc(Cl)n1 ZINC001160720395 1088933173 /nfs/dbraw/zinc/93/31/73/1088933173.db2.gz OTLIWHONXYSUHU-UHFFFAOYSA-N 0 1 317.698 3.475 20 30 DGEDMN C=CCOCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC/C=C\CCC ZINC001483822899 1088938194 /nfs/dbraw/zinc/93/81/94/1088938194.db2.gz PQIHSOATXWSOEE-QHHIFSJYSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001483840227 1088954794 /nfs/dbraw/zinc/95/47/94/1088954794.db2.gz ASUNTEYNOUMAQT-ZGRWHYIRSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001483934820 1089017444 /nfs/dbraw/zinc/01/74/44/1089017444.db2.gz QNWVVQFCASJJBS-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCCc1ccccc1 ZINC001483943806 1089027839 /nfs/dbraw/zinc/02/78/39/1089027839.db2.gz XTZWKJKRPSEKNJ-QGZVFWFLSA-N 0 1 320.864 3.342 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(CC)o1 ZINC001089659365 1089037700 /nfs/dbraw/zinc/03/77/00/1089037700.db2.gz YRDMFDFLBLDTFM-CQSZACIVSA-N 0 1 304.434 3.248 20 30 DGEDMN CC1(NC(=O)CCC2CC2)CCN(CC#Cc2ccccc2)CC1 ZINC001483963518 1089055884 /nfs/dbraw/zinc/05/58/84/1089055884.db2.gz FXURRVRXIIXZMD-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1c[nH]c2cccc(C(F)(F)F)c21 ZINC001155308020 1089061611 /nfs/dbraw/zinc/06/16/11/1089061611.db2.gz KRRGQXDRWCXBHH-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cc(Cl)cn1C ZINC001089668156 1089075425 /nfs/dbraw/zinc/07/54/25/1089075425.db2.gz RHMVVZLIYSEFRZ-AWEZNQCLSA-N 0 1 323.868 3.085 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(CF)CCC1)C1CCCC1 ZINC001484010233 1089092754 /nfs/dbraw/zinc/09/27/54/1089092754.db2.gz WCQCXNPDQQMOIX-CQSZACIVSA-N 0 1 316.848 3.143 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(CF)CCC1)C1CCCC1 ZINC001484010227 1089093289 /nfs/dbraw/zinc/09/32/89/1089093289.db2.gz WCQCXNPDQQMOIX-AWEZNQCLSA-N 0 1 316.848 3.143 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001089672647 1089098824 /nfs/dbraw/zinc/09/88/24/1089098824.db2.gz OCHWPGHQOQTGSA-XWTMOSNGSA-N 0 1 316.489 3.053 20 30 DGEDMN Cc1cc(C#N)c(NC2CCN(Cc3ccncc3)CC2)nc1C ZINC001161101087 1089114479 /nfs/dbraw/zinc/11/44/79/1089114479.db2.gz JNEKPIWRLSLUGE-UHFFFAOYSA-N 0 1 321.428 3.042 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001155489520 1089133848 /nfs/dbraw/zinc/13/38/48/1089133848.db2.gz CWLDPGGXHFLSEQ-GFCCVEGCSA-N 0 1 308.388 3.368 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001089680497 1089136354 /nfs/dbraw/zinc/13/63/54/1089136354.db2.gz NMLIZXOOZJMJBI-QGZVFWFLSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CC2(CCC2)C1 ZINC001089680496 1089137467 /nfs/dbraw/zinc/13/74/67/1089137467.db2.gz NMLIZXOOZJMJBI-KRWDZBQOSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCCCC(=O)N[C@]1(C)CCN(Cc2ccccc2F)C1 ZINC001484058820 1089140147 /nfs/dbraw/zinc/14/01/47/1089140147.db2.gz XKUIKFYRGKIVFW-LJQANCHMSA-N 0 1 316.420 3.100 20 30 DGEDMN N#Cc1cccnc1N[C@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC001161181661 1089143011 /nfs/dbraw/zinc/14/30/11/1089143011.db2.gz AXLQQRYHBRAGES-INIZCTEOSA-N 0 1 312.804 3.293 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccccc2C2(C)CC2)CC1 ZINC001161195162 1089150920 /nfs/dbraw/zinc/15/09/20/1089150920.db2.gz RMLKSMQXFTXPAG-UHFFFAOYSA-N 0 1 322.452 3.123 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCC1(C)C ZINC001089685644 1089163012 /nfs/dbraw/zinc/16/30/12/1089163012.db2.gz FXIFGZXJQWVHEK-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC[N@@H+]1CC=C(CCNC(=O)c2ccc(C)cc2F)CC1 ZINC001161314324 1089186714 /nfs/dbraw/zinc/18/67/14/1089186714.db2.gz VMFKAXDMOYCLFJ-UHFFFAOYSA-N 0 1 302.393 3.072 20 30 DGEDMN Cc1c(Cl)c(Cl)nc(N[C@H]2CN3CCC2CC3)c1C#N ZINC001155662929 1089203216 /nfs/dbraw/zinc/20/32/16/1089203216.db2.gz SDENWWTWQHLFAA-NSHDSACASA-N 0 1 311.216 3.075 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001089699472 1089231374 /nfs/dbraw/zinc/23/13/74/1089231374.db2.gz MPFHLBIJOVTFSV-FGTMMUONSA-N 0 1 318.505 3.299 20 30 DGEDMN CC(=O)C=C(C)Nc1ncnc2c1ccn2Cc1ccccc1 ZINC001155890681 1089289188 /nfs/dbraw/zinc/28/91/88/1089289188.db2.gz XRKCWFFTVIVQHB-RAXLEYEMSA-N 0 1 306.369 3.384 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)c1n[nH]c2ccccc21 ZINC001161580411 1089297577 /nfs/dbraw/zinc/29/75/77/1089297577.db2.gz LOCLBTWTTYFSEI-HNNXBMFYSA-N 0 1 305.381 3.480 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)cc(C)c1 ZINC001089726524 1089305917 /nfs/dbraw/zinc/30/59/17/1089305917.db2.gz MZORNJFNHUJGDM-GOSISDBHSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(Cl)c1 ZINC001089727209 1089308353 /nfs/dbraw/zinc/30/83/53/1089308353.db2.gz VRVCEERHBFCHJX-INIZCTEOSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C12CCC(CC1)CC2 ZINC001089733916 1089310496 /nfs/dbraw/zinc/31/04/96/1089310496.db2.gz CHFLJEDYNZTPMG-OHTSDLOESA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)nc1C ZINC001089735119 1089316019 /nfs/dbraw/zinc/31/60/19/1089316019.db2.gz FXSBDYCDEWXHFT-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1coc2ccccc12 ZINC001089737665 1089321142 /nfs/dbraw/zinc/32/11/42/1089321142.db2.gz ZIDPTZGLJPZDJT-HNNXBMFYSA-N 0 1 324.424 3.286 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)Cc2ccccc2)CC1 ZINC001161656713 1089333353 /nfs/dbraw/zinc/33/33/53/1089333353.db2.gz XGDZEJMSJHOADD-UHFFFAOYSA-N 0 1 324.468 3.027 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](F)CC2CCCCC2)CC1 ZINC001161704740 1089361271 /nfs/dbraw/zinc/36/12/71/1089361271.db2.gz HHLJLYSXSSZADJ-GOSISDBHSA-N 0 1 320.452 3.067 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCC2CCCCC2)CC1 ZINC001161814213 1089419295 /nfs/dbraw/zinc/41/92/95/1089419295.db2.gz YRSZHOFUZLXFCK-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C[C@@]1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC=CCC1 ZINC001161914474 1089467431 /nfs/dbraw/zinc/46/74/31/1089467431.db2.gz UCQHGAPVXAFGLI-QGZVFWFLSA-N 0 1 307.357 3.028 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1=CCCCCC1 ZINC001484555351 1089496550 /nfs/dbraw/zinc/49/65/50/1089496550.db2.gz LFDSQVYCVFYMMS-UHFFFAOYSA-N 0 1 310.441 3.258 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)c2ccccc2C)CC1 ZINC001162006250 1089520835 /nfs/dbraw/zinc/52/08/35/1089520835.db2.gz CFFJHRIHFFAPBV-UHFFFAOYSA-N 0 1 324.468 3.044 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2oc(C(C)C)nc2C)CCC1 ZINC001484626376 1089551763 /nfs/dbraw/zinc/55/17/63/1089551763.db2.gz LUYFNWPSPDVEDE-UHFFFAOYSA-N 0 1 319.449 3.201 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@@H]2C2CCCC2)CCC1 ZINC001484655635 1089574175 /nfs/dbraw/zinc/57/41/75/1089574175.db2.gz GAJLIVXKDUVAIF-HUUCEWRRSA-N 0 1 310.869 3.194 20 30 DGEDMN CN1CCC(C#N)(Nc2nccc3cc(Cl)ccc32)CC1 ZINC001162227088 1089619112 /nfs/dbraw/zinc/61/91/12/1089619112.db2.gz JVZBUKIGRDCHAO-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccco2)CC1 ZINC001484727090 1089620159 /nfs/dbraw/zinc/62/01/59/1089620159.db2.gz YIZQSPHZFOCLLK-ZFWWWQNUSA-N 0 1 324.852 3.181 20 30 DGEDMN Cc1c(Cl)nc(C2CCC2)nc1NC1(C#N)CCN(C)CC1 ZINC001162231459 1089622206 /nfs/dbraw/zinc/62/22/06/1089622206.db2.gz SXLKCMNOFMJXMJ-UHFFFAOYSA-N 0 1 319.840 3.106 20 30 DGEDMN CC[C@H](C)C(=O)N(C)CCCN(C)Cc1cc(C#N)ccc1F ZINC001484733830 1089643897 /nfs/dbraw/zinc/64/38/97/1089643897.db2.gz QPCZNNMZCWHJBD-AWEZNQCLSA-N 0 1 319.424 3.024 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)C(CC)CC)O2 ZINC001484783851 1089673427 /nfs/dbraw/zinc/67/34/27/1089673427.db2.gz XAUDKSDVSSCRTH-RDJZCZTQSA-N 0 1 322.493 3.127 20 30 DGEDMN CCOC(=O)c1cc2ccc(NCc3ccccc3C#N)nc2[nH]1 ZINC001156787044 1089694741 /nfs/dbraw/zinc/69/47/41/1089694741.db2.gz UDBNKKLNDBNAQM-UHFFFAOYSA-N 0 1 320.352 3.223 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCn3cccc3)cc2C1 ZINC001484875634 1089719436 /nfs/dbraw/zinc/71/94/36/1089719436.db2.gz LZVWVJLZJGGIEH-UHFFFAOYSA-N 0 1 323.440 3.086 20 30 DGEDMN C#CCN(C(=O)[C@H]1CC[N@@H+]1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC001156939350 1089758130 /nfs/dbraw/zinc/75/81/30/1089758130.db2.gz ZHQLMVPSOOYRCY-RBUKOAKNSA-N 0 1 324.468 3.399 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCN1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC001156939350 1089758141 /nfs/dbraw/zinc/75/81/41/1089758141.db2.gz ZHQLMVPSOOYRCY-RBUKOAKNSA-N 0 1 324.468 3.399 20 30 DGEDMN C#CCN(C(=O)[C@H]1CC[N@@H+]1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC001156939351 1089758564 /nfs/dbraw/zinc/75/85/64/1089758564.db2.gz ZHQLMVPSOOYRCY-RTBURBONSA-N 0 1 324.468 3.399 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCN1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC001156939351 1089758573 /nfs/dbraw/zinc/75/85/73/1089758573.db2.gz ZHQLMVPSOOYRCY-RTBURBONSA-N 0 1 324.468 3.399 20 30 DGEDMN CC[C@@H](F)CN(CC)[C@H](C)CNC(=O)CC#Cc1ccccc1 ZINC001157075018 1089813767 /nfs/dbraw/zinc/81/37/67/1089813767.db2.gz OBKKROOCBMBHRM-SJLPKXTDSA-N 0 1 318.436 3.003 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)[C@H]1C[C@H]1C ZINC001162681555 1089881207 /nfs/dbraw/zinc/88/12/07/1089881207.db2.gz BHSDEGHPGSBFIE-PBHICJAKSA-N 0 1 320.864 3.056 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)C1CCCC1 ZINC001485061758 1089914921 /nfs/dbraw/zinc/91/49/21/1089914921.db2.gz WYAJDDVRCSQEAA-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2ncccc2c1 ZINC001485187893 1090017778 /nfs/dbraw/zinc/01/77/78/1090017778.db2.gz LLQKIKPFGCPNBN-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@@H](C)NC(=O)CCC1(C)CC1 ZINC001485206066 1090030889 /nfs/dbraw/zinc/03/08/89/1090030889.db2.gz GYSFLCDHYINTBD-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(c2ccccc2F)CC1 ZINC001485208060 1090031262 /nfs/dbraw/zinc/03/12/62/1090031262.db2.gz OHCWAZWBQANGFR-OLZOCXBDSA-N 0 1 324.827 3.093 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1cncc(C)c1 ZINC001157706201 1090081882 /nfs/dbraw/zinc/08/18/82/1090081882.db2.gz VZNFRSROMUBRJN-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001485296728 1090089061 /nfs/dbraw/zinc/08/90/61/1090089061.db2.gz DNABPASOUIGSGB-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001485341788 1090114923 /nfs/dbraw/zinc/11/49/23/1090114923.db2.gz NTCNVDACVBYFFZ-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN N#Cc1cnc(N[C@H]2CNCc3ccsc32)c2sccc21 ZINC001163227127 1090125173 /nfs/dbraw/zinc/12/51/73/1090125173.db2.gz PSLOXFXQWUXOAO-LBPRGKRZSA-N 0 1 312.423 3.486 20 30 DGEDMN N#Cc1cnc(N[C@@H]2CNCc3ccsc32)c2sccc21 ZINC001163227126 1090125333 /nfs/dbraw/zinc/12/53/33/1090125333.db2.gz PSLOXFXQWUXOAO-GFCCVEGCSA-N 0 1 312.423 3.486 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC1(c2ccccc2)CC1 ZINC001158049886 1090178408 /nfs/dbraw/zinc/17/84/08/1090178408.db2.gz ABYNEWPWODUFKY-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1coc2c1cccc2C ZINC001158072176 1090182011 /nfs/dbraw/zinc/18/20/11/1090182011.db2.gz SPWNHBVERKVOEF-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)C(CC)CC ZINC001158165484 1090195194 /nfs/dbraw/zinc/19/51/94/1090195194.db2.gz ZOIPDKQPSYYOOA-SJLPKXTDSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)c(F)c1 ZINC001158194208 1090199276 /nfs/dbraw/zinc/19/92/76/1090199276.db2.gz APLKRMVKIJFEGP-QGZVFWFLSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(Cc2cncc(C)c2)CC1(C)C ZINC001485576039 1090220227 /nfs/dbraw/zinc/22/02/27/1090220227.db2.gz BAEZWLQOMISQQU-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN Cc1cc(NC(=N)c2ccc(C(F)(F)F)cc2)nc2[nH]cnc21 ZINC001163680726 1090231283 /nfs/dbraw/zinc/23/12/83/1090231283.db2.gz WZJXCVIIXLEODA-UHFFFAOYSA-N 0 1 319.290 3.322 20 30 DGEDMN N#CCc1cc(NC(=N)c2ccc(C(F)(F)F)cc2)ccn1 ZINC001163684446 1090233102 /nfs/dbraw/zinc/23/31/02/1090233102.db2.gz XSQPDFNAOZQAIE-UHFFFAOYSA-N 0 1 304.275 3.026 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](CNCc2ncc(C(C)C)o2)C1 ZINC001485684767 1090240734 /nfs/dbraw/zinc/24/07/34/1090240734.db2.gz SBAKAAHEJBCUFP-SHTZXODSSA-N 0 1 319.449 3.139 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(C(=O)N(C)c2ccccc2)ccn1 ZINC001163736846 1090245847 /nfs/dbraw/zinc/24/58/47/1090245847.db2.gz HNPTYHLVBITHID-QBFSEMIESA-N 0 1 309.369 3.263 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc(C(=O)N2CCc3ccccc32)cn1 ZINC001163737147 1090246249 /nfs/dbraw/zinc/24/62/49/1090246249.db2.gz OXDRYUZHSOFXAZ-QBFSEMIESA-N 0 1 321.380 3.189 20 30 DGEDMN C=CCCCCCCCN1CC2(C1)COCC(=O)N2[C@@H](C)CC ZINC001272707386 1090310252 /nfs/dbraw/zinc/31/02/52/1090310252.db2.gz RODOWCINJKJZOM-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN CC(C)N(CCC[NH3+])c1cc(/C=N/[O-])cc(C(F)(F)F)c1 ZINC001164126769 1090350178 /nfs/dbraw/zinc/35/01/78/1090350178.db2.gz JCDHXPWJGGUPIG-DJKKODMXSA-N 0 1 303.328 3.077 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164222985 1090377612 /nfs/dbraw/zinc/37/76/12/1090377612.db2.gz PIJBDIVMOHJNQW-STQMWFEESA-N 0 1 321.490 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1csc(CCC)n1 ZINC001164323785 1090400554 /nfs/dbraw/zinc/40/05/54/1090400554.db2.gz KZMXRTUZFGYXCJ-AWEZNQCLSA-N 0 1 323.506 3.294 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C=C(\C)CC)CCC[C@@H]12 ZINC001107394259 1090431687 /nfs/dbraw/zinc/43/16/87/1090431687.db2.gz VPIRRTFMPSUCPO-YGDNYVJYSA-N 0 1 310.869 3.456 20 30 DGEDMN Cc1nc(N2CCN(C(C)C)[C@H](C)C2)c(C#N)c(C)c1Cl ZINC001165198762 1090477751 /nfs/dbraw/zinc/47/77/51/1090477751.db2.gz GGROQAYICJMUMV-LLVKDONJSA-N 0 1 306.841 3.142 20 30 DGEDMN Cc1cnc(N2C[C@@H](C)N(Cc3ccccc3)C[C@@H]2C)c(C#N)c1 ZINC001165201097 1090478897 /nfs/dbraw/zinc/47/88/97/1090478897.db2.gz JJOZSFPUKRVGRA-SJORKVTESA-N 0 1 320.440 3.361 20 30 DGEDMN Cc1ccc(C#N)c(N2C[C@H](C)N(Cc3ccccc3)C[C@@H]2C)n1 ZINC001165201451 1090478993 /nfs/dbraw/zinc/47/89/93/1090478993.db2.gz MSEXDXRQUUYLTJ-IRXDYDNUSA-N 0 1 320.440 3.361 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C=C(C)C)C1 ZINC001107711687 1090491236 /nfs/dbraw/zinc/49/12/36/1090491236.db2.gz KFFMLLLDQPADKY-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cscn2)CCCCC1 ZINC001115701648 1090499170 /nfs/dbraw/zinc/49/91/70/1090499170.db2.gz YTOKXYRIRCVKQL-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CCCC(C)(C)C)C1 ZINC001107810798 1090507223 /nfs/dbraw/zinc/50/72/23/1090507223.db2.gz HFYWLGFORYSBGR-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCC(=O)NCCCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001165531740 1090528260 /nfs/dbraw/zinc/52/82/60/1090528260.db2.gz WRIFKFNJFNWUSV-CQSZACIVSA-N 0 1 321.465 3.485 20 30 DGEDMN C=C(CC)CSc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC001165903177 1090559850 /nfs/dbraw/zinc/55/98/50/1090559850.db2.gz XMJITJHRUBNCKQ-UHFFFAOYSA-N 0 1 303.387 3.301 20 30 DGEDMN C=CCC1(C(=O)NCCCNC/C(Cl)=C\Cl)CCC1 ZINC001165997721 1090568421 /nfs/dbraw/zinc/56/84/21/1090568421.db2.gz APFFOOIXHABGOM-ZRDIBKRKSA-N 0 1 305.249 3.148 20 30 DGEDMN CC(CC(=O)OC(C)C)=Nc1ncc(C#N)c2ccsc21 ZINC001166748376 1090651192 /nfs/dbraw/zinc/65/11/92/1090651192.db2.gz VETOFBVSTTVPPH-POHAHGRESA-N 0 1 301.371 3.435 20 30 DGEDMN COc1ccnc(N2CCC(Cc3ccc(C#N)cc3)CC2)c1 ZINC001166766155 1090653852 /nfs/dbraw/zinc/65/38/52/1090653852.db2.gz YKUJMYNHWZTSRS-UHFFFAOYSA-N 0 1 307.397 3.421 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@@H]3[C@H]2CCC(=O)N3C2CCCC2)cc1 ZINC001272907573 1090676840 /nfs/dbraw/zinc/67/68/40/1090676840.db2.gz IAQUFFZZBNZOFP-RTBURBONSA-N 0 1 323.440 3.066 20 30 DGEDMN C#CC[C@@H](COC)NCc1cc2cccc(C)c2nc1Cl ZINC001203293924 1090714838 /nfs/dbraw/zinc/71/48/38/1090714838.db2.gz DOQUEFLMLPAIGY-HNNXBMFYSA-N 0 1 302.805 3.325 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1c(C)noc1CC ZINC001485909341 1090723486 /nfs/dbraw/zinc/72/34/86/1090723486.db2.gz KZDDILDBXUUQPF-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN Cc1ccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c(C)n1 ZINC001203374730 1090727962 /nfs/dbraw/zinc/72/79/62/1090727962.db2.gz MWQNXYYVKQTDRD-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccn1)c1cccc(OC(F)F)c1 ZINC001168685391 1090740551 /nfs/dbraw/zinc/74/05/51/1090740551.db2.gz JLUXRSNMPDGRAS-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN COc1ccc2c(c1)nccc2-n1nc(N)c2c1cccc2C#N ZINC001203522994 1090748100 /nfs/dbraw/zinc/74/81/00/1090748100.db2.gz OWLFZXIEJGUGCO-UHFFFAOYSA-N 0 1 315.336 3.036 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C[C@H]1C ZINC001486109251 1090751715 /nfs/dbraw/zinc/75/17/15/1090751715.db2.gz WMLKEIYDKOPHCO-OLZOCXBDSA-N 0 1 308.372 3.010 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCc2ccccc2)[C@H]1C ZINC001486181915 1090779666 /nfs/dbraw/zinc/77/96/66/1090779666.db2.gz VZVPHQGIFPDJGX-MJGOQNOKSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1cccnc1C)C(C)C ZINC001486365089 1090807559 /nfs/dbraw/zinc/80/75/59/1090807559.db2.gz OVIPCVXJZWHZCM-UHFFFAOYSA-N 0 1 303.450 3.025 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1ccc(F)cc1)C(C)C ZINC001486365254 1090807666 /nfs/dbraw/zinc/80/76/66/1090807666.db2.gz RMDCECUWFVWXQT-UHFFFAOYSA-N 0 1 306.425 3.461 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1ccccc1Cl)C(C)C ZINC001486379686 1090815374 /nfs/dbraw/zinc/81/53/74/1090815374.db2.gz OGKIPMQCRRAWKA-UHFFFAOYSA-N 0 1 320.864 3.075 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC001486516248 1090861390 /nfs/dbraw/zinc/86/13/90/1090861390.db2.gz DUYPCWSKQHMUJU-FQEVSTJZSA-N 0 1 324.468 3.027 20 30 DGEDMN COc1cccc2c(CNCC3(C#N)CCOCC3)cccc12 ZINC001204027653 1090875477 /nfs/dbraw/zinc/87/54/77/1090875477.db2.gz KWWZGXJICBLVPX-UHFFFAOYSA-N 0 1 310.397 3.258 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2c[nH]c3ccccc23)CC1 ZINC001204041458 1090879436 /nfs/dbraw/zinc/87/94/36/1090879436.db2.gz NJGIWDIZAGDKTQ-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN COc1cc(C#N)ccc1OC1CCN(Cc2ccccn2)CC1 ZINC001204060161 1090887282 /nfs/dbraw/zinc/88/72/82/1090887282.db2.gz VQMRMGNHWZFTSG-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cccc(F)c1Cl ZINC001128245656 1090923315 /nfs/dbraw/zinc/92/33/15/1090923315.db2.gz DYINTSKHHKMKMV-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001276459614 1090949093 /nfs/dbraw/zinc/94/90/93/1090949093.db2.gz BXXUYEDLNAWOIH-GJZGRUSLSA-N 0 1 318.436 3.017 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2C#N)CCC[C@]1(O)C(F)(F)F ZINC001204368307 1090957440 /nfs/dbraw/zinc/95/74/40/1090957440.db2.gz MZGVXBOBLQYVPB-SWLSCSKDSA-N 0 1 312.335 3.084 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2C#N)CCC[C@]1(O)C(F)(F)F ZINC001204368307 1090957442 /nfs/dbraw/zinc/95/74/42/1090957442.db2.gz MZGVXBOBLQYVPB-SWLSCSKDSA-N 0 1 312.335 3.084 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1ccccc1C#N ZINC001204373626 1090958675 /nfs/dbraw/zinc/95/86/75/1090958675.db2.gz YWXINQQCEDFRGV-INIZCTEOSA-N 0 1 300.402 3.254 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2cccc(F)c2C)C1=O ZINC001273005749 1090983772 /nfs/dbraw/zinc/98/37/72/1090983772.db2.gz MPPXAYURHRJSRR-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1cc(C)c(C)o1 ZINC001273014162 1090994624 /nfs/dbraw/zinc/99/46/24/1090994624.db2.gz LKDWLZDEFACEDQ-LJQANCHMSA-N 0 1 316.445 3.430 20 30 DGEDMN CN(Cc1cnn(C)c1)Cc1ccc(C#Cc2ccccc2)cc1 ZINC001138333946 1091143717 /nfs/dbraw/zinc/14/37/17/1091143717.db2.gz XUPAJBQLXPPJPT-UHFFFAOYSA-N 0 1 315.420 3.452 20 30 DGEDMN C#CCOc1ccc(CN2CC(c3ccccc3)C2)cc1OC ZINC001138348144 1091148881 /nfs/dbraw/zinc/14/88/81/1091148881.db2.gz GCERGHNCUANPCA-UHFFFAOYSA-N 0 1 307.393 3.307 20 30 DGEDMN CN1CCCc2cc(CN3CCc4cc(C#N)ccc4C3)ccc21 ZINC001138355010 1091149911 /nfs/dbraw/zinc/14/99/11/1091149911.db2.gz YKECDQCIUULIDD-UHFFFAOYSA-N 0 1 317.436 3.499 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108149386 1091154071 /nfs/dbraw/zinc/15/40/71/1091154071.db2.gz VCTFNWBSECBBJN-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149044 1091154209 /nfs/dbraw/zinc/15/42/09/1091154209.db2.gz QDNCFBKZIQGIPQ-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN N#Cc1ccc(CC2CC[NH+](Cc3ncccc3[O-])CC2)cc1 ZINC001138395147 1091164750 /nfs/dbraw/zinc/16/47/50/1091164750.db2.gz UUVIFRCGEPVPMF-UHFFFAOYSA-N 0 1 307.397 3.114 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C(CCC)CCC)C1 ZINC001108164030 1091186787 /nfs/dbraw/zinc/18/67/87/1091186787.db2.gz SFPREHRHOPNEJT-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCc1cccc(CN(C)Cc2cnc3ccccn23)c1O ZINC001138624316 1091261828 /nfs/dbraw/zinc/26/18/28/1091261828.db2.gz CZOLLMQNSOLMLM-UHFFFAOYSA-N 0 1 307.397 3.400 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(-c3ccc(F)cc3)cc2)CCC1=O ZINC001138682801 1091285321 /nfs/dbraw/zinc/28/53/21/1091285321.db2.gz BDIBDBQKODSZLB-QGZVFWFLSA-N 0 1 308.356 3.407 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1cc(C)ccc1F)C2 ZINC001205648639 1091296175 /nfs/dbraw/zinc/29/61/75/1091296175.db2.gz WIHKKFXNJZBYEK-IEBWSBKVSA-N 0 1 319.420 3.460 20 30 DGEDMN N#CCc1cc(-c2ccc(CCN3CCOCC3)cc2)ccc1F ZINC001205663628 1091304584 /nfs/dbraw/zinc/30/45/84/1091304584.db2.gz MFHFANWWPKKKJT-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cnn(Cc2ccccc2)c1 ZINC001138766547 1091323152 /nfs/dbraw/zinc/32/31/52/1091323152.db2.gz NXKZJFOGRQDHQF-LUPIEILUSA-N 0 1 306.413 3.198 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1cc2ccccc2[nH]1 ZINC001273181613 1091343543 /nfs/dbraw/zinc/34/35/43/1091343543.db2.gz GWAKUMLEZXCARY-HXUWFJFHSA-N 0 1 323.440 3.311 20 30 DGEDMN Cc1cnccc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001205862883 1091384816 /nfs/dbraw/zinc/38/48/16/1091384816.db2.gz QRGRDVOGRBRJQN-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN CCOc1cc(CN2CCC[C@@H](CC#N)C2)ccc1OC(C)=O ZINC001139004529 1091415197 /nfs/dbraw/zinc/41/51/97/1091415197.db2.gz YPRZDHKJPXVWCN-HNNXBMFYSA-N 0 1 316.401 3.136 20 30 DGEDMN N#C[C@H]1CN(Cc2cccc(-c3ccc(F)cc3)c2)CCC1=O ZINC001139066397 1091435665 /nfs/dbraw/zinc/43/56/65/1091435665.db2.gz FZFPPEFMFGWTKW-KRWDZBQOSA-N 0 1 308.356 3.407 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C)c(Cl)cc3C)[C@@H]2C1 ZINC001084571251 1091504227 /nfs/dbraw/zinc/50/42/27/1091504227.db2.gz NIEHOSJRFIINBQ-RHSMWYFYSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3ccccc3CC)[C@@H]2C1 ZINC001084615110 1091518639 /nfs/dbraw/zinc/51/86/39/1091518639.db2.gz QKKGSHQHVQSVOK-GSEOLPGOSA-N 0 1 324.468 3.071 20 30 DGEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1C[C@H](C)CC2)c1ccccc1 ZINC001295198883 1091525289 /nfs/dbraw/zinc/52/52/89/1091525289.db2.gz OFJQIHPEICUJLS-CJNGLKHVSA-N 0 1 307.397 3.029 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C(F)F)c(F)c3)[C@@H]2C1 ZINC001084658464 1091526353 /nfs/dbraw/zinc/52/63/53/1091526353.db2.gz LRYADHUGILNVSM-IUODEOHRSA-N 0 1 324.346 3.096 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(F)c4ccccc34)[C@@H]2C1 ZINC001084678584 1091531507 /nfs/dbraw/zinc/53/15/07/1091531507.db2.gz YOHAUJWNRJFSBK-AUUYWEPGSA-N 0 1 324.399 3.311 20 30 DGEDMN C#CC1CCN(Cc2cccn2Cc2cnc(Cl)s2)CC1 ZINC001139367977 1091535826 /nfs/dbraw/zinc/53/58/26/1091535826.db2.gz BABHMYFRNPXIFN-UHFFFAOYSA-N 0 1 319.861 3.492 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139366996 1091536432 /nfs/dbraw/zinc/53/64/32/1091536432.db2.gz FWLXGYIRIRRYMB-CYBMUJFWSA-N 0 1 319.861 3.492 20 30 DGEDMN COC(=O)c1[nH]c2ccccc2c1CN1CCC(CC#N)CC1 ZINC001139399057 1091545854 /nfs/dbraw/zinc/54/58/54/1091545854.db2.gz TXMLHAPDPOSKHO-UHFFFAOYSA-N 0 1 311.385 3.080 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3CCOC[C@H]3C3CC3)cc2)c1 ZINC001139406685 1091550039 /nfs/dbraw/zinc/55/00/39/1091550039.db2.gz ZZVGZNPZWCZGOU-FQEVSTJZSA-N 0 1 319.408 3.231 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc(-c4ccoc4)c3)[C@@H]2C1 ZINC001084762074 1091556083 /nfs/dbraw/zinc/55/60/83/1091556083.db2.gz KPXXWBGHORHVED-IEBWSBKVSA-N 0 1 322.408 3.279 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CCCC[C@H](O)C3)cn2)cc1 ZINC001139427154 1091556371 /nfs/dbraw/zinc/55/63/71/1091556371.db2.gz WQAXJRCUPBFFKL-KRWDZBQOSA-N 0 1 323.396 3.092 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H](F)CC3CCCCC3)[C@@H]2C1 ZINC001084777164 1091560114 /nfs/dbraw/zinc/56/01/14/1091560114.db2.gz LZKVHSVTPNKIQH-FGTMMUONSA-N 0 1 322.468 3.404 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(C)c(CC)s3)[C@@H]2C1 ZINC001084818173 1091579521 /nfs/dbraw/zinc/57/95/21/1091579521.db2.gz MXESSCWNYCXJHN-HUUCEWRRSA-N 0 1 318.486 3.341 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCc3ccc(Cl)s3)[C@@H]2C1 ZINC001084828272 1091582968 /nfs/dbraw/zinc/58/29/68/1091582968.db2.gz XGWLZYFWBJRTHZ-TZMCWYRMSA-N 0 1 324.877 3.053 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CCC(C)=C(C)C3)[C@@H]2C1 ZINC001084860239 1091597698 /nfs/dbraw/zinc/59/76/98/1091597698.db2.gz HEMYUBRYFUPFQW-ZHALLVOQSA-N 0 1 302.462 3.232 20 30 DGEDMN CC[C@@H](C)C(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001084892203 1091608563 /nfs/dbraw/zinc/60/85/63/1091608563.db2.gz QUFMBXXEJTYUFB-RLLQIKCJSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001085005142 1091634166 /nfs/dbraw/zinc/63/41/66/1091634166.db2.gz JAYGVWALMIDLQZ-PNPSXAPQSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1cccc(C)c1F ZINC001273253171 1091638142 /nfs/dbraw/zinc/63/81/42/1091638142.db2.gz HFKDBIGGFWXADR-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)c2ccc(F)cc2)C[C@H]1C ZINC001206509665 1091654185 /nfs/dbraw/zinc/65/41/85/1091654185.db2.gz RGMYLTZNUIPKAJ-JKIFEVAISA-N 0 1 304.409 3.289 20 30 DGEDMN Cc1ccc(CN2CCN(CCc3ccccc3)CC2)cc1C#N ZINC001139724333 1091655261 /nfs/dbraw/zinc/65/52/61/1091655261.db2.gz ORPRMARUALQHQM-UHFFFAOYSA-N 0 1 319.452 3.227 20 30 DGEDMN CCOC(=O)C1(CC)CCN(Cc2ccc(C)c(C#N)c2)CC1 ZINC001139727352 1091656504 /nfs/dbraw/zinc/65/65/04/1091656504.db2.gz OGLMBGAGKMNJNN-UHFFFAOYSA-N 0 1 314.429 3.422 20 30 DGEDMN Cc1cccc(N2CCCN(Cc3cc(F)cc(C#N)c3)CC2)n1 ZINC001139876348 1091698687 /nfs/dbraw/zinc/69/86/87/1091698687.db2.gz SRBMHLQRSCWDQZ-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2cc(F)cc(C#N)c2)C[C@H]1C ZINC001139879631 1091700578 /nfs/dbraw/zinc/70/05/78/1091700578.db2.gz PATYZCUYKQYQNR-CZUORRHYSA-N 0 1 318.392 3.109 20 30 DGEDMN COc1ccc2n[nH]c(CN3CCc4ccc(C#N)cc4C3)c2c1 ZINC001140064920 1091755474 /nfs/dbraw/zinc/75/54/74/1091755474.db2.gz SBIIJCMMJFNHDF-UHFFFAOYSA-N 0 1 318.380 3.001 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C#N)cc2F)C[C@@H]1C ZINC001140141636 1091783724 /nfs/dbraw/zinc/78/37/24/1091783724.db2.gz ZMVURRIWDGUTII-ZFWWWQNUSA-N 0 1 318.392 3.109 20 30 DGEDMN COc1cncc(CN2CCC(c3cccc(C#N)c3)CC2)c1 ZINC001206995872 1091814867 /nfs/dbraw/zinc/81/48/67/1091814867.db2.gz QAJICNHUUNJQSX-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN COc1cncc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001206997915 1091816646 /nfs/dbraw/zinc/81/66/46/1091816646.db2.gz JPXUUOQIPSRHMG-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1ncc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)s1 ZINC001207064360 1091836702 /nfs/dbraw/zinc/83/67/02/1091836702.db2.gz QDWLJFUFKUBQCP-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C(C)(C)CC ZINC001154963681 1091843605 /nfs/dbraw/zinc/84/36/05/1091843605.db2.gz TYMYVFFMVBFLFF-CYBMUJFWSA-N 0 1 321.490 3.383 20 30 DGEDMN Cc1ccccc1OC1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC001207103321 1091845653 /nfs/dbraw/zinc/84/56/53/1091845653.db2.gz UESGAWLMTOFMRJ-UHFFFAOYSA-N 0 1 309.413 3.249 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001207158714 1091866728 /nfs/dbraw/zinc/86/67/28/1091866728.db2.gz ZCSUFQCGFJBSMZ-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN Cc1cncc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)c1 ZINC001207162463 1091871705 /nfs/dbraw/zinc/87/17/05/1091871705.db2.gz MWCCIUONBUFSJS-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c[nH]c3ncc(Br)cc23)C1 ZINC001140460619 1091874804 /nfs/dbraw/zinc/87/48/04/1091874804.db2.gz LBMBARPFDGALMK-LLVKDONJSA-N 0 1 318.218 3.171 20 30 DGEDMN C=CCN(CCN1CCC[C@H](CC#N)C1)C(=O)OC(C)(C)C ZINC001207205821 1091887622 /nfs/dbraw/zinc/88/76/22/1091887622.db2.gz OJSIIDHYXHHUQF-OAHLLOKOSA-N 0 1 307.438 3.035 20 30 DGEDMN CCCCN(CCC#N)C[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001207212078 1091888585 /nfs/dbraw/zinc/88/85/85/1091888585.db2.gz ZHYRZRKNAUOAEU-HNNXBMFYSA-N 0 1 309.454 3.259 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001085725426 1091898158 /nfs/dbraw/zinc/89/81/58/1091898158.db2.gz WFGNRXPTVNYEMU-MRXNPFEDSA-N 0 1 306.837 3.371 20 30 DGEDMN CCCN(CCC#N)CC12CC(NC(=O)OC(C)(C)C)(C1)C2 ZINC001207266197 1091903989 /nfs/dbraw/zinc/90/39/89/1091903989.db2.gz LNJQQULTLNXJPU-UHFFFAOYSA-N 0 1 307.438 3.059 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2CC2=CCCCC2)cc1 ZINC001085756963 1091915677 /nfs/dbraw/zinc/91/56/77/1091915677.db2.gz VMOYSVPZEZJNQW-HXUWFJFHSA-N 0 1 322.452 3.315 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2CC2=CCCCC2)cc1 ZINC001085756963 1091915688 /nfs/dbraw/zinc/91/56/88/1091915688.db2.gz VMOYSVPZEZJNQW-HXUWFJFHSA-N 0 1 322.452 3.315 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2cc(C#N)ccc2Cl)CC1 ZINC001140600782 1091927938 /nfs/dbraw/zinc/92/79/38/1091927938.db2.gz VGXRXEKNQZHNSX-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN CC(=O)N1CC[C@H](N(Cc2cc(C#N)ccc2Cl)C(C)C)C1 ZINC001140603816 1091929714 /nfs/dbraw/zinc/92/97/14/1091929714.db2.gz DWSXOMIZYULWLX-INIZCTEOSA-N 0 1 319.836 3.043 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1ccc(OC(F)F)c(O)c1 ZINC001140628446 1091937122 /nfs/dbraw/zinc/93/71/22/1091937122.db2.gz OBAKQQKVTORVJN-UHFFFAOYSA-N 0 1 318.323 3.497 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(Cl)cc1C ZINC001085796742 1091941643 /nfs/dbraw/zinc/94/16/43/1091941643.db2.gz YZQJIACKHHDMHF-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CC1CCN(Cc2ccc(OC)cc2Br)CC1 ZINC001140760363 1091989309 /nfs/dbraw/zinc/98/93/09/1091989309.db2.gz GBQNGZBLUNTOCX-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1cc([C@H](C)CC)no1 ZINC001085860102 1091984053 /nfs/dbraw/zinc/98/40/53/1091984053.db2.gz QZTPLJLRNAFCEZ-CABCVRRESA-N 0 1 319.449 3.301 20 30 DGEDMN CN(Cc1cc(F)c(C#N)c(F)c1)C[C@@H]1Cc2ccccc2O1 ZINC001140755558 1091987428 /nfs/dbraw/zinc/98/74/28/1091987428.db2.gz KMHMJVCVTDQDQI-AWEZNQCLSA-N 0 1 314.335 3.272 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc2sccc2c1 ZINC001085902514 1092003111 /nfs/dbraw/zinc/00/31/11/1092003111.db2.gz GBPHHHBXHWNRDU-MRXNPFEDSA-N 0 1 312.438 3.071 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2scnc2c1 ZINC001085904076 1092003221 /nfs/dbraw/zinc/00/32/21/1092003221.db2.gz WMSBDKOBTUYGLM-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1oc2ccccc2c1C ZINC001085959450 1092052956 /nfs/dbraw/zinc/05/29/56/1092052956.db2.gz CGIMXHHMYQAWMN-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cnc(C(C)(C)C)s1 ZINC001085988445 1092071796 /nfs/dbraw/zinc/07/17/96/1092071796.db2.gz IVJJFLGSIDYOQY-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN N#CC1(c2ccccc2)CC[NH+](Cc2ccc(C(=O)[O-])o2)CC1 ZINC001140942411 1092072395 /nfs/dbraw/zinc/07/23/95/1092072395.db2.gz XOYXSNQALOTCEG-UHFFFAOYSA-N 0 1 310.353 3.035 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c2ccc(C)cc12 ZINC001085990455 1092078279 /nfs/dbraw/zinc/07/82/79/1092078279.db2.gz QBGANBIENJXSRC-OAHLLOKOSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c2ccc(C)cc12 ZINC001085990455 1092078286 /nfs/dbraw/zinc/07/82/86/1092078286.db2.gz QBGANBIENJXSRC-OAHLLOKOSA-N 0 1 311.429 3.199 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001085990540 1092078875 /nfs/dbraw/zinc/07/88/75/1092078875.db2.gz SDNYSEXSLBSHAC-KMFTYDHNSA-N 0 1 324.468 3.217 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCCc2ccccc21 ZINC001085992697 1092079181 /nfs/dbraw/zinc/07/91/81/1092079181.db2.gz RIUHCDWUAIHQRK-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN Cc1ccncc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001141036245 1092114616 /nfs/dbraw/zinc/11/46/16/1092114616.db2.gz OTBLOIGGLGHVDQ-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@H](CCC)C(C)C)C1 ZINC001108251724 1092157437 /nfs/dbraw/zinc/15/74/37/1092157437.db2.gz KLXWMVZHXCDFHF-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001317176032 1092175886 /nfs/dbraw/zinc/17/58/86/1092175886.db2.gz IYYRQXXIBRBYAI-STQMWFEESA-N 0 1 324.877 3.109 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@@H](CC)CC(C)C ZINC001491633042 1092193248 /nfs/dbraw/zinc/19/32/48/1092193248.db2.gz PFEFIFQANSQJNA-OLZOCXBDSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@H](CC)CC(C)C ZINC001491633043 1092193492 /nfs/dbraw/zinc/19/34/92/1092193492.db2.gz PFEFIFQANSQJNA-QWHCGFSZSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCC(C#N)(C(=O)OCC)CC2)CC1 ZINC001208178546 1092243771 /nfs/dbraw/zinc/24/37/71/1092243771.db2.gz QVNPOXGAEXZAHZ-QGZVFWFLSA-N 0 1 316.445 3.458 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001108336366 1092337212 /nfs/dbraw/zinc/33/72/12/1092337212.db2.gz BPOHXHXYXSVVKW-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2C)C[C@H]1C ZINC001208452651 1092357312 /nfs/dbraw/zinc/35/73/12/1092357312.db2.gz WGTHNOMINONEGO-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C[C@H]1C[C@@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168799232 1092368285 /nfs/dbraw/zinc/36/82/85/1092368285.db2.gz BWWAEVLTTGYAQD-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN C[C@@H]1C[C@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168799231 1092368402 /nfs/dbraw/zinc/36/84/02/1092368402.db2.gz BWWAEVLTTGYAQD-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2CC(C3COC3)C2)s1 ZINC001141972753 1092373243 /nfs/dbraw/zinc/37/32/43/1092373243.db2.gz QQPUORZOAXJYTR-UHFFFAOYSA-N 0 1 309.434 3.226 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2CC[N@H+]3CC[C@H]2C3)s1 ZINC001141978029 1092375867 /nfs/dbraw/zinc/37/58/67/1092375867.db2.gz XPRXXFLTFLJQCG-KRWDZBQOSA-N 0 1 308.450 3.038 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cc1ccc2c(c1)OCCCO2 ZINC001142274654 1092456857 /nfs/dbraw/zinc/45/68/57/1092456857.db2.gz ZQMJSNKFYGUKSO-UHFFFAOYSA-N 0 1 308.381 3.352 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)Cc1ccc(Cl)c(F)c1 ZINC001492266807 1092464094 /nfs/dbraw/zinc/46/40/94/1092464094.db2.gz KXLQGFXPIXSSEU-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN CC(C)Oc1ncccc1CCN1CCc2ccc(C#N)cc2C1 ZINC001208788520 1092509637 /nfs/dbraw/zinc/50/96/37/1092509637.db2.gz CBKXSVPPCIACMS-UHFFFAOYSA-N 0 1 321.424 3.341 20 30 DGEDMN Cc1cc2ccc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)cn2c1 ZINC001169190153 1092580742 /nfs/dbraw/zinc/58/07/42/1092580742.db2.gz SIGHPKUKPJMSRY-UHFFFAOYSA-N 0 1 315.336 3.248 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1c(F)ccc(C#N)c1F)C2 ZINC001143169152 1092627491 /nfs/dbraw/zinc/62/74/91/1092627491.db2.gz AVUSINPPROHFCP-DYVFJYSZSA-N 0 1 306.356 3.085 20 30 DGEDMN C=CCN(CCN1CCCC[C@H]1c1cccnc1)C(=O)OCC ZINC001209022184 1092628129 /nfs/dbraw/zinc/62/81/29/1092628129.db2.gz RNYYNDJXRAYYFY-KRWDZBQOSA-N 0 1 317.433 3.253 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001209146704 1092672874 /nfs/dbraw/zinc/67/28/74/1092672874.db2.gz PTZNSOSBIGXJLJ-ZVHGMHCTSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001209183445 1092684536 /nfs/dbraw/zinc/68/45/36/1092684536.db2.gz MVGKAFXRGSKHTB-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCCN1CC[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001209204665 1092695017 /nfs/dbraw/zinc/69/50/17/1092695017.db2.gz HCEJXJUVIUOVHG-UONOGXRCSA-N 0 1 300.418 3.280 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc2ccsc2[nH]1)C1CC1 ZINC001276633093 1092734139 /nfs/dbraw/zinc/73/41/39/1092734139.db2.gz CENYEZNYJPVXID-CYBMUJFWSA-N 0 1 323.849 3.080 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc2ccsc2[nH]1)C1CC1 ZINC001276633094 1092734683 /nfs/dbraw/zinc/73/46/83/1092734683.db2.gz CENYEZNYJPVXID-ZDUSSCGKSA-N 0 1 323.849 3.080 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001209335264 1092738618 /nfs/dbraw/zinc/73/86/18/1092738618.db2.gz JXKZTRFPXSOFQY-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001150350407 1092772600 /nfs/dbraw/zinc/77/26/00/1092772600.db2.gz HORCAJILTQEWQF-ROUUACIJSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCCCCN1CCN(c2nc(C)cc(C)n2)CC1 ZINC001209436894 1092775057 /nfs/dbraw/zinc/77/50/57/1092775057.db2.gz ZDCMGCVCQICTDJ-UHFFFAOYSA-N 0 1 302.466 3.352 20 30 DGEDMN C=CCCCCCCCN1Cc2nccn2C[C@H](COC)C1 ZINC001209441711 1092777115 /nfs/dbraw/zinc/77/71/15/1092777115.db2.gz LFRUWSOVFOZDPT-QGZVFWFLSA-N 0 1 305.466 3.488 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H](NC/C(Cl)=C\Cl)C2)C1 ZINC001209543200 1092816996 /nfs/dbraw/zinc/81/69/96/1092816996.db2.gz JXGGZGKWAODAIR-RPHSKFLZSA-N 0 1 317.260 3.242 20 30 DGEDMN N#Cc1cccc(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)c1 ZINC001209515988 1092803700 /nfs/dbraw/zinc/80/37/00/1092803700.db2.gz PIVVTPMEQYEUHD-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN COc1cc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)ccn1 ZINC001209640841 1092859791 /nfs/dbraw/zinc/85/97/91/1092859791.db2.gz NGTWLMJJKYUOEO-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN N#Cc1cc(F)c(NC(=O)c2cc3cccnc3[nH]2)c(Cl)c1 ZINC001151547985 1092919978 /nfs/dbraw/zinc/91/99/78/1092919978.db2.gz ZSIRBOZCNLAHCZ-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN CCC(C)(CC)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001151594030 1092926402 /nfs/dbraw/zinc/92/64/02/1092926402.db2.gz UKUZOJXPTFUWHM-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001209876316 1092960195 /nfs/dbraw/zinc/96/01/95/1092960195.db2.gz YLBJCTRHIOXJRU-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(Br)ccc1C#N ZINC001209966537 1092997246 /nfs/dbraw/zinc/99/72/46/1092997246.db2.gz FMZCTXHDTFHGHR-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN Cc1cc(N)ncc1Nc1ccc(Br)c(F)c1C#N ZINC001209969889 1092999098 /nfs/dbraw/zinc/99/90/98/1092999098.db2.gz STXOTJORZBYNGD-UHFFFAOYSA-N 0 1 321.153 3.489 20 30 DGEDMN C#Cc1ccc(Nc2ccc(N3CCN(C)CC3)c(OC)c2)cc1 ZINC001210118583 1093048599 /nfs/dbraw/zinc/04/85/99/1093048599.db2.gz FEQKSLVLUCKJSI-UHFFFAOYSA-N 0 1 321.424 3.172 20 30 DGEDMN Cc1cc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c(C)o1 ZINC001210281807 1093107150 /nfs/dbraw/zinc/10/71/50/1093107150.db2.gz XBQIJUYVJBWJNL-UHFFFAOYSA-N 0 1 310.401 3.264 20 30 DGEDMN Cc1cc(C#N)cc(Nc2ccccc2N2CCN(C)CC2)c1 ZINC001210389477 1093150918 /nfs/dbraw/zinc/15/09/18/1093150918.db2.gz HFPCJBIDWLYPEB-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN Cc1cc(Cl)nc(Nc2ccc(O)c([N+](=O)[O-])c2)c1C#N ZINC001210395544 1093152399 /nfs/dbraw/zinc/15/23/99/1093152399.db2.gz ZKMTVEKBEMOYQE-UHFFFAOYSA-N 0 1 304.693 3.273 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCc1cccc(C(=O)OC(C)(C)C)c1 ZINC001210815473 1093290277 /nfs/dbraw/zinc/29/02/77/1093290277.db2.gz RMGCSVCTDQUUML-LLVKDONJSA-N 0 1 318.369 3.378 20 30 DGEDMN C#CCC1(C(=O)N2CCC[C@H](NCC(=C)Cl)C2)CCCCC1 ZINC001211360987 1093472241 /nfs/dbraw/zinc/47/22/41/1093472241.db2.gz SELQKSSQBBJRKW-INIZCTEOSA-N 0 1 322.880 3.293 20 30 DGEDMN CC(C)(C)c1cc(Nc2nc(C#N)c(C#N)nc2Cl)ccn1 ZINC001213164583 1093532603 /nfs/dbraw/zinc/53/26/03/1093532603.db2.gz VAPDJEUXFFIJIY-UHFFFAOYSA-N 0 1 312.764 3.309 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](CC)CCCCCC)[C@H](OC)C1 ZINC001213256509 1093552338 /nfs/dbraw/zinc/55/23/38/1093552338.db2.gz HMQOJAFUTOANHE-RCCFBDPRSA-N 0 1 324.509 3.375 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2nc3ccccc3s2)c1 ZINC000176832732 1093649821 /nfs/dbraw/zinc/64/98/21/1093649821.db2.gz LSQHPRACYHEGMP-UHFFFAOYSA-N 0 1 323.377 3.445 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NCc2cc(F)ccc2Cl)C1 ZINC001214301879 1093752631 /nfs/dbraw/zinc/75/26/31/1093752631.db2.gz NQOCKCASHTWVHS-MLGOLLRUSA-N 0 1 324.827 3.382 20 30 DGEDMN CC(=O)c1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c(F)c1 ZINC001216970004 1094285547 /nfs/dbraw/zinc/28/55/47/1094285547.db2.gz XBCVGHVNGZVHNP-UHFFFAOYSA-N 0 1 323.283 3.323 20 30 DGEDMN Cc1ncc(Cl)cc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216969657 1094285690 /nfs/dbraw/zinc/28/56/90/1094285690.db2.gz KSSQZSQXFGFDBK-UHFFFAOYSA-N 0 1 312.716 3.338 20 30 DGEDMN CN(C)c1cccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001216969786 1094285758 /nfs/dbraw/zinc/28/57/58/1094285758.db2.gz QVHTUMDPZPGUFB-UHFFFAOYSA-N 0 1 306.325 3.047 20 30 DGEDMN CCOc1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)cc1 ZINC001216969847 1094285974 /nfs/dbraw/zinc/28/59/74/1094285974.db2.gz SIWADKQGAIRRTG-UHFFFAOYSA-N 0 1 307.309 3.380 20 30 DGEDMN Cc1cc(-c2noc(-c3ccc(O)c(C#N)c3)n2)ccc1C#N ZINC001216969696 1094286104 /nfs/dbraw/zinc/28/61/04/1094286104.db2.gz NCWZPOYCAZUYEQ-UHFFFAOYSA-N 0 1 302.293 3.161 20 30 DGEDMN N#Cc1cccc(-c2noc(-c3cc(O)c(O)c(Cl)c3)n2)c1 ZINC001216978335 1094288562 /nfs/dbraw/zinc/28/85/62/1094288562.db2.gz YFHRORQLKQOXEX-UHFFFAOYSA-N 0 1 313.700 3.340 20 30 DGEDMN CCCCC[C@@H](C)CC(=O)NCCNCc1ccccc1C#N ZINC001134824432 1094303796 /nfs/dbraw/zinc/30/37/96/1094303796.db2.gz QHMHITXSLXXFOR-MRXNPFEDSA-N 0 1 315.461 3.371 20 30 DGEDMN Cc1cc(-c2noc(-c3ccc4cncn4c3)n2)ccc1C#N ZINC001217345977 1094381424 /nfs/dbraw/zinc/38/14/24/1094381424.db2.gz ZYWYPSGKUZSTDC-UHFFFAOYSA-N 0 1 301.309 3.231 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3cccc4cncn43)n2)c1 ZINC001217589424 1094449177 /nfs/dbraw/zinc/44/91/77/1094449177.db2.gz QYQIGJBCTQYHMS-UHFFFAOYSA-N 0 1 305.272 3.062 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C(C)C)o1 ZINC001135234566 1094453193 /nfs/dbraw/zinc/45/31/93/1094453193.db2.gz BLRSOONQTVIGRM-KGLIPLIRSA-N 0 1 321.465 3.383 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001135330783 1094492448 /nfs/dbraw/zinc/49/24/48/1094492448.db2.gz WDIUXYPYNVJZNH-KGLIPLIRSA-N 0 1 323.506 3.435 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@H](C)NCc2coc(C)n2)CCC1 ZINC001135394307 1094514199 /nfs/dbraw/zinc/51/41/99/1094514199.db2.gz FSQYAIVGJFCNOU-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2cscn2)CC1 ZINC001135386111 1094519802 /nfs/dbraw/zinc/51/98/02/1094519802.db2.gz BKKAJWJWHIGECK-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@H](C)CCCCCC ZINC001135546632 1094571846 /nfs/dbraw/zinc/57/18/46/1094571846.db2.gz BHOBYKVLDFDDAV-GFCCVEGCSA-N 0 1 319.287 3.207 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135610174 1094597691 /nfs/dbraw/zinc/59/76/91/1094597691.db2.gz YLEHWPRWGLCOAS-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CSCCN1Cc1ccccc1 ZINC001121188189 1094603020 /nfs/dbraw/zinc/60/30/20/1094603020.db2.gz QHXCAWCRBXLZJE-KRWDZBQOSA-N 0 1 318.486 3.077 20 30 DGEDMN COc1cccc(/C=C/c2ccccc2O[C@@H]2CNC[C@H]2C#N)c1 ZINC001218200845 1094655001 /nfs/dbraw/zinc/65/50/01/1094655001.db2.gz NXRGWWJVANOKLS-DSHSFFHVSA-N 0 1 320.392 3.356 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218199340 1094655031 /nfs/dbraw/zinc/65/50/31/1094655031.db2.gz DTMPXAHWCKIJOW-QAPCUYQASA-N 0 1 312.800 3.421 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218199341 1094655216 /nfs/dbraw/zinc/65/52/16/1094655216.db2.gz DTMPXAHWCKIJOW-YJBOKZPZSA-N 0 1 312.800 3.421 20 30 DGEDMN COc1cc(/C=C/c2ccccc2)cc(O[C@H]2CNC[C@H]2C#N)c1 ZINC001218202943 1094657056 /nfs/dbraw/zinc/65/70/56/1094657056.db2.gz OFFOPKXAEQXJPF-TVYZCSMLSA-N 0 1 320.392 3.356 20 30 DGEDMN CC1(C)c2cc(O)ccc2-c2ccc(O[C@@H]3CNC[C@H]3C#N)cc21 ZINC001218201312 1094657217 /nfs/dbraw/zinc/65/72/17/1094657217.db2.gz QHSLDZXUVRLKOL-CWTRNNRKSA-N 0 1 320.392 3.189 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC[C@@H](C)C1 ZINC001121613914 1094728238 /nfs/dbraw/zinc/72/82/38/1094728238.db2.gz JBASDYFZAPBYTI-CYBMUJFWSA-N 0 1 322.416 3.244 20 30 DGEDMN C[C@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1ccsc1 ZINC001649146363 1094766610 /nfs/dbraw/zinc/76/66/10/1094766610.db2.gz LHJCYCYPEGELJJ-JTQLQIEISA-N 0 1 323.381 3.147 20 30 DGEDMN N#Cc1c(NC(=O)[C@H](N)c2ccccc2)sc2ccccc12 ZINC001218649152 1094847233 /nfs/dbraw/zinc/84/72/33/1094847233.db2.gz SVYRUOZUTGUFAH-OAHLLOKOSA-N 0 1 307.378 3.411 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)C[C@H](N)c2ccccc2OCC)C1 ZINC001122063564 1094888086 /nfs/dbraw/zinc/88/80/86/1094888086.db2.gz WIELIILJFOXEQY-WBVHZDCISA-N 0 1 316.445 3.290 20 30 DGEDMN CCCCC(=O)N[C@]12CCC[C@H]1CN(CC#Cc1ccccc1)C2 ZINC001111597068 1094924647 /nfs/dbraw/zinc/92/46/47/1094924647.db2.gz GEIIWACNJRZHQG-FPOVZHCZSA-N 0 1 324.468 3.199 20 30 DGEDMN N#Cc1ccc(-n2[nH]c(C3CC3)cc2=O)cc1Br ZINC001245910166 1094941595 /nfs/dbraw/zinc/94/15/95/1094941595.db2.gz DYVQLECFBCGVGX-UHFFFAOYSA-N 0 1 304.147 3.089 20 30 DGEDMN N#CC(C(=O)C[C@H]1CC[C@@H](C2CC2)O1)c1nc2ccccc2[nH]1 ZINC001122394301 1094966293 /nfs/dbraw/zinc/96/62/93/1094966293.db2.gz BTFWKKCJZDIYFW-XNJGSVPQSA-N 0 1 309.369 3.087 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC[C@H](F)CC)C1 ZINC001112156761 1095038687 /nfs/dbraw/zinc/03/86/87/1095038687.db2.gz CVVCUYXIIOMODQ-JHJVBQTASA-N 0 1 324.362 3.070 20 30 DGEDMN N#CC(C(=O)CCn1ccc2ccccc21)c1cccc(F)n1 ZINC001122712276 1095042562 /nfs/dbraw/zinc/04/25/62/1095042562.db2.gz LJYRHTCLOVGFON-CQSZACIVSA-N 0 1 307.328 3.442 20 30 DGEDMN Cn1cc(C(=O)C(C#N)c2cccc(F)n2)c(-c2ccccc2)n1 ZINC001122718496 1095046142 /nfs/dbraw/zinc/04/61/42/1095046142.db2.gz ZNJWHRUYAJMUPT-CYBMUJFWSA-N 0 1 320.327 3.111 20 30 DGEDMN C#CCCN(CCOC)Cc1cc(Cl)cc(Cl)c1N ZINC000697868169 1095051157 /nfs/dbraw/zinc/05/11/57/1095051157.db2.gz NWIAHLYHYJOSFF-UHFFFAOYSA-N 0 1 301.217 3.047 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@@H]1C[C@H]1C1CC1)c1ccccc1 ZINC001122882246 1095089857 /nfs/dbraw/zinc/08/98/57/1095089857.db2.gz BZSOCORICGAGNK-XSLAGTTESA-N 0 1 324.424 3.399 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@H]1NCc2ccccc21 ZINC001220663929 1095135113 /nfs/dbraw/zinc/13/51/13/1095135113.db2.gz RMEUFZLKCNZEDK-KUHUBIRLSA-N 0 1 322.408 3.273 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001171034644 1095165496 /nfs/dbraw/zinc/16/54/96/1095165496.db2.gz ARXCWMVIINLYCZ-CQSZACIVSA-N 0 1 321.465 3.412 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@@H](C)c1nnc(C)o1 ZINC001171043304 1095180874 /nfs/dbraw/zinc/18/08/74/1095180874.db2.gz KHKBHUWJILZMSK-KGLIPLIRSA-N 0 1 322.453 3.060 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1Cc2ccc(C)cc2S1 ZINC001123234243 1095196928 /nfs/dbraw/zinc/19/69/28/1095196928.db2.gz SKHYYJDBKJJQPL-DZGCQCFKSA-N 0 1 311.410 3.105 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2cccc(C(F)(F)F)c2O)cc1 ZINC001136896114 1095223908 /nfs/dbraw/zinc/22/39/08/1095223908.db2.gz ZZUAXGISHRCNJL-UHFFFAOYSA-N 0 1 320.270 3.213 20 30 DGEDMN C#CC1(NC(=O)[C@@H](N)Cc2cccc3ccccc32)CCCCC1 ZINC001221276039 1095229095 /nfs/dbraw/zinc/22/90/95/1095229095.db2.gz NUSNVWBXLDKCHP-IBGZPJMESA-N 0 1 320.436 3.162 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)cc(C)c3)C[C@H]21 ZINC001221424071 1095260178 /nfs/dbraw/zinc/26/01/78/1095260178.db2.gz YOXCYRKTNPYACR-RTBURBONSA-N 0 1 312.457 3.302 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ocnc2C)CCCCC1 ZINC001115557080 1095277071 /nfs/dbraw/zinc/27/70/71/1095277071.db2.gz RSLAUKREZXSWOA-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN N#C[C@@H]1CN([C@H]2CCc3cc(Cl)cc(Cl)c3C2)CCC1=O ZINC001171083408 1095293391 /nfs/dbraw/zinc/29/33/91/1095293391.db2.gz UJDDERKYQJDNRQ-YPMHNXCESA-N 0 1 323.223 3.265 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCC[C@@H](C)CCC)[C@@H]2C1 ZINC001221577813 1095303686 /nfs/dbraw/zinc/30/36/86/1095303686.db2.gz HSRHRNQSVJLNOL-RCCFBDPRSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(Cc3cccc(F)c3)C[C@H]21 ZINC001221583336 1095307890 /nfs/dbraw/zinc/30/78/90/1095307890.db2.gz KNAPTHDGCZKVSO-SJLPKXTDSA-N 0 1 316.420 3.215 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)CC(c1ccccc1)c1ccccc1 ZINC001143696327 1095347119 /nfs/dbraw/zinc/34/71/19/1095347119.db2.gz LKVWNZIVDZSVFL-UHFFFAOYSA-N 0 1 316.364 3.442 20 30 DGEDMN COc1ccc2cc([C@H](C)C(=O)Nc3nc[nH]c3C#N)ccc2c1 ZINC001143739660 1095362043 /nfs/dbraw/zinc/36/20/43/1095362043.db2.gz GDDHTMSAVKJBIM-NSHDSACASA-N 0 1 320.352 3.185 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC[C@@H](C)c3ccccc3)[C@@H]2C1 ZINC001221850500 1095374958 /nfs/dbraw/zinc/37/49/58/1095374958.db2.gz PWTNILPDGHEWSF-MISYRCLQSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](C)CCCCCC)[C@@H]2C1 ZINC001221883103 1095379698 /nfs/dbraw/zinc/37/96/98/1095379698.db2.gz PSEKAOICHWHTGG-RCCFBDPRSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](CC)c3ccccc3)[C@@H]2C1 ZINC001221944468 1095397059 /nfs/dbraw/zinc/39/70/59/1095397059.db2.gz PVOFSTXFXMIEMX-MISYRCLQSA-N 0 1 324.468 3.126 20 30 DGEDMN N#C[C@@H]1CN(Cc2c(F)ccc(Cl)c2Cl)CCC1=O ZINC001143860901 1095408703 /nfs/dbraw/zinc/40/87/03/1095408703.db2.gz VHZCFZSCVQJSLM-MRVPVSSYSA-N 0 1 301.148 3.047 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)[C@H]1CCC[C@H](C)C1 ZINC001338896645 1095427408 /nfs/dbraw/zinc/42/74/08/1095427408.db2.gz XBWHPXQRRLPRTP-JSGCOSHPSA-N 0 1 314.437 3.178 20 30 DGEDMN CCC#C[C@@H](C)N(C)C[C@H](O)c1cccc(Br)c1 ZINC001171119439 1095433714 /nfs/dbraw/zinc/43/37/14/1095433714.db2.gz MZWGANQJNFAWJP-DOMZBBRYSA-N 0 1 310.235 3.216 20 30 DGEDMN C(=NNCC1CCOCC1)c1ccc(N2CCCCC2)s1 ZINC001116084926 1095454348 /nfs/dbraw/zinc/45/43/48/1095454348.db2.gz PGWDMJVKYPUVCU-UHFFFAOYSA-N 0 1 307.463 3.089 20 30 DGEDMN C=CC[C@@H](C)C(=O)Nc1sc(N)c2c1CN(CCC)CC2 ZINC001144012077 1095473448 /nfs/dbraw/zinc/47/34/48/1095473448.db2.gz HIAUOISHFDVDPE-LLVKDONJSA-N 0 1 307.463 3.249 20 30 DGEDMN C=CC[C@H](C)C(=O)Nc1sc(N)c2c1CN(CCC)CC2 ZINC001144012080 1095473848 /nfs/dbraw/zinc/47/38/48/1095473848.db2.gz HIAUOISHFDVDPE-NSHDSACASA-N 0 1 307.463 3.249 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2c(O)cnc3c(F)cccc32)c1 ZINC001144134958 1095527738 /nfs/dbraw/zinc/52/77/38/1095527738.db2.gz PCRMMEMNNMQWBW-UHFFFAOYSA-N 0 1 320.323 3.337 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3ccc(C#N)cn3)CC2)cc1 ZINC001144166781 1095545097 /nfs/dbraw/zinc/54/50/97/1095545097.db2.gz WAAGYNQWJVKPDT-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN C=CCOCCCC(=O)NCCN[C@@H](C)c1ccccc1Cl ZINC001125259641 1095673090 /nfs/dbraw/zinc/67/30/90/1095673090.db2.gz AFZOEIMKQNVGTQ-AWEZNQCLSA-N 0 1 324.852 3.090 20 30 DGEDMN C=CCN1CCN(Cc2ccc(Br)c(C)c2)CC1 ZINC001137615104 1095690570 /nfs/dbraw/zinc/69/05/70/1095690570.db2.gz HRDZDHQYHSUHNW-UHFFFAOYSA-N 0 1 309.251 3.061 20 30 DGEDMN COc1cccc(CCN(C)Cc2ccc(OC)cc2C#N)c1 ZINC001137704365 1095718315 /nfs/dbraw/zinc/71/83/15/1095718315.db2.gz BUUMBEOFUMASRD-UHFFFAOYSA-N 0 1 310.397 3.250 20 30 DGEDMN CCCN(CCc1ccccn1)Cc1ccc(OC)cc1C#N ZINC001137704697 1095718632 /nfs/dbraw/zinc/71/86/32/1095718632.db2.gz QRGBRRCETUCCMT-UHFFFAOYSA-N 0 1 309.413 3.417 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001222746402 1095739420 /nfs/dbraw/zinc/73/94/20/1095739420.db2.gz UZHUGYLNLKCYOU-IJOJBTCESA-N 0 1 322.880 3.430 20 30 DGEDMN Cc1cccc(N2CCN([C@H](C)Cc3cccc(C#N)c3)CC2)n1 ZINC001171202271 1095745171 /nfs/dbraw/zinc/74/51/71/1095745171.db2.gz AOIKKPHRTVFHJV-QGZVFWFLSA-N 0 1 320.440 3.015 20 30 DGEDMN CN(c1ccc(C#N)cc1)c1ccc(CN2CC3(COC3)C2)cc1 ZINC001137898359 1095783860 /nfs/dbraw/zinc/78/38/60/1095783860.db2.gz PPNGAJUJAITGJJ-UHFFFAOYSA-N 0 1 319.408 3.158 20 30 DGEDMN Cc1ccc(N2CCN([C@@H](C)Cc3cccc(C#N)c3)CC2)nc1 ZINC001171223001 1095817424 /nfs/dbraw/zinc/81/74/24/1095817424.db2.gz LERBHBDOJWNHCJ-KRWDZBQOSA-N 0 1 320.440 3.015 20 30 DGEDMN Cc1ccnc(N2CCN([C@@H](C)Cc3cccc(C#N)c3)CC2)c1 ZINC001171224927 1095829179 /nfs/dbraw/zinc/82/91/79/1095829179.db2.gz VQPOUTDGVXZUOC-KRWDZBQOSA-N 0 1 320.440 3.015 20 30 DGEDMN Cc1cc(C#N)ccc1CN1C[C@H]2CC[C@@H](C1)N2Cc1ccc[nH]1 ZINC001276857074 1095836602 /nfs/dbraw/zinc/83/66/02/1095836602.db2.gz UQIAUPSEUQSBKB-BGYRXZFFSA-N 0 1 320.440 3.044 20 30 DGEDMN CC[C@@H]1OC(C)=C(O[C@@H](C(=O)OC)c2ccc(Cl)cc2)C1=O ZINC001223576209 1096004903 /nfs/dbraw/zinc/00/49/03/1096004903.db2.gz KKGDTYGJZAFKEX-SWLSCSKDSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1O[C@@H](C)c1cccc(C(=O)OC)c1 ZINC001223789921 1096065511 /nfs/dbraw/zinc/06/55/11/1096065511.db2.gz BOFKEEDVEZJHOZ-HZMBPMFUSA-N 0 1 304.342 3.160 20 30 DGEDMN CC(C)Oc1cncc(CO/C(=N\O)c2cc(F)ccc2F)c1 ZINC001223890041 1096087671 /nfs/dbraw/zinc/08/76/71/1096087671.db2.gz MECNZEFMOHKCNK-SILNSSARSA-N 0 1 322.311 3.500 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@@H](CC)C1=O)c1ccccc1F ZINC001224154587 1096148202 /nfs/dbraw/zinc/14/82/02/1096148202.db2.gz NRAGHCVRJSCIOQ-XJKSGUPXSA-N 0 1 322.332 3.056 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCN(Cc1sccc1Cl)C2 ZINC001147165153 1096176981 /nfs/dbraw/zinc/17/69/81/1096176981.db2.gz CQPJVMDOMGMGFY-UHFFFAOYSA-N 0 1 324.877 3.402 20 30 DGEDMN CCC[C@H](C)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001147250382 1096186061 /nfs/dbraw/zinc/18/60/61/1096186061.db2.gz JYCTZGOOGGJQFC-SFHVURJKSA-N 0 1 324.468 3.009 20 30 DGEDMN CCC1(C(=O)NCC2CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001224409976 1096204698 /nfs/dbraw/zinc/20/46/98/1096204698.db2.gz SGJCRNJICZWHKW-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)CCCc1ccccc1 ZINC001276976281 1096226582 /nfs/dbraw/zinc/22/65/82/1096226582.db2.gz AHNXAAXOVNKCOB-MJGOQNOKSA-N 0 1 314.473 3.412 20 30 DGEDMN CC[C@H](CC(=O)NCCNCc1ccccc1C#N)C(C)(C)C ZINC001147474251 1096227499 /nfs/dbraw/zinc/22/74/99/1096227499.db2.gz HNZULQFASLNFBQ-QGZVFWFLSA-N 0 1 315.461 3.226 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](OCCc2ccsc2)C1 ZINC001224535656 1096234539 /nfs/dbraw/zinc/23/45/39/1096234539.db2.gz RSRFFHWXPZCCND-GOSISDBHSA-N 0 1 312.438 3.453 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1ccc(F)cn1 ZINC001148727824 1096431073 /nfs/dbraw/zinc/43/10/73/1096431073.db2.gz MSMDUEUSPWMBIW-UHFFFAOYSA-N 0 1 309.429 3.177 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCCCCCCC ZINC001148727748 1096431145 /nfs/dbraw/zinc/43/11/45/1096431145.db2.gz JCJPGNOKURPCEU-UHFFFAOYSA-N 0 1 319.287 3.351 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1cccc(OC)n1 ZINC001148728139 1096431263 /nfs/dbraw/zinc/43/12/63/1096431263.db2.gz XIDDFZFRDSMVLU-UHFFFAOYSA-N 0 1 321.465 3.047 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2cccc3[nH]cnc32)C1 ZINC001225487571 1096445060 /nfs/dbraw/zinc/44/50/60/1096445060.db2.gz CCYJXXHGESVCNR-MRXNPFEDSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(F)c(Br)c1 ZINC001225506004 1096451614 /nfs/dbraw/zinc/45/16/14/1096451614.db2.gz QDJBLTYCQNIXIW-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN CCCCCCCCCC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001148820698 1096454783 /nfs/dbraw/zinc/45/47/83/1096454783.db2.gz LUPSRLHUQPCDEB-INIZCTEOSA-N 0 1 320.481 3.384 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cnoc1C ZINC001148821032 1096454997 /nfs/dbraw/zinc/45/49/97/1096454997.db2.gz RQWHTULXQNCFCS-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C3CCC3)C2)CC1 ZINC001086896752 1096494904 /nfs/dbraw/zinc/49/49/04/1096494904.db2.gz UDZXMSSKWYOWPI-CQSZACIVSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)c3ccoc3)C2)CC1 ZINC001086902300 1096501635 /nfs/dbraw/zinc/50/16/35/1096501635.db2.gz AMJZYJXVPGVUEI-AWEZNQCLSA-N 0 1 322.836 3.349 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)/C=C\C3CC3)C2)CC1 ZINC001086910873 1096505415 /nfs/dbraw/zinc/50/54/15/1096505415.db2.gz DANIHPLQBOSEEZ-YVACAVLKSA-N 0 1 322.880 3.408 20 30 DGEDMN N#Cc1cc(Cl)cc(O[C@H]2CC[C@H](N3CCOCC3)CC2)c1 ZINC001225697745 1096508024 /nfs/dbraw/zinc/50/80/24/1096508024.db2.gz MNVHHKDBESBQQT-WKILWMFISA-N 0 1 320.820 3.234 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001086936462 1096513286 /nfs/dbraw/zinc/51/32/86/1096513286.db2.gz QSQHFEXRTVXJJE-BPLDGKMQSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)c(Br)c(F)c1 ZINC001225736645 1096517326 /nfs/dbraw/zinc/51/73/26/1096517326.db2.gz VGGDCMGXALMKHA-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(F)cc(F)cc1Br ZINC001225850389 1096545073 /nfs/dbraw/zinc/54/50/73/1096545073.db2.gz HWSKCCUTOALONY-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cccc(C)c2)[C@H](C)C1 ZINC001092438337 1096607850 /nfs/dbraw/zinc/60/78/50/1096607850.db2.gz VMPAFFKIBXANDY-CZUORRHYSA-N 0 1 306.837 3.045 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cscc2C)[C@H](C)C1 ZINC001092527289 1096613769 /nfs/dbraw/zinc/61/37/69/1096613769.db2.gz LKPHGADOUPBPFV-ZWNOBZJWSA-N 0 1 312.866 3.107 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1Oc1cccc(/C=N/O)c1 ZINC001226116622 1096616842 /nfs/dbraw/zinc/61/68/42/1096616842.db2.gz SJYBTNXPFVFWSW-BMNKPTRDSA-N 0 1 310.397 3.394 20 30 DGEDMN C[C@H]1C[N@H+](Cc2ccccc2)C[C@@H]1Oc1cccc(/C=N/[O-])c1 ZINC001226116622 1096616845 /nfs/dbraw/zinc/61/68/45/1096616845.db2.gz SJYBTNXPFVFWSW-BMNKPTRDSA-N 0 1 310.397 3.394 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1Oc1cccc(/C=N/[O-])c1 ZINC001226116622 1096616854 /nfs/dbraw/zinc/61/68/54/1096616854.db2.gz SJYBTNXPFVFWSW-BMNKPTRDSA-N 0 1 310.397 3.394 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CC23CCCC3)[C@H](C)C1 ZINC001093106751 1096655994 /nfs/dbraw/zinc/65/59/94/1096655994.db2.gz CZCDKISYQPWULW-BPLDGKMQSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001226532312 1096715571 /nfs/dbraw/zinc/71/55/71/1096715571.db2.gz BBWPPCQKXXFTID-ZIAGYGMSSA-N 0 1 307.463 3.016 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)c(Cl)c2)CC1 ZINC001277164305 1096808448 /nfs/dbraw/zinc/80/84/48/1096808448.db2.gz DJPSMWLQAYOFIS-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2cc(C#N)cc(C#N)c2)C1 ZINC001226900603 1096808934 /nfs/dbraw/zinc/80/89/34/1096808934.db2.gz ZPEUIHXOVLFPOQ-RHSMWYFYSA-N 0 1 318.380 3.039 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cc(C#N)cc(C#N)c2)C1 ZINC001226900603 1096808943 /nfs/dbraw/zinc/80/89/43/1096808943.db2.gz ZPEUIHXOVLFPOQ-RHSMWYFYSA-N 0 1 318.380 3.039 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3[nH]cnc3c2)C1 ZINC001226927712 1096819253 /nfs/dbraw/zinc/81/92/53/1096819253.db2.gz ABKDQGHYRRAKFD-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2ccc(F)cc2C#N)C1 ZINC001227021280 1096846896 /nfs/dbraw/zinc/84/68/96/1096846896.db2.gz FYTBDUKVJBZBAT-XJKSGUPXSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(F)cc2C#N)C1 ZINC001227021280 1096846903 /nfs/dbraw/zinc/84/69/03/1096846903.db2.gz FYTBDUKVJBZBAT-XJKSGUPXSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cc(C#N)ccc2F)C1 ZINC001227053080 1096853180 /nfs/dbraw/zinc/85/31/80/1096853180.db2.gz KSNZVZKDOVDGAB-UKRRQHHQSA-N 0 1 311.360 3.307 20 30 DGEDMN CC[C@H](C)CC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001227069710 1096860400 /nfs/dbraw/zinc/86/04/00/1096860400.db2.gz XURLFQGYSCPZBM-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1OC1CC[NH+](CC)CC1 ZINC001227121039 1096873130 /nfs/dbraw/zinc/87/31/30/1096873130.db2.gz YYUZILODUYZKRT-UHFFFAOYSA-N 0 1 303.402 3.186 20 30 DGEDMN C=C[C@@H](CC(=O)OC(C)(C)C)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227216499 1096896373 /nfs/dbraw/zinc/89/63/73/1096896373.db2.gz UWDSXWXQHXPHMU-LBPRGKRZSA-N 0 1 313.357 3.100 20 30 DGEDMN C=C[C@H](CC(=O)OC(C)(C)C)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227216498 1096896628 /nfs/dbraw/zinc/89/66/28/1096896628.db2.gz UWDSXWXQHXPHMU-GFCCVEGCSA-N 0 1 313.357 3.100 20 30 DGEDMN CCOC(=O)[C@H](Oc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001227215387 1096897020 /nfs/dbraw/zinc/89/70/20/1096897020.db2.gz BCTVXXBBZGERCW-MRXNPFEDSA-N 0 1 321.336 3.118 20 30 DGEDMN C=CCCCCCC[C@@H](C)Oc1c[nH]c(C(=O)OC)cc1=O ZINC001227786242 1096988476 /nfs/dbraw/zinc/98/84/76/1096988476.db2.gz QHHBOVPPKWRVAW-CYBMUJFWSA-N 0 1 307.390 3.455 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cc(O)ccc2C#N)C1 ZINC001228007109 1097031394 /nfs/dbraw/zinc/03/13/94/1097031394.db2.gz MYSIZUZZAJGXDX-RHSMWYFYSA-N 0 1 323.396 3.263 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228199107 1097072135 /nfs/dbraw/zinc/07/21/35/1097072135.db2.gz VLVMFLWLMSMEPC-RXMQYKEDSA-N 0 1 318.112 3.500 20 30 DGEDMN COc1cccc(C2(N[C@H](C)Cc3ccc(C#N)cc3)COC2)c1 ZINC001172300567 1097093540 /nfs/dbraw/zinc/09/35/40/1097093540.db2.gz WWKHSUHOHJHPOI-OAHLLOKOSA-N 0 1 322.408 3.013 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)NCC(=O)c1ccc(F)cc1F ZINC001172302919 1097094605 /nfs/dbraw/zinc/09/46/05/1097094605.db2.gz SLTSUJDGMPPEKA-LBPRGKRZSA-N 0 1 314.335 3.240 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001172311662 1097096370 /nfs/dbraw/zinc/09/63/70/1097096370.db2.gz VOXXPUPSVVRQQR-UWJYYQICSA-N 0 1 320.436 3.433 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](N[C@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172290874 1097105558 /nfs/dbraw/zinc/10/55/58/1097105558.db2.gz UATBAGZDUPXUSB-FZKQIMNGSA-N 0 1 305.381 3.081 20 30 DGEDMN CCC[C@H](C#Cc1ccccc1)Oc1[nH]c(=O)ncc1Cl ZINC001228481528 1097127827 /nfs/dbraw/zinc/12/78/27/1097127827.db2.gz AQLDJEHIZCZIOX-CYBMUJFWSA-N 0 1 302.761 3.435 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CCN1[C@H](CC)CC(C)C ZINC001172402481 1097145915 /nfs/dbraw/zinc/14/59/15/1097145915.db2.gz NOYGXKWXBKMKAI-NVXWUHKLSA-N 0 1 320.481 3.225 20 30 DGEDMN C#CCCCCCC(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001228637310 1097157224 /nfs/dbraw/zinc/15/72/24/1097157224.db2.gz QKASWBDYEJFPGZ-UHFFFAOYSA-N 0 1 318.383 3.103 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(C(=O)OC(C)(C)C)CC12CC2 ZINC001172496337 1097170037 /nfs/dbraw/zinc/17/00/37/1097170037.db2.gz MACGNVHUEOFCGE-CQSZACIVSA-N 0 1 307.438 3.154 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(Cc2c(F)cccc2F)CC1 ZINC001172497756 1097171042 /nfs/dbraw/zinc/17/10/42/1097171042.db2.gz FLINHOPUNRHPLE-CQSZACIVSA-N 0 1 307.388 3.165 20 30 DGEDMN C[C@H](CCCC#N)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C1 ZINC001172500026 1097172107 /nfs/dbraw/zinc/17/21/07/1097172107.db2.gz INSGJMGVIGWAIA-HZSPNIEDSA-N 0 1 313.417 3.006 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001228779521 1097188764 /nfs/dbraw/zinc/18/87/64/1097188764.db2.gz PBSOGRQQQGPFQT-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001228786787 1097190530 /nfs/dbraw/zinc/19/05/30/1097190530.db2.gz QIEHIGJPLCXPID-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN COc1ccc2c(c1)nc(=O)[nH]c2O[C@@H](CC#N)c1ccccc1 ZINC001229137931 1097262613 /nfs/dbraw/zinc/26/26/13/1097262613.db2.gz PXRPTLMZARGYCV-INIZCTEOSA-N 0 1 321.336 3.378 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229180670 1097273053 /nfs/dbraw/zinc/27/30/53/1097273053.db2.gz WPHPLXZXGPBWIC-CYBMUJFWSA-N 0 1 319.313 3.176 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(C#N)ccc1Br ZINC001229230351 1097279564 /nfs/dbraw/zinc/27/95/64/1097279564.db2.gz NDTQPQREVQYNRT-NSHDSACASA-N 0 1 322.158 3.309 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001229376636 1097308708 /nfs/dbraw/zinc/30/87/08/1097308708.db2.gz FIDZOMWXARUWGA-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CCOC(=O)C(F)(F)[C@@H](CC)Oc1ccccc1/C(C)=N/O ZINC001229736971 1097374663 /nfs/dbraw/zinc/37/46/63/1097374663.db2.gz MTCPDBXNAHBPJQ-HVOBPNNYSA-N 0 1 315.316 3.241 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(F)c(Br)cc1F ZINC001229849359 1097398703 /nfs/dbraw/zinc/39/87/03/1097398703.db2.gz KSXJTCXXROOYGZ-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN CC1=CC(=O)c2c(O[C@H]3C[C@H]4CC[C@@H](C3)N4C)cccc2C1=O ZINC001229913828 1097410757 /nfs/dbraw/zinc/41/07/57/1097410757.db2.gz JFEIAZJJACMKRX-WDNDVIMCSA-N 0 1 311.381 3.016 20 30 DGEDMN C=CCOC1CCC(NC2(c3ccc(F)cc3)COC2)CC1 ZINC001173317277 1097428764 /nfs/dbraw/zinc/42/87/64/1097428764.db2.gz UFZRPBGTWMTHBR-UHFFFAOYSA-N 0 1 305.393 3.155 20 30 DGEDMN C=C[C@H](CCC)Oc1nc2cccc(N3CCN(C)CC3)c2o1 ZINC001230103251 1097445616 /nfs/dbraw/zinc/44/56/16/1097445616.db2.gz GXQVWEXHCGNNNS-CQSZACIVSA-N 0 1 315.417 3.313 20 30 DGEDMN C#CC[C@@H](C)Oc1nc(C)c(C(=O)c2ccc(SC)cc2)[nH]1 ZINC001230136323 1097450132 /nfs/dbraw/zinc/45/01/32/1097450132.db2.gz DSINQJGGYFPYPW-LLVKDONJSA-N 0 1 314.410 3.462 20 30 DGEDMN CC1(C#N)CCC(N2CCO[C@@H](COc3ccccc3)C2)CC1 ZINC001173599047 1097469170 /nfs/dbraw/zinc/46/91/70/1097469170.db2.gz YLCFOUOXSGXCQN-CUYAVPTFSA-N 0 1 314.429 3.239 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)NC1CCN(CC#CC)CC1 ZINC001230320552 1097474675 /nfs/dbraw/zinc/47/46/75/1097474675.db2.gz DGOCNXIPGRCVID-IBGZPJMESA-N 0 1 324.468 3.025 20 30 DGEDMN C=CCC[C@H](C(=O)NC1CCN(CC#CC)CC1)c1ccccc1 ZINC001230479573 1097495253 /nfs/dbraw/zinc/49/52/53/1097495253.db2.gz MAJSTQLWYNVCKO-FQEVSTJZSA-N 0 1 324.468 3.340 20 30 DGEDMN N#C[C@H](Oc1cc2[nH]ncc2cc1[N+](=O)[O-])c1cccc(F)c1 ZINC001230601499 1097527205 /nfs/dbraw/zinc/52/72/05/1097527205.db2.gz MYBARMPNRTZSAR-HNNXBMFYSA-N 0 1 312.260 3.254 20 30 DGEDMN COc1ccccc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001173840612 1097530027 /nfs/dbraw/zinc/53/00/27/1097530027.db2.gz GCDLKRVIIJERGH-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@H](C)CCC)Cc1cccnc1 ZINC001230704322 1097560236 /nfs/dbraw/zinc/56/02/36/1097560236.db2.gz QTNMYXMCZMMWMU-QGZVFWFLSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)CCC1CCC1)Cc1cccnc1 ZINC001230726724 1097568224 /nfs/dbraw/zinc/56/82/24/1097568224.db2.gz NTACTJPAYSNNOX-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)CCCC(C)=O ZINC001230766919 1097578610 /nfs/dbraw/zinc/57/86/10/1097578610.db2.gz YPRFOPMEVZQAHE-QGZVFWFLSA-N 0 1 308.466 3.025 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(C)CCC)Cc1cccnc1 ZINC001230829258 1097600491 /nfs/dbraw/zinc/60/04/91/1097600491.db2.gz BORFWOHEHHGMFJ-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)[C@](C)(C=C)CC)Cc1cccnc1 ZINC001230838413 1097603607 /nfs/dbraw/zinc/60/36/07/1097603607.db2.gz PGVZAAKGMHJIHH-LJQANCHMSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H]1C[C@@]1(C)CC)Cc1cccnc1 ZINC001230882796 1097612988 /nfs/dbraw/zinc/61/29/88/1097612988.db2.gz SZYXKXNMTBSDKL-PKOBYXMFSA-N 0 1 315.461 3.012 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc([C@H](CC)CC(=O)OC)c1 ZINC001230999101 1097641793 /nfs/dbraw/zinc/64/17/93/1097641793.db2.gz HFUZSPHHANVQPJ-DGCLKSJQSA-N 0 1 318.369 3.341 20 30 DGEDMN CC[C@H](C#Cc1ccccc1)Oc1nc(Cl)nc2[nH]ncc21 ZINC001231048474 1097656018 /nfs/dbraw/zinc/65/60/18/1097656018.db2.gz OTWPIUFFPIYLLD-GFCCVEGCSA-N 0 1 312.760 3.215 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)C1=COCCC1 ZINC001231115488 1097672335 /nfs/dbraw/zinc/67/23/35/1097672335.db2.gz VPMBCKIFANCXOD-KRWDZBQOSA-N 0 1 308.466 3.184 20 30 DGEDMN CCOC(=O)c1c(C)oc(Nc2cccc3[nH]ncc32)c1C#N ZINC001174342824 1097683140 /nfs/dbraw/zinc/68/31/40/1097683140.db2.gz FYFZGZJDSIPDSN-UHFFFAOYSA-N 0 1 310.313 3.256 20 30 DGEDMN N#Cc1ncc(Br)cc1Nc1cccc2[nH]ncc21 ZINC001174343207 1097684319 /nfs/dbraw/zinc/68/43/19/1097684319.db2.gz UFDMZMLZVRTTGO-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1[C@H](C)c1cccc(OC)c1 ZINC001231178239 1097688927 /nfs/dbraw/zinc/68/89/27/1097688927.db2.gz KMRAQTZLIOSXIA-NVXWUHKLSA-N 0 1 316.445 3.255 20 30 DGEDMN C=CCOc1cccc(CN(C)CCNC(=O)OC(C)(C)C)c1 ZINC001231621030 1097792918 /nfs/dbraw/zinc/79/29/18/1097792918.db2.gz MNFSOKRCBHOTRM-UHFFFAOYSA-N 0 1 320.433 3.208 20 30 DGEDMN C=CCOc1cccc(CN2CCc3cc(CO)ccc3C2)c1 ZINC001231620956 1097793016 /nfs/dbraw/zinc/79/30/16/1097793016.db2.gz JNUVLYVUEUNOSF-UHFFFAOYSA-N 0 1 309.409 3.302 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2n[nH]c3cc(Br)ccc23)C1 ZINC001231616291 1097793727 /nfs/dbraw/zinc/79/37/27/1097793727.db2.gz YICLBMICADEERV-AWEZNQCLSA-N 0 1 319.206 3.061 20 30 DGEDMN C=CCN1CCN(Cc2cc(C(C)C)c(OC)cc2C)CC1 ZINC001231626005 1097795852 /nfs/dbraw/zinc/79/58/52/1097795852.db2.gz HOWUDZICOCVMJA-UHFFFAOYSA-N 0 1 302.462 3.431 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001174650230 1097803134 /nfs/dbraw/zinc/80/31/34/1097803134.db2.gz PBWILMRRRFSSAA-AWEZNQCLSA-N 0 1 307.438 3.042 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001174649911 1097803325 /nfs/dbraw/zinc/80/33/25/1097803325.db2.gz LISMFCCECRZXJP-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001174649912 1097803787 /nfs/dbraw/zinc/80/37/87/1097803787.db2.gz LISMFCCECRZXJP-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC1CCCC1)C2 ZINC001110171573 1097807254 /nfs/dbraw/zinc/80/72/54/1097807254.db2.gz KMBAVEPGOAGVAD-SQNIBIBYSA-N 0 1 302.462 3.092 20 30 DGEDMN COc1cc(C#N)ccc1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174814881 1097844778 /nfs/dbraw/zinc/84/47/78/1097844778.db2.gz JNGQGBVZVCZSAR-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN Cc1cc(Nc2ccc(N3CCN(C)CC3)cc2)ccc1C#N ZINC001174813974 1097862293 /nfs/dbraw/zinc/86/22/93/1097862293.db2.gz MQYBCMVRGHVYOC-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001174979116 1097914466 /nfs/dbraw/zinc/91/44/66/1097914466.db2.gz VJCJSEMNHCBNIK-CQSZACIVSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001175082475 1097942166 /nfs/dbraw/zinc/94/21/66/1097942166.db2.gz OIICXNNDWNNHBP-QAPCUYQASA-N 0 1 321.465 3.350 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2ccc(C#N)c(Cl)c2)C1 ZINC001232116955 1097942858 /nfs/dbraw/zinc/94/28/58/1097942858.db2.gz SZUYXDFMJTUAQF-QGZVFWFLSA-N 0 1 320.820 3.377 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](COCC3CC3)C2)cc1Cl ZINC001232115921 1097943014 /nfs/dbraw/zinc/94/30/14/1097943014.db2.gz DJUIMEHWTGDUIR-OAHLLOKOSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccnc(C3CC3)c2)C1 ZINC001277626281 1097950846 /nfs/dbraw/zinc/95/08/46/1097950846.db2.gz VLFMNOMMLLSMCW-CQSZACIVSA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@@H]1CCN(Cc1ncsc1C)C2 ZINC001232200490 1097963257 /nfs/dbraw/zinc/96/32/57/1097963257.db2.gz CNDQUGPAQDWSNL-SJORKVTESA-N 0 1 322.474 3.025 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001277654735 1098028784 /nfs/dbraw/zinc/02/87/84/1098028784.db2.gz CUIKKWTVHLVPCB-CHWSQXEVSA-N 0 1 320.811 3.001 20 30 DGEDMN CNC(=O)c1cc(C#N)cc(C)c1Nc1c2cn[nH]c2ccc1C ZINC001175527440 1098083613 /nfs/dbraw/zinc/08/36/13/1098083613.db2.gz NMVJBNLUCVJTHX-UHFFFAOYSA-N 0 1 319.368 3.155 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@@H]1CC=CCC1 ZINC001277684485 1098083747 /nfs/dbraw/zinc/08/37/47/1098083747.db2.gz KHRKDQXMXVEUFI-ZBFHGGJFSA-N 0 1 310.869 3.312 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1c2cn[nH]c2ccc1C ZINC001175527403 1098083869 /nfs/dbraw/zinc/08/38/69/1098083869.db2.gz LOGOUVMBZNKATL-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN CCCN(CCC#N)Cc1cc(F)c(O)c(Br)c1 ZINC001232633094 1098101945 /nfs/dbraw/zinc/10/19/45/1098101945.db2.gz DRQYDUGOAMJWTF-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN COc1ccc2c(c1)C[N@H+](Cc1cc(C#N)ccc1[O-])CCC2 ZINC001232681850 1098113047 /nfs/dbraw/zinc/11/30/47/1098113047.db2.gz WLRMDONDCWVBPI-UHFFFAOYSA-N 0 1 308.381 3.221 20 30 DGEDMN Cc1cccnc1O[C@@H]1CCC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232682047 1098113255 /nfs/dbraw/zinc/11/32/55/1098113255.db2.gz YWAIXOSEFQCGRD-QGZVFWFLSA-N 0 1 323.396 3.011 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3cccc4ncsc43)CCC2S1 ZINC001232730806 1098121269 /nfs/dbraw/zinc/12/12/69/1098121269.db2.gz OOOFWLLKPFFMKF-ZDUSSCGKSA-N 0 1 302.424 3.070 20 30 DGEDMN O=C1C=C2C[N@H+](Cc3cccc4ncsc43)CCC2S1 ZINC001232730806 1098121271 /nfs/dbraw/zinc/12/12/71/1098121271.db2.gz OOOFWLLKPFFMKF-ZDUSSCGKSA-N 0 1 302.424 3.070 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1ccc2n[nH]c(C)c2c1 ZINC001175702159 1098126816 /nfs/dbraw/zinc/12/68/16/1098126816.db2.gz MCLOXKDGVPBFMU-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN N#Cc1ccc(CN2CCC(COc3cccnc3)CC2)s1 ZINC001232844336 1098150212 /nfs/dbraw/zinc/15/02/12/1098150212.db2.gz LQLNJNXHTDUBMA-UHFFFAOYSA-N 0 1 313.426 3.306 20 30 DGEDMN COCC[C@@H]1CO[C@]2(C1)CCCN(Cc1ccc(C#N)s1)C2 ZINC001232843160 1098150243 /nfs/dbraw/zinc/15/02/43/1098150243.db2.gz RZEFHNDAWKXYBD-YOEHRIQHSA-N 0 1 320.458 3.027 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CC2)C(=O)Nc2ccccc23)s1 ZINC001232844417 1098150856 /nfs/dbraw/zinc/15/08/56/1098150856.db2.gz OCVIVQYGWHKVOB-UHFFFAOYSA-N 0 1 323.421 3.106 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCC[N@H+](Cc1cc(C)cc(O)c1)C2 ZINC001232881872 1098160747 /nfs/dbraw/zinc/16/07/47/1098160747.db2.gz QGJBMPLJYFYAAI-MOPGFXCFSA-N 0 1 317.429 3.027 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1ccc(C)cc1C#N)CC2 ZINC001232965271 1098185216 /nfs/dbraw/zinc/18/52/16/1098185216.db2.gz SSLVWQNWKQIJTQ-UHFFFAOYSA-N 0 1 323.440 3.010 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2ccc(C)cc2C#N)C[C@@H]1C ZINC001232969332 1098188426 /nfs/dbraw/zinc/18/84/26/1098188426.db2.gz PPNUYLOLASOOLL-JKSUJKDBSA-N 0 1 314.429 3.278 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232985974 1098193314 /nfs/dbraw/zinc/19/33/14/1098193314.db2.gz DLWQMPZQUNEIRT-GOSISDBHSA-N 0 1 314.429 3.441 20 30 DGEDMN CC(C)=CC(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001277750817 1098206696 /nfs/dbraw/zinc/20/66/96/1098206696.db2.gz CEVCHRBVRMKXFO-HNNXBMFYSA-N 0 1 318.848 3.094 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC(O)(c2ccccc2F)CC1 ZINC001233080750 1098222109 /nfs/dbraw/zinc/22/21/09/1098222109.db2.gz BMWQERIQUQZLPP-UHFFFAOYSA-N 0 1 324.399 3.489 20 30 DGEDMN Cc1cnsc1CN1CCC[C@@H](Oc2cccc(C#N)c2)C1 ZINC001233321407 1098286771 /nfs/dbraw/zinc/28/67/71/1098286771.db2.gz NJAVTZVZRCHWNB-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN C#Cc1cc(Nc2ccc(CCN3CCOCC3)cc2)ccc1F ZINC001176383071 1098326385 /nfs/dbraw/zinc/32/63/85/1098326385.db2.gz NGIDVFVDXIGCRO-UHFFFAOYSA-N 0 1 324.399 3.425 20 30 DGEDMN N#Cc1ccccc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176383079 1098326432 /nfs/dbraw/zinc/32/64/32/1098326432.db2.gz JVQNWRYXXYUVOV-UHFFFAOYSA-N 0 1 307.397 3.177 20 30 DGEDMN CC#CCN1CCc2c(cccc2NC(=O)OC(C)(C)C)C1 ZINC001176767677 1098435737 /nfs/dbraw/zinc/43/57/37/1098435737.db2.gz QCUFHANXBWNWSR-UHFFFAOYSA-N 0 1 300.402 3.415 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(Cl)c(C(=O)OC)c1 ZINC001233970768 1098469083 /nfs/dbraw/zinc/46/90/83/1098469083.db2.gz ROPFQYADNYRVJC-LBPRGKRZSA-N 0 1 310.733 3.115 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCCNC(=O)CC(C)(C)C ZINC001233996808 1098473576 /nfs/dbraw/zinc/47/35/76/1098473576.db2.gz AWUQSVVFWMKVLF-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2OCCN(CCC(C)(C)C)[C@H]2C1 ZINC001177089838 1098476009 /nfs/dbraw/zinc/47/60/09/1098476009.db2.gz VZLZHQUGDNWSDX-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001277834253 1098502765 /nfs/dbraw/zinc/50/27/65/1098502765.db2.gz DKNVMLUVQMXLHX-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN CCC(CC)C(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001234180734 1098511130 /nfs/dbraw/zinc/51/11/30/1098511130.db2.gz KGSSANNSNOVFFH-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN Cc1ccccc1CN1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196310 1098512028 /nfs/dbraw/zinc/51/20/28/1098512028.db2.gz RDISIZWQDALBGZ-SFHVURJKSA-N 0 1 312.457 3.077 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCCCCCC ZINC001234276793 1098525852 /nfs/dbraw/zinc/52/58/52/1098525852.db2.gz SURRJFJMFXTQRT-IAGOWNOFSA-N 0 1 310.482 3.081 20 30 DGEDMN C#CC[C@@H](CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001110206380 1098530896 /nfs/dbraw/zinc/53/08/96/1098530896.db2.gz MSJSFSICVCPVBL-YRPNKDGESA-N 0 1 322.452 3.091 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](CC)OC1CCCCC1 ZINC001234295825 1098531051 /nfs/dbraw/zinc/53/10/51/1098531051.db2.gz HTCNORNYDPQDSI-SJLPKXTDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1c(F)ccc(Cl)c1OC ZINC001234324393 1098537145 /nfs/dbraw/zinc/53/71/45/1098537145.db2.gz CQHDSWYAQUZRBL-JTQLQIEISA-N 0 1 300.713 3.476 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001234510328 1098573117 /nfs/dbraw/zinc/57/31/17/1098573117.db2.gz XEMGHHOPCSVVBB-IBGZPJMESA-N 0 1 312.457 3.488 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(OCCOC2CC2)cc1 ZINC001234538700 1098590801 /nfs/dbraw/zinc/59/08/01/1098590801.db2.gz MVGYJHDJMRURIS-MRXNPFEDSA-N 0 1 318.369 3.233 20 30 DGEDMN CN(Cc1ccc(F)cc1F)C(=O)C(C#N)Cc1cccs1 ZINC001177908714 1098645477 /nfs/dbraw/zinc/64/54/77/1098645477.db2.gz DNXHCSWJEONWQO-GFCCVEGCSA-N 0 1 320.364 3.367 20 30 DGEDMN Cc1ccc(CNC(=O)C(C#N)Cc2cccs2)c(F)c1F ZINC001177916460 1098647830 /nfs/dbraw/zinc/64/78/30/1098647830.db2.gz WVPYUIDBSQSHQD-GFCCVEGCSA-N 0 1 320.364 3.333 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1sccc1Cl ZINC001177917790 1098648040 /nfs/dbraw/zinc/64/80/40/1098648040.db2.gz MGXFLEGDUPWMOI-VIFPVBQESA-N 0 1 310.831 3.462 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cc(F)c(F)c(F)c1 ZINC001177916519 1098648178 /nfs/dbraw/zinc/64/81/78/1098648178.db2.gz IHPOBDVRFBRSFA-JTQLQIEISA-N 0 1 324.327 3.164 20 30 DGEDMN CC(C)=C(C)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001277907957 1098709616 /nfs/dbraw/zinc/70/96/16/1098709616.db2.gz POWKTJLUMYOODP-INIZCTEOSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cnc(Cl)c(C(F)(F)F)c1 ZINC001234958674 1098727096 /nfs/dbraw/zinc/72/70/96/1098727096.db2.gz SSTWHXQGWFKLTJ-RXMQYKEDSA-N 0 1 307.655 3.352 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C/[C@]2(C)CC[C@@H](C)C2(C)C)CC1 ZINC001178207616 1098747425 /nfs/dbraw/zinc/74/74/25/1098747425.db2.gz JWPPWQGVXFRLAC-YBQAAQTASA-N 0 1 316.489 3.219 20 30 DGEDMN C=CCCN(Cc1ccccc1)Cc1cc(C(=O)OC)ccn1 ZINC001235207462 1098879876 /nfs/dbraw/zinc/87/98/76/1098879876.db2.gz MFJGDJVAAYPNDP-UHFFFAOYSA-N 0 1 310.397 3.447 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1ccncc1Cl ZINC001277933129 1098922670 /nfs/dbraw/zinc/92/26/70/1098922670.db2.gz UMAJHVFJWJDVEI-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cccc(F)c2F)[C@@H]1C ZINC001178805895 1098936917 /nfs/dbraw/zinc/93/69/17/1098936917.db2.gz OHVQEPJNECYTCH-BBRMVZONSA-N 0 1 322.399 3.400 20 30 DGEDMN COC[C@H](C)Oc1ccc(CNCc2ccc(C#N)s2)cc1 ZINC001178981876 1098982673 /nfs/dbraw/zinc/98/26/73/1098982673.db2.gz PPIDWCOIFYXQMM-ZDUSSCGKSA-N 0 1 316.426 3.323 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C\c1cccc(F)c1 ZINC001235474030 1099055777 /nfs/dbraw/zinc/05/57/77/1099055777.db2.gz ZIKYTDONMCDSJB-YEODSOHDSA-N 0 1 316.420 3.338 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179306251 1099057292 /nfs/dbraw/zinc/05/72/92/1099057292.db2.gz FPBSJAJMBDKWCQ-GOEBONIOSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCCCCNCc1nocc1C ZINC001179306773 1099059325 /nfs/dbraw/zinc/05/93/25/1099059325.db2.gz MCGQRFSYBRUPSJ-ZBFHGGJFSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCCCCNCc1nocc1C ZINC001179340232 1099076561 /nfs/dbraw/zinc/07/65/61/1099076561.db2.gz WKVWEQWTOAFQMA-MRXNPFEDSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)[C@@H]1C ZINC001179451975 1099104273 /nfs/dbraw/zinc/10/42/73/1099104273.db2.gz LJNRKFCVWVJVSG-GUYCJALGSA-N 0 1 322.399 3.400 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1nc3ccc(F)cc3[nH]1)CC2 ZINC001235681924 1099124956 /nfs/dbraw/zinc/12/49/56/1099124956.db2.gz FYGXTXYFMFJERU-UHFFFAOYSA-N 0 1 306.344 3.132 20 30 DGEDMN CCCOc1ccc(CNCC2(C#N)CCOCC2)cc1C ZINC001179780570 1099196503 /nfs/dbraw/zinc/19/65/03/1099196503.db2.gz MXGJMDDBEDSOIQ-UHFFFAOYSA-N 0 1 302.418 3.194 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1ccc(CN2CCCC2)o1 ZINC001179840339 1099216700 /nfs/dbraw/zinc/21/67/00/1099216700.db2.gz AZFUVUBQCFMDSC-OAHLLOKOSA-N 0 1 302.418 3.446 20 30 DGEDMN CCN(CC)CC(=O)Nc1nc(-c2ccc(C#N)s2)cs1 ZINC001180385626 1099389411 /nfs/dbraw/zinc/38/94/11/1099389411.db2.gz TZWXSDMELAKZOZ-UHFFFAOYSA-N 0 1 320.443 3.024 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2CN(C[C@@H](F)CC)C[C@H]21 ZINC001188033840 1099672575 /nfs/dbraw/zinc/67/25/75/1099672575.db2.gz PBHYQFPYADRRJN-YESZJQIVSA-N 0 1 308.441 3.036 20 30 DGEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)COCCCCCCCC)[C@@H]2C1 ZINC001188212052 1099720410 /nfs/dbraw/zinc/72/04/10/1099720410.db2.gz BLTPMXMCZYXWKP-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1cc(C)cc(F)c1 ZINC001273556286 1099723970 /nfs/dbraw/zinc/72/39/70/1099723970.db2.gz AAHBKFWZMGJDOR-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C#C[C@H]1CCCN(Cc2ccc(N3CCOCC3)cc2Cl)C1 ZINC001236920760 1099880802 /nfs/dbraw/zinc/88/08/02/1099880802.db2.gz XDVDXYBRBRNJBS-HNNXBMFYSA-N 0 1 318.848 3.022 20 30 DGEDMN C#CCN(C)Cc1cccc2c1CCN2C(=O)OC(C)(C)C ZINC001236980467 1099901555 /nfs/dbraw/zinc/90/15/55/1099901555.db2.gz RORHSEPPNKEQQD-UHFFFAOYSA-N 0 1 300.402 3.049 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001188942166 1099905496 /nfs/dbraw/zinc/90/54/96/1099905496.db2.gz RROHWHVXJQKPBA-IBGZPJMESA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CN(Cc3ccccc3F)C[C@H]21 ZINC001188999230 1099924858 /nfs/dbraw/zinc/92/48/58/1099924858.db2.gz GTYKDEIGSNBAEO-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCN3CC[C@@H]3C2)c1 ZINC001189268575 1100012169 /nfs/dbraw/zinc/01/21/69/1100012169.db2.gz KXZLDYCTNWOVTO-QGZVFWFLSA-N 0 1 313.445 3.054 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)CCCCCC)[C@@H]2C1 ZINC001189763418 1100127039 /nfs/dbraw/zinc/12/70/39/1100127039.db2.gz KJQYGPLIQIRCOZ-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CC/C(C)=C/C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001190025802 1100185752 /nfs/dbraw/zinc/18/57/52/1100185752.db2.gz VUHFLGZXDPGDDL-SSUFTNFISA-N 0 1 317.458 3.009 20 30 DGEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@H](C)c2ccccc2F)C1 ZINC001190004169 1100185797 /nfs/dbraw/zinc/18/57/97/1100185797.db2.gz NPZVCXUJASAVFF-CVEARBPZSA-N 0 1 316.420 3.079 20 30 DGEDMN CC(C)c1nc(CCN(C)Cc2cc(Cl)cc(C#N)c2)no1 ZINC001237745088 1100236433 /nfs/dbraw/zinc/23/64/33/1100236433.db2.gz BYDWYIJFPZWWAC-UHFFFAOYSA-N 0 1 318.808 3.393 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001190398555 1100260289 /nfs/dbraw/zinc/26/02/89/1100260289.db2.gz XKKCZOVAYJSZML-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)CCC(F)(F)F)C1 ZINC001190398555 1100260273 /nfs/dbraw/zinc/26/02/73/1100260273.db2.gz XKKCZOVAYJSZML-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cccc(-c2ccccn2)n1 ZINC001237834352 1100263824 /nfs/dbraw/zinc/26/38/24/1100263824.db2.gz UBXBMYRAUSTCAD-XGBSXSJOSA-N 0 1 304.397 3.410 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2ccnc(Cl)c2)C1 ZINC001190423213 1100270137 /nfs/dbraw/zinc/27/01/37/1100270137.db2.gz OENWSUQVXOXYFW-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)c3cccc(C#N)c3F)cc(F)c12 ZINC001190544815 1100291936 /nfs/dbraw/zinc/29/19/36/1100291936.db2.gz CQKMCCSGQUDIJY-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001190742165 1100330710 /nfs/dbraw/zinc/33/07/10/1100330710.db2.gz AXOWRJNDJKKYFX-FHWLQOOXSA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1noc(NC(=O)c2nc(-c3ccccc3)cs2)c1C#N ZINC001191037302 1100384895 /nfs/dbraw/zinc/38/48/95/1100384895.db2.gz IZBSMABXPVKMNN-UHFFFAOYSA-N 0 1 310.338 3.231 20 30 DGEDMN CCCCCCCNC(=O)Nc1cnccc1N1CCOCC1 ZINC001191087173 1100408118 /nfs/dbraw/zinc/40/81/18/1100408118.db2.gz ZGRIQEWJXCONGW-UHFFFAOYSA-N 0 1 320.437 3.010 20 30 DGEDMN CCN(CC#Cc1ccc(Cl)cc1)[C@H](C)CNC(=O)C(C)C ZINC001151941351 1100421091 /nfs/dbraw/zinc/42/10/91/1100421091.db2.gz PEWVGJXPRNEZND-OAHLLOKOSA-N 0 1 320.864 3.174 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(F)c(C)c1Cl ZINC001191385292 1100454985 /nfs/dbraw/zinc/45/49/85/1100454985.db2.gz ZYDNILJSZGIEKV-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN CC(C)=C(C)CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191763958 1100507576 /nfs/dbraw/zinc/50/75/76/1100507576.db2.gz QWYNFLLFJHPDMF-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN CCc1cc(CN2CCc3cc(CO)ccc3C2)ccc1C#N ZINC001238766308 1100523733 /nfs/dbraw/zinc/52/37/33/1100523733.db2.gz KHTLNDFSICWGAH-UHFFFAOYSA-N 0 1 306.409 3.171 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001191912036 1100539660 /nfs/dbraw/zinc/53/96/60/1100539660.db2.gz MGYVLDRKDTUNQK-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN COc1cc(C#N)ccc1C(=O)Nc1nc2cccc(C)c2[nH]1 ZINC001192235970 1100594280 /nfs/dbraw/zinc/59/42/80/1100594280.db2.gz LYAKFSMVALIFPN-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001192333292 1100605348 /nfs/dbraw/zinc/60/53/48/1100605348.db2.gz SBPRJWNKGQFCRF-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001192464705 1100626025 /nfs/dbraw/zinc/62/60/25/1100626025.db2.gz SEAVPRDNUTYVTQ-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC001192631963 1100646774 /nfs/dbraw/zinc/64/67/74/1100646774.db2.gz LQQLWOPVYBYJKU-OALUTQOASA-N 0 1 318.505 3.395 20 30 DGEDMN CCCCCCCNC(=S)N1CCNC[C@H]1c1ccccc1 ZINC001239417401 1100657507 /nfs/dbraw/zinc/65/75/07/1100657507.db2.gz SXQGZWCMLMPLON-KRWDZBQOSA-N 0 1 319.518 3.478 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)C2CCCCC2)C1 ZINC001192749368 1100662101 /nfs/dbraw/zinc/66/21/01/1100662101.db2.gz NJTVPDGHPHQCRL-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CCc3cccc(F)c3C2)cc1 ZINC001192963903 1100687462 /nfs/dbraw/zinc/68/74/62/1100687462.db2.gz DUEWIMOSTSFJDE-UHFFFAOYSA-N 0 1 322.383 3.194 20 30 DGEDMN N#Cc1cc(C(=O)N2CCCC3(CC(F)C3)CC2)ccc1O ZINC001193503649 1100757170 /nfs/dbraw/zinc/75/71/70/1100757170.db2.gz BPFIDVZMOCCZKC-UHFFFAOYSA-N 0 1 302.349 3.008 20 30 DGEDMN CN(Cc1cccc2cccnc21)C(=O)c1ccc(O)c(C#N)c1 ZINC001193504475 1100757188 /nfs/dbraw/zinc/75/71/88/1100757188.db2.gz SDCDRGMKZWIKNT-UHFFFAOYSA-N 0 1 317.348 3.084 20 30 DGEDMN CN(C(=O)c1ccc(O)c(C#N)c1)[C@H](C1CCC1)C(F)(F)F ZINC001193505036 1100757210 /nfs/dbraw/zinc/75/72/10/1100757210.db2.gz XDIHIGSRGMDYIH-CYBMUJFWSA-N 0 1 312.291 3.067 20 30 DGEDMN N#Cc1cc(C(=O)N2CCC[C@@H](Cc3ccccc3)C2)ccc1O ZINC001193504760 1100757403 /nfs/dbraw/zinc/75/74/03/1100757403.db2.gz UCGQIXHSNUTQEF-INIZCTEOSA-N 0 1 320.392 3.359 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3[nH]nc(Cl)c3c2)ccc1O ZINC001193506834 1100757606 /nfs/dbraw/zinc/75/76/06/1100757606.db2.gz ZIWPYOBZGAHGLF-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN N#Cc1cc(C(=O)NCCc2ccc3ccccc3c2)ccc1O ZINC001193515805 1100760191 /nfs/dbraw/zinc/76/01/91/1100760191.db2.gz SRTGKHDBPULRKC-UHFFFAOYSA-N 0 1 316.360 3.390 20 30 DGEDMN COc1ccc(C#N)c(S(=O)(=O)Nc2cccc(Cl)c2)c1 ZINC001193636034 1100777467 /nfs/dbraw/zinc/77/74/67/1100777467.db2.gz JHMMZGLFKHNARC-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN Cc1cc(C)cc(OC[C@H](C)NC(=O)c2cccc(C#N)c2O)c1 ZINC001193652351 1100784537 /nfs/dbraw/zinc/78/45/37/1100784537.db2.gz FRHIGKXONPLUCX-AWEZNQCLSA-N 0 1 324.380 3.078 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CCC)c2ccccc2)C1 ZINC001193877141 1100821478 /nfs/dbraw/zinc/82/14/78/1100821478.db2.gz CCHCDKMYAGINDU-RBUKOAKNSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001194324632 1100913019 /nfs/dbraw/zinc/91/30/19/1100913019.db2.gz FPBWGORBRQKJDH-QFBILLFUSA-N 0 1 312.457 3.370 20 30 DGEDMN CCCCCCCN1CCCN(C(=O)c2cncs2)CC1 ZINC001195025645 1101053977 /nfs/dbraw/zinc/05/39/77/1101053977.db2.gz QIWASCQCAMYLBP-UHFFFAOYSA-N 0 1 309.479 3.261 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](CC2=CCCCC2)CC1 ZINC001195173556 1101092685 /nfs/dbraw/zinc/09/26/85/1101092685.db2.gz BRLFJYJFFGKPMI-KRWDZBQOSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc(F)cc2C)CC1 ZINC001195225728 1101101837 /nfs/dbraw/zinc/10/18/37/1101101837.db2.gz IRCZMGGXCBRLGK-UHFFFAOYSA-N 0 1 304.409 3.135 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC001195464694 1101134550 /nfs/dbraw/zinc/13/45/50/1101134550.db2.gz YXQPULRYDCELBV-GJZGRUSLSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)N2c3ccccc3CC[C@@H]2C)CC1 ZINC001195463332 1101138689 /nfs/dbraw/zinc/13/86/89/1101138689.db2.gz LAAGHDLJUXNTDP-HOTGVXAUSA-N 0 1 310.441 3.088 20 30 DGEDMN C#CCCCCC(=O)N1CCCN(Cc2csc(C)c2)CC1 ZINC001195556029 1101153336 /nfs/dbraw/zinc/15/33/36/1101153336.db2.gz QPYXNQWLOPYSEE-UHFFFAOYSA-N 0 1 318.486 3.284 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(F)ccc3CC#N)cc2)CC1 ZINC001240852277 1101157695 /nfs/dbraw/zinc/15/76/95/1101157695.db2.gz QGLSKNNIFKPUOX-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(C#N)cc2O)cnc1OC(C)C ZINC001195741761 1101196465 /nfs/dbraw/zinc/19/64/65/1101196465.db2.gz YTQBBRLQYYBFST-UHFFFAOYSA-N 0 1 311.341 3.007 20 30 DGEDMN Cc1cccc(CN2CCCN(C(=O)C#CC(C)(C)C)CC2)c1 ZINC001195780548 1101199742 /nfs/dbraw/zinc/19/97/42/1101199742.db2.gz FDLORZXYUSOLDX-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)c2nc(C(C)C)oc2C)CC1 ZINC001195838629 1101211862 /nfs/dbraw/zinc/21/18/62/1101211862.db2.gz AAXHOUDHNQSXTO-UHFFFAOYSA-N 0 1 319.449 3.221 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)c2coc(C(C)(C)C)n2)CC1 ZINC001195838988 1101212036 /nfs/dbraw/zinc/21/20/36/1101212036.db2.gz HMRPFZISTJYFGA-UHFFFAOYSA-N 0 1 319.449 3.086 20 30 DGEDMN C=CCN1CCCN(C(=O)COCCCCCCCC)CC1 ZINC001196171423 1101262467 /nfs/dbraw/zinc/26/24/67/1101262467.db2.gz LMLVTPOFZFCHIM-UHFFFAOYSA-N 0 1 310.482 3.084 20 30 DGEDMN N#Cc1ccccc1NC(=S)Nc1ncc(Cl)cc1O ZINC001196287324 1101281851 /nfs/dbraw/zinc/28/18/51/1101281851.db2.gz ZUJVVHBHNZTYHO-UHFFFAOYSA-N 0 1 304.762 3.121 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C2CCCCCCC2)CC1 ZINC001196470859 1101311832 /nfs/dbraw/zinc/31/18/32/1101311832.db2.gz GMQRHQZSWSEMOE-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN N#Cc1cccc(CN[C@H](CO)c2cccc(C(F)(F)F)c2)c1 ZINC001196927954 1101427726 /nfs/dbraw/zinc/42/77/26/1101427726.db2.gz YSEXBPGXYVLDDW-MRXNPFEDSA-N 0 1 320.314 3.400 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196895242 1101429651 /nfs/dbraw/zinc/42/96/51/1101429651.db2.gz IDVQPNIUFYHXIC-SFHVURJKSA-N 0 1 324.509 3.186 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196901626 1101430947 /nfs/dbraw/zinc/43/09/47/1101430947.db2.gz QHDINAKPKGXYTH-GOSISDBHSA-N 0 1 324.509 3.186 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[N@@H+](CCOCCC(C)C)CC1 ZINC001197038501 1101462898 /nfs/dbraw/zinc/46/28/98/1101462898.db2.gz WVLORKOSWRHSNG-IBGZPJMESA-N 0 1 324.509 3.186 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001197038501 1101462905 /nfs/dbraw/zinc/46/29/05/1101462905.db2.gz WVLORKOSWRHSNG-IBGZPJMESA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCCC1(C(=O)N2CCC[N@H+](CCCCCOC)CC2)CC1 ZINC001197062732 1101467439 /nfs/dbraw/zinc/46/74/39/1101467439.db2.gz XOHJSERZWVFQPV-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN COc1ccnc(F)c1C(=O)Nc1c(C#N)oc2ccccc21 ZINC001197135932 1101475844 /nfs/dbraw/zinc/47/58/44/1101475844.db2.gz NZPFIIXJHDTQDG-UHFFFAOYSA-N 0 1 311.272 3.099 20 30 DGEDMN COc1cc(C#N)cc(-c2ccc3c(C)[nH]nc3c2)c1OC(C)=O ZINC001241638091 1101599022 /nfs/dbraw/zinc/59/90/22/1101599022.db2.gz JNYAWAJVCGBBRM-UHFFFAOYSA-N 0 1 321.336 3.344 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3cc(F)cc(C#N)c3)ccc-2n1 ZINC001241656262 1101612413 /nfs/dbraw/zinc/61/24/13/1101612413.db2.gz ZUQTWWPXVNQFKM-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN N#Cc1cc(F)cc(-c2ccc(CCN3CCOCC3)cc2)c1 ZINC001241658802 1101613735 /nfs/dbraw/zinc/61/37/35/1101613735.db2.gz LVYVVEYAUYECBL-UHFFFAOYSA-N 0 1 310.372 3.239 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC001197646314 1101621139 /nfs/dbraw/zinc/62/11/39/1101621139.db2.gz DMFJRAOAMRKNOG-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN CCCCCN1CCN(C(=S)Nc2cccc(C#N)c2)CC1 ZINC001197695934 1101644112 /nfs/dbraw/zinc/64/41/12/1101644112.db2.gz LEDYOWJSSOMKHO-UHFFFAOYSA-N 0 1 316.474 3.063 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001197802299 1101669930 /nfs/dbraw/zinc/66/99/30/1101669930.db2.gz NKYROIBOVRYGCV-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2cc(Cl)ccn2)CC1 ZINC001197937556 1101706663 /nfs/dbraw/zinc/70/66/63/1101706663.db2.gz QYBINBRBLSYJPM-UHFFFAOYSA-N 0 1 306.841 3.187 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC[N@H+](CCCF)CC1)c1ccccc1 ZINC001198190514 1101783178 /nfs/dbraw/zinc/78/31/78/1101783178.db2.gz JBRMJAFNHYEZMN-SFHVURJKSA-N 0 1 318.436 3.240 20 30 DGEDMN C=CCc1ccnc(-c2ccc3nn[nH]c3c2)c1C(OC)OC ZINC001241940568 1101792227 /nfs/dbraw/zinc/79/22/27/1101792227.db2.gz NKLQEMOUISEPLJ-UHFFFAOYSA-N 0 1 310.357 3.040 20 30 DGEDMN CSc1ccccc1CN1CCc2c(C#N)c(N)sc2C1 ZINC001198414514 1101848176 /nfs/dbraw/zinc/84/81/76/1101848176.db2.gz VARKOZBYSUNJMH-UHFFFAOYSA-N 0 1 315.467 3.482 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CC(C)(C)C)c1ccccc1OC ZINC001198529457 1101896851 /nfs/dbraw/zinc/89/68/51/1101896851.db2.gz FAHRHFYNTJRXOZ-MRXNPFEDSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCC=C(C)C)c1ccccc1OC ZINC001198596533 1101921371 /nfs/dbraw/zinc/92/13/71/1101921371.db2.gz RLFCSDAWYQGPJO-QGZVFWFLSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C=C1CCC1)c1ccccc1OC ZINC001198657214 1101943061 /nfs/dbraw/zinc/94/30/61/1101943061.db2.gz RKGDDBBQHVGEBI-KRWDZBQOSA-N 0 1 314.429 3.129 20 30 DGEDMN COC(=O)c1ccc(-c2ccc(O)c(C(F)(F)F)c2)c(C#N)n1 ZINC001242162370 1101954190 /nfs/dbraw/zinc/95/41/90/1101954190.db2.gz MNVKZABFRBUTBR-UHFFFAOYSA-N 0 1 322.242 3.131 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1OC ZINC001198777324 1101985841 /nfs/dbraw/zinc/98/58/41/1101985841.db2.gz YEVLEPQILBINLH-ZBFHGGJFSA-N 0 1 318.461 3.310 20 30 DGEDMN N#Cc1cc(-c2ccc(F)cc2[O-])ccc1OC1CC[NH2+]CC1 ZINC001242218552 1101989018 /nfs/dbraw/zinc/98/90/18/1101989018.db2.gz FKMINTSCJKRRMN-UHFFFAOYSA-N 0 1 312.344 3.201 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)(C)CC)c1ccccc1OC ZINC001198875731 1102017443 /nfs/dbraw/zinc/01/74/43/1102017443.db2.gz HSMREBMVWCUURO-OAHLLOKOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCCC(C)C)c1ccccc1OC ZINC001198916507 1102026803 /nfs/dbraw/zinc/02/68/03/1102026803.db2.gz ODBMKVSMIYLXAR-QGZVFWFLSA-N 0 1 318.461 3.454 20 30 DGEDMN COc1cccc(-c2nc(C(C)(C)C)nc3nc[nH]c32)c1C#N ZINC001242297390 1102037245 /nfs/dbraw/zinc/03/72/45/1102037245.db2.gz BSOGUPKPSLROGA-UHFFFAOYSA-N 0 1 307.357 3.198 20 30 DGEDMN CN(C)c1ccncc1C(=O)Nc1c(C#N)oc2ccccc21 ZINC001199349707 1102111410 /nfs/dbraw/zinc/11/14/10/1102111410.db2.gz UDYOOZMUQDSHGY-UHFFFAOYSA-N 0 1 306.325 3.018 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2cncs2)CCCC1 ZINC001273783589 1102153392 /nfs/dbraw/zinc/15/33/92/1102153392.db2.gz KQVDKBHZNJOXAI-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3cc(C)cc(C#N)c3)nc2)CC1 ZINC001242479350 1102156594 /nfs/dbraw/zinc/15/65/94/1102156594.db2.gz RBUSHNVGPJRQSV-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(Cl)cc(C#N)c3)n2)CC1 ZINC001242566923 1102216099 /nfs/dbraw/zinc/21/60/99/1102216099.db2.gz FSBCZKQMOHBPKS-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN N#Cc1nc(-c2ccc(OCC(F)(F)F)cc2)cc2[nH]cnc21 ZINC001242718419 1102304296 /nfs/dbraw/zinc/30/42/96/1102304296.db2.gz QBKIVGOYHFMPHP-UHFFFAOYSA-N 0 1 318.258 3.438 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1c(C)nsc1C ZINC001493123364 1102345342 /nfs/dbraw/zinc/34/53/42/1102345342.db2.gz KQSQMNITUBEJKZ-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#Cc1cncc(-c2ccc3cc(C(=O)OCC)nc-3[nH]2)c1 ZINC001242939648 1102430331 /nfs/dbraw/zinc/43/03/31/1102430331.db2.gz UMFLPDACSRKPPP-UHFFFAOYSA-N 0 1 305.337 3.173 20 30 DGEDMN N#Cc1cc(-c2ccc(F)c([O-])c2)ccc1OC1CC[NH2+]CC1 ZINC001243052456 1102500424 /nfs/dbraw/zinc/50/04/24/1102500424.db2.gz WMUUQRXHNPNEMA-UHFFFAOYSA-N 0 1 312.344 3.201 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccccc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001243161596 1102562485 /nfs/dbraw/zinc/56/24/85/1102562485.db2.gz FYLCOJSEQXKMLX-UHFFFAOYSA-N 0 1 319.368 3.481 20 30 DGEDMN CCCCCCCCN1Cc2nnn(C)c2[C@@H](COCC)C1 ZINC001201813842 1102732779 /nfs/dbraw/zinc/73/27/79/1102732779.db2.gz KDFJYAHOCIJFQR-OAHLLOKOSA-N 0 1 308.470 3.111 20 30 DGEDMN CCCCCCCCCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001201840122 1102745091 /nfs/dbraw/zinc/74/50/91/1102745091.db2.gz VDLOHFFGBYRICP-QGZVFWFLSA-N 0 1 310.482 3.060 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccccc3OCC#N)ccc-2n1 ZINC001243580612 1102823883 /nfs/dbraw/zinc/82/38/83/1102823883.db2.gz STILLBRSVWHETO-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN N#CCOc1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1 ZINC001243593205 1102835651 /nfs/dbraw/zinc/83/56/51/1102835651.db2.gz GAVUXNXOADLJGH-UHFFFAOYSA-N 0 1 322.408 3.131 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(C(C)(C)C#N)nc3)c2)CC1 ZINC001243670256 1102903080 /nfs/dbraw/zinc/90/30/80/1102903080.db2.gz HUQSJDINYRDCAJ-UHFFFAOYSA-N 0 1 320.440 3.302 20 30 DGEDMN C=CCOc1ccc(CNCc2cccc3[nH]c(=O)oc32)cc1 ZINC001202366484 1102963152 /nfs/dbraw/zinc/96/31/52/1102963152.db2.gz NQZXAEWZOVGUHV-UHFFFAOYSA-N 0 1 310.353 3.388 20 30 DGEDMN CC(C)=CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C12CCC2 ZINC001202382178 1102969088 /nfs/dbraw/zinc/96/90/88/1102969088.db2.gz FAAQFNKWETZQDS-MSOLQXFVSA-N 0 1 323.440 3.041 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CCC=C(C)C)C2 ZINC001111054991 1103028275 /nfs/dbraw/zinc/02/82/75/1103028275.db2.gz WDVVLXLXOPIGRE-TVFCKZIOSA-N 0 1 302.462 3.114 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C2 ZINC001111062139 1103031922 /nfs/dbraw/zinc/03/19/22/1103031922.db2.gz XVUVGODWONSILC-HZMVEIRTSA-N 0 1 304.478 3.194 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)OC1CCCC1)C2 ZINC001111084774 1103039682 /nfs/dbraw/zinc/03/96/82/1103039682.db2.gz QOINPUCERLKGSK-BGTYHANMSA-N 0 1 320.477 3.022 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1cccc2ccccc21 ZINC001488686919 1103110184 /nfs/dbraw/zinc/11/01/84/1103110184.db2.gz RZWZKFGAKPHHPP-GOSISDBHSA-N 0 1 308.425 3.101 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1cc(F)ccc1F ZINC001488698523 1103116199 /nfs/dbraw/zinc/11/61/99/1103116199.db2.gz KEQGWVCFTAICKZ-INIZCTEOSA-N 0 1 322.399 3.006 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CCCCCCC1)C2 ZINC001095736952 1103121593 /nfs/dbraw/zinc/12/15/93/1103121593.db2.gz QGLNLLJKQGLDSE-SQNIBIBYSA-N 0 1 302.462 3.092 20 30 DGEDMN COC(=O)c1c(OC)ccc(OC)c1N=C1CCC(C#N)CC1 ZINC001212866846 1103139484 /nfs/dbraw/zinc/13/94/84/1103139484.db2.gz ISSGCOCFQDVRJU-LLVKDONJSA-N 0 1 316.357 3.110 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](c1ccccc1)C(C)C)C2 ZINC001111267838 1103145084 /nfs/dbraw/zinc/14/50/84/1103145084.db2.gz KXFFFXTZQTZOAH-WTGUMLROSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](F)CC1CCCCC1)C2 ZINC001111282205 1103172276 /nfs/dbraw/zinc/17/22/76/1103172276.db2.gz FWTCWNIIAOAGJM-XDNAFOTISA-N 0 1 320.452 3.040 20 30 DGEDMN CN1CCN(c2cccc(-c3cccc(C4(C#N)CC4)c3)n2)CC1 ZINC001244072907 1103188124 /nfs/dbraw/zinc/18/81/24/1103188124.db2.gz JBSWDBGYKFBJEO-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(cc(C)cc3C)[nH]1)C2 ZINC001095828874 1103230872 /nfs/dbraw/zinc/23/08/72/1103230872.db2.gz LTSQIJOVKBOSBF-LJHODMEESA-N 0 1 323.440 3.306 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1cccs1)C2 ZINC001095864534 1103272087 /nfs/dbraw/zinc/27/20/87/1103272087.db2.gz PPOZPYRLDDSNFX-ILXRZTDVSA-N 0 1 318.486 3.323 20 30 DGEDMN C=CCOc1ccc(-c2noc(-c3c[nH]nc3CC)n2)c(F)c1 ZINC001212886030 1103333673 /nfs/dbraw/zinc/33/36/73/1103333673.db2.gz BOPOXFNKYCFUGI-UHFFFAOYSA-N 0 1 314.320 3.393 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(CCF)CC(C)(C)C1 ZINC001489347495 1103374711 /nfs/dbraw/zinc/37/47/11/1103374711.db2.gz ODXZLZKGPUZQRY-MRXNPFEDSA-N 0 1 310.457 3.146 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1ocnc1C ZINC001276310101 1103387967 /nfs/dbraw/zinc/38/79/67/1103387967.db2.gz CUIDQYCFHFMXOF-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1 ZINC001110607287 1103423367 /nfs/dbraw/zinc/42/33/67/1103423367.db2.gz NFRVXENRVDULMP-KURKYZTESA-N 0 1 316.420 3.404 20 30 DGEDMN CCc1nc(C#N)cc(-c2ccc(OC(F)(F)F)cc2O)n1 ZINC001244463692 1103457735 /nfs/dbraw/zinc/45/77/35/1103457735.db2.gz CNIHFXGFRPZLGZ-UHFFFAOYSA-N 0 1 309.247 3.182 20 30 DGEDMN COC(OC)c1c(/C=N/O)ccnc1-c1ccc(Cl)cc1O ZINC001244467158 1103461889 /nfs/dbraw/zinc/46/18/89/1103461889.db2.gz RYIKPGVYQOHWRD-QGMBQPNBSA-N 0 1 322.748 3.207 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001326875652 1103466431 /nfs/dbraw/zinc/46/64/31/1103466431.db2.gz QNTMZZFQKDTOOA-AWEZNQCLSA-N 0 1 321.490 3.182 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCCCN1C/C=C/Cl ZINC001276318297 1103485763 /nfs/dbraw/zinc/48/57/63/1103485763.db2.gz AJDWBFIPICDIKV-YHVDPYDOSA-N 0 1 310.869 3.293 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)CCC1CCC1 ZINC001490175380 1103505724 /nfs/dbraw/zinc/50/57/24/1103505724.db2.gz LGXDAUFHTLPPKA-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001327125858 1103538610 /nfs/dbraw/zinc/53/86/10/1103538610.db2.gz LXMNSWGJQCXEMU-ZGAGMJPPSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H]1CC[C@@H](C)C1 ZINC001490342717 1103555682 /nfs/dbraw/zinc/55/56/82/1103555682.db2.gz QSNVMKGNQLKYNF-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2cncs2)CCC1 ZINC001327258552 1103603404 /nfs/dbraw/zinc/60/34/04/1103603404.db2.gz REQVQQQFJWVZRX-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC001490448963 1103607568 /nfs/dbraw/zinc/60/75/68/1103607568.db2.gz IWLWCBTVPQIRLU-INIZCTEOSA-N 0 1 306.475 3.493 20 30 DGEDMN C=CCOCCN1CCC[C@H](NC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001490459110 1103635102 /nfs/dbraw/zinc/63/51/02/1103635102.db2.gz LTVKXKXCYXKEEC-INIZCTEOSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[N@H+]([C@H](C)c2cccc(F)c2)C1 ZINC001490547537 1103642778 /nfs/dbraw/zinc/64/27/78/1103642778.db2.gz BBHAIAQMFUBCNY-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001490547537 1103642783 /nfs/dbraw/zinc/64/27/83/1103642783.db2.gz BBHAIAQMFUBCNY-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001490557467 1103655086 /nfs/dbraw/zinc/65/50/86/1103655086.db2.gz MYUJZBMJQAIRLU-QAPCUYQASA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCCC2(CC2)C1)C1CC1 ZINC001490663283 1103697559 /nfs/dbraw/zinc/69/75/59/1103697559.db2.gz ZRVIUTBNCKBSBD-GJZGRUSLSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](CCC)OC)cc2C1 ZINC001327694722 1103709409 /nfs/dbraw/zinc/70/94/09/1103709409.db2.gz BAYJGVGRXGVADF-GOSISDBHSA-N 0 1 316.445 3.010 20 30 DGEDMN COc1cc(-c2cc3[nH]cnc3c(C#N)n2)ccc1OC(C)C ZINC001244883865 1103741595 /nfs/dbraw/zinc/74/15/95/1103741595.db2.gz PTOQVAGLZQMTTF-UHFFFAOYSA-N 0 1 308.341 3.292 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1F ZINC001490790779 1103745497 /nfs/dbraw/zinc/74/54/97/1103745497.db2.gz AQELYRYHWOJPOX-GASCZTMLSA-N 0 1 320.383 3.220 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc3ccccc3c1)C2 ZINC001096068120 1103748928 /nfs/dbraw/zinc/74/89/28/1103748928.db2.gz JHNJIYSVKWKSOA-NEWSRXKRSA-N 0 1 321.424 3.146 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2ccc(F)cc2F)C1 ZINC001327904168 1103761394 /nfs/dbraw/zinc/76/13/94/1103761394.db2.gz QEGQJODABRUNTR-SUMWQHHRSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C13CCC(CC1)C3(C)C)C2 ZINC001096125290 1103761747 /nfs/dbraw/zinc/76/17/47/1103761747.db2.gz LNXUHAYQVYCSHR-UGLNBPRTSA-N 0 1 302.462 3.110 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ccncc2Cl)[C@@H](C)C1 ZINC001327905483 1103763036 /nfs/dbraw/zinc/76/30/36/1103763036.db2.gz VDJMHDKCMQWBEP-BBRMVZONSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2ccncc2Cl)C1 ZINC001327905488 1103763279 /nfs/dbraw/zinc/76/32/79/1103763279.db2.gz VDJMHDKCMQWBEP-XJKSGUPXSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC[C@H](C(C)C)CC1)C2 ZINC001096240093 1103790709 /nfs/dbraw/zinc/79/07/09/1103790709.db2.gz RLWXTRIOEWQMHH-DZVNLGKHSA-N 0 1 304.478 3.356 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCCC(C)(C)C1)C2 ZINC001096345493 1103804740 /nfs/dbraw/zinc/80/47/40/1103804740.db2.gz MACUSXMNNXHAPR-BDXSIMOUSA-N 0 1 316.489 3.338 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(c1)CCCC3)C2 ZINC001096485542 1103848323 /nfs/dbraw/zinc/84/83/23/1103848323.db2.gz UJWJQBGAWTWCTC-QYZOEREBSA-N 0 1 310.441 3.087 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(c1)CCCC3)C2 ZINC001096485542 1103848334 /nfs/dbraw/zinc/84/83/34/1103848334.db2.gz UJWJQBGAWTWCTC-QYZOEREBSA-N 0 1 310.441 3.087 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1coc3cc(C)ccc13)C2 ZINC001096494348 1103848539 /nfs/dbraw/zinc/84/85/39/1103848539.db2.gz JORCXCFFIKMLJQ-NJAFHUGGSA-N 0 1 324.424 3.191 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(s1)CCC3)C2 ZINC001096486594 1103849828 /nfs/dbraw/zinc/84/98/28/1103849828.db2.gz PRNNUPXGFVHJMV-ILXRZTDVSA-N 0 1 316.470 3.148 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(CCC(F)(F)F)C1 ZINC001278398016 1103864395 /nfs/dbraw/zinc/86/43/95/1103864395.db2.gz WZSRZTBXNIDUOR-CQSZACIVSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CC)c(CC)c1)C2 ZINC001096696003 1103920234 /nfs/dbraw/zinc/92/02/34/1103920234.db2.gz DEBRMOYXVAMTHD-AABGKKOBSA-N 0 1 324.468 3.170 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)=C1CCC1 ZINC001328467598 1103957654 /nfs/dbraw/zinc/95/76/54/1103957654.db2.gz FQGAKWLGWMFNTF-HXUWFJFHSA-N 0 1 322.452 3.226 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(CC)Cc2nccs2)CC1 ZINC001328567770 1103982882 /nfs/dbraw/zinc/98/28/82/1103982882.db2.gz OGTPRQFHWFHOCO-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN N#CCOc1ccc(CN2CCOC[C@@H]2C2CCCCC2)cc1 ZINC001137122825 1103996729 /nfs/dbraw/zinc/99/67/29/1103996729.db2.gz UKHNXVLQAYAADH-LJQANCHMSA-N 0 1 314.429 3.370 20 30 DGEDMN N#Cc1c2cccnc2ccc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245425298 1104045193 /nfs/dbraw/zinc/04/51/93/1104045193.db2.gz FUJRCASASAQYNT-INIZCTEOSA-N 0 1 319.408 3.375 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)CC1(C)CC1 ZINC001328751089 1104054528 /nfs/dbraw/zinc/05/45/28/1104054528.db2.gz ZQMUMSRIJLTYHA-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001278413342 1104188340 /nfs/dbraw/zinc/18/83/40/1104188340.db2.gz BLXYLSHNTJGGNO-XOINBQCPSA-N 0 1 323.440 3.161 20 30 DGEDMN COC(=O)c1ccc(Nc2ccc3[nH]c(C)nc3c2)c(C#N)c1 ZINC001213076265 1104242579 /nfs/dbraw/zinc/24/25/79/1104242579.db2.gz OAMUZDMFZQTXGG-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN Cc1c(F)nccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001212838774 1104265548 /nfs/dbraw/zinc/26/55/48/1104265548.db2.gz CXWMNUCCCYLXHC-UHFFFAOYSA-N 0 1 302.378 3.194 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cnccc2C)C1 ZINC001278419551 1104283671 /nfs/dbraw/zinc/28/36/71/1104283671.db2.gz BCQFNZHHRWGLMV-LPHOPBHVSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN(C)Cc1ccc(OC(=O)c2cccs2)c(OC)c1 ZINC001137258718 1104301158 /nfs/dbraw/zinc/30/11/58/1104301158.db2.gz WTPWSCJPXRDYMI-UHFFFAOYSA-N 0 1 315.394 3.041 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2ncccc2C)CCC1 ZINC001329539105 1104307724 /nfs/dbraw/zinc/30/77/24/1104307724.db2.gz FUGJPFZGIVYFFX-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](CC)CCCC)C2 ZINC001110830073 1104312258 /nfs/dbraw/zinc/31/22/58/1104312258.db2.gz UZTOPUOQBXLDFF-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN N#Cc1cccc(Cn2[nH]c(Cc3ccccc3F)cc2=O)c1 ZINC001245936573 1104321945 /nfs/dbraw/zinc/32/19/45/1104321945.db2.gz XUFDMGYQQVIBMG-UHFFFAOYSA-N 0 1 307.328 3.239 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1oc2ccccc2c1CC ZINC001329628022 1104352787 /nfs/dbraw/zinc/35/27/87/1104352787.db2.gz MGFGLWVDXOYFJF-CQSZACIVSA-N 0 1 312.413 3.069 20 30 DGEDMN C=CCOc1c(Cl)cc(CN[C@H](C=C)COC)cc1OC ZINC001329721963 1104370519 /nfs/dbraw/zinc/37/05/19/1104370519.db2.gz IDPSSLUUEQEAOA-CYBMUJFWSA-N 0 1 311.809 3.204 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc2ccccc21 ZINC001274552610 1104408228 /nfs/dbraw/zinc/40/82/28/1104408228.db2.gz VKDFSBVJELVTQE-PLNGDYQASA-N 0 1 314.816 3.468 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H](C)c1ccc(F)cc1 ZINC001274608073 1104418860 /nfs/dbraw/zinc/41/88/60/1104418860.db2.gz CZWOTEDUYUIOEQ-OOPCZODUSA-N 0 1 324.827 3.334 20 30 DGEDMN CCC(C)(CC)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001274602044 1104419128 /nfs/dbraw/zinc/41/91/28/1104419128.db2.gz YGUMXHXLJXOZRE-MDZDMXLPSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCCCN1C/C=C/Cl ZINC001329920945 1104422386 /nfs/dbraw/zinc/42/23/86/1104422386.db2.gz MJZRYNMPQYUAEZ-YHVDPYDOSA-N 0 1 310.869 3.293 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@H](C)C1 ZINC001274642799 1104432306 /nfs/dbraw/zinc/43/23/06/1104432306.db2.gz FPWDNOGBXSWGKD-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@H](C)c2cccc(OC)c2)C1 ZINC001329969878 1104440930 /nfs/dbraw/zinc/44/09/30/1104440930.db2.gz DLGFKWGWJABFJA-LSDHHAIUSA-N 0 1 316.445 3.159 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@]2(C1)CN(CC/C=C\CC)CCO2 ZINC001330158575 1104492027 /nfs/dbraw/zinc/49/20/27/1104492027.db2.gz AXJWVSQKLGGIAE-SFFCAUCYSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(C3CC3)cc2)C1=O ZINC001274816424 1104501209 /nfs/dbraw/zinc/50/12/09/1104501209.db2.gz LMRCAFJKHUZMAL-FQEVSTJZSA-N 0 1 310.441 3.317 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cc(-c3ccc(OC)cc3)no2)C1 ZINC001330544997 1104572522 /nfs/dbraw/zinc/57/25/22/1104572522.db2.gz OQZJXIXJCCULEH-INIZCTEOSA-N 0 1 314.385 3.127 20 30 DGEDMN CC#CCCCC(=O)N1CCC([C@H]2CCC[N@@H+](CCF)C2)CC1 ZINC001275183384 1104669065 /nfs/dbraw/zinc/66/90/65/1104669065.db2.gz FFMGVHDLRRGIIA-SFHVURJKSA-N 0 1 322.468 3.100 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2cscc2s1 ZINC001275237224 1104686723 /nfs/dbraw/zinc/68/67/23/1104686723.db2.gz FNFCCXWKCKNQLQ-VIFPVBQESA-N 0 1 314.863 3.423 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(Cl)ccc1F ZINC001275444114 1104761830 /nfs/dbraw/zinc/76/18/30/1104761830.db2.gz QCJGOEIKOHJHCR-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)C(C)(CC)CC ZINC001275569948 1104814946 /nfs/dbraw/zinc/81/49/46/1104814946.db2.gz AGDVXBFWUSWCHJ-NEPJUHHUSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001275580343 1104818987 /nfs/dbraw/zinc/81/89/87/1104818987.db2.gz MKBCKMQHMJWNIG-UDNWOHKBSA-N 0 1 312.885 3.438 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(C)c(C)cc1Cl ZINC001275614483 1104832714 /nfs/dbraw/zinc/83/27/14/1104832714.db2.gz KRQHTZPFNOIBJF-CQSZACIVSA-N 0 1 306.837 3.030 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(F)c2ccccc12 ZINC001275659068 1104851486 /nfs/dbraw/zinc/85/14/86/1104851486.db2.gz LFHVPQKGLNECOJ-AWEZNQCLSA-N 0 1 312.388 3.052 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C)c(C)c1Cl ZINC001275699786 1104870179 /nfs/dbraw/zinc/87/01/79/1104870179.db2.gz ZRUCEDQUVIKMMM-CYBMUJFWSA-N 0 1 306.837 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CC(C)(C)CNCc1cscn1 ZINC001275716876 1104877015 /nfs/dbraw/zinc/87/70/15/1104877015.db2.gz BKDKWGBTCPPMAV-UHFFFAOYSA-N 0 1 323.506 3.320 20 30 DGEDMN CC(C)N1CCC[C@H]1c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001247368658 1104881396 /nfs/dbraw/zinc/88/13/96/1104881396.db2.gz PBICRROMNAWSCG-AWEZNQCLSA-N 0 1 300.337 3.293 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC001275780617 1104905155 /nfs/dbraw/zinc/90/51/55/1104905155.db2.gz GSOXHOMPKJLNBO-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001275818059 1104921219 /nfs/dbraw/zinc/92/12/19/1104921219.db2.gz GPDZQRPVAJYIJR-KGLIPLIRSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001275898024 1104947292 /nfs/dbraw/zinc/94/72/92/1104947292.db2.gz YULZIXCUKVGSSO-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN N#Cc1ccc(-c2noc([C@@]3(F)CCCNC3)n2)c2ccccc12 ZINC001247881135 1105005482 /nfs/dbraw/zinc/00/54/82/1105005482.db2.gz YGUHXSPCOQTSSY-GOSISDBHSA-N 0 1 322.343 3.310 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCCc2cc(O)c(O)cc2C1 ZINC001248752455 1105155323 /nfs/dbraw/zinc/15/53/23/1105155323.db2.gz MLRAUJPXLKHNTJ-UHFFFAOYSA-N 0 1 322.408 3.480 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2ncccc2C)[C@H]1C ZINC001278510518 1105185974 /nfs/dbraw/zinc/18/59/74/1105185974.db2.gz MRVNZIMAJXCCMA-IRXDYDNUSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCOc1ccc(-c2noc(CNCCCC)n2)c(F)c1 ZINC001249025196 1105213438 /nfs/dbraw/zinc/21/34/38/1105213438.db2.gz AFQXKRAXPFRUNU-UHFFFAOYSA-N 0 1 305.353 3.330 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(Cc3ccc4nc[nH]c4c3)n2)c1 ZINC001249109293 1105227299 /nfs/dbraw/zinc/22/72/99/1105227299.db2.gz PQFRIHPCYZWMIE-UHFFFAOYSA-N 0 1 319.299 3.214 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(N(CC)CC)cc3)no2)C1 ZINC001249231531 1105252272 /nfs/dbraw/zinc/25/22/72/1105252272.db2.gz IWZOTOSQGLXGCJ-SFHVURJKSA-N 0 1 312.417 3.348 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(Cl)cc(OC)c3)no2)C1 ZINC001249231250 1105252440 /nfs/dbraw/zinc/25/24/40/1105252440.db2.gz AAFWXGPIROSGRZ-HNNXBMFYSA-N 0 1 305.765 3.163 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(-c4cnco4)cc3)no2)C1 ZINC001249232100 1105252576 /nfs/dbraw/zinc/25/25/76/1105252576.db2.gz VTNFTFPXQVWKBF-KRWDZBQOSA-N 0 1 308.341 3.156 20 30 DGEDMN COc1ccc(Cn2cc(CN3CCC[C@@H](C#N)CC3)cn2)cc1 ZINC001249344854 1105271416 /nfs/dbraw/zinc/27/14/16/1105271416.db2.gz ITHRKXNRVYDQSI-MRXNPFEDSA-N 0 1 324.428 3.066 20 30 DGEDMN COc1ccc2nc(CN3CCc4cc(C#N)ccc4C3)[nH]c2c1 ZINC001249412193 1105283342 /nfs/dbraw/zinc/28/33/42/1105283342.db2.gz XJVQNHFSRIYFPZ-UHFFFAOYSA-N 0 1 318.380 3.001 20 30 DGEDMN N#Cc1cc(F)cc(F)c1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249566741 1105316758 /nfs/dbraw/zinc/31/67/58/1105316758.db2.gz GLHRYPHKCPKYGO-OAHLLOKOSA-N 0 1 319.355 3.017 20 30 DGEDMN C=C[C@H](CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001111441660 1105324015 /nfs/dbraw/zinc/32/40/15/1105324015.db2.gz ZIEMEXYEGCUOFD-AFYVEPGGSA-N 0 1 322.452 3.091 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(F)ccc(F)c1F)C2 ZINC001097749258 1105440080 /nfs/dbraw/zinc/44/00/80/1105440080.db2.gz NMZSYUWRGMJHRM-HONMWMINSA-N 0 1 324.346 3.015 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(C(F)(F)F)ccc2Cl)CCC1=O ZINC001138085626 1105497244 /nfs/dbraw/zinc/49/72/44/1105497244.db2.gz PXFTXVFHXBCGTF-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](CNCc2nc(C)c(C)o2)C1 ZINC001278565894 1105508382 /nfs/dbraw/zinc/50/83/82/1105508382.db2.gz RIHMDJCCXNANQL-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN Cc1cc(N2CCOCC2)cc2nc(-c3cccc(C#N)c3)[nH]c21 ZINC001250459520 1105517293 /nfs/dbraw/zinc/51/72/93/1105517293.db2.gz ZNPUWULPEJUTSB-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1ccccc1F)C2 ZINC001098041820 1105535157 /nfs/dbraw/zinc/53/51/57/1105535157.db2.gz HOGVBJPHSHLFNM-XYPHTWIQSA-N 0 1 316.420 3.011 20 30 DGEDMN C=CCN(Cc1nc2cc(Cl)c(F)cc2[nH]1)C(=O)OCC ZINC001250591485 1105544023 /nfs/dbraw/zinc/54/40/23/1105544023.db2.gz OYDTYOHQRDLNHG-UHFFFAOYSA-N 0 1 311.744 3.500 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3nc(C)ccc3c1)C2 ZINC001098082367 1105545933 /nfs/dbraw/zinc/54/59/33/1105545933.db2.gz OSEZMDPPVDKJGT-QRQLOZEOSA-N 0 1 321.424 3.064 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C3CCC3)c1)C2 ZINC001098221813 1105561883 /nfs/dbraw/zinc/56/18/83/1105561883.db2.gz LJANTHIHLFTRHS-AQNXPRMDSA-N 0 1 322.452 3.313 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(F)cc1Cl)C2 ZINC001098293788 1105567509 /nfs/dbraw/zinc/56/75/09/1105567509.db2.gz YZZJZNPQBAQKKV-LYRGGWFBSA-N 0 1 322.811 3.309 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c3c1CCC3)C2 ZINC001098475772 1105578683 /nfs/dbraw/zinc/57/86/83/1105578683.db2.gz RQUGULFEOFPMSQ-ZMYBRWDISA-N 0 1 310.441 3.005 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1ocnc1C ZINC001098965806 1105619204 /nfs/dbraw/zinc/61/92/04/1105619204.db2.gz BRPSTDQKZGNIGY-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)/C=C/C(C)(C)C ZINC001098976028 1105622474 /nfs/dbraw/zinc/62/24/74/1105622474.db2.gz OXNRJSFZZFVFTE-OFAQMXQXSA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)=C1CCCC1 ZINC001099001177 1105625281 /nfs/dbraw/zinc/62/52/81/1105625281.db2.gz QRAAAXSQYZGEON-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(C)(C)C1CC1 ZINC001099054784 1105636120 /nfs/dbraw/zinc/63/61/20/1105636120.db2.gz KVIKYLHYJHKXBY-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001099139869 1105647668 /nfs/dbraw/zinc/64/76/68/1105647668.db2.gz JJARQHPJHCWRDZ-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001099139869 1105647670 /nfs/dbraw/zinc/64/76/70/1105647670.db2.gz JJARQHPJHCWRDZ-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001099176365 1105654056 /nfs/dbraw/zinc/65/40/56/1105654056.db2.gz RIYQINCQCWVXMY-PBEJRMEISA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)CC(C)C ZINC001099302964 1105665305 /nfs/dbraw/zinc/66/53/05/1105665305.db2.gz DVGJVTRSXZUNKM-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](CC)CC(C)C ZINC001099305658 1105666813 /nfs/dbraw/zinc/66/68/13/1105666813.db2.gz ZFAKSEPNJZGCMR-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1cc(OCC)ncn1 ZINC001252140059 1105749959 /nfs/dbraw/zinc/74/99/59/1105749959.db2.gz XSRWROPNMIAONI-INIZCTEOSA-N 0 1 309.454 3.076 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CC1(c2ccccc2)CC1 ZINC001491209843 1105776758 /nfs/dbraw/zinc/77/67/58/1105776758.db2.gz KNBTXKZMYYOJAE-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1CCC(F)(F)F ZINC001491219804 1105778494 /nfs/dbraw/zinc/77/84/94/1105778494.db2.gz YUZAFEZYMLIUSO-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C[C@](C)(O)CN1Cc2c(Br)cccc2C[C@H]1C ZINC001252561501 1105794334 /nfs/dbraw/zinc/79/43/34/1105794334.db2.gz GWRSDRXWJMIIKU-ABAIWWIYSA-N 0 1 310.235 3.133 20 30 DGEDMN C=CCC[C@H](O)CN(C)Cc1cccc(Br)c1F ZINC001252599506 1105801145 /nfs/dbraw/zinc/80/11/45/1105801145.db2.gz ALGWIPWCVHXWEK-LBPRGKRZSA-N 0 1 316.214 3.347 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001491414917 1105822831 /nfs/dbraw/zinc/82/28/31/1105822831.db2.gz ZAOMBLQQWSFFAJ-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCN1CCN([C@H](C)Cc2cccc(Br)c2)CC1 ZINC001256185313 1105832472 /nfs/dbraw/zinc/83/24/72/1105832472.db2.gz AONSIHALYPIXKZ-CQSZACIVSA-N 0 1 323.278 3.184 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001491507336 1105836628 /nfs/dbraw/zinc/83/66/28/1105836628.db2.gz IUENDQACFLRKAR-LLVKDONJSA-N 0 1 308.800 3.049 20 30 DGEDMN C#CCCCC(=O)N(C)CCCN(C)Cc1cc(F)ccc1F ZINC001280806319 1105863154 /nfs/dbraw/zinc/86/31/54/1105863154.db2.gz URDBZDZWOKRCMS-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN CCCCCCCCCC[C@H](O)CNCc1ccnc(OC)n1 ZINC001252985927 1105866139 /nfs/dbraw/zinc/86/61/39/1105866139.db2.gz ISKXKYCOJONPQA-KRWDZBQOSA-N 0 1 323.481 3.467 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101337774 1105911706 /nfs/dbraw/zinc/91/17/06/1105911706.db2.gz KWYGSJDYFORCLL-BQNCDXFMSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001253254817 1105912984 /nfs/dbraw/zinc/91/29/84/1105912984.db2.gz TVBMSUYJSVGOIT-KRWDZBQOSA-N 0 1 321.465 3.241 20 30 DGEDMN C=CCCC1(C(=O)N2C[C@H]3CN(C/C=C\Cl)C[C@@]3(C)C2)CC1 ZINC001101354889 1105913799 /nfs/dbraw/zinc/91/37/99/1105913799.db2.gz JTLKLJUSYLPLGP-RDQHTGABSA-N 0 1 322.880 3.266 20 30 DGEDMN CCCCCCCCCCCC[C@H](O)CNCC(=O)CCO ZINC001253563364 1105959269 /nfs/dbraw/zinc/95/92/69/1105959269.db2.gz DITBLLPDMYAOKV-KRWDZBQOSA-N 0 1 315.498 3.199 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2ccc(F)cc2F)C[C@H]1C ZINC001101805517 1105980005 /nfs/dbraw/zinc/98/00/05/1105980005.db2.gz TVOHLWULLYQTCZ-UKRRQHHQSA-N 0 1 322.399 3.115 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H](C)C(C)C)[C@H](C)C1 ZINC001101808161 1105980802 /nfs/dbraw/zinc/98/08/02/1105980802.db2.gz VMLDQWKWBLEUPS-UMVBOHGHSA-N 0 1 300.874 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@](C)(F)CCCC)[C@H](C)C1 ZINC001102105162 1106021806 /nfs/dbraw/zinc/02/18/06/1106021806.db2.gz HNBXRQDFFQWDSM-XPKDYRNWSA-N 0 1 318.864 3.341 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(CCCC)CC2)[C@H](C)C1 ZINC001102325100 1106050460 /nfs/dbraw/zinc/05/04/60/1106050460.db2.gz BSMSIDOPJXAVCF-UKRRQHHQSA-N 0 1 312.885 3.393 20 30 DGEDMN CCN(CC(=O)OC)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254360608 1106060333 /nfs/dbraw/zinc/06/03/33/1106060333.db2.gz GZJAFNLRIBMJDF-UHFFFAOYSA-N 0 1 318.392 3.025 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](C)c2ccccc2)[C@H](C)C1 ZINC001102522631 1106068751 /nfs/dbraw/zinc/06/87/51/1106068751.db2.gz RYJKSONTJRPYEB-FRFSOERESA-N 0 1 320.864 3.227 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cc(Cl)ccc1OC ZINC001491545907 1106070305 /nfs/dbraw/zinc/07/03/05/1106070305.db2.gz AGNXFLOJZOTMDG-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN Cc1csc([C@H]2C[C@@H]3CCN([C@H]4CC[C@H](C#N)C4)C[C@H]3O2)n1 ZINC001254659187 1106125374 /nfs/dbraw/zinc/12/53/74/1106125374.db2.gz LQAMOCJVBWFWFR-RFBLXINOSA-N 0 1 317.458 3.296 20 30 DGEDMN CC[C@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254674325 1106131945 /nfs/dbraw/zinc/13/19/45/1106131945.db2.gz XKRPFAKDQWTDDK-QLFBSQMISA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@@H](CC#N)NCCc1cnc(NC(=O)OC(C)(C)C)s1 ZINC001255159564 1106194334 /nfs/dbraw/zinc/19/43/34/1106194334.db2.gz XUFZMOPJXDKRRN-NSHDSACASA-N 0 1 324.450 3.314 20 30 DGEDMN CC[C@H](CC#N)N1C[C@H](CNC(=O)OC(C)(C)C)CC[C@H]1C ZINC001255165780 1106197485 /nfs/dbraw/zinc/19/74/85/1106197485.db2.gz DBWNSADRPUYDNC-QLFBSQMISA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@@H](CC#N)NC[C@]1(CC)CCCN1C(=O)OC(C)(C)C ZINC001255165676 1106197688 /nfs/dbraw/zinc/19/76/88/1106197688.db2.gz ZYWPADUJOODAAO-YOEHRIQHSA-N 0 1 309.454 3.448 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CC[C@@H]2NC(=O)OC(C)(C)C)CC1 ZINC001255177966 1106200262 /nfs/dbraw/zinc/20/02/62/1106200262.db2.gz ZGOSYPPVUOIEFY-CABCVRRESA-N 0 1 321.465 3.448 20 30 DGEDMN CCCN([C@H](CC)CC#N)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255178253 1106200357 /nfs/dbraw/zinc/20/03/57/1106200357.db2.gz DHDYCFAOOIZNLL-HUUCEWRRSA-N 0 1 309.454 3.400 20 30 DGEDMN CC[C@H](CC#N)N(C)[C@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC001255178357 1106200419 /nfs/dbraw/zinc/20/04/19/1106200419.db2.gz FOGSDVWXPYMWJB-RHSMWYFYSA-N 0 1 309.454 3.400 20 30 DGEDMN CC[C@H](CC#N)N(C)[C@@H]1CCCC[C@H]1NC(=O)OC(C)(C)C ZINC001255178248 1106200783 /nfs/dbraw/zinc/20/07/83/1106200783.db2.gz DCIVBKHGPYBCMD-RBSFLKMASA-N 0 1 309.454 3.446 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](N1CCC[C@H](C#N)C1)C2 ZINC001255244484 1106209721 /nfs/dbraw/zinc/20/97/21/1106209721.db2.gz MCKMMIMIXVVVIW-WCVJEAGWSA-N 0 1 319.449 3.152 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](N1CC[C@](C)(C#N)C1)C2 ZINC001255247955 1106209847 /nfs/dbraw/zinc/20/98/47/1106209847.db2.gz HSRFDWQTRCUDCP-LDDOYCOJSA-N 0 1 319.449 3.152 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](NC2(CC#N)CCCC2)C1 ZINC001255906523 1106343110 /nfs/dbraw/zinc/34/31/10/1106343110.db2.gz YRQGBJCTWGDVSY-CQSZACIVSA-N 0 1 307.438 3.202 20 30 DGEDMN COc1cc(C#N)cc(NS(=O)(=O)c2ccccc2Cl)c1 ZINC001256323341 1106406065 /nfs/dbraw/zinc/40/60/65/1106406065.db2.gz XPGFZLRRFQMFIN-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN N#CCN(Cc1ccccc1)C1CCN(Cc2ccncc2)CC1 ZINC001256593491 1106451211 /nfs/dbraw/zinc/45/12/11/1106451211.db2.gz SRZHTGMAJHUSDD-UHFFFAOYSA-N 0 1 320.440 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](CC)SC)C(C)(C)C ZINC001278951896 1106457084 /nfs/dbraw/zinc/45/70/84/1106457084.db2.gz YYSKBECBJFAYDB-RYUDHWBXSA-N 0 1 306.903 3.001 20 30 DGEDMN C[C@H]1CN(C2CCC(C#N)CC2)CCN1C(=O)OC(C)(C)C ZINC001256964156 1106516498 /nfs/dbraw/zinc/51/64/98/1106516498.db2.gz RPJFFRLNEXITPG-NFOMZHRRSA-N 0 1 307.438 3.010 20 30 DGEDMN CC(C)OCc1ncn2c1CN(C1CCC(C#N)CC1)CCC2 ZINC001256968447 1106517281 /nfs/dbraw/zinc/51/72/81/1106517281.db2.gz FYNAXKDSTCQQJG-UHFFFAOYSA-N 0 1 316.449 3.096 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1csc(C)n1)C(C)(C)C ZINC001278991199 1106540450 /nfs/dbraw/zinc/54/04/50/1106540450.db2.gz YIPUICRUOFKFDO-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN CC[C@H]1C[C@@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257139715 1106543001 /nfs/dbraw/zinc/54/30/01/1106543001.db2.gz LNMYFTGCGQVLGW-ILXRZTDVSA-N 0 1 307.438 3.010 20 30 DGEDMN CCC[C@H](C)CC[C@H](C)N1CCN(c2nccnc2C#N)CC1 ZINC001257481675 1106596400 /nfs/dbraw/zinc/59/64/00/1106596400.db2.gz LZPFRXCFQZUSGB-HOTGVXAUSA-N 0 1 315.465 3.075 20 30 DGEDMN N#C[C@@H]1CC[N@H+](C2CCC(C3CCC4(CC3)OCCO4)CC2)C1 ZINC001257494983 1106598734 /nfs/dbraw/zinc/59/87/34/1106598734.db2.gz BRRMQKWZZKACCR-HJOIGYKYSA-N 0 1 318.461 3.324 20 30 DGEDMN N#C[C@@H]1CCN(C2CCC(C3CCC4(CC3)OCCO4)CC2)C1 ZINC001257494983 1106598740 /nfs/dbraw/zinc/59/87/40/1106598740.db2.gz BRRMQKWZZKACCR-HJOIGYKYSA-N 0 1 318.461 3.324 20 30 DGEDMN C[C@@H]1C[C@@H](N2CCC[C@@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257591525 1106609690 /nfs/dbraw/zinc/60/96/90/1106609690.db2.gz OZSWQLUDXYISSO-FZKCQIBNSA-N 0 1 321.465 3.398 20 30 DGEDMN Cc1c(Cl)cccc1S(=O)(=O)Nc1ccc(C#N)cc1O ZINC001257620729 1106612847 /nfs/dbraw/zinc/61/28/47/1106612847.db2.gz CDNRTQNLYGQZCU-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C[C@H]1C[C@@H](N2CC[C@@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257656090 1106615650 /nfs/dbraw/zinc/61/56/50/1106615650.db2.gz URHLLAODFUHCDI-ZQIUZPCESA-N 0 1 307.438 3.010 20 30 DGEDMN C[C@@H](NC(=O)C#CC(C)(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001279079073 1106681230 /nfs/dbraw/zinc/68/12/30/1106681230.db2.gz DVBIFPLRNZQWQX-XTXQVDMPSA-N 0 1 310.869 3.005 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)C/C=C\c1ccccc1 ZINC001320006899 1106778326 /nfs/dbraw/zinc/77/83/26/1106778326.db2.gz CRYMWMGEHYDSBQ-FLIBITNWSA-N 0 1 300.446 3.446 20 30 DGEDMN Cc1c(C(=O)N[C@H](C#N)c2ccc(Cl)cc2)ccc2cncn21 ZINC001320029826 1106797773 /nfs/dbraw/zinc/79/77/73/1106797773.db2.gz MDRXUBFFLHBRGE-MRXNPFEDSA-N 0 1 324.771 3.291 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001316946689 1106800612 /nfs/dbraw/zinc/80/06/12/1106800612.db2.gz ZJGWSFGBEFLRKY-SSVWKNEZSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC001316948112 1106807808 /nfs/dbraw/zinc/80/78/08/1106807808.db2.gz DBDRNKQWDMXWJN-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001316947388 1106807925 /nfs/dbraw/zinc/80/79/25/1106807925.db2.gz JOEWNNNIPGWGCD-QGZVFWFLSA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C)cc2F)CC1 ZINC001317004821 1106888647 /nfs/dbraw/zinc/88/86/47/1106888647.db2.gz BBXZFAZHLPJVTA-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1ncc(C)s1)C1CC1 ZINC001317026045 1106916711 /nfs/dbraw/zinc/91/67/11/1106916711.db2.gz YBLDMLJGGANPSO-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CCc2c(CN(C)C)nn(C(C)C)c2C1 ZINC001258728548 1106934349 /nfs/dbraw/zinc/93/43/49/1106934349.db2.gz OPWNKTOBUPFQDC-HNNXBMFYSA-N 0 1 304.482 3.238 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2nn(CC3CC3)cc2[C@@H](COC)C1 ZINC001258728268 1106934465 /nfs/dbraw/zinc/93/44/65/1106934465.db2.gz NRYJPEAKARMJMP-GDBMZVCRSA-N 0 1 303.450 3.193 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC/C=C\c1ccccc1 ZINC001317048998 1106949176 /nfs/dbraw/zinc/94/91/76/1106949176.db2.gz OMCDCXDZIHJAIK-QCJSNAMPSA-N 0 1 322.452 3.179 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)CC(F)(F)F ZINC001317051494 1106950900 /nfs/dbraw/zinc/95/09/00/1106950900.db2.gz DUKQUOPMGZOKNT-BETUJISGSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCCCCNC(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001320342815 1106971119 /nfs/dbraw/zinc/97/11/19/1106971119.db2.gz SXOIVHUHQLZLJZ-DLBZAZTESA-N 0 1 319.424 3.226 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001317086726 1106982943 /nfs/dbraw/zinc/98/29/43/1106982943.db2.gz OXBWHKZPCZMZIG-KKUMJFAQSA-N 0 1 319.449 3.137 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001320397339 1106993777 /nfs/dbraw/zinc/99/37/77/1106993777.db2.gz VPWJRCXXDWZZJN-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H]1CCC[C@H](C)C1)CCC2 ZINC001258819636 1107001061 /nfs/dbraw/zinc/00/10/61/1107001061.db2.gz GFQWDYYRGRTXGY-JKSUJKDBSA-N 0 1 303.450 3.370 20 30 DGEDMN C=C[C@H](COC)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001320446381 1107009132 /nfs/dbraw/zinc/00/91/32/1107009132.db2.gz BDFDFJKDSPJMTB-SNVBAGLBSA-N 0 1 305.761 3.130 20 30 DGEDMN CCCN(CCC#N)[C@H](C)Cc1cc(OC)c(OC)c(OC)c1 ZINC001258873300 1107031411 /nfs/dbraw/zinc/03/14/11/1107031411.db2.gz XQHXOGDHOWLSST-CQSZACIVSA-N 0 1 320.433 3.269 20 30 DGEDMN Cc1cc(CN2CCCC[C@H]2CNC(=O)C#CC(C)C)cs1 ZINC001317170926 1107077301 /nfs/dbraw/zinc/07/73/01/1107077301.db2.gz KDOXGDUFGOTEPS-KRWDZBQOSA-N 0 1 318.486 3.187 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(F)cc(F)cc2C#N)c(C)c1 ZINC001259101822 1107078486 /nfs/dbraw/zinc/07/84/86/1107078486.db2.gz ZYPQCGVEEODKTM-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2csc(C#N)c2)cc1Cl ZINC001259132275 1107084796 /nfs/dbraw/zinc/08/47/96/1107084796.db2.gz WSWPQKKCUGFICR-UHFFFAOYSA-N 0 1 312.803 3.382 20 30 DGEDMN CCCCCCCCNC(=O)c1ccn(-c2ccncc2)n1 ZINC001320795197 1107141722 /nfs/dbraw/zinc/14/17/22/1107141722.db2.gz RZRRLCFOMBWDBG-UHFFFAOYSA-N 0 1 300.406 3.358 20 30 DGEDMN CC#CCCCC(=O)N(CC)CCNCc1ccc(F)cc1F ZINC001320816079 1107148198 /nfs/dbraw/zinc/14/81/98/1107148198.db2.gz ODYBYJMAMKBHOX-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C1(CC)CCC1 ZINC001320816547 1107148352 /nfs/dbraw/zinc/14/83/52/1107148352.db2.gz XAUZEEMOKKTZQK-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001320829967 1107154409 /nfs/dbraw/zinc/15/44/09/1107154409.db2.gz JYDANDITIXRVDI-LJQANCHMSA-N 0 1 313.445 3.099 20 30 DGEDMN C[C@@H](CNC(=O)C1=CCCCCC1)NCc1ccccc1C#N ZINC001317235010 1107158958 /nfs/dbraw/zinc/15/89/58/1107158958.db2.gz OSNGOQJNEHOTDF-HNNXBMFYSA-N 0 1 311.429 3.043 20 30 DGEDMN CCC(C)(C)CC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001317240940 1107165492 /nfs/dbraw/zinc/16/54/92/1107165492.db2.gz JDRPHOLDPNYLEZ-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001317246609 1107170717 /nfs/dbraw/zinc/17/07/17/1107170717.db2.gz VJQSBKBXZIGBLI-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)c(C)cc1Cl ZINC001317256438 1107176928 /nfs/dbraw/zinc/17/69/28/1107176928.db2.gz MQOVJNKBNDTNAZ-GFCCVEGCSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(F)F)c(F)c1 ZINC001317266905 1107188209 /nfs/dbraw/zinc/18/82/09/1107188209.db2.gz YIOPGMPWKJEPJA-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001317266764 1107188318 /nfs/dbraw/zinc/18/83/18/1107188318.db2.gz RJZYOQREUMKZTB-OLZOCXBDSA-N 0 1 322.399 3.476 20 30 DGEDMN CCC[C@H](C(=O)NC[C@@H](C)NCC#Cc1ccccc1)C(C)C ZINC001317269773 1107191884 /nfs/dbraw/zinc/19/18/84/1107191884.db2.gz DDLCBVRSKFBSJR-MJGOQNOKSA-N 0 1 314.473 3.205 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H](N(C)C/C=C/Cl)C1 ZINC001317377577 1107281493 /nfs/dbraw/zinc/28/14/93/1107281493.db2.gz UJYKIWLSLIBJFZ-BPLPYTOXSA-N 0 1 310.869 3.430 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001317394091 1107309015 /nfs/dbraw/zinc/30/90/15/1107309015.db2.gz FODSPUZTBGDTJQ-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C[C@H](COC)N[C@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC001321495045 1107367267 /nfs/dbraw/zinc/36/72/67/1107367267.db2.gz LEUJDVPSAZYXHN-RISCZKNCSA-N 0 1 300.324 3.268 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2ccc(F)cc2Cl)C1 ZINC001321637807 1107401474 /nfs/dbraw/zinc/40/14/74/1107401474.db2.gz WWLSZTLEGVBHNR-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](CC)c1ccccc1 ZINC001321710092 1107416403 /nfs/dbraw/zinc/41/64/03/1107416403.db2.gz KJCAQMZVTAZWHF-HUUCEWRRSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CCO[C@@H]1CC[N@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001261517502 1107458048 /nfs/dbraw/zinc/45/80/48/1107458048.db2.gz SRFCQEALJGUSLH-GFCCVEGCSA-N 0 1 317.410 3.376 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001321979310 1107477113 /nfs/dbraw/zinc/47/71/13/1107477113.db2.gz XLLPZMSYFRRECK-UGSOOPFHSA-N 0 1 321.465 3.303 20 30 DGEDMN CCc1nc([C@@H](C)OC(=O)c2ccc(C(C)(C)C#N)cc2)n[nH]1 ZINC001261588542 1107477944 /nfs/dbraw/zinc/47/79/44/1107477944.db2.gz GIVKGDYJHHKXMV-LLVKDONJSA-N 0 1 312.373 3.086 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(F)c2ccccc12 ZINC001321992086 1107480828 /nfs/dbraw/zinc/48/08/28/1107480828.db2.gz RASOVQODPCZVIL-LBPRGKRZSA-N 0 1 320.795 3.439 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2nc(C)sc2C)C1 ZINC001317519691 1107485414 /nfs/dbraw/zinc/48/54/14/1107485414.db2.gz FCCMUJRBNWFJSW-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC[C@H]1CCc2ccccc21 ZINC001322083629 1107503568 /nfs/dbraw/zinc/50/35/68/1107503568.db2.gz YJBDIIIWNKQBHT-GOEBONIOSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(C)CCc2ccccc2C1 ZINC001322098598 1107509606 /nfs/dbraw/zinc/50/96/06/1107509606.db2.gz JPSQCXFVVDOKJN-KSSFIOAISA-N 0 1 320.864 3.028 20 30 DGEDMN CCCCCC(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001322116019 1107521461 /nfs/dbraw/zinc/52/14/61/1107521461.db2.gz DVWMLDYBGTXALA-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN CCC[C@H](C)C(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001317549469 1107522343 /nfs/dbraw/zinc/52/23/43/1107522343.db2.gz WBXFIRRKPDAVJK-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C)c2ccccc12)C1CC1 ZINC001317558915 1107539995 /nfs/dbraw/zinc/53/99/95/1107539995.db2.gz NWDTYJLPULBJED-UHFFFAOYSA-N 0 1 320.436 3.366 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1oc2c(cccc2F)c1C ZINC001317596306 1107558598 /nfs/dbraw/zinc/55/85/98/1107558598.db2.gz RHZKDTFIGSMUDQ-UHFFFAOYSA-N 0 1 324.783 3.294 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(CC=C(Cl)Cl)C(C)C ZINC001317688183 1107606039 /nfs/dbraw/zinc/60/60/39/1107606039.db2.gz YTLFLSWCJHGIPE-UHFFFAOYSA-N 0 1 319.276 3.182 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@H](N(CCC)C(=O)/C=C/C(C)(C)C)C1 ZINC001317754083 1107640047 /nfs/dbraw/zinc/64/00/47/1107640047.db2.gz OMLGZWKEGJEKNB-VMSUTVGLSA-N 0 1 322.493 3.104 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001317766275 1107649897 /nfs/dbraw/zinc/64/98/97/1107649897.db2.gz CROCZTHISRIXIJ-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc3c(s2)CCC3)C1 ZINC001317769587 1107654912 /nfs/dbraw/zinc/65/49/12/1107654912.db2.gz GMBOYDHZMJMTCO-HNNXBMFYSA-N 0 1 318.486 3.349 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCCC2CCCC2)C1 ZINC001317773520 1107658377 /nfs/dbraw/zinc/65/83/77/1107658377.db2.gz QTBKMQYMATXOKQ-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccccc2F)C1 ZINC001317772955 1107658453 /nfs/dbraw/zinc/65/84/53/1107658453.db2.gz NWFOBPPIXKTNCJ-CYBMUJFWSA-N 0 1 310.800 3.020 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001317797348 1107673886 /nfs/dbraw/zinc/67/38/86/1107673886.db2.gz NQXBZSIGZMKUPH-CABCVRRESA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CN(C)CC=C(Cl)Cl)C1 ZINC001317839710 1107697727 /nfs/dbraw/zinc/69/77/27/1107697727.db2.gz KHFXWXJDCRPICJ-CYBMUJFWSA-N 0 1 319.276 3.442 20 30 DGEDMN CCn1cc(CN2CCC(Oc3ccc(C#N)cc3)CC2)c(C)n1 ZINC001262368433 1107698034 /nfs/dbraw/zinc/69/80/34/1107698034.db2.gz BESMNOJGAHWQMY-UHFFFAOYSA-N 0 1 324.428 3.127 20 30 DGEDMN C=C(C)CN(C)Cc1ccc(Br)cc1NC(C)=O ZINC001262369881 1107699853 /nfs/dbraw/zinc/69/98/53/1107699853.db2.gz QTAGJCBEHJNTPE-UHFFFAOYSA-N 0 1 311.223 3.415 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1csc(CC)n1 ZINC001322640563 1107724542 /nfs/dbraw/zinc/72/45/42/1107724542.db2.gz JUMAHENLRIYAJT-UHFFFAOYSA-N 0 1 323.506 3.246 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(CCOC2CCCCCC2)C1 ZINC001317925428 1107745217 /nfs/dbraw/zinc/74/52/17/1107745217.db2.gz KODGNYAZBXVIIM-QGZVFWFLSA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc(C)c2Cl)C1 ZINC001318072221 1107822590 /nfs/dbraw/zinc/82/25/90/1107822590.db2.gz YRJTULFBEQSUBZ-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@@H]2CCc3c2cccc3Cl)C1 ZINC001318105628 1107842865 /nfs/dbraw/zinc/84/28/65/1107842865.db2.gz AZVZVRGSJIJRHB-QGZVFWFLSA-N 0 1 318.848 3.342 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ncc(C)o2)[C@H](CC)C1 ZINC001323147069 1107851055 /nfs/dbraw/zinc/85/10/55/1107851055.db2.gz FCRIBABMOYHUQT-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1cc2cn[nH]c2c(C(=O)Nc2ccc(OCC#N)cc2)c1 ZINC001318161959 1107868567 /nfs/dbraw/zinc/86/85/67/1107868567.db2.gz XSFXPPPBQVALHI-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001318170639 1107874999 /nfs/dbraw/zinc/87/49/99/1107874999.db2.gz BFACPBSKXUYQOE-SFHVURJKSA-N 0 1 300.446 3.194 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(Cc3ccccc3)C2)CCCC1 ZINC001318180722 1107882253 /nfs/dbraw/zinc/88/22/53/1107882253.db2.gz YSIWCBJVPDRVFG-UHFFFAOYSA-N 0 1 312.457 3.371 20 30 DGEDMN CC(C)c1ccc([C@@H](C)N2CC[C@H]2CNC(=O)C#CC2CC2)cc1 ZINC001323225906 1107891627 /nfs/dbraw/zinc/89/16/27/1107891627.db2.gz KRVNCKQTAOWVQL-UZLBHIALSA-N 0 1 324.468 3.475 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc2cc[nH]c2c1 ZINC001318201425 1107894110 /nfs/dbraw/zinc/89/41/10/1107894110.db2.gz NVALYFUAQWUXFF-ROUUACIJSA-N 0 1 323.440 3.309 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1cc(C)sc1C ZINC001323241147 1107898490 /nfs/dbraw/zinc/89/84/90/1107898490.db2.gz GFCIWTJCRXVFCT-INIZCTEOSA-N 0 1 306.475 3.412 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(F)c1F ZINC001318215537 1107902661 /nfs/dbraw/zinc/90/26/61/1107902661.db2.gz PUVGFZBWNBUNHU-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCC[C@@H](C)[N@@H+]1CC[C@H]1CNC(=O)C1(COC)CCCCC1 ZINC001323245902 1107902752 /nfs/dbraw/zinc/90/27/52/1107902752.db2.gz IBESJXSNTWFBCB-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(s1)CCC2 ZINC001318224933 1107905585 /nfs/dbraw/zinc/90/55/85/1107905585.db2.gz TXUMMFUPKJSKAI-GJZGRUSLSA-N 0 1 316.470 3.102 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1CC ZINC001318229028 1107907861 /nfs/dbraw/zinc/90/78/61/1107907861.db2.gz XPEWXFFUILFUQT-GJZGRUSLSA-N 0 1 304.459 3.175 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001323303281 1107925549 /nfs/dbraw/zinc/92/55/49/1107925549.db2.gz RWBZRJHRBAPBSR-MJGOQNOKSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001318360060 1107957907 /nfs/dbraw/zinc/95/79/07/1107957907.db2.gz CXLHBZHPQJADMC-MSOLQXFVSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCCCCC(=O)NCC1(NCc2ccccc2Cl)CC1 ZINC001323423680 1107970491 /nfs/dbraw/zinc/97/04/91/1107970491.db2.gz JCYLORFGCXYLTD-UHFFFAOYSA-N 0 1 318.848 3.272 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(CCc3ccccc3)CC2)C1 ZINC001318454038 1108017967 /nfs/dbraw/zinc/01/79/67/1108017967.db2.gz QMFBDIHZVHISNF-GOSISDBHSA-N 0 1 312.457 3.118 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC001323596697 1108025161 /nfs/dbraw/zinc/02/51/61/1108025161.db2.gz PGQOVXYVWCDBFG-UHFFFAOYSA-N 0 1 322.880 3.194 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001318492194 1108053141 /nfs/dbraw/zinc/05/31/41/1108053141.db2.gz OLYIOYXVKZVTIG-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN CCOc1ccc(C(=O)C(C#N)c2nc(C3CC3)cs2)cn1 ZINC001263478526 1108063383 /nfs/dbraw/zinc/06/33/83/1108063383.db2.gz ZTEGTPAMXGDWGD-GFCCVEGCSA-N 0 1 313.382 3.304 20 30 DGEDMN C#CCCCCNC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001323814192 1108093490 /nfs/dbraw/zinc/09/34/90/1108093490.db2.gz PBKFYTWVGMBOMA-IBGZPJMESA-N 0 1 312.457 3.269 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(C)cccc1Cl ZINC001263616602 1108112408 /nfs/dbraw/zinc/11/24/08/1108112408.db2.gz AJENKBDLLCFMFG-CYBMUJFWSA-N 0 1 301.777 3.284 20 30 DGEDMN C#CCN1CCC(OC(=O)/C=C\c2ccc(SC)s2)CC1 ZINC001263620745 1108117629 /nfs/dbraw/zinc/11/76/29/1108117629.db2.gz BMCXSTNIMOUYMV-XQRVVYSFSA-N 0 1 321.467 3.124 20 30 DGEDMN C#CCN1CCC(OC(=O)C2(c3cccc(Cl)c3)CC2)CC1 ZINC001263620790 1108117685 /nfs/dbraw/zinc/11/76/85/1108117685.db2.gz CTMZKSCRGSPEHH-UHFFFAOYSA-N 0 1 317.816 3.012 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CN(CC2CCCCC2)C1 ZINC001323931202 1108124366 /nfs/dbraw/zinc/12/43/66/1108124366.db2.gz MDXIJAJQXXMDSA-UHFFFAOYSA-N 0 1 304.478 3.478 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(Cc3ccccc3)CC2)C1 ZINC001323945729 1108126299 /nfs/dbraw/zinc/12/62/99/1108126299.db2.gz FJUNYDAJEUFCHJ-UHFFFAOYSA-N 0 1 312.457 3.118 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2cccc(Cl)c2)C1 ZINC001323958664 1108130139 /nfs/dbraw/zinc/13/01/39/1108130139.db2.gz VAQNTAUQDDHFQM-UHFFFAOYSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2ncoc2C(C)C)CCCC1 ZINC001318627299 1108130794 /nfs/dbraw/zinc/13/07/94/1108130794.db2.gz RJCOKFSYHNFGQC-UHFFFAOYSA-N 0 1 319.449 3.283 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccc(F)cc2F)C1 ZINC001324007043 1108146941 /nfs/dbraw/zinc/14/69/41/1108146941.db2.gz URVCKSCUAPHTTB-UHFFFAOYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001324029578 1108153354 /nfs/dbraw/zinc/15/33/54/1108153354.db2.gz COAAEQYPHDFQKJ-GOSISDBHSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001324160658 1108193829 /nfs/dbraw/zinc/19/38/29/1108193829.db2.gz CCYPTWAIWNPYFT-CABCVRRESA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccc(C)cc1 ZINC001263802422 1108208038 /nfs/dbraw/zinc/20/80/38/1108208038.db2.gz PBTBDCPEZHDDIM-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN C=C(CC)C(=O)N[C@@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC001263806041 1108210018 /nfs/dbraw/zinc/21/00/18/1108210018.db2.gz BFDGSTCSZVNVMZ-CQSZACIVSA-N 0 1 301.434 3.397 20 30 DGEDMN C#CCN(C(=O)CCC)C1CCN(Cc2ccc(F)cc2)CC1 ZINC001324365939 1108266732 /nfs/dbraw/zinc/26/67/32/1108266732.db2.gz YYAFWHAVXIAUGZ-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN N#CCOc1cccc(CNCc2nccc3ccccc32)c1 ZINC001319040780 1108291029 /nfs/dbraw/zinc/29/10/29/1108291029.db2.gz DNJWWVYACBDPJE-UHFFFAOYSA-N 0 1 303.365 3.427 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)cc(C)c1 ZINC001264187375 1108424035 /nfs/dbraw/zinc/42/40/35/1108424035.db2.gz QIAGTJUKVHIPJH-IBGZPJMESA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H](CC)CCCC)CC2 ZINC001319402680 1108447681 /nfs/dbraw/zinc/44/76/81/1108447681.db2.gz MSPYPNLKTRYYRK-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CC)CCCCC)CC2 ZINC001319413314 1108450834 /nfs/dbraw/zinc/45/08/34/1108450834.db2.gz YKMMOIANZSGLFM-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001319448816 1108472687 /nfs/dbraw/zinc/47/26/87/1108472687.db2.gz QWTWDXALSFGXSL-ZIAGYGMSSA-N 0 1 322.399 3.266 20 30 DGEDMN C=CC(C)(C)CNC(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001324888098 1108494115 /nfs/dbraw/zinc/49/41/15/1108494115.db2.gz CZICEWXLTDZKJM-HOTGVXAUSA-N 0 1 319.424 3.082 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl ZINC001319516283 1108503520 /nfs/dbraw/zinc/50/35/20/1108503520.db2.gz WJDQXFLZAFHINW-IMQMQEMRSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)c1cccc(C)c1 ZINC001319538730 1108513426 /nfs/dbraw/zinc/51/34/26/1108513426.db2.gz ARCVWTGQJIJSCB-HUUCEWRRSA-N 0 1 308.853 3.288 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1CCC(F)(F)CC1 ZINC001319538267 1108513979 /nfs/dbraw/zinc/51/39/79/1108513979.db2.gz QRWLTQWVQIUIBF-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN COc1ccc(NN=Cc2ccc(F)c(OC)c2)c([N+](=O)[O-])c1 ZINC001319573686 1108532346 /nfs/dbraw/zinc/53/23/46/1108532346.db2.gz URDQXEYUUMGTMB-UHFFFAOYSA-N 0 1 319.292 3.197 20 30 DGEDMN CC#CCCCC(=O)NC[C@]1(C)CCCN(C[C@H](F)CC)C1 ZINC001324956827 1108534136 /nfs/dbraw/zinc/53/41/36/1108534136.db2.gz FLQKPMSCGZLCOA-AEFFLSMTSA-N 0 1 310.457 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC1(C)C)C1CCCCC1 ZINC001319652466 1108577170 /nfs/dbraw/zinc/57/71/70/1108577170.db2.gz YBGHVSQFACYEQM-HUUCEWRRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC1(C)C)C1CCCCC1 ZINC001319652464 1108577958 /nfs/dbraw/zinc/57/79/58/1108577958.db2.gz YBGHVSQFACYEQM-CABCVRRESA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCCC2CCCCC2)[C@H]1CC ZINC001264625157 1108598108 /nfs/dbraw/zinc/59/81/08/1108598108.db2.gz UHMINOQMRJPISH-ZWKOTPCHSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN[C@H](CNC(=O)/C=C(/C)CC)c1ccccc1CC ZINC001325362675 1108633780 /nfs/dbraw/zinc/63/37/80/1108633780.db2.gz OIFHUJHVORWJAH-WLGQOEQESA-N 0 1 312.457 3.376 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1C[N@@H+](C)C/C=C/Cl ZINC001264742629 1108640752 /nfs/dbraw/zinc/64/07/52/1108640752.db2.gz AIJJGVGCSFNOED-YHVDPYDOSA-N 0 1 310.869 3.245 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1CN(C)C/C=C/Cl ZINC001264742629 1108640758 /nfs/dbraw/zinc/64/07/58/1108640758.db2.gz AIJJGVGCSFNOED-YHVDPYDOSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)C[C@@H](C)c1ccncc1 ZINC001264741602 1108640987 /nfs/dbraw/zinc/64/09/87/1108640987.db2.gz IQFARSNMXFPCRT-AEFFLSMTSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@@H](C)NCc2nocc2C)C1 ZINC001319816708 1108649079 /nfs/dbraw/zinc/64/90/79/1108649079.db2.gz KHOLQNPVZDBJAI-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN(CC(=C)Cl)CC(C)(C)C1 ZINC001264751937 1108649272 /nfs/dbraw/zinc/64/92/72/1108649272.db2.gz RKQJZHFLAPFAHY-OAHLLOKOSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cocc1C ZINC001319851622 1108663288 /nfs/dbraw/zinc/66/32/88/1108663288.db2.gz BIPGNPBCWSQTQK-ABAIWWIYSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2[nH]ccc2C)CC1 ZINC001319876438 1108675825 /nfs/dbraw/zinc/67/58/25/1108675825.db2.gz LXNOAOITPDVZLJ-UHFFFAOYSA-N 0 1 323.868 3.250 20 30 DGEDMN CC[C@H](C)CC(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001264979754 1108688675 /nfs/dbraw/zinc/68/86/75/1108688675.db2.gz DDUWMUBHUBNLRW-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C[C@@H]1C=CCCC1 ZINC001264999214 1108695066 /nfs/dbraw/zinc/69/50/66/1108695066.db2.gz MWQQQWAMZMQVCX-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](NC/C(Cl)=C\Cl)C(C)C ZINC001319934425 1108699676 /nfs/dbraw/zinc/69/96/76/1108699676.db2.gz CCIZWRJIAVIWSL-SSUFTNFISA-N 0 1 319.276 3.229 20 30 DGEDMN CCC[C@@H](C#N)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001319963979 1108718642 /nfs/dbraw/zinc/71/86/42/1108718642.db2.gz YNPHVPWTCQRPHN-ROUUACIJSA-N 0 1 313.445 3.158 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@H](C)c2cccc(F)c2)C1 ZINC001265206320 1108775717 /nfs/dbraw/zinc/77/57/17/1108775717.db2.gz UCJPQQRRGQFRHZ-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H](C)c2cccc(F)c2)C1 ZINC001265206324 1108776013 /nfs/dbraw/zinc/77/60/13/1108776013.db2.gz UCJPQQRRGQFRHZ-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001265223384 1108787503 /nfs/dbraw/zinc/78/75/03/1108787503.db2.gz VPYIBQVVGQYGFZ-CXOXVUTBSA-N 0 1 324.468 3.474 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001265235314 1108794114 /nfs/dbraw/zinc/79/41/14/1108794114.db2.gz MZJWVMVCCBEZIP-HKUYNNGSSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001265297785 1108810501 /nfs/dbraw/zinc/81/05/01/1108810501.db2.gz XVZYJUPTFCYFHW-IBWPDUFMSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001265297787 1108811187 /nfs/dbraw/zinc/81/11/87/1108811187.db2.gz XVZYJUPTFCYFHW-VEGGFIAOSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H](C)C2CCC2)CC1 ZINC001265338838 1108833567 /nfs/dbraw/zinc/83/35/67/1108833567.db2.gz MZXFIOSDHZOJRR-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001265341332 1108834218 /nfs/dbraw/zinc/83/42/18/1108834218.db2.gz OEHVNXZNVPIHGM-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@@H]2CC)CC1 ZINC001265340374 1108834468 /nfs/dbraw/zinc/83/44/68/1108834468.db2.gz PLOLGXKZPULOLN-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)coc1C ZINC001265405124 1108865397 /nfs/dbraw/zinc/86/53/97/1108865397.db2.gz OHKFQROBYHAFLD-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2C[N@@H+](C/C=C\Cl)CC[C@H]21 ZINC001265522963 1108898716 /nfs/dbraw/zinc/89/87/16/1108898716.db2.gz FOTALYRVFRZKML-ZFFSXUIJSA-N 0 1 322.880 3.101 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2CN(C/C=C\Cl)CC[C@H]21 ZINC001265522963 1108898720 /nfs/dbraw/zinc/89/87/20/1108898720.db2.gz FOTALYRVFRZKML-ZFFSXUIJSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)CCCCC(C)C)C1 ZINC001265523555 1108898808 /nfs/dbraw/zinc/89/88/08/1108898808.db2.gz MQPIBWWHGXVPEY-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001265655353 1108952762 /nfs/dbraw/zinc/95/27/62/1108952762.db2.gz GEPJTNAKQVOCQB-SJORKVTESA-N 0 1 310.457 3.452 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001265679441 1108962067 /nfs/dbraw/zinc/96/20/67/1108962067.db2.gz DIFRNZFPRJHLGL-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](F)c1ccccc1 ZINC001265683560 1108964542 /nfs/dbraw/zinc/96/45/42/1108964542.db2.gz BUYQRXBKDSMBGI-HZPDHXFCSA-N 0 1 324.827 3.420 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](F)c1ccccc1 ZINC001265683559 1108964582 /nfs/dbraw/zinc/96/45/82/1108964582.db2.gz BUYQRXBKDSMBGI-HOTGVXAUSA-N 0 1 324.827 3.420 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(C)CC(=C)C2)C1 ZINC001265705318 1108976935 /nfs/dbraw/zinc/97/69/35/1108976935.db2.gz HGBVARQWVNIRLY-LSDHHAIUSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001265739763 1108987541 /nfs/dbraw/zinc/98/75/41/1108987541.db2.gz YUCIEWSLKXWPRJ-FHERZECASA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1c(C)cccc1Cl ZINC001265793845 1109006353 /nfs/dbraw/zinc/00/63/53/1109006353.db2.gz BHWUHVKIXHONMX-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C/C=C/Cc1ccccc1 ZINC001265785770 1109004309 /nfs/dbraw/zinc/00/43/09/1109004309.db2.gz HGBAAGCHRTYPMJ-LFAOLKIESA-N 0 1 306.837 3.022 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001265809278 1109010067 /nfs/dbraw/zinc/01/00/67/1109010067.db2.gz UUBXVEASOZKUIU-MRXNPFEDSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001265855486 1109027380 /nfs/dbraw/zinc/02/73/80/1109027380.db2.gz SHSBIQUKCVZFMT-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2c(C)noc2C)CCCC1 ZINC001265875280 1109034106 /nfs/dbraw/zinc/03/41/06/1109034106.db2.gz AZBFTBNUTBIKQI-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2cnc(C)o2)CC1 ZINC001266080844 1109082653 /nfs/dbraw/zinc/08/26/53/1109082653.db2.gz WDDUQAPBWFJEPI-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(F)=C1CCCC1 ZINC001280324483 1109185379 /nfs/dbraw/zinc/18/53/79/1109185379.db2.gz IRWKEKATTBYPII-UHFFFAOYSA-N 0 1 314.404 3.165 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3ccccc3F)CCC2)C1 ZINC001280505150 1109215423 /nfs/dbraw/zinc/21/54/23/1109215423.db2.gz FGEMOVMJXIWPFM-UHFFFAOYSA-N 0 1 316.420 3.311 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CCC3(C)CC3)cc2C1 ZINC001281297927 1109326098 /nfs/dbraw/zinc/32/60/98/1109326098.db2.gz HBIGACPRIFEZML-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2cnc(C)s2)CC1(C)C ZINC001281542829 1109375838 /nfs/dbraw/zinc/37/58/38/1109375838.db2.gz XHDYXIQWXONBGY-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC/C=C\c2ccccc2)C(C)(C)C1 ZINC001281553487 1109380138 /nfs/dbraw/zinc/38/01/38/1109380138.db2.gz QXUMVMIHCAXWFO-KEEQEYLASA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)C1CC1 ZINC001281776682 1109407391 /nfs/dbraw/zinc/40/73/91/1109407391.db2.gz ONNJZEBRBBJUQC-HNAYVOBHSA-N 0 1 312.457 3.324 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@H](F)CC ZINC001281913164 1109415011 /nfs/dbraw/zinc/41/50/11/1109415011.db2.gz VGGSPYDSGWTFNV-SZVBFZGTSA-N 0 1 318.436 3.463 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)Cc1scnc1C ZINC001281940226 1109418300 /nfs/dbraw/zinc/41/83/00/1109418300.db2.gz QOTGUNRMBQOELP-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001282124477 1109453839 /nfs/dbraw/zinc/45/38/39/1109453839.db2.gz ONESJCCPSCPHDQ-DXIMFJFMSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(F)ccc1CC ZINC001282161466 1109459650 /nfs/dbraw/zinc/45/96/50/1109459650.db2.gz PTLLFKCDJQEIGJ-RYUDHWBXSA-N 0 1 312.816 3.237 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001282182434 1109464005 /nfs/dbraw/zinc/46/40/05/1109464005.db2.gz BUVDBPVCVSSFAO-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(CCC)cc1 ZINC001282182353 1109464168 /nfs/dbraw/zinc/46/41/68/1109464168.db2.gz BRUDZFWMZLESEI-ZIAGYGMSSA-N 0 1 308.853 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(CCC)cc1 ZINC001282182347 1109464175 /nfs/dbraw/zinc/46/41/75/1109464175.db2.gz BRUDZFWMZLESEI-KGLIPLIRSA-N 0 1 308.853 3.488 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1cccc(Cl)c1 ZINC001282185828 1109465551 /nfs/dbraw/zinc/46/55/51/1109465551.db2.gz PWPATPGMSBIBHY-NWDGAFQWSA-N 0 1 315.244 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC001282191564 1109466681 /nfs/dbraw/zinc/46/66/81/1109466681.db2.gz ITUASTAMZHPPEP-CHWSQXEVSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC001282191565 1109466726 /nfs/dbraw/zinc/46/67/26/1109466726.db2.gz ITUASTAMZHPPEP-OLZOCXBDSA-N 0 1 319.449 3.137 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001282400850 1109503571 /nfs/dbraw/zinc/50/35/71/1109503571.db2.gz BVKSEYPPKRQGNL-INIZCTEOSA-N 0 1 314.473 3.448 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001282455672 1109514698 /nfs/dbraw/zinc/51/46/98/1109514698.db2.gz QNRZHXXCQFASHV-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2cscn2)CCCCC1 ZINC001282619362 1109538294 /nfs/dbraw/zinc/53/82/94/1109538294.db2.gz RNERVTYYUYDWRN-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@H]1CCCCCN1CCF)c1ccccc1 ZINC001282678193 1109550144 /nfs/dbraw/zinc/55/01/44/1109550144.db2.gz OZMBTNRFEMXZJG-QZTJIDSGSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)CC1(C)C ZINC001282824939 1109571618 /nfs/dbraw/zinc/57/16/18/1109571618.db2.gz WFJUGBWELRQOLV-MRXNPFEDSA-N 0 1 318.436 3.365 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CCC)c2ccccc2)C(C)(C)C1 ZINC001282834576 1109572491 /nfs/dbraw/zinc/57/24/91/1109572491.db2.gz TVEMDBFAYANVHM-MSOLQXFVSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001282834661 1109572987 /nfs/dbraw/zinc/57/29/87/1109572987.db2.gz XTLAFOSPPRGGRB-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN CCCC1(C(=O)N(C)C[C@H](C)NCc2ccccc2C#N)CC1 ZINC001283664191 1109668777 /nfs/dbraw/zinc/66/87/77/1109668777.db2.gz VRKYTXUFUHSFNV-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1CCC(C)(C)CC1 ZINC001283721526 1109679601 /nfs/dbraw/zinc/67/96/01/1109679601.db2.gz IPHWKKVVMPWQCV-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001284130239 1109743426 /nfs/dbraw/zinc/74/34/26/1109743426.db2.gz GZPRTXKAGSVCDZ-CHWSQXEVSA-N 0 1 306.372 3.264 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C(CC(C)C)CC(C)C)[C@@H]1C ZINC001284149586 1109749041 /nfs/dbraw/zinc/74/90/41/1109749041.db2.gz XFRNZJVUSSAHPU-FUHWJXTLSA-N 0 1 306.494 3.297 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C)c(CC)s1)C(C)C ZINC001284557769 1109811810 /nfs/dbraw/zinc/81/18/10/1109811810.db2.gz GQLCKMAMUXCBJZ-UHFFFAOYSA-N 0 1 306.475 3.035 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001284626359 1109828279 /nfs/dbraw/zinc/82/82/79/1109828279.db2.gz ZMNYYLFWDDNOAQ-CRAIPNDOSA-N 0 1 321.465 3.206 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001284750552 1109854849 /nfs/dbraw/zinc/85/48/49/1109854849.db2.gz APFWBIDFLKNCGW-RWBZWWBESA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCCn1c(C(C)C)nnc1N1CCN2CCCC[C@H]2C1 ZINC001286693443 1110096738 /nfs/dbraw/zinc/09/67/38/1110096738.db2.gz PWFBBCJSXKHLMS-INIZCTEOSA-N 0 1 317.481 3.042 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(F)c(F)c3F)[nH]c2c1 ZINC001287395128 1110111565 /nfs/dbraw/zinc/11/15/65/1110111565.db2.gz ORFKAMYYYPXKND-UHFFFAOYSA-N 0 1 316.242 3.104 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001316644836 1110156932 /nfs/dbraw/zinc/15/69/32/1110156932.db2.gz WZVDPJWFDFNMDR-VNQPRFMTSA-N 0 1 322.399 3.048 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1 ZINC001290792343 1110259593 /nfs/dbraw/zinc/25/95/93/1110259593.db2.gz LWGJMROYPYBKKC-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001303310640 1111139578 /nfs/dbraw/zinc/13/95/78/1111139578.db2.gz XNEKZNILPSBGMI-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC001304831383 1111185869 /nfs/dbraw/zinc/18/58/69/1111185869.db2.gz YUBXKRALDBBESF-INIZCTEOSA-N 0 1 307.397 3.321 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NC(=O)c1ccc(F)cc1O ZINC001306859300 1111245428 /nfs/dbraw/zinc/24/54/28/1111245428.db2.gz SWPLVSQURZKENL-INIZCTEOSA-N 0 1 310.328 3.210 20 30 DGEDMN Cc1ccc2nc(CNc3cc(C#N)sc3[N+](=O)[O-])[nH]c2c1 ZINC001306983190 1111257257 /nfs/dbraw/zinc/25/72/57/1111257257.db2.gz MSUZMVPDGXKGLP-UHFFFAOYSA-N 0 1 313.342 3.325 20 30 DGEDMN Cc1cc(N[C@@H]2CCN(C)[C@@H]2c2ccc(F)cc2)ncc1C#N ZINC001307073545 1111262479 /nfs/dbraw/zinc/26/24/79/1111262479.db2.gz XDVOLTXKJZMQER-SJLPKXTDSA-N 0 1 310.376 3.258 20 30 DGEDMN C[C@H](CSc1cccc(C#N)c1Cl)CN1CCOCC1 ZINC001307399179 1111295757 /nfs/dbraw/zinc/29/57/57/1111295757.db2.gz BSPKCNGPRLAPPI-LBPRGKRZSA-N 0 1 310.850 3.272 20 30 DGEDMN CC[C@H]1CCN(CC(=O)Nc2cc(Cl)ccc2C#N)[C@@H]1C ZINC001307701276 1111329169 /nfs/dbraw/zinc/32/91/69/1111329169.db2.gz CYXDKYAOVRJQDM-NEPJUHHUSA-N 0 1 305.809 3.271 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)[C@H](Cc2ccccc2)C1 ZINC001307899265 1111353405 /nfs/dbraw/zinc/35/34/05/1111353405.db2.gz BETPJUPLXPMKBK-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C[C@H]2CCCC[C@H]21 ZINC001307901914 1111353444 /nfs/dbraw/zinc/35/34/44/1111353444.db2.gz XJNVXZZVTPPPLP-AXAPSJFSSA-N 0 1 317.820 3.413 20 30 DGEDMN CC(C)([NH2+]Cc1cc([O-])ccc1[N+](=O)[O-])c1cccc(C#N)c1 ZINC001307922679 1111355823 /nfs/dbraw/zinc/35/58/23/1111355823.db2.gz AZVBGJZGNXDPBJ-UHFFFAOYSA-N 0 1 311.341 3.197 20 30 DGEDMN N#Cc1ccc(N2CCN(CC[C@H]3CC3(Cl)Cl)CC2)cc1 ZINC001308011955 1111366665 /nfs/dbraw/zinc/36/66/65/1111366665.db2.gz URJLKAPSJYALIS-AWEZNQCLSA-N 0 1 324.255 3.264 20 30 DGEDMN CCCCCCCCCCN1CCN(c2n[nH]c(C)n2)CC1 ZINC001308037129 1111369940 /nfs/dbraw/zinc/36/99/40/1111369940.db2.gz ZMIFCNWYVSKFJL-UHFFFAOYSA-N 0 1 307.486 3.376 20 30 DGEDMN C#CCN([C@H]1CCCc2ccccc21)[C@H]1CCCN(C(C)C)C1=O ZINC001308104194 1111378046 /nfs/dbraw/zinc/37/80/46/1111378046.db2.gz OPJMTQSYCXDNBX-PMACEKPBSA-N 0 1 324.468 3.399 20 30 DGEDMN C=CCC[C@H]1CCC[N@H+]1CC(=O)Nc1nc2c(s1)CCCC2 ZINC001308138040 1111383179 /nfs/dbraw/zinc/38/31/79/1111383179.db2.gz UIWPVKNFOSBSAY-ZDUSSCGKSA-N 0 1 319.474 3.391 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1nc2c(s1)CCCC2 ZINC001308138040 1111383184 /nfs/dbraw/zinc/38/31/84/1111383184.db2.gz UIWPVKNFOSBSAY-ZDUSSCGKSA-N 0 1 319.474 3.391 20 30 DGEDMN CC(C)(C)n1cncc1[C@@H]1CCCN(Cc2cc(C#N)ccn2)C1 ZINC001308164580 1111388144 /nfs/dbraw/zinc/38/81/44/1111388144.db2.gz FQZPEOINSGGJCL-MRXNPFEDSA-N 0 1 323.444 3.284 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001308510842 1111436817 /nfs/dbraw/zinc/43/68/17/1111436817.db2.gz YFGFBKCEGKFHHF-KEYYUXOJSA-N 0 1 319.832 3.399 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001308510843 1111436862 /nfs/dbraw/zinc/43/68/62/1111436862.db2.gz YFGFBKCEGKFHHF-ZQIUZPCESA-N 0 1 319.832 3.399 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)c(Cl)c1 ZINC001316804588 1111741233 /nfs/dbraw/zinc/74/12/33/1111741233.db2.gz RSBSFIZJOZKYAI-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cnc(Cl)s1 ZINC001329051579 1111741988 /nfs/dbraw/zinc/74/19/88/1111741988.db2.gz YIHIAQVYJGUHLR-QWRGUYRKSA-N 0 1 315.870 3.136 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@@H](NCc2cnc(C)o2)C1 ZINC001316775663 1111762585 /nfs/dbraw/zinc/76/25/85/1111762585.db2.gz SSEYMWBXLAFJLP-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CC)CC2CCCC2)[C@H]1C ZINC001316795440 1111769536 /nfs/dbraw/zinc/76/95/36/1111769536.db2.gz SAGQKZMJZAGLBB-NXHRZFHOSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001316841522 1111782357 /nfs/dbraw/zinc/78/23/57/1111782357.db2.gz OUJIAASGSCNYMM-MHWRWJLKSA-N 0 1 311.429 3.019 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)c(C#N)c1C ZINC000181374406 199286662 /nfs/dbraw/zinc/28/66/62/199286662.db2.gz YJJOVTKFLHIITG-STQMWFEESA-N 0 1 311.385 3.446 20 30 DGEDMN CN(CC(=O)Nc1ccccc1SCC#N)CC1CCCC1 ZINC000064021302 432007368 /nfs/dbraw/zinc/00/73/68/432007368.db2.gz VJSAZTUHFNTBDW-UHFFFAOYSA-N 0 1 317.458 3.363 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)Nc2cccc(Cl)c2)CC1 ZINC000103471635 432009728 /nfs/dbraw/zinc/00/97/28/432009728.db2.gz XKJNWSCBWXXARO-UHFFFAOYSA-N 0 1 307.825 3.456 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ccc([N+](=O)[O-])c(C#N)c1 ZINC000444866978 529977063 /nfs/dbraw/zinc/97/70/63/529977063.db2.gz AUZFCCHCDVWKKW-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN CN(Cc1ccc(CC#N)cc1)Cc1ccc([S@](C)=O)cc1 ZINC000343577588 529984626 /nfs/dbraw/zinc/98/46/26/529984626.db2.gz MWJKCDVYJYDLSL-QFIPXVFZSA-N 0 1 312.438 3.122 20 30 DGEDMN CC(C)(C)c1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCOC3)n2)cc1 ZINC000066917374 432023688 /nfs/dbraw/zinc/02/36/88/432023688.db2.gz FDDPQVCDJQWFPE-GFCCVEGCSA-N 0 1 314.389 3.394 20 30 DGEDMN CCN(CC)[C@@H](C/N=C/c1cccc(OC)c1O)c1ccco1 ZINC000067005687 432025250 /nfs/dbraw/zinc/02/52/50/432025250.db2.gz XURREMNEQNCFDM-UZUMKDMXSA-N 0 1 316.401 3.496 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2snc(Cl)c2C#N)n[nH]1 ZINC000277172954 213345404 /nfs/dbraw/zinc/34/54/04/213345404.db2.gz HYFYWHHGWVMMCH-RNFRBKRXSA-N 0 1 310.814 3.473 20 30 DGEDMN N#Cc1ccc(CNCCOc2ccc(C(F)(F)F)cc2)o1 ZINC000174294467 198316674 /nfs/dbraw/zinc/31/66/74/198316674.db2.gz WOKSRBNDCHZHSG-UHFFFAOYSA-N 0 1 310.275 3.339 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC000277040452 213257026 /nfs/dbraw/zinc/25/70/26/213257026.db2.gz LYBNOUICKDSEGA-MNOVXSKESA-N 0 1 312.377 3.073 20 30 DGEDMN N#Cc1cc(CN2CC[C@]3(C2)OCc2ccccc23)ccc1F ZINC000186669295 283093302 /nfs/dbraw/zinc/09/33/02/283093302.db2.gz UXZHCGKVPMZYRN-LJQANCHMSA-N 0 1 308.356 3.329 20 30 DGEDMN N#Cc1ccc(C(=O)N2CC3(CCC3)c3c2cccc3F)cc1O ZINC000442953647 283123332 /nfs/dbraw/zinc/12/33/32/283123332.db2.gz BCGTUYNMVIFYSH-UHFFFAOYSA-N 0 1 322.339 3.485 20 30 DGEDMN N#Cc1ccc(CN(C[C@H]2CCCO2)[C@@H]2CCSC2)c(F)c1 ZINC000179285230 432052562 /nfs/dbraw/zinc/05/25/62/432052562.db2.gz VEFFGFSPAYBYLB-HZPDHXFCSA-N 0 1 320.433 3.184 20 30 DGEDMN Cc1ccnc(N2CCN([C@@H](C)c3ccc(C#N)cc3)CC2)c1 ZINC000166136725 432059138 /nfs/dbraw/zinc/05/91/38/432059138.db2.gz MSHNBKKXADXCCF-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN COCCOc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000237151074 202168497 /nfs/dbraw/zinc/16/84/97/202168497.db2.gz QAZOWERVRMUYLO-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccc3ccoc3c2)cc1F ZINC000427314474 237993600 /nfs/dbraw/zinc/99/36/00/237993600.db2.gz LWOWNFIHBURLKB-UHFFFAOYSA-N 0 1 316.313 3.244 20 30 DGEDMN C[C@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1CCCC(C)(C)C#N ZINC000429385253 238050141 /nfs/dbraw/zinc/05/01/41/238050141.db2.gz XMYWCMBLKQFZNR-GJZGRUSLSA-N 0 1 307.482 3.284 20 30 DGEDMN C[C@H]1CN(CCOc2cccc(C#N)c2)Cc2ccccc2O1 ZINC000429141083 238041689 /nfs/dbraw/zinc/04/16/89/238041689.db2.gz KAIWXXPIINTEPP-HNNXBMFYSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CNc1ccc(F)c(C#N)c1 ZINC000432412016 238127483 /nfs/dbraw/zinc/12/74/83/238127483.db2.gz DLFFBZTYVASOKZ-UHFFFAOYSA-N 0 1 307.332 3.199 20 30 DGEDMN C#CCCN1CCN(CCSc2ccc(Cl)cc2)CC1 ZINC000272025119 209396253 /nfs/dbraw/zinc/39/62/53/209396253.db2.gz NVXQIJDZAXOZBS-UHFFFAOYSA-N 0 1 308.878 3.073 20 30 DGEDMN Cc1nc(N[C@@H](C)c2n[nH]c(C(C)C)n2)c(Cl)cc1C#N ZINC000292491310 223118544 /nfs/dbraw/zinc/11/85/44/223118544.db2.gz FDDURKUIPBRSQN-VIFPVBQESA-N 0 1 304.785 3.330 20 30 DGEDMN C[C@H](NCc1cccc(C(=O)N(C)C)c1)c1cccc(C#N)c1 ZINC000271879002 209290285 /nfs/dbraw/zinc/29/02/85/209290285.db2.gz YORDKSWZBFZYRR-AWEZNQCLSA-N 0 1 307.397 3.111 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H]3CCC[C@@H]32)cc1Cl ZINC000444191719 239268637 /nfs/dbraw/zinc/26/86/37/239268637.db2.gz YICLJCUMAGIYQS-WBMJQRKESA-N 0 1 317.820 3.415 20 30 DGEDMN C[C@@H](N[C@H]1CCN(Cc2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000271819412 209243017 /nfs/dbraw/zinc/24/30/17/209243017.db2.gz OTPRRMLGUPPPCF-BEFAXECRSA-N 0 1 319.408 3.010 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1csc(-c2cccc(OC)c2)n1 ZINC000451602171 241187242 /nfs/dbraw/zinc/18/72/42/241187242.db2.gz WQZYZYMOBIFLKT-CVEARBPZSA-N 0 1 316.426 3.252 20 30 DGEDMN Cc1oc(NC(=O)CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000246215278 432109500 /nfs/dbraw/zinc/10/95/00/432109500.db2.gz AWDYQNZGOSEMQS-WPGHFRTFSA-N 0 1 315.417 3.360 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](CCCO)c1ccccc1 ZINC000271786355 209213890 /nfs/dbraw/zinc/21/38/90/209213890.db2.gz MPZGXSJTGAGRSK-GOSISDBHSA-N 0 1 310.397 3.170 20 30 DGEDMN C[C@@H](N[C@@H](C[S@](C)=O)c1ccccc1)c1cccc(C#N)c1 ZINC000190000464 432111950 /nfs/dbraw/zinc/11/19/50/432111950.db2.gz LORFLFXPWMNGHX-GHNFSYLKSA-N 0 1 312.438 3.329 20 30 DGEDMN C=CCC1(CNC(=O)N[C@@H]2CCCc3cn[nH]c32)CCCC1 ZINC000455475588 242210436 /nfs/dbraw/zinc/21/04/36/242210436.db2.gz JBWMVVWXFLTDDC-CQSZACIVSA-N 0 1 302.422 3.223 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)CN1CC(=O)Nc1ccc(C#N)cc1 ZINC000115552569 284114030 /nfs/dbraw/zinc/11/40/30/284114030.db2.gz DGVAUFWLOUCHBM-QAPCUYQASA-N 0 1 319.408 3.375 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)cc2F)c(-c2ccccc2)n1 ZINC000093067346 193199152 /nfs/dbraw/zinc/19/91/52/193199152.db2.gz AHTFHBFIFIXQAX-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN C=CCOCCC[N@@H+]1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)OC ZINC000470766554 244185686 /nfs/dbraw/zinc/18/56/86/244185686.db2.gz RIJLEDIXQZHEDD-DLBZAZTESA-N 0 1 309.450 3.023 20 30 DGEDMN C=CCOCCC[N@@H+]1C[C@H](C2CCCCC2)C[C@H]1C(=O)OC ZINC000470766557 244186803 /nfs/dbraw/zinc/18/68/03/244186803.db2.gz RIJLEDIXQZHEDD-SJORKVTESA-N 0 1 309.450 3.023 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@H](Cc3ccccc3)C2)cc1 ZINC000124274962 284256144 /nfs/dbraw/zinc/25/61/44/284256144.db2.gz UJPVDOJRZFKCMJ-GOSISDBHSA-N 0 1 319.408 3.061 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2cc(F)cc3nc[nH]c32)cc1 ZINC000489951999 245364737 /nfs/dbraw/zinc/36/47/37/245364737.db2.gz ZAFHVSXRLCXJCD-INIZCTEOSA-N 0 1 322.343 3.259 20 30 DGEDMN CCCOc1ccccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000016100748 182034250 /nfs/dbraw/zinc/03/42/50/182034250.db2.gz HWZLAZUPUWKPSG-UHFFFAOYSA-N 0 1 316.382 3.148 20 30 DGEDMN COC[C@@H](NCc1cc(C#N)n(C)c1)c1cccc(Cl)c1 ZINC000288514212 220192300 /nfs/dbraw/zinc/19/23/00/220192300.db2.gz JADSHWXGHZVUDT-MRXNPFEDSA-N 0 1 303.793 3.027 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)C=Cc2ccc(C#N)cc2)n[nH]1 ZINC000493593795 246019243 /nfs/dbraw/zinc/01/92/43/246019243.db2.gz YHKQFMQKKZZZBU-GWJJPBGRSA-N 0 1 323.400 3.080 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000494700687 246181779 /nfs/dbraw/zinc/18/17/79/246181779.db2.gz BISDRVLIJUTRMY-GJZGRUSLSA-N 0 1 307.463 3.101 20 30 DGEDMN C[C@@H]1C[C@@H](C)N(CC2(C#N)CC2)C[C@H]1CNC(=O)OC(C)(C)C ZINC000496386555 246389471 /nfs/dbraw/zinc/38/94/71/246389471.db2.gz WMSDCFUWGRNWOY-RBSFLKMASA-N 0 1 321.465 3.161 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2sccc2C#N)C1=O)c1cccs1 ZINC000267691755 206220861 /nfs/dbraw/zinc/22/08/61/206220861.db2.gz ONUBWUZQEQYDDV-JQWIXIFHSA-N 0 1 317.439 3.137 20 30 DGEDMN Cc1noc(C)c1CN1CCC(Oc2cccc(C#N)c2)CC1 ZINC000104714948 194029851 /nfs/dbraw/zinc/02/98/51/194029851.db2.gz HGZNIRGKWVOXOQ-UHFFFAOYSA-N 0 1 311.385 3.207 20 30 DGEDMN CSc1ccccc1[C@H](C)N[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000336969784 533060916 /nfs/dbraw/zinc/06/09/16/533060916.db2.gz NZKWFOJQQJQKKM-QWHCGFSZSA-N 0 1 317.458 3.010 20 30 DGEDMN C[C@@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccc(C#N)cc1 ZINC000338357723 253272142 /nfs/dbraw/zinc/27/21/42/253272142.db2.gz KZPZOBMWXHGAIA-HZPDHXFCSA-N 0 1 321.424 3.428 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCCCC[C@H]1c1ccc(C)o1 ZINC000158654661 197292197 /nfs/dbraw/zinc/29/21/97/197292197.db2.gz QAXDXYIYPHBAFP-INIZCTEOSA-N 0 1 317.433 3.267 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc2ccccc2c1 ZINC000272914277 210301029 /nfs/dbraw/zinc/30/10/29/210301029.db2.gz XBWNOCWDCQMAKT-AWEZNQCLSA-N 0 1 303.427 3.091 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1ccc2ccccc2n1 ZINC000342094654 253924626 /nfs/dbraw/zinc/92/46/26/253924626.db2.gz XWVMHEBGFGTROF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C[C@@H](C#N)C(=O)Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1 ZINC000347030680 533120975 /nfs/dbraw/zinc/12/09/75/533120975.db2.gz CJKPDGLESKEJEI-NSHDSACASA-N 0 1 322.343 3.391 20 30 DGEDMN CCc1nc(NC(=O)c2sc3ccc(C#N)cc3c2C)n[nH]1 ZINC000342992366 254084041 /nfs/dbraw/zinc/08/40/41/254084041.db2.gz GPHGWLJVDWZHSP-UHFFFAOYSA-N 0 1 311.370 3.014 20 30 DGEDMN CCc1n[nH]c(NC(=O)c2sc3ccc(C#N)cc3c2C)n1 ZINC000342992366 254084042 /nfs/dbraw/zinc/08/40/42/254084042.db2.gz GPHGWLJVDWZHSP-UHFFFAOYSA-N 0 1 311.370 3.014 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3ccc(F)c(C#N)c3)[nH]c2c1 ZINC000343797840 254190120 /nfs/dbraw/zinc/19/01/20/254190120.db2.gz YOUFQLKLKDCZRM-UHFFFAOYSA-N 0 1 323.331 3.204 20 30 DGEDMN C#CC[C@@H](NC[C@@H]1CN(c2ccccc2)C(=O)O1)c1ccccc1 ZINC000271649687 209093808 /nfs/dbraw/zinc/09/38/08/209093808.db2.gz AXQDQPSRXFHWJZ-RTBURBONSA-N 0 1 320.392 3.366 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)Cn1c2ccccc2c2ccccc21 ZINC000581734446 400082332 /nfs/dbraw/zinc/08/23/32/400082332.db2.gz LNGPBIUNTCDQJN-CVEARBPZSA-N 0 1 321.424 3.437 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC(C)CC1 ZINC000008318452 400123478 /nfs/dbraw/zinc/12/34/78/400123478.db2.gz PJMXCXHEXXKASX-GFCCVEGCSA-N 0 1 305.809 3.271 20 30 DGEDMN N#CC1(NC(=O)CN2CCC3(CCCCC3)CC2)CCCCC1 ZINC000608833642 400128315 /nfs/dbraw/zinc/12/83/15/400128315.db2.gz ZMCYQBXAAJKLOW-UHFFFAOYSA-N 0 1 317.477 3.375 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC000609316147 400159128 /nfs/dbraw/zinc/15/91/28/400159128.db2.gz AAVFKSJKPFEOEB-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2csc(CC(=O)OC(C)C)n2)C1 ZINC000595659526 400221014 /nfs/dbraw/zinc/22/10/14/400221014.db2.gz NWZCTAYTPDETTN-AWEZNQCLSA-N 0 1 322.474 3.425 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000595658710 400221284 /nfs/dbraw/zinc/22/12/84/400221284.db2.gz HUKDICBROWLUEZ-UONOGXRCSA-N 0 1 317.389 3.210 20 30 DGEDMN CC(C)[C@H](NCC(=O)N[C@@](C)(C#N)C(C)C)c1ccccc1F ZINC000595692152 400229905 /nfs/dbraw/zinc/22/99/05/400229905.db2.gz LBBYBJLLBTZDNI-ROUUACIJSA-N 0 1 319.424 3.167 20 30 DGEDMN C[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)[C@@H]1CCO ZINC000595695327 400231555 /nfs/dbraw/zinc/23/15/55/400231555.db2.gz GRMZBYKREGRXJZ-SWLSCSKDSA-N 0 1 324.371 3.143 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CC[C@@H]1c1ccc(F)cc1 ZINC000595700678 400234305 /nfs/dbraw/zinc/23/43/05/400234305.db2.gz DCUXURZLUJQJIG-GOSISDBHSA-N 0 1 323.371 3.473 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2ccc(C)c(C)c2)CC1 ZINC000068123574 400235314 /nfs/dbraw/zinc/23/53/14/400235314.db2.gz OLZLJNFIVKHKJI-FQEVSTJZSA-N 0 1 324.468 3.312 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)Nc1cccc(C#N)c1 ZINC000610345891 400246098 /nfs/dbraw/zinc/24/60/98/400246098.db2.gz BRHBHLMYQSMSQE-UONOGXRCSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@@H](C)C1O ZINC000595734205 400246094 /nfs/dbraw/zinc/24/60/94/400246094.db2.gz WVKPPKFKABTEHD-GHMZBOCLSA-N 0 1 312.335 3.026 20 30 DGEDMN CC(C)N(C(=O)[C@@H](C)N[C@H](C)c1cccc(C#N)c1)C(C)C ZINC000610346339 400246319 /nfs/dbraw/zinc/24/63/19/400246319.db2.gz UNFQVEOVPFWLET-HUUCEWRRSA-N 0 1 301.434 3.243 20 30 DGEDMN N#Cc1cc(F)cc(NC2CCN(Cc3ccccn3)CC2)c1 ZINC000601139723 400246735 /nfs/dbraw/zinc/24/67/35/400246735.db2.gz INRXSGXNAHINCM-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CN(C(=O)CN1C2CCCC1CCC2)C1(C#N)CCCCC1 ZINC000610369958 400252643 /nfs/dbraw/zinc/25/26/43/400252643.db2.gz FJDFSVCKQDJVQG-UHFFFAOYSA-N 0 1 303.450 3.078 20 30 DGEDMN CCN(C(=O)[C@H](C)N1CCC[C@@H](CC#N)C1)C1CCCCC1 ZINC000595609472 400202706 /nfs/dbraw/zinc/20/27/06/400202706.db2.gz KKWGDZKVSSPCPS-HOTGVXAUSA-N 0 1 305.466 3.182 20 30 DGEDMN Cc1cc(C)c(C#N)c(N2CCN(Cc3ccccc3C)CC2)n1 ZINC000022805515 400204657 /nfs/dbraw/zinc/20/46/57/400204657.db2.gz LKUNXVGULJLSSV-UHFFFAOYSA-N 0 1 320.440 3.201 20 30 DGEDMN CN(C)[C@@H](CNC(=O)CCCCCC#N)c1ccc(F)cc1 ZINC000588300388 400209507 /nfs/dbraw/zinc/20/95/07/400209507.db2.gz RAKIXTIHIZQNNX-INIZCTEOSA-N 0 1 305.397 3.019 20 30 DGEDMN N#Cc1sccc1N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC000595630101 400212051 /nfs/dbraw/zinc/21/20/51/400212051.db2.gz SYGXBXZORSCXMW-LBPRGKRZSA-N 0 1 311.401 3.177 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CC[C@H]2c2cccc(F)c2)cc1 ZINC000610505068 400267093 /nfs/dbraw/zinc/26/70/93/400267093.db2.gz YSTYXBAVHJCVFB-SFHVURJKSA-N 0 1 323.371 3.473 20 30 DGEDMN N#Cc1ccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)s1 ZINC000610521708 400270533 /nfs/dbraw/zinc/27/05/33/400270533.db2.gz DISWDYNCWOYNKE-UHFFFAOYSA-N 0 1 316.773 3.079 20 30 DGEDMN CN(c1ccccc1)[C@H]1CCN(Cc2cc(C#N)ccc2F)C1 ZINC000595829777 400272720 /nfs/dbraw/zinc/27/27/20/400272720.db2.gz YSMMUBVJRRTEKH-SFHVURJKSA-N 0 1 309.388 3.408 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC000595831710 400274437 /nfs/dbraw/zinc/27/44/37/400274437.db2.gz QBJGPUYJYYCMSL-GOSISDBHSA-N 0 1 308.381 3.220 20 30 DGEDMN CCC(CC)CCCN(C)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000595835823 400276061 /nfs/dbraw/zinc/27/60/61/400276061.db2.gz VSWVXWVUUCPDRB-QGZVFWFLSA-N 0 1 301.434 3.233 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000595845929 400281244 /nfs/dbraw/zinc/28/12/44/400281244.db2.gz WYCQZRYGKZQOSR-AEGPPILISA-N 0 1 315.373 3.105 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@]1(C)CO ZINC000595859899 400281976 /nfs/dbraw/zinc/28/19/76/400281976.db2.gz CRAXSRCABZXHSM-IAQYHMDHSA-N 0 1 312.335 3.027 20 30 DGEDMN Cc1ccccc1CN(C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000028709637 400291498 /nfs/dbraw/zinc/29/14/98/400291498.db2.gz PKDMDRAYCJQYFU-LJQANCHMSA-N 0 1 319.408 3.104 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CC2CC1(C)C2 ZINC000595916401 400294636 /nfs/dbraw/zinc/29/46/36/400294636.db2.gz RYMINVMVAHTMMF-VHTNMKBUSA-N 0 1 303.793 3.023 20 30 DGEDMN Cc1cc(NC[C@H](c2ccco2)N(C)C)cc(C#N)c1[N+](=O)[O-] ZINC000596055111 400305416 /nfs/dbraw/zinc/30/54/16/400305416.db2.gz SDTSMEOTFQCDNI-CQSZACIVSA-N 0 1 314.345 3.083 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000595786874 400259114 /nfs/dbraw/zinc/25/91/14/400259114.db2.gz IDSBSBIYLYPLAF-MAUKXSAKSA-N 0 1 302.393 3.478 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000610480891 400262397 /nfs/dbraw/zinc/26/23/97/400262397.db2.gz XKNQSWXMCCHNFL-RLFYNMQTSA-N 0 1 313.445 3.388 20 30 DGEDMN C[C@H]1CCc2c1[nH]n(-c1ccc(C#N)c(C(F)(F)F)c1)c2=O ZINC000601938788 400381266 /nfs/dbraw/zinc/38/12/66/400381266.db2.gz JMPWEKCLBIVFSL-GZMMTYOYSA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1[nH]n(Cc2ccc(Br)cc2)c(=O)c1CCC#N ZINC000601944922 400382857 /nfs/dbraw/zinc/38/28/57/400382857.db2.gz QSTUBMSSEOVEJM-ZDUSSCGKSA-N 0 1 320.190 3.087 20 30 DGEDMN Cc1[nH]n(Cc2cccc(Br)c2)c(=O)c1CCC#N ZINC000601948805 400384885 /nfs/dbraw/zinc/38/48/85/400384885.db2.gz LSZLUVKDAXZQSO-CYBMUJFWSA-N 0 1 320.190 3.087 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(Cc2cccc(C#N)c2F)C1 ZINC000611373221 400386718 /nfs/dbraw/zinc/38/67/18/400386718.db2.gz MGXXXPWYTBJENY-AWEZNQCLSA-N 0 1 318.392 3.253 20 30 DGEDMN C[C@H](NC(=O)CN[C@@H](C)c1cccc(C#N)c1)c1cccs1 ZINC000611388891 400389711 /nfs/dbraw/zinc/38/97/11/400389711.db2.gz TZUOSWTYKKJTFG-STQMWFEESA-N 0 1 313.426 3.148 20 30 DGEDMN C[C@H](NCC(=O)N(C)C1CCC(C)CC1)c1cccc(C#N)c1 ZINC000611388189 400390423 /nfs/dbraw/zinc/39/04/23/400390423.db2.gz KUADRXDFHPNZNO-CSLYMUCUSA-N 0 1 313.445 3.246 20 30 DGEDMN CCOc1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)cc1 ZINC000611389567 400391200 /nfs/dbraw/zinc/39/12/00/400391200.db2.gz ZOJCADLNCWDSBY-AWEZNQCLSA-N 0 1 323.396 3.246 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2nc3ncccc3cc2C#N)n[nH]1 ZINC000596205115 400349446 /nfs/dbraw/zinc/34/94/46/400349446.db2.gz GMJXHVNGOFYACF-QWRGUYRKSA-N 0 1 321.388 3.306 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1cc(Cl)ccc1Cl ZINC000581020331 400349514 /nfs/dbraw/zinc/34/95/14/400349514.db2.gz IHVCTSSODJYEIX-NEPJUHHUSA-N 0 1 317.216 3.015 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1cc(Cl)ccc1Cl ZINC000581020334 400350314 /nfs/dbraw/zinc/35/03/14/400350314.db2.gz IHVCTSSODJYEIX-VXGBXAGGSA-N 0 1 317.216 3.015 20 30 DGEDMN N#Cc1ccncc1N1CCN(Cc2ccccc2C2CC2)CC1 ZINC000590053289 400350677 /nfs/dbraw/zinc/35/06/77/400350677.db2.gz RERVIWDUXKJXAG-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN N#CCCN1CCN(CCCSc2ccccc2Cl)CC1 ZINC000611175529 400355110 /nfs/dbraw/zinc/35/51/10/400355110.db2.gz ZILWTOWHDQFRHD-UHFFFAOYSA-N 0 1 323.893 3.353 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)c1nc2cc(F)ccc2s1 ZINC000611249134 400363929 /nfs/dbraw/zinc/36/39/29/400363929.db2.gz LYWVHESAMCRDAT-GFCCVEGCSA-N 0 1 317.389 3.491 20 30 DGEDMN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1SCC#N ZINC000072698255 400398778 /nfs/dbraw/zinc/39/87/78/400398778.db2.gz SOYMWHSUCYQMGK-KBPBESRZSA-N 0 1 317.458 3.361 20 30 DGEDMN C=CCCSCCNc1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000596413744 400408900 /nfs/dbraw/zinc/40/89/00/400408900.db2.gz VCMHOXWEXPPZTH-UHFFFAOYSA-N 0 1 317.340 3.045 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NC(=O)[C@H]1CCN1C1CCCC1 ZINC000602166645 400420374 /nfs/dbraw/zinc/42/03/74/400420374.db2.gz XVRHLRLAFJAPGH-RTBURBONSA-N 0 1 323.440 3.069 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cccc2n[nH]cc21 ZINC000042042680 400420404 /nfs/dbraw/zinc/42/04/04/400420404.db2.gz ZHHBEDHPWARDDW-UHFFFAOYSA-N 0 1 318.380 3.487 20 30 DGEDMN CN(CCOc1ccc(Cl)cc1)Cc1cccc(C#N)n1 ZINC000602432578 400459522 /nfs/dbraw/zinc/45/95/22/400459522.db2.gz IEIRWFCDHFWMOZ-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN Cc1ccc(CNC(=O)[C@@H](C)N[C@H](C)c2ccc(C#N)cc2)cc1 ZINC000127555343 400503289 /nfs/dbraw/zinc/50/32/89/400503289.db2.gz BPNPTSXGOOUGPC-HZPDHXFCSA-N 0 1 321.424 3.222 20 30 DGEDMN C=CCCOCCNCc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000602581007 400504037 /nfs/dbraw/zinc/50/40/37/400504037.db2.gz SBJNGSXRSUXVMZ-UHFFFAOYSA-N 0 1 318.295 3.296 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC[C@@](C)(C#N)C3)n[nH]2)cc1 ZINC000612235046 400510273 /nfs/dbraw/zinc/51/02/73/400510273.db2.gz CGAAEDQETHVFLV-SFHVURJKSA-N 0 1 308.385 3.151 20 30 DGEDMN CC(C)(C)C[N@@H+](CC(=O)[O-])Cc1cccc(OCCCC#N)c1 ZINC000596656369 400473205 /nfs/dbraw/zinc/47/32/05/400473205.db2.gz KBQCMICOKBJUCN-UHFFFAOYSA-N 0 1 318.417 3.302 20 30 DGEDMN C[C@@H](N[C@@H]1CCc2ccccc2NC1=O)c1ccc(C#N)cc1F ZINC000591026535 400478059 /nfs/dbraw/zinc/47/80/59/400478059.db2.gz KXGHWINPIHSFHP-KZULUSFZSA-N 0 1 323.371 3.301 20 30 DGEDMN COC(=O)CCN(Cc1ccc(F)c(F)c1)CC1(CC#N)CC1 ZINC000602782695 400572084 /nfs/dbraw/zinc/57/20/84/400572084.db2.gz HCCPQNNAKDYCDP-UHFFFAOYSA-N 0 1 322.355 3.024 20 30 DGEDMN COc1cccc(-c2n[nH]cc2CN2CCC[C@@H](CC#N)C2)c1 ZINC000597172550 400576281 /nfs/dbraw/zinc/57/62/81/400576281.db2.gz CMYDNOIIQJQTGA-AWEZNQCLSA-N 0 1 310.401 3.211 20 30 DGEDMN COc1ccc(-n2cc(CN3CCC[C@H](CC#N)C3)cn2)cc1 ZINC000597178040 400578268 /nfs/dbraw/zinc/57/82/68/400578268.db2.gz UOWGIWZLCXDDEW-OAHLLOKOSA-N 0 1 310.401 3.007 20 30 DGEDMN N#CC1(c2ccc(CN3CCC[C@@H]3[C@H](O)C(F)(F)F)cc2)CC1 ZINC000597011791 400539238 /nfs/dbraw/zinc/53/92/38/400539238.db2.gz MAODTZANSZDSTM-CABCVRRESA-N 0 1 324.346 3.129 20 30 DGEDMN N#Cc1ccccc1N1CCCN(Cc2ccccc2F)CC1 ZINC000046086538 400549746 /nfs/dbraw/zinc/54/97/46/400549746.db2.gz ATZQRYMENASQTN-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN N#CC1(c2ccc(CN3CCC[C@H](OC(F)F)C3)cc2)CC1 ZINC000597082706 400554695 /nfs/dbraw/zinc/55/46/95/400554695.db2.gz ONYUFYAISYOMJH-HNNXBMFYSA-N 0 1 306.356 3.445 20 30 DGEDMN N#CCC1(CN[C@@H](CCO)c2ccccc2Br)CC1 ZINC000602807908 400580693 /nfs/dbraw/zinc/58/06/93/400580693.db2.gz USUSTOPXJJXHLI-AWEZNQCLSA-N 0 1 323.234 3.156 20 30 DGEDMN COc1ccccc1CN(C[C@@H]1CCCO1)CC1(CC#N)CC1 ZINC000602762104 400565975 /nfs/dbraw/zinc/56/59/75/400565975.db2.gz HLAZRYNQBCPVAY-KRWDZBQOSA-N 0 1 314.429 3.370 20 30 DGEDMN COc1cc(CN(C)CC2(CC#N)CC2)ccc1OC(F)F ZINC000602768668 400569432 /nfs/dbraw/zinc/56/94/32/400569432.db2.gz RRJJANVHBMYSMC-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN C[C@H](CC#N)C(=O)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000597366960 400620453 /nfs/dbraw/zinc/62/04/53/400620453.db2.gz FXOFLHWJFUQZNG-QMTHXVAHSA-N 0 1 316.792 3.430 20 30 DGEDMN C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)N(C)CCC1CC1 ZINC000135303965 400586073 /nfs/dbraw/zinc/58/60/73/400586073.db2.gz MLXNUVRQOUBDTM-NSHDSACASA-N 0 1 317.458 3.167 20 30 DGEDMN Cc1ccccc1N1CCN(CCOc2ccc(C#N)cc2)CC1 ZINC000137156458 400593984 /nfs/dbraw/zinc/59/39/84/400593984.db2.gz BDVIBQBRWNQPMT-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN COc1ccc(-c2ccccc2)cc1CN1CC[C@](O)(CC#N)C1 ZINC000592521718 400662516 /nfs/dbraw/zinc/66/25/16/400662516.db2.gz BKGACJXDWYRTPY-HXUWFJFHSA-N 0 1 322.408 3.213 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccccc2OCc2ccccc2)C1 ZINC000592523696 400664067 /nfs/dbraw/zinc/66/40/67/400664067.db2.gz RCCQCAWRQFSKET-FQEVSTJZSA-N 0 1 322.408 3.116 20 30 DGEDMN Cc1ccc(-c2nn(C)cc2CN(C)Cc2ccc(C#N)cc2)o1 ZINC000592498045 400659229 /nfs/dbraw/zinc/65/92/29/400659229.db2.gz VJVCLWSDSLGDOJ-UHFFFAOYSA-N 0 1 320.396 3.492 20 30 DGEDMN C[C@H](CC#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC000597807292 400675334 /nfs/dbraw/zinc/67/53/34/400675334.db2.gz AFGZIYQBCVAKKA-YYIAUSFCSA-N 0 1 313.445 3.046 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc(-c3cccs3)n[nH]2)ccc1F ZINC000076881033 400678218 /nfs/dbraw/zinc/67/82/18/400678218.db2.gz JWKHPKPYUZLLIM-UHFFFAOYSA-N 0 1 312.329 3.401 20 30 DGEDMN CN(CCCc1ccccc1)CC(=O)Nc1sccc1C#N ZINC000052802483 400747059 /nfs/dbraw/zinc/74/70/59/400747059.db2.gz PSKBUBKOSFLUAF-UHFFFAOYSA-N 0 1 313.426 3.123 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000051054280 400694645 /nfs/dbraw/zinc/69/46/45/400694645.db2.gz CGTOGRHKYCHAAJ-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCN(C)CC(=O)Nc1cccc(C#Cc2cccs2)c1 ZINC000592829919 400706852 /nfs/dbraw/zinc/70/68/52/400706852.db2.gz NEMVFTNIEJBYHH-UHFFFAOYSA-N 0 1 312.438 3.428 20 30 DGEDMN C[C@@H]1[C@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@@H](C)CN1C ZINC000593189436 400785765 /nfs/dbraw/zinc/78/57/65/400785765.db2.gz OXIQOPKKCQBQGU-ZNMIVQPWSA-N 0 1 313.445 3.041 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(Sc2ccccc2)cc1 ZINC000181130695 400887937 /nfs/dbraw/zinc/88/79/37/400887937.db2.gz QPKVBUSQUOJSAN-UHFFFAOYSA-N 0 1 312.438 3.230 20 30 DGEDMN C=C[C@H](Sc1nc(Cc2ccccc2)c(C)[nH]1)C(=O)OC ZINC000619687120 400884643 /nfs/dbraw/zinc/88/46/43/400884643.db2.gz VZMNCTSIXMYUQC-AWEZNQCLSA-N 0 1 302.399 3.129 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN(Cc1ccc(F)cc1F)C1CC1 ZINC000598586456 400837399 /nfs/dbraw/zinc/83/73/99/400837399.db2.gz VOAHSNNPGGKZHU-OAHLLOKOSA-N 0 1 308.372 3.230 20 30 DGEDMN CCOc1cncc(CNCc2ccc(C#N)c(Cl)c2)c1 ZINC000598642993 400848959 /nfs/dbraw/zinc/84/89/59/400848959.db2.gz LETDKKDAAGTFSP-UHFFFAOYSA-N 0 1 301.777 3.295 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1cccc(CC#N)c1 ZINC000619461174 400852069 /nfs/dbraw/zinc/85/20/69/400852069.db2.gz MVGKLEVNXQDQIB-UHFFFAOYSA-N 0 1 318.423 3.275 20 30 DGEDMN Cc1cnc(NC2CCN(Cc3cccc(C#N)c3)CC2)s1 ZINC000593514510 400868828 /nfs/dbraw/zinc/86/88/28/400868828.db2.gz DUMXKJXGUAHIQH-UHFFFAOYSA-N 0 1 312.442 3.400 20 30 DGEDMN N#Cc1csc(C(=O)Nc2ccccc2Nc2ccncc2)c1 ZINC000619581253 400869448 /nfs/dbraw/zinc/86/94/48/400869448.db2.gz ADNVHQUEHTXBNU-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN N#Cc1ccc(Br)c(CN2CCCCC[C@@H]2CO)c1 ZINC000594017213 400949198 /nfs/dbraw/zinc/94/91/98/400949198.db2.gz VHNJIKOHHSDVDU-CQSZACIVSA-N 0 1 323.234 3.058 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC([C@H](O)C(F)(F)F)CC1 ZINC000614402651 400893628 /nfs/dbraw/zinc/89/36/28/400893628.db2.gz OKEHCSBYXXGWEW-HNNXBMFYSA-N 0 1 312.335 3.002 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@@H](c1cccs1)N(C)C ZINC000183501218 400901212 /nfs/dbraw/zinc/90/12/12/400901212.db2.gz IQVRBJKGUAWESD-KBPBESRZSA-N 0 1 309.479 3.395 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000598943036 400909434 /nfs/dbraw/zinc/90/94/34/400909434.db2.gz RHTRPEFOCZMSFL-CJNGLKHVSA-N 0 1 316.449 3.254 20 30 DGEDMN CCN(C(=O)c1cc(C2CC2)[nH]n1)[C@@H](C)c1ccc(C#N)cc1 ZINC000056222334 400915796 /nfs/dbraw/zinc/91/57/96/400915796.db2.gz XHBFPRQFJVCOQC-LBPRGKRZSA-N 0 1 308.385 3.382 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@H]3CCC[C@H]32)cc1Cl ZINC000614600382 400921468 /nfs/dbraw/zinc/92/14/68/400921468.db2.gz HHRIXSAXRYUGGA-IAQYHMDHSA-N 0 1 303.793 3.025 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@@H]2CCC[C@H]21 ZINC000614600980 400921657 /nfs/dbraw/zinc/92/16/57/400921657.db2.gz ZNQIWBCUAVTBLX-XHDPSFHLSA-N 0 1 303.793 3.025 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)C(C#N)(CC)CC)c1ccco1 ZINC000599184133 400991224 /nfs/dbraw/zinc/99/12/24/400991224.db2.gz HVSNVPRNPYBVSB-CQSZACIVSA-N 0 1 305.422 3.109 20 30 DGEDMN C[C@@]1(C#N)CCCN(C(=O)c2cccc(C(F)(F)F)c2O)C1 ZINC000594338846 401048305 /nfs/dbraw/zinc/04/83/05/401048305.db2.gz HRPIDKVIVUYIBX-AWEZNQCLSA-N 0 1 312.291 3.177 20 30 DGEDMN Cc1ccc(CN2C[C@H](c3ccccc3)[C@@](F)(CO)C2)cc1C#N ZINC000599432449 401049647 /nfs/dbraw/zinc/04/96/47/401049647.db2.gz SZOLUPZHOYFVJT-UXHICEINSA-N 0 1 324.399 3.167 20 30 DGEDMN Cc1ccc(CN[C@H](CC(=O)N(C)C)c2ccccc2)cc1C#N ZINC000599350365 401029957 /nfs/dbraw/zinc/02/99/57/401029957.db2.gz WDKUEUHLRLIJQR-LJQANCHMSA-N 0 1 321.424 3.176 20 30 DGEDMN Cc1ccc(CN2CC[C@@H](OCc3ccncc3)C2)cc1C#N ZINC000599390863 401039737 /nfs/dbraw/zinc/03/97/37/401039737.db2.gz SBVNMHGCALLVSP-LJQANCHMSA-N 0 1 307.397 3.053 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCc2c(CC#N)cccc2C1 ZINC000599678421 401112107 /nfs/dbraw/zinc/11/21/07/401112107.db2.gz QDLYDETUMOZOJM-UHFFFAOYSA-N 0 1 301.393 3.491 20 30 DGEDMN COC[C@H](C)N(Cc1ccc(C#N)cn1)[C@H]1CCc2ccccc21 ZINC000599682443 401114706 /nfs/dbraw/zinc/11/47/06/401114706.db2.gz JKZSFJUBDKUJRS-YWZLYKJASA-N 0 1 321.424 3.478 20 30 DGEDMN C/C=C/C[C@@H]1CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000599691845 401118583 /nfs/dbraw/zinc/11/85/83/401118583.db2.gz TUQUODYTJPQLNS-WOMSROEHSA-N 0 1 303.450 3.007 20 30 DGEDMN N#CC(C(=O)c1ccnn1CC1CCC1)c1nc2ccccc2o1 ZINC000633900005 401141557 /nfs/dbraw/zinc/14/15/57/401141557.db2.gz KSPGGAMBQKSMNU-CYBMUJFWSA-N 0 1 320.352 3.314 20 30 DGEDMN C[C@@H]1CN(Cc2cc(C#N)cs2)CC[C@H]1C(=O)OC(C)(C)C ZINC000600194233 401217655 /nfs/dbraw/zinc/21/76/55/401217655.db2.gz BSSSTUZVECOANW-IUODEOHRSA-N 0 1 320.458 3.419 20 30 DGEDMN Cc1coc2ccc(NS(=O)(=O)c3ccccc3C#N)cc12 ZINC000187078022 165074270 /nfs/dbraw/zinc/07/42/70/165074270.db2.gz GSXGMSUYSKGDIO-UHFFFAOYSA-N 0 1 312.350 3.414 20 30 DGEDMN Cn1cc(CNCc2ccccc2N2CCCCC2)cc1C#N ZINC000191569487 165258975 /nfs/dbraw/zinc/25/89/75/165258975.db2.gz RZPSNDZLLSXHCJ-UHFFFAOYSA-N 0 1 308.429 3.177 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(c2ccncc2)CC1 ZINC000191648772 165262456 /nfs/dbraw/zinc/26/24/56/165262456.db2.gz QRMPTUBSEGCMPL-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C[C@@H](NCC(=O)N1CCCc2ccccc21)c1cccc(C#N)c1 ZINC000181976175 432152981 /nfs/dbraw/zinc/15/29/81/432152981.db2.gz SIYLWSVBLOENRC-OAHLLOKOSA-N 0 1 319.408 3.188 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CC)Cc1c[nH]c2ccccc12 ZINC000190492638 432164286 /nfs/dbraw/zinc/16/42/86/432164286.db2.gz QYHPCOAFAQHDKD-UHFFFAOYSA-N 0 1 313.445 3.414 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1cn[nH]c1C1CC1 ZINC000190494789 432164616 /nfs/dbraw/zinc/16/46/16/432164616.db2.gz LLPMUDITALVNBA-UHFFFAOYSA-N 0 1 309.373 3.233 20 30 DGEDMN C[C@H](C#N)CNC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000171842725 432177660 /nfs/dbraw/zinc/17/76/60/432177660.db2.gz CXNBZXBCPCNWTQ-MRXNPFEDSA-N 0 1 321.424 3.208 20 30 DGEDMN CN(C)c1c(Cl)cccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000190715541 432183410 /nfs/dbraw/zinc/18/34/10/432183410.db2.gz JTXARARKWXTGGF-UHFFFAOYSA-N 0 1 315.760 3.236 20 30 DGEDMN Cc1ccc2ncc(CNC3(c4cccc(C#N)c4)CC3)n2c1 ZINC000269636628 432191642 /nfs/dbraw/zinc/19/16/42/432191642.db2.gz WIGBMWBPFWWRIM-UHFFFAOYSA-N 0 1 302.381 3.293 20 30 DGEDMN C[C@H]1CN(CC(=O)Nc2sccc2C#N)[C@H]2CCCC[C@H]12 ZINC000182470486 432193517 /nfs/dbraw/zinc/19/35/17/432193517.db2.gz MRHGFLWUFJKVMU-YUTCNCBUSA-N 0 1 303.431 3.069 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(CCC)CC2)c1 ZINC000172341042 432208942 /nfs/dbraw/zinc/20/89/42/432208942.db2.gz OWDRLERVEOMMEN-UHFFFAOYSA-N 0 1 317.433 3.201 20 30 DGEDMN C=CCN(CCOC)CCc1nc(-c2ccc(Cl)cc2)no1 ZINC000191128141 432223449 /nfs/dbraw/zinc/22/34/49/432223449.db2.gz ZUZJDJPJUWAXIL-UHFFFAOYSA-N 0 1 321.808 3.067 20 30 DGEDMN CCc1oc(C(=O)OC)cc1CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271634853 209080343 /nfs/dbraw/zinc/08/03/43/209080343.db2.gz GCIWWOWTHZIVOH-LBPRGKRZSA-N 0 1 312.369 3.351 20 30 DGEDMN C[C@@H](NC(=O)CN[C@@H](C)c1ccc(C#N)cc1)c1cccs1 ZINC000271638338 209084520 /nfs/dbraw/zinc/08/45/20/209084520.db2.gz GNOPCYMYMBXNMJ-QWHCGFSZSA-N 0 1 313.426 3.148 20 30 DGEDMN Cn1nccc1C(=O)C(C#N)c1nc(-c2ccccc2)cs1 ZINC000159816987 228243813 /nfs/dbraw/zinc/24/38/13/228243813.db2.gz DGNKTRCRCQDEKW-GFCCVEGCSA-N 0 1 308.366 3.034 20 30 DGEDMN Cc1cc([C@@H](C)N[C@@H](C)C(=O)NC2(C#N)CCCCC2)c(C)o1 ZINC000183800141 228376702 /nfs/dbraw/zinc/37/67/02/228376702.db2.gz AYGPXULTYWHVCG-KGLIPLIRSA-N 0 1 317.433 3.278 20 30 DGEDMN C[C@H]1[C@H](c2ccccc2)CCN1CC(=O)Nc1ccc(C#N)cc1 ZINC000177535979 228331334 /nfs/dbraw/zinc/33/13/34/228331334.db2.gz MJJGUHITCPGSFP-HNAYVOBHSA-N 0 1 319.408 3.375 20 30 DGEDMN O=C(NC[C@H](c1ccccc1)C(F)(F)F)C(F)(F)C(F)F ZINC000275009777 228702941 /nfs/dbraw/zinc/70/29/41/228702941.db2.gz SMDKKRARNGXXOY-MRVPVSSYSA-N 0 1 317.204 3.349 20 30 DGEDMN COCC1(C#N)CCN(Cc2cccc(OCC(C)C)c2)CC1 ZINC000342839348 229119051 /nfs/dbraw/zinc/11/90/51/229119051.db2.gz QMELALPQZLNLPH-UHFFFAOYSA-N 0 1 316.445 3.474 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)Cc1ccc2ccccc2c1 ZINC000345873365 229159942 /nfs/dbraw/zinc/15/99/42/229159942.db2.gz ZRSAUNRAAMESGE-OAHLLOKOSA-N 0 1 321.424 3.223 20 30 DGEDMN COc1cccc(OC(F)(F)F)c1CN[C@@H]1CC[C@H](C#N)C1 ZINC000353959308 229287573 /nfs/dbraw/zinc/28/75/73/229287573.db2.gz UBQFKDSOMGVKNO-WDEREUQCSA-N 0 1 314.307 3.376 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2nccc3ccccc32)nc(C)n1 ZINC000355666975 229315163 /nfs/dbraw/zinc/31/51/63/229315163.db2.gz JWLKCUNBUNIKIL-OAHLLOKOSA-N 0 1 302.337 3.132 20 30 DGEDMN Cc1ccc([C@H](CNc2ncc(C#N)cc2F)N2CCCC2)o1 ZINC000413113427 230133722 /nfs/dbraw/zinc/13/37/22/230133722.db2.gz RQACGIFMTGDCCH-HNNXBMFYSA-N 0 1 314.364 3.243 20 30 DGEDMN CCN(CCC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@H](C)C#N ZINC000103469631 270003512 /nfs/dbraw/zinc/00/35/12/270003512.db2.gz WNDZCVVFVQKIMF-GFCCVEGCSA-N 0 1 318.808 3.022 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)nc1 ZINC000107581088 270003548 /nfs/dbraw/zinc/00/35/48/270003548.db2.gz BAWYOEKIMPSEFD-UHFFFAOYSA-N 0 1 317.352 3.349 20 30 DGEDMN N#Cc1ccc(CN2CCC(CCCO)CC2)c(OC(F)F)c1 ZINC000360090641 270004168 /nfs/dbraw/zinc/00/41/68/270004168.db2.gz XOOPXLXSXCAXJX-UHFFFAOYSA-N 0 1 324.371 3.144 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000361030224 270004411 /nfs/dbraw/zinc/00/44/11/270004411.db2.gz LHQLIBKTWZTYIM-CABCVRRESA-N 0 1 302.393 3.336 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CO)c2ccc(C(F)(F)F)cc2)cc1 ZINC000533921427 270005192 /nfs/dbraw/zinc/00/51/92/270005192.db2.gz LYLCWINAESYVJF-INIZCTEOSA-N 0 1 320.314 3.400 20 30 DGEDMN CCCCN1C(=O)N=NC1SCc1cccc(C#N)c1F ZINC000353219504 265349098 /nfs/dbraw/zinc/34/90/98/265349098.db2.gz NDCQDFJYKPOUFR-UHFFFAOYSA-N 0 1 306.366 3.087 20 30 DGEDMN CN(Cc1cc(C#N)n(C)c1)Cc1ccc(Br)cc1 ZINC000191175090 432230748 /nfs/dbraw/zinc/23/07/48/432230748.db2.gz WPMHYRVUXXRRIH-UHFFFAOYSA-N 0 1 318.218 3.291 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@]1(CO)Cc1ccccc1 ZINC000355674345 266152499 /nfs/dbraw/zinc/15/24/99/266152499.db2.gz NDALJDJECWBIFA-HXUWFJFHSA-N 0 1 324.399 3.267 20 30 DGEDMN COc1cc(CN2CC[C@]3(C2)OCc2ccccc23)ccc1C#N ZINC000377294391 266814418 /nfs/dbraw/zinc/81/44/18/266814418.db2.gz TUOQPZYUVKLAKX-HXUWFJFHSA-N 0 1 320.392 3.198 20 30 DGEDMN C[C@@H](c1ccc(F)cc1)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000366427324 267001956 /nfs/dbraw/zinc/00/19/56/267001956.db2.gz CILWNVVKHSDEGM-GUYCJALGSA-N 0 1 311.360 3.307 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CC[C@H](c4ccco4)C3)o2)cc1 ZINC000363285092 268029269 /nfs/dbraw/zinc/02/92/69/268029269.db2.gz CAHDPFLAPDQWKE-HNNXBMFYSA-N 0 1 320.352 3.191 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ncc(-c3ccccn3)s2)cc1O ZINC000359387940 268048654 /nfs/dbraw/zinc/04/86/54/268048654.db2.gz KPDPOKFSLQMJRG-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN N#Cc1ccc(Cl)c(C(=O)Nc2ncc(C(F)(F)F)[nH]2)c1 ZINC000354904580 268096485 /nfs/dbraw/zinc/09/64/85/268096485.db2.gz AAGPTNIOEHPCQL-UHFFFAOYSA-N 0 1 314.654 3.206 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3cc4ccccc4[nH]3)C2)nc1 ZINC000367778565 268182746 /nfs/dbraw/zinc/18/27/46/268182746.db2.gz KXKJPQHPHFRNLW-QGZVFWFLSA-N 0 1 318.380 3.088 20 30 DGEDMN Cn1cc(CNCC#Cc2ccc(C(F)(F)F)cc2)cc1C#N ZINC000191276846 432244023 /nfs/dbraw/zinc/24/40/23/432244023.db2.gz DQGUYHUADMTAFA-UHFFFAOYSA-N 0 1 317.314 3.057 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CC[C@H](N4CC=CC4)C3)nc21 ZINC000428150525 273580564 /nfs/dbraw/zinc/58/05/64/273580564.db2.gz KNWUIFBGJAAJBJ-KRWDZBQOSA-N 0 1 322.456 3.018 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(C)C1CCCCC1)c1ccc(C#N)cc1 ZINC000271706072 274254140 /nfs/dbraw/zinc/25/41/40/274254140.db2.gz UXJDHALZMVPZAG-CABCVRRESA-N 0 1 313.445 3.388 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N1CCN(C2CCCC2)CC1 ZINC000265277229 274922347 /nfs/dbraw/zinc/92/23/47/274922347.db2.gz VRPSHGZRGAOMQT-UHFFFAOYSA-N 0 1 324.350 3.037 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000453340799 275437737 /nfs/dbraw/zinc/43/77/37/275437737.db2.gz CLPZIYNKFRZTMS-ZBINZKHDSA-N 0 1 305.809 3.221 20 30 DGEDMN CC(C)(C)CCN1CCN(C(=O)[C@@]2(C#N)CC23CCCC3)CC1 ZINC000448763244 275510569 /nfs/dbraw/zinc/51/05/69/275510569.db2.gz HHWPZRCHCMGHSM-IBGZPJMESA-N 0 1 317.477 3.041 20 30 DGEDMN CCOc1cc(C(=O)Nc2sc3c(c2C#N)CCCC3)on1 ZINC000297699809 276369037 /nfs/dbraw/zinc/36/90/37/276369037.db2.gz BVOMNTAQNOVJTL-UHFFFAOYSA-N 0 1 317.370 3.138 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(C(F)(F)F)CC1 ZINC000174192307 276859761 /nfs/dbraw/zinc/85/97/61/276859761.db2.gz JBEUDNJNBFUFCS-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C[C@@H]1c2ccccc2CCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330124314 278106662 /nfs/dbraw/zinc/10/66/62/278106662.db2.gz IADAUJCYUAKTAL-MLGOLLRUSA-N 0 1 310.401 3.320 20 30 DGEDMN CC(=O)Nc1nc(CN2CCC[C@@H]2C2CCOCC2)cs1 ZINC000329490536 278162228 /nfs/dbraw/zinc/16/22/28/278162228.db2.gz VCXRHGHCPCSSGL-CQSZACIVSA-N 0 1 309.435 3.142 20 30 DGEDMN C[C@H](C(=O)NC(C)(C)C)N(C)Cc1ccccc1C(C)(C)C#N ZINC000362583409 279217122 /nfs/dbraw/zinc/21/71/22/279217122.db2.gz JJHJQPXEQPFWHU-CQSZACIVSA-N 0 1 315.461 3.223 20 30 DGEDMN CC[C@@H](C)c1ccc(S(=O)(=O)Nc2ccc(C#N)cn2)cc1 ZINC000110121970 431181762 /nfs/dbraw/zinc/18/17/62/431181762.db2.gz ZDJLWWDRFUNMNT-GFCCVEGCSA-N 0 1 315.398 3.268 20 30 DGEDMN CCCN(C)CC(=O)Nc1sc2c(c1C#N)CCCCC2 ZINC000069092788 431160697 /nfs/dbraw/zinc/16/06/97/431160697.db2.gz FUIIQYCHFYCQJJ-UHFFFAOYSA-N 0 1 305.447 3.169 20 30 DGEDMN C[C@H](OCc1ccccc1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000073914370 431388110 /nfs/dbraw/zinc/38/81/10/431388110.db2.gz HLAIGJODIYLYIP-NSHDSACASA-N 0 1 312.329 3.258 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC000073974725 431391412 /nfs/dbraw/zinc/39/14/12/431391412.db2.gz WEDXZIHSCIYQIU-VIFPVBQESA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC000073974725 431391415 /nfs/dbraw/zinc/39/14/15/431391415.db2.gz WEDXZIHSCIYQIU-VIFPVBQESA-N 0 1 311.288 3.355 20 30 DGEDMN C=CCNC(=O)[C@@H](c1cccc(C(F)(F)F)c1)N(CC)CC ZINC000074166187 431403335 /nfs/dbraw/zinc/40/33/35/431403335.db2.gz AZAYTSKZLYRVQX-CQSZACIVSA-N 0 1 314.351 3.391 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000114712423 431407075 /nfs/dbraw/zinc/40/70/75/431407075.db2.gz IZPLNASHSGZOKJ-CYBMUJFWSA-N 0 1 310.388 3.382 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)[C@H](C)c1ccccc1OC ZINC000114712583 431408047 /nfs/dbraw/zinc/40/80/47/431408047.db2.gz OOGAPWCEYGXCAO-OAHLLOKOSA-N 0 1 304.434 3.113 20 30 DGEDMN Cc1ccccc1[C@@H]1CN(CCCSCC#N)[C@H](C)CO1 ZINC000074991591 431447826 /nfs/dbraw/zinc/44/78/26/431447826.db2.gz PCEDDWXAGJIQEB-WBVHZDCISA-N 0 1 304.459 3.404 20 30 DGEDMN CC(C)N(CC(=O)Nc1ccc(C#N)cc1)Cc1ccccc1 ZINC000010928731 431470572 /nfs/dbraw/zinc/47/05/72/431470572.db2.gz ONSOIAUOMBLEOH-UHFFFAOYSA-N 0 1 307.397 3.407 20 30 DGEDMN N#Cc1cc(F)ccc1CSc1nc(-c2cccnc2)n[nH]1 ZINC000077566586 431609704 /nfs/dbraw/zinc/60/97/04/431609704.db2.gz FXKGMAWLMLLENS-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN N#Cc1cc(NC(=O)c2cn[nH]c2-c2ccccc2)ccc1F ZINC000078728304 431702423 /nfs/dbraw/zinc/70/24/23/431702423.db2.gz COICCTSEDMFIRW-UHFFFAOYSA-N 0 1 306.300 3.340 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000120771639 431732996 /nfs/dbraw/zinc/73/29/96/431732996.db2.gz FPAUOEQDJBTUGM-IRXDYDNUSA-N 0 1 315.461 3.266 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000120917771 431737482 /nfs/dbraw/zinc/73/74/82/431737482.db2.gz FTPRUUHJEDLLIH-DLBZAZTESA-N 0 1 317.477 3.490 20 30 DGEDMN COc1cc(C#N)ccc1C[N@@H+](C)[C@@H](C)Cc1ccc(O)cc1 ZINC000121254519 431761590 /nfs/dbraw/zinc/76/15/90/431761590.db2.gz XILNUEILZPWEKG-AWEZNQCLSA-N 0 1 310.397 3.335 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC3(C2)CCCCCC3)CC1 ZINC000081304512 431789157 /nfs/dbraw/zinc/78/91/57/431789157.db2.gz QDQAHPICBKJWDG-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCOc1cccc(CNC(C)(C)C(=O)NCC(C)(C)C)c1 ZINC000121295738 431767873 /nfs/dbraw/zinc/76/78/73/431767873.db2.gz LWAWMZZMHWUYAS-UHFFFAOYSA-N 0 1 318.461 3.282 20 30 DGEDMN N#CCSCCCN1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000080626222 431771317 /nfs/dbraw/zinc/77/13/17/431771317.db2.gz JUHLXZMSSWTAPY-UHFFFAOYSA-N 0 1 323.893 3.104 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)c1cc(C#N)cs1)c1ccco1 ZINC000084183263 431817726 /nfs/dbraw/zinc/81/77/26/431817726.db2.gz XMCFTKOCKGNIBK-ZDUSSCGKSA-N 0 1 317.414 3.026 20 30 DGEDMN C=CCC[C@@H](NC(=O)CSc1nnc(C)[nH]1)c1ccccc1 ZINC000123076900 431854196 /nfs/dbraw/zinc/85/41/96/431854196.db2.gz DNNPJLRVFKMXJE-CQSZACIVSA-N 0 1 316.430 3.029 20 30 DGEDMN C=CCC[C@@H](NC(=O)CSc1nc(C)n[nH]1)c1ccccc1 ZINC000123076900 431854198 /nfs/dbraw/zinc/85/41/98/431854198.db2.gz DNNPJLRVFKMXJE-CQSZACIVSA-N 0 1 316.430 3.029 20 30 DGEDMN N#CC1CCN(Cc2ccccc2OCc2cccnc2)CC1 ZINC000123748021 431873460 /nfs/dbraw/zinc/87/34/60/431873460.db2.gz OFFZRTDURJVRHD-UHFFFAOYSA-N 0 1 307.397 3.396 20 30 DGEDMN CN(CC(=O)Nc1cc(F)cc(F)c1)CC(C)(C)CCC#N ZINC000093012774 431913154 /nfs/dbraw/zinc/91/31/54/431913154.db2.gz KMIJDXROIHCIRI-UHFFFAOYSA-N 0 1 309.360 3.165 20 30 DGEDMN N#Cc1cccc(CN[C@H](CN2CCCC2=O)c2ccccc2)c1 ZINC000047794581 431915429 /nfs/dbraw/zinc/91/54/29/431915429.db2.gz GGJZIIOKRQRODT-LJQANCHMSA-N 0 1 319.408 3.012 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000047004284 431904869 /nfs/dbraw/zinc/90/48/69/431904869.db2.gz OKRUGIUZDWPTPQ-INIZCTEOSA-N 0 1 315.461 3.041 20 30 DGEDMN CC(C)(C)n1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cn1 ZINC000048813744 431933281 /nfs/dbraw/zinc/93/32/81/431933281.db2.gz VUIZFTWFQUQYNM-LBPRGKRZSA-N 0 1 307.357 3.004 20 30 DGEDMN Cc1ccc(C)c(OCC(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000053524634 431963783 /nfs/dbraw/zinc/96/37/83/431963783.db2.gz QEPIRWHAFDWYNO-UHFFFAOYSA-N 0 1 323.356 3.151 20 30 DGEDMN C[C@@H]1CCN(CC(=O)c2cn(CCC#N)c3ccccc23)[C@H]1C ZINC000248342994 432280144 /nfs/dbraw/zinc/28/01/44/432280144.db2.gz JYEGOYMIZFWKNY-CABCVRRESA-N 0 1 309.413 3.468 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N(CC)CCOCC ZINC000270765228 432287329 /nfs/dbraw/zinc/28/73/29/432287329.db2.gz ZQNDWBCZQUTXHC-HNNXBMFYSA-N 0 1 324.490 3.010 20 30 DGEDMN C=C[C@H](CC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000270760115 432287473 /nfs/dbraw/zinc/28/74/73/432287473.db2.gz ONEKNGVBDYQUBI-ZIAGYGMSSA-N 0 1 312.417 3.465 20 30 DGEDMN C=C[C@H](CC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000270760113 432287932 /nfs/dbraw/zinc/28/79/32/432287932.db2.gz ONEKNGVBDYQUBI-UONOGXRCSA-N 0 1 312.417 3.465 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2cccc(F)c2F)CC1 ZINC000271208482 432355352 /nfs/dbraw/zinc/35/53/52/432355352.db2.gz XDVFGSFPFCVTSP-UHFFFAOYSA-N 0 1 307.388 3.417 20 30 DGEDMN C[C@H](N[C@@H]1C[C@@H](C)N(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000174255701 432332833 /nfs/dbraw/zinc/33/28/33/432332833.db2.gz CNMIETKZROFSEW-ZRGWGRIASA-N 0 1 319.408 3.403 20 30 DGEDMN CC(C)(CCC#N)CN1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000271398772 432387481 /nfs/dbraw/zinc/38/74/81/432387481.db2.gz VJTYNNWYVWHUGT-QZTJIDSGSA-N 0 1 316.445 3.141 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccccc1 ZINC000153287624 432396881 /nfs/dbraw/zinc/39/68/81/432396881.db2.gz YKNYKZDCPCWINC-OAHLLOKOSA-N 0 1 322.343 3.477 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CC(=O)N(C)Cc1cccc(Cl)c1 ZINC000271546094 432420117 /nfs/dbraw/zinc/42/01/17/432420117.db2.gz UYXWGMWJGOMNFZ-LBPRGKRZSA-N 0 1 315.244 3.419 20 30 DGEDMN CCN(C(=O)CN[C@@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000271669924 432422037 /nfs/dbraw/zinc/42/20/37/432422037.db2.gz NDMSZEPGKGMGRT-HNNXBMFYSA-N 0 1 307.397 3.262 20 30 DGEDMN C[C@H](N[C@@H](CO)c1ccc(F)cc1)c1ccc(OCC#N)cc1 ZINC000271810879 432423748 /nfs/dbraw/zinc/42/37/48/432423748.db2.gz AQLBCPFCHHIIGP-UGSOOPFHSA-N 0 1 314.360 3.112 20 30 DGEDMN C[N@@H+](Cc1ccccc1)[C@@H](CNCc1ccc(C#N)o1)C1CC1 ZINC000185223088 432479243 /nfs/dbraw/zinc/47/92/43/432479243.db2.gz JQINEIIBLVRQKH-IBGZPJMESA-N 0 1 309.413 3.151 20 30 DGEDMN N#Cc1cc(CN2CCC(O)(c3ccccc3)CC2)ccc1F ZINC000185471138 432503624 /nfs/dbraw/zinc/50/36/24/432503624.db2.gz BBQNEOQKQUKTEY-UHFFFAOYSA-N 0 1 310.372 3.181 20 30 DGEDMN COc1ccccc1N1CCN(Cc2ccc(C#N)cc2C)CC1 ZINC000186091479 432557440 /nfs/dbraw/zinc/55/74/40/432557440.db2.gz OPUFMCRTUVQJJB-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C[C@H](CNC(=O)OC(C)(C)C)N(C)Cc1ccc(F)c(C#N)c1 ZINC000176881813 432561700 /nfs/dbraw/zinc/56/17/00/432561700.db2.gz IGJCWBOSNSKIEY-GFCCVEGCSA-N 0 1 321.396 3.042 20 30 DGEDMN CC(C)c1ccnc(N2CCN(CCCC(C)(C)C#N)CC2)n1 ZINC000185821325 432536283 /nfs/dbraw/zinc/53/62/83/432536283.db2.gz BFSJVCQOESKOLA-UHFFFAOYSA-N 0 1 315.465 3.052 20 30 DGEDMN N#Cc1cc(NC(=O)c2c[nH]nc2-c2ccsc2)ccc1F ZINC000186567661 432609623 /nfs/dbraw/zinc/60/96/23/432609623.db2.gz JCUHLNOLYPVHLT-UHFFFAOYSA-N 0 1 312.329 3.401 20 30 DGEDMN N#Cc1ccc(CNCc2cc(Cl)c3c(c2)OCCO3)s1 ZINC000176945207 432567445 /nfs/dbraw/zinc/56/74/45/432567445.db2.gz BQEBKDSELKLPAZ-UHFFFAOYSA-N 0 1 320.801 3.334 20 30 DGEDMN Cc1cc(C#N)ccc1CSc1nc(-c2cccnc2)n[nH]1 ZINC000186262921 432575081 /nfs/dbraw/zinc/57/50/81/432575081.db2.gz QERDYOTXXYQUIN-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN Cc1ccc([C@@H](CNC(=O)c2cccc(C#N)c2)N2CCCC2)o1 ZINC000263794490 432578566 /nfs/dbraw/zinc/57/85/66/432578566.db2.gz KQZIGFWKHRPVRD-QGZVFWFLSA-N 0 1 323.396 3.027 20 30 DGEDMN N#Cc1cc(F)ccc1CN(Cc1ccccc1)[C@H](CO)C1CC1 ZINC000155923737 432581925 /nfs/dbraw/zinc/58/19/25/432581925.db2.gz RUUMKOVOGXIBQC-HXUWFJFHSA-N 0 1 324.399 3.470 20 30 DGEDMN N#C[C@H](NC(=O)c1c(O)cccc1F)c1ccccc1Cl ZINC000186349412 432584352 /nfs/dbraw/zinc/58/43/52/432584352.db2.gz WDUVKTUBFHOSOY-LBPRGKRZSA-N 0 1 304.708 3.179 20 30 DGEDMN C=CCC[C@@H](CC)[NH2+]Cc1nnc(COc2ccccc2)[n-]1 ZINC000186438127 432596222 /nfs/dbraw/zinc/59/62/22/432596222.db2.gz XUTSFHIRLBDQPU-CQSZACIVSA-N 0 1 300.406 3.218 20 30 DGEDMN CCC[C@@H](C)N1CCN(c2oc(-c3ccco3)nc2C#N)CC1 ZINC000177249044 432604346 /nfs/dbraw/zinc/60/43/46/432604346.db2.gz ASAIJJGISVQEHN-CYBMUJFWSA-N 0 1 314.389 3.117 20 30 DGEDMN CN(Cc1ccccc1)Cc1cccc(C(=O)N(C)CCC#N)c1 ZINC000195487080 432624292 /nfs/dbraw/zinc/62/42/92/432624292.db2.gz JNZWJELKCHONNN-UHFFFAOYSA-N 0 1 321.424 3.304 20 30 DGEDMN CN(CCCCc1ccccc1)CC(=O)Nc1ccc(C#N)cc1 ZINC000195673865 432632195 /nfs/dbraw/zinc/63/21/95/432632195.db2.gz HEGKWQDTEKNYFR-UHFFFAOYSA-N 0 1 321.424 3.451 20 30 DGEDMN CCN(CC(=O)NC1(C#N)CCC(C(C)(C)C)CC1)C1CC1 ZINC000187281213 432655702 /nfs/dbraw/zinc/65/57/02/432655702.db2.gz YIFKCGIKNMLRPL-UHFFFAOYSA-N 0 1 305.466 3.086 20 30 DGEDMN C#CCSCCN[C@H](C)c1ncc(Br)cc1F ZINC000187350857 432658824 /nfs/dbraw/zinc/65/88/24/432658824.db2.gz QJVMXUVAIDLROZ-SECBINFHSA-N 0 1 317.227 3.000 20 30 DGEDMN CCCn1c2ccccc2nc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188001062 432699456 /nfs/dbraw/zinc/69/94/56/432699456.db2.gz OUGYYIWDZSXMQO-UHFFFAOYSA-N 0 1 320.352 3.276 20 30 DGEDMN CCOc1cc(F)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188330037 432718785 /nfs/dbraw/zinc/71/87/85/432718785.db2.gz NYIBKNKGOPCXBB-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188371928 432721391 /nfs/dbraw/zinc/72/13/91/432721391.db2.gz UCDHFQTUJDSWNS-UHFFFAOYSA-N 0 1 321.336 3.175 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@H](C)[N@H+]2CCc3sccc3C2)n1 ZINC000160515861 432724634 /nfs/dbraw/zinc/72/46/34/432724634.db2.gz BBUSDZHIQCFSRN-ZDUSSCGKSA-N 0 1 312.442 3.182 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@H](C)N2CCc3sccc3C2)n1 ZINC000160515861 432724637 /nfs/dbraw/zinc/72/46/37/432724637.db2.gz BBUSDZHIQCFSRN-ZDUSSCGKSA-N 0 1 312.442 3.182 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1ccc(Cl)c(C)c1)C1CC1 ZINC000178044159 432688653 /nfs/dbraw/zinc/68/86/53/432688653.db2.gz WUPQBOXKDVSHHR-UHFFFAOYSA-N 0 1 319.836 3.379 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2ccc(F)cc2)CCC1 ZINC000188934127 432753572 /nfs/dbraw/zinc/75/35/72/432753572.db2.gz ZWWKCKCGDBZDRX-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3c(c2)C(=O)CCC3)cc1O ZINC000188620451 432735310 /nfs/dbraw/zinc/73/53/10/432735310.db2.gz NHUXKUOEIQNPGD-UHFFFAOYSA-N 0 1 306.321 3.035 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H](O)COCc1cccs1 ZINC000267195154 432821368 /nfs/dbraw/zinc/82/13/68/432821368.db2.gz NFJGYHYDFWIKEZ-MRXNPFEDSA-N 0 1 324.490 3.277 20 30 DGEDMN C#CCC1(C(=O)NC[C@H](c2ccsc2)N(C)C)CCCCC1 ZINC000276308267 432795226 /nfs/dbraw/zinc/79/52/26/432795226.db2.gz PCVJHLRPCHBALF-MRXNPFEDSA-N 0 1 318.486 3.441 20 30 DGEDMN C=CCCN(C)C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000276412386 432805349 /nfs/dbraw/zinc/80/53/49/432805349.db2.gz PGKSYNYXSWYKDQ-HNNXBMFYSA-N 0 1 305.422 3.024 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)CCCCC1 ZINC000276438183 432807931 /nfs/dbraw/zinc/80/79/31/432807931.db2.gz GXLTVYWUYXTKCB-ZDUSSCGKSA-N 0 1 302.422 3.079 20 30 DGEDMN C=CC[N@H+](CCC(=O)[O-])Cc1cc(Br)ccc1F ZINC000238082398 432812142 /nfs/dbraw/zinc/81/21/42/432812142.db2.gz GJEFBTXLXSGFHO-UHFFFAOYSA-N 0 1 316.170 3.051 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCS[C@H]2CCCC[C@H]21 ZINC000245953543 432872467 /nfs/dbraw/zinc/87/24/67/432872467.db2.gz SVSUYVSHPXFONF-WBVHZDCISA-N 0 1 302.443 3.427 20 30 DGEDMN CC(C)(C#N)CCCCN1CCC[C@H]1C(=O)NCc1ccco1 ZINC000277833649 432878062 /nfs/dbraw/zinc/87/80/62/432878062.db2.gz WKOHFLHXGISGLF-INIZCTEOSA-N 0 1 317.433 3.080 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)c2n[nH]c([C@@H](C)CC)n2)CCCCC1 ZINC000277852573 432878497 /nfs/dbraw/zinc/87/84/97/432878497.db2.gz NPYCTQMNSYTHGP-UONOGXRCSA-N 0 1 316.449 3.469 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cccc4[nH]ccc43)no2)CC1 ZINC000277846226 432878681 /nfs/dbraw/zinc/87/86/81/432878681.db2.gz JDJOYMAFOZRMCW-UHFFFAOYSA-N 0 1 306.369 3.031 20 30 DGEDMN CC(C)CC[C@@H]1CCCCN1C([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329937033 432883212 /nfs/dbraw/zinc/88/32/12/432883212.db2.gz RVAXBGXUNWFNCT-CVEARBPZSA-N 0 1 318.465 3.472 20 30 DGEDMN C[C@H](CCC1CC1)[NH+]=C([O-])N1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000329944986 432884611 /nfs/dbraw/zinc/88/46/11/432884611.db2.gz ITMZRDLHBVQLGK-SQWLQELKSA-N 0 1 304.438 3.259 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCCCC[C@H]1C)CC2 ZINC000330053054 432891506 /nfs/dbraw/zinc/89/15/06/432891506.db2.gz PYLIQGUJQZPDHN-GDBMZVCRSA-N 0 1 318.465 3.500 20 30 DGEDMN CN(C(=O)N[C@@H]1CCCc2c[nH]nc21)[C@@H]1CCc2ccccc2C1 ZINC000330113675 432893743 /nfs/dbraw/zinc/89/37/43/432893743.db2.gz DEMRTGSLJZIBJD-IAGOWNOFSA-N 0 1 324.428 3.190 20 30 DGEDMN O=C(NC[C@@H]1CCc2ccccc21)N[C@@H]1CCCc2cn[nH]c21 ZINC000330127761 432894284 /nfs/dbraw/zinc/89/42/84/432894284.db2.gz NCLPNONCFKIXAJ-XJKSGUPXSA-N 0 1 310.401 3.021 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)N[C@H]1CCCc2cn[nH]c21 ZINC000330146317 432894674 /nfs/dbraw/zinc/89/46/74/432894674.db2.gz SROXGUIJRAEBPD-MXYBEHONSA-N 0 1 302.422 3.260 20 30 DGEDMN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000331166437 432933058 /nfs/dbraw/zinc/93/30/58/432933058.db2.gz TZRQSVGXVQSINA-MFKMUULPSA-N 0 1 305.447 3.296 20 30 DGEDMN N#CC1(C(=O)Nc2ccc(-c3nnc[nH]3)cc2F)CCCCC1 ZINC000279175378 432941602 /nfs/dbraw/zinc/94/16/02/432941602.db2.gz HMYCPJMZHUXLFK-UHFFFAOYSA-N 0 1 313.336 3.023 20 30 DGEDMN CN(Cc1cc2c(cc1OC(F)F)OCO2)[C@@H]1CC[C@@H](C#N)C1 ZINC000278544170 432896703 /nfs/dbraw/zinc/89/67/03/432896703.db2.gz GRNTWLZXPJTJHJ-ZYHUDNBSSA-N 0 1 324.327 3.141 20 30 DGEDMN CC[C@H]1OCCC[C@H]1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000330246305 432899930 /nfs/dbraw/zinc/89/99/30/432899930.db2.gz FBQMGKOLVRUHSY-UKRRQHHQSA-N 0 1 300.362 3.265 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000330427082 432909083 /nfs/dbraw/zinc/90/90/83/432909083.db2.gz FXTKPHHKCITSKR-IIDMSEBBSA-N 0 1 313.445 3.388 20 30 DGEDMN CCNC(=O)c1cccc(NC(=O)CN2CCC(C)(C)CC2)c1 ZINC000330441901 432909910 /nfs/dbraw/zinc/90/99/10/432909910.db2.gz FEDGWAPVDHCKEY-UHFFFAOYSA-N 0 1 317.433 3.072 20 30 DGEDMN C=CC[N@H+](Cc1ccc(Cl)s1)C[C@H]1CCNC(=O)CC1 ZINC000296479814 432912909 /nfs/dbraw/zinc/91/29/09/432912909.db2.gz KJRGTFXBZMJZAX-GFCCVEGCSA-N 0 1 312.866 3.306 20 30 DGEDMN N#Cc1ccccc1C[N@H+]1CCCN2c3ccccc3C[C@H]2C1 ZINC000289557242 432968505 /nfs/dbraw/zinc/96/85/05/432968505.db2.gz UTFJPAQEYUTIEU-IBGZPJMESA-N 0 1 303.409 3.195 20 30 DGEDMN CC[C@H]1CCC[N@@H+](Cc2cn(CCC#N)nc2-c2ccncc2)C1 ZINC000279438957 432969071 /nfs/dbraw/zinc/96/90/71/432969071.db2.gz REXDLYYLPOQMMG-INIZCTEOSA-N 0 1 323.444 3.481 20 30 DGEDMN C#C[C@H](NCc1cc2cnn(C(C)C)c2nc1Cl)C(C)C ZINC000289392797 432953531 /nfs/dbraw/zinc/95/35/31/432953531.db2.gz NRWYUMBVJCHLMR-AWEZNQCLSA-N 0 1 304.825 3.413 20 30 DGEDMN C=CC[C@H]1N(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCCC1(C)C ZINC000332887049 433022848 /nfs/dbraw/zinc/02/28/48/433022848.db2.gz HTZDSJJOFPRMRM-CZUORRHYSA-N 0 1 316.449 3.043 20 30 DGEDMN C=CCOc1cccc(CN2C[C@@]3(CCOC3)OC(C)(C)C2)c1 ZINC000280392879 433045671 /nfs/dbraw/zinc/04/56/71/433045671.db2.gz XCQYKVRZOYAYHO-LJQANCHMSA-N 0 1 317.429 3.021 20 30 DGEDMN COc1cccc(OC)c1OCCCCN(C)[C@H](C)CC#N ZINC000290322987 433032628 /nfs/dbraw/zinc/03/26/28/433032628.db2.gz OLGKYSIFPWEAMY-CQSZACIVSA-N 0 1 306.406 3.097 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1OC)[C@@H]1CC[C@@H](C#N)C1 ZINC000297935535 433033502 /nfs/dbraw/zinc/03/35/02/433033502.db2.gz ROFZFDBMPQVCLX-HUUCEWRRSA-N 0 1 315.417 3.038 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1OC)[C@H]1CC[C@H](C#N)C1 ZINC000297935533 433033643 /nfs/dbraw/zinc/03/36/43/433033643.db2.gz ROFZFDBMPQVCLX-GJZGRUSLSA-N 0 1 315.417 3.038 20 30 DGEDMN C#C[C@H](NC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1)C(C)C ZINC000297969432 433036954 /nfs/dbraw/zinc/03/69/54/433036954.db2.gz LUYLMUUSWYUDGK-YOEHRIQHSA-N 0 1 324.428 3.376 20 30 DGEDMN C[C@@H]1c2ccccc2CCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330124315 289072863 /nfs/dbraw/zinc/07/28/63/289072863.db2.gz IADAUJCYUAKTAL-WBMJQRKESA-N 0 1 310.401 3.320 20 30 DGEDMN N#C[C@H](NC(=O)c1cccc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000354400482 433059923 /nfs/dbraw/zinc/05/99/23/433059923.db2.gz VYFNKVBHVPWODZ-HNNXBMFYSA-N 0 1 310.744 3.211 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)NCc2ccccc2)s1 ZINC000419839214 433074937 /nfs/dbraw/zinc/07/49/37/433074937.db2.gz PWTWFZXDFIMYNT-ZDUSSCGKSA-N 0 1 313.426 3.364 20 30 DGEDMN C=CCN[C@@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1 ZINC000373210661 433129025 /nfs/dbraw/zinc/12/90/25/433129025.db2.gz HUVMVKUPMBXBBN-AWEZNQCLSA-N 0 1 312.335 3.145 20 30 DGEDMN COc1cc2nccc(NCCCC#N)c2cc1Br ZINC000425246627 433132244 /nfs/dbraw/zinc/13/22/44/433132244.db2.gz LYJRLYVTFWOPBG-UHFFFAOYSA-N 0 1 320.190 3.143 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@@H]1CCCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000298432924 433135917 /nfs/dbraw/zinc/13/59/17/433135917.db2.gz WUUJRIQJPIFRRG-JTOWHCCKSA-N 0 1 313.445 3.292 20 30 DGEDMN COc1ccc2c(c1)[C@H](NCc1ccc(C#N)s1)CCO2 ZINC000341573248 433142252 /nfs/dbraw/zinc/14/22/52/433142252.db2.gz YUKVMFRWBTXRIR-OAHLLOKOSA-N 0 1 300.383 3.242 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000453340796 433103217 /nfs/dbraw/zinc/10/32/17/433103217.db2.gz CLPZIYNKFRZTMS-YVLXSGLVSA-N 0 1 305.809 3.221 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3cnccc3C#N)cc2[nH]1 ZINC000341512160 433104064 /nfs/dbraw/zinc/10/40/64/433104064.db2.gz OPLOQLKHGLDEIO-UHFFFAOYSA-N 0 1 319.368 3.424 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](C)c2ccccc2C(F)(F)F)C1=O ZINC000337174059 433207481 /nfs/dbraw/zinc/20/74/81/433207481.db2.gz GUEMPLITAUNGJZ-FZMZJTMJSA-N 0 1 312.335 3.143 20 30 DGEDMN C=CCN1CC[C@H]([N@@H+](CCC)[C@H](C)c2ccccc2OC)C1=O ZINC000337140086 433210415 /nfs/dbraw/zinc/21/04/15/433210415.db2.gz QQRZUCWCKMZOHG-WBVHZDCISA-N 0 1 316.445 3.255 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)[C@H](C)c2ccccc2OC)C1=O ZINC000337140086 433210427 /nfs/dbraw/zinc/21/04/27/433210427.db2.gz QQRZUCWCKMZOHG-WBVHZDCISA-N 0 1 316.445 3.255 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@H](C)C[C@H]2c2ccc(CC)o2)C1=O ZINC000337197381 433215459 /nfs/dbraw/zinc/21/54/59/433215459.db2.gz XBADPZDMBNXGDT-XIRDDKMYSA-N 0 1 316.445 3.402 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)nn1C(C)C ZINC000453596038 433225839 /nfs/dbraw/zinc/22/58/39/433225839.db2.gz WIOOSKJGBKAUJJ-GFCCVEGCSA-N 0 1 307.357 3.139 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2nc3ccccc3[nH]2)nn1C(C)C ZINC000453596038 433225855 /nfs/dbraw/zinc/22/58/55/433225855.db2.gz WIOOSKJGBKAUJJ-GFCCVEGCSA-N 0 1 307.357 3.139 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2ccc(C#N)cc2F)C1 ZINC000453624290 433243103 /nfs/dbraw/zinc/24/31/03/433243103.db2.gz QACZREGVYNQHSD-SFHVURJKSA-N 0 1 318.392 3.251 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2ccsc2C)n1 ZINC000453633897 433253079 /nfs/dbraw/zinc/25/30/79/433253079.db2.gz YQUWRNJUWRUNDN-SNVBAGLBSA-N 0 1 320.395 3.180 20 30 DGEDMN CCOC(=O)c1csc([C@H](C#N)C(=O)c2ccsc2C)n1 ZINC000453633897 433253088 /nfs/dbraw/zinc/25/30/88/433253088.db2.gz YQUWRNJUWRUNDN-SNVBAGLBSA-N 0 1 320.395 3.180 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2ccsc2C)n1 ZINC000453633896 433256029 /nfs/dbraw/zinc/25/60/29/433256029.db2.gz YQUWRNJUWRUNDN-JTQLQIEISA-N 0 1 320.395 3.180 20 30 DGEDMN CCOC(=O)c1csc([C@@H](C#N)C(=O)c2ccsc2C)n1 ZINC000453633896 433256038 /nfs/dbraw/zinc/25/60/38/433256038.db2.gz YQUWRNJUWRUNDN-JTQLQIEISA-N 0 1 320.395 3.180 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](C)c2cccc(C(F)(F)F)c2)C1=O ZINC000281271833 433277785 /nfs/dbraw/zinc/27/77/85/433277785.db2.gz RKGWOBLOEUKGRJ-FZMZJTMJSA-N 0 1 312.335 3.143 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cccc(C(=O)N(C)C)c1 ZINC000425530654 433285137 /nfs/dbraw/zinc/28/51/37/433285137.db2.gz FUDPLIUEFRIQHY-HNNXBMFYSA-N 0 1 321.424 3.453 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccccc1SC ZINC000281924993 433429034 /nfs/dbraw/zinc/42/90/34/433429034.db2.gz CYYWXHVFVBGMAN-CQSZACIVSA-N 0 1 304.459 3.260 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C(C)C)[C@@H](C)c1ccsc1 ZINC000421003345 433379168 /nfs/dbraw/zinc/37/91/68/433379168.db2.gz HEVGVTUKCJAOMZ-AWEZNQCLSA-N 0 1 307.463 3.282 20 30 DGEDMN CC(C)(C#N)CCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000281815345 433394234 /nfs/dbraw/zinc/39/42/34/433394234.db2.gz HBVVJVAPGHHXEV-UHFFFAOYSA-N 0 1 314.433 3.254 20 30 DGEDMN N#CCCCC(=O)Nc1cc(Br)cc(Cl)c1O ZINC000291924420 433394782 /nfs/dbraw/zinc/39/47/82/433394782.db2.gz NRSLTKHMTFYROT-UHFFFAOYSA-N 0 1 317.570 3.440 20 30 DGEDMN CN1CCN(Cc2cc(F)ccc2C#N)C[C@H]1Cc1ccccc1 ZINC000341982054 433395144 /nfs/dbraw/zinc/39/51/44/433395144.db2.gz CTOQFLYIZOFTKY-HXUWFJFHSA-N 0 1 323.415 3.056 20 30 DGEDMN CC[C@@H](C(=O)NCc1cccc(C#N)c1)N(C)Cc1ccccc1 ZINC000339243348 433462326 /nfs/dbraw/zinc/46/23/26/433462326.db2.gz COZWSJAQDCKNJD-IBGZPJMESA-N 0 1 321.424 3.085 20 30 DGEDMN C[C@@H]1C[N@H+](CCCNc2c(Cl)cccc2C#N)C[C@H](C)O1 ZINC000301205886 433452133 /nfs/dbraw/zinc/45/21/33/433452133.db2.gz PXBRSGQYHWXXGC-BETUJISGSA-N 0 1 307.825 3.123 20 30 DGEDMN C[C@@H]1CN(CCCNc2c(Cl)cccc2C#N)C[C@H](C)O1 ZINC000301205886 433452142 /nfs/dbraw/zinc/45/21/42/433452142.db2.gz PXBRSGQYHWXXGC-BETUJISGSA-N 0 1 307.825 3.123 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000292286583 433536782 /nfs/dbraw/zinc/53/67/82/433536782.db2.gz OXKZVSCGCAMNJE-OAHLLOKOSA-N 0 1 304.434 3.443 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](CCC)c2ccc(F)cc2F)C1=O ZINC000282103155 433495367 /nfs/dbraw/zinc/49/53/67/433495367.db2.gz WFHCTNVUUBBZEV-HZPDHXFCSA-N 0 1 308.372 3.183 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1c1cccc(F)c1C#N ZINC000301319763 433504940 /nfs/dbraw/zinc/50/49/40/433504940.db2.gz FGONDHRYBUTSNH-HNNXBMFYSA-N 0 1 309.388 3.408 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1c[nH]nc1-c1ccsc1 ZINC000355658701 433554889 /nfs/dbraw/zinc/55/48/89/433554889.db2.gz RZSHKXHRJVCLGS-UHFFFAOYSA-N 0 1 323.381 3.304 20 30 DGEDMN N#Cc1cccc(NS(=O)(=O)c2cc(F)cc(Cl)c2)c1 ZINC000339411768 433563228 /nfs/dbraw/zinc/56/32/28/433563228.db2.gz DTPSMCZCPWRRFU-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN C=CCC[C@H](N[C@@H]1CCCc2c1cnn2CCO)c1ccco1 ZINC000375788742 433568328 /nfs/dbraw/zinc/56/83/28/433568328.db2.gz JQCZNQOSDAHIBA-CVEARBPZSA-N 0 1 315.417 3.143 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1C(=O)C[C@@H](CC#N)c1ccccc1 ZINC000456385437 433635441 /nfs/dbraw/zinc/63/54/41/433635441.db2.gz QYIDKXILCPWBMO-QZTJIDSGSA-N 0 1 313.445 3.017 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)C=Cc2cccc(C#N)c2)CC1 ZINC000375997609 433635907 /nfs/dbraw/zinc/63/59/07/433635907.db2.gz XMFHNLBYQNPVII-AATRIKPKSA-N 0 1 320.396 3.009 20 30 DGEDMN C=CC1CCN([C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000427010124 433643775 /nfs/dbraw/zinc/64/37/75/433643775.db2.gz VKZYSVPWJPSWFF-INIZCTEOSA-N 0 1 304.821 3.343 20 30 DGEDMN C[C@@H](NCc1cc(C#N)cs1)c1ccc([S@](C)=O)cc1 ZINC000339498265 433620453 /nfs/dbraw/zinc/62/04/53/433620453.db2.gz JORFUMWGFGOCNF-GIPWTMENSA-N 0 1 304.440 3.208 20 30 DGEDMN C=CCOc1cccc(CN2CCC[C@@H](c3noc(C)n3)C2)c1 ZINC000362386349 433682466 /nfs/dbraw/zinc/68/24/66/433682466.db2.gz RDSMIMWEUAUTFN-MRXNPFEDSA-N 0 1 313.401 3.322 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C3C[C@H](C)C[C@@H](C)C3)C2)c1 ZINC000329615522 293005311 /nfs/dbraw/zinc/00/53/11/293005311.db2.gz PNOFRGFTALOZTA-XJKCOSOUSA-N 0 1 304.438 3.177 20 30 DGEDMN C=CCSc1ccccc1C(=O)N1CCN(CC2CC2)CC1 ZINC000362402261 433697604 /nfs/dbraw/zinc/69/76/04/433697604.db2.gz KNLOSLAMMVUQOM-UHFFFAOYSA-N 0 1 316.470 3.133 20 30 DGEDMN C=CCSc1ccccc1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000362422535 433706703 /nfs/dbraw/zinc/70/67/03/433706703.db2.gz YBBGBPSXVRPEAZ-CQSZACIVSA-N 0 1 313.426 3.495 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1cccc(F)c1Br ZINC000449548552 433749362 /nfs/dbraw/zinc/74/93/62/433749362.db2.gz OMMLPYFDFVDWAB-OLZOCXBDSA-N 0 1 314.198 3.411 20 30 DGEDMN C[C@H](NCc1ccnc(OC(F)F)c1)c1cccc(C#N)c1 ZINC000283763049 433772327 /nfs/dbraw/zinc/77/23/27/433772327.db2.gz BENPCHLKODSZRL-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H]1CN(CCOc2ccc(C#N)cc2)Cc2ccccc2O1 ZINC000429181679 433768779 /nfs/dbraw/zinc/76/87/79/433768779.db2.gz MENCJONJKPGMMX-OAHLLOKOSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1cc(C#N)cc(NC2CCN(Cc3ccccn3)CC2)c1 ZINC000449759835 433774613 /nfs/dbraw/zinc/77/46/13/433774613.db2.gz KVIYDAHUWHZXRT-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN Cc1cc(C)cc(CN2CCN(c3ccccc3C#N)CC2)c1 ZINC000303166176 433925673 /nfs/dbraw/zinc/92/56/73/433925673.db2.gz UUKUFYBIZHPIQK-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C[C@@H](O)Cc1ccccc1 ZINC000363287850 434013204 /nfs/dbraw/zinc/01/32/04/434013204.db2.gz IYYAINDZJJONOI-HBMCJLEFSA-N 0 1 320.436 3.362 20 30 DGEDMN CCOC(=O)CCCCN1CCC[C@@H]1[C@H](C#N)c1ccccc1 ZINC000363285083 434013651 /nfs/dbraw/zinc/01/36/51/434013651.db2.gz BODMDTIYTQNRAQ-QZTJIDSGSA-N 0 1 314.429 3.492 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1cccc2c1CN(CC(C)C)C2 ZINC000450187632 434017573 /nfs/dbraw/zinc/01/75/73/434017573.db2.gz KYWWXSQVFXYFDZ-QAPCUYQASA-N 0 1 314.429 3.188 20 30 DGEDMN CC(C)(Oc1ccc(C#N)cc1)C(=O)Nc1ccc2nc[nH]c2c1 ZINC000352629208 434044997 /nfs/dbraw/zinc/04/49/97/434044997.db2.gz FZUZZWYCZUJZJM-UHFFFAOYSA-N 0 1 320.352 3.231 20 30 DGEDMN N#Cc1cccc(C2(NC(=O)c3ccc(O)c(Cl)c3)CC2)c1 ZINC000436731754 434087533 /nfs/dbraw/zinc/08/75/33/434087533.db2.gz AUETXWDYISSYPQ-UHFFFAOYSA-N 0 1 312.756 3.336 20 30 DGEDMN CN(C)C(=O)c1cccc(CN[C@@H]2CCc3ccc(C#N)cc32)c1 ZINC000353382053 434096594 /nfs/dbraw/zinc/09/65/94/434096594.db2.gz HKNKGRXJSSNGHQ-LJQANCHMSA-N 0 1 319.408 3.037 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)c2ccc(CC#N)cc2)c1 ZINC000436647622 434048647 /nfs/dbraw/zinc/04/86/47/434048647.db2.gz RCRDFXNHNOPQPO-UHFFFAOYSA-N 0 1 308.337 3.303 20 30 DGEDMN C#CCO[C@H](C)C(=O)Nc1cccc2c1CN(CCC(C)C)C2 ZINC000294523105 434120664 /nfs/dbraw/zinc/12/06/64/434120664.db2.gz IKJOARROYIATFA-OAHLLOKOSA-N 0 1 314.429 3.025 20 30 DGEDMN COc1cccc(CN[C@H]2CCc3ccc(C#N)cc32)c1OC ZINC000353425445 434122120 /nfs/dbraw/zinc/12/21/20/434122120.db2.gz KCFKEUYDTVAYFN-KRWDZBQOSA-N 0 1 308.381 3.353 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCN(c3cncc4ccccc43)CC2)C1 ZINC000294685048 434125577 /nfs/dbraw/zinc/12/55/77/434125577.db2.gz STVZMPCQOVZMLE-NVXWUHKLSA-N 0 1 306.413 3.049 20 30 DGEDMN C[C@H](N[C@@H](CO)c1cccc(F)c1)c1ccc(C#N)c(F)c1 ZINC000346134918 434142133 /nfs/dbraw/zinc/14/21/33/434142133.db2.gz KNAZNQALGACFSB-GTNSWQLSSA-N 0 1 302.324 3.221 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000378398570 434168886 /nfs/dbraw/zinc/16/88/86/434168886.db2.gz GZFYYRQGEXHJON-CABCVRRESA-N 0 1 302.393 3.336 20 30 DGEDMN Cc1cccc(CN2CCO[C@H](c3cccc(C#N)c3)C2)c1O ZINC000378442658 434184027 /nfs/dbraw/zinc/18/40/27/434184027.db2.gz LBDMWXTZYMGZNT-SFHVURJKSA-N 0 1 308.381 3.146 20 30 DGEDMN CCCCCCCCOCC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000364457261 434274437 /nfs/dbraw/zinc/27/44/37/434274437.db2.gz KWOFDMAOMOJKKR-OAHLLOKOSA-N 0 1 307.438 3.280 20 30 DGEDMN COc1cc(CN2CCN(C)C[C@@H]2c2ccccc2)ccc1C#N ZINC000326255362 434279918 /nfs/dbraw/zinc/27/99/18/434279918.db2.gz GAWZMPBIVMBDSZ-LJQANCHMSA-N 0 1 321.424 3.056 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCCN(c2ncccc2F)CC1 ZINC000364801309 434281060 /nfs/dbraw/zinc/28/10/60/434281060.db2.gz CQSHYRZBJGCTQC-OAHLLOKOSA-N 0 1 324.403 3.366 20 30 DGEDMN CC(C)(NCC(=O)Nc1sccc1C#N)c1cccs1 ZINC000348302878 434287318 /nfs/dbraw/zinc/28/73/18/434287318.db2.gz BTLGLDWZZHUILX-UHFFFAOYSA-N 0 1 305.428 3.145 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H]3[C@@H]4CCCO[C@@H]4C3(C)C)c2[nH]1 ZINC000328701751 434301772 /nfs/dbraw/zinc/30/17/72/434301772.db2.gz NLCGOCKGTOEHKY-MAZHCROVSA-N 0 1 313.401 3.380 20 30 DGEDMN CN(C)c1nc2ccc(NC(=O)CCC3CCOCC3)cc2[nH]1 ZINC000328747264 434303756 /nfs/dbraw/zinc/30/37/56/434303756.db2.gz LPGQKIFJJGBLOJ-UHFFFAOYSA-N 0 1 316.405 3.424 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)c2cc(C3CCCCC3)[nH]n2)nc(C)n1 ZINC000328882644 434319428 /nfs/dbraw/zinc/31/94/28/434319428.db2.gz LWNCSZLOPMROOH-CQSZACIVSA-N 0 1 323.400 3.354 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cc(C3CCCCC3)[nH]n2)nc(C)n1 ZINC000328882644 434319437 /nfs/dbraw/zinc/31/94/37/434319437.db2.gz LWNCSZLOPMROOH-CQSZACIVSA-N 0 1 323.400 3.354 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C2)CCOCC3)c(OC(F)F)c1 ZINC000437908225 434364746 /nfs/dbraw/zinc/36/47/46/434364746.db2.gz HWOTZRDWJNGBLI-UHFFFAOYSA-N 0 1 322.355 3.162 20 30 DGEDMN C=CCCOCCN[C@H](COC)c1cccc(Cl)c1F ZINC000287553571 434392014 /nfs/dbraw/zinc/39/20/14/434392014.db2.gz FUCAZQIWFLNKHK-CQSZACIVSA-N 0 1 301.789 3.349 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H]1Cc1ccccc1 ZINC000437827556 434347599 /nfs/dbraw/zinc/34/75/99/434347599.db2.gz FNOQWBDQDHAAOR-WMZOPIPTSA-N 0 1 300.446 3.117 20 30 DGEDMN N#Cc1cccc(CN(C[C@@H]2CCCO2)[C@@H]2CCSC2)c1F ZINC000340945112 434447001 /nfs/dbraw/zinc/44/70/01/434447001.db2.gz CIDNRZUUXFVBEG-CVEARBPZSA-N 0 1 320.433 3.184 20 30 DGEDMN N#Cc1cccc(CN(CCO)[C@H]2CCc3ccccc32)c1F ZINC000340871390 434401998 /nfs/dbraw/zinc/40/19/98/434401998.db2.gz NLGHGUBZUXPHQP-SFHVURJKSA-N 0 1 310.372 3.179 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N1Cc2ccccc2C1 ZINC000359052077 434412089 /nfs/dbraw/zinc/41/20/89/434412089.db2.gz WPMDOXAAPFEUBS-LSDHHAIUSA-N 0 1 319.408 3.140 20 30 DGEDMN C#CC[C@H](NCc1cc(C(=O)OCC)c(C)[nH]1)c1ccccc1 ZINC000295608585 434423271 /nfs/dbraw/zinc/42/32/71/434423271.db2.gz GDQLWJQGKAJRLA-SFHVURJKSA-N 0 1 310.397 3.354 20 30 DGEDMN Cc1cc(C)cc([C@@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])c1 ZINC000417558012 434437725 /nfs/dbraw/zinc/43/77/25/434437725.db2.gz DIEJAOLEJWMZNT-OAHLLOKOSA-N 0 1 300.383 3.152 20 30 DGEDMN Cc1ccccc1[C@@H]1CCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329603272 434439612 /nfs/dbraw/zinc/43/96/12/434439612.db2.gz LIDHCSAAZBWCAK-KSSFIOAISA-N 0 1 324.428 3.327 20 30 DGEDMN C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329686551 434463166 /nfs/dbraw/zinc/46/31/66/434463166.db2.gz FRRXQQNRKAOWGW-VNQPRFMTSA-N 0 1 324.428 3.059 20 30 DGEDMN CC(C)c1nc([C@@H]2CCCCN2CC(=O)NCC2CCC2)n[nH]1 ZINC000329769491 434474887 /nfs/dbraw/zinc/47/48/87/434474887.db2.gz FKENNAVINADAFJ-AWEZNQCLSA-N 0 1 319.453 3.212 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000329824667 434487134 /nfs/dbraw/zinc/48/71/34/434487134.db2.gz HWPLVPRSHNRNDR-QWRGUYRKSA-N 0 1 303.362 3.128 20 30 DGEDMN Cc1cnn([C@@H]2CCN([C@H](C)C(=O)NC3CCC(C)CC3)C2)c1 ZINC000329855101 434492199 /nfs/dbraw/zinc/49/21/99/434492199.db2.gz TVVIDGYRTSXQGP-AGZZZRPFSA-N 0 1 318.465 3.362 20 30 DGEDMN CCC1(CC)CCCN(C([O-])=[NH+][C@H]2CCc3c[nH]nc3C2)CC1 ZINC000329860715 434495620 /nfs/dbraw/zinc/49/56/20/434495620.db2.gz PSTPBXNEBICGOI-HNNXBMFYSA-N 0 1 318.465 3.473 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1C([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329859849 434496762 /nfs/dbraw/zinc/49/67/62/434496762.db2.gz PBOVTFCELNXEFK-IVMMDQJWSA-N 0 1 304.438 3.082 20 30 DGEDMN Cc1nc(NC[C@@H]2CCCCN2C2CC2)c(Cl)cc1C#N ZINC000360065697 434504486 /nfs/dbraw/zinc/50/44/86/434504486.db2.gz KLFVEINVBIJAHP-AWEZNQCLSA-N 0 1 304.825 3.344 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CC[C@@H](n2cccn2)C1 ZINC000329633714 434449572 /nfs/dbraw/zinc/44/95/72/434449572.db2.gz SQIGJHYXUKLWLQ-QXSJWSMHSA-N 0 1 304.438 3.054 20 30 DGEDMN C[C@H]1C[C@H](NCc2ccc(C#N)c(F)c2)C[N@@H+]1Cc1ccccc1 ZINC000403971931 434508637 /nfs/dbraw/zinc/50/86/37/434508637.db2.gz BWJPUROYPMEHRZ-KXBFYZLASA-N 0 1 323.415 3.450 20 30 DGEDMN COc1ccc2c(c1)OCC[C@@H]2NCc1ccc(C#N)c(OC)c1 ZINC000366920493 434532751 /nfs/dbraw/zinc/53/27/51/434532751.db2.gz HPWFKOUKJQXGIC-KRWDZBQOSA-N 0 1 324.380 3.189 20 30 DGEDMN COc1ccccc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC000367232781 434543399 /nfs/dbraw/zinc/54/33/99/434543399.db2.gz XASHOURCJTZOCJ-UHFFFAOYSA-N 0 1 307.397 3.148 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CC(C)(C)[C@@H]2[C@H]2CCCO2)cc1 ZINC000367965179 434564009 /nfs/dbraw/zinc/56/40/09/434564009.db2.gz LISPMUIDLNZVDD-OLMNPRSZSA-N 0 1 314.429 3.367 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CC[C@@H]2CCCC[C@@H]2C1)N1CC[C@H](n2cccn2)C1 ZINC000329643691 295382781 /nfs/dbraw/zinc/38/27/81/295382781.db2.gz YWQMHXQDVKURIV-HZMVEIRTSA-N 0 1 316.449 3.403 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)N[C@@H]1CCCc2cn[nH]c21 ZINC000330146316 295383160 /nfs/dbraw/zinc/38/31/60/295383160.db2.gz SROXGUIJRAEBPD-CTHBEMJXSA-N 0 1 302.422 3.260 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)[C@@H]1SCCc2sccc21 ZINC000328940842 295384494 /nfs/dbraw/zinc/38/44/94/295384494.db2.gz KUFQGQDJLCUPLW-YMTOWFKASA-N 0 1 319.455 3.316 20 30 DGEDMN O=C(N[C@@H]1CCn2ccnc2C1)N1CCC[C@@H]1c1ccsc1 ZINC000330198777 295385122 /nfs/dbraw/zinc/38/51/22/295385122.db2.gz UNNFHKNCFSXUCA-ZIAGYGMSSA-N 0 1 316.430 3.011 20 30 DGEDMN C=CCN1CC[C@@H](N2CC3(CCCC3)[C@@H]2c2ccccc2)C1=O ZINC000459577832 434618209 /nfs/dbraw/zinc/61/82/09/434618209.db2.gz MDGSEIPEBHVFFU-MSOLQXFVSA-N 0 1 310.441 3.391 20 30 DGEDMN CC(C)c1cc(C(=O)N2CCC[C@@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000369817930 434602003 /nfs/dbraw/zinc/60/20/03/434602003.db2.gz RRACTIIZRLSRGT-CRAIPNDOSA-N 0 1 322.412 3.445 20 30 DGEDMN C[C@H]1CCN(Cc2ccc(C#N)cc2Cl)C[C@@H]1n1ccnc1 ZINC000439455754 434607210 /nfs/dbraw/zinc/60/72/10/434607210.db2.gz WCRRCZNTYZCHTC-GUYCJALGSA-N 0 1 314.820 3.491 20 30 DGEDMN COc1c(O)cccc1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000418149487 434607931 /nfs/dbraw/zinc/60/79/31/434607931.db2.gz VEJMBYICJSNOTQ-KRWDZBQOSA-N 0 1 308.381 3.440 20 30 DGEDMN C[C@@H](c1cccc(O)c1)[N@@H+](C)CCC(=O)Nc1cccc(C#N)c1 ZINC000440411379 434700219 /nfs/dbraw/zinc/70/02/19/434700219.db2.gz ZLQAVBKDAXGVEX-AWEZNQCLSA-N 0 1 323.396 3.285 20 30 DGEDMN N#Cc1cccc(NC(=O)[C@H]2CCCN2Cc2ccccc2)c1 ZINC000354180859 434660620 /nfs/dbraw/zinc/66/06/20/434660620.db2.gz PWYFIUYUMMHLRO-GOSISDBHSA-N 0 1 305.381 3.161 20 30 DGEDMN C=CCn1cc(CNC2CC(c3cccc(Cl)c3)C2)nn1 ZINC000424298839 434683257 /nfs/dbraw/zinc/68/32/57/434683257.db2.gz UAONWSKPXJMREM-UHFFFAOYSA-N 0 1 302.809 3.153 20 30 DGEDMN CN1CCC[C@H]1C(=O)Nc1ccc(Oc2ccccc2C#N)cc1 ZINC000354292774 434707203 /nfs/dbraw/zinc/70/72/03/434707203.db2.gz XBZHYCYBYKRGOP-KRWDZBQOSA-N 0 1 321.380 3.383 20 30 DGEDMN N#C[C@@H](CCc1ccccc1)c1nc(C2(N)CCCCC2)no1 ZINC000424351524 434707693 /nfs/dbraw/zinc/70/76/93/434707693.db2.gz WXHNQXGWOCFENT-OAHLLOKOSA-N 0 1 310.401 3.428 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2Br)[C@@H](C)C1 ZINC000441340744 434763469 /nfs/dbraw/zinc/76/34/69/434763469.db2.gz LCYIOXSUBDUYNL-FZMZJTMJSA-N 0 1 323.234 3.320 20 30 DGEDMN COc1cc(CN2CCN(C)c3ccccc3C2)ccc1C#N ZINC000442880107 434773564 /nfs/dbraw/zinc/77/35/64/434773564.db2.gz KTZBZLMFNPJMAM-UHFFFAOYSA-N 0 1 307.397 3.019 20 30 DGEDMN C=CCC[C@H](NCc1ccc(S(C)(=O)=O)o1)c1ccccc1 ZINC000411470155 434785687 /nfs/dbraw/zinc/78/56/87/434785687.db2.gz IFHCMRLFZARAFW-INIZCTEOSA-N 0 1 319.426 3.480 20 30 DGEDMN CO[C@@H]1CCCN(Cc2cc(C#N)ccc2Br)CC1 ZINC000372795698 434855016 /nfs/dbraw/zinc/85/50/16/434855016.db2.gz AMYSXFCNLIRLLI-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H](c1cccs1)N(C)C ZINC000491963335 434890756 /nfs/dbraw/zinc/89/07/56/434890756.db2.gz YCUCEDBQZFIUKJ-UONOGXRCSA-N 0 1 307.463 3.101 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)CN2CCC(C)(C)C2)cc1C#N ZINC000573577281 434909748 /nfs/dbraw/zinc/90/97/48/434909748.db2.gz ORPBWEIGKOAYRH-UHFFFAOYSA-N 0 1 315.417 3.016 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc(Cl)cc1CC#N ZINC000573623860 434913087 /nfs/dbraw/zinc/91/30/87/434913087.db2.gz LOIQMLQZWXDBFX-TXEJJXNPSA-N 0 1 305.809 3.217 20 30 DGEDMN COc1ccc([C@@H](NCc2cc(C#N)ccc2OC)C2CC2)cn1 ZINC000528849527 435029509 /nfs/dbraw/zinc/02/95/09/435029509.db2.gz GCGCHMINDUFSCX-IBGZPJMESA-N 0 1 323.396 3.211 20 30 DGEDMN CO[C@@H](C(=O)[C@@H](C#N)c1nc(C)cs1)c1ccc(F)cc1 ZINC000528911267 435030640 /nfs/dbraw/zinc/03/06/40/435030640.db2.gz YNNXWTMBXVBWAV-TZMCWYRMSA-N 0 1 304.346 3.155 20 30 DGEDMN C[C@@H]1[C@H](C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)[C@H]1C1CC1 ZINC000528915028 435030785 /nfs/dbraw/zinc/03/07/85/435030785.db2.gz CTHRSLVVVXICFB-JVOAXRGISA-N 0 1 316.788 3.279 20 30 DGEDMN CN(C(=O)CN1CCC2(CCCC2)CC1)C1(C#N)CCCCC1 ZINC000574557594 434986350 /nfs/dbraw/zinc/98/63/50/434986350.db2.gz WJNDDUMZBZJNQK-UHFFFAOYSA-N 0 1 317.477 3.327 20 30 DGEDMN CN1CCC(C#N)(NC(=O)CC(C)(C)C2CCCCC2)CC1 ZINC000526003704 435008730 /nfs/dbraw/zinc/00/87/30/435008730.db2.gz RCUYPIONVQUROE-UHFFFAOYSA-N 0 1 305.466 3.087 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000466261500 435068376 /nfs/dbraw/zinc/06/83/76/435068376.db2.gz RJWHIKPZGXIXEW-ROUUACIJSA-N 0 1 312.457 3.301 20 30 DGEDMN CC1(C)Cc2cccc(NC(=O)c3ccc(C#N)c(O)c3)c2O1 ZINC000530406180 435044918 /nfs/dbraw/zinc/04/49/18/435044918.db2.gz VJNVVEFBZHFMTI-UHFFFAOYSA-N 0 1 308.337 3.230 20 30 DGEDMN Cc1ccnc2[nH]c([C@H](C#N)C(=O)c3ccc(C4CC4)cn3)nc21 ZINC000531525243 435100315 /nfs/dbraw/zinc/10/03/15/435100315.db2.gz FSQGGEKKDQKBBQ-CYBMUJFWSA-N 0 1 317.352 3.029 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@](C)(C#N)C3CCCCC3)c2[nH]1 ZINC000558829930 435113944 /nfs/dbraw/zinc/11/39/44/435113944.db2.gz MDHJVASEIDJUAM-GOSISDBHSA-N 0 1 310.401 3.464 20 30 DGEDMN C=CCCCC[N@@H+]1CCCN(C(=O)Cc2cccc(F)c2)CC1 ZINC000580037098 435199968 /nfs/dbraw/zinc/19/99/68/435199968.db2.gz ICVWDYGPJIFRBP-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN N#Cc1cncc(N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000561454364 435218095 /nfs/dbraw/zinc/21/80/95/435218095.db2.gz JXHFAGPIQUGDHC-AWEZNQCLSA-N 0 1 324.775 3.219 20 30 DGEDMN CCN(CCC#N)C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000496184079 435209861 /nfs/dbraw/zinc/20/98/61/435209861.db2.gz BEZGSTRGSYVMEH-LSDHHAIUSA-N 0 1 309.454 3.258 20 30 DGEDMN N#CCCC1CCN(CC(=O)N2CCC[C@H]3CCCC[C@@H]32)CC1 ZINC000580187164 435211056 /nfs/dbraw/zinc/21/10/56/435211056.db2.gz DTGGNXRZHINXPO-MSOLQXFVSA-N 0 1 317.477 3.183 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H]([C@H]2CCCCN2CCC#N)C1 ZINC000496629374 435234797 /nfs/dbraw/zinc/23/47/97/435234797.db2.gz ZPZBMUMNYDQLFT-JKSUJKDBSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1ccc(C(C)(C)C)cc1C ZINC000563366415 435265816 /nfs/dbraw/zinc/26/58/16/435265816.db2.gz ILIGQAOIXHMVSC-IRXDYDNUSA-N 0 1 318.461 3.314 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000564712655 435274319 /nfs/dbraw/zinc/27/43/19/435274319.db2.gz GNIYNYSKAAGJTE-DLBZAZTESA-N 0 1 324.428 3.274 20 30 DGEDMN CCN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)C[C@H](C)C#N ZINC000497041398 435288565 /nfs/dbraw/zinc/28/85/65/435288565.db2.gz UQYJXPIYLHRIKA-HUUCEWRRSA-N 0 1 309.454 3.115 20 30 DGEDMN Cc1ccc(C)c(N2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC000506425017 435308703 /nfs/dbraw/zinc/30/87/03/435308703.db2.gz ZJSLHXZMCKMWRV-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC[C@@H]2C(C)C)c1C#N ZINC000535205973 435324134 /nfs/dbraw/zinc/32/41/34/435324134.db2.gz KQXMCSPKUWNMPG-QGZVFWFLSA-N 0 1 300.402 3.355 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H](C)C3(CCC3)C2)c1C#N ZINC000536714399 435404597 /nfs/dbraw/zinc/40/45/97/435404597.db2.gz XXONLDBGZNBKIE-AWEZNQCLSA-N 0 1 312.413 3.357 20 30 DGEDMN C=C(CNC(=O)c1c(C)n[nH]c1C(F)(F)F)c1ccccc1 ZINC000644139393 435418152 /nfs/dbraw/zinc/41/81/52/435418152.db2.gz PPWPJBNLPVLPQP-UHFFFAOYSA-N 0 1 309.291 3.180 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@@H]1c1ccccc1 ZINC000513275303 435382009 /nfs/dbraw/zinc/38/20/09/435382009.db2.gz CZNBLQMXKZGDKA-SZVBFZGTSA-N 0 1 313.445 3.124 20 30 DGEDMN C=C1CC[NH+](Cc2cc(Br)ccc2C(=O)[O-])CC1 ZINC000568062936 435385685 /nfs/dbraw/zinc/38/56/85/435385685.db2.gz WAHHDEGUFIUZCS-UHFFFAOYSA-N 0 1 310.191 3.299 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@H](c2cccnc2)C1 ZINC000639697168 435394612 /nfs/dbraw/zinc/39/46/12/435394612.db2.gz CGOKOTVFNFQXQC-FUHWJXTLSA-N 0 1 315.461 3.074 20 30 DGEDMN COC(=O)[C@@H]1CCCC[C@]1(C)NCc1ccc(Cl)cc1C#N ZINC000480863252 435397499 /nfs/dbraw/zinc/39/74/99/435397499.db2.gz CHNDRGTWNIGEHO-RDJZCZTQSA-N 0 1 320.820 3.423 20 30 DGEDMN COC(=O)[C@@H]1CCCC[C@@]1(C)NCc1ccc(Cl)cc1C#N ZINC000480863248 435398577 /nfs/dbraw/zinc/39/85/77/435398577.db2.gz CHNDRGTWNIGEHO-DOTOQJQBSA-N 0 1 320.820 3.423 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(NCc3n[nH]c(C4CC4)n3)nc21 ZINC000569196487 435512216 /nfs/dbraw/zinc/51/22/16/435512216.db2.gz PYFGRUDWSAXBEE-UHFFFAOYSA-N 0 1 322.416 3.175 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)cc1F ZINC000568952789 435488072 /nfs/dbraw/zinc/48/80/72/435488072.db2.gz ANUMHAJVMDSWIJ-MRXNPFEDSA-N 0 1 313.380 3.227 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000539388568 435551257 /nfs/dbraw/zinc/55/12/57/435551257.db2.gz OHFUWTDMFPREHM-YOEHRIQHSA-N 0 1 321.440 3.472 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@@H](N)c1ccccc1OC)c1ccccc1 ZINC000649268949 435552917 /nfs/dbraw/zinc/55/29/17/435552917.db2.gz MLYZHDIJYYGIHJ-CRAIPNDOSA-N 0 1 324.424 3.171 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cn1)c1cccc(N2CCCC2)c1 ZINC000516978992 435568323 /nfs/dbraw/zinc/56/83/23/435568323.db2.gz JZLVKGOMOFUJAR-HNNXBMFYSA-N 0 1 306.413 3.404 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCN(C)C[C@@H]1CC)c1ccccc1 ZINC000517311436 435589159 /nfs/dbraw/zinc/58/91/59/435589159.db2.gz VICLWZWWLRMQHI-ZWKOTPCHSA-N 0 1 315.461 3.430 20 30 DGEDMN CCOc1c(CN2CCC(CC#N)CC2)c(C)nn1CC(C)C ZINC000541922379 435644967 /nfs/dbraw/zinc/64/49/67/435644967.db2.gz XHRCDYYIJRITRW-UHFFFAOYSA-N 0 1 318.465 3.372 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC)CC2CCCCC2)CC1 ZINC000490638101 435668364 /nfs/dbraw/zinc/66/83/64/435668364.db2.gz VKLPOVAMTDKRKP-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C[C@H]1CC[C@H](NCC2(C#N)CCC2)CN1C(=O)OC(C)(C)C ZINC000496480707 300072859 /nfs/dbraw/zinc/07/28/59/300072859.db2.gz NGELDLAYDCHHLD-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2CCC(C)C)CC1 ZINC000490819408 435682618 /nfs/dbraw/zinc/68/26/18/435682618.db2.gz HMAXVJIHRVSVKP-SFHVURJKSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000519696371 435701767 /nfs/dbraw/zinc/70/17/67/435701767.db2.gz UJGDUYCGUOEJRR-UHFFFAOYSA-N 0 1 312.417 3.219 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC3(CCCCC3)[C@H]2C(C)C)CC1 ZINC000491294808 435726865 /nfs/dbraw/zinc/72/68/65/435726865.db2.gz DDGGPOPBUXUELS-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N2CCCC[C@H]2Cc2ccccc2F)C1=O ZINC000649794118 435706391 /nfs/dbraw/zinc/70/63/91/435706391.db2.gz AMMABVQAAYURRY-WMZOPIPTSA-N 0 1 316.420 3.010 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CC[C@@H](CC4CC4)C3)o2)cc1 ZINC000550409479 435803995 /nfs/dbraw/zinc/80/39/95/435803995.db2.gz JGBNWKXESJGDHS-HNNXBMFYSA-N 0 1 308.385 3.230 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cncnc2C2CC2)n1 ZINC000651662905 435783991 /nfs/dbraw/zinc/78/39/91/435783991.db2.gz RKQQVSPTKINUFL-NSHDSACASA-N 0 1 312.398 3.424 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@H](c2ccccn2)C1 ZINC000660633114 435833217 /nfs/dbraw/zinc/83/32/17/435833217.db2.gz ZNSBQTGIEXXSBT-IRXDYDNUSA-N 0 1 315.461 3.074 20 30 DGEDMN N#Cc1cccc(OCCN2CCC=C(c3ccccn3)C2)c1 ZINC000572942173 435881515 /nfs/dbraw/zinc/88/15/15/435881515.db2.gz YDQASEMMOPPSME-UHFFFAOYSA-N 0 1 305.381 3.121 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000665112633 435893793 /nfs/dbraw/zinc/89/37/93/435893793.db2.gz LOPWCIUWKZDOGL-OLZOCXBDSA-N 0 1 319.453 3.036 20 30 DGEDMN CN(CC#Cc1ccccc1Cl)[C@@H](CO)Cc1ccccc1 ZINC000661091782 435963131 /nfs/dbraw/zinc/96/31/31/435963131.db2.gz ONBDXURZFVHBEJ-GOSISDBHSA-N 0 1 313.828 3.227 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cc(OC)cc(OC)c2)C1 ZINC000656760713 436029674 /nfs/dbraw/zinc/02/96/74/436029674.db2.gz YBONLPZKHVSGKH-DYVFJYSZSA-N 0 1 319.401 3.118 20 30 DGEDMN C=CCCNC(=O)N(CC)C[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000661571193 436110440 /nfs/dbraw/zinc/11/04/40/436110440.db2.gz QYWDRTCYLLBDRC-SFHVURJKSA-N 0 1 315.461 3.116 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)N2CCC[C@@H]2C)c1 ZINC000173988621 301224718 /nfs/dbraw/zinc/22/47/18/301224718.db2.gz OOKQXKKASRFUOB-DLBZAZTESA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCCn1cc(CN[C@H](C)CSc2ccc(C)cc2)nn1 ZINC000657439067 436202703 /nfs/dbraw/zinc/20/27/03/436202703.db2.gz SNKQCRLMBNGHNM-OAHLLOKOSA-N 0 1 316.474 3.433 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@H]2Cc2ccc(C)cc2)nn1 ZINC000653556098 436203556 /nfs/dbraw/zinc/20/35/56/436203556.db2.gz NOLPIFPXEGNFOJ-IBGZPJMESA-N 0 1 310.445 3.370 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)nn1 ZINC000653559516 436204265 /nfs/dbraw/zinc/20/42/65/436204265.db2.gz FJPMIROWDMBJEL-QFBILLFUSA-N 0 1 310.445 3.480 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccccc1OCC ZINC000662081064 436269097 /nfs/dbraw/zinc/26/90/97/436269097.db2.gz SYNNNEBFMYSLPS-GASCZTMLSA-N 0 1 300.402 3.207 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1nc(C)c(C)s1 ZINC000662086460 436270846 /nfs/dbraw/zinc/27/08/46/436270846.db2.gz SVUQBACXWVJOCA-FPMFFAJLSA-N 0 1 305.447 3.270 20 30 DGEDMN CCCn1nccc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000659115977 436462291 /nfs/dbraw/zinc/46/22/91/436462291.db2.gz MIBGCYRANNRFGM-LLVKDONJSA-N 0 1 300.387 3.117 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1ccn(C(C)(C)C)n1 ZINC000654968807 436478176 /nfs/dbraw/zinc/47/81/76/436478176.db2.gz MHZWLGZMOVBALK-GFCCVEGCSA-N 0 1 321.384 3.015 20 30 DGEDMN CC(C)(C)n1ccc(C(=O)C(C#N)c2nc3ccccc3[nH]2)n1 ZINC000654968917 436478345 /nfs/dbraw/zinc/47/83/45/436478345.db2.gz SSZGISJMBXIHFF-LLVKDONJSA-N 0 1 307.357 3.004 20 30 DGEDMN Cc1cc2cccnc2c(NS(=O)(=O)c2cccc(C#N)c2)c1 ZINC000132791897 312651514 /nfs/dbraw/zinc/65/15/14/312651514.db2.gz NLEIWUHZOCTIGA-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN COc1cccnc1NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000542840397 314102344 /nfs/dbraw/zinc/10/23/44/314102344.db2.gz BFMFEHRDXLMJCZ-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN CC[C@H](Cc1ccccc1)[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000565363358 315255751 /nfs/dbraw/zinc/25/57/51/315255751.db2.gz QXAPECDLZQHFPK-GOSISDBHSA-N 0 1 319.412 3.054 20 30 DGEDMN N#Cc1ccc(CN[C@H]2c3ccccc3CC23CCOCC3)nc1 ZINC000570824903 315677861 /nfs/dbraw/zinc/67/78/61/315677861.db2.gz UTUGECAHGHCMSC-IBGZPJMESA-N 0 1 319.408 3.137 20 30 DGEDMN Cc1cc(CN2CCC([C@H](O)C(F)(F)F)CC2)ccc1C#N ZINC000575732429 316056612 /nfs/dbraw/zinc/05/66/12/316056612.db2.gz NWTSNKVELDTCFE-HNNXBMFYSA-N 0 1 312.335 3.002 20 30 DGEDMN CCC[N@@H+](CC1CC1)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000577883566 316281452 /nfs/dbraw/zinc/28/14/52/316281452.db2.gz BTLLHZPRAXTMDD-GOSISDBHSA-N 0 1 311.429 3.176 20 30 DGEDMN CCN(C(=O)c1cccc2nn[nH]c21)[C@@H](C)c1ccc(C#N)cc1 ZINC000183472180 323339358 /nfs/dbraw/zinc/33/93/58/323339358.db2.gz OMSGVNFFLQUEDX-LBPRGKRZSA-N 0 1 319.368 3.053 20 30 DGEDMN N#Cc1ccncc1N1CCN(Cc2cccc3ccoc32)CC1 ZINC000578411547 323728091 /nfs/dbraw/zinc/72/80/91/323728091.db2.gz IARYCXHXOKFGPJ-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc3cc[nH]c32)ccc1C#N ZINC000580689159 324026314 /nfs/dbraw/zinc/02/63/14/324026314.db2.gz JEEVYGJXHOMBIF-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN Cn1ccnc1[C@H](N[C@@H]1CCCc2cc(C#N)ccc21)C1CC1 ZINC000582351483 324220079 /nfs/dbraw/zinc/22/00/79/324220079.db2.gz YSWQBQXDTKJJNB-QZTJIDSGSA-N 0 1 306.413 3.410 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000583531963 324365950 /nfs/dbraw/zinc/36/59/50/324365950.db2.gz FIFTZEIYHXQPAC-IAGOWNOFSA-N 0 1 313.788 3.226 20 30 DGEDMN C=CC[C@H](C)NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000530841531 331630015 /nfs/dbraw/zinc/63/00/15/331630015.db2.gz UHDCZLBDEWSQEK-WFASDCNBSA-N 0 1 309.841 3.207 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2ccncc2OCc2ccccc2)C1 ZINC000556912389 331753082 /nfs/dbraw/zinc/75/30/82/331753082.db2.gz RPFSEXMXBPMPTK-FUHWJXTLSA-N 0 1 307.397 3.442 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000518472046 332060703 /nfs/dbraw/zinc/06/07/03/332060703.db2.gz IWCUIXBIWUKHHF-JTQLQIEISA-N 0 1 320.780 3.045 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1ccc(Oc2ccccc2)nn1 ZINC000560318317 332330451 /nfs/dbraw/zinc/33/04/51/332330451.db2.gz ZCZLVGPOWLAOTB-SJORKVTESA-N 0 1 311.385 3.092 20 30 DGEDMN C[C@@H](NCCCSCC#N)c1nc(-c2cccc(F)c2)no1 ZINC000185063962 333203863 /nfs/dbraw/zinc/20/38/63/333203863.db2.gz IZQVIRDRHRBLLR-LLVKDONJSA-N 0 1 320.393 3.173 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@](C)(c2ccccc2)C1 ZINC000500240997 333236073 /nfs/dbraw/zinc/23/60/73/333236073.db2.gz ZUNVUZOZKPIOFY-FQEVSTJZSA-N 0 1 314.473 3.465 20 30 DGEDMN CCc1noc(C)c1CNCc1ccc(N(C)CCC#N)cc1 ZINC000292932062 334194372 /nfs/dbraw/zinc/19/43/72/334194372.db2.gz JBNNNCYAIVCKSM-UHFFFAOYSA-N 0 1 312.417 3.185 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(Cc1cccc(F)c1)C(C)C ZINC000299116476 334253253 /nfs/dbraw/zinc/25/32/53/334253253.db2.gz DEZVIZBXQREGAF-OAHLLOKOSA-N 0 1 319.424 3.044 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cccc([N+](=O)[O-])c3)[nH]c21 ZINC000345373747 335325531 /nfs/dbraw/zinc/32/55/31/335325531.db2.gz MFHBVKAOFWMJAP-CYBMUJFWSA-N 0 1 320.308 3.270 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccn(C(C)C)n3)[nH]c21 ZINC000345373777 335325634 /nfs/dbraw/zinc/32/56/34/335325634.db2.gz MHQKBQAUXJZMBM-LBPRGKRZSA-N 0 1 307.357 3.139 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)Sc1nnc(C(C)(C)C)[nH]1 ZINC000042614088 336241878 /nfs/dbraw/zinc/24/18/78/336241878.db2.gz KUUNMEVWTPHHIS-NSHDSACASA-N 0 1 310.467 3.007 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@@H]1CCCc2ccccc21 ZINC000532355538 336243067 /nfs/dbraw/zinc/24/30/67/336243067.db2.gz KRCPYIBBFMVPBI-MAUKXSAKSA-N 0 1 313.445 3.004 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1 ZINC000582276294 336871323 /nfs/dbraw/zinc/87/13/23/336871323.db2.gz JRKGXMUFYUIHBT-BYCMXARLSA-N 0 1 305.328 3.177 20 30 DGEDMN C[C@@H]1CCCN1CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000174406575 533717587 /nfs/dbraw/zinc/71/75/87/533717587.db2.gz UVNPGGYERSEATQ-CYBMUJFWSA-N 0 1 305.809 3.071 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CCOc1cccc(C)c1 ZINC000501474808 340081921 /nfs/dbraw/zinc/08/19/21/340081921.db2.gz FSKOKBJQYINGLI-KRWDZBQOSA-N 0 1 318.461 3.119 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Nc3ccc(F)cc3)[nH]c21 ZINC000505432110 340168281 /nfs/dbraw/zinc/16/82/81/340168281.db2.gz FEIPZCMFTAFADP-ZDUSSCGKSA-N 0 1 308.316 3.256 20 30 DGEDMN CC[C@@H]1CO[C@H](C)CN1Cc1cccc(OCCCC#N)c1 ZINC000505641462 340174060 /nfs/dbraw/zinc/17/40/60/340174060.db2.gz VMCKYWOVJKLYDT-NVXWUHKLSA-N 0 1 302.418 3.368 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H]1/C=C\c1ccccc1 ZINC000505821618 340178723 /nfs/dbraw/zinc/17/87/23/340178723.db2.gz YZHMJWUZVCLLMN-SYGIOELKSA-N 0 1 310.441 3.365 20 30 DGEDMN CC1(C)CCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000174250233 340384790 /nfs/dbraw/zinc/38/47/90/340384790.db2.gz QZPYWNZLRBCZLH-UHFFFAOYSA-N 0 1 319.836 3.319 20 30 DGEDMN C=CCC[C@@H](C(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)c1ccccc1 ZINC000513066377 340391742 /nfs/dbraw/zinc/39/17/42/340391742.db2.gz YVPBTWBYHRDDMI-SOLBZPMBSA-N 0 1 300.446 3.287 20 30 DGEDMN COc1ccc(CNCc2ccoc2)cc1OCCCC#N ZINC000064614267 340804482 /nfs/dbraw/zinc/80/44/82/340804482.db2.gz YMLWWQFRFJJBJG-UHFFFAOYSA-N 0 1 300.358 3.261 20 30 DGEDMN C[C@H](NC(=O)CN1CCC(CC#N)CC1)c1ccccc1Cl ZINC000542881038 341024842 /nfs/dbraw/zinc/02/48/42/341024842.db2.gz KRYQZCAPDROELN-ZDUSSCGKSA-N 0 1 319.836 3.143 20 30 DGEDMN Cc1cccc(C(=O)N2CCCN(CCC(C)(C)C#N)CC2)c1 ZINC000131091849 341059331 /nfs/dbraw/zinc/05/93/31/341059331.db2.gz KALXFNYNRSGPOJ-UHFFFAOYSA-N 0 1 313.445 3.083 20 30 DGEDMN C=CCOc1ccc(C[N@H+](CC(=O)NC(C)C)C(C)(C)C)cc1 ZINC000131856120 341112725 /nfs/dbraw/zinc/11/27/25/341112725.db2.gz HHOHUKCLMCNSKW-UHFFFAOYSA-N 0 1 318.461 3.377 20 30 DGEDMN C=CCOc1ccc(CN(CC(=O)NC(C)C)C(C)(C)C)cc1 ZINC000131856120 341112726 /nfs/dbraw/zinc/11/27/26/341112726.db2.gz HHOHUKCLMCNSKW-UHFFFAOYSA-N 0 1 318.461 3.377 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](C)c1cc(C)ccc1OC ZINC000073736641 341120629 /nfs/dbraw/zinc/12/06/29/341120629.db2.gz HWPAKBZUJXCQNB-CVEARBPZSA-N 0 1 318.461 3.467 20 30 DGEDMN CC[C@@H](CC#N)N[C@@H](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC000545874004 341143706 /nfs/dbraw/zinc/14/37/06/341143706.db2.gz DELDKDXGWVUSDW-AAEUAGOBSA-N 0 1 323.824 3.348 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCCCSCC#N)n2)cc1 ZINC000075075662 341163109 /nfs/dbraw/zinc/16/31/09/341163109.db2.gz UAXVDFNXHRDNKP-UHFFFAOYSA-N 0 1 320.443 3.219 20 30 DGEDMN CCN(Cc1ccccc1C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000134018693 341204699 /nfs/dbraw/zinc/20/46/99/341204699.db2.gz KJGUYDMXASMZDG-LPHOPBHVSA-N 0 1 315.461 3.260 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccccn2)s1)c1ccccn1 ZINC000136536360 341261475 /nfs/dbraw/zinc/26/14/75/341261475.db2.gz OGJSRQWOEHUALN-LLVKDONJSA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(-c2ccccn2)s1)c1ccccn1 ZINC000136536360 341261476 /nfs/dbraw/zinc/26/14/76/341261476.db2.gz OGJSRQWOEHUALN-LLVKDONJSA-N 0 1 306.350 3.090 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN(C)CC2CC2)ccc1Cl ZINC000080048685 341268959 /nfs/dbraw/zinc/26/89/59/341268959.db2.gz GAOFINSERXCVGZ-UHFFFAOYSA-N 0 1 319.836 3.237 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@H]1CCN(c2ccc(Cl)c(F)c2)C1 ZINC000551679037 341348646 /nfs/dbraw/zinc/34/86/46/341348646.db2.gz BJBAZRNXGUSHKY-OLZOCXBDSA-N 0 1 320.799 3.091 20 30 DGEDMN CCOC(=O)CC1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000156059693 341616501 /nfs/dbraw/zinc/61/65/01/341616501.db2.gz YDOLFAYCMDBNMA-UHFFFAOYSA-N 0 1 319.832 3.357 20 30 DGEDMN C[C@H](NC1CC(C#N)(c2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000127899303 533790289 /nfs/dbraw/zinc/79/02/89/533790289.db2.gz KBAKAIAZHCSZMS-IJNIYJAUSA-N 0 1 319.408 3.227 20 30 DGEDMN C[C@H](NCC#Cc1ccccc1)c1cccc(N2CCOC2=O)c1 ZINC000192154303 533814968 /nfs/dbraw/zinc/81/49/68/533814968.db2.gz KLRCYJXRTVSDKH-INIZCTEOSA-N 0 1 320.392 3.346 20 30 DGEDMN C[C@H](NCC(=O)Nc1c(F)cccc1F)c1ccc(C#N)cc1 ZINC000320049841 533824613 /nfs/dbraw/zinc/82/46/13/533824613.db2.gz PWJHWHAZKRICLX-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN C=CCN(Cc1cc(Br)ccc1F)[C@@H](C)[C@@H](C)O ZINC001459411644 1182586599 /nfs/dbraw/zinc/58/65/99/1182586599.db2.gz AEOWQKNWNZUSAF-WDEREUQCSA-N 0 1 316.214 3.346 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2cccc(C#N)c2)cc1F ZINC000132811426 534097513 /nfs/dbraw/zinc/09/75/13/534097513.db2.gz KNUBYMSKRRJWPY-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2cnn(CC)c2)cc(OC)c1O ZINC000449672891 534418239 /nfs/dbraw/zinc/41/82/39/534418239.db2.gz YAEMGZCACDWLKI-ZDUSSCGKSA-N 0 1 315.417 3.197 20 30 DGEDMN Cc1nc(N[C@H](C)c2n[nH]c(C(C)C)n2)c(Cl)cc1C#N ZINC000292491305 534497639 /nfs/dbraw/zinc/49/76/39/534497639.db2.gz FDDURKUIPBRSQN-SECBINFHSA-N 0 1 304.785 3.330 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1ccn(-c2ccc(Cl)cc2)n1 ZINC000451684555 534574591 /nfs/dbraw/zinc/57/45/91/534574591.db2.gz VNRMKUSZBQCZHI-SJORKVTESA-N 0 1 317.820 3.349 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)N[C@@H](C)c1n[nH]c([C@H](C)CC)n1 ZINC000487558700 534759111 /nfs/dbraw/zinc/75/91/11/534759111.db2.gz ZFXGGPQDHWSMKI-AGIUHOORSA-N 0 1 307.442 3.423 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1cccc2[nH]cnc21 ZINC000159215940 526312394 /nfs/dbraw/zinc/31/23/94/526312394.db2.gz HAXGLBYVVVQBMK-UHFFFAOYSA-N 0 1 305.381 3.434 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc(C(=O)OCC)o1 ZINC000352701624 526326995 /nfs/dbraw/zinc/32/69/95/526326995.db2.gz LTHYJUZLZSFWNE-UHFFFAOYSA-N 0 1 303.358 3.280 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(SC(C)C)cc2)C1=O ZINC000337226141 526470945 /nfs/dbraw/zinc/47/09/45/526470945.db2.gz PDIDKHDUFSMVGE-QGZVFWFLSA-N 0 1 318.486 3.406 20 30 DGEDMN C=C(C)CN(C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000180603892 526486267 /nfs/dbraw/zinc/48/62/67/526486267.db2.gz BWBFZYFEDSAQBD-UHFFFAOYSA-N 0 1 319.424 3.008 20 30 DGEDMN C=CCN1CC[C@H](N2CC=C(c3ccc(CC)cc3)CC2)C1=O ZINC000337179569 526505065 /nfs/dbraw/zinc/50/50/65/526505065.db2.gz LIZMTFJBEFRIFK-IBGZPJMESA-N 0 1 310.441 3.125 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H]1CCSc2ccc(Cl)cc21 ZINC000193348217 526628021 /nfs/dbraw/zinc/62/80/21/526628021.db2.gz IZFRXLIDFJVSOP-ZWNOBZJWSA-N 0 1 310.850 3.157 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](C)c1oc2ccc(OC)cc2c1C ZINC000184320376 526635583 /nfs/dbraw/zinc/63/55/83/526635583.db2.gz POGXSCZTGMHKPK-STQMWFEESA-N 0 1 316.401 3.091 20 30 DGEDMN C#CCCCNC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000173332508 526711798 /nfs/dbraw/zinc/71/17/98/526711798.db2.gz IICYOODCNWEMEO-INIZCTEOSA-N 0 1 310.401 3.131 20 30 DGEDMN C=C(C)[C@H](CC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1)OCC ZINC000347481864 526806068 /nfs/dbraw/zinc/80/60/68/526806068.db2.gz ALZCIMMNCYWGTO-AWEZNQCLSA-N 0 1 307.438 3.037 20 30 DGEDMN C=CCOc1ccccc1C(=O)Nc1nc(-c2ccc(C)o2)n[nH]1 ZINC000181874032 526928769 /nfs/dbraw/zinc/92/87/69/526928769.db2.gz KEQPAAJWZGBKMW-UHFFFAOYSA-N 0 1 324.340 3.190 20 30 DGEDMN C=CCOc1cccnc1C(=O)Nc1cc(F)cc(Cl)c1O ZINC000353898524 526968358 /nfs/dbraw/zinc/96/83/58/526968358.db2.gz RYZXHUZXDOVEFF-UHFFFAOYSA-N 0 1 322.723 3.397 20 30 DGEDMN C=CCSCCN[C@@H](C)c1ncc(Br)s1 ZINC000129363954 527005505 /nfs/dbraw/zinc/00/55/05/527005505.db2.gz VSCKIQJNGFFTFI-QMMMGPOBSA-N 0 1 307.282 3.475 20 30 DGEDMN C=CCCCCNC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000351693173 527156138 /nfs/dbraw/zinc/15/61/38/527156138.db2.gz OJYFXCGGIRJWGY-INIZCTEOSA-N 0 1 307.413 3.084 20 30 DGEDMN CC(=O)c1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)ccc1C ZINC000434624799 527204971 /nfs/dbraw/zinc/20/49/71/527204971.db2.gz HRSFIZHIRDMYBY-INIZCTEOSA-N 0 1 307.353 3.095 20 30 DGEDMN CC(=O)c1cc(C(=O)[C@@H](C#N)c2cc(C)nc(C)n2)ccc1C ZINC000434624799 527204977 /nfs/dbraw/zinc/20/49/77/527204977.db2.gz HRSFIZHIRDMYBY-INIZCTEOSA-N 0 1 307.353 3.095 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NC[C@@H](c1ccccc1)N(C)C ZINC000302059635 527232236 /nfs/dbraw/zinc/23/22/36/527232236.db2.gz SFLCNFHMQQINEZ-IBGZPJMESA-N 0 1 307.397 3.476 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1nc2ccc(F)cc2s1 ZINC000342735481 527323993 /nfs/dbraw/zinc/32/39/93/527323993.db2.gz HQMRJAOFYWQHPP-JTQLQIEISA-N 0 1 307.394 3.270 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1ccc(C(=O)OC(C)C)cc1 ZINC000342742342 527324178 /nfs/dbraw/zinc/32/41/78/527324178.db2.gz VHISTZUGUKGDSV-CQSZACIVSA-N 0 1 318.417 3.087 20 30 DGEDMN C=CCn1cccc1C(=O)NCCN1CC=C(C(C)(C)C)CC1 ZINC000354875469 527386398 /nfs/dbraw/zinc/38/63/98/527386398.db2.gz BRFNSAHXHDXAPV-UHFFFAOYSA-N 0 1 315.461 3.082 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)CCc1ccc(Cl)cc1 ZINC000352763891 527605053 /nfs/dbraw/zinc/60/50/53/527605053.db2.gz RVVOYHNUGIRKHS-UHFFFAOYSA-N 0 1 306.837 3.015 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccc(OC(C)C)cc1 ZINC000341085911 527616211 /nfs/dbraw/zinc/61/62/11/527616211.db2.gz DRTUOKACIWFQQZ-MRXNPFEDSA-N 0 1 316.445 3.325 20 30 DGEDMN CCC[C@@H]1CCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000341580872 527751019 /nfs/dbraw/zinc/75/10/19/527751019.db2.gz GMRZWRSGWWANGU-QGZVFWFLSA-N 0 1 313.445 3.445 20 30 DGEDMN CCN(CC(=O)Nc1ccccc1SCC#N)C1CCC1 ZINC000444253767 527929543 /nfs/dbraw/zinc/92/95/43/527929543.db2.gz UWYNMKVIUYFNKV-UHFFFAOYSA-N 0 1 303.431 3.115 20 30 DGEDMN CCCN1CCN(C(=O)Nc2ccc(C(C)(C)C#N)cc2)CC1 ZINC000174905622 528240188 /nfs/dbraw/zinc/24/01/88/528240188.db2.gz PPQLJFRWZCKNPC-UHFFFAOYSA-N 0 1 314.433 3.047 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccc(N(C)C)cn2)n1 ZINC000358779643 528240779 /nfs/dbraw/zinc/24/07/79/528240779.db2.gz LIQJTPSSIGPZBC-LBPRGKRZSA-N 0 1 314.414 3.218 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)c2ccc(N(C)C)cn2)n1 ZINC000358779643 528240783 /nfs/dbraw/zinc/24/07/83/528240783.db2.gz LIQJTPSSIGPZBC-LBPRGKRZSA-N 0 1 314.414 3.218 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)[C@H](c1ccc(F)cc1)C(C)C ZINC000434619378 528353917 /nfs/dbraw/zinc/35/39/17/528353917.db2.gz RSTHZXGNDFDMSI-SFHVURJKSA-N 0 1 319.424 3.217 20 30 DGEDMN CCN(CCC(=O)N[C@@H]1CCCc2ccccc21)C[C@@H](C)C#N ZINC000356471141 528398087 /nfs/dbraw/zinc/39/80/87/528398087.db2.gz HMMBAOSLBNCVNQ-MAUKXSAKSA-N 0 1 313.445 3.052 20 30 DGEDMN CCC(=O)Nc1ccc(C)c(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000442614400 528428007 /nfs/dbraw/zinc/42/80/07/528428007.db2.gz HCKLUHDSAZKPDY-UHFFFAOYSA-N 0 1 323.352 3.173 20 30 DGEDMN CC(C)n1cc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000345645130 528557910 /nfs/dbraw/zinc/55/79/10/528557910.db2.gz UGNMPUMIVKENFH-LBPRGKRZSA-N 0 1 322.290 3.368 20 30 DGEDMN CC(C)n1cc(C(=O)[C@@H](C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000345645130 528557916 /nfs/dbraw/zinc/55/79/16/528557916.db2.gz UGNMPUMIVKENFH-LBPRGKRZSA-N 0 1 322.290 3.368 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@@H](C)c1cc(C)ccc1C ZINC000346277189 528617258 /nfs/dbraw/zinc/61/72/58/528617258.db2.gz VZDOZYZCHOUYLN-RDJZCZTQSA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H](C)c1ccc(C)cc1C ZINC000341235736 528689720 /nfs/dbraw/zinc/68/97/20/528689720.db2.gz HAWOUPMALKUSFM-WBVHZDCISA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(CC)[C@H](C)c1ccc(F)cc1 ZINC000342546936 528691505 /nfs/dbraw/zinc/69/15/05/528691505.db2.gz PGLNQBGNUILJKD-HUUCEWRRSA-N 0 1 319.424 3.217 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1c1ccc(C)s1 ZINC000359062407 528692184 /nfs/dbraw/zinc/69/21/84/528692184.db2.gz DCGXVXMPHXWGMO-HIFRSBDPSA-N 0 1 319.474 3.202 20 30 DGEDMN CC(C)N(CC(=O)N1CCCCCC1)Cc1cccc(C#N)c1 ZINC000299383272 528859390 /nfs/dbraw/zinc/85/93/90/528859390.db2.gz OOECGJPAELIZFY-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN CC[C@@H](C(=O)N(C[C@H](C)C#N)C1CC1)N(C)Cc1ccccc1 ZINC000355351949 529273997 /nfs/dbraw/zinc/27/39/97/529273997.db2.gz UYQSWNDYFKBPCA-QAPCUYQASA-N 0 1 313.445 3.048 20 30 DGEDMN C=CCNC(=O)CN(CCc1ccccc1)Cc1ccccc1 ZINC000052876983 545694312 /nfs/dbraw/zinc/69/43/12/545694312.db2.gz JMCFCYIHQVSBAM-UHFFFAOYSA-N 0 1 308.425 3.034 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)COc1cccc(C)c1 ZINC000053420107 545695477 /nfs/dbraw/zinc/69/54/77/545695477.db2.gz VWRBYIFJDWFBHE-MRXNPFEDSA-N 0 1 317.454 3.484 20 30 DGEDMN CC(C)(O)CN(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000181146072 546154340 /nfs/dbraw/zinc/15/43/40/546154340.db2.gz ZHSCBUVXEMYPDX-UHFFFAOYSA-N 0 1 312.388 3.470 20 30 DGEDMN O=C(N[C@@H]1CCCNC1=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000330249748 546497515 /nfs/dbraw/zinc/49/75/15/546497515.db2.gz GMMJDGHKLVZSEU-MRVPVSSYSA-N 0 1 324.793 3.215 20 30 DGEDMN C=CCOc1ccc(CN2C[C@@H](C)C(O)[C@H](C)C2)cc1Cl ZINC000668553700 547479152 /nfs/dbraw/zinc/47/91/52/547479152.db2.gz GNKISLSUQUVWCX-CHWSQXEVSA-N 0 1 309.837 3.354 20 30 DGEDMN COCC#CCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000677819065 548561455 /nfs/dbraw/zinc/56/14/55/548561455.db2.gz PXKVYCMXRWISSW-AWEZNQCLSA-N 0 1 300.427 3.126 20 30 DGEDMN COCC#CCN1CCC[C@H](COc2ccccc2Cl)C1 ZINC000677820007 548561573 /nfs/dbraw/zinc/56/15/73/548561573.db2.gz TVFTUDWTHVAQFJ-HNNXBMFYSA-N 0 1 307.821 3.081 20 30 DGEDMN COCC#CCN1CC[C@@H](Oc2ccc(Cl)c(Cl)c2)C1 ZINC000677820859 548561679 /nfs/dbraw/zinc/56/16/79/548561679.db2.gz ZQCHGZDOTROZEA-CYBMUJFWSA-N 0 1 314.212 3.096 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 ZINC000678049133 548600938 /nfs/dbraw/zinc/60/09/38/548600938.db2.gz FGSVTORAYVMFKG-WDEREUQCSA-N 0 1 320.380 3.278 20 30 DGEDMN C=C(C)CN(C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000679379549 548890140 /nfs/dbraw/zinc/89/01/40/548890140.db2.gz VBURPPRBUSIQRB-OAHLLOKOSA-N 0 1 309.841 3.160 20 30 DGEDMN Clc1ccc(C#CCN2CCC([C@@H]3CCOC3)CC2)cc1 ZINC000680094883 548996637 /nfs/dbraw/zinc/99/66/37/548996637.db2.gz QKCRJNYJUXSCBN-QGZVFWFLSA-N 0 1 303.833 3.440 20 30 DGEDMN COCc1cncc(C(=O)C(C#N)c2nccc3ccccc32)c1 ZINC000682995462 549410009 /nfs/dbraw/zinc/41/00/09/549410009.db2.gz WWKPAFGFLDZMOM-QGZVFWFLSA-N 0 1 317.348 3.266 20 30 DGEDMN N#C[C@@H](C(=O)c1ccn(C(F)F)n1)c1nccc2ccccc21 ZINC000682994946 549410135 /nfs/dbraw/zinc/41/01/35/549410135.db2.gz MAUPQXFLGABZNK-GFCCVEGCSA-N 0 1 312.279 3.316 20 30 DGEDMN N#CC(C(=O)c1ccn(C(F)F)n1)c1nccc2ccccc21 ZINC000682994946 549410137 /nfs/dbraw/zinc/41/01/37/549410137.db2.gz MAUPQXFLGABZNK-GFCCVEGCSA-N 0 1 312.279 3.316 20 30 DGEDMN N#C[C@H](C(=O)c1n[nH]c2c1CCCC2)c1nccc2ccccc21 ZINC000682995268 549410278 /nfs/dbraw/zinc/41/02/78/549410278.db2.gz RXWLBCCWLHYOEZ-HNNXBMFYSA-N 0 1 316.364 3.327 20 30 DGEDMN N#CC(C(=O)c1n[nH]c2c1CCCC2)c1nccc2ccccc21 ZINC000682995268 549410281 /nfs/dbraw/zinc/41/02/81/549410281.db2.gz RXWLBCCWLHYOEZ-HNNXBMFYSA-N 0 1 316.364 3.327 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccccc2OC)CC1 ZINC000683777946 549535515 /nfs/dbraw/zinc/53/55/15/549535515.db2.gz AWGBRSXXPLJAAF-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN C[C@@H](C(=O)OCc1cc(C#N)ccc1F)N1[C@H](C)CC[C@@H]1C ZINC000741022590 574524670 /nfs/dbraw/zinc/52/46/70/574524670.db2.gz SBSPOCHDWCTAHL-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@H](C(=O)OCc1cc(C#N)ccc1F)N1[C@H](C)CC[C@@H]1C ZINC000741022587 574524679 /nfs/dbraw/zinc/52/46/79/574524679.db2.gz SBSPOCHDWCTAHL-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2ccc(Cl)cc2O)cc1 ZINC000730983089 574540276 /nfs/dbraw/zinc/54/02/76/574540276.db2.gz UPKIWYSDPVZPQV-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN Fc1ccc(NN=Cc2ccc(OC[C@@H]3CCCO3)cc2)nc1 ZINC000731319177 574546971 /nfs/dbraw/zinc/54/69/71/574546971.db2.gz OYZDOOUHIKGWTJ-INIZCTEOSA-N 0 1 315.348 3.225 20 30 DGEDMN CCOCc1cc(C=NNc2ccc(F)cn2)ccc1OC ZINC000731322070 574547092 /nfs/dbraw/zinc/54/70/92/574547092.db2.gz XFDXYLSUMCFTKX-UHFFFAOYSA-N 0 1 303.337 3.212 20 30 DGEDMN O=[N+]([O-])c1ccccc1N=NC1CCOc2ccc(F)cc21 ZINC000731559500 574552033 /nfs/dbraw/zinc/55/20/33/574552033.db2.gz GGFKVNNOMFWVOG-UHFFFAOYSA-N 0 1 301.277 3.333 20 30 DGEDMN Cc1cc(=NN=Cc2ccc(-c3ccccc3[N+](=O)[O-])o2)nc[nH]1 ZINC000731934786 574562887 /nfs/dbraw/zinc/56/28/87/574562887.db2.gz IMEQIDDARLJODD-UHFFFAOYSA-N 0 1 323.312 3.399 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCc3ccccc3C2)nc(C)n1 ZINC000732593876 574576440 /nfs/dbraw/zinc/57/64/40/574576440.db2.gz VEJPARZDNGMUFT-CRAIPNDOSA-N 0 1 319.408 3.465 20 30 DGEDMN COc1ccc(OC(F)F)c(C=NNc2cc(C)nc(C)n2)c1 ZINC000732924692 574588171 /nfs/dbraw/zinc/58/81/71/574588171.db2.gz DEYGPSYQDBFVKW-UHFFFAOYSA-N 0 1 322.315 3.149 20 30 DGEDMN CCOc1ccc(CN=Nc2cnnc3ccccc23)cc1OC ZINC000733749967 574636467 /nfs/dbraw/zinc/63/64/67/574636467.db2.gz GZYRRMAYLXUEGC-UHFFFAOYSA-N 0 1 322.368 3.483 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000733748106 574636679 /nfs/dbraw/zinc/63/66/79/574636679.db2.gz HOWMZTUFSBLXSU-UHFFFAOYSA-N 0 1 320.352 3.237 20 30 DGEDMN CC(C)C[C@@H]1COCCN1CCCOc1ccc(C#N)cc1 ZINC000733797390 574639596 /nfs/dbraw/zinc/63/95/96/574639596.db2.gz WEAONZJVDZJQCP-QGZVFWFLSA-N 0 1 302.418 3.074 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NOCc1ccccc1 ZINC000734365829 574657831 /nfs/dbraw/zinc/65/78/31/574657831.db2.gz UVQYPPIOTRWPTP-INIZCTEOSA-N 0 1 309.413 3.496 20 30 DGEDMN CCc1nn(C)c(Cl)c1C=NNc1cnc2ccccc2n1 ZINC000734748660 574675747 /nfs/dbraw/zinc/67/57/47/574675747.db2.gz TVODLHKMQCHIET-UHFFFAOYSA-N 0 1 314.780 3.025 20 30 DGEDMN CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC(C)C2CCCC2)o1 ZINC000735451241 574703199 /nfs/dbraw/zinc/70/31/99/574703199.db2.gz VPOXGVJNRNFFER-UHFFFAOYSA-N 0 1 317.397 3.031 20 30 DGEDMN Cc1noc(C)c1[C@H](C)C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735788623 574715138 /nfs/dbraw/zinc/71/51/38/574715138.db2.gz GGUXIMNAWFSUED-GXFFZTMASA-N 0 1 322.368 3.456 20 30 DGEDMN C=C(Br)C[N@H+](Cc1ccccc1)C[C@@H](C)C(=O)[O-] ZINC000736095434 574731257 /nfs/dbraw/zinc/73/12/57/574731257.db2.gz WLUIUHMPMMIUCU-LLVKDONJSA-N 0 1 312.207 3.118 20 30 DGEDMN C=C(Br)C[N@@H+](Cc1ccccc1)C[C@@H](C)C(=O)[O-] ZINC000736095434 574731260 /nfs/dbraw/zinc/73/12/60/574731260.db2.gz WLUIUHMPMMIUCU-LLVKDONJSA-N 0 1 312.207 3.118 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2ccc(N(C)C)c(F)c2)n1 ZINC000741765256 574969382 /nfs/dbraw/zinc/96/93/82/574969382.db2.gz BSMTWMMJYHXKTC-UHFFFAOYSA-N 0 1 307.760 3.090 20 30 DGEDMN CC(=[NH+][N-]c1cc(Cl)nc(C)n1)c1ccc(N(C)C)cc1 ZINC000741766897 574969553 /nfs/dbraw/zinc/96/95/53/574969553.db2.gz YLEHVJXEHXPPPN-UHFFFAOYSA-N 0 1 303.797 3.341 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)Cn1cc[nH]c1=S ZINC000743359020 575045870 /nfs/dbraw/zinc/04/58/70/575045870.db2.gz YOZLPCOHEPHQCI-UHFFFAOYSA-N 0 1 300.431 3.159 20 30 DGEDMN CC(N=Nc1ccc(Cl)nc1)c1ccc2c(c1)CCC(=O)N2 ZINC000743451529 575052367 /nfs/dbraw/zinc/05/23/67/575052367.db2.gz HJKSGQMFCAEMGJ-UHFFFAOYSA-N 0 1 314.776 3.456 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)C1CCC(c2ccccc2)CC1 ZINC000744033634 575069182 /nfs/dbraw/zinc/06/91/82/575069182.db2.gz SWRIPIMUNALXOC-OFLPRAFFSA-N 0 1 312.413 3.194 20 30 DGEDMN C#CCN(CC(=O)Nc1ccc(OCC)cc1)C1CCCCC1 ZINC000744212558 575078622 /nfs/dbraw/zinc/07/86/22/575078622.db2.gz LBRWVWSELIYSCV-UHFFFAOYSA-N 0 1 314.429 3.292 20 30 DGEDMN CC(=NNc1ccc(C)nn1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000729936839 575267438 /nfs/dbraw/zinc/26/74/38/575267438.db2.gz ZOFKAJSTACFUEG-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1ccc([S@](C)=O)cc1 ZINC000746365788 575448172 /nfs/dbraw/zinc/44/81/72/575448172.db2.gz BVHIXRSSHIHHLT-QFIPXVFZSA-N 0 1 312.398 3.136 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1coc2ccccc12 ZINC000746566020 575461607 /nfs/dbraw/zinc/46/16/07/575461607.db2.gz PMQXVRBHPRLXJX-UHFFFAOYSA-N 0 1 321.361 3.314 20 30 DGEDMN COc1ccc(CNCc2cnc(Cl)c(C)c2)cc1C#N ZINC000746740082 575472739 /nfs/dbraw/zinc/47/27/39/575472739.db2.gz COENKWUEUMMUOD-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN COc1ccc(C[NH2+]Cc2c([O-])cccc2Cl)cc1C#N ZINC000746741506 575472970 /nfs/dbraw/zinc/47/29/70/575472970.db2.gz MXAQWFRKKOVFDG-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN COc1ccc(C=NNc2ccccn2)cc1OCCCC#N ZINC000747357003 575514066 /nfs/dbraw/zinc/51/40/66/575514066.db2.gz HARRVKZDCZLWCD-UHFFFAOYSA-N 0 1 310.357 3.219 20 30 DGEDMN Fc1cc(NN=Cc2cc(-c3cccnc3)[nH]n2)cc(F)c1F ZINC000747641914 575528506 /nfs/dbraw/zinc/52/85/06/575528506.db2.gz HLDWYZWHRFPHMG-UHFFFAOYSA-N 0 1 317.274 3.335 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCOCc2ccccc2)c1 ZINC000748002832 575555914 /nfs/dbraw/zinc/55/59/14/575555914.db2.gz SLINYXMKFMSOHA-UHFFFAOYSA-N 0 1 310.353 3.199 20 30 DGEDMN C[C@H]1CCc2c(F)cccc2C1=NN=c1cc[nH]c(N(C)C)n1 ZINC000748252846 575575824 /nfs/dbraw/zinc/57/58/24/575575824.db2.gz XZIUSENKRGVJAY-NSHDSACASA-N 0 1 313.380 3.080 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc(-n3cccc3)cc2)c1 ZINC000748411071 575585113 /nfs/dbraw/zinc/58/51/13/575585113.db2.gz VWPBNGJMBFCBDM-UHFFFAOYSA-N 0 1 317.348 3.236 20 30 DGEDMN Cc1ccc(C(=O)CCC(=O)Nc2cc(C#N)ccc2O)cc1C ZINC000748410372 575585115 /nfs/dbraw/zinc/58/51/15/575585115.db2.gz JBTLYPAHVLQQEZ-UHFFFAOYSA-N 0 1 322.364 3.482 20 30 DGEDMN C[C@@H](OC(=O)c1cc(-c2ccco2)n[nH]1)c1ccc(C#N)cc1 ZINC000749062319 575624401 /nfs/dbraw/zinc/62/44/01/575624401.db2.gz MRUKAHUKJYKXEJ-LLVKDONJSA-N 0 1 307.309 3.459 20 30 DGEDMN COc1ccc2c(c1)OCC2N=Nc1cc(F)c(F)cc1F ZINC000749164819 575632116 /nfs/dbraw/zinc/63/21/16/575632116.db2.gz PERLJJAFTXGIRF-UHFFFAOYSA-N 0 1 308.259 3.321 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2C[C@H](O)c2cccs2)ccn1 ZINC000749523112 575663085 /nfs/dbraw/zinc/66/30/85/575663085.db2.gz PKSYORGNFDKGHM-HOTGVXAUSA-N 0 1 313.426 3.103 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccccc1Cl ZINC000725859590 575935730 /nfs/dbraw/zinc/93/57/30/575935730.db2.gz RXGDOUNJVBXDHJ-UHFFFAOYSA-N 0 1 315.785 3.221 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=CC=Cc1ccccc1 ZINC000725860086 575936065 /nfs/dbraw/zinc/93/60/65/575936065.db2.gz WQGXHPOYHISXEH-WPFQCSIDSA-N 0 1 307.378 3.233 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NC2COc3cc(O)ccc32)c(Cl)c1 ZINC000727649262 576136106 /nfs/dbraw/zinc/13/61/06/576136106.db2.gz DJAJXRMLOUBPRW-UHFFFAOYSA-N 0 1 319.704 3.162 20 30 DGEDMN O=C(/C=C\c1ccccc1-n1cncn1)c1ccc(O)c(F)c1 ZINC000728438550 576182117 /nfs/dbraw/zinc/18/21/17/576182117.db2.gz HBZZNMGASBNEIJ-ALCCZGGFSA-N 0 1 309.300 3.008 20 30 DGEDMN CC(C)CN(CCC#N)C[C@@H](O)COCc1ccccc1Cl ZINC000916633030 620639865 /nfs/dbraw/zinc/63/98/65/620639865.db2.gz FRXNMCNYXPBBGQ-MRXNPFEDSA-N 0 1 324.852 3.089 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000916938915 620650278 /nfs/dbraw/zinc/65/02/78/620650278.db2.gz OVZZFXGFIKDUFI-GFCCVEGCSA-N 0 1 322.792 3.432 20 30 DGEDMN C=CCN(CC(=O)NCCCSc1ccccc1)C(C)C ZINC000917070950 620655398 /nfs/dbraw/zinc/65/53/98/620655398.db2.gz KQJHBAQUMJEJED-UHFFFAOYSA-N 0 1 306.475 3.181 20 30 DGEDMN CCOc1ccc(O)c(/C=N\C[C@H]2CCN2Cc2ccccc2)c1 ZINC000852998306 620658438 /nfs/dbraw/zinc/65/84/38/620658438.db2.gz KHMNEKSCVZRUPQ-LZAZYHHXSA-N 0 1 324.424 3.484 20 30 DGEDMN COc1ccc(O)c(/C=N\C[C@H]2CCN2Cc2ccccc2)c1 ZINC000852997943 620658497 /nfs/dbraw/zinc/65/84/97/620658497.db2.gz FKTPPCNKYQHVGQ-SYERDGIWSA-N 0 1 310.397 3.094 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1cccc2c1OCCCO2 ZINC000917545725 620673146 /nfs/dbraw/zinc/67/31/46/620673146.db2.gz YRQHYGCVFRYVHX-UHFFFAOYSA-N 0 1 322.368 3.181 20 30 DGEDMN C#CCCOC(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000917560824 620674732 /nfs/dbraw/zinc/67/47/32/620674732.db2.gz BDLGVEYZZDKSER-SNVBAGLBSA-N 0 1 305.765 3.369 20 30 DGEDMN N#CCC1(COC(=O)c2ccc(CN3CCCCC3)o2)CC1 ZINC000923833391 620681152 /nfs/dbraw/zinc/68/11/52/620681152.db2.gz LXZHVELUHVBIFS-UHFFFAOYSA-N 0 1 302.374 3.116 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(C)cc3cccnc32)c1 ZINC000917731490 620682605 /nfs/dbraw/zinc/68/26/05/620682605.db2.gz CETPKRKUEDNBTJ-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NN1CCCC[C@@H]1C ZINC000853379166 620690424 /nfs/dbraw/zinc/69/04/24/620690424.db2.gz DVUFNPSVNWOSKS-HOTGVXAUSA-N 0 1 300.450 3.154 20 30 DGEDMN Cc1nnc(NN=C2CCc3cc(Cl)cc(Cl)c32)n1C ZINC000853432936 620694151 /nfs/dbraw/zinc/69/41/51/620694151.db2.gz PQFXVMQKNJXOAI-UHFFFAOYSA-N 0 1 310.188 3.193 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)C(C)C ZINC000918088935 620696395 /nfs/dbraw/zinc/69/63/95/620696395.db2.gz PXXWERSEWUNRSH-INIZCTEOSA-N 0 1 307.413 3.034 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@@](C)(C3CC3)C2)c1O ZINC000853528424 620706884 /nfs/dbraw/zinc/70/68/84/620706884.db2.gz PWDDHLFCSWAZEO-LJQANCHMSA-N 0 1 317.429 3.130 20 30 DGEDMN Cc1ccn(C[N@@H+]2CCC[C@H]2c2c(C)cccc2C)c(=O)c1C#N ZINC000853521386 620706123 /nfs/dbraw/zinc/70/61/23/620706123.db2.gz ACDOTKRDFXDKOD-SFHVURJKSA-N 0 1 321.424 3.440 20 30 DGEDMN Cc1ccn(CN2CCC[C@H]2c2c(C)cccc2C)c(=O)c1C#N ZINC000853521386 620706125 /nfs/dbraw/zinc/70/61/25/620706125.db2.gz ACDOTKRDFXDKOD-SFHVURJKSA-N 0 1 321.424 3.440 20 30 DGEDMN CO[C@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000918491117 620709409 /nfs/dbraw/zinc/70/94/09/620709409.db2.gz YGSWETMWUOFACJ-DGCLKSJQSA-N 0 1 300.383 3.330 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)OC[C@@H]1Cc1ccccc1 ZINC000853598910 620714091 /nfs/dbraw/zinc/71/40/91/620714091.db2.gz YEAOFUPAFBGZNT-KSZLIROESA-N 0 1 312.413 3.190 20 30 DGEDMN N#CCCCC(=O)OCc1nc2ccc(Br)cc2[nH]1 ZINC000812617982 617668691 /nfs/dbraw/zinc/66/86/91/617668691.db2.gz YPNAUGWAXOHPJD-UHFFFAOYSA-N 0 1 322.162 3.062 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2ccco2)CC1 ZINC000890907636 617702506 /nfs/dbraw/zinc/70/25/06/617702506.db2.gz NVSOZYMEGDGBJU-UHFFFAOYSA-N 0 1 304.434 3.306 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@H](c1cccs1)N(C)C ZINC000891507499 617820361 /nfs/dbraw/zinc/82/03/61/617820361.db2.gz FZWJFXBFCOXMJX-CQSZACIVSA-N 0 1 309.479 3.349 20 30 DGEDMN C=CCN(Cc1ccc(NC(=O)OC(C)(C)C)nn1)C(C)C ZINC000891675291 617865678 /nfs/dbraw/zinc/86/56/78/617865678.db2.gz RKASDRVFVRIING-UHFFFAOYSA-N 0 1 306.410 3.220 20 30 DGEDMN C[C@@H](C#N)CN(C)C[C@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000891716443 617878896 /nfs/dbraw/zinc/87/88/96/617878896.db2.gz QAKGLVQEZGUEFF-YOEHRIQHSA-N 0 1 309.454 3.115 20 30 DGEDMN Cn1nc2c(c1CN1CC=C(c3ccc(C#N)cc3)CC1)CCC2 ZINC000892245502 617995085 /nfs/dbraw/zinc/99/50/85/617995085.db2.gz ANVFQYBVBWKAFX-UHFFFAOYSA-N 0 1 318.424 3.070 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2C[C@H](C)OC[C@@H]2C)c1O ZINC000919938631 620773029 /nfs/dbraw/zinc/77/30/29/620773029.db2.gz NVSLVXNUDQNDQP-KBPBESRZSA-N 0 1 305.418 3.129 20 30 DGEDMN CCCCCNC(=O)[C@H](C#N)C(=O)CC(C)(C)CC(F)(F)F ZINC000892464691 618072340 /nfs/dbraw/zinc/07/23/40/618072340.db2.gz ISZZTXTYIXJYJH-LLVKDONJSA-N 0 1 320.355 3.370 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCC3(CCC3)CO2)nc(C)n1 ZINC000892475264 618075964 /nfs/dbraw/zinc/07/59/64/618075964.db2.gz IROBHZUDKVJEAY-CABCVRRESA-N 0 1 313.401 3.009 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC(C3CCCCC3)CC2)CC1 ZINC000919968898 620775021 /nfs/dbraw/zinc/77/50/21/620775021.db2.gz ZSGFFFVCASPNBM-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C[C@]1(c2ccccc2)C[C@H]1C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000892495691 618081652 /nfs/dbraw/zinc/08/16/52/618081652.db2.gz RMVMEBTVVKQBER-FUHIMQAGSA-N 0 1 324.424 3.122 20 30 DGEDMN C[C@@H]1CO[C@@H](C(=O)C(C#N)c2nc(-c3ccccc3)cs2)C1 ZINC000892523193 618098733 /nfs/dbraw/zinc/09/87/33/618098733.db2.gz XZHPFFOPZISJRR-NJZAAPMLSA-N 0 1 312.394 3.411 20 30 DGEDMN N#CC(C(=O)CC[C@H]1CCc2ccccc21)C(=O)NC1CCCC1 ZINC000892530305 618100474 /nfs/dbraw/zinc/10/04/74/618100474.db2.gz MXSNTNBJAIFFCQ-QAPCUYQASA-N 0 1 324.424 3.264 20 30 DGEDMN CCc1ccc(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)nc1 ZINC000892702511 618143066 /nfs/dbraw/zinc/14/30/66/618143066.db2.gz DLDMTFMIWBNBJY-HNNXBMFYSA-N 0 1 318.380 3.248 20 30 DGEDMN CCOC(=O)c1ccc(CNCc2c(C)cc(C#N)cc2C)o1 ZINC000894466059 618344190 /nfs/dbraw/zinc/34/41/90/618344190.db2.gz UVMLMMPLNBZOQC-UHFFFAOYSA-N 0 1 312.369 3.235 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2cn3cc(Cl)ccc3n2)cc1 ZINC000920357562 620797326 /nfs/dbraw/zinc/79/73/26/620797326.db2.gz XTYSZWVCFUOGST-UHFFFAOYSA-N 0 1 315.720 3.342 20 30 DGEDMN Brc1cccc2c1OCCC2N=Nc1ccccn1 ZINC000920365364 620797946 /nfs/dbraw/zinc/79/79/46/620797946.db2.gz UYMOSDHUXJPUDE-UHFFFAOYSA-N 0 1 318.174 3.443 20 30 DGEDMN C[C@@H](NN=Cc1ccc(N2CCOCC2)s1)c1ccccc1 ZINC000920385865 620798469 /nfs/dbraw/zinc/79/84/69/620798469.db2.gz IXAXCIGWDGZNCD-CQSZACIVSA-N 0 1 315.442 3.269 20 30 DGEDMN Cc1c(C=[NH+][N-]c2cccc(F)c2)c(=O)n(-c2ccccc2)n1C ZINC000920385299 620798540 /nfs/dbraw/zinc/79/85/40/620798540.db2.gz ANMKNYVBPSXNPE-UHFFFAOYSA-N 0 1 324.359 3.070 20 30 DGEDMN C#C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Br)cc1 ZINC000894666374 618416389 /nfs/dbraw/zinc/41/63/89/618416389.db2.gz CBNWQIXBAPWGLS-LSDHHAIUSA-N 0 1 320.230 3.425 20 30 DGEDMN C=CCCCCCCCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000854475089 620807182 /nfs/dbraw/zinc/80/71/82/620807182.db2.gz RFCVGYWUINXIFH-AWEZNQCLSA-N 0 1 304.438 3.258 20 30 DGEDMN C[N@@H+](Cc1cc(Br)cc(F)c1[O-])CC(C)(C)C#N ZINC000894857637 618480427 /nfs/dbraw/zinc/48/04/27/618480427.db2.gz KHKVXXTWYNMHDE-UHFFFAOYSA-N 0 1 315.186 3.275 20 30 DGEDMN Clc1cccc(N=NCc2cncc(Br)c2)n1 ZINC000793164274 618518905 /nfs/dbraw/zinc/51/89/05/618518905.db2.gz UMDJDURCQWWYMS-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN C=CCCCN(CC)C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000893359917 618529328 /nfs/dbraw/zinc/52/93/28/618529328.db2.gz FDNHMXTXOIDQJY-UHFFFAOYSA-N 0 1 300.406 3.369 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2cc(Br)cc(F)c2O)C1 ZINC000895334397 618596495 /nfs/dbraw/zinc/59/64/95/618596495.db2.gz HZGINTRJANGHRO-ZDUSSCGKSA-N 0 1 313.170 3.029 20 30 DGEDMN Cc1ccn(CN(C)[C@@H]2CCC[C@H]2C(C)(C)C)c(=O)c1C#N ZINC000841687879 618630558 /nfs/dbraw/zinc/63/05/58/618630558.db2.gz AFMULMAQHPQTEW-HZPDHXFCSA-N 0 1 301.434 3.133 20 30 DGEDMN N#CC1CCN(Cc2cc(Br)cc(F)c2O)CC1 ZINC000893984221 618689528 /nfs/dbraw/zinc/68/95/28/618689528.db2.gz LZTAXHVVJPXQDT-UHFFFAOYSA-N 0 1 313.170 3.029 20 30 DGEDMN CC(C)(CO)[C@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000894074470 618705879 /nfs/dbraw/zinc/70/58/79/618705879.db2.gz QCOVVVVJKWJUPQ-MRXNPFEDSA-N 0 1 300.427 3.469 20 30 DGEDMN C[C@@]1(CNCc2cccc(F)c2C#N)OCCc2ccccc21 ZINC000895641195 618811726 /nfs/dbraw/zinc/81/17/26/618811726.db2.gz YEUWOPKEPSZXGO-IBGZPJMESA-N 0 1 310.372 3.275 20 30 DGEDMN C#CCCCCCCNCc1nc(Cc2ccccc2F)no1 ZINC000895800046 618833220 /nfs/dbraw/zinc/83/32/20/618833220.db2.gz YJFCZBCCGXOKJC-UHFFFAOYSA-N 0 1 315.392 3.473 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H](c2ccco2)N(CC)CC)cc1 ZINC000895936225 618851648 /nfs/dbraw/zinc/85/16/48/618851648.db2.gz LQIRRYGNMQHBJN-KRWDZBQOSA-N 0 1 310.397 3.074 20 30 DGEDMN COCC1CN(Cc2cccc(OCc3cccc(C#N)c3)c2)C1 ZINC000895972222 618856509 /nfs/dbraw/zinc/85/65/09/618856509.db2.gz TZIRZWUWYTZQHW-UHFFFAOYSA-N 0 1 322.408 3.215 20 30 DGEDMN C=CC(C)(C)Cc1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000896069117 618870063 /nfs/dbraw/zinc/87/00/63/618870063.db2.gz WVOUAEKKQWHMIW-UHFFFAOYSA-N 0 1 309.373 3.200 20 30 DGEDMN Cc1c(CNCC2(C#N)CCC2)sc2nc(C)nc(C)c12 ZINC000841897635 618877713 /nfs/dbraw/zinc/87/77/13/618877713.db2.gz HDSSAIAVQMBOTD-UHFFFAOYSA-N 0 1 300.431 3.400 20 30 DGEDMN O=C1C=COC2(CCN(c3ccnc4cc(F)ccc43)CC2)C1 ZINC000896880417 618974429 /nfs/dbraw/zinc/97/44/29/618974429.db2.gz CPTPKJBSZUEQDL-UHFFFAOYSA-N 0 1 312.344 3.216 20 30 DGEDMN Cc1csc(C[C@@H]2CCN(Cc3csc(C#N)c3)C2)n1 ZINC000897301717 619049293 /nfs/dbraw/zinc/04/92/93/619049293.db2.gz RXDBHJRYNOUHCV-LBPRGKRZSA-N 0 1 303.456 3.449 20 30 DGEDMN Cc1ccc(O)c(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)c1 ZINC000897590756 619164034 /nfs/dbraw/zinc/16/40/34/619164034.db2.gz AOXYUAIOSRFEFL-LJQANCHMSA-N 0 1 308.381 3.146 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)n1 ZINC000897602511 619165671 /nfs/dbraw/zinc/16/56/71/619165671.db2.gz FINLNHUNYGBHLN-HXUWFJFHSA-N 0 1 317.392 3.394 20 30 DGEDMN N#Cc1cnc(Cl)c(C[N@H+]2CCCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000898513334 619282324 /nfs/dbraw/zinc/28/23/24/619282324.db2.gz ROXTZRGEATZEOT-CABCVRRESA-N 0 1 319.836 3.245 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000898513334 619282326 /nfs/dbraw/zinc/28/23/26/619282326.db2.gz ROXTZRGEATZEOT-CABCVRRESA-N 0 1 319.836 3.245 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)[C@@H]1CCN1C(C)(C)C ZINC000899147066 619314217 /nfs/dbraw/zinc/31/42/17/619314217.db2.gz IJYYDIQUXBNPMO-KRWDZBQOSA-N 0 1 313.445 3.168 20 30 DGEDMN COc1ccc2nc(NN=C(C)c3cc(C)nn3C)c(C)cc2c1 ZINC000789038585 625337688 /nfs/dbraw/zinc/33/76/88/625337688.db2.gz ZKGGUKYHSCQYSN-UHFFFAOYSA-N 0 1 323.400 3.430 20 30 DGEDMN C=CC[C@H](CO)Nc1ccnc2cc(OC(F)(F)F)ccc21 ZINC000899877354 619380560 /nfs/dbraw/zinc/38/05/60/619380560.db2.gz RWSMRUIQHYWTGS-SNVBAGLBSA-N 0 1 312.291 3.482 20 30 DGEDMN Cc1nc(Br)c(C=NN[C@@H]2CCCCC2(C)C)[nH]1 ZINC000901232043 619478927 /nfs/dbraw/zinc/47/89/27/619478927.db2.gz SVXOXIJBUNVLHM-LLVKDONJSA-N 0 1 313.243 3.373 20 30 DGEDMN O[C@@H]1CCCC[C@H]1NN=Cc1c(Cl)cccc1N1CCCC1 ZINC000901338961 619485501 /nfs/dbraw/zinc/48/55/01/619485501.db2.gz MDJDHWCZXXHNCU-NVXWUHKLSA-N 0 1 321.852 3.167 20 30 DGEDMN Cn1cc(/C=C\C(=O)c2ccc(O)cc2O)c(C2CCCC2)n1 ZINC000901481841 619504006 /nfs/dbraw/zinc/50/40/06/619504006.db2.gz FMGKAFGNKMNPSW-TWGQIWQCSA-N 0 1 312.369 3.385 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CC[C@](C(=O)[O-])(C(C)C)C2)c1 ZINC000901470007 619506378 /nfs/dbraw/zinc/50/63/78/619506378.db2.gz YGRPAVYGNVFGJR-GOSISDBHSA-N 0 1 303.402 3.184 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCC[C@H](CCC(=O)[O-])C2)c1 ZINC000901553778 619515120 /nfs/dbraw/zinc/51/51/20/619515120.db2.gz OVEDKHSIBKPWCK-OAHLLOKOSA-N 0 1 303.402 3.328 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])C/C(C)=C\c1ccccc1OC(F)F ZINC000901586824 619519253 /nfs/dbraw/zinc/51/92/53/619519253.db2.gz TXEJBQLMUUEMNO-XFXZXTDPSA-N 0 1 311.328 3.264 20 30 DGEDMN C#CCOc1ccccc1C[N@H+](CC(C)C)CC(C)(C)C(=O)[O-] ZINC000901840252 619551336 /nfs/dbraw/zinc/55/13/36/619551336.db2.gz FLEKVUHRNXNZMO-UHFFFAOYSA-N 0 1 317.429 3.267 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCCC[C@@H]2CCC(=O)[O-])cc1 ZINC000901996940 619572228 /nfs/dbraw/zinc/57/22/28/619572228.db2.gz LAAGGHKVZLTMJD-MRXNPFEDSA-N 0 1 303.402 3.471 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC000902349549 619620232 /nfs/dbraw/zinc/62/02/32/619620232.db2.gz FYJNYBRLIVPLTJ-DOTOQJQBSA-N 0 1 308.466 3.040 20 30 DGEDMN CCOC(=O)c1cccc2nc(NCC(C)(C)CCC#N)[nH]c21 ZINC000902699712 619663198 /nfs/dbraw/zinc/66/31/98/619663198.db2.gz RPKNWQFQKMVMGU-UHFFFAOYSA-N 0 1 314.389 3.481 20 30 DGEDMN C[C@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccc([S@](C)=O)cc1 ZINC000902887517 619688414 /nfs/dbraw/zinc/68/84/14/619688414.db2.gz ISJCOLSPAUXKAV-MZFXBISCSA-N 0 1 313.426 3.102 20 30 DGEDMN C[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cc(C#N)ccn1 ZINC000902989676 619700070 /nfs/dbraw/zinc/70/00/70/619700070.db2.gz KUAPOMXDXKFKEY-UGSOOPFHSA-N 0 1 312.392 3.046 20 30 DGEDMN C=CC[N@H+](CCC1(C(=O)[O-])CCC1)Cc1cccc([N+](=O)[O-])c1 ZINC000903697695 619800653 /nfs/dbraw/zinc/80/06/53/619800653.db2.gz XLGNSUNJQLSGAU-UHFFFAOYSA-N 0 1 318.373 3.228 20 30 DGEDMN C=CCCC[N@H+](CC)[C@@H](C(=O)[O-])c1cc(OC)cc(OC)c1 ZINC000903961447 619840672 /nfs/dbraw/zinc/84/06/72/619840672.db2.gz WSXPIEXVGKNGRE-MRXNPFEDSA-N 0 1 307.390 3.118 20 30 DGEDMN C=C[C@@H](CC)Cc1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000904000389 619850950 /nfs/dbraw/zinc/85/09/50/619850950.db2.gz RVKIGVFFTYNPMM-LBPRGKRZSA-N 0 1 309.373 3.200 20 30 DGEDMN O=C(CCCCc1cn[nH]n1)N(O)Cc1cccc2ccccc21 ZINC000904171343 619891538 /nfs/dbraw/zinc/89/15/38/619891538.db2.gz NKVNHODYXWSDJU-UHFFFAOYSA-N 0 1 324.384 3.089 20 30 DGEDMN C=CCCC[N@H+](CC)Cc1ccc(N2CCC(C(=O)[O-])CC2)o1 ZINC000904272074 619906512 /nfs/dbraw/zinc/90/65/12/619906512.db2.gz JGZZFJWKPYYLEO-UHFFFAOYSA-N 0 1 320.433 3.369 20 30 DGEDMN C=CCOc1ccccc1C[N@@H+]1CCC[C@](CC)(C(=O)[O-])C1 ZINC000904401782 619919557 /nfs/dbraw/zinc/91/95/57/619919557.db2.gz ROOFSODVUNQRGA-SFHVURJKSA-N 0 1 303.402 3.328 20 30 DGEDMN COc1cc(CN(C)Cc2cc(C#N)cs2)c(O)cc1C ZINC000904442083 619923188 /nfs/dbraw/zinc/92/31/88/619923188.db2.gz RPBBPBGLZBAYHB-UHFFFAOYSA-N 0 1 302.399 3.274 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2c(Cl)cnn2C)c1 ZINC000905078812 619967991 /nfs/dbraw/zinc/96/79/91/619967991.db2.gz WHLBXNQMTGXPMR-BQYQJAHWSA-N 0 1 303.793 3.031 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cccc(CN(C)C)c2)sn1 ZINC000905077945 619968012 /nfs/dbraw/zinc/96/80/12/619968012.db2.gz JQLFKAWILKWKDD-FPLPWBNLSA-N 0 1 302.399 3.109 20 30 DGEDMN O=C(/C=C/c1ccc(N2CCC(O)CC2)cc1)c1ccccc1O ZINC000905075216 619970694 /nfs/dbraw/zinc/97/06/94/619970694.db2.gz TXXUPAKLIYQFAK-JXMROGBWSA-N 0 1 323.392 3.249 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=Cc1nc(C(C)(C)C)co1 ZINC000905469458 620001749 /nfs/dbraw/zinc/00/17/49/620001749.db2.gz UOIBTENUJWKTRJ-UHFFFAOYSA-N 0 1 319.336 3.344 20 30 DGEDMN COC(=O)c1sccc1CN=Nc1nccnc1C1CCC1 ZINC000905468039 620001929 /nfs/dbraw/zinc/00/19/29/620001929.db2.gz KDWRIPHVSXFRQK-UHFFFAOYSA-N 0 1 316.386 3.038 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cnc(Cl)c(C)c2)n1 ZINC000905500775 620002557 /nfs/dbraw/zinc/00/25/57/620002557.db2.gz CZERKWKDJQYBKU-UHFFFAOYSA-N 0 1 319.796 3.124 20 30 DGEDMN CCOCc1nc(C)cc(NN=C(C)c2ccc(F)cc2F)n1 ZINC000905507688 620002765 /nfs/dbraw/zinc/00/27/65/620002765.db2.gz WCJDCDMSXDCGNH-UHFFFAOYSA-N 0 1 320.343 3.436 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC3(CCC3)[C@H]2c2ccncc2)CCC1 ZINC000905967087 620045312 /nfs/dbraw/zinc/04/53/12/620045312.db2.gz SEXTVUKXWIELSB-DLBZAZTESA-N 0 1 311.429 3.053 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)N(c1ccccc1C#N)C1CCC1 ZINC000906041538 620055078 /nfs/dbraw/zinc/05/50/78/620055078.db2.gz RZHDJTGDZQTOTE-SFHVURJKSA-N 0 1 311.429 3.317 20 30 DGEDMN CC(C)C#CC(=O)Nc1ccc2oc(CN3CCCC3)nc2c1 ZINC000908409276 620205017 /nfs/dbraw/zinc/20/50/17/620205017.db2.gz VUTHIGIXJFYMJX-UHFFFAOYSA-N 0 1 311.385 3.022 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1cccc2[nH]c(C)nc21 ZINC000908663152 620216655 /nfs/dbraw/zinc/21/66/55/620216655.db2.gz RVJZMDZUVZEHJG-OAHLLOKOSA-N 0 1 311.385 3.011 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCOC4(CCCC4)C3)[nH]c2c1 ZINC000913717790 620474206 /nfs/dbraw/zinc/47/42/06/620474206.db2.gz FFBKNGZUTYEUBE-ZDUSSCGKSA-N 0 1 324.384 3.112 20 30 DGEDMN C=CCCCC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccc(F)cc1 ZINC000914032702 620500730 /nfs/dbraw/zinc/50/07/30/620500730.db2.gz ILSZKFXPTLWWJJ-SFHVURJKSA-N 0 1 313.376 3.379 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CC[C@@H]2CCC)CC1 ZINC000152416332 620505851 /nfs/dbraw/zinc/50/58/51/620505851.db2.gz WSGDNDWNXFNDFK-IBGZPJMESA-N 0 1 324.468 3.480 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000153350017 620553394 /nfs/dbraw/zinc/55/33/94/620553394.db2.gz AYWGBKLBYFAZFD-HOTGVXAUSA-N 0 1 316.401 3.415 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000153350126 620553457 /nfs/dbraw/zinc/55/34/57/620553457.db2.gz AYWGBKLBYFAZFD-CVEARBPZSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1ccc(C(C)N=Nc2ccc(S(C)(=O)=O)cc2)cc1 ZINC000914834307 620556375 /nfs/dbraw/zinc/55/63/75/620556375.db2.gz AIJSSROKMSMNGY-UHFFFAOYSA-N 0 1 302.399 3.235 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1cnn(C2CCC2)c1 ZINC000925421518 620566494 /nfs/dbraw/zinc/56/64/94/620566494.db2.gz PIHSUPOOVFUPPZ-HNNXBMFYSA-N 0 1 309.413 3.471 20 30 DGEDMN CN(CCC#N)c1ccc(C=NNCc2cccc(F)c2)cc1 ZINC000915967473 620609194 /nfs/dbraw/zinc/60/91/94/620609194.db2.gz OYKJCZRNCJYYCY-UHFFFAOYSA-N 0 1 310.376 3.299 20 30 DGEDMN Cc1cc(NN=Cc2ccc(F)c(Br)c2)nc(C)n1 ZINC000915968748 620609647 /nfs/dbraw/zinc/60/96/47/620609647.db2.gz WPPILCYHBKCGTB-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc(Br)cc1 ZINC000915973244 620610257 /nfs/dbraw/zinc/61/02/57/620610257.db2.gz DNNHVIBUWSRMRG-UHFFFAOYSA-N 0 1 324.266 3.249 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1cccc(Br)c1 ZINC000915974628 620610344 /nfs/dbraw/zinc/61/03/44/620610344.db2.gz VDSFCYZLCGMUEL-UHFFFAOYSA-N 0 1 324.266 3.249 20 30 DGEDMN Cc1cc(C=NNCCN2CCCCC2)c(C)n1CC(C)C ZINC000915973219 620610433 /nfs/dbraw/zinc/61/04/33/620610433.db2.gz CYUQRZIABYJGGW-UHFFFAOYSA-N 0 1 304.482 3.170 20 30 DGEDMN COC(=O)c1ccc(C=NNc2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000915976703 620610626 /nfs/dbraw/zinc/61/06/26/620610626.db2.gz XZFSVXTVCBMNDU-UHFFFAOYSA-N 0 1 323.692 3.074 20 30 DGEDMN CC(N=Nc1ccccc1[N+](=O)[O-])c1ccccc1[N+](=O)[O-] ZINC000916249526 620622776 /nfs/dbraw/zinc/62/27/76/620622776.db2.gz VXBDFGZKBAEGJV-UHFFFAOYSA-N 0 1 300.274 3.339 20 30 DGEDMN COc1cc(C=NNc2ccc(F)cc2F)cc([N+](=O)[O-])c1O ZINC000916250874 620622799 /nfs/dbraw/zinc/62/27/99/620622799.db2.gz OEAAWOGMFMGMPV-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN COc1cc(C=NNc2cc(C)ccc2C)cc([N+](=O)[O-])c1O ZINC000916346555 620626574 /nfs/dbraw/zinc/62/65/74/620626574.db2.gz IJGHAVWZKDIKDO-UHFFFAOYSA-N 0 1 315.329 3.372 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1 ZINC000920790049 620822764 /nfs/dbraw/zinc/82/27/64/620822764.db2.gz NAHINDKYJLYOQN-IIAWOOMASA-N 0 1 304.434 3.361 20 30 DGEDMN CCN(CC)[C@@H](C/N=C/c1c(O)cccc1OC)c1ccco1 ZINC000921260554 620846169 /nfs/dbraw/zinc/84/61/69/620846169.db2.gz AZHUBONVOHIOII-UZUMKDMXSA-N 0 1 316.401 3.496 20 30 DGEDMN CCOc1ccc(CNCc2csc(C#N)c2)cc1OC ZINC000921524371 620896917 /nfs/dbraw/zinc/89/69/17/620896917.db2.gz MFKFPRVUPKBVJC-UHFFFAOYSA-N 0 1 302.399 3.317 20 30 DGEDMN N#CCOc1ccc(CNCc2nccc3c2CCCC3)cc1 ZINC000921692599 620935510 /nfs/dbraw/zinc/93/55/10/620935510.db2.gz INOPXELTUOASKV-UHFFFAOYSA-N 0 1 307.397 3.153 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cn2c(cccc2C)n1 ZINC000921721423 620940526 /nfs/dbraw/zinc/94/05/26/620940526.db2.gz CQESDIZLDYDKHG-UHFFFAOYSA-N 0 1 304.397 3.421 20 30 DGEDMN CC(C)(NCc1csc(C#N)c1)C(=O)NCC1CCCCC1 ZINC000921862895 620963545 /nfs/dbraw/zinc/96/35/45/620963545.db2.gz LBAJEXMEUYZOLF-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN CN(C)C(=O)c1cccc(CN[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000922074190 620985504 /nfs/dbraw/zinc/98/55/04/620985504.db2.gz YNYCCXKLPSSWHR-IBGZPJMESA-N 0 1 319.408 3.037 20 30 DGEDMN CC(C)(CC#N)CNCc1cc(C#N)ccc1Br ZINC000922177172 621001499 /nfs/dbraw/zinc/00/14/99/621001499.db2.gz GDMTVPIPGHUCSM-UHFFFAOYSA-N 0 1 306.207 3.350 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cccnc1N1CCCC1 ZINC000922241403 621011097 /nfs/dbraw/zinc/01/10/97/621011097.db2.gz IDNZKUAHAUUTEQ-UHFFFAOYSA-N 0 1 320.440 3.460 20 30 DGEDMN C#CC[C@@H](NCCS(=O)(=O)C1CCCCC1)c1ccccc1 ZINC000856733768 621013608 /nfs/dbraw/zinc/01/36/08/621013608.db2.gz GUCIFKUBJKWLNA-GOSISDBHSA-N 0 1 319.470 3.088 20 30 DGEDMN COc1ccc(F)c(CNCc2ccc(O[C@H](C)C#N)cc2)c1 ZINC000856801069 621023499 /nfs/dbraw/zinc/02/34/99/621023499.db2.gz JVIUOXLQXGNRMZ-CYBMUJFWSA-N 0 1 314.360 3.415 20 30 DGEDMN C[C@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000114617908 621024511 /nfs/dbraw/zinc/02/45/11/621024511.db2.gz SVNFJQLZNYRQPY-CZUORRHYSA-N 0 1 304.434 3.361 20 30 DGEDMN COc1ccc(CN[C@@]2(C)CCOc3ccccc32)cc1C#N ZINC000923027027 621149128 /nfs/dbraw/zinc/14/91/28/621149128.db2.gz ZMJRAAAUURRWPS-IBGZPJMESA-N 0 1 308.381 3.354 20 30 DGEDMN CCOc1cc(CNCc2ccc(C#N)cc2)c(F)cc1OC ZINC000858098040 621152480 /nfs/dbraw/zinc/15/24/80/621152480.db2.gz DXNORHWMGHGSJP-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1csc(-c2ccc(F)cc2)n1 ZINC000858333607 621177040 /nfs/dbraw/zinc/17/70/40/621177040.db2.gz KZBZVSFSSSHVFV-HNNXBMFYSA-N 0 1 318.417 3.467 20 30 DGEDMN C#C[C@H](NCc1cc(C)cc(Br)c1)[C@H]1CCCO1 ZINC000775013261 621200821 /nfs/dbraw/zinc/20/08/21/621200821.db2.gz MDQVFHZJILVBJG-LSDHHAIUSA-N 0 1 308.219 3.028 20 30 DGEDMN N#Cc1sc(NC[C@H]2CC[N@H+]2C2CCCCC2)nc1Cl ZINC000858681963 621214522 /nfs/dbraw/zinc/21/45/22/621214522.db2.gz ILPHHQSUHUEQGZ-LLVKDONJSA-N 0 1 310.854 3.487 20 30 DGEDMN Cc1ccc(CN2CCCN(c3ncccc3F)CC2)cc1C#N ZINC000859270146 621266434 /nfs/dbraw/zinc/26/64/34/621266434.db2.gz LCABUFKUIWHYHE-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C1CCC1 ZINC000838788258 621280949 /nfs/dbraw/zinc/28/09/49/621280949.db2.gz SMKZNZURMKKVKI-ZYHUDNBSSA-N 0 1 304.777 3.424 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@](O)(c3ccccc3)C2)c1 ZINC000859583610 621294651 /nfs/dbraw/zinc/29/46/51/621294651.db2.gz UWQZTZZOJIVYIX-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@@](O)(c3ccccc3)C2)c1 ZINC000859583608 621294888 /nfs/dbraw/zinc/29/48/88/621294888.db2.gz UWQZTZZOJIVYIX-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN CCOC1CC(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000789376611 625480846 /nfs/dbraw/zinc/48/08/46/625480846.db2.gz DDIOLLLZPCOUDJ-JXQTWKCFSA-N 0 1 311.385 3.253 20 30 DGEDMN O=C(/C=C/c1ncc(C(F)(F)F)s1)c1ccc(O)cc1O ZINC000788356909 621386544 /nfs/dbraw/zinc/38/65/44/621386544.db2.gz WQMWREWWHYCAMN-ONEGZZNKSA-N 0 1 315.272 3.469 20 30 DGEDMN N#C[C@H](C(=O)CC(C1CCC1)C1CCC1)C(=O)NC1CCCC1 ZINC000860477741 621409302 /nfs/dbraw/zinc/40/93/02/621409302.db2.gz LJFCWGZUDQHSHT-QGZVFWFLSA-N 0 1 316.445 3.361 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000860533920 621415608 /nfs/dbraw/zinc/41/56/08/621415608.db2.gz ZHVQRLMDTXKMIO-ABAIWWIYSA-N 0 1 318.373 3.427 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1CC2(CC1=O)CCOCC2 ZINC000753092484 621424545 /nfs/dbraw/zinc/42/45/45/621424545.db2.gz PNBGFCYKAQCHQY-UHFFFAOYSA-N 0 1 320.477 3.041 20 30 DGEDMN COC(=O)C[C@@H](/N=C/c1cc(Cl)cc(Cl)c1O)C1CC1 ZINC000753562885 621448764 /nfs/dbraw/zinc/44/87/64/621448764.db2.gz FRDLNFKMNUAUGK-KOSUEXCASA-N 0 1 316.184 3.460 20 30 DGEDMN C#CCSCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000753678338 621459652 /nfs/dbraw/zinc/45/96/52/621459652.db2.gz IYFXOQSEURWJKJ-QGZVFWFLSA-N 0 1 300.402 3.266 20 30 DGEDMN CCn1nccc1C=CC(=O)c1[nH]c(-c2ccccc2)nc1C ZINC000753713587 621460967 /nfs/dbraw/zinc/46/09/67/621460967.db2.gz ZKKGNVUSCUHBTE-MDZDMXLPSA-N 0 1 306.369 3.498 20 30 DGEDMN C#C[C@@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccc(C)cc1 ZINC000860961471 621462545 /nfs/dbraw/zinc/46/25/45/621462545.db2.gz CYHAGIGGKATEPV-APWZRJJASA-N 0 1 317.404 3.425 20 30 DGEDMN COC1(C(=O)[C@@H](C#N)c2nc3ccccc3s2)CCCC1 ZINC000753889513 621470470 /nfs/dbraw/zinc/47/04/70/621470470.db2.gz FUDSUIZCJUQDCQ-LLVKDONJSA-N 0 1 300.383 3.432 20 30 DGEDMN CCCOc1ccc(C(C)=NNC2=N[C@H]3CCCC[C@@H]3N2)cc1 ZINC000753931344 621471978 /nfs/dbraw/zinc/47/19/78/621471978.db2.gz YQKKOFRXQCMCHY-IRXDYDNUSA-N 0 1 314.433 3.059 20 30 DGEDMN CCCCOc1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cc1 ZINC000753928697 621471986 /nfs/dbraw/zinc/47/19/86/621471986.db2.gz MPFUHDFQFJWYKZ-IAGOWNOFSA-N 0 1 314.433 3.059 20 30 DGEDMN CCC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cccc(Cl)c1 ZINC000753939435 621472784 /nfs/dbraw/zinc/47/27/84/621472784.db2.gz OQMBHRJXLFMZJS-GJZGRUSLSA-N 0 1 304.825 3.314 20 30 DGEDMN CSc1ccc(C(C)=NNC2=N[C@@H]3CCCC[C@@H]3N2)cc1F ZINC000753939462 621473160 /nfs/dbraw/zinc/47/31/60/621473160.db2.gz OWCJJPUORFFKFR-OKILXGFUSA-N 0 1 320.437 3.132 20 30 DGEDMN Cc1noc(C)c1[C@H](C)CC(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000754530854 621515240 /nfs/dbraw/zinc/51/52/40/621515240.db2.gz FPLDYECAXKIVFU-OTYXRUKQSA-N 0 1 312.373 3.068 20 30 DGEDMN C=CCc1cc(CN2CCOC[C@@H]2CC(C)C)c(O)c(OC)c1 ZINC000754726838 621528535 /nfs/dbraw/zinc/52/85/35/621528535.db2.gz WXDLRUNAZOLUIP-KRWDZBQOSA-N 0 1 319.445 3.376 20 30 DGEDMN C=CC[C@@H](NCc1cccc(C(=O)OCC)n1)c1ccncc1 ZINC000862280492 621571637 /nfs/dbraw/zinc/57/16/37/621571637.db2.gz KABWRSJDJLPPCQ-MRXNPFEDSA-N 0 1 311.385 3.060 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1c[nH]nc1-c1ccccc1 ZINC000755490754 621575767 /nfs/dbraw/zinc/57/57/67/621575767.db2.gz QLFHHMLGCUPDIL-UHFFFAOYSA-N 0 1 302.341 3.399 20 30 DGEDMN C#C[C@H](C)N(C)Cc1c[nH]nc1-c1ccc(Br)s1 ZINC000862349131 621576367 /nfs/dbraw/zinc/57/63/67/621576367.db2.gz PXLDVRAFPYAXKP-VIFPVBQESA-N 0 1 324.247 3.354 20 30 DGEDMN Clc1cccnc1NN=Cc1cnc([C@H]2CCCO2)s1 ZINC000755656654 621584079 /nfs/dbraw/zinc/58/40/79/621584079.db2.gz WXJKTURYOKIETK-LLVKDONJSA-N 0 1 308.794 3.489 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2cnc3ccccn23)c(Cl)c1 ZINC000755690091 621585994 /nfs/dbraw/zinc/58/59/94/621585994.db2.gz WGCPCDBZZFYALK-UHFFFAOYSA-N 0 1 315.720 3.342 20 30 DGEDMN C#CC[C@H]1CCN(Cc2c(F)ccc(C3OCCO3)c2Cl)C1 ZINC000862447471 621591493 /nfs/dbraw/zinc/59/14/93/621591493.db2.gz NARIFAWBFKGXEY-LBPRGKRZSA-N 0 1 323.795 3.370 20 30 DGEDMN C#CC[C@H]1CCN(Cc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC000862446733 621591662 /nfs/dbraw/zinc/59/16/62/621591662.db2.gz CEXQRCUVIWEGDC-NSHDSACASA-N 0 1 308.212 3.486 20 30 DGEDMN Cc1ccnc(NN=Cc2ccc(OC[C@H]3CCCO3)cc2)c1 ZINC000755901804 621601660 /nfs/dbraw/zinc/60/16/60/621601660.db2.gz HQKWNCFANRVHJR-QGZVFWFLSA-N 0 1 311.385 3.394 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2CCc2ccccc2)cc1 ZINC000756138788 621617960 /nfs/dbraw/zinc/61/79/60/621617960.db2.gz FERLGMGYFSIHCW-UHFFFAOYSA-N 0 1 316.408 3.287 20 30 DGEDMN Cc1cccc(NN=C2c3ccccc3N(CC(C)C)C2=O)n1 ZINC000863162215 621644091 /nfs/dbraw/zinc/64/40/91/621644091.db2.gz XVDWAYGKXVKWNO-UHFFFAOYSA-N 0 1 308.385 3.209 20 30 DGEDMN c1ccc(COCCCNN=C2CCCN3CCCC[C@@H]23)cc1 ZINC000863176390 621644682 /nfs/dbraw/zinc/64/46/82/621644682.db2.gz PKSHVCJPAIDEMB-IBGZPJMESA-N 0 1 315.461 3.187 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)C1(c2cccc(Cl)c2)CC1 ZINC000756550262 621647175 /nfs/dbraw/zinc/64/71/75/621647175.db2.gz JQMHUXXWLQWXKF-INIZCTEOSA-N 0 1 317.816 3.012 20 30 DGEDMN CC(=NN=c1ccc(C)c[nH]1)c1ncc(Br)s1 ZINC000756889152 621670547 /nfs/dbraw/zinc/67/05/47/621670547.db2.gz HNGASDCAZWBAFK-UHFFFAOYSA-N 0 1 311.208 3.445 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2ccccc2O)c(OC)c1 ZINC000756897859 621670853 /nfs/dbraw/zinc/67/08/53/621670853.db2.gz SBCHAQYDWFOOQG-UHFFFAOYSA-N 0 1 314.341 3.024 20 30 DGEDMN COCCOc1ccccc1/C=C/C(=O)c1cc(F)ccc1O ZINC000757467817 621714556 /nfs/dbraw/zinc/71/45/56/621714556.db2.gz NABCJMJBYHXWAN-SOFGYWHQSA-N 0 1 316.328 3.453 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccc(-n3cncn3)c(C)c2)c(O)c1 ZINC000757477089 621716449 /nfs/dbraw/zinc/71/64/49/621716449.db2.gz ZMFVTIDSKIYAPB-YVMONPNESA-N 0 1 319.364 3.486 20 30 DGEDMN C[C@@H]1CC(N=Nc2cccc(C(=O)[O-])c2)C[N@H+]1Cc1ccccc1 ZINC000757561098 621724643 /nfs/dbraw/zinc/72/46/43/621724643.db2.gz OEGBCTASNCFPMH-CQSZACIVSA-N 0 1 323.396 3.447 20 30 DGEDMN Cc1cc(C)nc(N=NC2C[C@@H](C)N(Cc3ccccc3)C2)n1 ZINC000757562239 621724758 /nfs/dbraw/zinc/72/47/58/621724758.db2.gz WCCDFTGMLXAQBO-OAHLLOKOSA-N 0 1 309.417 3.156 20 30 DGEDMN C=CCOCC[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000757637175 621730243 /nfs/dbraw/zinc/73/02/43/621730243.db2.gz GFSCVHKUITVCQV-JTQLQIEISA-N 0 1 300.196 3.008 20 30 DGEDMN N#CC(C(=O)Cc1ccc(F)c(F)c1)c1nc2ccccc2[nH]1 ZINC000119837815 621733623 /nfs/dbraw/zinc/73/36/23/621733623.db2.gz XWFSGTMAZWELAJ-LLVKDONJSA-N 0 1 311.291 3.260 20 30 DGEDMN CCC(=O)c1cc(C(=O)Nc2cc(C#N)ccc2O)ccc1F ZINC000757902096 621745695 /nfs/dbraw/zinc/74/56/95/621745695.db2.gz ZLZQGEZEYCUPPP-UHFFFAOYSA-N 0 1 312.300 3.248 20 30 DGEDMN Clc1cccc2c1CCCC2=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000758128091 621759970 /nfs/dbraw/zinc/75/99/70/621759970.db2.gz DCTXKPUODXPTNY-IYBDPMFKSA-N 0 1 316.836 3.240 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2cc(F)c(F)c(F)c2)nc1 ZINC000758127247 621760201 /nfs/dbraw/zinc/76/02/01/621760201.db2.gz RMQYXTRFECSUCO-UHFFFAOYSA-N 0 1 323.274 3.122 20 30 DGEDMN N#Cc1cccn(CN(C2CC2)[C@@H]2CCCc3ccccc32)c1=O ZINC000758202782 621765766 /nfs/dbraw/zinc/76/57/66/621765766.db2.gz JPCQSLHXLZISBF-LJQANCHMSA-N 0 1 319.408 3.219 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1ccc(Br)o1 ZINC000864536906 621782003 /nfs/dbraw/zinc/78/20/03/621782003.db2.gz TWOUOAKLUDMQMK-UHFFFAOYSA-N 0 1 310.107 3.396 20 30 DGEDMN C[C@@H](C(=O)OCc1cc(F)ccc1C#N)N1CCCCCC1 ZINC000759362212 621849281 /nfs/dbraw/zinc/84/92/81/621849281.db2.gz LUOBGEFQZJSDST-ZDUSSCGKSA-N 0 1 304.365 3.005 20 30 DGEDMN Cn1cc(CNc2nc3ccc(C#N)cc3[nH]2)c(C(C)(C)C)n1 ZINC000865296349 621892742 /nfs/dbraw/zinc/89/27/42/621892742.db2.gz XASWLPCWILPNBY-UHFFFAOYSA-N 0 1 308.389 3.078 20 30 DGEDMN CCCn1nc(C)c(CNc2nc3ccc(C#N)cc3[nH]2)c1C ZINC000865296306 621892811 /nfs/dbraw/zinc/89/28/11/621892811.db2.gz VCTFMWKBBIMPAL-UHFFFAOYSA-N 0 1 308.389 3.270 20 30 DGEDMN CCOc1cccc(CNCc2cc(C#N)ccc2N(C)C)c1 ZINC000865414757 621914838 /nfs/dbraw/zinc/91/48/38/621914838.db2.gz BCUCFTKFRMEKKT-UHFFFAOYSA-N 0 1 309.413 3.313 20 30 DGEDMN COc1cc(F)c(C(C)N=Nc2cc(C)ccn2)cc1OC ZINC000760260349 621926011 /nfs/dbraw/zinc/92/60/11/621926011.db2.gz MIJNANYKEYDUJP-UHFFFAOYSA-N 0 1 303.337 3.382 20 30 DGEDMN O=C(/C=C\c1cccc(-n2cccn2)c1)c1ccc(O)cc1O ZINC000760262218 621926132 /nfs/dbraw/zinc/92/61/32/621926132.db2.gz BLHHRMQNGTULCH-YVMONPNESA-N 0 1 306.321 3.180 20 30 DGEDMN Clc1ccc2c(c1)C(=NNC1=N[C@@H]3CCCC[C@H]3N1)CCC2 ZINC000761273337 621998798 /nfs/dbraw/zinc/99/87/98/621998798.db2.gz QAVHSQKTBHEURQ-HZPDHXFCSA-N 0 1 316.836 3.240 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(Br)cc2F)CN1C ZINC000761808836 622029118 /nfs/dbraw/zinc/02/91/18/622029118.db2.gz FYEDBYNMSGINTF-QMMMGPOBSA-N 0 1 300.175 3.080 20 30 DGEDMN CC(=NNc1cnn(C)c1)c1ccc(OCC(F)(F)F)cc1 ZINC000761860944 622034918 /nfs/dbraw/zinc/03/49/18/622034918.db2.gz PHBTTZOQNVLNGO-UHFFFAOYSA-N 0 1 312.295 3.197 20 30 DGEDMN CC(N=Nc1cnn(C)c1)c1ccc(F)cc1Br ZINC000761864291 622035154 /nfs/dbraw/zinc/03/51/54/622035154.db2.gz HHOJYAGNUKAMLW-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN Cn1cc(NN=C2CCCc3ccc(Br)cc32)cn1 ZINC000761868101 622035585 /nfs/dbraw/zinc/03/55/85/622035585.db2.gz GSCJXXNFJFIEQN-UHFFFAOYSA-N 0 1 319.206 3.335 20 30 DGEDMN N#Cc1ccc(CNC[C@H](O)c2ccc(Cl)c(F)c2)c(F)c1 ZINC000762632979 622088055 /nfs/dbraw/zinc/08/80/55/622088055.db2.gz XMTFSMNDNKJOLX-INIZCTEOSA-N 0 1 322.742 3.313 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2cc(Cl)c(O)cc2F)cc1 ZINC000805255169 622092292 /nfs/dbraw/zinc/09/22/92/622092292.db2.gz NCIGXPRPQQHTNG-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN Cc1cc([C@@H](C)NC2CCN(c3ccccc3C#N)CC2)no1 ZINC000866448212 622120614 /nfs/dbraw/zinc/12/06/14/622120614.db2.gz BMWZWGQZSYKRKE-CQSZACIVSA-N 0 1 310.401 3.174 20 30 DGEDMN COc1nccnc1NN=C1C[C@H](C)Sc2sccc21 ZINC000763411749 622143889 /nfs/dbraw/zinc/14/38/89/622143889.db2.gz KEJMQHGKGNFMBE-QMMMGPOBSA-N 0 1 306.416 3.247 20 30 DGEDMN Cc1ccc(NC(=O)[C@H]2NCCc3cc(C#N)ccc32)c(C)c1 ZINC000867942283 622195447 /nfs/dbraw/zinc/19/54/47/622195447.db2.gz ODVJVRYLTACAAO-SFHVURJKSA-N 0 1 305.381 3.001 20 30 DGEDMN C[C@H](CCC(C)(C)C)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867945382 622195983 /nfs/dbraw/zinc/19/59/83/622195983.db2.gz WFLVPRPZMUHCEA-DYVFJYSZSA-N 0 1 313.445 3.076 20 30 DGEDMN CC(C)(C)CC(C)(C)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867953531 622197014 /nfs/dbraw/zinc/19/70/14/622197014.db2.gz ZBBAABCKZRNQRS-MRXNPFEDSA-N 0 1 313.445 3.076 20 30 DGEDMN COc1ccsc1CN=Nc1ccc([N+](=O)[O-])cc1OC ZINC000764682473 622213064 /nfs/dbraw/zinc/21/30/64/622213064.db2.gz UAGHKBXJXYBTKZ-UHFFFAOYSA-N 0 1 307.331 3.120 20 30 DGEDMN CN(CCC#N)c1ccc(C=NNCCc2ccccc2F)cc1 ZINC000764716143 622215376 /nfs/dbraw/zinc/21/53/76/622215376.db2.gz JANCWSORVCHOSJ-UHFFFAOYSA-N 0 1 324.403 3.342 20 30 DGEDMN CC[C@@H](C(=O)C(C#N)C(=O)N1CCCC1)C1CCC(C)CC1 ZINC000764760658 622217938 /nfs/dbraw/zinc/21/79/38/622217938.db2.gz NIEQDKVITYCLSM-FJBKBRRZSA-N 0 1 304.434 3.170 20 30 DGEDMN CC(C)C[C@H]1CCCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766631252 622343800 /nfs/dbraw/zinc/34/38/00/622343800.db2.gz DWROAXWPMSEQOS-MRXNPFEDSA-N 0 1 313.445 3.067 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805342879 622378034 /nfs/dbraw/zinc/37/80/34/622378034.db2.gz CBOQJEDJLKPMMQ-NSHDSACASA-N 0 1 315.200 3.300 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1cncc(C)c1 ZINC000767378700 622394563 /nfs/dbraw/zinc/39/45/63/622394563.db2.gz FNZGWEIEGZMOBX-UHFFFAOYSA-N 0 1 300.318 3.143 20 30 DGEDMN C[C@H]1CC(=NOCc2cccnc2)CN(Cc2ccccc2)C1 ZINC000767388992 622395373 /nfs/dbraw/zinc/39/53/73/622395373.db2.gz ZHCTZYZWPXRWEZ-INIZCTEOSA-N 0 1 309.413 3.496 20 30 DGEDMN CON=C(C)c1cc(Br)cc(Br)c1O ZINC000767489805 622402108 /nfs/dbraw/zinc/40/21/08/622402108.db2.gz GWPJNCBDRMLZOX-UHFFFAOYSA-N 0 1 322.984 3.288 20 30 DGEDMN Cn1c2ccccc2nc1[C@H](C#N)C(=O)C1(C(F)F)CCC1 ZINC000870115136 622434182 /nfs/dbraw/zinc/43/41/82/622434182.db2.gz BRDBMZXQTXGEFL-SNVBAGLBSA-N 0 1 303.312 3.185 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC000870143082 622436854 /nfs/dbraw/zinc/43/68/54/622436854.db2.gz QKDXVHMXWXPMKX-JHJVBQTASA-N 0 1 314.385 3.025 20 30 DGEDMN N#CC(C(=O)C1(c2ccc([N+](=O)[O-])cc2)CCC1)c1ccccn1 ZINC000870137086 622437062 /nfs/dbraw/zinc/43/70/62/622437062.db2.gz WDADCGYCEZQQHY-HNNXBMFYSA-N 0 1 321.336 3.288 20 30 DGEDMN CCOC(C)(C)C(=O)[C@H](C#N)c1nc2cc(F)ccc2s1 ZINC000870195577 622441363 /nfs/dbraw/zinc/44/13/63/622441363.db2.gz KUSFXNVYKOKWRX-JTQLQIEISA-N 0 1 306.362 3.427 20 30 DGEDMN C[C@H]1CCC[C@H](C=Nn2c(=O)c3ccccc3[nH]c2=S)C1 ZINC000768353896 622452075 /nfs/dbraw/zinc/45/20/75/622452075.db2.gz BMFFXSOKJRIBRE-RYUDHWBXSA-N 0 1 301.415 3.345 20 30 DGEDMN CCc1nn(C)c(Cl)c1C=NNc1cc(C#N)cc(Cl)n1 ZINC000768380726 622453700 /nfs/dbraw/zinc/45/37/00/622453700.db2.gz DGTRGVCDSVFFTG-UHFFFAOYSA-N 0 1 323.187 3.002 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@@H]2C[C@H]2c2ccccc2)c1 ZINC000768916329 622485375 /nfs/dbraw/zinc/48/53/75/622485375.db2.gz ZMXHIYXVOFEZJQ-ICSRJNTNSA-N 0 1 318.420 3.342 20 30 DGEDMN C#CCN(Cc1c(C)nc2sc(C)nn12)C1CCCCC1 ZINC000769145245 622501631 /nfs/dbraw/zinc/50/16/31/622501631.db2.gz GIVADOVIVQHVNP-UHFFFAOYSA-N 0 1 302.447 3.176 20 30 DGEDMN C=CCCOCCCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071268 622543467 /nfs/dbraw/zinc/54/34/67/622543467.db2.gz CKXPKUMOOIWWTJ-UHFFFAOYSA-N 0 1 312.373 3.136 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CC[C@@H](C(F)(F)F)C3)[nH]c2c1 ZINC000871073067 622544108 /nfs/dbraw/zinc/54/41/08/622544108.db2.gz RLMMKJOPDZOQAP-NXEZZACHSA-N 0 1 322.290 3.352 20 30 DGEDMN CN1CCCc2cc(C=NNCc3ccc(C#N)cc3)ccc21 ZINC000769820579 622564296 /nfs/dbraw/zinc/56/42/96/622564296.db2.gz IUJFOQIYTTZDGL-UHFFFAOYSA-N 0 1 304.397 3.064 20 30 DGEDMN Cc1ccnc(N=NCc2cc(O)ccc2Br)c1 ZINC000769820557 622564711 /nfs/dbraw/zinc/56/47/11/622564711.db2.gz IKCPJPZTRUIWDO-UHFFFAOYSA-N 0 1 306.163 3.304 20 30 DGEDMN N#C[C@H](OC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCCCC1 ZINC000770563742 622646269 /nfs/dbraw/zinc/64/62/69/622646269.db2.gz DPUBVLRPFLRXIR-HNNXBMFYSA-N 0 1 310.357 3.101 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cc(F)ccc2O)ccc1[N+](=O)[O-] ZINC000770573520 622647605 /nfs/dbraw/zinc/64/76/05/622647605.db2.gz ZCFJMDFWWJGHFA-UTCJRWHESA-N 0 1 317.272 3.344 20 30 DGEDMN COCCc1nc(C)c(C(C)N=Nc2cc(C)ccn2)s1 ZINC000770605368 622651656 /nfs/dbraw/zinc/65/16/56/622651656.db2.gz LXHOKHLEENALEJ-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN COCCc1nc(C)c(C(C)=NNc2cc(C)ccn2)s1 ZINC000770605368 622651659 /nfs/dbraw/zinc/65/16/59/622651659.db2.gz LXHOKHLEENALEJ-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN N#Cc1cccc(Cl)c1SCCCNC(=O)C(F)(F)F ZINC000871693098 622670180 /nfs/dbraw/zinc/67/01/80/622670180.db2.gz YHIVRSZJFZGBEC-UHFFFAOYSA-N 0 1 322.739 3.372 20 30 DGEDMN N#Cc1ccc(C2(c3nc([C@@H]4CCCN4)no3)CCCC2)cc1 ZINC000871787766 622698095 /nfs/dbraw/zinc/69/80/95/622698095.db2.gz DSUQHFZICWRICS-HNNXBMFYSA-N 0 1 308.385 3.226 20 30 DGEDMN C=CCC[C@@H](NC(=O)NCc1cc(CC)[nH]n1)c1ccccc1 ZINC000771984519 622828239 /nfs/dbraw/zinc/82/82/39/622828239.db2.gz CUROUWLYXBNVTD-QGZVFWFLSA-N 0 1 312.417 3.479 20 30 DGEDMN CC1(C)[C@H]2[C@H](CCCN2Cc2ccc(C#N)cc2Cl)[C@@H]1O ZINC000872261878 622872004 /nfs/dbraw/zinc/87/20/04/622872004.db2.gz JGNOTQSALSTCIS-IMJJTQAJSA-N 0 1 304.821 3.193 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@](C)(c2ccccc2F)C1=O ZINC000872623541 622943586 /nfs/dbraw/zinc/94/35/86/622943586.db2.gz SPGBMPHDUFQZHM-ZRGWGRIASA-N 0 1 314.404 3.066 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)NCCCCC#N)C2)n[nH]1 ZINC000872875928 622992245 /nfs/dbraw/zinc/99/22/45/622992245.db2.gz KLTIQSMRSWGRIN-CQSZACIVSA-N 0 1 317.437 3.116 20 30 DGEDMN Cc1cccnc1N=NCc1cc(O)c(O)c(Br)c1 ZINC000790191014 625615388 /nfs/dbraw/zinc/61/53/88/625615388.db2.gz ORASVWCQXKDLLO-UHFFFAOYSA-N 0 1 322.162 3.010 20 30 DGEDMN Oc1cc(Cl)c(CN=Nc2nc3ccccn3n2)cc1Cl ZINC000790193880 625615426 /nfs/dbraw/zinc/61/54/26/625615426.db2.gz QUJSVLVZIDAXPM-UHFFFAOYSA-N 0 1 322.155 3.188 20 30 DGEDMN COCCc1nc(C)c(C(C)N=Nc2ncccc2C)s1 ZINC000790192888 625615472 /nfs/dbraw/zinc/61/54/72/625615472.db2.gz JCYREBXCLCWRJN-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN COCCc1nc(C)c(C(C)=N[N-]c2[nH+]cccc2C)s1 ZINC000790192888 625615474 /nfs/dbraw/zinc/61/54/74/625615474.db2.gz JCYREBXCLCWRJN-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN CC[C@H](C)NN=Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000790182109 625614625 /nfs/dbraw/zinc/61/46/25/625614625.db2.gz ISLITZJCHUMIJN-ZDUSSCGKSA-N 0 1 304.394 3.307 20 30 DGEDMN COc1ccc(CN=Nc2ncccc2C)c(OC(F)F)c1 ZINC000790193103 625615539 /nfs/dbraw/zinc/61/55/39/625615539.db2.gz SSSXENZDUBNHFN-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCCC1(C)C ZINC000773981399 623096228 /nfs/dbraw/zinc/09/62/28/623096228.db2.gz YBRUYPVULSPFJY-QWHCGFSZSA-N 0 1 314.385 3.169 20 30 DGEDMN N#C[C@H](C(=O)C1(Cc2cccc(F)c2)CCC1)c1ccncn1 ZINC000774414856 623141893 /nfs/dbraw/zinc/14/18/93/623141893.db2.gz MUDHDSGLJJSOAH-HNNXBMFYSA-N 0 1 309.344 3.205 20 30 DGEDMN C#CCN1CCC(Nc2ccc(C(F)(F)F)c(C#N)c2)CC1 ZINC000774444137 623145391 /nfs/dbraw/zinc/14/53/91/623145391.db2.gz QOLHZVPXQCWIRV-UHFFFAOYSA-N 0 1 307.319 3.087 20 30 DGEDMN CCc1cc(CNc2c(C#N)cnc3c(C)cc(C)cc32)n[nH]1 ZINC000774528931 623157595 /nfs/dbraw/zinc/15/75/95/623157595.db2.gz HDGZTUNWCHKXSU-UHFFFAOYSA-N 0 1 305.385 3.043 20 30 DGEDMN C#CCN([C@@H]1CCc2ccccc21)[C@@H]1CCCN(C(C)C)C1=O ZINC000790271063 625625836 /nfs/dbraw/zinc/62/58/36/625625836.db2.gz FWNQKQBLYGCTSO-RTBURBONSA-N 0 1 310.441 3.009 20 30 DGEDMN Brc1ccc2c(c1)OCCC2N=Nc1ccccn1 ZINC000774574149 623161628 /nfs/dbraw/zinc/16/16/28/623161628.db2.gz SOQQKYWVTGMILS-UHFFFAOYSA-N 0 1 318.174 3.443 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000873523354 623166716 /nfs/dbraw/zinc/16/67/16/623166716.db2.gz QHLMBDURGUDZPJ-FZMZJTMJSA-N 0 1 322.355 3.116 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1ccccc1OCc1ccccc1 ZINC000790300853 625631226 /nfs/dbraw/zinc/63/12/26/625631226.db2.gz ARSLYABWLCWJCE-UHFFFAOYSA-N 0 1 306.369 3.445 20 30 DGEDMN Cn1ccnc1NN=C1CCCc2ccc(Br)cc21 ZINC000790302550 625632750 /nfs/dbraw/zinc/63/27/50/625632750.db2.gz UTOPQUHNKLSARI-UHFFFAOYSA-N 0 1 319.206 3.335 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000775398694 623267485 /nfs/dbraw/zinc/26/74/85/623267485.db2.gz AHFPRYMMPMKQQK-INIZCTEOSA-N 0 1 314.335 3.258 20 30 DGEDMN C#CC[C@@H](NCC(=O)NCCC1CCCCC1)c1ccccc1 ZINC000775399694 623268506 /nfs/dbraw/zinc/26/85/06/623268506.db2.gz SXDHBEUXFOLFND-LJQANCHMSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(CC(C)C)CC(C)C)c1ccccc1 ZINC000775400298 623268760 /nfs/dbraw/zinc/26/87/60/623268760.db2.gz NMSPUBSYHCZUAO-LJQANCHMSA-N 0 1 314.473 3.481 20 30 DGEDMN C#CC[C@H](NCC(=O)N(CC)c1ccccc1)c1ccccc1 ZINC000775399629 623269049 /nfs/dbraw/zinc/26/90/49/623269049.db2.gz DYRWEFLRGUTJEN-IBGZPJMESA-N 0 1 306.409 3.394 20 30 DGEDMN CC(=O)Nc1ccsc1C=NNc1cccc(F)c1[N+](=O)[O-] ZINC000790308712 625634560 /nfs/dbraw/zinc/63/45/60/625634560.db2.gz LSIKIRYIYPWNEC-UHFFFAOYSA-N 0 1 322.321 3.200 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@@H]2[C@@H]1CCC[N@@H+]2C)c1ccccc1 ZINC000874834619 623365257 /nfs/dbraw/zinc/36/52/57/623365257.db2.gz UGEYTGACLBUBRZ-OTWHNJEPSA-N 0 1 312.457 3.432 20 30 DGEDMN Cc1ccc(N=NC2CN(Cc3ccccc3)CC[C@@H]2C)nn1 ZINC000776185968 623391215 /nfs/dbraw/zinc/39/12/15/623391215.db2.gz IQKLTADHUQEGSM-AWEZNQCLSA-N 0 1 309.417 3.095 20 30 DGEDMN CC(C)(C)c1cnc(CCC(=O)Nc2cc(C#N)ccc2O)o1 ZINC000776349694 623414848 /nfs/dbraw/zinc/41/48/48/623414848.db2.gz YJLUPOHFGUDARU-UHFFFAOYSA-N 0 1 313.357 3.121 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2cccc(Cl)c2F)CC1 ZINC000776402891 623424951 /nfs/dbraw/zinc/42/49/51/623424951.db2.gz XFOGKSVPEOUVIN-LBPRGKRZSA-N 0 1 323.795 3.429 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000776517833 623437342 /nfs/dbraw/zinc/43/73/42/623437342.db2.gz SXTAJCDSMFAZHV-SUNKGSAMSA-N 0 1 304.777 3.424 20 30 DGEDMN C=CCc1cc(CN2CCO[C@H](C(C)(C)C)C2)c(O)c(OC)c1 ZINC000790427110 625652106 /nfs/dbraw/zinc/65/21/06/625652106.db2.gz FMJKJPAZFHTXAM-KRWDZBQOSA-N 0 1 319.445 3.376 20 30 DGEDMN COc1cc(C)nc(C=NNc2cccc(C(F)(F)F)n2)c1 ZINC000776773472 623461543 /nfs/dbraw/zinc/46/15/43/623461543.db2.gz YHMQTAZLPGROOQ-UHFFFAOYSA-N 0 1 310.279 3.258 20 30 DGEDMN COc1cc(C)nc(C=NNc2ccc(Br)cn2)c1 ZINC000776768531 623461606 /nfs/dbraw/zinc/46/16/06/623461606.db2.gz OJYUCPOMJRDPSQ-UHFFFAOYSA-N 0 1 321.178 3.002 20 30 DGEDMN COC(=O)c1ccc(CN=Nc2nc3ccccc3cc2C)[nH]1 ZINC000776782876 623462828 /nfs/dbraw/zinc/46/28/28/623462828.db2.gz MKBZLIQTWNHQLO-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN Cc1cc2ccccc2[nH]c1=NN=C1COc2cc(O)ccc21 ZINC000776786099 623463552 /nfs/dbraw/zinc/46/35/52/623463552.db2.gz PMJNWKOCYQXDGA-UHFFFAOYSA-N 0 1 305.337 3.457 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000777065352 623493094 /nfs/dbraw/zinc/49/30/94/623493094.db2.gz FPLSTVSEMGDBOW-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN CC(C)[C@@H](Cc1ccccc1)NN=Cc1cnccc1N(C)C ZINC000777447016 623526933 /nfs/dbraw/zinc/52/69/33/623526933.db2.gz CHSXEYFPUOZMOB-GOSISDBHSA-N 0 1 310.445 3.338 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cccc3c(C)ccnc32)c1 ZINC000777601988 623547247 /nfs/dbraw/zinc/54/72/47/623547247.db2.gz WRLCMKJBKPWGBA-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1ccc([N+](=O)[O-])cc1F ZINC000777883208 623579936 /nfs/dbraw/zinc/57/99/36/623579936.db2.gz UDTSCMKXMNZAFW-UHFFFAOYSA-N 0 1 313.292 3.067 20 30 DGEDMN O=C(/C=C\c1ccc([N+](=O)[O-])cc1F)c1ccc(O)cc1O ZINC000777918256 623585366 /nfs/dbraw/zinc/58/53/66/623585366.db2.gz KYJGQBYNMJJFNO-KXFIGUGUSA-N 0 1 303.245 3.041 20 30 DGEDMN Cc1ccc(N=NC(C)c2cc3c(s2)SCC(=O)N3)nc1 ZINC000778542513 623665784 /nfs/dbraw/zinc/66/57/84/623665784.db2.gz TUBZAHZAOGRQIB-UHFFFAOYSA-N 0 1 318.427 3.332 20 30 DGEDMN Nc1nc(=NN=Cc2cc3cc(F)ccc3o2)cc(Cl)[nH]1 ZINC000778547426 623667436 /nfs/dbraw/zinc/66/74/36/623667436.db2.gz VPEGIPCWXHDNOI-UHFFFAOYSA-N 0 1 305.700 3.044 20 30 DGEDMN Nc1nc(=NN=Cc2ccc(-c3ccccc3)o2)cc(Cl)[nH]1 ZINC000778550834 623668499 /nfs/dbraw/zinc/66/84/99/623668499.db2.gz QVVZEZHCYDOLKS-UHFFFAOYSA-N 0 1 313.748 3.418 20 30 DGEDMN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1cc(C#N)cnc1Cl ZINC000876723597 623689866 /nfs/dbraw/zinc/68/98/66/623689866.db2.gz FRZPITIHUMEQIQ-LBPRGKRZSA-N 0 1 315.804 3.375 20 30 DGEDMN C=C(C)COCCNCc1cnn(Cc2ccccc2)c1Cl ZINC000790550066 625669044 /nfs/dbraw/zinc/66/90/44/625669044.db2.gz FVKSSGKLDUODNH-UHFFFAOYSA-N 0 1 319.836 3.267 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)n1 ZINC000876803999 623709947 /nfs/dbraw/zinc/70/99/47/623709947.db2.gz LOMUDSWQTHBPFF-HZPDHXFCSA-N 0 1 313.426 3.103 20 30 DGEDMN C=CC[C@H](C)[C@@H](C)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC000779064333 623726851 /nfs/dbraw/zinc/72/68/51/623726851.db2.gz JGGKOSKREJCGGT-NWDGAFQWSA-N 0 1 306.397 3.337 20 30 DGEDMN Cc1cccc(OCCCNCc2cc(C#N)cnc2Cl)c1 ZINC000876903426 623739831 /nfs/dbraw/zinc/73/98/31/623739831.db2.gz NJSUCECWKPTUFF-UHFFFAOYSA-N 0 1 315.804 3.474 20 30 DGEDMN C=C(Cl)C[C@H](N)C(=O)Nc1ccc2c(c1)C(=O)CCCC2 ZINC000876929814 623746985 /nfs/dbraw/zinc/74/69/85/623746985.db2.gz NHKWTIAHWJYPJP-AWEZNQCLSA-N 0 1 306.793 3.004 20 30 DGEDMN CC(C)CCC(=NNC1=NC[C@H](C)N1)c1ccc(Cl)cc1 ZINC000779794769 623808659 /nfs/dbraw/zinc/80/86/59/623808659.db2.gz MZAIVKIDOGEXQT-LBPRGKRZSA-N 0 1 306.841 3.418 20 30 DGEDMN CCC(=NNc1cc[nH+]cc1)c1ccc(Br)cc1 ZINC000779808944 623811276 /nfs/dbraw/zinc/81/12/76/623811276.db2.gz QDCMITIYIPQSAW-UHFFFAOYSA-N 0 1 304.191 3.492 20 30 DGEDMN COc1cccc(NN=C(C)c2cc(OC)c(OC)cc2F)n1 ZINC000779817461 623812573 /nfs/dbraw/zinc/81/25/73/623812573.db2.gz OHPOJKDFWNWLHO-UHFFFAOYSA-N 0 1 319.336 3.083 20 30 DGEDMN COCC[C@H](NCc1ccc(O[C@H](C)C#N)cc1)c1ccco1 ZINC000779996073 623847199 /nfs/dbraw/zinc/84/71/99/623847199.db2.gz YMOGHMOCXRHXID-PBHICJAKSA-N 0 1 314.385 3.438 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=N[C@H]1CCOC(C)(C)C1 ZINC000780250498 623895065 /nfs/dbraw/zinc/89/50/65/623895065.db2.gz PKGDOVOSGXVLJV-RDJZCZTQSA-N 0 1 315.461 3.042 20 30 DGEDMN COc1ccc(N=NCc2cccc(OC)c2OC(F)F)nc1 ZINC000780267212 623900826 /nfs/dbraw/zinc/90/08/26/623900826.db2.gz HZFGWUYWNSFGJZ-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1ccc(N=NCc2ccccc2Br)nc1 ZINC000780271636 623901745 /nfs/dbraw/zinc/90/17/45/623901745.db2.gz VQHVFCLXWNMGBK-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1cc(C#N)ccc1N(C)C ZINC000877487144 623907716 /nfs/dbraw/zinc/90/77/16/623907716.db2.gz QFLPYGZUETXYSJ-FZMZJTMJSA-N 0 1 311.351 3.397 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000780335422 623913199 /nfs/dbraw/zinc/91/31/99/623913199.db2.gz WHBFSBGOEWIKHG-UHFFFAOYSA-N 0 1 311.429 3.048 20 30 DGEDMN C[C@H](CCNC(=O)OC(C)(C)C)NCc1cccc(F)c1C#N ZINC000877506528 623916193 /nfs/dbraw/zinc/91/61/93/623916193.db2.gz YFQAANORSCUPLS-GFCCVEGCSA-N 0 1 321.396 3.090 20 30 DGEDMN CN(C)c1ccc(C#N)cc1C[N@H+]1CCC[C@H]1c1ccncc1 ZINC000877524208 623923513 /nfs/dbraw/zinc/92/35/13/623923513.db2.gz UATVPPAEPUUUIJ-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@H]1c1ccncc1 ZINC000877524208 623923517 /nfs/dbraw/zinc/92/35/17/623923517.db2.gz UATVPPAEPUUUIJ-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000877543388 623934505 /nfs/dbraw/zinc/93/45/05/623934505.db2.gz GQEKVRQDDMTZOA-CVEARBPZSA-N 0 1 305.372 3.101 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000126597692 623941620 /nfs/dbraw/zinc/94/16/20/623941620.db2.gz ZFLHVNVIOXVSFA-GGZOMVNGSA-N 0 1 324.352 3.305 20 30 DGEDMN CO[C@H](CNCc1cc(C#N)cnc1Cl)C1CCCCC1 ZINC000877636573 623972148 /nfs/dbraw/zinc/97/21/48/623972148.db2.gz BVUOFDJPYHNVHP-OAHLLOKOSA-N 0 1 307.825 3.292 20 30 DGEDMN Cc1cc2cn[nH]c2c(C(=O)Nc2ccccc2O[C@H](C)C#N)c1 ZINC000781034438 624012036 /nfs/dbraw/zinc/01/20/36/624012036.db2.gz KXPKICOABICXSX-GFCCVEGCSA-N 0 1 320.352 3.415 20 30 DGEDMN N#Cc1csc(CN2CC[C@H](Oc3ccc(F)cc3)C2)c1 ZINC000877810808 624042299 /nfs/dbraw/zinc/04/22/99/624042299.db2.gz OCDHFQUPUCROME-HNNXBMFYSA-N 0 1 302.374 3.412 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC2CC(Nc3ccccc3)C2)c1 ZINC000877828646 624059796 /nfs/dbraw/zinc/05/97/96/624059796.db2.gz JDOPOTQWFSGFJV-UHFFFAOYSA-N 0 1 312.804 3.339 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c(C)cccc2Cl)nc(C)n1 ZINC000790769552 625695548 /nfs/dbraw/zinc/69/55/48/625695548.db2.gz ZJRKENHRMUWAKA-AWEZNQCLSA-N 0 1 313.788 3.474 20 30 DGEDMN C#CCCOc1ccc(CN2CCC[C@@H](OC(F)F)C2)cc1 ZINC000781531401 624087518 /nfs/dbraw/zinc/08/75/18/624087518.db2.gz AQOPKGTUHGTLGK-MRXNPFEDSA-N 0 1 309.356 3.292 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)C[C@H](O)c1ccccc1 ZINC000877907399 624087650 /nfs/dbraw/zinc/08/76/50/624087650.db2.gz YZJXFZJILJTEEJ-WMZOPIPTSA-N 0 1 317.454 3.221 20 30 DGEDMN FC(F)Sc1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cc1 ZINC000781597132 624101192 /nfs/dbraw/zinc/10/11/92/624101192.db2.gz RCAJTNXZNDSNFN-STQMWFEESA-N 0 1 324.400 3.195 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1ccnc(N(C)C)c1 ZINC000782173501 624212872 /nfs/dbraw/zinc/21/28/72/624212872.db2.gz BHLPUTDMHRZINM-UHFFFAOYSA-N 0 1 311.429 3.344 20 30 DGEDMN CCn1ccc(CN(C)CC[C@@](C#N)(C(C)=O)c2ccccc2)c1 ZINC000878499255 624291627 /nfs/dbraw/zinc/29/16/27/624291627.db2.gz TXUNDPOCRISXEH-HXUWFJFHSA-N 0 1 323.440 3.380 20 30 DGEDMN CCCCNC(=O)OC[C@@H]1CCCCN1CC1(CC#N)CC1 ZINC000878600095 624318697 /nfs/dbraw/zinc/31/86/97/624318697.db2.gz BGYPCOLTGSVFBV-HNNXBMFYSA-N 0 1 307.438 3.061 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000878606728 624320938 /nfs/dbraw/zinc/32/09/38/624320938.db2.gz PIWYOXPRSJMALW-JCGIZDLHSA-N 0 1 305.418 3.108 20 30 DGEDMN C[C@@H](CN1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1cccc(Cl)c1 ZINC000878772126 624357421 /nfs/dbraw/zinc/35/74/21/624357421.db2.gz FYMPKFOBPOZJJP-SOUVJXGZSA-N 0 1 305.853 3.362 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2csc(-c3ccn(C)n3)n2)C1 ZINC000878915767 624386772 /nfs/dbraw/zinc/38/67/72/624386772.db2.gz YOVNQIQMORLWQX-ZDUSSCGKSA-N 0 1 302.447 3.332 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2csc(-c3ccn(C)n3)n2)C1 ZINC000878915767 624386793 /nfs/dbraw/zinc/38/67/93/624386793.db2.gz YOVNQIQMORLWQX-ZDUSSCGKSA-N 0 1 302.447 3.332 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)c1ccc(C#N)cc1 ZINC000783781552 624396077 /nfs/dbraw/zinc/39/60/77/624396077.db2.gz YKOJLMIJMLBDTG-AJNGGQMLSA-N 0 1 300.402 3.424 20 30 DGEDMN Cc1cc(COC(=O)[C@H](C)N2CCCCCC2)ccc1C#N ZINC000783783646 624396294 /nfs/dbraw/zinc/39/62/94/624396294.db2.gz OFZIDGLORVWYKL-HNNXBMFYSA-N 0 1 300.402 3.174 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2nnc(-c3ccccc3[N+](=O)[O-])o2)C1 ZINC000879031871 624412989 /nfs/dbraw/zinc/41/29/89/624412989.db2.gz CGVMWHSWTUZXDK-GFCCVEGCSA-N 0 1 314.345 3.043 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@@H]2[C@@H](O)c2ccccc2)c(F)c1 ZINC000879240244 624457256 /nfs/dbraw/zinc/45/72/56/624457256.db2.gz LFARHZIWKZWLQQ-MOPGFXCFSA-N 0 1 310.372 3.395 20 30 DGEDMN Cc1ccc(N(C)[C@H]2CCCN(Cc3ccnc(C#N)c3)C2)cc1 ZINC000784378003 624514095 /nfs/dbraw/zinc/51/40/95/624514095.db2.gz HILCEMCQBLOPSV-FQEVSTJZSA-N 0 1 320.440 3.363 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCCN(c2ncccc2F)CC1 ZINC000784412340 624522187 /nfs/dbraw/zinc/52/21/87/624522187.db2.gz SIIALXBORCNEQK-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCC(C)(F)CC1)c1ccccc1 ZINC000879383387 624525557 /nfs/dbraw/zinc/52/55/57/624525557.db2.gz KVCNBOYCKTXAGH-SFHVURJKSA-N 0 1 302.393 3.251 20 30 DGEDMN Cc1nn(Cc2ccccc2)c(Cl)c1CNCCCC#N ZINC000784620886 624558970 /nfs/dbraw/zinc/55/89/70/624558970.db2.gz CKBNENZOOOXLPI-UHFFFAOYSA-N 0 1 302.809 3.287 20 30 DGEDMN CCCNC(=O)OC[C@H]1CCCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000805774109 624640208 /nfs/dbraw/zinc/64/02/08/624640208.db2.gz AQMNSPCUWICKPG-QGZVFWFLSA-N 0 1 315.417 3.049 20 30 DGEDMN CCCNC(=O)OC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC000805774109 624640209 /nfs/dbraw/zinc/64/02/09/624640209.db2.gz AQMNSPCUWICKPG-QGZVFWFLSA-N 0 1 315.417 3.049 20 30 DGEDMN C#CCOc1ccccc1-c1noc(CN2[C@H](C)CC[C@H]2C)n1 ZINC000785584015 624691823 /nfs/dbraw/zinc/69/18/23/624691823.db2.gz INDIYYRKYLBXQZ-ZIAGYGMSSA-N 0 1 311.385 3.121 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)OCc1ccccc1C(C)(C)C#N ZINC000785740014 624721133 /nfs/dbraw/zinc/72/11/33/624721133.db2.gz IICSCEBAEAGHIY-GASCZTMLSA-N 0 1 314.429 3.404 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)OCC#Cc1cccc(Cl)c1 ZINC000785744791 624722699 /nfs/dbraw/zinc/72/26/99/624722699.db2.gz WPKBNOJPFLMPBU-ZIAGYGMSSA-N 0 1 305.805 3.108 20 30 DGEDMN COc1ccc(C#N)cc1C[N@H+]1CC[C@H](c2nc(C)cs2)C1 ZINC000880008210 624750949 /nfs/dbraw/zinc/75/09/49/624750949.db2.gz APXRCHBZUFUSOE-AWEZNQCLSA-N 0 1 313.426 3.321 20 30 DGEDMN C[C@H]1CN(Cc2ccc(CC#N)cc2)CC[C@H](C(F)(F)F)O1 ZINC000880061789 624770990 /nfs/dbraw/zinc/77/09/90/624770990.db2.gz AWFSMAQMXALSNA-SWLSCSKDSA-N 0 1 312.335 3.294 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CCC[C@H](CF)C1 ZINC000880234581 624859865 /nfs/dbraw/zinc/85/98/65/624859865.db2.gz GVKFOORHWUQQCL-HUUCEWRRSA-N 0 1 324.465 3.333 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCc2cccc(Cl)c2)C1 ZINC000786534808 624913246 /nfs/dbraw/zinc/91/32/46/624913246.db2.gz MVWKJPRMVZBYRJ-OSAQELSMSA-N 0 1 318.804 3.101 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786538268 624915238 /nfs/dbraw/zinc/91/52/38/624915238.db2.gz FEIRDLVIKNTXOO-MRXNPFEDSA-N 0 1 300.402 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H](C)C2CCCC2)c1 ZINC000786546004 624916857 /nfs/dbraw/zinc/91/68/57/624916857.db2.gz AYGXQZKJGJWRAG-RHSMWYFYSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@@]2(C)C(C)C)c1 ZINC000786547985 624918563 /nfs/dbraw/zinc/91/85/63/624918563.db2.gz ZZABKLOFFXJJOQ-GJYPPUQNSA-N 0 1 312.413 3.002 20 30 DGEDMN CC(C)(C)CCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786553947 624920293 /nfs/dbraw/zinc/92/02/93/624920293.db2.gz AAQNSWVPODYBAD-ZDUSSCGKSA-N 0 1 322.355 3.116 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786553550 624920351 /nfs/dbraw/zinc/92/03/51/624920351.db2.gz VXBBEIOSYXXRHO-IAGOWNOFSA-N 0 1 314.429 3.392 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786553549 624920403 /nfs/dbraw/zinc/92/04/03/624920403.db2.gz VXBBEIOSYXXRHO-DLBZAZTESA-N 0 1 314.429 3.392 20 30 DGEDMN N#CCc1ccc(COC(=O)[C@H]2CCCN2C2CCCC2)cc1 ZINC000786881862 624960721 /nfs/dbraw/zinc/96/07/21/624960721.db2.gz VEHSVHCSTVEQFS-GOSISDBHSA-N 0 1 312.413 3.203 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NN[C@@H]1CCOC1 ZINC000788439836 625172282 /nfs/dbraw/zinc/17/22/82/625172282.db2.gz NTWWMCMLYKEKSM-XJKSGUPXSA-N 0 1 307.413 3.020 20 30 DGEDMN O=C1COc2ccc(C=NNc3c(F)cccc3Cl)cc2N1 ZINC000788722755 625251797 /nfs/dbraw/zinc/25/17/97/625251797.db2.gz DHNFIEZQDDGEFL-UHFFFAOYSA-N 0 1 319.723 3.256 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000791074743 625750159 /nfs/dbraw/zinc/75/01/59/625750159.db2.gz UJJYOKWLMPWONF-JKSUJKDBSA-N 0 1 321.852 3.044 20 30 DGEDMN C#C[C@H](CCC)NC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000791742491 625850797 /nfs/dbraw/zinc/85/07/97/625850797.db2.gz HFPXORUOOYRPFD-SECBINFHSA-N 0 1 307.806 3.323 20 30 DGEDMN CC1CCN(C(=O)OC[C@H]2CCCCN2CCCC#N)CC1 ZINC000796548793 626061385 /nfs/dbraw/zinc/06/13/85/626061385.db2.gz ZBWUTRYVHSEIHG-MRXNPFEDSA-N 0 1 307.438 3.013 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000796639349 626068731 /nfs/dbraw/zinc/06/87/31/626068731.db2.gz AWECGOKVPNBJMH-GOSISDBHSA-N 0 1 301.336 3.134 20 30 DGEDMN CC1(C)CC(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)C1 ZINC000796801050 626084591 /nfs/dbraw/zinc/08/45/91/626084591.db2.gz VOIHSRSAMWORTC-LLVKDONJSA-N 0 1 304.777 3.424 20 30 DGEDMN C=CCCOC(=O)[C@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000796853189 626090094 /nfs/dbraw/zinc/09/00/94/626090094.db2.gz FEDOGVNGUHYHAN-OAHLLOKOSA-N 0 1 309.356 3.263 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC1CN(C2CC2)C[C@@H]1C ZINC000797405410 626140939 /nfs/dbraw/zinc/14/09/39/626140939.db2.gz VCFLMTMCYUITQL-JTQLQIEISA-N 0 1 307.829 3.135 20 30 DGEDMN COc1ccccc1-n1nccc1CNCc1ccc(C#N)cc1 ZINC000797465060 626144786 /nfs/dbraw/zinc/14/47/86/626144786.db2.gz KXQADKACYWZCQI-UHFFFAOYSA-N 0 1 318.380 3.042 20 30 DGEDMN C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000797548923 626152156 /nfs/dbraw/zinc/15/21/56/626152156.db2.gz SMKVGJMHZLFNHG-MGHWNKPDSA-N 0 1 324.424 3.194 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CC[C@@H]2CCOC2)s1 ZINC000797568125 626153829 /nfs/dbraw/zinc/15/38/29/626153829.db2.gz FOLSZHCODQAPRJ-NEPJUHHUSA-N 0 1 306.431 3.434 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@H]1CCC(F)(F)C1 ZINC000797941297 626182720 /nfs/dbraw/zinc/18/27/20/626182720.db2.gz PNQCCNPLDFLPQD-OLZOCXBDSA-N 0 1 314.376 3.217 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C[C@@H]2CCC(F)(F)C2)c1 ZINC000797942860 626183046 /nfs/dbraw/zinc/18/30/46/626183046.db2.gz JRHBJDGETKUQGO-GXTWGEPZSA-N 0 1 320.339 3.468 20 30 DGEDMN COc1cc2c(cc1F)CCC2=NN=c1[nH]c2ccccc2n1C ZINC000799108952 626272707 /nfs/dbraw/zinc/27/27/07/626272707.db2.gz PYQZVXXLBAMSFB-UHFFFAOYSA-N 0 1 324.359 3.483 20 30 DGEDMN COc1cc2c(cc1F)CCC2N=Nc1nc2ccccc2n1C ZINC000799108952 626272708 /nfs/dbraw/zinc/27/27/08/626272708.db2.gz PYQZVXXLBAMSFB-UHFFFAOYSA-N 0 1 324.359 3.483 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2cc(C(C)C)c(C)cc2O)C1=O ZINC000799144320 626275252 /nfs/dbraw/zinc/27/52/52/626275252.db2.gz XRLMEHDIHJULRS-QGZVFWFLSA-N 0 1 316.445 3.043 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCC(C)CC2)cc1C ZINC000799458949 626302516 /nfs/dbraw/zinc/30/25/16/626302516.db2.gz XRGDQHFTHOSJHJ-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN CC(N=Nc1ccc(C#N)cc1C(F)(F)F)c1ccnn1C ZINC000799491978 626305288 /nfs/dbraw/zinc/30/52/88/626305288.db2.gz OXPUSHXFAPFMDC-UHFFFAOYSA-N 0 1 307.279 3.147 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1CCCC(F)(F)C1 ZINC000800385040 626355301 /nfs/dbraw/zinc/35/53/01/626355301.db2.gz QEFBYTDQPJGZAM-STQMWFEESA-N 0 1 314.376 3.217 20 30 DGEDMN CCOc1ccccc1CN=Nc1cccc(F)c1C(=O)OC ZINC000800818460 626376991 /nfs/dbraw/zinc/37/69/91/626376991.db2.gz ZBSLINCYJHHSQC-UHFFFAOYSA-N 0 1 316.332 3.457 20 30 DGEDMN CC[C@H](NCCO[C@@H](C)C#N)c1nc(C(F)(F)F)cs1 ZINC000801939562 626427056 /nfs/dbraw/zinc/42/70/56/626427056.db2.gz SNEQMQUKBGZMSM-IUCAKERBSA-N 0 1 307.341 3.131 20 30 DGEDMN CC[C@@H](NCCO[C@H](C)C#N)c1nc(C(F)(F)F)cs1 ZINC000801939563 626427104 /nfs/dbraw/zinc/42/71/04/626427104.db2.gz SNEQMQUKBGZMSM-RKDXNWHRSA-N 0 1 307.341 3.131 20 30 DGEDMN N#CC(C(=O)Cc1ccc(Cl)s1)C(=O)NC1CCCCC1 ZINC000802042106 626429525 /nfs/dbraw/zinc/42/95/25/626429525.db2.gz AGPCZIUFQLTUDP-GFCCVEGCSA-N 0 1 324.833 3.102 20 30 DGEDMN COc1ccc(N=NCc2ccc(C)cc2-c2cnn(C)c2)nc1 ZINC000802712058 626567274 /nfs/dbraw/zinc/56/72/74/626567274.db2.gz GPOIIMOZMICZTP-UHFFFAOYSA-N 0 1 321.384 3.245 20 30 DGEDMN C=CCC[C@@H](COc1ccccc1)NCc1nnc(C(C)C)[nH]1 ZINC000883225388 626615016 /nfs/dbraw/zinc/61/50/16/626615016.db2.gz GBBLOZMLXXRIRU-HNNXBMFYSA-N 0 1 314.433 3.432 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1csc(-c2ccc(F)cc2)n1 ZINC000883401296 626673798 /nfs/dbraw/zinc/67/37/98/626673798.db2.gz JIVUJZJFESBRRQ-AWEZNQCLSA-N 0 1 306.406 3.366 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000804459438 626682737 /nfs/dbraw/zinc/68/27/37/626682737.db2.gz VSNWECRPNILFTH-HNNXBMFYSA-N 0 1 321.355 3.066 20 30 DGEDMN CO[C@H]1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CC1(C)C ZINC000840122090 626777347 /nfs/dbraw/zinc/77/73/47/626777347.db2.gz AELFNUVLQXCXKD-SFHVURJKSA-N 0 1 324.428 3.126 20 30 DGEDMN CC(N=Nc1cccc([N+](=O)[O-])c1)c1cccc(-n2ccnn2)c1 ZINC000807944620 626779298 /nfs/dbraw/zinc/77/92/98/626779298.db2.gz IFDKDHHUOCTGOV-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN C=CCCCCCNC(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000884390572 626874709 /nfs/dbraw/zinc/87/47/09/626874709.db2.gz ZERGKZDAEUFNPL-MRXNPFEDSA-N 0 1 300.402 3.165 20 30 DGEDMN Cc1cc(N2CC[C@](O)(CC#N)C2)c2ccc(C)c(Cl)c2n1 ZINC000884542490 626899615 /nfs/dbraw/zinc/89/96/15/626899615.db2.gz FJVFKUKBQJFAEK-QGZVFWFLSA-N 0 1 315.804 3.360 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@H](C3CCC3)C2)c1O ZINC000840175608 626912729 /nfs/dbraw/zinc/91/27/29/626912729.db2.gz LRRZKJIDCJRVGL-SFHVURJKSA-N 0 1 317.429 3.130 20 30 DGEDMN C#Cc1ccc(CN2CC[C@@H](N(C)C(=O)OC(C)(C)C)C2)cc1 ZINC000809544709 626918058 /nfs/dbraw/zinc/91/80/58/626918058.db2.gz SKTMQGHFDSYKFU-QGZVFWFLSA-N 0 1 314.429 3.109 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(Cl)cn3)CC2)cc1 ZINC000809545519 626918599 /nfs/dbraw/zinc/91/85/99/626918599.db2.gz FDLVEMQFKPYRRD-UHFFFAOYSA-N 0 1 311.816 3.039 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN(C)CCC2CC2)cc1C ZINC000135277375 626918739 /nfs/dbraw/zinc/91/87/39/626918739.db2.gz IPXYJLHXLVHSRO-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN COCc1cc(C#N)ccc1N1CCC(c2nc(C)c(C)[nH]2)CC1 ZINC000884706242 626931878 /nfs/dbraw/zinc/93/18/78/626931878.db2.gz WKZUVSOLRKLAQI-UHFFFAOYSA-N 0 1 324.428 3.429 20 30 DGEDMN Cc1nc(C2CCN(c3ccc(Cl)c(C#N)n3)CC2)[nH]c1C ZINC000884708103 626932209 /nfs/dbraw/zinc/93/22/09/626932209.db2.gz MVTPMLJJEXMDTB-UHFFFAOYSA-N 0 1 315.808 3.331 20 30 DGEDMN C#Cc1ccc(CN2CCC(Oc3ncccc3F)CC2)cc1 ZINC000809655203 626933179 /nfs/dbraw/zinc/93/31/79/626933179.db2.gz DKEKAMAROSXNMZ-UHFFFAOYSA-N 0 1 310.372 3.245 20 30 DGEDMN C=CCC1(O)CCN(c2ccnc3cc(F)c(OC)cc32)CC1 ZINC000884724308 626935850 /nfs/dbraw/zinc/93/58/50/626935850.db2.gz OXNLQXXEJSHVKL-UHFFFAOYSA-N 0 1 316.376 3.290 20 30 DGEDMN C#C[C@@H](CC)NCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000809686378 626935856 /nfs/dbraw/zinc/93/58/56/626935856.db2.gz OUIFNDDCOIQINO-JKSUJKDBSA-N 0 1 307.821 3.399 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cccc(C)c1O ZINC000809702199 626938547 /nfs/dbraw/zinc/93/85/47/626938547.db2.gz QUTZOBNRFYDIGP-UHFFFAOYSA-N 0 1 301.386 3.364 20 30 DGEDMN CCC[C@H](NCc1nc(C#N)cs1)[C@@H](O)c1ccccc1 ZINC000810155893 626982286 /nfs/dbraw/zinc/98/22/86/626982286.db2.gz LDZSUAVOZJQOCP-HOCLYGCPSA-N 0 1 301.415 3.007 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)c(Cl)c1 ZINC000885669422 627041394 /nfs/dbraw/zinc/04/13/94/627041394.db2.gz FFKWWYOTEMXZGO-GWCFXTLKSA-N 0 1 313.788 3.349 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)c(Cl)c1 ZINC000885669428 627041586 /nfs/dbraw/zinc/04/15/86/627041586.db2.gz FFLAWGYUKNVUNH-MGPLVRAMSA-N 0 1 313.788 3.349 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OC[C@H]2CC[C@@H](C#N)CC2)C1 ZINC000810879640 627060197 /nfs/dbraw/zinc/06/01/97/627060197.db2.gz BZBVZERJYCYHSP-VBQJREDUSA-N 0 1 310.413 3.072 20 30 DGEDMN N#CC1(C[C@@H](O)CN[C@H](c2ccccc2)c2ccccn2)CCC1 ZINC000886046913 627093091 /nfs/dbraw/zinc/09/30/91/627093091.db2.gz SGCMJLVHAIHROL-IEBWSBKVSA-N 0 1 321.424 3.205 20 30 DGEDMN N#CC(C(=O)C1CCC(F)(F)CC1)c1nnc2n1CCCCC2 ZINC000137089139 627105212 /nfs/dbraw/zinc/10/52/12/627105212.db2.gz GFVNPKZRBNATRT-LBPRGKRZSA-N 0 1 322.359 3.006 20 30 DGEDMN C=C(C)CN(CCSC(F)(F)F)Cc1ccc(CO)o1 ZINC000886203310 627120800 /nfs/dbraw/zinc/12/08/00/627120800.db2.gz RXEPTBAECPYFES-UHFFFAOYSA-N 0 1 309.353 3.403 20 30 DGEDMN N#Cc1ccc(C2CCN(CCSC(F)(F)F)CC2)nc1 ZINC000886295744 627132514 /nfs/dbraw/zinc/13/25/14/627132514.db2.gz KBVJOFCBCCQZTD-UHFFFAOYSA-N 0 1 315.364 3.386 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3ncccn3)C2)c(Cl)c1 ZINC000886685914 627189599 /nfs/dbraw/zinc/18/95/99/627189599.db2.gz GIODZORYBOQMTC-HNNXBMFYSA-N 0 1 312.804 3.381 20 30 DGEDMN CC[C@@H](NCc1ccc(SC)c(C#N)c1)c1cnn(C)c1 ZINC000886687869 627190228 /nfs/dbraw/zinc/19/02/28/627190228.db2.gz GRDDUSUNGUQIIQ-OAHLLOKOSA-N 0 1 300.431 3.255 20 30 DGEDMN Cc1csc(C[C@@H]2CCCN(Cc3ccc(C#N)o3)C2)n1 ZINC000886689754 627190876 /nfs/dbraw/zinc/19/08/76/627190876.db2.gz HANGOVXQNLBJCB-ZDUSSCGKSA-N 0 1 301.415 3.371 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc(SC)c(OC)c2)CC1 ZINC000886763956 627201748 /nfs/dbraw/zinc/20/17/48/627201748.db2.gz ILJINAHVZYJRCG-UHFFFAOYSA-N 0 1 307.459 3.320 20 30 DGEDMN C[C@@H](N[C@@H]1CCc2c1cccc2F)C(=O)Nc1ccccc1C#N ZINC000886924298 627214638 /nfs/dbraw/zinc/21/46/38/627214638.db2.gz VEAHOEQWORPSME-KZULUSFZSA-N 0 1 323.371 3.301 20 30 DGEDMN N#Cc1cc(Cl)ccc1CNCC1(C2(O)CCC2)CCC1 ZINC000886979770 627217562 /nfs/dbraw/zinc/21/75/62/627217562.db2.gz YZNCNRVHFSSJHO-UHFFFAOYSA-N 0 1 304.821 3.387 20 30 DGEDMN C#CCC1(NCc2cc(-c3cccs3)on2)CCOCC1 ZINC000887125584 627227185 /nfs/dbraw/zinc/22/71/85/627227185.db2.gz BKTATHHSACDBOB-UHFFFAOYSA-N 0 1 302.399 3.065 20 30 DGEDMN C#CCCOc1ccc(CN2CC(C)(C)[C@H]2[C@H]2CCCO2)cc1 ZINC000811510612 627285079 /nfs/dbraw/zinc/28/50/79/627285079.db2.gz LBMSNYBGBFPEPV-RTBURBONSA-N 0 1 313.441 3.478 20 30 DGEDMN Cc1cc(C)nc(N=NC2CCN(Cc3ccccc3)[C@H]2C)n1 ZINC000811628797 627296567 /nfs/dbraw/zinc/29/65/67/627296567.db2.gz MQPZNTCIZLMWAD-HNNXBMFYSA-N 0 1 309.417 3.156 20 30 DGEDMN Cc1ccc(NN=Cc2ccc(C#N)cc2C(F)(F)F)nn1 ZINC000811641998 627297904 /nfs/dbraw/zinc/29/79/04/627297904.db2.gz VNTRPNWISPEONR-UHFFFAOYSA-N 0 1 305.263 3.122 20 30 DGEDMN C=C(C)CNN=C(C)c1ccc(N2CCOCC2)cc1Cl ZINC000811651440 627300319 /nfs/dbraw/zinc/30/03/19/627300319.db2.gz XSFUXWRMZOHPBA-UHFFFAOYSA-N 0 1 307.825 3.066 20 30 DGEDMN C[C@H]1CC(N=NC[C@@H]2CCSC2)CN(Cc2ccccc2)C1 ZINC000811660697 627301675 /nfs/dbraw/zinc/30/16/75/627301675.db2.gz SQFGYEKYHGZNGO-RDJZCZTQSA-N 0 1 317.502 3.227 20 30 DGEDMN C=C1CCC(C(=O)Nc2ccccc2N2CCN(C)CC2)CC1 ZINC000887728439 627315004 /nfs/dbraw/zinc/31/50/04/627315004.db2.gz NHLHQMYKLZQHAP-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000811748066 627316274 /nfs/dbraw/zinc/31/62/74/627316274.db2.gz JEKDYPXLLFZFCT-IAGOWNOFSA-N 0 1 320.477 3.182 20 30 DGEDMN C=CCCCCCCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000811927506 627337758 /nfs/dbraw/zinc/33/77/58/627337758.db2.gz UNMDEEBXYUMBIC-AWEZNQCLSA-N 0 1 304.438 3.093 20 30 DGEDMN C(=NNc1ncnc2[nH]cnc21)c1ccc(C2CCCC2)cc1 ZINC000812227261 627361589 /nfs/dbraw/zinc/36/15/89/627361589.db2.gz WPFPSWCKYVZJFE-UHFFFAOYSA-N 0 1 306.373 3.457 20 30 DGEDMN C=CCCCCCCCNC(=O)N1CCN(C2CCC2)CC1 ZINC000812493339 627391875 /nfs/dbraw/zinc/39/18/75/627391875.db2.gz GIDKVLRMXWXDIS-UHFFFAOYSA-N 0 1 307.482 3.393 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H](c1ccccc1)N(C)CC)c1ccc(F)cc1 ZINC000812750193 627416320 /nfs/dbraw/zinc/41/63/20/627416320.db2.gz NQHHLXJDXOUKBA-RBUKOAKNSA-N 0 1 324.399 3.309 20 30 DGEDMN C[C@H](c1ccccc1)N1CC[C@@](F)(COC(=O)CCCC#N)C1 ZINC000813086504 627447250 /nfs/dbraw/zinc/44/72/50/627447250.db2.gz LDIJKQVQDCMATQ-QAPCUYQASA-N 0 1 318.392 3.399 20 30 DGEDMN N#CC(C(=O)C=Cc1cccnc1)c1cc(C(F)(F)F)ccn1 ZINC000813212944 627467507 /nfs/dbraw/zinc/46/75/07/627467507.db2.gz GQYDDIQBMCHENJ-SCOBNMCVSA-N 0 1 317.270 3.385 20 30 DGEDMN N#CC(C(=O)C=Cc1cccnc1)c1cc(C(F)(F)F)ccn1 ZINC000813212942 627467521 /nfs/dbraw/zinc/46/75/21/627467521.db2.gz GQYDDIQBMCHENJ-ITDFMYJTSA-N 0 1 317.270 3.385 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1C[C@@H]1C(F)F)c1cc(C(F)(F)F)ccn1 ZINC000813213407 627467569 /nfs/dbraw/zinc/46/75/69/627467569.db2.gz ITWJVVJCNIYGIN-XHNCKOQMSA-N 0 1 304.218 3.178 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813212091 627467608 /nfs/dbraw/zinc/46/76/08/627467608.db2.gz WZGXPMVDQHJZRE-MWLCHTKSSA-N 0 1 314.332 3.420 20 30 DGEDMN N#C[C@H](C(=O)C[C@H]1CCCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813215693 627468144 /nfs/dbraw/zinc/46/81/44/627468144.db2.gz AYCMJJRJWMSCSH-NEPJUHHUSA-N 0 1 312.291 3.236 20 30 DGEDMN N#CC(C(=O)C1CCC(=O)CC1)c1cc(C(F)(F)F)ccn1 ZINC000813216499 627468279 /nfs/dbraw/zinc/46/82/79/627468279.db2.gz UAGSRNMQNDTNQT-GFCCVEGCSA-N 0 1 310.275 3.036 20 30 DGEDMN N#CC(C(=O)CC1CCOCC1)c1cc(C(F)(F)F)ccn1 ZINC000813214937 627468313 /nfs/dbraw/zinc/46/83/13/627468313.db2.gz SCGHENREPIMXEE-GFCCVEGCSA-N 0 1 312.291 3.093 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)OCc1nnc(C(C)(C)C)[nH]1 ZINC000814465402 627568728 /nfs/dbraw/zinc/56/87/28/627568728.db2.gz PGKCLUVSMOEGRR-LBPRGKRZSA-N 0 1 324.425 3.117 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)OCc1n[nH]c(C(C)(C)C)n1 ZINC000814465402 627568732 /nfs/dbraw/zinc/56/87/32/627568732.db2.gz PGKCLUVSMOEGRR-LBPRGKRZSA-N 0 1 324.425 3.117 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000814644748 627581445 /nfs/dbraw/zinc/58/14/45/627581445.db2.gz QPMRLUXQBLTOCR-UHFFFAOYSA-N 0 1 314.857 3.462 20 30 DGEDMN CC(=[NH+]Nc1ccc(C(=O)[O-])cc1)c1ccc(Cl)c(N)c1 ZINC000814680977 627583255 /nfs/dbraw/zinc/58/32/55/627583255.db2.gz QXUPDEKSQBYJKB-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN COc1ccc(F)c(C=NNc2ncnc3sccc32)c1 ZINC000814765399 627589220 /nfs/dbraw/zinc/58/92/20/627589220.db2.gz FGVNDUPTDYRRSF-UHFFFAOYSA-N 0 1 302.334 3.285 20 30 DGEDMN CC(N=Nc1ccc(Cl)cc1[N+](=O)[O-])c1ccc(N)nc1 ZINC000814809603 627594009 /nfs/dbraw/zinc/59/40/09/627594009.db2.gz HXKYVQOTCSZGLP-UHFFFAOYSA-N 0 1 305.725 3.062 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1ccc(-n2c(C)c[nH]c2=O)cc1 ZINC000814831503 627596449 /nfs/dbraw/zinc/59/64/49/627596449.db2.gz FBBHEZWJEGEWOO-UHFFFAOYSA-N 0 1 321.384 3.426 20 30 DGEDMN Cc1cc2c(cc1C)C(N=Nc1cnnc3ccccc13)CO2 ZINC000814849300 627597880 /nfs/dbraw/zinc/59/78/80/627597880.db2.gz PKQRJDGCCVRMHI-UHFFFAOYSA-N 0 1 304.353 3.455 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1cn(-c2ccccc2)nn1 ZINC000814859257 627599382 /nfs/dbraw/zinc/59/93/82/627599382.db2.gz MXVFYSBKUKKPBT-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN Cc1ccnc(N=NCc2ccc(Cl)cc2-n2cncn2)c1 ZINC000814868435 627600098 /nfs/dbraw/zinc/60/00/98/627600098.db2.gz SDMOVACBJOEGCX-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN COc1ccc(N=NC(C)c2cc(N)ccc2F)c([N+](=O)[O-])c1 ZINC000814880477 627602111 /nfs/dbraw/zinc/60/21/11/627602111.db2.gz FSBFPKMVTMQOTE-UHFFFAOYSA-N 0 1 318.308 3.161 20 30 DGEDMN CCCC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(OC)cc1 ZINC000814885927 627602872 /nfs/dbraw/zinc/60/28/72/627602872.db2.gz MZQQAMIDTDZMAV-IRXDYDNUSA-N 0 1 314.433 3.059 20 30 DGEDMN COc1ccc(N=NCc2ccc3ccc(F)c(F)c3n2)nc1 ZINC000814908144 627606318 /nfs/dbraw/zinc/60/63/18/627606318.db2.gz IWPSGIJDBGEXDF-UHFFFAOYSA-N 0 1 314.295 3.363 20 30 DGEDMN COc1ccc(F)c(C=NNc2nccnc2C2CCC2)c1 ZINC000814931128 627610025 /nfs/dbraw/zinc/61/00/25/627610025.db2.gz JRJNWRHIAVOGJF-UHFFFAOYSA-N 0 1 300.337 3.338 20 30 DGEDMN CC(C)OCCON=C1CCC[N@@H+](Cc2ccccc2)[C@H]1C ZINC000814937004 627610888 /nfs/dbraw/zinc/61/08/88/627610888.db2.gz QRGZDCPSYWUOJO-INIZCTEOSA-N 0 1 304.434 3.469 20 30 DGEDMN CC(C)OCCON=C1CCCN(Cc2ccccc2)[C@H]1C ZINC000814937004 627610892 /nfs/dbraw/zinc/61/08/92/627610892.db2.gz QRGZDCPSYWUOJO-INIZCTEOSA-N 0 1 304.434 3.469 20 30 DGEDMN Cc1cc(C)n2c(CN=Nc3ccc(F)c(F)c3F)cnc2n1 ZINC000814946441 627612717 /nfs/dbraw/zinc/61/27/17/627612717.db2.gz MUNATHDYSSYEKK-UHFFFAOYSA-N 0 1 319.290 3.209 20 30 DGEDMN Cn1nncc1NN=Cc1c2ccccc2[nH]c1-c1ccccc1 ZINC000814982748 627615913 /nfs/dbraw/zinc/61/59/13/627615913.db2.gz NQYCXLQDBIIEPB-UHFFFAOYSA-N 0 1 316.368 3.409 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H](C)C2CCCCC2)n1 ZINC000815102537 627627037 /nfs/dbraw/zinc/62/70/37/627627037.db2.gz KAXLMYFZVMNLBJ-QGZVFWFLSA-N 0 1 317.477 3.181 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC000815149985 627638127 /nfs/dbraw/zinc/63/81/27/627638127.db2.gz IGRVWFTXXNDRQT-INIZCTEOSA-N 0 1 322.399 3.460 20 30 DGEDMN C[C@H](NC(=O)c1ccc(C#N)o1)c1nc2ccc(Cl)cc2[nH]1 ZINC000815570885 627685428 /nfs/dbraw/zinc/68/54/28/627685428.db2.gz OFOSTAWYRSRSAS-QMMMGPOBSA-N 0 1 314.732 3.172 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3ccc(F)cc3)ns2)o1 ZINC000815587332 627688427 /nfs/dbraw/zinc/68/84/27/627688427.db2.gz HFBIJZRLAHCFAB-UHFFFAOYSA-N 0 1 314.301 3.061 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ncoc2C2CC2)cc1 ZINC000815908187 627712166 /nfs/dbraw/zinc/71/21/66/627712166.db2.gz VIFHJLLYXZTJOA-CQSZACIVSA-N 0 1 323.352 3.076 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1cnn(C2CCC2)c1 ZINC000925369409 627731517 /nfs/dbraw/zinc/73/15/17/627731517.db2.gz SZXONJMSEPUIOC-CQSZACIVSA-N 0 1 310.401 3.361 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccnn2CC2CCC2)cc1C#N ZINC000925594931 627760854 /nfs/dbraw/zinc/76/08/54/627760854.db2.gz PADZKXUJMISNOQ-CQSZACIVSA-N 0 1 324.428 3.414 20 30 DGEDMN CC[C@H](c1ccc(C#N)cc1)N1CCOc2ccc(N)cc2C1 ZINC000925755430 627789190 /nfs/dbraw/zinc/78/91/90/627789190.db2.gz VMWGSUZKWKZNFC-GOSISDBHSA-N 0 1 307.397 3.486 20 30 DGEDMN C[C@@H](NC[C@]1(C(F)(F)F)CCCN1)c1ccc(C#N)c(F)c1 ZINC000925858654 627807269 /nfs/dbraw/zinc/80/72/69/627807269.db2.gz XWVKOQINUARPFB-YGRLFVJLSA-N 0 1 315.314 3.032 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCOC[C@H]2CC2CCC2)cc1 ZINC000816667847 627823348 /nfs/dbraw/zinc/82/33/48/627823348.db2.gz YGENVVNGMMFUDH-CRAIPNDOSA-N 0 1 314.429 3.368 20 30 DGEDMN C=C1CCC(CNC(=O)N2CCC[C@H](c3cc(C)[nH]n3)C2)CC1 ZINC000926544142 627911822 /nfs/dbraw/zinc/91/18/22/627911822.db2.gz UUKQKTNIBABGPD-INIZCTEOSA-N 0 1 316.449 3.354 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)[C@@H]1CC12CC2 ZINC000817713076 627937133 /nfs/dbraw/zinc/93/71/33/627937133.db2.gz AGFXQITVRAVLIF-UWVGGRQHSA-N 0 1 323.179 3.441 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N1CCN(c2ccc(F)cc2C#N)CC1 ZINC000926809176 627959484 /nfs/dbraw/zinc/95/94/84/627959484.db2.gz WEUFIXHWKMPFEO-AWEZNQCLSA-N 0 1 314.408 3.148 20 30 DGEDMN C#CCN1CCC[C@H](N[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000926868099 627966098 /nfs/dbraw/zinc/96/60/98/627966098.db2.gz MRFSXXSQHQKKMH-FPOVZHCZSA-N 0 1 319.452 3.167 20 30 DGEDMN CC(C)[C@@H](N[C@@H](C)c1ccc(C#N)cc1F)c1cnn(C)c1 ZINC000927068383 628006853 /nfs/dbraw/zinc/00/68/53/628006853.db2.gz IVQBSMCVMRBXRM-YVEFUNNKSA-N 0 1 300.381 3.479 20 30 DGEDMN Cc1nn(-c2ccccn2)c(Cl)c1CN[C@@H]1CC[C@H](C#N)C1 ZINC000818230753 628007259 /nfs/dbraw/zinc/00/72/59/628007259.db2.gz MKCKAPUUAMZTCM-QWHCGFSZSA-N 0 1 315.808 3.011 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cc(C#N)ccn2)c(C)c1OC ZINC000927121079 628020892 /nfs/dbraw/zinc/02/08/92/628020892.db2.gz BHPRFWJPOAGUJS-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CC)C2CCC(C)CC2)CC1 ZINC000928654218 628173119 /nfs/dbraw/zinc/17/31/19/628173119.db2.gz RNZNRYPQPSPGAU-HTWSVDAQSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CC)CC2CCCCC2)CC1 ZINC000928655127 628173143 /nfs/dbraw/zinc/17/31/43/628173143.db2.gz LEVMAUXVSFQQBR-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN Cc1ccc(F)c(N=NC(C)c2ccc(-c3nn[nH]n3)cc2)c1 ZINC000819772745 628188575 /nfs/dbraw/zinc/18/85/75/628188575.db2.gz KVPQAYKQAPMYKO-UHFFFAOYSA-N 0 1 310.336 3.150 20 30 DGEDMN CC(=NNc1ncc(Br)cc1C)c1c[nH]nc1C ZINC000819828887 628194866 /nfs/dbraw/zinc/19/48/66/628194866.db2.gz TXWKFRRUJHXRNC-UHFFFAOYSA-N 0 1 308.183 3.020 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H]1C[C@H]2COC[C@H]2O1 ZINC000929131199 628214154 /nfs/dbraw/zinc/21/41/54/628214154.db2.gz NXCVVDXTKFQXBQ-SGMGOOAPSA-N 0 1 313.850 3.193 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H]1CCC2(COC2)O1 ZINC000929130377 628214176 /nfs/dbraw/zinc/21/41/76/628214176.db2.gz VAGDCZPUBUHODQ-GFCCVEGCSA-N 0 1 313.850 3.338 20 30 DGEDMN C[C@H](C[N@H+](C)Cc1ccc(C#N)cc1)C(=O)c1ccc([O-])cc1 ZINC000929165716 628218685 /nfs/dbraw/zinc/21/86/85/628218685.db2.gz WTZCCFBHDFQKDD-CQSZACIVSA-N 0 1 308.381 3.215 20 30 DGEDMN C[C@H](C[N@@H+](C)Cc1ccc(C#N)cc1)C(=O)c1ccc([O-])cc1 ZINC000929165716 628218689 /nfs/dbraw/zinc/21/86/89/628218689.db2.gz WTZCCFBHDFQKDD-CQSZACIVSA-N 0 1 308.381 3.215 20 30 DGEDMN CCN(CC)c1ccc(CN(C)Cc2cncc(C#N)c2)cc1 ZINC000929183510 628221695 /nfs/dbraw/zinc/22/16/95/628221695.db2.gz AXZVIJFKSPAHFP-UHFFFAOYSA-N 0 1 308.429 3.431 20 30 DGEDMN C#CCCCCC(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC000820139575 628228769 /nfs/dbraw/zinc/22/87/69/628228769.db2.gz HQVJLJATWSVESR-UHFFFAOYSA-N 0 1 319.368 3.152 20 30 DGEDMN N#CC[C@@H]1CC[C@H](NC(=O)c2cccc3nc(C(F)F)[nH]c32)C1 ZINC000821196711 628345453 /nfs/dbraw/zinc/34/54/53/628345453.db2.gz RTJKGRVSIFUHQS-UWVGGRQHSA-N 0 1 318.327 3.313 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC[C@@H](C)[C@@H]2C)o1 ZINC000824352758 628653958 /nfs/dbraw/zinc/65/39/58/628653958.db2.gz LTFTVXJBDYZHTI-ZJUUUORDSA-N 0 1 317.397 3.202 20 30 DGEDMN C[C@H](NC(=O)[O-])[C@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000825256686 628743698 /nfs/dbraw/zinc/74/36/98/628743698.db2.gz ZIJQAOIKKRTHQT-ZFWWWQNUSA-N 0 1 320.820 3.060 20 30 DGEDMN N#CCC[C@@H](NCc1cc(-c2nn[nH]n2)cs1)c1ccccc1 ZINC000826381625 628850083 /nfs/dbraw/zinc/85/00/83/628850083.db2.gz SILPRCJEECIGSP-OAHLLOKOSA-N 0 1 324.413 3.063 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCO[C@@](C)(C3CC3)C2)cc1 ZINC000826877940 628898683 /nfs/dbraw/zinc/89/86/83/628898683.db2.gz OSNODDNLJIMMCV-LJQANCHMSA-N 0 1 313.445 3.037 20 30 DGEDMN C[C@H](C[N@@H+](Cc1ccccc1C(C)(C)C#N)C1CC1)C(=O)[O-] ZINC000827456495 628961266 /nfs/dbraw/zinc/96/12/66/628961266.db2.gz YCPPIUZXVVWMEB-CYBMUJFWSA-N 0 1 300.402 3.173 20 30 DGEDMN C#C[C@@H](NCc1ccc(CSC(F)F)o1)C1CCOCC1 ZINC000827569698 628971896 /nfs/dbraw/zinc/97/18/96/628971896.db2.gz DBTLUAWUOGVZCY-CQSZACIVSA-N 0 1 315.385 3.253 20 30 DGEDMN CCCCCCCCC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829286896 629145998 /nfs/dbraw/zinc/14/59/98/629145998.db2.gz LFUFRGBAWWZGFC-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C#CCn1ccc(C[N@@H+](CC)CCc2ccc(Cl)cc2)n1 ZINC000829322955 629149774 /nfs/dbraw/zinc/14/97/74/629149774.db2.gz ZUTWXHIDLRBZTH-UHFFFAOYSA-N 0 1 301.821 3.234 20 30 DGEDMN C#CCn1ccc(CN(CC)CCc2ccc(Cl)cc2)n1 ZINC000829322955 629149775 /nfs/dbraw/zinc/14/97/75/629149775.db2.gz ZUTWXHIDLRBZTH-UHFFFAOYSA-N 0 1 301.821 3.234 20 30 DGEDMN CC[N@H+](CCC#N)[C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000829692238 629182992 /nfs/dbraw/zinc/18/29/92/629182992.db2.gz CTSVMBDTKGJSNL-LBPRGKRZSA-N 0 1 301.173 3.355 20 30 DGEDMN CC[N@@H+](CCC#N)[C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000829692238 629182995 /nfs/dbraw/zinc/18/29/95/629182995.db2.gz CTSVMBDTKGJSNL-LBPRGKRZSA-N 0 1 301.173 3.355 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cccc(C(=O)OC)c2Cl)C1 ZINC000829816130 629197793 /nfs/dbraw/zinc/19/77/93/629197793.db2.gz ZYAAZXGPOMURMW-CYBMUJFWSA-N 0 1 305.805 3.362 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)OC(C)(C)C ZINC000830463374 629272553 /nfs/dbraw/zinc/27/25/53/629272553.db2.gz REIATVRKFGFRFD-QGZVFWFLSA-N 0 1 314.429 3.134 20 30 DGEDMN C[N@@H+](Cc1ccc(F)cc1F)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830825444 629308966 /nfs/dbraw/zinc/30/89/66/629308966.db2.gz WQCAWTNYJUCPHU-INIZCTEOSA-N 0 1 316.307 3.094 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(Cl)ccc2OCC#N)C[C@H]1C ZINC000831528057 629385607 /nfs/dbraw/zinc/38/56/07/629385607.db2.gz KSBWBPVDMCOAHZ-IUODEOHRSA-N 0 1 308.809 3.099 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000831687448 629404294 /nfs/dbraw/zinc/40/42/94/629404294.db2.gz QLAGOTQISDWNHF-NEPJUHHUSA-N 0 1 317.172 3.335 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)C3(C#N)CCCC3)C2)n[nH]1 ZINC000831919715 629428694 /nfs/dbraw/zinc/42/86/94/629428694.db2.gz ONWXPAVBGBYNAQ-AWEZNQCLSA-N 0 1 314.433 3.323 20 30 DGEDMN N#CCn1c(=O)c2ccccc2nc1CN1CCCCCCCC1 ZINC000831932356 629429311 /nfs/dbraw/zinc/42/93/11/629429311.db2.gz BXFPBBSBKYHGMV-UHFFFAOYSA-N 0 1 324.428 3.076 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@@H+]2[C@@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928456 629534795 /nfs/dbraw/zinc/53/47/95/629534795.db2.gz RQBQNOFEZZVHAA-NVXWUHKLSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@H+]2[C@@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928456 629534799 /nfs/dbraw/zinc/53/47/99/629534799.db2.gz RQBQNOFEZZVHAA-NVXWUHKLSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C/c2ccoc2C(=O)[O-])cc1 ZINC000833008950 629548265 /nfs/dbraw/zinc/54/82/65/629548265.db2.gz FIBZIRKWAYUUQR-QPJJXVBHSA-N 0 1 322.320 3.368 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H]2Cc2ccccc2)cc1 ZINC000833071335 629554297 /nfs/dbraw/zinc/55/42/97/629554297.db2.gz FPTONKVIVZCVNH-ROUUACIJSA-N 0 1 306.365 3.001 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3)C2)c1 ZINC000833073803 629555577 /nfs/dbraw/zinc/55/55/77/629555577.db2.gz IKDLXXHTGCRAPH-ROUUACIJSA-N 0 1 306.365 3.173 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)Cc1ccccc1CC(=O)[O-] ZINC000833375919 629594523 /nfs/dbraw/zinc/59/45/23/629594523.db2.gz JJPSJAHRMRSCIN-UHFFFAOYSA-N 0 1 320.392 3.374 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)Cc1ccccc1C(=O)[O-] ZINC000833375615 629594718 /nfs/dbraw/zinc/59/47/18/629594718.db2.gz BPNVQNCDHZDZTI-UHFFFAOYSA-N 0 1 306.365 3.445 20 30 DGEDMN CC(=[NH+]Nc1ccc(C(=O)[O-])c(Cl)c1)c1ccc(N)cc1 ZINC000833386486 629597582 /nfs/dbraw/zinc/59/75/82/629597582.db2.gz JKJWXXOTXNWWTK-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN CCS[C@H]1CC[C@H]([N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC000833667863 629638470 /nfs/dbraw/zinc/63/84/70/629638470.db2.gz NAVBRFHGRJEKMQ-KBPBESRZSA-N 0 1 318.442 3.362 20 30 DGEDMN C(CN1CCCCC1)NN=C1CCC(OC2CCCC2)CC1 ZINC000834943321 629811429 /nfs/dbraw/zinc/81/14/29/629811429.db2.gz UPAVULHLGJRZJP-UHFFFAOYSA-N 0 1 307.482 3.320 20 30 DGEDMN COCCON=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834963617 629812813 /nfs/dbraw/zinc/81/28/13/629812813.db2.gz RMSLAQFZLWXVEL-UHFFFAOYSA-N 0 1 304.415 3.381 20 30 DGEDMN COc1ccc(CCNN=C2CCCc3cc(N)ccc32)cc1 ZINC000834959489 629812824 /nfs/dbraw/zinc/81/28/24/629812824.db2.gz SPBBQHKGVBWDPV-UHFFFAOYSA-N 0 1 309.413 3.150 20 30 DGEDMN C[C@H](COc1ccccc1)NN=C1CCCc2cc(N)ccc21 ZINC000834979292 629816959 /nfs/dbraw/zinc/81/69/59/629816959.db2.gz MJGOQCZGTPABOK-CQSZACIVSA-N 0 1 309.413 3.366 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cc(F)c(C)cc2F)nc(C)n1 ZINC000835061037 629841013 /nfs/dbraw/zinc/84/10/13/629841013.db2.gz WDWQZIKJINMHNU-ZDUSSCGKSA-N 0 1 315.323 3.099 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000835063811 629841678 /nfs/dbraw/zinc/84/16/78/629841678.db2.gz AOQQBWYPCTWBLN-DAXOMENPSA-N 0 1 314.385 3.169 20 30 DGEDMN N#Cc1cc(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)ccc1F ZINC000837712072 630301121 /nfs/dbraw/zinc/30/11/21/630301121.db2.gz UTOWDBALLZYEAJ-KRWDZBQOSA-N 0 1 324.355 3.129 20 30 DGEDMN C[C@H](NCC1(C#N)CCC1)[C@@H]1CCCCN1C(=O)OC(C)(C)C ZINC000841897993 630474486 /nfs/dbraw/zinc/47/44/86/630474486.db2.gz JWTUUZXRZXCGQY-GJZGRUSLSA-N 0 1 321.465 3.448 20 30 DGEDMN Cc1cccc(CON=C(N)c2ccc(N3CCCCC3)cc2)n1 ZINC000842033490 630480536 /nfs/dbraw/zinc/48/05/36/630480536.db2.gz VYAJIFMMVKVRIE-UHFFFAOYSA-N 0 1 324.428 3.217 20 30 DGEDMN C[C@H]1CCC[C@H](C)N1CC(N)=NOCc1ccc(CC#N)cc1 ZINC000842049611 630482978 /nfs/dbraw/zinc/48/29/78/630482978.db2.gz VXNCGWSIYBILAY-GJZGRUSLSA-N 0 1 314.433 3.014 20 30 DGEDMN CC[C@H](CC1CCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000842293391 630512097 /nfs/dbraw/zinc/51/20/97/630512097.db2.gz JTADXNTVUZTMOY-HUUCEWRRSA-N 0 1 313.401 3.335 20 30 DGEDMN CC(=NNc1ccc(F)c(F)c1F)c1cc2n(n1)CCCC2 ZINC000842534196 630545333 /nfs/dbraw/zinc/54/53/33/630545333.db2.gz WPUUISITVUXFBS-UHFFFAOYSA-N 0 1 308.307 3.473 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C(=O)C(C)(C)[C@H]2c2ccccc2)C1 ZINC000842630066 630555950 /nfs/dbraw/zinc/55/59/50/630555950.db2.gz WMZAOJOGDCCRMT-SJLPKXTDSA-N 0 1 310.441 3.289 20 30 DGEDMN CCN(CCSC)Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000842643614 630557600 /nfs/dbraw/zinc/55/76/00/630557600.db2.gz FPTHIUUXFFSWCQ-UHFFFAOYSA-N 0 1 300.431 3.064 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)OC3(CCC3)C2)c(O)c(OC)c1 ZINC000842642212 630557845 /nfs/dbraw/zinc/55/78/45/630557845.db2.gz ZKLJQKOPBZDURQ-AWEZNQCLSA-N 0 1 317.429 3.273 20 30 DGEDMN CC[C@H](C/N=C/c1cc(Cl)cc(Cl)c1O)C(=O)OC ZINC000843262584 630638565 /nfs/dbraw/zinc/63/85/65/630638565.db2.gz CEILGTUIOYAXSU-XUNMKLQISA-N 0 1 304.173 3.317 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H](c1ccccc1)N(C)CC)c1ccccc1 ZINC000843640808 630682481 /nfs/dbraw/zinc/68/24/81/630682481.db2.gz HOPRRYPAVLMTHI-RTBURBONSA-N 0 1 306.409 3.170 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)[C@H]2CCCCN2Cc2ccccc2)C1 ZINC000844000056 630706394 /nfs/dbraw/zinc/70/63/94/630706394.db2.gz UIYHMCDJPLKPDC-UYAOXDASSA-N 0 1 324.468 3.303 20 30 DGEDMN C#CC1CCN(C(=O)c2cc(-c3ccc(C)cc3C)[nH]n2)CC1 ZINC000844046448 630707538 /nfs/dbraw/zinc/70/75/38/630707538.db2.gz AFRFUKNGPBOFNM-UHFFFAOYSA-N 0 1 307.397 3.179 20 30 DGEDMN C=C(C)[C@H](NC[C@@H]1CN(C2CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000844322383 630718901 /nfs/dbraw/zinc/71/89/01/630718901.db2.gz MDLOJINQXAICKE-CJNGLKHVSA-N 0 1 322.355 3.155 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000105756616 631057283 /nfs/dbraw/zinc/05/72/83/631057283.db2.gz AYKODMDXFIZHGI-CZUORRHYSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)[C@@H]1CSC[C@H]1c1ccccc1)c1ccccn1 ZINC000845440370 631175085 /nfs/dbraw/zinc/17/50/85/631175085.db2.gz GBNBQZYPNIENHC-HRCADAONSA-N 0 1 308.406 3.405 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CC[C@H](C)CC2)c1 ZINC000845441108 631175152 /nfs/dbraw/zinc/17/51/52/631175152.db2.gz OAGQXGJSMLRQLD-XEZPLFJOSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCC1)c1ccccc1 ZINC000845528710 631180763 /nfs/dbraw/zinc/18/07/63/631180763.db2.gz LGHMYCBCLFHALX-XWTMOSNGSA-N 0 1 324.424 3.399 20 30 DGEDMN N#Cc1cccc(CN2CCC(Nc3cccc(F)n3)CC2)c1 ZINC000845761011 631195380 /nfs/dbraw/zinc/19/53/80/631195380.db2.gz JHXWMPXSYXAXIS-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CC(C)OCCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845915 631199095 /nfs/dbraw/zinc/19/90/95/631199095.db2.gz QLKCANWEGZULMN-MRXNPFEDSA-N 0 1 313.397 3.201 20 30 DGEDMN C[C@@H]1CC(=O)CC[C@H]1C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845919 631199137 /nfs/dbraw/zinc/19/91/37/631199137.db2.gz QMGIKWUIAUXVFZ-KBRIMQKVSA-N 0 1 323.392 3.001 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](c2ccccc2F)[C@@H](C)O)cc1 ZINC000846016360 631206417 /nfs/dbraw/zinc/20/64/17/631206417.db2.gz HNJWNDFNZKSKNQ-AUUYWEPGSA-N 0 1 313.372 3.049 20 30 DGEDMN C=C(C)CONC(=O)Cc1csc(-c2cccc(C)c2)n1 ZINC000846540439 631257448 /nfs/dbraw/zinc/25/74/48/631257448.db2.gz VGHIVQQRPMHJSU-UHFFFAOYSA-N 0 1 302.399 3.285 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N[C@H](C)c2cc3ccccc3o2)C1 ZINC000847027580 631384891 /nfs/dbraw/zinc/38/48/91/631384891.db2.gz VDCGPBWIOOGJCB-BZUAXINKSA-N 0 1 324.424 3.344 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cccc(SC(=O)N(C)C)c2)C1 ZINC000847026929 631385103 /nfs/dbraw/zinc/38/51/03/631385103.db2.gz RCQIOCCCNBDGGH-AWEZNQCLSA-N 0 1 302.443 3.306 20 30 DGEDMN N#CCCCCC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000847624887 631497806 /nfs/dbraw/zinc/49/78/06/631497806.db2.gz YGKLXHBQGPJNMH-NSHDSACASA-N 0 1 303.749 3.071 20 30 DGEDMN N#CCn1c(C(=O)OCc2cc(C3CC3)[nH]n2)cc2ccccc21 ZINC000848014403 631597445 /nfs/dbraw/zinc/59/74/45/631597445.db2.gz UEXWFWODMFBQFE-UHFFFAOYSA-N 0 1 320.352 3.122 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(-c3ccc(Cl)s3)nn2)CN1C ZINC000848414971 631657754 /nfs/dbraw/zinc/65/77/54/631657754.db2.gz QDVZKSKFNCUVEN-SECBINFHSA-N 0 1 321.837 3.350 20 30 DGEDMN CO[C@H](CNCc1ccc(C(C)(C)C#N)cc1)C(F)(F)F ZINC000848536588 631683229 /nfs/dbraw/zinc/68/32/29/631683229.db2.gz RVQMGOUHPTYZTC-CYBMUJFWSA-N 0 1 300.324 3.155 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc(/C=C\C(=O)OC)o1 ZINC000848900189 631778785 /nfs/dbraw/zinc/77/87/85/631778785.db2.gz CSFIXXYOAQBIFM-HJWRWDBZSA-N 0 1 315.369 3.290 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2coc(-c3ccc(C(=O)[O-])cc3)n2)C1 ZINC000849344666 631897545 /nfs/dbraw/zinc/89/75/45/631897545.db2.gz QRACTYFFTIFPHX-CQSZACIVSA-N 0 1 324.380 3.275 20 30 DGEDMN C=CCNC(=O)C(C#N)C(=O)CC1CCC(c2ccccc2)CC1 ZINC000108624570 631909101 /nfs/dbraw/zinc/90/91/01/631909101.db2.gz QIIHMQKGPSIVML-VJFUWPCTSA-N 0 1 324.424 3.362 20 30 DGEDMN CCCCCCCCC[N@@H+](C)Cc1cc(=O)n2[n-]ccc2n1 ZINC000108856575 631961489 /nfs/dbraw/zinc/96/14/89/631961489.db2.gz MYIDFZWULJDOOJ-UHFFFAOYSA-N 0 1 304.438 3.205 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cncc(OC)c2)c1 ZINC000850137136 632064123 /nfs/dbraw/zinc/06/41/23/632064123.db2.gz JUJYBLNNCKORGV-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN C#CCN(CC(=O)NCCC1=CCCCC1)C1CCCCC1 ZINC000109356498 632159118 /nfs/dbraw/zinc/15/91/18/632159118.db2.gz ZFKGKHMLXCQNJA-UHFFFAOYSA-N 0 1 302.462 3.261 20 30 DGEDMN C=CCOCCC[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000850533015 632183094 /nfs/dbraw/zinc/18/30/94/632183094.db2.gz ZPRWNPXIHJSOKM-NSHDSACASA-N 0 1 314.223 3.398 20 30 DGEDMN N#C[C@@H](C(=O)Cn1cc(C2CC2)cn1)c1ccc2ccccc2n1 ZINC000850741180 632210812 /nfs/dbraw/zinc/21/08/12/632210812.db2.gz GOKKHBYZRBFFBD-MRXNPFEDSA-N 0 1 316.364 3.185 20 30 DGEDMN CCOC1(C(=O)[C@H](C#N)c2nc3ccccc3s2)CCC1 ZINC000850740669 632210942 /nfs/dbraw/zinc/21/09/42/632210942.db2.gz ZOZXRRWSKSJKNW-NSHDSACASA-N 0 1 300.383 3.432 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000850755721 632215795 /nfs/dbraw/zinc/21/57/95/632215795.db2.gz HNTRLQMJHLSZTB-RABLLNBGSA-N 0 1 316.788 3.424 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850757136 632217161 /nfs/dbraw/zinc/21/71/61/632217161.db2.gz WTGFKXRGFDKVNZ-YAMSLAJTSA-N 0 1 302.761 3.033 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000850760526 632217924 /nfs/dbraw/zinc/21/79/24/632217924.db2.gz UFDQVTMGHUTJGP-GHMZBOCLSA-N 0 1 304.777 3.424 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC[C@H]3C[C@H]32)cc1 ZINC000850759800 632218294 /nfs/dbraw/zinc/21/82/94/632218294.db2.gz NTHXEBYPSMUAPA-RKTXRCNFSA-N 0 1 310.397 3.333 20 30 DGEDMN CO[C@@H](C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)C1CCCC1 ZINC000850849808 632237066 /nfs/dbraw/zinc/23/70/66/632237066.db2.gz KDEIHNZHAIEJRP-SUMWQHHRSA-N 0 1 311.385 3.253 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000850977414 632275167 /nfs/dbraw/zinc/27/51/67/632275167.db2.gz UMYKHKFYSLWTQB-UONOGXRCSA-N 0 1 318.804 3.101 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000850986011 632278057 /nfs/dbraw/zinc/27/80/57/632278057.db2.gz MACHJLSJILVJJW-SCLBCKFNSA-N 0 1 309.369 3.011 20 30 DGEDMN N#CCCCCCC(=O)OC[C@@H]1CCN1Cc1ccccc1 ZINC000851470828 632420611 /nfs/dbraw/zinc/42/06/11/632420611.db2.gz VPMKOMUXMQZHHA-KRWDZBQOSA-N 0 1 300.402 3.278 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccccc1SCC=C ZINC000852098473 632553293 /nfs/dbraw/zinc/55/32/93/632553293.db2.gz OFWXECQMUWLSGN-QGZVFWFLSA-N 0 1 302.443 3.295 20 30 DGEDMN C[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)[C@@H]1CCO ZINC000852457708 632606123 /nfs/dbraw/zinc/60/61/23/632606123.db2.gz VCPUJMUZSXFHJY-CZUORRHYSA-N 0 1 322.836 3.225 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001032125098 660204583 /nfs/dbraw/zinc/20/45/83/660204583.db2.gz GIRMUHMADNQFLZ-UHFFFAOYSA-N 0 1 306.837 3.195 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2c[nH]c3cccc(F)c32)c1 ZINC000185183614 660205807 /nfs/dbraw/zinc/20/58/07/660205807.db2.gz OHLUXFNUOGHXRY-UHFFFAOYSA-N 0 1 314.341 3.089 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2CC3(CC3)C2)CC1 ZINC000948749296 659154345 /nfs/dbraw/zinc/15/43/45/659154345.db2.gz WNIQBGRJPKHMRO-HNNXBMFYSA-N 0 1 310.869 3.242 20 30 DGEDMN CCCCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174010856 659409804 /nfs/dbraw/zinc/40/98/04/659409804.db2.gz HIVXSBMGYQHCMG-KGLIPLIRSA-N 0 1 301.390 3.335 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C[C@H]1C ZINC000947915764 660236812 /nfs/dbraw/zinc/23/68/12/660236812.db2.gz FPTSFGKIOWSOHS-HIFRSBDPSA-N 0 1 318.848 3.173 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCc1ccc(C(F)F)cc1 ZINC000185791027 660242253 /nfs/dbraw/zinc/24/22/53/660242253.db2.gz GZRZTTQUIMUQQM-GFCCVEGCSA-N 0 1 311.376 3.287 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(CC)oc3ccccc32)[C@H](C)C1 ZINC000945178219 660242823 /nfs/dbraw/zinc/24/28/23/660242823.db2.gz FIUXMVJJKASDOF-GDBMZVCRSA-N 0 1 324.424 3.069 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001015900814 660248024 /nfs/dbraw/zinc/24/80/24/660248024.db2.gz WAFPPYOYSABUHW-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@@H](C)C1 ZINC000945367012 660250408 /nfs/dbraw/zinc/25/04/08/660250408.db2.gz QCZPGEQAFLXLLD-MVYVIFSASA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3ccccc3c2CC)[C@H](C)C1 ZINC000945368587 660250506 /nfs/dbraw/zinc/25/05/06/660250506.db2.gz XKJWGGKYKBKDPH-RHSMWYFYSA-N 0 1 324.424 3.069 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@]2(C)CC=CCC2)CC1(C)C ZINC000995845503 660271647 /nfs/dbraw/zinc/27/16/47/660271647.db2.gz CELLFOPNMODACN-WMLDXEAASA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@H]2C=CCCC2)CC1(C)C ZINC000995952105 660273049 /nfs/dbraw/zinc/27/30/49/660273049.db2.gz RJQIYXMKPDTCRT-GJZGRUSLSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2occc2CC)CC1(C)C ZINC000996240144 660278593 /nfs/dbraw/zinc/27/85/93/660278593.db2.gz PODPNPHKSYNCGZ-ZDUSSCGKSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc[nH]c2C(C)C)CC1(C)C ZINC000996170921 660277217 /nfs/dbraw/zinc/27/72/17/660277217.db2.gz TXJBOAQIICCIKZ-AWEZNQCLSA-N 0 1 323.868 3.331 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc[nH]c2C2CC2)CC1(C)C ZINC000996204481 660277629 /nfs/dbraw/zinc/27/76/29/660277629.db2.gz JBUQELYFKIXXNY-AWEZNQCLSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2ccccc2)CC1(C)C ZINC000996529821 660284339 /nfs/dbraw/zinc/28/43/39/660284339.db2.gz XSQALAQYXLLGGZ-GOEBONIOSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](C)c2ccccc2)CC1(C)C ZINC000996529822 660284386 /nfs/dbraw/zinc/28/43/86/660284386.db2.gz XSQALAQYXLLGGZ-HOCLYGCPSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)cc(F)c2)CC1(C)C ZINC000996343358 660279808 /nfs/dbraw/zinc/27/98/08/660279808.db2.gz OIIGVUXWQMZCBT-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](F)c2ccccc2)CC1(C)C ZINC000996362659 660280051 /nfs/dbraw/zinc/28/00/51/660280051.db2.gz ZEJBZWMJASCXTF-HUUCEWRRSA-N 0 1 324.827 3.276 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001032559472 660347326 /nfs/dbraw/zinc/34/73/26/660347326.db2.gz IBJYPPSXGLSHSG-GJZGRUSLSA-N 0 1 318.486 3.178 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1CC ZINC001032563047 660350017 /nfs/dbraw/zinc/35/00/17/660350017.db2.gz LOZUXMLMYCVJFB-ROUUACIJSA-N 0 1 312.457 3.246 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@@H]2CC2(C)C)CC1 ZINC000997975609 660361237 /nfs/dbraw/zinc/36/12/37/660361237.db2.gz JTEXRCKKTAYXDC-GJZGRUSLSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001016080429 660365754 /nfs/dbraw/zinc/36/57/54/660365754.db2.gz KBJWTPOVYRSAIW-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2occc2CC)CC1 ZINC000998111806 660370615 /nfs/dbraw/zinc/37/06/15/660370615.db2.gz QTFRKSWLEVXFAY-QGZVFWFLSA-N 0 1 316.445 3.182 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC000998112237 660370873 /nfs/dbraw/zinc/37/08/73/660370873.db2.gz SRLMWPJPUQAXKV-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccsc1Cl ZINC001032665709 660410615 /nfs/dbraw/zinc/41/06/15/660410615.db2.gz BCDJUTFATRCAGL-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC000999232479 660411289 /nfs/dbraw/zinc/41/12/89/660411289.db2.gz LZDCRGOZWMAQJK-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)CC1CCCCC1 ZINC001032660809 660408594 /nfs/dbraw/zinc/40/85/94/660408594.db2.gz JMZQJCVGKVMWKL-SZMVWBNQSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc2sccc2[nH]1 ZINC001032714616 660418167 /nfs/dbraw/zinc/41/81/67/660418167.db2.gz OLKMUEGYJDBQGU-STQMWFEESA-N 0 1 315.442 3.094 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2cccc(CC)c2)C1 ZINC000999549334 660421406 /nfs/dbraw/zinc/42/14/06/660421406.db2.gz SSXSBDWLFLTKSI-KRWDZBQOSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(F)ccc2C)C1 ZINC000999829709 660428933 /nfs/dbraw/zinc/42/89/33/660428933.db2.gz ASSQPLNFZHNNGA-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC000999892642 660429249 /nfs/dbraw/zinc/42/92/49/660429249.db2.gz DRVUNXGJJFIQJT-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2c(F)cccc2F)C1 ZINC000999897898 660429666 /nfs/dbraw/zinc/42/96/66/660429666.db2.gz GFXJIOFBBVSALR-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2ccccc21 ZINC001032745989 660437632 /nfs/dbraw/zinc/43/76/32/660437632.db2.gz ULYIJCIKFXMZIQ-OALUTQOASA-N 0 1 320.436 3.244 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3occc3s2)C1 ZINC001000186694 660438946 /nfs/dbraw/zinc/43/89/46/660438946.db2.gz IFFVEGLZUDPNRU-NSHDSACASA-N 0 1 324.833 3.441 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1C1CC1 ZINC001032765552 660441419 /nfs/dbraw/zinc/44/14/19/660441419.db2.gz TWTMJODQXXZEOG-KBPBESRZSA-N 0 1 302.443 3.100 20 30 DGEDMN C=C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2CC=C(C)C)c1ccccc1 ZINC001032797717 660449730 /nfs/dbraw/zinc/44/97/30/660449730.db2.gz XLDTZKUOPGAYHY-FHWLQOOXSA-N 0 1 310.441 3.208 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CC(C2CC2)C2CC2)C1 ZINC001001003604 660450302 /nfs/dbraw/zinc/45/03/02/660450302.db2.gz BTHNIVZWADJSAI-HNNXBMFYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001016268806 660455992 /nfs/dbraw/zinc/45/59/92/660455992.db2.gz OMCXZSDNLMCPRO-SFHVURJKSA-N 0 1 312.457 3.102 20 30 DGEDMN C=C1CCC(C(=O)NCC2CCN(C/C=C/Cl)CC2)CC1 ZINC001001796211 660458000 /nfs/dbraw/zinc/45/80/00/660458000.db2.gz DNWXXWBRIDGJEV-XNWCZRBMSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001016344914 660481134 /nfs/dbraw/zinc/48/11/34/660481134.db2.gz WMYPXGPQENQLQA-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CN(CC3CCC4(CC4)CC3)C2)C1 ZINC001043811262 660481157 /nfs/dbraw/zinc/48/11/57/660481157.db2.gz OVHKLKSJZBGBHE-UHFFFAOYSA-N 0 1 316.489 3.456 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C3CCC3)cc2)CC1 ZINC001002963553 660482418 /nfs/dbraw/zinc/48/24/18/660482418.db2.gz QNMLZSPZPAGYOR-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCC(NC(=O)Cc2ccc(C3CCC3)cc2)CC1 ZINC001003390781 660492487 /nfs/dbraw/zinc/49/24/87/660492487.db2.gz LBTCGJVRZSJWRR-UHFFFAOYSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CCN(Cc3ccsc3)CC2)C1 ZINC001003596189 660498608 /nfs/dbraw/zinc/49/86/08/660498608.db2.gz OJLQKGSASKBVAW-UHFFFAOYSA-N 0 1 304.459 3.185 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCCc3ccccc32)CC1 ZINC001004020436 660525825 /nfs/dbraw/zinc/52/58/25/660525825.db2.gz BUFKOROZQZRBGZ-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc3ccccc32)CC1 ZINC001004091638 660528364 /nfs/dbraw/zinc/52/83/64/660528364.db2.gz XZFOKKCUEBRBIH-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cscc2C(F)F)C1 ZINC001033089761 660537522 /nfs/dbraw/zinc/53/75/22/660537522.db2.gz COLGZRQDKPSVLW-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCCCC2(C)C)CC1 ZINC001004282444 660538993 /nfs/dbraw/zinc/53/89/93/660538993.db2.gz QABRAAVSAWFYDX-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2coc3ccc(C)cc23)C1 ZINC001033118263 660551777 /nfs/dbraw/zinc/55/17/77/660551777.db2.gz PJYHUDSPZPCPCQ-MRXNPFEDSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001033160794 660570343 /nfs/dbraw/zinc/57/03/43/660570343.db2.gz KOXHKENHKCLYPZ-MGPQQGTHSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)C1 ZINC001033160794 660570345 /nfs/dbraw/zinc/57/03/45/660570345.db2.gz KOXHKENHKCLYPZ-MGPQQGTHSA-N 0 1 318.383 3.074 20 30 DGEDMN C#Cc1cccc(NC(=O)c2cn[nH]c2-c2ccc(OC)cc2)c1 ZINC000073909861 660574819 /nfs/dbraw/zinc/57/48/19/660574819.db2.gz RUDMESUKLRWSKY-UHFFFAOYSA-N 0 1 317.348 3.319 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001033180784 660581493 /nfs/dbraw/zinc/58/14/93/660581493.db2.gz CLFPQBIVIVHHFC-QRVBRYPASA-N 0 1 312.457 3.289 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001033185308 660582730 /nfs/dbraw/zinc/58/27/30/660582730.db2.gz NNVHEOSNCNYCMF-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001033208762 660589474 /nfs/dbraw/zinc/58/94/74/660589474.db2.gz VXAIKKRNTMAWLZ-LYBXBRPPSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001033298381 660605733 /nfs/dbraw/zinc/60/57/33/660605733.db2.gz GATVIGSEEDFMMX-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1Cc1ccc(C#N)s1 ZINC000947962418 660606243 /nfs/dbraw/zinc/60/62/43/660606243.db2.gz MRIVUZMGWZJRAX-STQMWFEESA-N 0 1 319.474 3.135 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c(Cl)oc3ccccc32)C1 ZINC001033353280 660613961 /nfs/dbraw/zinc/61/39/61/660613961.db2.gz GBMJMJBYPASYSI-GFCCVEGCSA-N 0 1 318.804 3.419 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CCN(Cc3csc(C)c3)C2)C1 ZINC001033399699 660620193 /nfs/dbraw/zinc/62/01/93/660620193.db2.gz PWCGFTZANAUEOB-INIZCTEOSA-N 0 1 318.486 3.446 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001033422811 660621137 /nfs/dbraw/zinc/62/11/37/660621137.db2.gz UFMOVYRFZBKBBM-LBPRGKRZSA-N 0 1 312.335 3.096 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2scnc2C(C)(C)C)C1 ZINC001033485961 660626780 /nfs/dbraw/zinc/62/67/80/660626780.db2.gz GXHJGBNFELYNKN-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001033451468 660623570 /nfs/dbraw/zinc/62/35/70/660623570.db2.gz DCYYYDYFSZCRPI-INIZCTEOSA-N 0 1 310.397 3.279 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001033566858 660631780 /nfs/dbraw/zinc/63/17/80/660631780.db2.gz UIBVAGFNJJXLGW-GOSISDBHSA-N 0 1 314.473 3.245 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001033566859 660631822 /nfs/dbraw/zinc/63/18/22/660631822.db2.gz UIBVAGFNJJXLGW-SFHVURJKSA-N 0 1 314.473 3.245 20 30 DGEDMN C[C@@H](C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001005233166 660636937 /nfs/dbraw/zinc/63/69/37/660636937.db2.gz UNBBEYCOOHHGOL-QGZVFWFLSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2ccccc2OC(C)C)C1 ZINC001033599621 660636544 /nfs/dbraw/zinc/63/65/44/660636544.db2.gz QVWSMDGNDMLPQF-INIZCTEOSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001033607343 660638379 /nfs/dbraw/zinc/63/83/79/660638379.db2.gz VZMBAEVSOPGQAX-AWEZNQCLSA-N 0 1 312.335 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2c(F)cccc2Cl)C1 ZINC001033607097 660638620 /nfs/dbraw/zinc/63/86/20/660638620.db2.gz MNWLRFPYACHLBC-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2c(Cl)cccc2Cl)C1 ZINC001033611670 660639718 /nfs/dbraw/zinc/63/97/18/660639718.db2.gz ZWGFDMJQOLMSQT-NSHDSACASA-N 0 1 313.228 3.326 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccsc2C(F)F)C1 ZINC001033618947 660640150 /nfs/dbraw/zinc/64/01/50/660640150.db2.gz HPQIZSSMKISHOF-JTQLQIEISA-N 0 1 300.374 3.018 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001033657764 660646063 /nfs/dbraw/zinc/64/60/63/660646063.db2.gz RRHCRHRGGBSREV-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001033700424 660652024 /nfs/dbraw/zinc/65/20/24/660652024.db2.gz VAGDPIXBDPEOSE-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cnc(CC(C)C)s2)C1 ZINC001033700424 660652025 /nfs/dbraw/zinc/65/20/25/660652025.db2.gz VAGDPIXBDPEOSE-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(Cl)c2C)C1 ZINC001033840211 660668283 /nfs/dbraw/zinc/66/82/83/660668283.db2.gz BEFYRZKOLJQFKC-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001033838930 660668568 /nfs/dbraw/zinc/66/85/68/660668568.db2.gz VBZRKIXGHIIREJ-MRXNPFEDSA-N 0 1 315.461 3.102 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(C3CCC3)cc2)C1 ZINC001033845132 660669837 /nfs/dbraw/zinc/66/98/37/660669837.db2.gz FTIFDHXJEMRRTP-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001033894506 660676602 /nfs/dbraw/zinc/67/66/02/660676602.db2.gz INZKCPMSERSSBL-CQSZACIVSA-N 0 1 314.385 3.262 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001033859118 660672115 /nfs/dbraw/zinc/67/21/15/660672115.db2.gz OZFPUOGMSIRZDT-YXOSVLIBSA-N 0 1 316.489 3.168 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(C)c(F)c2)C1 ZINC001033890892 660674497 /nfs/dbraw/zinc/67/44/97/660674497.db2.gz UTGYCSCEDYAPIY-INIZCTEOSA-N 0 1 304.409 3.247 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2scc(C)c2Cl)CC1 ZINC001005442702 660676164 /nfs/dbraw/zinc/67/61/64/660676164.db2.gz SHOLYLZHTMDGMU-UHFFFAOYSA-N 0 1 324.877 3.270 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c(OCC)c2)C1 ZINC001033977311 660687977 /nfs/dbraw/zinc/68/79/77/660687977.db2.gz QJNCCKPARBOITQ-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC001033986114 660689692 /nfs/dbraw/zinc/68/96/92/660689692.db2.gz UYQIRHUKZSFPKC-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001033981875 660690137 /nfs/dbraw/zinc/69/01/37/660690137.db2.gz MGWBMYLNPVUSLG-OAHLLOKOSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C(CC)CC)no2)C1 ZINC001033981875 660690139 /nfs/dbraw/zinc/69/01/39/660690139.db2.gz MGWBMYLNPVUSLG-OAHLLOKOSA-N 0 1 319.449 3.301 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc(C)c2Cl)C1 ZINC001033992687 660691285 /nfs/dbraw/zinc/69/12/85/660691285.db2.gz WKBJPHLGEVXYLG-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2coc(C3CCCC3)n2)C1 ZINC001034001475 660692087 /nfs/dbraw/zinc/69/20/87/660692087.db2.gz LFRLCRBUMLYKIU-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2coc(C3CCCC3)n2)C1 ZINC001034001472 660692714 /nfs/dbraw/zinc/69/27/14/660692714.db2.gz LFRLCRBUMLYKIU-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc(C3CCC3)c2)C1 ZINC001034012205 660692982 /nfs/dbraw/zinc/69/29/82/660692982.db2.gz BUQHCEBXXXNPGW-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cnc(C3CC3)s2)C1 ZINC001034021498 660694025 /nfs/dbraw/zinc/69/40/25/660694025.db2.gz YODKKJVWVPORTC-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc3ccccc32)C1 ZINC001034037296 660698186 /nfs/dbraw/zinc/69/81/86/660698186.db2.gz ROBSKEPBZBBFMU-QGZVFWFLSA-N 0 1 306.409 3.009 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001034053357 660699760 /nfs/dbraw/zinc/69/97/60/660699760.db2.gz QSOXFYQOWDNDRM-DZIBYMRMSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001034047062 660701368 /nfs/dbraw/zinc/70/13/68/660701368.db2.gz XZCZQWVDGXREPP-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2CC(c3ccccc3)C2)C1 ZINC001034051069 660702367 /nfs/dbraw/zinc/70/23/67/660702367.db2.gz MDHBHUACPTUOCZ-MHJFOBGBSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001034207949 660723725 /nfs/dbraw/zinc/72/37/25/660723725.db2.gz QIBHYDFVHZSRGN-QRVBRYPASA-N 0 1 324.468 3.030 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001034252877 660729586 /nfs/dbraw/zinc/72/95/86/660729586.db2.gz APLSAHBPBRKZRU-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001034252877 660729588 /nfs/dbraw/zinc/72/95/88/660729588.db2.gz APLSAHBPBRKZRU-LBPRGKRZSA-N 0 1 312.360 3.296 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC=CCCC2)C1 ZINC001034267275 660730043 /nfs/dbraw/zinc/73/00/43/660730043.db2.gz VAFUONUWYMYHDU-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC001034326502 660737250 /nfs/dbraw/zinc/73/72/50/660737250.db2.gz JWOAFJPXWPJSEB-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC001034328778 660737418 /nfs/dbraw/zinc/73/74/18/660737418.db2.gz CVUOLBPPQGSEJE-SFHVURJKSA-N 0 1 324.468 3.480 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2csc3ccccc23)C1 ZINC001034328946 660737728 /nfs/dbraw/zinc/73/77/28/660737728.db2.gz BIXCIHNPTQJWEN-CQSZACIVSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001034332518 660738020 /nfs/dbraw/zinc/73/80/20/660738020.db2.gz ZPNDIOZJDDRPDZ-INIZCTEOSA-N 0 1 324.424 3.267 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001034376501 660745103 /nfs/dbraw/zinc/74/51/03/660745103.db2.gz XWFMVDCYWRHVMC-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001034507976 660763561 /nfs/dbraw/zinc/76/35/61/660763561.db2.gz WJFBPQPSQYNAAT-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001034507976 660763562 /nfs/dbraw/zinc/76/35/62/660763562.db2.gz WJFBPQPSQYNAAT-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC001034493310 660759056 /nfs/dbraw/zinc/75/90/56/660759056.db2.gz HLCYNZCKNBCPSX-INIZCTEOSA-N 0 1 320.383 3.232 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2ccc(C)s2)C1 ZINC001034493880 660759612 /nfs/dbraw/zinc/75/96/12/660759612.db2.gz WARVAPUWWAETCE-HZPDHXFCSA-N 0 1 318.486 3.154 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001034519265 660767725 /nfs/dbraw/zinc/76/77/25/660767725.db2.gz BYOGNDIEUNZZSF-LJQANCHMSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(C3CC3)cc2)C1 ZINC001034537092 660769080 /nfs/dbraw/zinc/76/90/80/660769080.db2.gz KOHFKDHCGYCHDI-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC001034554013 660773736 /nfs/dbraw/zinc/77/37/36/660773736.db2.gz MYXUDLSGWFLNDT-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CCC[C@H]2CC)CC1 ZINC001005583288 660781467 /nfs/dbraw/zinc/78/14/67/660781467.db2.gz HQUNTTIHDLHGRR-VQIMIIECSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001038558449 660785446 /nfs/dbraw/zinc/78/54/46/660785446.db2.gz LOUMXUJFCRVUFB-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCC[C@H]2C2CC2)CC1 ZINC001005767069 660812863 /nfs/dbraw/zinc/81/28/63/660812863.db2.gz FEWRTDWFKREICU-RBUKOAKNSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CC(C)(C)C)CC2 ZINC001035156039 660819859 /nfs/dbraw/zinc/81/98/59/660819859.db2.gz MKWBVQDQPLYKQZ-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)/C=C/C1CC1)CC2 ZINC001035171013 660821154 /nfs/dbraw/zinc/82/11/54/660821154.db2.gz YKLNHJXVVHBTEC-SNAWJCMRSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C(C)(C)CC)CC2 ZINC001035219520 660826993 /nfs/dbraw/zinc/82/69/93/660826993.db2.gz VCHQUNGOCWRPQC-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1(CC)CC1)CC2 ZINC001035227042 660828948 /nfs/dbraw/zinc/82/89/48/660828948.db2.gz QHPIPDRGHCPWDH-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC3(CN(C[C@@H](F)CC)C3)CC2)C1 ZINC001035788822 660852437 /nfs/dbraw/zinc/85/24/37/660852437.db2.gz KZYDVIVYXALJHC-HNNXBMFYSA-N 0 1 308.441 3.015 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc([C@@H]3C[C@@H]3C)o1)CC2 ZINC001035707554 660846275 /nfs/dbraw/zinc/84/62/75/660846275.db2.gz OTZOQLRPDSLJKQ-LSDHHAIUSA-N 0 1 314.429 3.127 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC=CCCC1)CC2 ZINC001035729395 660847677 /nfs/dbraw/zinc/84/76/77/660847677.db2.gz FEIYIIKUIMIVQF-GOSISDBHSA-N 0 1 314.473 3.071 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1CCCCCCC1)CC2 ZINC001035747490 660850484 /nfs/dbraw/zinc/85/04/84/660850484.db2.gz PALQXJHTEUWGBP-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(C)c(Cl)c1)CC2 ZINC001035786458 660851850 /nfs/dbraw/zinc/85/18/50/660851850.db2.gz YOUQPFFWSBYBKJ-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1cccc(C)c1)CC2 ZINC001035808683 660855176 /nfs/dbraw/zinc/85/51/76/660855176.db2.gz VQNVWBHTMDTYLC-QGZVFWFLSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(C)c(C)s1)CC2 ZINC001035849537 660856137 /nfs/dbraw/zinc/85/61/37/660856137.db2.gz BRQDORHWNNJHSN-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccccc1C1CCC1)CC2 ZINC001035844612 660858489 /nfs/dbraw/zinc/85/84/89/660858489.db2.gz JAIOUFMWXBIQAA-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCc1ccc(C)s1)CC2 ZINC001035863152 660861055 /nfs/dbraw/zinc/86/10/55/660861055.db2.gz VICZMOQRIJRULW-UHFFFAOYSA-N 0 1 318.486 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CCCCC3)CC[C@H]21 ZINC001036628625 660937542 /nfs/dbraw/zinc/93/75/42/660937542.db2.gz DHSAAPAINSCRCN-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC3CCC3)CC[C@@H]21 ZINC001036679480 660944348 /nfs/dbraw/zinc/94/43/48/660944348.db2.gz HWQRDYOXGUBGCX-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3C(C)(C)C3(C)C)CC[C@@H]21 ZINC001036680436 660945036 /nfs/dbraw/zinc/94/50/36/660945036.db2.gz WJFPVVCFLDQSJF-KGLIPLIRSA-N 0 1 324.896 3.344 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2coc3ccc(F)cc23)C[C@@H]1C ZINC000947975797 660959634 /nfs/dbraw/zinc/95/96/34/660959634.db2.gz QCHQFOWOKKVMPV-JSGCOSHPSA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC001006325051 660975098 /nfs/dbraw/zinc/97/50/98/660975098.db2.gz WKTRDBVDPHVIML-UHFFFAOYSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCCCN1C[C@@H](F)C[C@H]1CN(C)C(=O)OC(C)(C)C ZINC000792915428 660993369 /nfs/dbraw/zinc/99/33/69/660993369.db2.gz MJUZHOLOMKDBRY-KBPBESRZSA-N 0 1 300.418 3.232 20 30 DGEDMN CC1(CNN=Cc2ccc(N3CCOCC3)s2)CCCC1 ZINC000793081495 661004182 /nfs/dbraw/zinc/00/41/82/661004182.db2.gz LQQUTBSRNRMQCY-UHFFFAOYSA-N 0 1 307.463 3.089 20 30 DGEDMN COc1ccc(C=NNc2cccc(Cl)n2)c(OC)c1OC ZINC000793173762 661009796 /nfs/dbraw/zinc/00/97/96/661009796.db2.gz BXLABNWAHNJARB-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN CC(=NNc1cccc(Cl)n1)c1cnnn1-c1ccccc1 ZINC000793181390 661010565 /nfs/dbraw/zinc/01/05/65/661010565.db2.gz OZIRZZIBVPCNMQ-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN COc1ccc(CN=Nc2ccccn2)c(Br)c1 ZINC000794870383 661110841 /nfs/dbraw/zinc/11/08/41/661110841.db2.gz KTLPOIUSHGAMRO-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1ccc2c(c1)OCC(=O)N2 ZINC000794914192 661113298 /nfs/dbraw/zinc/11/32/98/661113298.db2.gz HWNJYXHSVIMWTB-UHFFFAOYSA-N 0 1 317.295 3.132 20 30 DGEDMN O=C1c2cc(O)ccc2CC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000794960630 661116917 /nfs/dbraw/zinc/11/69/17/661116917.db2.gz VATLBSLFNYKQCJ-XFFZJAGNSA-N 0 1 311.293 3.219 20 30 DGEDMN CC(N=Nc1cncnc1)c1cc(Br)ccc1F ZINC000794979785 661118467 /nfs/dbraw/zinc/11/84/67/661118467.db2.gz SWYQXBSJVAAHPT-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN C#CCOc1cccc(Cl)c1C=NNc1cccc(F)n1 ZINC000795009624 661121522 /nfs/dbraw/zinc/12/15/22/661121522.db2.gz FRPCCQDZMCXXOT-UHFFFAOYSA-N 0 1 303.724 3.332 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC000969115648 655524305 /nfs/dbraw/zinc/52/43/05/655524305.db2.gz SMVGCMAOJHXDNG-HNNXBMFYSA-N 0 1 316.470 3.233 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C)CCC(F)(F)CC2)C1 ZINC000969125232 655526203 /nfs/dbraw/zinc/52/62/03/655526203.db2.gz XSTAQIPBZPBOSB-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC000969139924 655527507 /nfs/dbraw/zinc/52/75/07/655527507.db2.gz VEWFYTFBTHZFED-GOSISDBHSA-N 0 1 312.457 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccccc3s2)C1 ZINC000969226961 655534611 /nfs/dbraw/zinc/53/46/11/655534611.db2.gz MMNLSZQNJGIZSY-CYBMUJFWSA-N 0 1 320.845 3.458 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001020472611 655540293 /nfs/dbraw/zinc/54/02/93/655540293.db2.gz GFZJYRCMCAYYGQ-ATZDWAIDSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC000969542833 655550452 /nfs/dbraw/zinc/55/04/52/655550452.db2.gz RFTLFBRLXJUDEE-CQSZACIVSA-N 0 1 317.820 3.033 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2cc(F)ccc2Cl)C1 ZINC000969587898 655551640 /nfs/dbraw/zinc/55/16/40/655551640.db2.gz YZRKDWJIPGKCTN-CQSZACIVSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CCC)cc2)C1 ZINC000969762420 655556968 /nfs/dbraw/zinc/55/69/68/655556968.db2.gz NLUZSOQYSDNYND-MRXNPFEDSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC000969830636 655559248 /nfs/dbraw/zinc/55/92/48/655559248.db2.gz PDYGYAYKKCYUPO-CRAIPNDOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2scnc2C2CCCC2)C1 ZINC000970077751 655572384 /nfs/dbraw/zinc/57/23/84/655572384.db2.gz WHTXSFPTNGPJFH-GFCCVEGCSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2sc3ccccc3c2C)C1 ZINC000970099139 655574894 /nfs/dbraw/zinc/57/48/94/655574894.db2.gz AZPXQYRUSBBNEF-ZDUSSCGKSA-N 0 1 314.454 3.446 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(CCCC)cc2)C1 ZINC000970102791 655575205 /nfs/dbraw/zinc/57/52/05/655575205.db2.gz WNLLLYABUIPLBH-HNNXBMFYSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C2CCC2)C1 ZINC001039178251 655600899 /nfs/dbraw/zinc/60/08/99/655600899.db2.gz NDAUTKSFYYHSRK-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C(F)F)c2)C1 ZINC000970537842 655605093 /nfs/dbraw/zinc/60/50/93/655605093.db2.gz AXPWIXVTYJIEHL-CYBMUJFWSA-N 0 1 314.763 3.181 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc3sccc3c2)C1 ZINC000970672016 655611797 /nfs/dbraw/zinc/61/17/97/655611797.db2.gz BKWWVDNXCXARJI-GFCCVEGCSA-N 0 1 300.427 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccc(CC)cc2)C1 ZINC000970697163 655613385 /nfs/dbraw/zinc/61/33/85/655613385.db2.gz RFPPOTKLJNNYNI-QGZVFWFLSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000970747288 655616253 /nfs/dbraw/zinc/61/62/53/655616253.db2.gz VBAYEPLFPUQIBR-CYBMUJFWSA-N 0 1 304.434 3.031 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(CC(C)C)s2)C[C@@H]1C ZINC000947400466 655618312 /nfs/dbraw/zinc/61/83/12/655618312.db2.gz XNJORXHHOWFMDM-LSDHHAIUSA-N 0 1 318.486 3.163 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000970810182 655623934 /nfs/dbraw/zinc/62/39/34/655623934.db2.gz LGMAEOAMDQEWHF-NSHDSACASA-N 0 1 310.800 3.024 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3c(cccc3F)s2)C1 ZINC000970848665 655629537 /nfs/dbraw/zinc/62/95/37/655629537.db2.gz KWHCCHKRDOUMNS-NSHDSACASA-N 0 1 318.417 3.277 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC000970928099 655638326 /nfs/dbraw/zinc/63/83/26/655638326.db2.gz IUBWWIWQRORTEY-CABCVRRESA-N 0 1 300.446 3.436 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H]1CCC2(CCOCC2)CO1 ZINC000933769736 655731849 /nfs/dbraw/zinc/73/18/49/655731849.db2.gz FEJSRLMVQHVILD-HNNXBMFYSA-N 0 1 320.458 3.027 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176095290 655739537 /nfs/dbraw/zinc/73/95/37/655739537.db2.gz NWADXOXGFNJTEM-WOPDTQHZSA-N 0 1 320.751 3.173 20 30 DGEDMN COc1ccc([C@H](C)CC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176180984 655745190 /nfs/dbraw/zinc/74/51/90/655745190.db2.gz UVPQZIIBXSJZMQ-GFCCVEGCSA-N 0 1 310.353 3.405 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C3CCCC3)cc2)C1 ZINC000971951811 655750324 /nfs/dbraw/zinc/75/03/24/655750324.db2.gz HYEFAYCONGPJGW-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC000972083066 655763641 /nfs/dbraw/zinc/76/36/41/655763641.db2.gz VBZURLIOWZXJJI-MRXNPFEDSA-N 0 1 322.399 3.210 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC000972244245 655782535 /nfs/dbraw/zinc/78/25/35/655782535.db2.gz BMDPBQXFIHFCNL-HKUYNNGSSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Br)CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000178745362 655802216 /nfs/dbraw/zinc/80/22/16/655802216.db2.gz OYJWOAHQGPOFJO-GFCCVEGCSA-N 0 1 306.207 3.432 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C(C)C)CC[C@@H]21 ZINC001036725623 661192564 /nfs/dbraw/zinc/19/25/64/661192564.db2.gz ZNQGTLBXHUNGKJ-CABCVRRESA-N 0 1 312.885 3.344 20 30 DGEDMN Cc1ccc(C(=O)CCC(=O)Nc2cc(C#N)ccc2O)s1 ZINC000176824389 655849311 /nfs/dbraw/zinc/84/93/11/655849311.db2.gz ALSVBINMUGLYDN-UHFFFAOYSA-N 0 1 314.366 3.235 20 30 DGEDMN C[C@@H]1CN(C(C)(C)C/N=C\c2cc(Cl)ccc2O)C[C@@H](C)O1 ZINC000255728529 655892352 /nfs/dbraw/zinc/89/23/52/655892352.db2.gz DBNWBSZCHRQYEP-JJSBAXKFSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C3CCC3)CC[C@H]21 ZINC001036780795 661200359 /nfs/dbraw/zinc/20/03/59/661200359.db2.gz HXVSIKQOJJGZKN-GDBMZVCRSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1coc(C(C)(C)C)n1 ZINC001024437904 655906193 /nfs/dbraw/zinc/90/61/93/655906193.db2.gz YXJIUTIIOSKZRA-AWEZNQCLSA-N 0 1 319.449 3.133 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1sccc1Cl ZINC001024452735 655906487 /nfs/dbraw/zinc/90/64/87/655906487.db2.gz ANSGIDUQAOZEFD-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1sccc1Cl ZINC001024452735 655906489 /nfs/dbraw/zinc/90/64/89/655906489.db2.gz ANSGIDUQAOZEFD-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001024488438 655910109 /nfs/dbraw/zinc/91/01/09/655910109.db2.gz YUXMPTDJUXCKDV-GUDVDZBRSA-N 0 1 316.489 3.197 20 30 DGEDMN COc1ccc(C[C@H](C)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000179743160 655913149 /nfs/dbraw/zinc/91/31/49/655913149.db2.gz QMYAMVGTOIKPIR-LBPRGKRZSA-N 0 1 310.353 3.090 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc(C(C)(C)C)on1 ZINC001024549997 655915831 /nfs/dbraw/zinc/91/58/31/655915831.db2.gz ATJKFGZXVSZYPE-CQSZACIVSA-N 0 1 319.449 3.133 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)cc(Cl)c1 ZINC001024555796 655916295 /nfs/dbraw/zinc/91/62/95/655916295.db2.gz ZKBIHQQLAIVRLY-INIZCTEOSA-N 0 1 306.837 3.419 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C2(C)CCC2)CCN1CC#Cc1ccccc1 ZINC000947620686 655918553 /nfs/dbraw/zinc/91/85/53/655918553.db2.gz OXWFAKVAKRUGSM-MJGOQNOKSA-N 0 1 324.468 3.197 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCCCN2C[C@@H](F)CC)cc1 ZINC001024587541 655921241 /nfs/dbraw/zinc/92/12/41/655921241.db2.gz LCULIMXAXOXJSS-ROUUACIJSA-N 0 1 316.420 3.000 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001024593349 655921603 /nfs/dbraw/zinc/92/16/03/655921603.db2.gz LBPKVTWHNMBKKF-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001024592387 655921671 /nfs/dbraw/zinc/92/16/71/655921671.db2.gz OIILURNQITUPFF-QGZVFWFLSA-N 0 1 323.440 3.084 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(F)c(Cl)c1 ZINC001024626836 655925259 /nfs/dbraw/zinc/92/52/59/655925259.db2.gz HJPKQAXRLFOWKC-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc([C@@H](C)CC)no1 ZINC001024697182 655931567 /nfs/dbraw/zinc/93/15/67/655931567.db2.gz RQSIOHNCBFVZSO-LSDHHAIUSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1F ZINC001024702145 655931741 /nfs/dbraw/zinc/93/17/41/655931741.db2.gz OVKLLYHTPIOEAA-BHYGNILZSA-N 0 1 316.420 3.086 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001024706517 655932238 /nfs/dbraw/zinc/93/22/38/655932238.db2.gz ANTXNMQZMVRIAP-HSALFYBXSA-N 0 1 324.468 3.093 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C2C(C)(C)C2(C)C)c1 ZINC000177597693 655933747 /nfs/dbraw/zinc/93/37/47/655933747.db2.gz NLUQNKYUZRJWMP-CYBMUJFWSA-N 0 1 314.385 3.025 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001024805719 655940702 /nfs/dbraw/zinc/94/07/02/655940702.db2.gz YYAGTMOTEZPYMW-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001024805719 655940704 /nfs/dbraw/zinc/94/07/04/655940704.db2.gz YYAGTMOTEZPYMW-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCCC[C@@H]1CNCc1ccccc1C#N ZINC001024876490 655946898 /nfs/dbraw/zinc/94/68/98/655946898.db2.gz OXSNXJAPIFWKKO-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001025039372 655958357 /nfs/dbraw/zinc/95/83/57/655958357.db2.gz MNGOLQYOOQCYMQ-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001025069768 655963816 /nfs/dbraw/zinc/96/38/16/655963816.db2.gz OMMFPYFEFIJLMA-CRAIPNDOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C)CC(=C)C3)CC[C@@H]21 ZINC001036816152 661208395 /nfs/dbraw/zinc/20/83/95/661208395.db2.gz QLTFCXDVAFGBGT-GJZGRUSLSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCC[C@@H]2[C@@H]2CCCN2CCF)C1 ZINC001025268488 655986665 /nfs/dbraw/zinc/98/66/65/655986665.db2.gz BPOUHKVRLQKHNB-JKSUJKDBSA-N 0 1 308.441 3.158 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2cc(C)oc2C)C1 ZINC001025339548 655996681 /nfs/dbraw/zinc/99/66/81/655996681.db2.gz IHEIDRPNULMWNO-ZFWWWQNUSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3csc(C)c3)CC[C@@H]21 ZINC001036842026 661212855 /nfs/dbraw/zinc/21/28/55/661212855.db2.gz JCRLJMCOKFMRFJ-ZFWWWQNUSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2CC3(CC3)C2)C1 ZINC001025526712 656029992 /nfs/dbraw/zinc/02/99/92/656029992.db2.gz ASAQHZZIPMDDBR-KBPBESRZSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)C23CCC(CC2)CC3)C1 ZINC001025630785 656052272 /nfs/dbraw/zinc/05/22/72/656052272.db2.gz WCCKBXYKTGCMAL-FFEBTUMSSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(CC)CC)CC[C@@H]21 ZINC001036900596 661225513 /nfs/dbraw/zinc/22/55/13/661225513.db2.gz GULHDHJNNKFJKJ-GJZGRUSLSA-N 0 1 312.885 3.488 20 30 DGEDMN Cc1nc(CC(=O)C(C#N)c2nc3ccccc3o2)c(C)s1 ZINC000193820223 656194439 /nfs/dbraw/zinc/19/44/39/656194439.db2.gz CAGNZFBHPWRYPF-LLVKDONJSA-N 0 1 311.366 3.320 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2C(C)C)C(C)(C)C1 ZINC000974645955 656218269 /nfs/dbraw/zinc/21/82/69/656218269.db2.gz RAFNRCWHKOLXSL-KRWDZBQOSA-N 0 1 300.446 3.436 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(Cl)c2C)C(C)(C)C1 ZINC000974633696 656218731 /nfs/dbraw/zinc/21/87/31/656218731.db2.gz ULTVVNHQJYOMBD-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(-n3cccc3)c2)C(C)(C)C1 ZINC000974784612 656228892 /nfs/dbraw/zinc/22/88/92/656228892.db2.gz RYIXHYGAARXHHL-SFHVURJKSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2F)C(C)(C)C1 ZINC000974790386 656230179 /nfs/dbraw/zinc/23/01/79/656230179.db2.gz NRCBKSFXRJFUMB-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974807766 656231035 /nfs/dbraw/zinc/23/10/35/656231035.db2.gz MDMAVTYDTYICTD-OALUTQOASA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)cc(Cl)c2)C(C)(C)C1 ZINC000974824348 656232907 /nfs/dbraw/zinc/23/29/07/656232907.db2.gz CYFNFODGWRMGLT-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2c(F)cccc2F)C(C)(C)C1 ZINC000974832826 656233330 /nfs/dbraw/zinc/23/33/30/656233330.db2.gz YYOBZHWTLGYBOM-DOMZBBRYSA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2c(F)cccc2F)C(C)(C)C1 ZINC000974832834 656233416 /nfs/dbraw/zinc/23/34/16/656233416.db2.gz YYOBZHWTLGYBOM-SWLSCSKDSA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000975003865 656247164 /nfs/dbraw/zinc/24/71/64/656247164.db2.gz GSLMTKGHGHNNEF-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987950 656248778 /nfs/dbraw/zinc/24/87/78/656248778.db2.gz NTTMHCFOVYZGQB-CZUORRHYSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987950 656248780 /nfs/dbraw/zinc/24/87/80/656248780.db2.gz NTTMHCFOVYZGQB-CZUORRHYSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)Cc2cccc(Cl)c2F)C(C)(C)C1 ZINC000975071613 656254086 /nfs/dbraw/zinc/25/40/86/656254086.db2.gz AXZDYTUCCPCZNV-CQSZACIVSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(F)c2Cl)C(C)(C)C1 ZINC000975082465 656254352 /nfs/dbraw/zinc/25/43/52/656254352.db2.gz BXGKDERSLXGHMH-ZDUSSCGKSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2cccc(C)c2)C(C)(C)C1 ZINC000975082075 656254771 /nfs/dbraw/zinc/25/47/71/656254771.db2.gz IKSKGJHTDPGLMH-DOTOQJQBSA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)cc2Cl)C(C)(C)C1 ZINC000975086516 656254938 /nfs/dbraw/zinc/25/49/38/656254938.db2.gz LSRZZLSXDIUOCC-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(Cl)cccc2OC)C1 ZINC001009626471 656304160 /nfs/dbraw/zinc/30/41/60/656304160.db2.gz IKNOVXQOCKLQAH-ZDUSSCGKSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001009700456 656313818 /nfs/dbraw/zinc/31/38/18/656313818.db2.gz AYGBNTCRLGVCJI-VNCLNFNDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc([C@H](C)CC)no2)C(C)(C)C1 ZINC000977245313 656318672 /nfs/dbraw/zinc/31/86/72/656318672.db2.gz XUZCZMHKPAFBKI-CJNGLKHVSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2cccnc2C)C1 ZINC001027522436 656329327 /nfs/dbraw/zinc/32/93/27/656329327.db2.gz WOGOXEWRLBTKTQ-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977443261 656330074 /nfs/dbraw/zinc/33/00/74/656330074.db2.gz JKBASAAVVMIRRR-GOEBONIOSA-N 0 1 318.486 3.010 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977455173 656331163 /nfs/dbraw/zinc/33/11/63/656331163.db2.gz RKJPNXMPLPZOAF-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(C3CC3)s2)C(C)(C)C1 ZINC000977458193 656331796 /nfs/dbraw/zinc/33/17/96/656331796.db2.gz BOVXEDDHTJJWFO-INIZCTEOSA-N 0 1 316.470 3.089 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977461547 656332631 /nfs/dbraw/zinc/33/26/31/656332631.db2.gz KFXLMWPWVZOOQV-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C3CCCCC3)CC2)C(C)(C)C1 ZINC000977525841 656338847 /nfs/dbraw/zinc/33/88/47/656338847.db2.gz FSPPSKPZFKNYRO-MRXNPFEDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(Cl)s2)C(C)(C)C1 ZINC000977590125 656346228 /nfs/dbraw/zinc/34/62/28/656346228.db2.gz ZETDKPSTHBICRQ-LBPRGKRZSA-N 0 1 312.866 3.418 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2C2CC2)C(C)(C)C1 ZINC000977585378 656347034 /nfs/dbraw/zinc/34/70/34/656347034.db2.gz LDYRYQIDGJRUHP-HNNXBMFYSA-N 0 1 316.470 3.089 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C(C)(C)C1 ZINC000977608290 656347885 /nfs/dbraw/zinc/34/78/85/656347885.db2.gz WNJLGWOKOSGLHZ-XJKSGUPXSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)C(C)(C)C1 ZINC000977644517 656351836 /nfs/dbraw/zinc/35/18/36/656351836.db2.gz GJKDXZAYXSMZSE-QGZVFWFLSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(CC)c(CC)c2)C(C)(C)C1 ZINC000977715406 656356443 /nfs/dbraw/zinc/35/64/43/656356443.db2.gz RWTPFIKWOZJZBY-GOSISDBHSA-N 0 1 314.473 3.438 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3CCC4(CC4)CC3)[C@@H]2C1 ZINC000978510767 656380775 /nfs/dbraw/zinc/38/07/75/656380775.db2.gz IHXDYMMSECHTAW-PHZGNYQRSA-N 0 1 322.880 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3occc3C(C)C)[C@@H]2C1 ZINC000978625386 656384970 /nfs/dbraw/zinc/38/49/70/656384970.db2.gz BQSOUKSEECIHIX-QKDCVEJESA-N 0 1 322.836 3.063 20 30 DGEDMN CN(Cc1ccc(C#N)s1)[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC001027658308 656388369 /nfs/dbraw/zinc/38/83/69/656388369.db2.gz HMPFIHVKULTYMX-CYBMUJFWSA-N 0 1 319.474 3.089 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C2CCC(C(C)(C)C)CC2)CC1 ZINC001006363860 661257980 /nfs/dbraw/zinc/25/79/80/661257980.db2.gz IOXIAAQFTRHTTF-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(F)cc2Cl)CC1 ZINC000950015030 656401496 /nfs/dbraw/zinc/40/14/96/656401496.db2.gz SYALVHKCTIKZNI-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccccc2C)CC1 ZINC001052166965 656419256 /nfs/dbraw/zinc/41/92/56/656419256.db2.gz NLAAXRIWCGQQIW-OAHLLOKOSA-N 0 1 306.837 3.332 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001052551564 656444425 /nfs/dbraw/zinc/44/44/25/656444425.db2.gz FMXUHSMPQIAROE-RBSFLKMASA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@H]2CC=CCC2)CC1 ZINC001052624618 656450631 /nfs/dbraw/zinc/45/06/31/656450631.db2.gz LWJXPHCRIBRSJU-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2occc2c1 ZINC001039378980 656490242 /nfs/dbraw/zinc/49/02/42/656490242.db2.gz QVIWLJHPVZWHMA-SJORKVTESA-N 0 1 310.397 3.298 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(C)C)n1 ZINC001039401819 656494103 /nfs/dbraw/zinc/49/41/03/656494103.db2.gz URLHLSPBPLUYIP-CVEARBPZSA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc[nH]c1C(C)C ZINC001039421358 656497309 /nfs/dbraw/zinc/49/73/09/656497309.db2.gz BIFAXKFUVHZTLP-CABCVRRESA-N 0 1 301.434 3.003 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(Cl)c1F ZINC001039427355 656499133 /nfs/dbraw/zinc/49/91/33/656499133.db2.gz RYOVRTQKJDGVMM-OLZOCXBDSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1oc2c(cccc2F)c1C ZINC001027931633 656502275 /nfs/dbraw/zinc/50/22/75/656502275.db2.gz DBPPGMQCOYQHGR-ZDUSSCGKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cccc(C)c1 ZINC001039444370 656503167 /nfs/dbraw/zinc/50/31/67/656503167.db2.gz BZMHMJYJCFNRHA-QRQLOZEOSA-N 0 1 312.457 3.350 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CC1CCCC1 ZINC001039447515 656503639 /nfs/dbraw/zinc/50/36/39/656503639.db2.gz QJABFENDHOOPRM-QRQLOZEOSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1CC(C)C1)C2 ZINC001048516189 656505710 /nfs/dbraw/zinc/50/57/10/656505710.db2.gz PXVNRHCSRJTPKM-QKICOIBGSA-N 0 1 310.869 3.144 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC(C)C)cc1 ZINC001039468500 656509998 /nfs/dbraw/zinc/50/99/98/656509998.db2.gz QPXVZDUAQGTKCC-UXHICEINSA-N 0 1 324.468 3.197 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001039473222 656510924 /nfs/dbraw/zinc/51/09/24/656510924.db2.gz BKECSSLJXZVLHQ-HBFSDRIKSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCCC4(CC4)C3)C[C@@H]2C1 ZINC001048818668 656540261 /nfs/dbraw/zinc/54/02/61/656540261.db2.gz SBQRWKNONCGRRB-OAGGEKHMSA-N 0 1 322.880 3.100 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1c(C)c(F)ccc1Cl ZINC001027979924 656564866 /nfs/dbraw/zinc/56/48/66/656564866.db2.gz JSKNDYCZRQEGTJ-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3cc(C)cc(C)c3)C[C@@H]2C1 ZINC001049188859 656570113 /nfs/dbraw/zinc/57/01/13/656570113.db2.gz HTJCSVRSEYFBIP-CALCHBBNSA-N 0 1 318.848 3.060 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3cccc(C)c3C)C[C@@H]2C1 ZINC001049197315 656570662 /nfs/dbraw/zinc/57/06/62/656570662.db2.gz NQOVZFFOQHMUSY-IYBDPMFKSA-N 0 1 318.848 3.060 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3C[C@@H](C)C[C@H](C)C3)C[C@@H]2C1 ZINC001049241746 656576760 /nfs/dbraw/zinc/57/67/60/656576760.db2.gz GUYIEWKBROLSLN-MGBSGCIJSA-N 0 1 324.896 3.201 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3ccoc3C(C)C)C[C@@H]2C1 ZINC001049244205 656577682 /nfs/dbraw/zinc/57/76/82/656577682.db2.gz RCKZCFZUXQDEJQ-OKILXGFUSA-N 0 1 322.836 3.159 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@@H]3CCCC[C@H]3C)C[C@@H]2C1 ZINC001049247011 656577739 /nfs/dbraw/zinc/57/77/39/656577739.db2.gz XGCCDNRXXQYAAG-XBVQOTNRSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001040739740 656604442 /nfs/dbraw/zinc/60/44/42/656604442.db2.gz CPJSNZUFDCOPDF-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3(C)CC(=C)C3)C2)CC1 ZINC001040840884 656611326 /nfs/dbraw/zinc/61/13/26/656611326.db2.gz ZBSZGLGCKLHVJJ-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1csc(C)c1C ZINC001049497674 656631093 /nfs/dbraw/zinc/63/10/93/656631093.db2.gz IATHSVXKBXQHPL-IRXDYDNUSA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1C(C)(C)C ZINC001049544933 656637451 /nfs/dbraw/zinc/63/74/51/656637451.db2.gz QJKIEEVQFIDGSL-RBUKOAKNSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001028048485 656644957 /nfs/dbraw/zinc/64/49/57/656644957.db2.gz GHIMSHSKRLNBRW-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001028048485 656644959 /nfs/dbraw/zinc/64/49/59/656644959.db2.gz GHIMSHSKRLNBRW-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)ccc1C1CC1 ZINC001049612822 656650094 /nfs/dbraw/zinc/65/00/94/656650094.db2.gz CMXPOKVTPZHFTA-VQTJNVASSA-N 0 1 322.452 3.185 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C/Cl ZINC001049637600 656654164 /nfs/dbraw/zinc/65/41/64/656654164.db2.gz HRRDWDMCXYZDBS-SIEMXDPISA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1C1(C)CC1 ZINC001049715085 656672399 /nfs/dbraw/zinc/67/23/99/656672399.db2.gz SLKBYEVPIPIYEZ-MOPGFXCFSA-N 0 1 322.452 3.050 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2occc2s1 ZINC001049719232 656673534 /nfs/dbraw/zinc/67/35/34/656673534.db2.gz QOVBVYHNVGBEOJ-STQMWFEESA-N 0 1 316.426 3.359 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2occc2s1 ZINC001049719229 656673592 /nfs/dbraw/zinc/67/35/92/656673592.db2.gz QOVBVYHNVGBEOJ-CHWSQXEVSA-N 0 1 316.426 3.359 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@@H]3[C@H]2CCN3CC#CC)cc1 ZINC001049738874 656675122 /nfs/dbraw/zinc/67/51/22/656675122.db2.gz AJDVPDRTMSOSEA-RTBURBONSA-N 0 1 308.425 3.032 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750971 656679338 /nfs/dbraw/zinc/67/93/38/656679338.db2.gz ATKJTIXCOFJDKL-RTBURBONSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2oc(C(F)F)cc2C)CC1 ZINC000981375392 656688160 /nfs/dbraw/zinc/68/81/60/656688160.db2.gz DBZBRJNXDDJFFM-UHFFFAOYSA-N 0 1 312.360 3.250 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)c(CC)o1 ZINC001049844989 656696418 /nfs/dbraw/zinc/69/64/18/656696418.db2.gz UPTGPPXLEPTJBZ-HUUCEWRRSA-N 0 1 302.418 3.015 20 30 DGEDMN CC(C)CC(=O)N1CC[C@@H]2CCN(CC#Cc3ccccc3)[C@@H]2C1 ZINC001041906775 656698242 /nfs/dbraw/zinc/69/82/42/656698242.db2.gz NXYRFPOQSJEUOL-VQTJNVASSA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)(C)C(=O)N1CCC[C@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049860109 656702488 /nfs/dbraw/zinc/70/24/88/656702488.db2.gz ZIPQVPWDNUZKEF-RBUKOAKNSA-N 0 1 324.468 3.150 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1occ2c1CCCC2 ZINC001049863188 656703311 /nfs/dbraw/zinc/70/33/11/656703311.db2.gz LPXWBYRTFQYKBE-DLBZAZTESA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1oc2ccccc2c1C ZINC001049876094 656708932 /nfs/dbraw/zinc/70/89/32/656708932.db2.gz IEHCLVAABCXZQW-IAGOWNOFSA-N 0 1 322.408 3.053 20 30 DGEDMN N#Cc1cccc(NC(=O)c2ccc(CN3CCCCC3)o2)c1 ZINC000929215038 661288357 /nfs/dbraw/zinc/28/83/57/661288357.db2.gz KTRDUEFTPUSYFQ-UHFFFAOYSA-N 0 1 309.369 3.389 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C12CCC(CC1)CC2 ZINC001049904382 656717547 /nfs/dbraw/zinc/71/75/47/656717547.db2.gz OZXWXXHBVMRTKX-NRGCRIOASA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2cccc(C(C)C)c2)CC1 ZINC000981546338 656718082 /nfs/dbraw/zinc/71/80/82/656718082.db2.gz RJCRYXSERBBDIN-UHFFFAOYSA-N 0 1 300.446 3.073 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sccc1C(C)C ZINC001049907989 656718515 /nfs/dbraw/zinc/71/85/15/656718515.db2.gz FXMOLARDQRRRAE-HOTGVXAUSA-N 0 1 316.470 3.184 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001049923332 656724879 /nfs/dbraw/zinc/72/48/79/656724879.db2.gz KPCNVSYBPOLKRL-GGPKGHCWSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc([C@H]3C[C@H]3C)o2)CC1 ZINC000981586909 656726932 /nfs/dbraw/zinc/72/69/32/656726932.db2.gz MIMMAZOCNZNGPX-WBVHZDCISA-N 0 1 316.445 3.056 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](c2ccccc2)C2CC2)CC1 ZINC000981609003 656735210 /nfs/dbraw/zinc/73/52/10/656735210.db2.gz KCCVSCSOCNUAKC-IBGZPJMESA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2c(F)cccc2F)CC1 ZINC000981622983 656738580 /nfs/dbraw/zinc/73/85/80/656738580.db2.gz KLMNRFKMALCMNF-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)CC2CC(F)(F)C2)CC1 ZINC001052502484 656743172 /nfs/dbraw/zinc/74/31/72/656743172.db2.gz KMYPBMMREKCUHK-CYBMUJFWSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2Cc3ccccc32)CC1 ZINC001052667187 656744667 /nfs/dbraw/zinc/74/46/67/656744667.db2.gz IZYUZGUADOVQNP-RDJZCZTQSA-N 0 1 318.848 3.050 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)C(C)(C)C3CCCCC3)C[C@H]21 ZINC001042262167 656746284 /nfs/dbraw/zinc/74/62/84/656746284.db2.gz HBXPXXMIXGPREW-FUHWJXTLSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)C3CCCCC3)C[C@@H]21 ZINC001042262166 656746958 /nfs/dbraw/zinc/74/69/58/656746958.db2.gz HBXPXXMIXGPREW-AEFFLSMTSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2CCC(F)CC2)CC1 ZINC001052683283 656748488 /nfs/dbraw/zinc/74/84/88/656748488.db2.gz BLANWLIRGXAUPO-YMAMQOFZSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C2CC2)CC1 ZINC000981685783 656748666 /nfs/dbraw/zinc/74/86/66/656748666.db2.gz XXBLZMMCNRJYOU-QZTJIDSGSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001052694309 656750088 /nfs/dbraw/zinc/75/00/88/656750088.db2.gz RYHJUXKHPMIMAF-HLLBOEOZSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(C4CC4)s3)C[C@@H]21 ZINC001042314231 656750773 /nfs/dbraw/zinc/75/07/73/656750773.db2.gz YTFLWTNNWLNAAA-ZFWWWQNUSA-N 0 1 316.470 3.348 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](C)c2ccco2)CC1 ZINC001052704593 656751930 /nfs/dbraw/zinc/75/19/30/656751930.db2.gz DFJSZUYIVDQAGY-KBPBESRZSA-N 0 1 310.825 3.106 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccccc3C3CCC3)C[C@@H]21 ZINC001042335183 656756039 /nfs/dbraw/zinc/75/60/39/656756039.db2.gz JKIPYLYSCHCBRQ-XLIONFOSSA-N 0 1 322.452 3.124 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)C(C)(C)c2cccs2)CC1 ZINC000981735128 656756824 /nfs/dbraw/zinc/75/68/24/656756824.db2.gz AXCQHFFXVNNFRW-UHFFFAOYSA-N 0 1 306.475 3.136 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2cccs2)CC1 ZINC000981735128 656756827 /nfs/dbraw/zinc/75/68/27/656756827.db2.gz AXCQHFFXVNNFRW-UHFFFAOYSA-N 0 1 306.475 3.136 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc4ccccc4c3)C[C@@H]21 ZINC001042335838 656757749 /nfs/dbraw/zinc/75/77/49/656757749.db2.gz NUOOJOBPHBIZFP-XLIONFOSSA-N 0 1 318.420 3.009 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3sccc3C(C)C)C[C@@H]21 ZINC001042367321 656764926 /nfs/dbraw/zinc/76/49/26/656764926.db2.gz FQJQDGUTIUOUQD-HOCLYGCPSA-N 0 1 316.470 3.041 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2occc2s1 ZINC001028133746 656780810 /nfs/dbraw/zinc/78/08/10/656780810.db2.gz YCPNNMJOZRVBBS-GFCCVEGCSA-N 0 1 304.415 3.265 20 30 DGEDMN CC#CC[N@@H+]1CCCC2(CN(C(=O)[C@@]3(C)CCC[C@@H]3CC)C2)C1 ZINC000981846860 656784858 /nfs/dbraw/zinc/78/48/58/656784858.db2.gz PKTXFKBKSZMGLM-HKUYNNGSSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@]3(C)CCC[C@@H]3CC)C2)C1 ZINC000981846860 656784859 /nfs/dbraw/zinc/78/48/59/656784859.db2.gz PKTXFKBKSZMGLM-HKUYNNGSSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cccn2C(C)C)CC1 ZINC001052940164 656793522 /nfs/dbraw/zinc/79/35/22/656793522.db2.gz HJZLRNXTKUUGDG-OAHLLOKOSA-N 0 1 323.868 3.406 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2CCC=CCC2)CC1 ZINC001052944647 656793719 /nfs/dbraw/zinc/79/37/19/656793719.db2.gz WXOKUHVRGBCDKF-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC000981929986 656808207 /nfs/dbraw/zinc/80/82/07/656808207.db2.gz FNLPLPICXACNHV-SOFGYWHQSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)OC2CCCCCC2)CC1 ZINC000981925833 656808995 /nfs/dbraw/zinc/80/89/95/656808995.db2.gz MKQGBDRYHXCLIW-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCN(C(=O)C3CC3)C2)C1 ZINC001053004468 656815010 /nfs/dbraw/zinc/81/50/10/656815010.db2.gz VXUKHPOBYWDWDW-JKSUJKDBSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001043457347 656830135 /nfs/dbraw/zinc/83/01/35/656830135.db2.gz VPRGUFJKSQPKJU-UHFFFAOYSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCCN2C(=O)C(C)C)C1 ZINC001053072344 656844084 /nfs/dbraw/zinc/84/40/84/656844084.db2.gz QHUSHRWKGIBIOE-HZPDHXFCSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCCN(C/C=C/Cl)C3)C1 ZINC000982001174 656856642 /nfs/dbraw/zinc/85/66/42/656856642.db2.gz UTBQLCMKVJVXBY-GQCTYLIASA-N 0 1 308.853 3.020 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CN(CC3CCC(C)CC3)C2)C1 ZINC001043810738 656859514 /nfs/dbraw/zinc/85/95/14/656859514.db2.gz AQAAPLHINJZVEK-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC000982024961 656863488 /nfs/dbraw/zinc/86/34/88/656863488.db2.gz URMIIAKGJOGXAN-PIIMJCKOSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C1CCCC1 ZINC001054029121 656881655 /nfs/dbraw/zinc/88/16/55/656881655.db2.gz FZHILEGLDJESFK-LJQANCHMSA-N 0 1 312.457 3.296 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](CC)Cc2cccc(C)c2)CC1 ZINC000982093903 656891050 /nfs/dbraw/zinc/89/10/50/656891050.db2.gz IBXIMCLZZDMKSK-LJQANCHMSA-N 0 1 314.473 3.284 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)c3ccc(C)cc3)C2)C1 ZINC000982123912 656900539 /nfs/dbraw/zinc/90/05/39/656900539.db2.gz SEIFOPWBQHIFOD-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(C3CCCCC3)CC2)C1 ZINC001044235066 656901392 /nfs/dbraw/zinc/90/13/92/656901392.db2.gz NHYWVTSTJYIHDZ-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc4ccccc4c3)C2)C1 ZINC000982130589 656903555 /nfs/dbraw/zinc/90/35/55/656903555.db2.gz SNFKUCKSGMADKO-UHFFFAOYSA-N 0 1 318.420 3.011 20 30 DGEDMN C=CC[N@@H+]1CCCC2(CN(C(=O)C3CCC(C)(C)CC3)C2)C1 ZINC000982167958 656909273 /nfs/dbraw/zinc/90/92/73/656909273.db2.gz WXPUWXCUUUXOMY-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3C[C@H](C)C[C@@H](C)C3)C2)C1 ZINC000982167067 656909520 /nfs/dbraw/zinc/90/95/20/656909520.db2.gz ZPLGAWVBMFEXOT-IAGOWNOFSA-N 0 1 316.489 3.006 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H]3CCCC[C@H]3C)C2)C1 ZINC000982168742 656909942 /nfs/dbraw/zinc/90/99/42/656909942.db2.gz BKMUMXSOPQBROW-MSOLQXFVSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001054111706 656912466 /nfs/dbraw/zinc/91/24/66/656912466.db2.gz AZTPQLKSEAOMTK-LHHMISFZSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C(F)=C(C)C)C2 ZINC001054114070 656913623 /nfs/dbraw/zinc/91/36/23/656913623.db2.gz UAFGYKKMUOMSSU-INIZCTEOSA-N 0 1 314.832 3.317 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2ccccc2F)CC1 ZINC000982215081 656918932 /nfs/dbraw/zinc/91/89/32/656918932.db2.gz MHRUCCXKIZZNKT-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@]13C[C@H]1CCC3)C2 ZINC001054168231 656923275 /nfs/dbraw/zinc/92/32/75/656923275.db2.gz UPGLSQDRBJSGGN-KBAYOESNSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@]1(C)C=CCC1)C2 ZINC001054180821 656926597 /nfs/dbraw/zinc/92/65/97/656926597.db2.gz YBFVFLSCUOJWEZ-MSOLQXFVSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@]3(CCN(CCF)C3)C2)CC1 ZINC001054189350 656931309 /nfs/dbraw/zinc/93/13/09/656931309.db2.gz HMAYUDRSJICQAC-GOSISDBHSA-N 0 1 308.441 3.017 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc2sccc2c1 ZINC001028236707 656932628 /nfs/dbraw/zinc/93/26/28/656932628.db2.gz NZJNGZSNBPZOQR-INIZCTEOSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1CCC)C2 ZINC001054192779 656932992 /nfs/dbraw/zinc/93/29/92/656932992.db2.gz JDFDPZKGQBTYPM-JFIYKMOQSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1CC13CCC3)C2 ZINC001054205525 656937591 /nfs/dbraw/zinc/93/75/91/656937591.db2.gz SSVDRDGHONUFHZ-RDJZCZTQSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cccs3)cc2C1 ZINC001054253582 656950309 /nfs/dbraw/zinc/95/03/09/656950309.db2.gz DHJLCMWEXRRKKH-UHFFFAOYSA-N 0 1 310.422 3.017 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3coc(C)c3)cc2C1 ZINC001054262674 656955424 /nfs/dbraw/zinc/95/54/24/656955424.db2.gz OBFWCWHECQDRHY-UHFFFAOYSA-N 0 1 310.397 3.410 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccc(CC)o3)cc2C1 ZINC001054270212 656956060 /nfs/dbraw/zinc/95/60/60/656956060.db2.gz FTFJOUCZWKSKLL-UHFFFAOYSA-N 0 1 322.408 3.111 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3CCC3(F)F)cc2C1 ZINC001054270759 656957439 /nfs/dbraw/zinc/95/74/39/656957439.db2.gz ZVQMNTJIQLUOOD-MRXNPFEDSA-N 0 1 320.383 3.240 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC[C@H](C)C3)cc2C1 ZINC001054278894 656959312 /nfs/dbraw/zinc/95/93/12/656959312.db2.gz QMGCHHNFJYANOM-FUHWJXTLSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC001028302935 656986931 /nfs/dbraw/zinc/98/69/31/656986931.db2.gz YYAMUSAAULTRJO-KRWDZBQOSA-N 0 1 300.446 3.382 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1oc2c(cccc2C)c1C ZINC001028334931 656996075 /nfs/dbraw/zinc/99/60/75/656996075.db2.gz LAULBYPTBSRXPI-OAHLLOKOSA-N 0 1 312.413 3.430 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001028338070 656997261 /nfs/dbraw/zinc/99/72/61/656997261.db2.gz XFLUJQKCQJUPCB-QFBILLFUSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001045185925 656999376 /nfs/dbraw/zinc/99/93/76/656999376.db2.gz PMBLLGTZJPRBKX-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001028345069 657000713 /nfs/dbraw/zinc/00/07/13/657000713.db2.gz UZQWFIOINRTDIG-HNNXBMFYSA-N 0 1 318.848 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C(C)C)nc2)C[C@@H]1C ZINC001054733578 657004262 /nfs/dbraw/zinc/00/42/62/657004262.db2.gz SUCWEIJIZNWYDN-BLLLJJGKSA-N 0 1 321.852 3.008 20 30 DGEDMN O=C1c2cccc(F)c2OC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000178724419 657028679 /nfs/dbraw/zinc/02/86/79/657028679.db2.gz YUQUBXSCRJWXSI-UXBLZVDNSA-N 0 1 315.256 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccn(C(C)C)c2C)C[C@@H]1C ZINC001054998361 657030591 /nfs/dbraw/zinc/03/05/91/657030591.db2.gz ACMJGOLEJUWRIZ-BLLLJJGKSA-N 0 1 323.868 3.180 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(F)cc(Cl)c2)CC1 ZINC001045570898 657037358 /nfs/dbraw/zinc/03/73/58/657037358.db2.gz ZGLJRXVUFJZYSY-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2c(CC)oc3ccccc32)CC1 ZINC001045645940 657048812 /nfs/dbraw/zinc/04/88/12/657048812.db2.gz NKXJDKQGXGECGO-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H](C)c2ccco2)C1 ZINC001000705585 657143517 /nfs/dbraw/zinc/14/35/17/657143517.db2.gz QSGLEZAWFUVMPJ-TZMCWYRMSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2cc(C)ccc2F)C1 ZINC001000743868 657148710 /nfs/dbraw/zinc/14/87/10/657148710.db2.gz ZDPHSMPITSVRBG-OAHLLOKOSA-N 0 1 324.827 3.010 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2csc3cc(C)ccc23)C1 ZINC001046347077 657150740 /nfs/dbraw/zinc/15/07/40/657150740.db2.gz NLCQLZJZAIWKBZ-SFHVURJKSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(Cl)s2)C1 ZINC001000850104 657159030 /nfs/dbraw/zinc/15/90/30/657159030.db2.gz SGYYQAUOSINXIR-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@]2(C)CC=C(C)CC2)C1 ZINC001000968199 657170304 /nfs/dbraw/zinc/17/03/04/657170304.db2.gz AKQMPSBWXZWQSJ-WBVHZDCISA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3occc3s2)C1 ZINC001046619895 657189715 /nfs/dbraw/zinc/18/97/15/657189715.db2.gz DFUOSTGNXBUBRF-INIZCTEOSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001046664177 657200556 /nfs/dbraw/zinc/20/05/56/657200556.db2.gz YZYURNXTSGPEID-SFHVURJKSA-N 0 1 304.434 3.266 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001046670585 657201200 /nfs/dbraw/zinc/20/12/00/657201200.db2.gz PRMLJGSRYWYCSK-GOSISDBHSA-N 0 1 316.376 3.342 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001046729810 657210131 /nfs/dbraw/zinc/21/01/31/657210131.db2.gz WMNSVROXZVFAKS-LNLFQRSKSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001046742502 657210918 /nfs/dbraw/zinc/21/09/18/657210918.db2.gz LKGSAVFRJFSQIM-IBGZPJMESA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001046794101 657221128 /nfs/dbraw/zinc/22/11/28/657221128.db2.gz MYBLPEJPOJRFLS-HNNXBMFYSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001046792709 657221472 /nfs/dbraw/zinc/22/14/72/657221472.db2.gz YKYQKFODFZFGKU-OAHLLOKOSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001046804580 657223548 /nfs/dbraw/zinc/22/35/48/657223548.db2.gz GQXBAYLNJFSKNI-MRXNPFEDSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001046804575 657223962 /nfs/dbraw/zinc/22/39/62/657223962.db2.gz GQXBAYLNJFSKNI-INIZCTEOSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc(CC)c(C)s2)C1 ZINC001046825900 657230866 /nfs/dbraw/zinc/23/08/66/657230866.db2.gz PCHCFFFFWVYHRI-KRWDZBQOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001046848250 657235558 /nfs/dbraw/zinc/23/55/58/657235558.db2.gz GONAQGFADSVLCM-GOSISDBHSA-N 0 1 316.376 3.342 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001046856265 657238172 /nfs/dbraw/zinc/23/81/72/657238172.db2.gz VVKIPISJAZRZAI-OXQOHEQNSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001046869888 657241152 /nfs/dbraw/zinc/24/11/52/657241152.db2.gz GBGDVOCZIPQUPY-QGZVFWFLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001046870295 657241510 /nfs/dbraw/zinc/24/15/10/657241510.db2.gz KPQHMKWRUWYRCM-LJQANCHMSA-N 0 1 309.413 3.062 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001046881589 657242301 /nfs/dbraw/zinc/24/23/01/657242301.db2.gz SVBRYXSHWIXAOM-OAQYLSRUSA-N 0 1 322.452 3.471 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCC2(C)C)C1 ZINC001046963787 657247122 /nfs/dbraw/zinc/24/71/22/657247122.db2.gz JUQKRLHDXYFWMG-UONOGXRCSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2ccc(C=C)cc2)C1 ZINC001046973903 657248351 /nfs/dbraw/zinc/24/83/51/657248351.db2.gz YYBRDCJLBBSSKY-INIZCTEOSA-N 0 1 320.383 3.203 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2ccc(C)cc2C)C1 ZINC001046995410 657250747 /nfs/dbraw/zinc/25/07/47/657250747.db2.gz JCNGBMROMZGKPV-HNNXBMFYSA-N 0 1 322.399 3.176 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)C3(C)CC3)C2)CC1 ZINC001047241246 657285250 /nfs/dbraw/zinc/28/52/50/657285250.db2.gz NYQHWOREGIZVDS-MRXNPFEDSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC=CCC3)C2)CC1 ZINC001050429914 657306471 /nfs/dbraw/zinc/30/64/71/657306471.db2.gz NLHMNGROMHPDRU-OAHLLOKOSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)(C)C3CC3)C2)CC1 ZINC001050626962 657333260 /nfs/dbraw/zinc/33/32/60/657333260.db2.gz WSTIMYUKFGMJBW-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCCC[C@@H]3C)C2)CC1 ZINC001050637544 657334921 /nfs/dbraw/zinc/33/49/21/657334921.db2.gz BBHNYGCHMXXVJK-HOCLYGCPSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3CCC3(C)C)cccc2C1 ZINC001051298523 657383417 /nfs/dbraw/zinc/38/34/17/657383417.db2.gz ULVWXOPUUOUDJB-IBGZPJMESA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCC(C)(C)C3)cccc2C1 ZINC001051299881 657383957 /nfs/dbraw/zinc/38/39/57/657383957.db2.gz QPVWCQYMUVETDX-INIZCTEOSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353967 657392574 /nfs/dbraw/zinc/39/25/74/657392574.db2.gz KURRAIZUMRFVPN-FZMZJTMJSA-N 0 1 310.800 3.105 20 30 DGEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000968366377 657396365 /nfs/dbraw/zinc/39/63/65/657396365.db2.gz WXSVPFLHABQWOB-OXJNMPFZSA-N 0 1 320.436 3.222 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccsc2Cl)C1 ZINC000968386400 657402434 /nfs/dbraw/zinc/40/24/34/657402434.db2.gz IIEOPJGKHCUCQF-DGCLKSJQSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccsc2Cl)C1 ZINC000968386399 657402514 /nfs/dbraw/zinc/40/25/14/657402514.db2.gz IIEOPJGKHCUCQF-AAEUAGOBSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC000957337304 657402647 /nfs/dbraw/zinc/40/26/47/657402647.db2.gz UBMUWNDUEZXORF-KRWDZBQOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(OCC)cc2)C1 ZINC000957343611 657403925 /nfs/dbraw/zinc/40/39/25/657403925.db2.gz UATUJDCLJGAZCN-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968404085 657406487 /nfs/dbraw/zinc/40/64/87/657406487.db2.gz BVIBWYJOGAKHJC-KXBFYZLASA-N 0 1 310.441 3.272 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC000968405434 657407749 /nfs/dbraw/zinc/40/77/49/657407749.db2.gz LPMXUECDNLZODI-NZEHOUKNSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2ccc(C)cc2)CC1 ZINC000957376403 657409549 /nfs/dbraw/zinc/40/95/49/657409549.db2.gz ORUBWGHEPSYKIO-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC000957430138 657415903 /nfs/dbraw/zinc/41/59/03/657415903.db2.gz VZIPAMLMZNRWHJ-HTWSVDAQSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968505012 657418515 /nfs/dbraw/zinc/41/85/15/657418515.db2.gz LYRKNODLVZOGEA-QFBILLFUSA-N 0 1 312.457 3.274 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968505016 657418654 /nfs/dbraw/zinc/41/86/54/657418654.db2.gz LYRKNODLVZOGEA-VQIMIIECSA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2oc(CC)cc2C)C1 ZINC000968549336 657424386 /nfs/dbraw/zinc/42/43/86/657424386.db2.gz POASRNOAQMIJGT-CZUORRHYSA-N 0 1 304.434 3.167 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC000968565114 657427457 /nfs/dbraw/zinc/42/74/57/657427457.db2.gz DPXYPPNIJNCGKU-RDJZCZTQSA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC000968575321 657431268 /nfs/dbraw/zinc/43/12/68/657431268.db2.gz UZFDCDHSRUKQSG-GGEQCSGTSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C)cc1 ZINC001029423075 657435582 /nfs/dbraw/zinc/43/55/82/657435582.db2.gz OCNSYUICXXVHFV-HDICACEKSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(F)F)o2)C1 ZINC000968598492 657437128 /nfs/dbraw/zinc/43/71/28/657437128.db2.gz GOHZQBCSSNJBFP-VXGBXAGGSA-N 0 1 312.360 3.234 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC000968599045 657437814 /nfs/dbraw/zinc/43/78/14/657437814.db2.gz PYPJYFFROZHDNF-CMPLNLGQSA-N 0 1 314.401 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2OCC)C1 ZINC000968602041 657438462 /nfs/dbraw/zinc/43/84/62/657438462.db2.gz OISHNOVKQFZMLE-WBVHZDCISA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968611256 657442127 /nfs/dbraw/zinc/44/21/27/657442127.db2.gz UYUQVNKGRBVWNX-WBMJQRKESA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622958 657446292 /nfs/dbraw/zinc/44/62/92/657446292.db2.gz DAKUQVVDRKZAFY-IUODEOHRSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622958 657446298 /nfs/dbraw/zinc/44/62/98/657446298.db2.gz DAKUQVVDRKZAFY-IUODEOHRSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC000968680517 657455297 /nfs/dbraw/zinc/45/52/97/657455297.db2.gz AOITWSBNFIKQQI-RDTXWAMCSA-N 0 1 311.429 3.103 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692898 657460527 /nfs/dbraw/zinc/46/05/27/657460527.db2.gz QPIHKBMWCPFJJV-ZNVHBHFFSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C)c3c2CCC3)CC1 ZINC000957812605 657464646 /nfs/dbraw/zinc/46/46/46/657464646.db2.gz XISIMLWWZBOUAL-UHFFFAOYSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCCc3ccccc32)CC1 ZINC000957819549 657466419 /nfs/dbraw/zinc/46/64/19/657466419.db2.gz NSTPVZNKCWZYBZ-LJQANCHMSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2Cc3c2cccc3Cl)CC1 ZINC000957849887 657470251 /nfs/dbraw/zinc/47/02/51/657470251.db2.gz ZWDNRSKITKQXPI-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1c(C)noc1CCC ZINC000968857085 657503126 /nfs/dbraw/zinc/50/31/26/657503126.db2.gz SMFHRPDIYSZTBS-OAHLLOKOSA-N 0 1 319.449 3.096 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000968962432 657523400 /nfs/dbraw/zinc/52/34/00/657523400.db2.gz FCACIQALRUTRJZ-MHORFTMASA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC000968976645 657525534 /nfs/dbraw/zinc/52/55/34/657525534.db2.gz GKBQOYCGBDNYDE-QGZVFWFLSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC000968980155 657527923 /nfs/dbraw/zinc/52/79/23/657527923.db2.gz SHDSZBOAXASVRJ-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(F)c1Cl ZINC000969044498 657541493 /nfs/dbraw/zinc/54/14/93/657541493.db2.gz REJJPLVBDJOGSP-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1sc(C)cc1OC ZINC000969057056 657544729 /nfs/dbraw/zinc/54/47/29/657544729.db2.gz QSNGMTHXHWDQEK-AWEZNQCLSA-N 0 1 322.474 3.226 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc(C(C)C)no1 ZINC000969058961 657545357 /nfs/dbraw/zinc/54/53/57/657545357.db2.gz DZJBNNLSDZFEQY-HNNXBMFYSA-N 0 1 319.449 3.349 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc(Cl)cs1 ZINC000969059797 657546178 /nfs/dbraw/zinc/54/61/78/657546178.db2.gz REWRFINQKGNUMJ-CQSZACIVSA-N 0 1 324.877 3.399 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1oc(C2CC2)nc1C ZINC000969062339 657547110 /nfs/dbraw/zinc/54/71/10/657547110.db2.gz MFBODLKBQDOIQZ-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccoc2C(F)(F)F)C1 ZINC001007423123 657606479 /nfs/dbraw/zinc/60/64/79/657606479.db2.gz GVVSVHNEONSWOO-NSHDSACASA-N 0 1 316.323 3.069 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001007571728 657619950 /nfs/dbraw/zinc/61/99/50/657619950.db2.gz SIXKOVFAMJYIBO-HNNXBMFYSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CCCN(CC(=C)C)C1 ZINC001007671040 657626884 /nfs/dbraw/zinc/62/68/84/657626884.db2.gz BONMCWXILSZWBD-INIZCTEOSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001007807974 657634223 /nfs/dbraw/zinc/63/42/23/657634223.db2.gz CMEPFTCEZVARLF-INIZCTEOSA-N 0 1 316.445 3.199 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC001007860280 657640455 /nfs/dbraw/zinc/64/04/55/657640455.db2.gz SRFXMKNCUDDXKC-GOSISDBHSA-N 0 1 312.457 3.265 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(C)cs2)CC1 ZINC000985418057 657651007 /nfs/dbraw/zinc/65/10/07/657651007.db2.gz OYMLWVSYVXTXST-UHFFFAOYSA-N 0 1 312.866 3.345 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001008086586 657658199 /nfs/dbraw/zinc/65/81/99/657658199.db2.gz DQJWZQFACDXKCV-INIZCTEOSA-N 0 1 315.461 3.284 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nccc3ccccc32)C1 ZINC001008344762 657686595 /nfs/dbraw/zinc/68/65/95/657686595.db2.gz USTNCYBMAKGAEV-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001008397733 657691108 /nfs/dbraw/zinc/69/11/08/657691108.db2.gz FIYLAAHZNWVKJH-CJNGLKHVSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(Cc3csc(C)c3)C2)C1 ZINC001008462596 657697798 /nfs/dbraw/zinc/69/77/98/657697798.db2.gz DLBWFCIIINWSFY-MRXNPFEDSA-N 0 1 318.486 3.493 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC001008558925 657705712 /nfs/dbraw/zinc/70/57/12/657705712.db2.gz LGTPXFBCTUXPBC-INIZCTEOSA-N 0 1 315.461 3.284 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001008647919 657713568 /nfs/dbraw/zinc/71/35/68/657713568.db2.gz WVUZRQLTGJPYRF-OAHLLOKOSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2scnc2C(C)C)C1 ZINC001008793925 657730300 /nfs/dbraw/zinc/73/03/00/657730300.db2.gz KBUOWLGCLMQOTB-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001008795083 657730474 /nfs/dbraw/zinc/73/04/74/657730474.db2.gz OOZZQRNWLRAFPJ-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001008847653 657734092 /nfs/dbraw/zinc/73/40/92/657734092.db2.gz UWKHYYCNMQXVMT-LJQANCHMSA-N 0 1 310.441 3.416 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)c2cc(C)oc2C)C1 ZINC001008891449 657735475 /nfs/dbraw/zinc/73/54/75/657735475.db2.gz FZGRBSXDMAKHII-GDBMZVCRSA-N 0 1 304.434 3.157 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC001008893969 657738408 /nfs/dbraw/zinc/73/84/08/657738408.db2.gz VKFYEXHJBGOGGA-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC001008895726 657738523 /nfs/dbraw/zinc/73/85/23/657738523.db2.gz SBDMGAMFQTVRIW-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001008980958 657741448 /nfs/dbraw/zinc/74/14/48/657741448.db2.gz NEDLCMPEGJIASL-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC001008999915 657742860 /nfs/dbraw/zinc/74/28/60/657742860.db2.gz AONMEAILBYHLPC-INIZCTEOSA-N 0 1 315.461 3.374 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001009119498 657748344 /nfs/dbraw/zinc/74/83/44/657748344.db2.gz AWUSJRYURMOJKW-SFHVURJKSA-N 0 1 310.397 3.327 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001029802184 657749006 /nfs/dbraw/zinc/74/90/06/657749006.db2.gz WVWBENVDOIEYHH-LSDHHAIUSA-N 0 1 319.449 3.037 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H]2CC=CCC2)CC1 ZINC000985574518 657765359 /nfs/dbraw/zinc/76/53/59/657765359.db2.gz OLCMOBXULZXSOP-HNNXBMFYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2C(F)(F)F)C1 ZINC001009309459 657765784 /nfs/dbraw/zinc/76/57/84/657765784.db2.gz VCSBDYAEHUOXRE-LBPRGKRZSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001009303698 657766296 /nfs/dbraw/zinc/76/62/96/657766296.db2.gz DEFJALKSLFISBM-AWEZNQCLSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001009319853 657768532 /nfs/dbraw/zinc/76/85/32/657768532.db2.gz SSSXKRKMGRWBAT-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1CCCCCCC1 ZINC000960281682 657772111 /nfs/dbraw/zinc/77/21/11/657772111.db2.gz VKNPEMXQKUEONY-WOJBJXKFSA-N 0 1 324.468 3.352 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2CCC(C(F)F)CC2)C1 ZINC001009371413 657774263 /nfs/dbraw/zinc/77/42/63/657774263.db2.gz OXQOTEVPNFVZCH-YMAMQOFZSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(F)c(C)c2)CC1 ZINC000985607109 657782120 /nfs/dbraw/zinc/78/21/20/657782120.db2.gz DSSAKBWRHMKNOZ-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001009464194 657790247 /nfs/dbraw/zinc/79/02/47/657790247.db2.gz XVCKBYDQEXQVCY-ZDUSSCGKSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2c(C)oc3ccccc32)C1 ZINC001009784725 657817510 /nfs/dbraw/zinc/81/75/10/657817510.db2.gz FETPTGWHYKSGLC-HNNXBMFYSA-N 0 1 312.413 3.050 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960448118 657818760 /nfs/dbraw/zinc/81/87/60/657818760.db2.gz FSIPTHHGGORNQP-UONOGXRCSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC000985725437 657821067 /nfs/dbraw/zinc/82/10/67/657821067.db2.gz CRABTZVVSTVMSM-WBVHZDCISA-N 0 1 312.885 3.344 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cc2ccccc2C)CC1 ZINC000985784786 657853172 /nfs/dbraw/zinc/85/31/72/657853172.db2.gz SULSCOBDROAOBZ-UHFFFAOYSA-N 0 1 320.864 3.213 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)c3ccco3)C2)C1 ZINC000961080206 657942856 /nfs/dbraw/zinc/94/28/56/657942856.db2.gz ACRMYQWMRHSHHZ-LSDHHAIUSA-N 0 1 322.836 3.206 20 30 DGEDMN C[C@H]1[C@@H](NCc2ccccc2C#N)CCN1C(=O)CC(C)(C)C ZINC000985977863 657943068 /nfs/dbraw/zinc/94/30/68/657943068.db2.gz SVHAQJXPOGYGNP-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CC3CCC3)C2)C1 ZINC000961131006 657958047 /nfs/dbraw/zinc/95/80/47/657958047.db2.gz QMUFHPGNNPSOJF-IAGOWNOFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)c2ccc[nH]2)C1 ZINC001029918062 657997928 /nfs/dbraw/zinc/99/79/28/657997928.db2.gz VUIWTJIIFKGVDW-GOSISDBHSA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@@H]2C[C@H]2C)C1 ZINC001029922147 658008361 /nfs/dbraw/zinc/00/83/61/658008361.db2.gz WSZNSPVDFIYDIJ-NZSAHSFTSA-N 0 1 312.457 3.322 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001014720904 658043367 /nfs/dbraw/zinc/04/33/67/658043367.db2.gz HDMNCHUNTZVGBC-QMMMGPOBSA-N 0 1 305.230 3.045 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccc(F)cc2)[C@@H]1C ZINC000986498934 658055533 /nfs/dbraw/zinc/05/55/33/658055533.db2.gz CNDGXQPBDCRFJW-CJNGLKHVSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)oc(C)c2C)[C@H]1C ZINC000986512787 658059184 /nfs/dbraw/zinc/05/91/84/658059184.db2.gz BVNGJTQWBMOLRT-FZMZJTMJSA-N 0 1 310.825 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccc(C)cc2)[C@H]1C ZINC000986524313 658060662 /nfs/dbraw/zinc/06/06/62/658060662.db2.gz RPJPRPNENKOBTA-DOTOQJQBSA-N 0 1 320.864 3.259 20 30 DGEDMN COc1ccccc1-c1n[nH]c(=S)n1N=Cc1ccccc1 ZINC000254290074 658063693 /nfs/dbraw/zinc/06/36/93/658063693.db2.gz UPUFGCBENWZJIU-UHFFFAOYSA-N 0 1 310.382 3.498 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc(C)cc(C)c2)[C@@H]1C ZINC000986813654 658103046 /nfs/dbraw/zinc/10/30/46/658103046.db2.gz PJJDIPFZAAYAKZ-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3occc3s2)[C@H]1C ZINC000986957125 658124294 /nfs/dbraw/zinc/12/42/94/658124294.db2.gz LKMMCFQIDQNHHM-QWRGUYRKSA-N 0 1 324.833 3.439 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)cc(F)c2)[C@@H]1C ZINC000987033279 658141974 /nfs/dbraw/zinc/14/19/74/658141974.db2.gz ZOYPXBLDRKBSMB-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=Cc1ccc(C(=O)N2CC[C@H](NCC(=C)Cl)[C@@H]2C)cc1 ZINC000987041331 658143011 /nfs/dbraw/zinc/14/30/11/658143011.db2.gz PSSWGEDUYGFHEN-BBRMVZONSA-N 0 1 304.821 3.275 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001015730143 658173697 /nfs/dbraw/zinc/17/36/97/658173697.db2.gz MUFSOOILGPCMGP-XUVXKRRUSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2occ3c2CCCC3)[C@H]1C ZINC000987410933 658205082 /nfs/dbraw/zinc/20/50/82/658205082.db2.gz UAHZIQBUQBDOAE-WFASDCNBSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C)c2C)[C@H]1C ZINC000987446872 658212256 /nfs/dbraw/zinc/21/22/56/658212256.db2.gz FPFOEJLANNQGHH-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3ccccc3F)CCC2)C1 ZINC001015982416 658214186 /nfs/dbraw/zinc/21/41/86/658214186.db2.gz FBDZXYXMKDVGDU-OAHLLOKOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001016122337 658239744 /nfs/dbraw/zinc/23/97/44/658239744.db2.gz FNJCJWCERMYSBI-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001016168583 658247423 /nfs/dbraw/zinc/24/74/23/658247423.db2.gz OWEAEIWMONARMX-KGLIPLIRSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2oc(C)cc2C)CC1 ZINC001016556848 658299279 /nfs/dbraw/zinc/29/92/79/658299279.db2.gz OBSFEUZUQYPGGP-CQSZACIVSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@]2(C)CC=CCC2)CC1 ZINC001016644712 658312408 /nfs/dbraw/zinc/31/24/08/658312408.db2.gz GQRQHTHUHYYNIS-DOTOQJQBSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@@H]2C=CCCC2)CC1 ZINC001016689708 658317290 /nfs/dbraw/zinc/31/72/90/658317290.db2.gz PDGIUAXYABBSSH-CVEARBPZSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001016723681 658321144 /nfs/dbraw/zinc/32/11/44/658321144.db2.gz IERSDFLLBHTYPX-KFWWJZLASA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2csc(C)c2)CC1 ZINC001016878113 658348078 /nfs/dbraw/zinc/34/80/78/658348078.db2.gz PXRHCSWLLYTEHS-CQSZACIVSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2CC23CCCC3)CC1 ZINC001016904367 658349019 /nfs/dbraw/zinc/34/90/19/658349019.db2.gz AQPUWLHOJLNJJZ-LSDHHAIUSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)C(F)=C2CCCC2)C1 ZINC000988602644 658365099 /nfs/dbraw/zinc/36/50/99/658365099.db2.gz KFSCJNSGVMLALG-AAEUAGOBSA-N 0 1 300.805 3.116 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)s1 ZINC001017310125 658423807 /nfs/dbraw/zinc/42/38/07/658423807.db2.gz WMBUOQCUBJJEQD-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C(C)(C)C ZINC001017644468 658445959 /nfs/dbraw/zinc/44/59/59/658445959.db2.gz GTFFEAUPDKHLRK-CALCHBBNSA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1CC ZINC001017654183 658447313 /nfs/dbraw/zinc/44/73/13/658447313.db2.gz BPRHBVSJEJKTDV-KDURUIRLSA-N 0 1 312.457 3.043 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCC1CCCCCC1 ZINC001017740285 658455776 /nfs/dbraw/zinc/45/57/76/658455776.db2.gz CTACCWDPZWMRIP-KDURUIRLSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3ccsc3[nH]2)C1 ZINC000989267499 658459368 /nfs/dbraw/zinc/45/93/68/658459368.db2.gz UVOSCVUSKMYHOH-JQWIXIFHSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2cc(C)cc(C)c2)C1 ZINC000989394905 658472382 /nfs/dbraw/zinc/47/23/82/658472382.db2.gz ARTVSXAZAIONJD-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC000989442686 658485655 /nfs/dbraw/zinc/48/56/55/658485655.db2.gz NDEIRZWKKBPKGE-LBPRGKRZSA-N 0 1 312.866 3.225 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)ccc1F ZINC001017992373 658487423 /nfs/dbraw/zinc/48/74/23/658487423.db2.gz AJIYRBCDSSWTRV-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC000966723072 658492883 /nfs/dbraw/zinc/49/28/83/658492883.db2.gz NJXMUVWOYORYQM-YUPKVTIOSA-N 0 1 316.489 3.071 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966726966 658495108 /nfs/dbraw/zinc/49/51/08/658495108.db2.gz WXCYHSDVKOHSJS-CXAGYDPISA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccc(C)s2)CC1 ZINC000989478253 658496033 /nfs/dbraw/zinc/49/60/33/658496033.db2.gz UVSZAAHZAKCFOH-HNNXBMFYSA-N 0 1 306.475 3.270 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)C1CCCCC1 ZINC001018058614 658497392 /nfs/dbraw/zinc/49/73/92/658497392.db2.gz ZSXXSXBCJISJPM-QRQLOZEOSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)C2CCC(F)(F)CC2)C1 ZINC000989485955 658497815 /nfs/dbraw/zinc/49/78/15/658497815.db2.gz SVSOLOXOMDBLOQ-DGCLKSJQSA-N 0 1 320.811 3.143 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](CC)Cc2ccccc2C)CC1 ZINC000989483179 658498417 /nfs/dbraw/zinc/49/84/17/658498417.db2.gz OPMRXHUXTOWYRB-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)ccc2F)C1 ZINC000989487447 658500300 /nfs/dbraw/zinc/50/03/00/658500300.db2.gz ZLIYIAZAJGZYNM-OLZOCXBDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)c2cc(CC)c(CC)o2)CC1 ZINC000989493284 658500880 /nfs/dbraw/zinc/50/08/80/658500880.db2.gz YITPMZZNOWMEEF-UHFFFAOYSA-N 0 1 304.434 3.128 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(CC)c(CC)o2)CC1 ZINC000989493284 658500886 /nfs/dbraw/zinc/50/08/86/658500886.db2.gz YITPMZZNOWMEEF-UHFFFAOYSA-N 0 1 304.434 3.128 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC000966903664 658510874 /nfs/dbraw/zinc/51/08/74/658510874.db2.gz JDBVHQCITYKODJ-SJCJKPOMSA-N 0 1 322.399 3.290 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)C)cc1 ZINC001018211619 658518787 /nfs/dbraw/zinc/51/87/87/658518787.db2.gz JDPQDSCRKPIGEZ-KDURUIRLSA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2CCC(C3CC3)CC2)CC1 ZINC000989583171 658519726 /nfs/dbraw/zinc/51/97/26/658519726.db2.gz XDFZRKFGKJSOCQ-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1ccccc1 ZINC001018240072 658523732 /nfs/dbraw/zinc/52/37/32/658523732.db2.gz FBBBFXDKJPZQIP-YTQUADARSA-N 0 1 312.457 3.432 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC000967008760 658528916 /nfs/dbraw/zinc/52/89/16/658528916.db2.gz RAZCFDIUPKPPRM-ABAIWWIYSA-N 0 1 324.827 3.414 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)c1ccccc1 ZINC001018300229 658532434 /nfs/dbraw/zinc/53/24/34/658532434.db2.gz DDQKCYCFOUZRRA-KDURUIRLSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2sc(C(C)C)nc2C)CC1 ZINC000989655725 658538680 /nfs/dbraw/zinc/53/86/80/658538680.db2.gz CYNVUAGHCPDGBB-UHFFFAOYSA-N 0 1 321.490 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccoc2CCC)C1 ZINC000989675280 658539558 /nfs/dbraw/zinc/53/95/58/658539558.db2.gz GUEBBXHTQUTMKD-CHWSQXEVSA-N 0 1 310.825 3.177 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC000989724677 658549741 /nfs/dbraw/zinc/54/97/41/658549741.db2.gz KBDARQBVNXGACM-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC000967231232 658552025 /nfs/dbraw/zinc/55/20/25/658552025.db2.gz OZSXVJBTTYFRMS-LPHOPBHVSA-N 0 1 314.473 3.365 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(CC)s2)C1 ZINC000967273194 658557309 /nfs/dbraw/zinc/55/73/09/658557309.db2.gz JNIPULKGCFZYBF-UKRRQHHQSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967279273 658560137 /nfs/dbraw/zinc/56/01/37/658560137.db2.gz JVXLLOHCLHXPJT-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967275390 658560213 /nfs/dbraw/zinc/56/02/13/658560213.db2.gz WUVJKDCBPIWVET-BLLLJJGKSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc(C)c2C)C1 ZINC000989741461 658563222 /nfs/dbraw/zinc/56/32/22/658563222.db2.gz DEOVVFXHOKXUFR-UKRRQHHQSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C)c(C)c2)C1 ZINC000989753302 658570457 /nfs/dbraw/zinc/57/04/57/658570457.db2.gz UYFQZNDZUXJLSA-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3c(s2)CCC3)C1 ZINC000989755195 658572409 /nfs/dbraw/zinc/57/24/09/658572409.db2.gz IRHVNEWZDQJDMU-WCQYABFASA-N 0 1 324.877 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc3c(c2)CCC3)C1 ZINC000989778190 658576337 /nfs/dbraw/zinc/57/63/37/658576337.db2.gz ASFHPTKGTQPWQZ-GUYCJALGSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c3cccnc23)C1 ZINC000967410093 658577158 /nfs/dbraw/zinc/57/71/58/658577158.db2.gz YSCMWKRBBJSOOR-YJBOKZPZSA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(C3=CCCC3)cc2)CC1 ZINC000989818027 658583411 /nfs/dbraw/zinc/58/34/11/658583411.db2.gz IDNJLVBXHUWFOK-UHFFFAOYSA-N 0 1 322.452 3.425 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001019115955 658609280 /nfs/dbraw/zinc/60/92/80/658609280.db2.gz VZOUMQMGQCQASW-ZDUSSCGKSA-N 0 1 317.820 3.033 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001019232337 658617737 /nfs/dbraw/zinc/61/77/37/658617737.db2.gz WDFLHCHURZEBPD-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(Cl)c2F)C1 ZINC001019320479 658631300 /nfs/dbraw/zinc/63/13/00/658631300.db2.gz HLIDUMMEAYVYOW-JTQLQIEISA-N 0 1 317.191 3.036 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H](NCc3cc(F)ccc3F)C2)C1 ZINC001019353726 658637642 /nfs/dbraw/zinc/63/76/42/658637642.db2.gz YIVUUYBIUNZSFI-HNNXBMFYSA-N 0 1 320.383 3.012 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccn(C(C)C)c2C)C1 ZINC000967940400 658646100 /nfs/dbraw/zinc/64/61/00/658646100.db2.gz AZYWVLAVZGSFFC-RHSMWYFYSA-N 0 1 303.450 3.004 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)C(C)=C3CCCC3)CCC[C@@H]12 ZINC000990205202 658668492 /nfs/dbraw/zinc/66/84/92/658668492.db2.gz IQLAXWIIHODKRH-IEBWSBKVSA-N 0 1 300.446 3.013 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001019737075 658669744 /nfs/dbraw/zinc/66/97/44/658669744.db2.gz UESPOIWQDYNPON-CJNGLKHVSA-N 0 1 306.837 3.123 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968156124 658674641 /nfs/dbraw/zinc/67/46/41/658674641.db2.gz SPBZNTRWCZIFPO-FZMZJTMJSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)c3occc32)C1 ZINC001019794955 658675792 /nfs/dbraw/zinc/67/57/92/658675792.db2.gz BOIHXCZNTNJZDA-NSHDSACASA-N 0 1 322.767 3.129 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CN(Cc3ccsc3)CC[C@H]2C)C1 ZINC000968277121 658690203 /nfs/dbraw/zinc/69/02/03/658690203.db2.gz XOCDDYQCZYZDLL-ZBFHGGJFSA-N 0 1 318.486 3.431 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C=C2CCCCC2)C1 ZINC001020015151 658693076 /nfs/dbraw/zinc/69/30/76/658693076.db2.gz QZMCZFVHHNHGTB-WGSAOQKQSA-N 0 1 323.440 3.186 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccccc1-c1ccncc1 ZINC001038400119 658748809 /nfs/dbraw/zinc/74/88/09/658748809.db2.gz HVCRQROEHGTUMU-KRWDZBQOSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001038499294 658762719 /nfs/dbraw/zinc/76/27/19/658762719.db2.gz UXHWBMJLVYUCPL-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001038550077 658763126 /nfs/dbraw/zinc/76/31/26/658763126.db2.gz ZXQWOKXGOMNTLP-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cccc4c3CCCC4)CCC[C@H]12 ZINC000990851619 658768833 /nfs/dbraw/zinc/76/88/33/658768833.db2.gz OPMCDQSMKFLZOB-FPOVZHCZSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(F)F)c(F)c1 ZINC001038706431 658784626 /nfs/dbraw/zinc/78/46/26/658784626.db2.gz QPYDDXMAKJKFRE-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001038762065 658797232 /nfs/dbraw/zinc/79/72/32/658797232.db2.gz NBOZDAOIAHSTGB-CQSZACIVSA-N 0 1 304.434 3.266 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@]3(C2)CCCN(C/C=C\Cl)C3)C1 ZINC001040385546 658799759 /nfs/dbraw/zinc/79/97/59/658799759.db2.gz UUNZUYJHLYNVBN-NCRRVARUSA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccccc1OCC(C)C ZINC001038818870 658814720 /nfs/dbraw/zinc/81/47/20/658814720.db2.gz UTKDITUCKISPHL-INIZCTEOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)OC(C)(C)C2)c(O)c(OC)c1 ZINC000164206797 658921937 /nfs/dbraw/zinc/92/19/37/658921937.db2.gz NTPRUDPOHIFASV-ZDUSSCGKSA-N 0 1 305.418 3.129 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)OC(C)(C)C2)c(O)c(OC)c1 ZINC000164206690 658922024 /nfs/dbraw/zinc/92/20/24/658922024.db2.gz NTPRUDPOHIFASV-CYBMUJFWSA-N 0 1 305.418 3.129 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(O)cc2O)cc(Cl)c1O ZINC000156639589 658931621 /nfs/dbraw/zinc/93/16/21/658931621.db2.gz FVSDKIKEYAUBHV-GORDUTHDSA-N 0 1 320.728 3.362 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1[nH]c2ccc(C)cc2c1C ZINC001038860390 658947431 /nfs/dbraw/zinc/94/74/31/658947431.db2.gz CFPFGBPFPYMIQY-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001039032034 658983541 /nfs/dbraw/zinc/98/35/41/658983541.db2.gz HRQSCDRWAGLBRU-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN CC(=Cc1ccccc1Cl)C(=O)[C@@H](C#N)C(=O)N1CCCC1 ZINC000165385813 658985657 /nfs/dbraw/zinc/98/56/57/658985657.db2.gz ROBJGCJMUXOGAH-GAJOTYCWSA-N 0 1 316.788 3.075 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001039073466 658994345 /nfs/dbraw/zinc/99/43/45/658994345.db2.gz JZLMCCFWTJDQJY-OAHLLOKOSA-N 0 1 318.848 3.291 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C2CC=CC2)C1 ZINC001039209084 659040622 /nfs/dbraw/zinc/04/06/22/659040622.db2.gz INYNULPRWVSHFB-IAGOWNOFSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2CC23CCC3)CC1 ZINC000948817202 659256142 /nfs/dbraw/zinc/25/61/42/659256142.db2.gz SYLJQEFHRXVQLM-HUUCEWRRSA-N 0 1 310.869 3.242 20 30 DGEDMN C#Cc1cccc(NC(=O)C[N@H+](C)C[C@@H](C)c2ccccc2)c1 ZINC000172012353 659311042 /nfs/dbraw/zinc/31/10/42/659311042.db2.gz VPIWPIPZMOJXFE-MRXNPFEDSA-N 0 1 306.409 3.342 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@@H](C)c2ccccc2)c1 ZINC000172012353 659311046 /nfs/dbraw/zinc/31/10/46/659311046.db2.gz VPIWPIPZMOJXFE-MRXNPFEDSA-N 0 1 306.409 3.342 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)c2cn(CC)c3ccccc23)C1 ZINC001030641983 659320068 /nfs/dbraw/zinc/32/00/68/659320068.db2.gz FRTMDZRETTULGK-UHFFFAOYSA-N 0 1 311.429 3.041 20 30 DGEDMN N#CCc1cccc(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC000172151808 659320158 /nfs/dbraw/zinc/32/01/58/659320158.db2.gz FEBDIZCWTUFSPW-LJQANCHMSA-N 0 1 320.392 3.184 20 30 DGEDMN C=C(C)CN[C@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 ZINC000172943010 659367551 /nfs/dbraw/zinc/36/75/51/659367551.db2.gz VWPMZPILHQBNQO-GOSISDBHSA-N 0 1 315.438 3.345 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCC[C@@H](C)C2)cc1 ZINC000173986065 659408240 /nfs/dbraw/zinc/40/82/40/659408240.db2.gz GOPGVIQKTGQEGR-DVOMOZLQSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(CNCc2ccc(OC)c(C#N)c2)cc1F ZINC000174255412 659424576 /nfs/dbraw/zinc/42/45/76/659424576.db2.gz QTNIDPWATHVROS-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN COc1ccc(CNCc2nccc3ccccc32)cc1C#N ZINC000174315041 659428710 /nfs/dbraw/zinc/42/87/10/659428710.db2.gz BNBDWXQYRWDSAZ-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3coc(C(F)F)c3)CCC[C@@H]12 ZINC000992137683 659471234 /nfs/dbraw/zinc/47/12/34/659471234.db2.gz OUNHWSKPCPDCFF-CZUORRHYSA-N 0 1 310.344 3.130 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C[C@H]1C ZINC000948055656 659504787 /nfs/dbraw/zinc/50/47/87/659504787.db2.gz DHWMIWBKNSVEHI-KGLIPLIRSA-N 0 1 318.486 3.262 20 30 DGEDMN C#CCC[N@H+]1CC[C@]2(NC(=O)c3cc(Cl)cs3)CCC[C@@H]12 ZINC000992307656 659519624 /nfs/dbraw/zinc/51/96/24/659519624.db2.gz XRQMUUDNYWMDGM-GDBMZVCRSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4sccc4c3)CCC[C@H]12 ZINC000992397614 659540672 /nfs/dbraw/zinc/54/06/72/659540672.db2.gz WYOBMZHIJRYEMJ-PKOBYXMFSA-N 0 1 324.449 3.261 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc(C)c(C)s3)CCC[C@@H]12 ZINC000992475139 659560322 /nfs/dbraw/zinc/56/03/22/659560322.db2.gz NPEZGAKKKWEVQH-SJLPKXTDSA-N 0 1 316.470 3.115 20 30 DGEDMN CC#CC[N@H+]1CC[C@@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@@H]12 ZINC000992505276 659566370 /nfs/dbraw/zinc/56/63/70/659566370.db2.gz BLPRFASOQZZRPF-CVVKJMNTSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc4c(cccc4C)o3)CCC[C@H]12 ZINC000992517743 659569616 /nfs/dbraw/zinc/56/96/16/659569616.db2.gz QSUFUUMNFCESQR-AZUAARDMSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(C)CN1CC[C@]2(NC(=O)c3ccc(F)s3)CCC[C@H]12 ZINC000992525721 659571330 /nfs/dbraw/zinc/57/13/30/659571330.db2.gz XHRKQTRMZFOLKC-XJKSGUPXSA-N 0 1 308.422 3.190 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3occc3c2)[C@H]1C ZINC000993387237 659726144 /nfs/dbraw/zinc/72/61/44/659726144.db2.gz WRILUUZJNBYOGH-PBHICJAKSA-N 0 1 310.397 3.039 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001031289557 659739167 /nfs/dbraw/zinc/73/91/67/659739167.db2.gz JOLBMXHNHGNLKP-KRWDZBQOSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001031289558 659739594 /nfs/dbraw/zinc/73/95/94/659739594.db2.gz JOLBMXHNHGNLKP-QGZVFWFLSA-N 0 1 312.457 3.263 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3c2CCCCC3)[C@H]1C ZINC000993486268 659753113 /nfs/dbraw/zinc/75/31/13/659753113.db2.gz JOGRUSZYQIGFDY-UZLBHIALSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c3ccccc3n2)[C@@H]1C ZINC000993492032 659754615 /nfs/dbraw/zinc/75/46/15/659754615.db2.gz WZDZRTBNYUHZRL-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3cc(F)ccc23)[C@@H]1C ZINC000993522039 659756242 /nfs/dbraw/zinc/75/62/42/659756242.db2.gz OTLRIAODKGASFS-LRDDRELGSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@H]2CCCN(CC=C)[C@@H]2C)cc1 ZINC000993528031 659756647 /nfs/dbraw/zinc/75/66/47/659756647.db2.gz ZUZDOIZHGXQMOA-QAPCUYQASA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)ccc2CC)[C@@H]1C ZINC000993532660 659757166 /nfs/dbraw/zinc/75/71/66/659757166.db2.gz VIWYKBFNXDMJDQ-SUMWQHHRSA-N 0 1 304.409 3.157 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3ccc(CF)cc3)C2)C1 ZINC001015672450 659757893 /nfs/dbraw/zinc/75/78/93/659757893.db2.gz BYSORNYNENDWME-QGZVFWFLSA-N 0 1 316.420 3.203 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2F)[C@@H]1C ZINC000993563502 659763440 /nfs/dbraw/zinc/76/34/40/659763440.db2.gz GFKSEWKWFJMQLT-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)onc2C2CC2)[C@H]1C ZINC000993609954 659765246 /nfs/dbraw/zinc/76/52/46/659765246.db2.gz ZDNFJSZXMIXGRK-IUODEOHRSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H]1C ZINC000993614846 659766278 /nfs/dbraw/zinc/76/62/78/659766278.db2.gz NJJQGCLXKZBMOS-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H]1C ZINC000993632460 659773267 /nfs/dbraw/zinc/77/32/67/659773267.db2.gz BTZMIVGEJLKWNE-NVXWUHKLSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@@H]1C ZINC000993684813 659776045 /nfs/dbraw/zinc/77/60/45/659776045.db2.gz PHHNJIJOUKOBFW-YOEHRIQHSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@@H]1C ZINC000993659370 659777346 /nfs/dbraw/zinc/77/73/46/659777346.db2.gz UUSUAZOBPLVVRB-GXSJLCMTSA-N 0 1 316.232 3.090 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nccc3ccccc32)[C@H]1C ZINC000993724173 659780507 /nfs/dbraw/zinc/78/05/07/659780507.db2.gz GLILCXISLOCQMR-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(F)c(Cl)c2)[C@@H]1C ZINC000993725026 659780953 /nfs/dbraw/zinc/78/09/53/659780953.db2.gz HJWNMDQBUQCQHX-LRDDRELGSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@@H]1C ZINC000993697611 659781458 /nfs/dbraw/zinc/78/14/58/659781458.db2.gz DZBGNHKQZLVSAX-DOTOQJQBSA-N 0 1 323.440 3.001 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)[C@@H]1C ZINC000993736912 659783250 /nfs/dbraw/zinc/78/32/50/659783250.db2.gz APBKRSCTPIUROC-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2)[C@@H]1C ZINC000993736914 659783257 /nfs/dbraw/zinc/78/32/57/659783257.db2.gz APBKRSCTPIUROC-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cncc3sccc32)[C@@H]1C ZINC000993727575 659785764 /nfs/dbraw/zinc/78/57/64/659785764.db2.gz LIVJZQVJTXEXSM-WFASDCNBSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2cccc(F)c2)[C@H]1C ZINC000993807906 659792015 /nfs/dbraw/zinc/79/20/15/659792015.db2.gz TWQOIGLLEYOVGM-PBHICJAKSA-N 0 1 318.436 3.258 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncsc2C2CC2)[C@@H]1C ZINC000993837427 659794847 /nfs/dbraw/zinc/79/48/47/659794847.db2.gz ZISWKWMJSKBGIH-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)cc2Cl)[C@H]1C ZINC000993842966 659795607 /nfs/dbraw/zinc/79/56/07/659795607.db2.gz JVELKQPVBMYCNO-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sc(C)cc2OC)[C@H]1C ZINC000993932926 659800031 /nfs/dbraw/zinc/80/00/31/659800031.db2.gz WVSZGIKVASFVQX-ZIAGYGMSSA-N 0 1 322.474 3.224 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@@H]1C ZINC000993938181 659805610 /nfs/dbraw/zinc/80/56/10/659805610.db2.gz LMNWTBYPFWTDBE-WFASDCNBSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC)cc2Cl)[C@@H]1C ZINC000994010810 659807627 /nfs/dbraw/zinc/80/76/27/659807627.db2.gz QSMSGIRFRFQDGT-BLLLJJGKSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2csc(C(C)C)n2)[C@H]1C ZINC000994025278 659809908 /nfs/dbraw/zinc/80/99/08/659809908.db2.gz RKCVYWKGLCPVCX-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nc(C3CC3)oc2C)[C@H]1C ZINC000994123678 659812930 /nfs/dbraw/zinc/81/29/30/659812930.db2.gz LMZIZUUJCHSFNO-DOMZBBRYSA-N 0 1 317.433 3.019 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c(CC)o2)[C@@H]1C ZINC000994207954 659820158 /nfs/dbraw/zinc/82/01/58/659820158.db2.gz KWTZWTHJKDLIDB-GJZGRUSLSA-N 0 1 304.434 3.309 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc(C3CC3)s2)[C@@H]1C ZINC000994271166 659830040 /nfs/dbraw/zinc/83/00/40/659830040.db2.gz JAEGQCAJYZDSFZ-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccsc2C(F)F)[C@@H]1C ZINC000994355233 659844029 /nfs/dbraw/zinc/84/40/29/659844029.db2.gz WSQKEVGLDYGYDO-CMPLNLGQSA-N 0 1 314.401 3.454 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3ccccc32)[C@H]1C ZINC000994356334 659844284 /nfs/dbraw/zinc/84/42/84/659844284.db2.gz VLHRFPLLEYGPPR-BEFAXECRSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2sccc2C2CC2)[C@@H]1C ZINC000994356458 659844847 /nfs/dbraw/zinc/84/48/47/659844847.db2.gz ZDXAYNHCVFJPSW-WFASDCNBSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)[C@@H]1C ZINC000994402374 659852307 /nfs/dbraw/zinc/85/23/07/659852307.db2.gz OWHZXAJTJLNBOG-WMZOPIPTSA-N 0 1 317.477 3.455 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)c3c2CCC3)[C@@H]1C ZINC000994481430 659859679 /nfs/dbraw/zinc/85/96/79/659859679.db2.gz ZCQYRKZUEDRBRS-JXFKEZNVSA-N 0 1 324.468 3.090 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccccc2CC(C)C)[C@@H]1C ZINC000994497216 659862338 /nfs/dbraw/zinc/86/23/38/659862338.db2.gz QLQIHFOTEWCXSX-QFBILLFUSA-N 0 1 312.457 3.101 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C3CC3)c2)[C@@H]1C ZINC000994497808 659862525 /nfs/dbraw/zinc/86/25/25/659862525.db2.gz RFRMYMRUJTYXHS-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C3CC3)c2)[C@@H]1C ZINC000994497806 659862926 /nfs/dbraw/zinc/86/29/26/659862926.db2.gz RFRMYMRUJTYXHS-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc(C(C)C)s2)[C@@H]1C ZINC000994532656 659874085 /nfs/dbraw/zinc/87/40/85/659874085.db2.gz LHDOCSDELSRUHN-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN COc1ccc2c(c1)O/C(=C\c1cc(F)c(O)c(F)c1)C2=O ZINC000175327615 659919048 /nfs/dbraw/zinc/91/90/48/659919048.db2.gz RXZZIUFJHXXOLY-NSIKDUERSA-N 0 1 304.248 3.295 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)/C=C/SCc2ccco2)c1 ZINC000179731282 659939468 /nfs/dbraw/zinc/93/94/68/659939468.db2.gz OIAIGXPKJNCOCR-FNORWQNLSA-N 0 1 300.339 3.242 20 30 DGEDMN C=CCN1CC(CNC(=O)c2cc3cccc(C)c3s2)C1 ZINC001031782853 659984961 /nfs/dbraw/zinc/98/49/61/659984961.db2.gz OCBWHVCUJVIOFM-UHFFFAOYSA-N 0 1 300.427 3.057 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001031795641 659988257 /nfs/dbraw/zinc/98/82/57/659988257.db2.gz VMLVGBARDPIHJH-UHFFFAOYSA-N 0 1 310.800 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)cc(C)c2)C[C@H]1C ZINC000939615768 660007345 /nfs/dbraw/zinc/00/73/45/660007345.db2.gz LWTWJAKGRRDZRO-CZUORRHYSA-N 0 1 306.837 3.106 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2C2CC2)C[C@@H]1C ZINC000939675852 660008904 /nfs/dbraw/zinc/00/89/04/660008904.db2.gz WAJIIBLSDXQNOR-HZMBPMFUSA-N 0 1 324.877 3.428 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(C)(NCc2coc(C)n2)CC1 ZINC000940301792 660036554 /nfs/dbraw/zinc/03/65/54/660036554.db2.gz TXVHKRQSUGKIKV-KRWDZBQOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001031880449 660037143 /nfs/dbraw/zinc/03/71/43/660037143.db2.gz MRDJPLWTCHYOTD-UHFFFAOYSA-N 0 1 311.429 3.022 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2csc3ccccc23)C1 ZINC001031882810 660038211 /nfs/dbraw/zinc/03/82/11/660038211.db2.gz LTMCFIBCHAIUSI-UHFFFAOYSA-N 0 1 300.427 3.139 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C3CCC3)cc2)C(C)(C)C1 ZINC000940788788 660063795 /nfs/dbraw/zinc/06/37/95/660063795.db2.gz FIMIJERYINEKFR-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000941002280 660077764 /nfs/dbraw/zinc/07/77/64/660077764.db2.gz GUXAXGFATGURLL-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2coc(C(F)F)c2)C(C)(C)C1 ZINC000940986103 660078329 /nfs/dbraw/zinc/07/83/29/660078329.db2.gz HVULUOMBOGYUJO-CQSZACIVSA-N 0 1 324.371 3.071 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2coc(C(F)F)c2)C(C)(C)C1 ZINC000940986103 660078331 /nfs/dbraw/zinc/07/83/31/660078331.db2.gz HVULUOMBOGYUJO-CQSZACIVSA-N 0 1 324.371 3.071 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2csc(Cl)c2)C(C)(C)C1 ZINC000941074732 660083263 /nfs/dbraw/zinc/08/32/63/660083263.db2.gz FKLIJBUFWQJNQK-ZDUSSCGKSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C(C)C)c2)C(C)(C)C1 ZINC000941144674 660088896 /nfs/dbraw/zinc/08/88/96/660088896.db2.gz DOBHCEPQKPVMJT-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C3CC3)s2)C(C)(C)C1 ZINC000941164263 660089980 /nfs/dbraw/zinc/08/99/80/660089980.db2.gz UBOJPPNXPJDGNO-MRXNPFEDSA-N 0 1 316.470 3.089 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc3ccccc3n2)C(C)(C)C1 ZINC000941176599 660091555 /nfs/dbraw/zinc/09/15/55/660091555.db2.gz BTKBWRGFWNMROA-SFHVURJKSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3ccccc3c2)C(C)(C)C1 ZINC000941177173 660091890 /nfs/dbraw/zinc/09/18/90/660091890.db2.gz HPKIISZXGQGOSF-LJQANCHMSA-N 0 1 320.436 3.303 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000941169546 660092750 /nfs/dbraw/zinc/09/27/50/660092750.db2.gz OONSOHRVUHKRDY-LJQANCHMSA-N 0 1 322.452 3.110 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccoc2C(C)C)C(C)(C)C1 ZINC000941242284 660097299 /nfs/dbraw/zinc/09/72/99/660097299.db2.gz BFQRSKRIXRCNHV-MRXNPFEDSA-N 0 1 316.445 3.257 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)CC1CCC1 ZINC000941921078 660126895 /nfs/dbraw/zinc/12/68/95/660126895.db2.gz MOZLQEHIHYWKRI-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001031983241 660128875 /nfs/dbraw/zinc/12/88/75/660128875.db2.gz RPMXDMPXQHZNMU-UHFFFAOYSA-N 0 1 315.461 3.005 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2scc(C)c2Cl)[C@H](C)C1 ZINC000942080468 660135020 /nfs/dbraw/zinc/13/50/20/660135020.db2.gz YCKUROVOZHBQOZ-PWSUYJOCSA-N 0 1 312.866 3.336 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001032014568 660151072 /nfs/dbraw/zinc/15/10/72/660151072.db2.gz FWNRCVSCROBVLF-OAHLLOKOSA-N 0 1 316.445 3.102 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CC2CCCCCC2)[C@@H](C)C1 ZINC000942958399 660173722 /nfs/dbraw/zinc/17/37/22/660173722.db2.gz FILNYBUGEJPNPD-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN N#CC1(CCCCN2CCN(c3cncc(F)c3)CC2)CCC1 ZINC000930122217 661368882 /nfs/dbraw/zinc/36/88/82/661368882.db2.gz WRMUXYNRDKQCKC-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN CC[C@@](C)(O)CN(Cc1ccccc1)Cc1cncc(C#N)c1 ZINC000930248854 661382340 /nfs/dbraw/zinc/38/23/40/661382340.db2.gz ROQUKDOLMVBELY-LJQANCHMSA-N 0 1 309.413 3.116 20 30 DGEDMN CNC(=O)CC[C@H]1CCCCN1Cc1cc(Cl)cc(C#N)c1 ZINC000930469380 661401843 /nfs/dbraw/zinc/40/18/43/661401843.db2.gz MBTQIAYYFIMPBF-MRXNPFEDSA-N 0 1 319.836 3.092 20 30 DGEDMN N#C[C@H]1CCCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000930558834 661410654 /nfs/dbraw/zinc/41/06/54/661410654.db2.gz HBLRHJVKAIYEJR-SECBINFHSA-N 0 1 319.315 3.268 20 30 DGEDMN CCOC(CN(CC)Cc1cc(Cl)cc(C#N)c1)OCC ZINC000930656329 661418951 /nfs/dbraw/zinc/41/89/51/661418951.db2.gz OIDFUPZMRISPTA-UHFFFAOYSA-N 0 1 310.825 3.433 20 30 DGEDMN CC[C@@](O)(CN1CCC2(CC1)C(F)(F)C2(F)F)C(F)(F)F ZINC000930849707 661434535 /nfs/dbraw/zinc/43/45/35/661434535.db2.gz TVKPHZDFVWLOJY-SECBINFHSA-N 0 1 323.252 3.056 20 30 DGEDMN C=CCSCCNC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000930985592 661443449 /nfs/dbraw/zinc/44/34/49/661443449.db2.gz USJGDEGNETWLLT-UHFFFAOYSA-N 0 1 311.357 3.150 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(C3CCC3)cc2)CC1 ZINC000931112694 661453044 /nfs/dbraw/zinc/45/30/44/661453044.db2.gz RBGXXFBAZOSYML-UHFFFAOYSA-N 0 1 311.429 3.173 20 30 DGEDMN COc1ccccc1[C@H]1CN(CC2CCC(C#N)CC2)CCO1 ZINC000931552073 661487705 /nfs/dbraw/zinc/48/77/05/661487705.db2.gz DZTLPQNYILFMKA-LADRWXRNSA-N 0 1 314.429 3.398 20 30 DGEDMN C[C@@H](NCc1cncc(C#N)c1)c1ccc(C(F)(F)F)nc1 ZINC000931616984 661493216 /nfs/dbraw/zinc/49/32/16/661493216.db2.gz GRRXDGXXOZDZAC-SNVBAGLBSA-N 0 1 306.291 3.218 20 30 DGEDMN N#CCCc1ccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000932572753 661581718 /nfs/dbraw/zinc/58/17/18/661581718.db2.gz JHVJDPCZNVNAGH-OAHLLOKOSA-N 0 1 309.373 3.065 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3nc4c(s3)CCC4)CC2)o1 ZINC000932616475 661586405 /nfs/dbraw/zinc/58/64/05/661586405.db2.gz FDKIKAJVJUTMGN-UHFFFAOYSA-N 0 1 313.426 3.476 20 30 DGEDMN C#CCC1(NCc2csc(C(=O)OCC)n2)CCCCC1 ZINC000933268293 661626806 /nfs/dbraw/zinc/62/68/06/661626806.db2.gz VAKYHWVBXKKGLQ-UHFFFAOYSA-N 0 1 306.431 3.136 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN([C@H](C)CC)CC2)c(Cl)c1 ZINC000933355177 661629922 /nfs/dbraw/zinc/62/99/22/661629922.db2.gz ASNWEXLHELWRGK-CYBMUJFWSA-N 0 1 319.836 3.269 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CC[N@H+](C)C[C@H]2C(C)C)c(Cl)c1 ZINC000933361892 661630211 /nfs/dbraw/zinc/63/02/11/661630211.db2.gz AXHJBLHULYEKIF-INIZCTEOSA-N 0 1 319.836 3.125 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(C)C[C@H]2C(C)C)c(Cl)c1 ZINC000933361892 661630214 /nfs/dbraw/zinc/63/02/14/661630214.db2.gz AXHJBLHULYEKIF-INIZCTEOSA-N 0 1 319.836 3.125 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CC[N@H+](C)C[C@@H]2C(C)C)c(Cl)c1 ZINC000933361893 661630222 /nfs/dbraw/zinc/63/02/22/661630222.db2.gz AXHJBLHULYEKIF-MRXNPFEDSA-N 0 1 319.836 3.125 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(C)C[C@@H]2C(C)C)c(Cl)c1 ZINC000933361893 661630223 /nfs/dbraw/zinc/63/02/23/661630223.db2.gz AXHJBLHULYEKIF-MRXNPFEDSA-N 0 1 319.836 3.125 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@@H](Cc2nccs2)C1 ZINC000934333773 661698236 /nfs/dbraw/zinc/69/82/36/661698236.db2.gz RIPUQMNLUMKXDG-AWEZNQCLSA-N 0 1 313.426 3.088 20 30 DGEDMN C=C(C)c1cccc(NC(=O)NCc2n[nH]c(C(C)(C)C)n2)c1 ZINC000934885865 661745003 /nfs/dbraw/zinc/74/50/03/661745003.db2.gz ZUATYFLBVJTTSQ-UHFFFAOYSA-N 0 1 313.405 3.457 20 30 DGEDMN C=C(C)c1cccc(NC(=O)NCc2nc(CSC)n[nH]2)c1 ZINC000934886014 661745106 /nfs/dbraw/zinc/74/51/06/661745106.db2.gz BRNAHOPJBFSVAH-UHFFFAOYSA-N 0 1 317.418 3.023 20 30 DGEDMN C=C(C)c1cccc(NC(=O)NCc2nnc(CSC)[nH]2)c1 ZINC000934886014 661745109 /nfs/dbraw/zinc/74/51/09/661745109.db2.gz BRNAHOPJBFSVAH-UHFFFAOYSA-N 0 1 317.418 3.023 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@@H](C)Oc2cccc(C)c2)n1 ZINC000041521370 661835008 /nfs/dbraw/zinc/83/50/08/661835008.db2.gz ZKSISTUQNDHWNY-TZMCWYRMSA-N 0 1 300.383 3.404 20 30 DGEDMN CN(Cc1ccccc1Cl)C[C@H](O)c1ccc(C#N)cc1 ZINC000048878842 661896965 /nfs/dbraw/zinc/89/69/65/661896965.db2.gz GNCJBUMAJCXZIV-KRWDZBQOSA-N 0 1 300.789 3.377 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C=C2CCC2)CCN1Cc1ccccc1C#N ZINC000947187715 661898163 /nfs/dbraw/zinc/89/81/63/661898163.db2.gz YTZJXBFQKPWYFF-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C[C@@H]1C ZINC000947571663 661917333 /nfs/dbraw/zinc/91/73/33/661917333.db2.gz HERZOSACJJKYEW-HOTGVXAUSA-N 0 1 324.424 3.266 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C[C@H]1C ZINC000947571662 661917516 /nfs/dbraw/zinc/91/75/16/661917516.db2.gz HERZOSACJJKYEW-CVEARBPZSA-N 0 1 324.424 3.266 20 30 DGEDMN C[C@@H](C/N=C/c1ccccc1O)N1CCc2sccc2C1 ZINC000075533068 661934550 /nfs/dbraw/zinc/93/45/50/661934550.db2.gz WEQBFAMPZJLTNJ-FHXOWUIVSA-N 0 1 300.427 3.319 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccsc2)CC1 ZINC000948155465 661956195 /nfs/dbraw/zinc/95/61/95/661956195.db2.gz FUPWWDRQJYUBCZ-CQSZACIVSA-N 0 1 312.866 3.427 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C3CC3)cc2)CC1 ZINC001006432879 661983453 /nfs/dbraw/zinc/98/34/53/661983453.db2.gz AJQXBEQDPXMXTH-UHFFFAOYSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC000948758349 661995546 /nfs/dbraw/zinc/99/55/46/661995546.db2.gz FGXLHOBKRDZATQ-BZUAXINKSA-N 0 1 312.885 3.488 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](N(C)C(=O)[C@@H](C)c2ccsc2)CC1 ZINC000948771491 661997127 /nfs/dbraw/zinc/99/71/27/661997127.db2.gz OXLBWVKWZLKIQP-RDJZCZTQSA-N 0 1 318.486 3.188 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2sccc2C(C)C)CC1 ZINC001006437454 662004056 /nfs/dbraw/zinc/00/40/56/662004056.db2.gz DDQNIUMSECDACC-UHFFFAOYSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]ccc1C ZINC000948913614 662007480 /nfs/dbraw/zinc/00/74/80/662007480.db2.gz WHFITDBNRVCCTJ-SFHVURJKSA-N 0 1 323.440 3.228 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C)cc(OC)c2C)CC1 ZINC000949111283 662011603 /nfs/dbraw/zinc/01/16/03/662011603.db2.gz MJHNGPAQVYGNRE-UHFFFAOYSA-N 0 1 316.445 3.036 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCC3CCCCC3)CC2)cc1 ZINC000949597496 662021058 /nfs/dbraw/zinc/02/10/58/662021058.db2.gz ZFHVDZQWCFOWAB-UHFFFAOYSA-N 0 1 324.468 3.396 20 30 DGEDMN C=CCc1cc(CN2CCO[C@](C)(CC)C2)c(O)c(OC)c1 ZINC000190350321 662029106 /nfs/dbraw/zinc/02/91/06/662029106.db2.gz UBRKTERUDNMSOU-GOSISDBHSA-N 0 1 305.418 3.130 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCC(C)(C)C2)CC1 ZINC001006451033 662042789 /nfs/dbraw/zinc/04/27/89/662042789.db2.gz JRWQDNWANRBBOF-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C1CCC(C(=O)N2CCN(CCCC3CCC3)CC2)CC1 ZINC000950047257 662043169 /nfs/dbraw/zinc/04/31/69/662043169.db2.gz SYQDBGAVGRPNAU-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCCc3ccccc32)CC1 ZINC001006453040 662051023 /nfs/dbraw/zinc/05/10/23/662051023.db2.gz XRDYVIREOXQJBF-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc3cccc(C)c3o2)CC1 ZINC001006454334 662055865 /nfs/dbraw/zinc/05/58/65/662055865.db2.gz KLRZSTFQMQYFRW-UHFFFAOYSA-N 0 1 324.424 3.301 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC000951112665 662114171 /nfs/dbraw/zinc/11/41/71/662114171.db2.gz IFRDWUIBSSFQHL-LJQANCHMSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C1CCC(C(=O)N(CC)C2CN(CC3CCCC3)C2)CC1 ZINC000951608676 662141988 /nfs/dbraw/zinc/14/19/88/662141988.db2.gz ICIBOLHBVOMZNG-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2C)CC1 ZINC000951712006 662148462 /nfs/dbraw/zinc/14/84/62/662148462.db2.gz KKGSASNVSKDVOX-RBUKOAKNSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000951773009 662150915 /nfs/dbraw/zinc/15/09/15/662150915.db2.gz CYWGHRKMNUMGBG-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc(OCC)c2)C1 ZINC000952370526 662185210 /nfs/dbraw/zinc/18/52/10/662185210.db2.gz CBHOQXGGBRFQOR-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@]2(c3ccccc3)CC2(C)C)CC1 ZINC000952382800 662186056 /nfs/dbraw/zinc/18/60/56/662186056.db2.gz KPEZBXRBLDDZOJ-FQEVSTJZSA-N 0 1 312.457 3.075 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2ccccc2OC(F)F)c1 ZINC000195440813 662189618 /nfs/dbraw/zinc/18/96/18/662189618.db2.gz VSJBXWBRNWOKTG-UHFFFAOYSA-N 0 1 323.320 3.070 20 30 DGEDMN CC(C)[C@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CCCC2)CC1 ZINC000245559467 662236454 /nfs/dbraw/zinc/23/64/54/662236454.db2.gz UEPXBGJSIMPOPA-IIAWOOMASA-N 0 1 304.434 3.216 20 30 DGEDMN COc1cccc(-c2nc(C)c(C(=O)O[C@@H](C#N)C(C)C)[nH]2)c1 ZINC000091442667 662303076 /nfs/dbraw/zinc/30/30/76/662303076.db2.gz KRUQPFKZMRBZRX-AWEZNQCLSA-N 0 1 313.357 3.099 20 30 DGEDMN O=C1[C@H](NCc2ccc3oc4ccccc4c3c2)CCCN1O ZINC000895194237 685308931 /nfs/dbraw/zinc/30/89/31/685308931.db2.gz YUXARQZHBMBMEO-OAHLLOKOSA-N 0 1 310.353 3.056 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2scnc2C(C)(C)C)C1 ZINC000970549383 685335061 /nfs/dbraw/zinc/33/50/61/685335061.db2.gz VNXQVHXBOADNMN-GFCCVEGCSA-N 0 1 321.490 3.067 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3[nH]ccc3c2)[C@@H]1C ZINC000986778801 685351506 /nfs/dbraw/zinc/35/15/06/685351506.db2.gz LFJHIEXTDNVCNT-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)cn2)[C@@H]1C ZINC000986964809 685354231 /nfs/dbraw/zinc/35/42/31/685354231.db2.gz AKIYHOZTXCHZHF-UKRRQHHQSA-N 0 1 321.852 3.150 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc2ccccc2c1 ZINC001028283978 685379086 /nfs/dbraw/zinc/37/90/86/685379086.db2.gz UTJLMJPUUXDZHD-IBGZPJMESA-N 0 1 306.409 3.057 20 30 DGEDMN C=C(Br)CNC[C@@H](O)c1cccc(C(F)(F)F)c1 ZINC000161439754 685100899 /nfs/dbraw/zinc/10/08/99/685100899.db2.gz RBIYJZCLUQCPKU-LLVKDONJSA-N 0 1 324.140 3.237 20 30 DGEDMN C#C[C@@H](NCc1cc(Br)cc2c1OCC2)C(C)C ZINC000380570650 685153263 /nfs/dbraw/zinc/15/32/63/685153263.db2.gz BTSMFTPWNWUODM-CQSZACIVSA-N 0 1 308.219 3.131 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)C(CC)(CC)CC)C[C@H](C)O2 ZINC001071224753 686601741 /nfs/dbraw/zinc/60/17/41/686601741.db2.gz AASDNEVWPIJBDP-QFBILLFUSA-N 0 1 322.493 3.081 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C2=CCCC2)CN1Cc1ccc(C#N)cc1 ZINC001071417420 686657608 /nfs/dbraw/zinc/65/76/08/686657608.db2.gz UIODUGMNSHLFNC-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3occc3c2)CC[C@H]1C ZINC001071523987 686677593 /nfs/dbraw/zinc/67/75/93/686677593.db2.gz BEELJQPCZFKNGE-PBHICJAKSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2Cl)CC[C@@H]1C ZINC001071526652 686678819 /nfs/dbraw/zinc/67/88/19/686678819.db2.gz UFSFSHPKWKMSEM-NWDGAFQWSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(Cl)c2C)CC[C@H]1C ZINC001071527665 686679768 /nfs/dbraw/zinc/67/97/68/686679768.db2.gz GYOYWGLKSWUIQE-OCCSQVGLSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@@H]1C ZINC001071594430 686697555 /nfs/dbraw/zinc/69/75/55/686697555.db2.gz QSJKSXOCJHXGCE-STQMWFEESA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(F)cc2F)CC[C@@H]1C ZINC001071602305 686698055 /nfs/dbraw/zinc/69/80/55/686698055.db2.gz IGMYVSRICFIALI-QWHCGFSZSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3cc(F)ccc23)CC[C@@H]1C ZINC001071630687 686708378 /nfs/dbraw/zinc/70/83/78/686708378.db2.gz ATYRVBALKBDPKB-GXTWGEPZSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCCCCCC2)CC[C@H]1C ZINC001071675177 686721262 /nfs/dbraw/zinc/72/12/62/686721262.db2.gz CLASOBUPBFJWGO-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nc3ccccc3cc2C)CC[C@@H]1C ZINC001071694930 686724847 /nfs/dbraw/zinc/72/48/47/686724847.db2.gz LBDVIOJQWYVGOA-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)CC[C@@H]1C ZINC001071728826 686735367 /nfs/dbraw/zinc/73/53/67/686735367.db2.gz UBPPFSIUSYUPMS-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(CCC)cc2)CC[C@@H]1C ZINC001071780378 686750894 /nfs/dbraw/zinc/75/08/94/686750894.db2.gz XQDCGISJWLEZIC-YJBOKZPZSA-N 0 1 300.446 3.408 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(CCC)cc2)CC[C@@H]1C ZINC001071779872 686751380 /nfs/dbraw/zinc/75/13/80/686751380.db2.gz PYFITPQLHSXJHW-LPHOPBHVSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@@H]1C ZINC001071792306 686754865 /nfs/dbraw/zinc/75/48/65/686754865.db2.gz HKYNFQKSOIRDDB-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@@H]1C ZINC001071844482 686770001 /nfs/dbraw/zinc/77/00/01/686770001.db2.gz NBIIJQKDYORPNT-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2CCCCCC2)CC[C@H]1C ZINC001071852041 686772313 /nfs/dbraw/zinc/77/23/13/686772313.db2.gz ULYBEHLLTBOYBG-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(C3CC3)nc2C)CC[C@H]1C ZINC001071884083 686782267 /nfs/dbraw/zinc/78/22/67/686782267.db2.gz XKIJOTTUFFGOLF-DOMZBBRYSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2F)CC[C@H]1C ZINC001071895905 686785483 /nfs/dbraw/zinc/78/54/83/686785483.db2.gz CFPVVDVNBPSTLE-HUUCEWRRSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc(C3CCCC3)n2)CC[C@@H]1C ZINC001071941718 686794093 /nfs/dbraw/zinc/79/40/93/686794093.db2.gz JNZSKXHMRXIGPM-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc(C3CCCC3)n2)CC[C@H]1C ZINC001071941724 686794165 /nfs/dbraw/zinc/79/41/65/686794165.db2.gz JNZSKXHMRXIGPM-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C(C)C)oc2C)CC[C@@H]1C ZINC001071950299 686795088 /nfs/dbraw/zinc/79/50/88/686795088.db2.gz IFHGLNBSXYKSHP-DZGCQCFKSA-N 0 1 304.434 3.480 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3F)CC2)CC[C@H]1C ZINC001071961371 686797328 /nfs/dbraw/zinc/79/73/28/686797328.db2.gz PQLVHHNMMOYOAR-CABCVRRESA-N 0 1 316.420 3.012 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3ccsc32)CC[C@H]1C ZINC001071967888 686799679 /nfs/dbraw/zinc/79/96/79/686799679.db2.gz PSQGWULVOWGKFF-HIFRSBDPSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc(-c3ccoc3)c2)CC[C@H]1C ZINC001071974312 686802848 /nfs/dbraw/zinc/80/28/48/686802848.db2.gz MOPAHWGTGFQWPZ-BEFAXECRSA-N 0 1 322.408 3.163 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ncsc2C(C)C)CC[C@H]1C ZINC001071986136 686806194 /nfs/dbraw/zinc/80/61/94/686806194.db2.gz RFHQIMDTOUOTNH-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(C3CC3)s2)CC[C@@H]1C ZINC001071988531 686807486 /nfs/dbraw/zinc/80/74/86/686807486.db2.gz JFQGRUIMVDFTQK-DZGCQCFKSA-N 0 1 316.470 3.232 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(CCC)nc2C)CC[C@H]1C ZINC001071993869 686808103 /nfs/dbraw/zinc/80/81/03/686808103.db2.gz YDIWSORTMOAQDM-UKRRQHHQSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@@H]1C ZINC001071998309 686810001 /nfs/dbraw/zinc/81/00/01/686810001.db2.gz IIKFTKUFYWESLT-KXBFYZLASA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)CC[C@@H]1C ZINC001072004818 686811764 /nfs/dbraw/zinc/81/17/64/686811764.db2.gz GMQNQSRGBAFFHA-YOEHRIQHSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)CC[C@@H]1C ZINC001072018936 686824975 /nfs/dbraw/zinc/82/49/75/686824975.db2.gz ABPDINZZPQOXQE-HYLHYLHVSA-N 0 1 316.489 3.358 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc3ccccc3c2)CC[C@@H]1C ZINC001072018946 686825425 /nfs/dbraw/zinc/82/54/25/686825425.db2.gz AQTOCMIGEDYYDJ-KXBFYZLASA-N 0 1 306.409 3.056 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3ccccc3C)CCC2)CC[C@H]1C ZINC001072030992 686832799 /nfs/dbraw/zinc/83/27/99/686832799.db2.gz ZKLOPKMWZOJTDH-QZTJIDSGSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(s2)CCC3)CC[C@H]1C ZINC001072043985 686840891 /nfs/dbraw/zinc/84/08/91/686840891.db2.gz HUOIOMNALORDLH-OCCSQVGLSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)CC[C@H]1C ZINC001072061411 686851319 /nfs/dbraw/zinc/85/13/19/686851319.db2.gz CTBLRCUYXBPNSZ-PBHICJAKSA-N 0 1 317.477 3.455 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)CC[C@@H]1C ZINC001072061414 686851740 /nfs/dbraw/zinc/85/17/40/686851740.db2.gz CTBLRCUYXBPNSZ-YOEHRIQHSA-N 0 1 317.477 3.455 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(CC(C)C)cc2)CC[C@@H]1C ZINC001072063633 686853152 /nfs/dbraw/zinc/85/31/52/686853152.db2.gz VPIFHOOEAFMCEO-QFBILLFUSA-N 0 1 312.457 3.101 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3cc(C)ccc3o2)CC[C@H]1C ZINC001072098612 686864239 /nfs/dbraw/zinc/86/42/39/686864239.db2.gz CWJWZWOBYNWBQZ-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@H]1C ZINC001072109770 686871602 /nfs/dbraw/zinc/87/16/02/686871602.db2.gz MKZXHHZIPKTVKV-ZNVHBHFFSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(CC(C)C)n2)CC[C@@H]1C ZINC001072113615 686873904 /nfs/dbraw/zinc/87/39/04/686873904.db2.gz YYFNSZXOBXHCSP-KBPBESRZSA-N 0 1 321.490 3.110 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)CC[C@H]1C ZINC001072123529 686876196 /nfs/dbraw/zinc/87/61/96/686876196.db2.gz SBHHZLBGMXHXSH-OXQOHEQNSA-N 0 1 322.452 3.470 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2cccc(C)c2)[C@H]1C ZINC001074925593 687315538 /nfs/dbraw/zinc/31/55/38/687315538.db2.gz LLGFNNLXLVZXRV-ZOBUZTSGSA-N 0 1 320.864 3.430 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)c2ccco2)[C@H]1C ZINC001075042542 687328213 /nfs/dbraw/zinc/32/82/13/687328213.db2.gz XWQZFDBDKMUPFG-UBHSHLNASA-N 0 1 310.825 3.105 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccc(C)cc2)[C@H]1C ZINC001075049658 687328538 /nfs/dbraw/zinc/32/85/38/687328538.db2.gz ASTQQABNSWQDGU-VYDXJSESSA-N 0 1 320.864 3.430 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CCC(C(C)(C)C)CC3)[C@@H]2C1 ZINC001076526457 687533465 /nfs/dbraw/zinc/53/34/65/687533465.db2.gz JHKIHOMUXVXGBG-JYSRZZCRSA-N 0 1 316.489 3.005 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3ccsc3)C[C@H]2C)C1 ZINC001080404565 687972269 /nfs/dbraw/zinc/97/22/69/687972269.db2.gz NYXMVHCKKMQWAY-UKRRQHHQSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001081002779 688033327 /nfs/dbraw/zinc/03/33/27/688033327.db2.gz OYVFWFWTFCFVHF-UKRRQHHQSA-N 0 1 312.413 3.231 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC001081018980 688034749 /nfs/dbraw/zinc/03/47/49/688034749.db2.gz UZLMUBJXYBIZDC-GDBMZVCRSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)/C(C)=C/C)C[C@H]1c1ccccc1 ZINC001081104237 688052123 /nfs/dbraw/zinc/05/21/23/688052123.db2.gz UWGSIWYPBCYYHK-WZXHSTQJSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C[C@H]1C ZINC001082759701 688126305 /nfs/dbraw/zinc/12/63/05/688126305.db2.gz AYSXXLBNZHUJFI-CMZRPVNOSA-N 0 1 324.896 3.392 20 30 DGEDMN O=C([C@@H]1CC[C@@H](C2CC2)[NH2+]1)N([O-])Cc1cccc2ccccc21 ZINC001580481126 1192029545 /nfs/dbraw/zinc/02/95/45/1192029545.db2.gz DGZYQBPCBYKRIW-ROUUACIJSA-N 0 1 310.397 3.088 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(C)C(C)(C)C1)c1ccccc1 ZINC001583610871 1192153230 /nfs/dbraw/zinc/15/32/30/1192153230.db2.gz GDRQMJBPRHSRGP-QGZVFWFLSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001662366566 1196712972 /nfs/dbraw/zinc/71/29/72/1196712972.db2.gz GUUPZBXEYDGSKZ-KBPBESRZSA-N 0 1 308.853 3.199 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(Cc2ccccc2)C[C@@H]1C(C)C ZINC001585098874 1192240611 /nfs/dbraw/zinc/24/06/11/1192240611.db2.gz WRWUTYSVWJYEGQ-LJQANCHMSA-N 0 1 312.457 3.015 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCc1ccns1 ZINC001663152153 1196800488 /nfs/dbraw/zinc/80/04/88/1196800488.db2.gz OOLBDRRZUWQAGZ-UHFFFAOYSA-N 0 1 306.818 3.141 20 30 DGEDMN N#Cc1cccc(NC(=O)c2ccc3ccc(O)cc3c2O)c1 ZINC001586892751 1192356375 /nfs/dbraw/zinc/35/63/75/1192356375.db2.gz VAWNGUHUPDVDCD-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001663902998 1196956661 /nfs/dbraw/zinc/95/66/61/1196956661.db2.gz FMPXPNOZWUMECJ-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)CC[C@H]2C)o1 ZINC001590228644 1192494058 /nfs/dbraw/zinc/49/40/58/1192494058.db2.gz ZBQIKAISQURNIB-VHSXEESVSA-N 0 1 317.397 3.202 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1ccc(F)cc1F ZINC001664165320 1196976481 /nfs/dbraw/zinc/97/64/81/1196976481.db2.gz QCVPDWNPBLXFOM-KRWDZBQOSA-N 0 1 310.388 3.115 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CCCC(F)(F)F)C1 ZINC001664683234 1197034409 /nfs/dbraw/zinc/03/44/09/1197034409.db2.gz FBHQAHMNJHWXTE-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C(\CC)c2ccc(C)cc2)C1 ZINC001595790696 1192670849 /nfs/dbraw/zinc/67/08/49/1192670849.db2.gz POQPWBHAQVFADL-FLXZHSAZSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001671968074 1176021506 /nfs/dbraw/zinc/02/15/06/1176021506.db2.gz GPHAVFLEHAFEBF-CQSZACIVSA-N 0 1 314.420 3.238 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001690985878 1176068855 /nfs/dbraw/zinc/06/88/55/1176068855.db2.gz XACQIOCLRMBCPR-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)Cc2cccc(C)c2)C1 ZINC001691975268 1176070903 /nfs/dbraw/zinc/07/09/03/1176070903.db2.gz CYNZQNLWECQEIG-KRWDZBQOSA-N 0 1 320.864 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1ccsc1)C1CC1 ZINC001670517428 1176158822 /nfs/dbraw/zinc/15/88/22/1176158822.db2.gz OGOLIVDWPLQIOL-SMDDNHRTSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H]1C=CCC1)C1CCCC1 ZINC001671519538 1176166199 /nfs/dbraw/zinc/16/61/99/1176166199.db2.gz VFCLVIITOGICDZ-GOEBONIOSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1sccc1Cl ZINC001690618384 1176208891 /nfs/dbraw/zinc/20/88/91/1176208891.db2.gz YPDAJTVMXJGBHB-NSHDSACASA-N 0 1 300.855 3.352 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001670697005 1176222702 /nfs/dbraw/zinc/22/27/02/1176222702.db2.gz SCBRZKFQJCGAMC-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC(C)(C)CC(F)(F)F ZINC001691068766 1176291493 /nfs/dbraw/zinc/29/14/93/1176291493.db2.gz QYUYXFYNONBOCF-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCC[N@@H+]2CCC(F)(F)F)CCC1 ZINC001691097104 1176310015 /nfs/dbraw/zinc/31/00/15/1176310015.db2.gz FHKQGNHVYTXDID-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN2CCC(F)(F)F)CCC1 ZINC001691097104 1176310021 /nfs/dbraw/zinc/31/00/21/1176310021.db2.gz FHKQGNHVYTXDID-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[N@@H+](Cc2conc2C)[C@@H]1CC ZINC001692012052 1176347905 /nfs/dbraw/zinc/34/79/05/1176347905.db2.gz QZXLKZHZVRFKLG-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001692012052 1176347913 /nfs/dbraw/zinc/34/79/13/1176347913.db2.gz QZXLKZHZVRFKLG-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001691172824 1176356349 /nfs/dbraw/zinc/35/63/49/1176356349.db2.gz SBTSATFGNPEVMI-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1c(C)noc1C ZINC001691187342 1176369249 /nfs/dbraw/zinc/36/92/49/1176369249.db2.gz DIWDCBQHWHMRAS-UHFFFAOYSA-N 0 1 321.465 3.222 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(Cc1cccnc1C)C1CC1 ZINC001691228525 1176404233 /nfs/dbraw/zinc/40/42/33/1176404233.db2.gz XIYAIPYAGJBTFO-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001691269608 1176417616 /nfs/dbraw/zinc/41/76/16/1176417616.db2.gz AZSDWPKKLBZGTO-OVCLIPMQSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H](C=C)c1ccccc1 ZINC001691416721 1176476067 /nfs/dbraw/zinc/47/60/67/1176476067.db2.gz OTZOEDVCZPKPHU-IEBWSBKVSA-N 0 1 312.457 3.455 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)C(C)(C)C)c1ccccc1 ZINC001691786445 1176555809 /nfs/dbraw/zinc/55/58/09/1176555809.db2.gz AATCZIMIAYWSNS-RDJZCZTQSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001691441384 1176580165 /nfs/dbraw/zinc/58/01/65/1176580165.db2.gz DSRIRBVHWZKYKD-RTBURBONSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(Cl)[nH]1)C(C)(C)C ZINC001725745330 1176607977 /nfs/dbraw/zinc/60/79/77/1176607977.db2.gz APRAODDJUFNJOM-NSHDSACASA-N 0 1 318.248 3.155 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H]1CCC[C@H]1C ZINC001731309520 1176612498 /nfs/dbraw/zinc/61/24/98/1176612498.db2.gz RARQPCRKTLNBEG-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@@H](NCc2c(F)cccc2F)C1 ZINC001691444581 1176615539 /nfs/dbraw/zinc/61/55/39/1176615539.db2.gz UVKOWANMFWKLBX-OKILXGFUSA-N 0 1 322.399 3.306 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001691460384 1176625128 /nfs/dbraw/zinc/62/51/28/1176625128.db2.gz NTEJHMAZTJOPCJ-YTQUADARSA-N 0 1 312.457 3.053 20 30 DGEDMN CN(CCNCc1cccc(F)c1Cl)C(=O)C#CC(C)(C)C ZINC001731908328 1176647671 /nfs/dbraw/zinc/64/76/71/1176647671.db2.gz JKDQHEAQOAFTIH-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2ccc(F)cc2F)C1 ZINC001752058858 1176652910 /nfs/dbraw/zinc/65/29/10/1176652910.db2.gz BVWCTKVVEZDENM-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)C[N@@H+](CC)CCCNC(=O)c1ccc(F)c(F)c1 ZINC001752190279 1176701421 /nfs/dbraw/zinc/70/14/21/1176701421.db2.gz QOUZISQRSHMBNM-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(F)c(F)c1 ZINC001752190279 1176701427 /nfs/dbraw/zinc/70/14/27/1176701427.db2.gz QOUZISQRSHMBNM-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN COc1ccccc1[C@@H]1C[C@H]1C(=O)Nc1cc(C#N)ccc1O ZINC001598128748 1192763493 /nfs/dbraw/zinc/76/34/93/1192763493.db2.gz YTSFDTQOYCZUAQ-UONOGXRCSA-N 0 1 308.337 3.015 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)C1CCCCC1 ZINC001699423728 1176785172 /nfs/dbraw/zinc/78/51/72/1176785172.db2.gz CGAKBGPVCSZAAD-OCCSQVGLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001691496307 1176832985 /nfs/dbraw/zinc/83/29/85/1176832985.db2.gz TWXMQGPJCJFTCN-JZXOWHBKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2c(o1)CCCC2 ZINC001755237593 1176864123 /nfs/dbraw/zinc/86/41/23/1176864123.db2.gz NUDGYFWPINDKFA-NWDGAFQWSA-N 0 1 310.825 3.007 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC001755250525 1176868899 /nfs/dbraw/zinc/86/88/99/1176868899.db2.gz XNHSEQSBNBNOTB-OLZOCXBDSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ncc(C)s1 ZINC001755250526 1176869514 /nfs/dbraw/zinc/86/95/14/1176869514.db2.gz XNHSEQSBNBNOTB-QWHCGFSZSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ncsc1C(C)C ZINC001755261910 1176875811 /nfs/dbraw/zinc/87/58/11/1176875811.db2.gz ILLQZFSEJZOKPD-QWRGUYRKSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC001598440521 1192774628 /nfs/dbraw/zinc/77/46/28/1192774628.db2.gz JCKFDJOVXVQUEK-YJBOKZPZSA-N 0 1 312.413 3.084 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@](C)(OC)C1 ZINC001621303494 1192778943 /nfs/dbraw/zinc/77/89/43/1192778943.db2.gz AABIIYLZQOFJIU-QGZVFWFLSA-N 0 1 307.821 3.353 20 30 DGEDMN CC(C)(NCc1cccc2[nH]c(=O)oc21)c1cccc(C#N)c1 ZINC001621003492 1192779415 /nfs/dbraw/zinc/77/94/15/1192779415.db2.gz KRMCDIWTCSMXFT-UHFFFAOYSA-N 0 1 307.353 3.430 20 30 DGEDMN CCCCC(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001755578262 1177068503 /nfs/dbraw/zinc/06/85/03/1177068503.db2.gz XRZPJRRRIMRBKT-OAHLLOKOSA-N 0 1 320.864 3.318 20 30 DGEDMN O=C(C=Cc1nc(-c2ccccc2)c[nH]1)c1ccc(O)cc1O ZINC000588599078 1177224768 /nfs/dbraw/zinc/22/47/68/1177224768.db2.gz OTPJHUDPQKLQGD-HJWRWDBZSA-N 0 1 306.321 3.384 20 30 DGEDMN C=CCCC[C@@H](NC(=O)NC[C@@H]1CCN1CC)c1ccccc1 ZINC001687421540 1177267361 /nfs/dbraw/zinc/26/73/61/1177267361.db2.gz MFBWQGKTGJJLJU-ZWKOTPCHSA-N 0 1 315.461 3.477 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001755830602 1177272343 /nfs/dbraw/zinc/27/23/43/1177272343.db2.gz OSVHXYFKEMILJE-ZIAGYGMSSA-N 0 1 300.874 3.462 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)N(C)CCNCC#Cc1ccccc1 ZINC001691549503 1177309103 /nfs/dbraw/zinc/30/91/03/1177309103.db2.gz YRDCKFYHKJBTOJ-SFHVURJKSA-N 0 1 314.473 3.158 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ocnc2C)CCCCC1 ZINC001691615289 1177328025 /nfs/dbraw/zinc/32/80/25/1177328025.db2.gz MBARAMAQXATGJG-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)Cc1ccccc1 ZINC001691669762 1177627128 /nfs/dbraw/zinc/62/71/28/1177627128.db2.gz ACHIOMDZTGDLAX-SFHVURJKSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCCc2ccccc21 ZINC001691674870 1177675384 /nfs/dbraw/zinc/67/53/84/1177675384.db2.gz NTOQSMVWPJOOEQ-MSOLQXFVSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(CCC2CC2)CC1)c1ccccc1 ZINC001113661499 1177726619 /nfs/dbraw/zinc/72/66/19/1177726619.db2.gz WNLOJYRVJOGJPC-IBGZPJMESA-N 0 1 312.457 3.291 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001691867343 1177784976 /nfs/dbraw/zinc/78/49/76/1177784976.db2.gz RXOZYZZWXFMKGZ-CSODTCANSA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1ccc([C@@H](C)[NH2+][C@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC001600374522 1192839077 /nfs/dbraw/zinc/83/90/77/1192839077.db2.gz ZJLCHGBHRYNRRT-ABAIWWIYSA-N 0 1 300.383 3.405 20 30 DGEDMN Cc1ccc(C[N@H+]2CCc3c(cccc3C(=O)[O-])C2)cc1C#N ZINC001600414279 1192847380 /nfs/dbraw/zinc/84/73/80/1192847380.db2.gz KAEBQXLNDVKEJQ-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN Cc1ccc2nc(NS(=O)(=O)c3cccc(C#N)c3)ccc2c1 ZINC001259117897 1178083045 /nfs/dbraw/zinc/08/30/45/1178083045.db2.gz OAEMXRNJLDKXBA-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#Cc1cccc(S(=O)(=O)Nc2cccnc2C2CCC2)c1 ZINC001259118378 1178083292 /nfs/dbraw/zinc/08/32/92/1178083292.db2.gz GJIDGYUIESSSFJ-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CC1=CCCCC1 ZINC001331257326 1178167045 /nfs/dbraw/zinc/16/70/45/1178167045.db2.gz GMWMMIUFPWKPKT-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001331545047 1178241662 /nfs/dbraw/zinc/24/16/62/1178241662.db2.gz DKEGLGZHOOFWNV-KXBFYZLASA-N 0 1 313.445 3.097 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H]1CCN(CC(F)(F)F)C1 ZINC001331793871 1178282504 /nfs/dbraw/zinc/28/25/04/1178282504.db2.gz ZNIWSBHJNWCCPP-NSHDSACASA-N 0 1 317.380 3.078 20 30 DGEDMN CCOc1cc(F)cc(CNCc2cccc(OCC#N)c2)c1 ZINC001331892512 1178298769 /nfs/dbraw/zinc/29/87/69/1178298769.db2.gz VAVWWXRSNCPTFB-UHFFFAOYSA-N 0 1 314.360 3.417 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@H]1C ZINC001331916746 1178307048 /nfs/dbraw/zinc/30/70/48/1178307048.db2.gz HQSYFTCHUOQMAD-YURTZEIPSA-N 0 1 305.249 3.241 20 30 DGEDMN C#CCCCCCC(=O)N[C@]12CCC[C@@H]1N(C/C=C/Cl)CC2 ZINC001332101278 1178359564 /nfs/dbraw/zinc/35/95/64/1178359564.db2.gz SSTDVGQKSMOJKL-ILWALBHWSA-N 0 1 322.880 3.436 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](C)c1ccccc1F)C(C)C ZINC001332734581 1178486735 /nfs/dbraw/zinc/48/67/35/1178486735.db2.gz BQKPYEVZEHAPDH-INIZCTEOSA-N 0 1 318.436 3.121 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C)CC(F)(F)C1)C(C)(C)C ZINC001332881958 1178513785 /nfs/dbraw/zinc/51/37/85/1178513785.db2.gz ONRZAQHCTKEQGQ-NSHDSACASA-N 0 1 322.827 3.295 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001333103219 1178555700 /nfs/dbraw/zinc/55/57/00/1178555700.db2.gz UIIAMRWIIAGFEW-CSKARUKUSA-N 0 1 305.249 3.097 20 30 DGEDMN CC(C)(C)C(=O)N1CC[N@@H+](CC2CCC(C#N)CC2)C(C)(C)C1 ZINC001333911542 1178694410 /nfs/dbraw/zinc/69/44/10/1178694410.db2.gz JFOAHWIGMKPSKE-UHFFFAOYSA-N 0 1 319.493 3.285 20 30 DGEDMN N#C[C@@H]1CCC[N@@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001601060062 1192915194 /nfs/dbraw/zinc/91/51/94/1192915194.db2.gz VOXCKLQQCLBGNH-NSHDSACASA-N 0 1 300.383 3.335 20 30 DGEDMN N#C[C@@H]1CCC[N@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001601060062 1192915204 /nfs/dbraw/zinc/91/52/04/1192915204.db2.gz VOXCKLQQCLBGNH-NSHDSACASA-N 0 1 300.383 3.335 20 30 DGEDMN N#C[C@H]1CCC[N@@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001601060061 1192915364 /nfs/dbraw/zinc/91/53/64/1192915364.db2.gz VOXCKLQQCLBGNH-LLVKDONJSA-N 0 1 300.383 3.335 20 30 DGEDMN N#C[C@H]1CCC[N@H+](Cc2c3ccccc3sc2C(=O)[O-])C1 ZINC001601060061 1192915367 /nfs/dbraw/zinc/91/53/67/1192915367.db2.gz VOXCKLQQCLBGNH-LLVKDONJSA-N 0 1 300.383 3.335 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@@H](c3ccc(F)cc3)C2)cccc1C(=O)[O-] ZINC001601081647 1192916529 /nfs/dbraw/zinc/91/65/29/1192916529.db2.gz OFRVCHRXZTUQKS-CQSZACIVSA-N 0 1 324.355 3.385 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@H+]2CC[C@@]3(C(=O)[O-])CCC[C@@H]23)c1 ZINC001601087665 1192916942 /nfs/dbraw/zinc/91/69/42/1192916942.db2.gz YTTIOOIBSYMJNK-ZBFHGGJFSA-N 0 1 304.777 3.041 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccsc2C(=O)[O-])C2CC2)cc1 ZINC001601100827 1192918953 /nfs/dbraw/zinc/91/89/53/1192918953.db2.gz NTKNBOKHJLPKMC-UHFFFAOYSA-N 0 1 312.394 3.483 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN[C@@H]2C[C@]2(F)c2ccccc2)c1 ZINC001335413194 1178907534 /nfs/dbraw/zinc/90/75/34/1178907534.db2.gz UIZWTBRFZNHSRC-MJGOQNOKSA-N 0 1 323.371 3.114 20 30 DGEDMN N#Cc1ccc(OCCC[N@H+](CC(=O)[O-])C2CCCCC2)cc1 ZINC001601109912 1192919479 /nfs/dbraw/zinc/91/94/79/1192919479.db2.gz BISNNLFBTHKKDS-UHFFFAOYSA-N 0 1 316.401 3.046 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001335516079 1178925255 /nfs/dbraw/zinc/92/52/55/1178925255.db2.gz CFAXZZTYEVEURF-UHFFFAOYSA-N 0 1 310.401 3.160 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Cc3ccn(C(C)C)n3)[nH]c21 ZINC001341787032 1179062520 /nfs/dbraw/zinc/06/25/20/1179062520.db2.gz SDQLYQSGJPNJRK-CQSZACIVSA-N 0 1 321.384 3.068 20 30 DGEDMN C=C(C)Cn1c(C2=NC(=O)SC2)nnc1N1CCCC1(C)C ZINC001342151112 1179110737 /nfs/dbraw/zinc/11/07/37/1179110737.db2.gz XIJXUGMJFAHTCW-UHFFFAOYSA-N 0 1 319.434 3.062 20 30 DGEDMN C=CCCCN(CC)c1nnc(-c2[nH]cnc2C)n1CC(=C)C ZINC001342217003 1179117551 /nfs/dbraw/zinc/11/75/51/1179117551.db2.gz BKRXUUXEIVDCBX-UHFFFAOYSA-N 0 1 314.437 3.345 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)OC(=O)c1ccc2cncn2c1C ZINC001343357873 1179261338 /nfs/dbraw/zinc/26/13/38/1179261338.db2.gz WBJAWRYCNWHPPO-GOSISDBHSA-N 0 1 318.376 3.434 20 30 DGEDMN N#CC(=C([O-])c1ccc2[nH]cnc2c1)c1nc(C2CC2)cs1 ZINC001343441807 1179274990 /nfs/dbraw/zinc/27/49/90/1179274990.db2.gz XJBUKJQCVASZGD-LLVKDONJSA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1ccc2[nH]cnc2c1)c1nc(C2CC2)cs1 ZINC001343441807 1179274997 /nfs/dbraw/zinc/27/49/97/1179274997.db2.gz XJBUKJQCVASZGD-LLVKDONJSA-N 0 1 308.366 3.387 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(C)c(Cl)c2)nc(C)n1 ZINC001343494393 1179285075 /nfs/dbraw/zinc/28/50/75/1179285075.db2.gz GMLMHNSBBOMMPC-AWEZNQCLSA-N 0 1 313.788 3.474 20 30 DGEDMN Cc1csc(C(C#N)=C([O-])c2c[nH]c(Br)c2)n1 ZINC001343744215 1179327232 /nfs/dbraw/zinc/32/72/32/1179327232.db2.gz PKTHFNOYCJCXTG-MRVPVSSYSA-N 0 1 310.176 3.032 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c[nH]c(Br)c2)n1 ZINC001343744215 1179327238 /nfs/dbraw/zinc/32/72/38/1179327238.db2.gz PKTHFNOYCJCXTG-MRVPVSSYSA-N 0 1 310.176 3.032 20 30 DGEDMN C=CC[C@@H]1N(C(=O)c2ccn(-c3ccncc3)n2)CCCC1(C)C ZINC001343811324 1179334445 /nfs/dbraw/zinc/33/44/45/1179334445.db2.gz GPWHFYJQKWHSKL-KRWDZBQOSA-N 0 1 324.428 3.474 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCCC[C@@H](C)C1 ZINC001343926821 1179349709 /nfs/dbraw/zinc/34/97/09/1179349709.db2.gz CTXWUERCYDFGFE-CYBMUJFWSA-N 0 1 314.437 3.179 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001344322179 1179412144 /nfs/dbraw/zinc/41/21/44/1179412144.db2.gz JJFHWAYIKAURGX-ZFWWWQNUSA-N 0 1 313.788 3.444 20 30 DGEDMN C#CC[C@H](C)OC(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1 ZINC001344376943 1179421980 /nfs/dbraw/zinc/42/19/80/1179421980.db2.gz XZBAYYLJVFJQDE-VIFPVBQESA-N 0 1 310.375 3.059 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CCSc2ccccc21 ZINC001344875714 1179490756 /nfs/dbraw/zinc/49/07/56/1179490756.db2.gz XUTUBBZTHKSCBI-UONOGXRCSA-N 0 1 311.410 3.359 20 30 DGEDMN C#CCN1CCC(OC(=O)Cc2cccc3ccccc32)CC1 ZINC001345673028 1179591182 /nfs/dbraw/zinc/59/11/82/1179591182.db2.gz GQFVICJOXWAJGZ-UHFFFAOYSA-N 0 1 307.393 3.023 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(P(C)(C)=O)cc2)n1 ZINC001345951148 1179627793 /nfs/dbraw/zinc/62/77/93/1179627793.db2.gz FNJUHOSUFRHYJF-ZDUSSCGKSA-N 0 1 318.338 3.190 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001346095473 1179651343 /nfs/dbraw/zinc/65/13/43/1179651343.db2.gz DYQLQCNBEYIJRU-PWSUYJOCSA-N 0 1 303.312 3.161 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Cc3cc(C4CC4)no3)[nH]c21 ZINC001347052507 1179772423 /nfs/dbraw/zinc/77/24/23/1179772423.db2.gz SDKXWNGOFPURCP-ZDUSSCGKSA-N 0 1 320.352 3.156 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)Cc1cccc(C2CC2)c1 ZINC001349701970 1179936244 /nfs/dbraw/zinc/93/62/44/1179936244.db2.gz LKXTYEHGCBFGRG-AWEZNQCLSA-N 0 1 313.788 3.370 20 30 DGEDMN C#C[C@H](C)[N@@H+](C)Cc1ccccc1OCc1ccc(C(=O)[O-])cc1 ZINC001602670217 1192993924 /nfs/dbraw/zinc/99/39/24/1192993924.db2.gz QOZFYQFLWUUKRZ-HNNXBMFYSA-N 0 1 323.392 3.417 20 30 DGEDMN CC(=NNC1CCSCC1)c1ccc(N2CCCC2)cc1O ZINC001349827809 1179959875 /nfs/dbraw/zinc/95/98/75/1179959875.db2.gz CJDCQUURGSQYNO-UHFFFAOYSA-N 0 1 319.474 3.202 20 30 DGEDMN C#C[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001602681537 1192994554 /nfs/dbraw/zinc/99/45/54/1192994554.db2.gz NJTQBZQURROLBF-QMTHXVAHSA-N 0 1 312.196 3.464 20 30 DGEDMN C#CC[N@H+](CC)Cc1ccccc1OCc1ccc(C(=O)[O-])cc1 ZINC001602719617 1192995111 /nfs/dbraw/zinc/99/51/11/1192995111.db2.gz RSVKCZPEDGEPDC-UHFFFAOYSA-N 0 1 323.392 3.419 20 30 DGEDMN C[C@](N)(C(=O)Nc1ccc(C2CC2)c(C#N)c1)c1ccccc1 ZINC001349884632 1179971773 /nfs/dbraw/zinc/97/17/73/1179971773.db2.gz GIDGJGQFZRXWBN-LJQANCHMSA-N 0 1 305.381 3.248 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc3c(c2)CCC3)CC1 ZINC001479996318 1179984561 /nfs/dbraw/zinc/98/45/61/1179984561.db2.gz DEMQLSAEGQOEHF-UHFFFAOYSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@H](C)C2CC2)CC1 ZINC001479996762 1179989027 /nfs/dbraw/zinc/98/90/27/1179989027.db2.gz VCLYJXBMQYDYSU-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(Br)s1 ZINC001602760711 1192996781 /nfs/dbraw/zinc/99/67/81/1192996781.db2.gz FVDMWINPQDHEKT-SNVBAGLBSA-N 0 1 304.209 3.144 20 30 DGEDMN C=C(C)C[N@H+](C)[C@@H](C(=O)[O-])c1ccc(Br)s1 ZINC001602760711 1192996783 /nfs/dbraw/zinc/99/67/83/1192996783.db2.gz FVDMWINPQDHEKT-SNVBAGLBSA-N 0 1 304.209 3.144 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1ccc(-c2nn[nH]n2)c(OC)c1 ZINC001602837659 1192999634 /nfs/dbraw/zinc/99/96/34/1192999634.db2.gz CGOUCIIQEGSZSY-UHFFFAOYSA-N 0 1 315.421 3.054 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)Nc1cccc(OCCCC#N)c1 ZINC001351388596 1180207746 /nfs/dbraw/zinc/20/77/46/1180207746.db2.gz UNKPCFHLAFDKCY-KRWDZBQOSA-N 0 1 315.417 3.180 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)Nc1cccc(OCCCC#N)c1 ZINC001351388599 1180207976 /nfs/dbraw/zinc/20/79/76/1180207976.db2.gz UNKPCFHLAFDKCY-QGZVFWFLSA-N 0 1 315.417 3.180 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@H](C)[C@@H](C)C1 ZINC001352073509 1180290858 /nfs/dbraw/zinc/29/08/58/1180290858.db2.gz ATWCCARJVIUQRY-STQMWFEESA-N 0 1 322.416 3.100 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1nsc2ccc(C)cc21 ZINC001480514988 1180587284 /nfs/dbraw/zinc/58/72/84/1180587284.db2.gz BPMVCRFNJGKUJV-LLVKDONJSA-N 0 1 323.849 3.065 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001480532672 1180615651 /nfs/dbraw/zinc/61/56/51/1180615651.db2.gz OEVPTGUFUPCNBJ-YVEFUNNKSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100367481 1180622144 /nfs/dbraw/zinc/62/21/44/1180622144.db2.gz JBMRQWPFFJSFHW-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN CC[C@H]([NH2+]C[C@H]1CCCN(CC)C1)c1cccc(C#N)c1[O-] ZINC001354203683 1180743166 /nfs/dbraw/zinc/74/31/66/1180743166.db2.gz DOPJGLNCXFKJEH-PBHICJAKSA-N 0 1 301.434 3.036 20 30 DGEDMN C=CC(C)(C)CCNC(=O)C[C@@H](N)c1ccccc1OCC ZINC001354369707 1180779646 /nfs/dbraw/zinc/77/96/46/1180779646.db2.gz JCDHRUCRGZXMHO-OAHLLOKOSA-N 0 1 304.434 3.194 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100587057 1180823548 /nfs/dbraw/zinc/82/35/48/1180823548.db2.gz HMUQBFLWDQSPSR-GRTSSRMGSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100644692 1180885724 /nfs/dbraw/zinc/88/57/24/1180885724.db2.gz CPOIAJWRTFDYGK-KVULBXGLSA-N 0 1 321.490 3.038 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccccc1CC(=O)[O-] ZINC001604468668 1193074407 /nfs/dbraw/zinc/07/44/07/1193074407.db2.gz XVCIHZAAVXWEEA-CQSZACIVSA-N 0 1 308.381 3.378 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@@H](F)CC)cc1 ZINC001356264409 1181166535 /nfs/dbraw/zinc/16/65/35/1181166535.db2.gz BDQPBMRARYLJCM-GJZGRUSLSA-N 0 1 304.365 3.425 20 30 DGEDMN CCCn1cc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)n1 ZINC001357528072 1181406024 /nfs/dbraw/zinc/40/60/24/1181406024.db2.gz WOPDMZQLQLWJLO-ZDUSSCGKSA-N 0 1 321.384 3.276 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1cccc(F)c1O ZINC001358298346 1181476441 /nfs/dbraw/zinc/47/64/41/1181476441.db2.gz PUHKVTKXDPKNOT-UHFFFAOYSA-N 0 1 312.344 3.454 20 30 DGEDMN C=CC[C@H]1N(CC(=O)Nc2ncccc2C)CCCC1(C)C ZINC001473431280 1181525152 /nfs/dbraw/zinc/52/51/52/1181525152.db2.gz DCCPMZHRVLGFOI-OAHLLOKOSA-N 0 1 301.434 3.395 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001604980986 1193103261 /nfs/dbraw/zinc/10/32/61/1193103261.db2.gz QUAMVAKLXIZVFV-BXKDBHETSA-N 0 1 301.173 3.401 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H](N(C)C[C@H](F)CC)C1 ZINC001480726779 1181688237 /nfs/dbraw/zinc/68/82/37/1181688237.db2.gz JBYUNMURUFSCAV-SJORKVTESA-N 0 1 310.457 3.426 20 30 DGEDMN Cc1cccc(C(=O)NCCN(C)Cc2ccc(C#N)cc2)c1C ZINC001492835579 1181718554 /nfs/dbraw/zinc/71/85/54/1181718554.db2.gz MWENWUADSCKAFA-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(CC(C)C)C(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001545813336 1181766416 /nfs/dbraw/zinc/76/64/16/1181766416.db2.gz DYTKMEIGWLNKGV-IAGOWNOFSA-N 0 1 304.409 3.289 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1ccc(CN2CCCC2)o1 ZINC001441177302 1182059648 /nfs/dbraw/zinc/05/96/48/1182059648.db2.gz LRCFYRRSMYLJNM-UHFFFAOYSA-N 0 1 323.396 3.019 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001448107140 1182201398 /nfs/dbraw/zinc/20/13/98/1182201398.db2.gz VUVDEYMCOPTORF-ZDUSSCGKSA-N 0 1 313.401 3.332 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@H]3C[C@@H]3C3CCCC3)n2)c1 ZINC001458039981 1182491063 /nfs/dbraw/zinc/49/10/63/1182491063.db2.gz CNSVUSWURKWDOQ-CABCVRRESA-N 0 1 321.384 3.108 20 30 DGEDMN N#Cc1cnc2c(Cl)cccc2c1N1CCc2cn[nH]c2C1 ZINC001458277045 1182511338 /nfs/dbraw/zinc/51/13/38/1182511338.db2.gz AWTJOWUSGIUODK-UHFFFAOYSA-N 0 1 309.760 3.046 20 30 DGEDMN Cc1nc(NCc2ccc(Nc3ccncc3)cc2)ccc1C#N ZINC001458515993 1182534269 /nfs/dbraw/zinc/53/42/69/1182534269.db2.gz KQQSGHGKZREMSR-UHFFFAOYSA-N 0 1 315.380 3.434 20 30 DGEDMN CCN(CCCN(C(=O)OC(C)(C)C)C1CC1)C[C@@H](C)C#N ZINC001459398047 1182584239 /nfs/dbraw/zinc/58/42/39/1182584239.db2.gz XWKFALCQVJVELX-AWEZNQCLSA-N 0 1 309.454 3.258 20 30 DGEDMN C#CCN(Cc1cc(C(=O)OC)ccc1OC)C1CCCCC1 ZINC001459388590 1182584466 /nfs/dbraw/zinc/58/44/66/1182584466.db2.gz BFZVAHWZEFOENS-UHFFFAOYSA-N 0 1 315.413 3.250 20 30 DGEDMN CCC[C@@H](C)CCCN1CCN(c2nccnc2C#N)C[C@H]1C ZINC001459770610 1182613875 /nfs/dbraw/zinc/61/38/75/1182613875.db2.gz SXWOPXZRRLDTOB-HZPDHXFCSA-N 0 1 315.465 3.075 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)[C@H](CO)c1ccc(Cl)cc1 ZINC001460140533 1182656257 /nfs/dbraw/zinc/65/62/57/1182656257.db2.gz BXGSUDWSYIXHKZ-QGZVFWFLSA-N 0 1 300.789 3.377 20 30 DGEDMN CN(Cc1cccc(C#N)c1)[C@H](CO)c1ccc(Cl)cc1 ZINC001460140533 1182656260 /nfs/dbraw/zinc/65/62/60/1182656260.db2.gz BXGSUDWSYIXHKZ-QGZVFWFLSA-N 0 1 300.789 3.377 20 30 DGEDMN C#C[C@@H]1CCCN(CCc2cc(Cl)c3c(c2)OCCCO3)C1 ZINC001460309665 1182676123 /nfs/dbraw/zinc/67/61/23/1182676123.db2.gz MLGTURBECGXKTC-CQSZACIVSA-N 0 1 319.832 3.389 20 30 DGEDMN N#CCOc1cccc(CNCc2cnc(Cl)c(F)c2)c1 ZINC001461197766 1182781048 /nfs/dbraw/zinc/78/10/48/1182781048.db2.gz PCSBYJZPZXJKBZ-UHFFFAOYSA-N 0 1 305.740 3.066 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2-c2ccccn2)cc1Cl ZINC001461477928 1182817078 /nfs/dbraw/zinc/81/70/78/1182817078.db2.gz QPIGPVZJLGSMQK-UHFFFAOYSA-N 0 1 323.787 3.082 20 30 DGEDMN C=C(C)CNCc1cccc(F)c1I ZINC001461497679 1182821022 /nfs/dbraw/zinc/82/10/22/1182821022.db2.gz HBXLSINAQUCMNH-UHFFFAOYSA-N 0 1 305.134 3.096 20 30 DGEDMN C#Cc1cccc(C[NH2+]Cc2c([O-])cccc2Br)c1 ZINC001461578661 1182830461 /nfs/dbraw/zinc/83/04/61/1182830461.db2.gz HGACFQAHRVYPIT-UHFFFAOYSA-N 0 1 316.198 3.426 20 30 DGEDMN C[C@H]1CC[C@@](CO)(NCc2cc(C#N)cs2)c2ccccc21 ZINC001461819808 1182862144 /nfs/dbraw/zinc/86/21/44/1182862144.db2.gz KYSOWDLHDGXXOC-UGSOOPFHSA-N 0 1 312.438 3.494 20 30 DGEDMN COc1cccc([C@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001461918334 1182876551 /nfs/dbraw/zinc/87/65/51/1182876551.db2.gz NRXRRWKLPCFICY-NSHDSACASA-N 0 1 320.352 3.185 20 30 DGEDMN COc1ccc(Cl)cc1[C@@H](O)CNCC#Cc1ccccc1 ZINC001462241329 1182927412 /nfs/dbraw/zinc/92/74/12/1182927412.db2.gz WGRRHWPDNLIIBE-KRWDZBQOSA-N 0 1 315.800 3.023 20 30 DGEDMN C[N@@H+](CCCCC(C)(C)C#N)Cc1ccc(OCC(=O)[O-])cc1 ZINC001606116960 1193190420 /nfs/dbraw/zinc/19/04/20/1193190420.db2.gz FSBYIDDAJLMCGD-UHFFFAOYSA-N 0 1 318.417 3.302 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ncc(Br)cc2O)C1 ZINC001462416931 1182949018 /nfs/dbraw/zinc/94/90/18/1182949018.db2.gz UEQKHWBIBLWCMX-LLVKDONJSA-N 0 1 311.223 3.338 20 30 DGEDMN C=CC[C@@H]1CCC[N@@H+](Cc2ncc(Br)cc2[O-])C1 ZINC001462416931 1182949022 /nfs/dbraw/zinc/94/90/22/1182949022.db2.gz UEQKHWBIBLWCMX-LLVKDONJSA-N 0 1 311.223 3.338 20 30 DGEDMN COC(=O)/C=C\c1ccc(CN[C@H](C)c2cccc(C#N)c2)o1 ZINC001462581486 1182971840 /nfs/dbraw/zinc/97/18/40/1182971840.db2.gz ALCJOCAVZAVXBO-LJTDUEICSA-N 0 1 310.353 3.188 20 30 DGEDMN CC(C)(C)n1cncc1[C@@H]1CCCN(Cc2cccc(C#N)n2)C1 ZINC001462666399 1182983749 /nfs/dbraw/zinc/98/37/49/1182983749.db2.gz KAJOPQZKPKUUJQ-OAHLLOKOSA-N 0 1 323.444 3.284 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)CCCCC1 ZINC001462749157 1182992013 /nfs/dbraw/zinc/99/20/13/1182992013.db2.gz OPCDSUDUIJWQOF-HOTGVXAUSA-N 0 1 322.518 3.209 20 30 DGEDMN COc1ccc([C@H](C)[NH2+]Cc2cccc(C(=O)[O-])c2C#N)cc1C ZINC001607291923 1193222487 /nfs/dbraw/zinc/22/24/87/1193222487.db2.gz NMDDLAOQUIYOMD-ZDUSSCGKSA-N 0 1 324.380 3.424 20 30 DGEDMN C[C@H](C(=O)N([O-])Cc1ccccc1Cl)[NH+]1[C@H](C)CC[C@H]1C ZINC001472619015 1183657185 /nfs/dbraw/zinc/65/71/85/1183657185.db2.gz SBYPDVBNMVJNDS-JHJVBQTASA-N 0 1 310.825 3.319 20 30 DGEDMN CC[C@H]1CCCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635724 1183659571 /nfs/dbraw/zinc/65/95/71/1183659571.db2.gz NWNRZQNBIFMCTC-ZFWWWQNUSA-N 0 1 323.400 3.498 20 30 DGEDMN C#C[C@H](Nc1nc(Cl)nc2[nH]cnc21)c1ccc(Cl)cc1 ZINC001472800715 1183683203 /nfs/dbraw/zinc/68/32/03/1183683203.db2.gz UNMLYGPIRGYWBD-JTQLQIEISA-N 0 1 318.167 3.446 20 30 DGEDMN N#C[C@H](CCc1ccccc1)Sc1nc(-c2ccccn2)n[nH]1 ZINC001472918913 1183699495 /nfs/dbraw/zinc/69/94/95/1183699495.db2.gz SULXBNARBFOISP-AWEZNQCLSA-N 0 1 321.409 3.484 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1ccc(C(C)=O)cc1 ZINC001473857548 1183812843 /nfs/dbraw/zinc/81/28/43/1183812843.db2.gz WBAHYQXQFBEUCS-QGZVFWFLSA-N 0 1 300.402 3.258 20 30 DGEDMN C#CC[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC001474075251 1183862219 /nfs/dbraw/zinc/86/22/19/1183862219.db2.gz ALETUMWILULLEK-QGZVFWFLSA-N 0 1 302.381 3.326 20 30 DGEDMN COc1cc(CNCc2cccc(Cl)c2N)ccc1C#N ZINC001474137884 1183872846 /nfs/dbraw/zinc/87/28/46/1183872846.db2.gz MVPKJSZRQBNMIA-UHFFFAOYSA-N 0 1 301.777 3.092 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)CC(C)C)C1 ZINC001474177012 1183877755 /nfs/dbraw/zinc/87/77/55/1183877755.db2.gz JUSCVJCWCSVYDB-HOTGVXAUSA-N 0 1 312.885 3.488 20 30 DGEDMN C#Cc1cccc(CNCc2cnn(-c3ccccc3C)c2)c1 ZINC001474178532 1183878885 /nfs/dbraw/zinc/87/88/85/1183878885.db2.gz IUDLPYKMBYKPLF-UHFFFAOYSA-N 0 1 301.393 3.452 20 30 DGEDMN N#CCc1ccc(CNCc2cnn(-c3ccc(F)cc3)c2)cc1 ZINC001474192806 1183880328 /nfs/dbraw/zinc/88/03/28/1183880328.db2.gz ZMQGHWLRUBITMP-UHFFFAOYSA-N 0 1 320.371 3.367 20 30 DGEDMN Cc1cc(NC(=O)NCC#CCN(C)C)ccc1-c1ccccc1 ZINC001476367928 1184037332 /nfs/dbraw/zinc/03/73/32/1184037332.db2.gz FMNUMSJTIJRGBD-UHFFFAOYSA-N 0 1 321.424 3.349 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc2ccccc2c1 ZINC001479264763 1184222000 /nfs/dbraw/zinc/22/20/00/1184222000.db2.gz MBUYKIQTRXXNSM-FQEVSTJZSA-N 0 1 322.452 3.491 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001479393491 1184243662 /nfs/dbraw/zinc/24/36/62/1184243662.db2.gz ILVCDPDBEGDZBD-LLYWVAJCSA-N 0 1 319.276 3.392 20 30 DGEDMN CCC(CC)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001479698209 1184293398 /nfs/dbraw/zinc/29/33/98/1184293398.db2.gz RLRBMWQPVUAYLB-KDOFPFPSSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C(F)F)o1 ZINC001479738458 1184307793 /nfs/dbraw/zinc/30/77/93/1184307793.db2.gz CWRRSBQLIZFKNO-ZDUSSCGKSA-N 0 1 324.371 3.167 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1sccc1CC ZINC001479739548 1184308493 /nfs/dbraw/zinc/30/84/93/1184308493.db2.gz BPKXIOJEPPBQCR-INIZCTEOSA-N 0 1 318.486 3.260 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)CCC(=C)C)C2 ZINC001479740882 1184309841 /nfs/dbraw/zinc/30/98/41/1184309841.db2.gz JNEIJECCVYITNQ-UHFFFAOYSA-N 0 1 319.474 3.050 20 30 DGEDMN N#CC1(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@@H]32)CCCCC1 ZINC001608175123 1193281000 /nfs/dbraw/zinc/28/10/00/1193281000.db2.gz PSFKRVODWLYSAF-PMPSAXMXSA-N 0 1 304.434 3.426 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001479852295 1184350498 /nfs/dbraw/zinc/35/04/98/1184350498.db2.gz DNQAXSSJWMQWTD-INIZCTEOSA-N 0 1 324.827 3.046 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)c2ccsc2)CC1 ZINC001479994889 1184407134 /nfs/dbraw/zinc/40/71/34/1184407134.db2.gz CPXNRLDSIYZSTO-HNNXBMFYSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001480154943 1184445974 /nfs/dbraw/zinc/44/59/74/1184445974.db2.gz DCWWMWYYKMVQFX-BLQKEWKVSA-N 0 1 305.249 3.145 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2Cl)C1 ZINC001480136815 1184443429 /nfs/dbraw/zinc/44/34/29/1184443429.db2.gz PCFRZINOLXPXSZ-JOCQHMNTSA-N 0 1 322.811 3.019 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001480143054 1184443984 /nfs/dbraw/zinc/44/39/84/1184443984.db2.gz SVGPDYPOMQJMAX-JOCQHMNTSA-N 0 1 321.490 3.045 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1cnccc1C ZINC001480316748 1184490476 /nfs/dbraw/zinc/49/04/76/1184490476.db2.gz PZMWSOXDIFAJLA-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)[C@@H](F)Cc1ccccc1 ZINC001480331981 1184495442 /nfs/dbraw/zinc/49/54/42/1184495442.db2.gz OZYZUUBHEDRAHU-MSOLQXFVSA-N 0 1 318.436 3.114 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(C2CCCCC2)CC1 ZINC001480332405 1184495936 /nfs/dbraw/zinc/49/59/36/1184495936.db2.gz XEKPIFZINHPLEU-SFHVURJKSA-N 0 1 316.489 3.341 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cscc1C ZINC001480344037 1184499671 /nfs/dbraw/zinc/49/96/71/1184499671.db2.gz LDJVQWVPNYKLFD-CYBMUJFWSA-N 0 1 312.866 3.393 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001480489923 1184536484 /nfs/dbraw/zinc/53/64/84/1184536484.db2.gz LLVMGQDUIKHUNE-SJORKVTESA-N 0 1 314.473 3.205 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493132862 1184654019 /nfs/dbraw/zinc/65/40/19/1184654019.db2.gz XHEOHHLLFIQETQ-ICRRLBEQSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001493128144 1184655513 /nfs/dbraw/zinc/65/55/13/1184655513.db2.gz DHIMGWHOWONSFQ-QWHCGFSZSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(c2ccccc2C)CCC1 ZINC001493154851 1184660772 /nfs/dbraw/zinc/66/07/72/1184660772.db2.gz GHXJRIYHOGOTNQ-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN O=C(CCC1CCC1)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001493191947 1184665663 /nfs/dbraw/zinc/66/56/63/1184665663.db2.gz IRXAUCZBOILSNN-HXUWFJFHSA-N 0 1 324.468 3.057 20 30 DGEDMN O=C(CCC1CCC1)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001493191944 1184665970 /nfs/dbraw/zinc/66/59/70/1184665970.db2.gz IRXAUCZBOILSNN-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN(CCNC(=O)C1(c2ccccc2)CCCC1)C1CC1 ZINC001493447298 1184708287 /nfs/dbraw/zinc/70/82/87/1184708287.db2.gz INTAHGHERQYOPL-UHFFFAOYSA-N 0 1 324.468 3.102 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1C1(C)CC1 ZINC001493693167 1184727325 /nfs/dbraw/zinc/72/73/25/1184727325.db2.gz LBSRDTXOPZHQTB-UHFFFAOYSA-N 0 1 306.837 3.152 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCNCc2ccccc2C#N)c(C)c1 ZINC001493778898 1184738040 /nfs/dbraw/zinc/73/80/40/1184738040.db2.gz FIOWKIGCRBWYOO-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1C[C@H]1c1ccco1)C(C)C ZINC001493869560 1184753714 /nfs/dbraw/zinc/75/37/14/1184753714.db2.gz CZUTYRQLUIYZFS-HUUCEWRRSA-N 0 1 324.852 3.352 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1CCCCC1)c1ccccc1 ZINC001493879424 1184756772 /nfs/dbraw/zinc/75/67/72/1184756772.db2.gz AVTRGTBNNLXPFJ-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC/C=C/Cl)c1ccccc1 ZINC001493892507 1184759807 /nfs/dbraw/zinc/75/98/07/1184759807.db2.gz NLRJLBZHBQKQLO-SHQCLWGWSA-N 0 1 306.837 3.398 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccc3cc[nH]c32)C1 ZINC001493968550 1184781061 /nfs/dbraw/zinc/78/10/61/1184781061.db2.gz RUYLDCNSOCTACD-QGZVFWFLSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2(C(C)(F)F)CC2)C1 ZINC001494056046 1184800345 /nfs/dbraw/zinc/80/03/45/1184800345.db2.gz SZRSZVQNMJZRSJ-GFCCVEGCSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CN(C)Cc2nc(C)cs2)C1 ZINC001494136480 1184816016 /nfs/dbraw/zinc/81/60/16/1184816016.db2.gz DPXUAQOYMYRIKI-OAHLLOKOSA-N 0 1 321.490 3.088 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@H](C)c2ccco2)C1 ZINC001494172320 1184824581 /nfs/dbraw/zinc/82/45/81/1184824581.db2.gz ORPPUERRVMWVAV-DZGCQCFKSA-N 0 1 324.852 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc(C(F)F)c2)C1 ZINC001494488700 1184876139 /nfs/dbraw/zinc/87/61/39/1184876139.db2.gz ZEWWTIMJKOHZQF-LBPRGKRZSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001494509321 1184877820 /nfs/dbraw/zinc/87/78/20/1184877820.db2.gz TVDPRNWTZRBOMK-KDOFPFPSSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CCN1CC(CNC(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001494594284 1184892139 /nfs/dbraw/zinc/89/21/39/1184892139.db2.gz QAKZEZHQVZMBHU-KRWDZBQOSA-N 0 1 300.446 3.113 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001494723142 1184912237 /nfs/dbraw/zinc/91/22/37/1184912237.db2.gz DCGITZBPEQDVEB-QWXNRMGCSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001494842861 1184940004 /nfs/dbraw/zinc/94/00/04/1184940004.db2.gz JRYLJRHDASAGTC-ONGAVZMUSA-N 0 1 323.440 3.113 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001494897523 1184956946 /nfs/dbraw/zinc/95/69/46/1184956946.db2.gz PELRZGSYJFMOGL-FUHWJXTLSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001494897522 1184957538 /nfs/dbraw/zinc/95/75/38/1184957538.db2.gz PELRZGSYJFMOGL-AEFFLSMTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1sc(C)cc1C ZINC001494988172 1184976413 /nfs/dbraw/zinc/97/64/13/1184976413.db2.gz FYTIJBRAWBIQBY-GFCCVEGCSA-N 0 1 300.855 3.215 20 30 DGEDMN C=CC[C@H]1[N@@H+](Cc2ccc(-c3nnn[n-]3)s2)CCCC1(C)C ZINC001609053219 1193322559 /nfs/dbraw/zinc/32/25/59/1193322559.db2.gz FRICGLDBQACSKO-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2ccc(-c3nnn[n-]3)s2)CCCC1(C)C ZINC001609053219 1193322561 /nfs/dbraw/zinc/32/25/61/1193322561.db2.gz FRICGLDBQACSKO-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@@H+](Cc2ccc(-c3nn[n-]n3)s2)CCCC1(C)C ZINC001609053219 1193322563 /nfs/dbraw/zinc/32/25/63/1193322563.db2.gz FRICGLDBQACSKO-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2ccc(-c3nn[n-]n3)s2)CCCC1(C)C ZINC001609053219 1193322564 /nfs/dbraw/zinc/32/25/64/1193322564.db2.gz FRICGLDBQACSKO-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001495067988 1184991920 /nfs/dbraw/zinc/99/19/20/1184991920.db2.gz ZZHHKEXPJHNGNJ-GDBMZVCRSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2cnccc2c1 ZINC001495083073 1184994219 /nfs/dbraw/zinc/99/42/19/1184994219.db2.gz FYPIHHTVYGVMAM-INIZCTEOSA-N 0 1 317.820 3.085 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C2CCCCCC2)C1 ZINC001495830397 1185106821 /nfs/dbraw/zinc/10/68/21/1185106821.db2.gz JRFSOIORXJSBST-AEFFLSMTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C2CCCCCC2)C1 ZINC001495830399 1185107131 /nfs/dbraw/zinc/10/71/31/1185107131.db2.gz JRFSOIORXJSBST-FUHWJXTLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001496136696 1185138848 /nfs/dbraw/zinc/13/88/48/1185138848.db2.gz HALHZGPXPNLZNX-AAGJOFLKSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CC(C)(C)C(F)(F)F ZINC001496218414 1185150600 /nfs/dbraw/zinc/15/06/00/1185150600.db2.gz OGJZGIDARJTCNK-SNVBAGLBSA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(CC)ccn1 ZINC001496272452 1185157912 /nfs/dbraw/zinc/15/79/12/1185157912.db2.gz PTOPSSQBLADCJX-OAHLLOKOSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1cnc(C)o1)CC(C)C ZINC001496283676 1185158795 /nfs/dbraw/zinc/15/87/95/1185158795.db2.gz LWXXFBJSHBGRMB-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC1(C)C)C1CCCCC1 ZINC001496314782 1185165469 /nfs/dbraw/zinc/16/54/69/1185165469.db2.gz YBGHVSQFACYEQM-LSDHHAIUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2=CCCC2)CC1 ZINC001496499098 1185200480 /nfs/dbraw/zinc/20/04/80/1185200480.db2.gz TYZWJBGCYGPZGG-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC001496804452 1185250863 /nfs/dbraw/zinc/25/08/63/1185250863.db2.gz FFIFLLOWYQCLEE-SJORKVTESA-N 0 1 306.494 3.252 20 30 DGEDMN C=CCOc1ccccc1CNc1[nH]c2cccc(C(=O)[O-])c2[nH+]1 ZINC001609461574 1193340625 /nfs/dbraw/zinc/34/06/25/1193340625.db2.gz CKJDDDYUGRFTAL-UHFFFAOYSA-N 0 1 323.352 3.438 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2ccon2)CCCCC1 ZINC001497329460 1185316054 /nfs/dbraw/zinc/31/60/54/1185316054.db2.gz BLVULAVYELLJDT-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCCC(=O)NC/C=C/CN[C@@H]1CCc2c1c(F)ccc2F ZINC001497540210 1185350835 /nfs/dbraw/zinc/35/08/35/1185350835.db2.gz HTKQCPYHIAQZRU-DWTRPJMMSA-N 0 1 320.383 3.180 20 30 DGEDMN CC(C)(CCC#N)C[N@H+]1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC001609673979 1193348414 /nfs/dbraw/zinc/34/84/14/1193348414.db2.gz ZFCGDAPYWNEKST-SFHVURJKSA-N 0 1 300.402 3.045 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001497686889 1185376607 /nfs/dbraw/zinc/37/66/07/1185376607.db2.gz WLUUYILNHAVPPR-IWAYRVGRSA-N 0 1 320.864 3.441 20 30 DGEDMN CC(C)[N@@H+](Cc1ccc(C#N)cc1)Cc1ccc(C(=O)[O-])cn1 ZINC001609705128 1193350715 /nfs/dbraw/zinc/35/07/15/1193350715.db2.gz PXHOBEFMRDCVSE-UHFFFAOYSA-N 0 1 309.369 3.062 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1c(Cl)cccc1OC ZINC001498087307 1185434884 /nfs/dbraw/zinc/43/48/84/1185434884.db2.gz MJJMDUDNVSQPQH-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2c(F)cccc2F)CCC1 ZINC001498106262 1185437237 /nfs/dbraw/zinc/43/72/37/1185437237.db2.gz OAIZYOIJTIIOLK-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN(C)Cc1csc(C)c1 ZINC001498361669 1185477745 /nfs/dbraw/zinc/47/77/45/1185477745.db2.gz IFNNSTJSBINYNO-CQSZACIVSA-N 0 1 306.475 3.187 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001498369169 1185479812 /nfs/dbraw/zinc/47/98/12/1185479812.db2.gz KKSXHOQOAWESNG-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@@H]1CNCc1ncc(C)o1 ZINC001498491650 1185507318 /nfs/dbraw/zinc/50/73/18/1185507318.db2.gz QROYOLVSLLPMQF-CVEARBPZSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1csc(C)n1)C1CC1 ZINC001498770112 1185544200 /nfs/dbraw/zinc/54/42/00/1185544200.db2.gz XTCNZNCMAXEZKW-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c[nH]c2ccccc12)C1CC1 ZINC001498786377 1185546366 /nfs/dbraw/zinc/54/63/66/1185546366.db2.gz PENRRIRGWBYYAI-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1cccc(F)c1)C1CC1 ZINC001498873960 1185559730 /nfs/dbraw/zinc/55/97/30/1185559730.db2.gz YIZFXMXNMUPPQB-LRDDRELGSA-N 0 1 324.827 3.166 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2coc(C)n2)[C@@H](CC)C1 ZINC001498900188 1185566652 /nfs/dbraw/zinc/56/66/52/1185566652.db2.gz WZCWZZOOZBQJIS-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1[C@H](C)c1cccc(C)c1 ZINC001499036321 1185596687 /nfs/dbraw/zinc/59/66/87/1185596687.db2.gz CEJLJLPBJJXHPT-NVXWUHKLSA-N 0 1 300.446 3.459 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1[C@@H](C)c1cccc(C)c1 ZINC001499036322 1185597040 /nfs/dbraw/zinc/59/70/40/1185597040.db2.gz CEJLJLPBJJXHPT-RDJZCZTQSA-N 0 1 300.446 3.459 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001499047733 1185598833 /nfs/dbraw/zinc/59/88/33/1185598833.db2.gz LFHBLQNPQGCCMF-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H]1CC[N@H+](C/C=C/Cl)C1 ZINC001499480085 1185655000 /nfs/dbraw/zinc/65/50/00/1185655000.db2.gz CDXYERHSOBOJAL-SNWBSQOPSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOC(C)C ZINC001499551726 1185667944 /nfs/dbraw/zinc/66/79/44/1185667944.db2.gz WPVMRRPQAOBQPA-HDICACEKSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CO[C@@H]2CCCC[C@H]2CC)C1 ZINC001499664773 1185684347 /nfs/dbraw/zinc/68/43/47/1185684347.db2.gz OJBFJROMEFZGEW-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccsc2C)C1 ZINC001499754366 1185692364 /nfs/dbraw/zinc/69/23/64/1185692364.db2.gz YHEBXEHXQKCSBW-UHFFFAOYSA-N 0 1 320.502 3.488 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](F)c2ccccc2)C1 ZINC001499751952 1185692381 /nfs/dbraw/zinc/69/23/81/1185692381.db2.gz OWKVFTIOVFZFII-YOEHRIQHSA-N 0 1 304.409 3.195 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cscn2)C[C@H]1C ZINC001499833440 1185703660 /nfs/dbraw/zinc/70/36/60/1185703660.db2.gz ZRZPSTDPXSBYPD-ZIAGYGMSSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2cncc(C)c2)CC1 ZINC001499986760 1185728052 /nfs/dbraw/zinc/72/80/52/1185728052.db2.gz QHKKNAFQMANRIN-UHFFFAOYSA-N 0 1 315.461 3.217 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(C)CCN(Cc2ccns2)CC1 ZINC001500439062 1185792964 /nfs/dbraw/zinc/79/29/64/1185792964.db2.gz FXRQVFLQLAIKBR-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)C1CC1)c1ccccc1CC ZINC001501019901 1185857133 /nfs/dbraw/zinc/85/71/33/1185857133.db2.gz WSNATRMEFQIRBV-BEFAXECRSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC=C)CCCCC1 ZINC001501407978 1185901200 /nfs/dbraw/zinc/90/12/00/1185901200.db2.gz MKEGDEUYTXFWPW-QZTJIDSGSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001501422436 1185902705 /nfs/dbraw/zinc/90/27/05/1185902705.db2.gz PNIATUXCGOGZIP-YRCJHFKKSA-N 0 1 310.869 3.264 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C(C)C ZINC001501668672 1185918566 /nfs/dbraw/zinc/91/85/66/1185918566.db2.gz JSBZGMFEWHPTHG-MRXNPFEDSA-N 0 1 300.446 3.050 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC(CC)CC)cccc2C1 ZINC001501856814 1185931848 /nfs/dbraw/zinc/93/18/48/1185931848.db2.gz GQQHPFKTOMDGRR-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001502132400 1185958834 /nfs/dbraw/zinc/95/88/34/1185958834.db2.gz RNVFGDMULFBCFM-IBGZPJMESA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)CC(C)(C)CC)CO2 ZINC001502458009 1185984570 /nfs/dbraw/zinc/98/45/70/1185984570.db2.gz PCPPDVYOEDDEOP-HZPDHXFCSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCN1Cc2ccccc2C[C@@H]1CNC(=O)C(C)(F)F ZINC001502518818 1185991001 /nfs/dbraw/zinc/99/10/01/1185991001.db2.gz BRZGRNZJVBTKMG-MRXNPFEDSA-N 0 1 322.399 3.151 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(C[C@H](F)CC)CC1(C)C ZINC001502824140 1186018786 /nfs/dbraw/zinc/01/87/86/1186018786.db2.gz SESKSOSCABWFRL-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C=C/C(C)(C)C ZINC001503678136 1186050737 /nfs/dbraw/zinc/05/07/37/1186050737.db2.gz NFIUOVHGGGAOMS-XSSIKURBSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CNCc1ccc(F)cn1 ZINC001505405111 1186068472 /nfs/dbraw/zinc/06/84/72/1186068472.db2.gz CMKWFOBJAYLAMF-KRWDZBQOSA-N 0 1 319.424 3.048 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001505599638 1186110684 /nfs/dbraw/zinc/11/06/84/1186110684.db2.gz OZTOPCLWXIVDOO-GJQIVEAUSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001505612887 1186114429 /nfs/dbraw/zinc/11/44/29/1186114429.db2.gz ANPIMDKWMUFBQU-GJZGRUSLSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1nc2ccccc2o1 ZINC001505613017 1186114496 /nfs/dbraw/zinc/11/44/96/1186114496.db2.gz CHXRVRPMFDOLOM-ZIAGYGMSSA-N 0 1 315.417 3.167 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC001505671952 1186122238 /nfs/dbraw/zinc/12/22/38/1186122238.db2.gz YPGIMQPWFVZTME-OLZOCXBDSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1ccccc1C ZINC001505697744 1186126275 /nfs/dbraw/zinc/12/62/75/1186126275.db2.gz KXVZHPJUVHYTFY-LSDHHAIUSA-N 0 1 308.853 3.163 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2ccccc2o1 ZINC001505746070 1186133215 /nfs/dbraw/zinc/13/32/15/1186133215.db2.gz PKYHZRHWTPXKDP-NWDGAFQWSA-N 0 1 306.793 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(C)cc(C)cc1C ZINC001505745066 1186133496 /nfs/dbraw/zinc/13/34/96/1186133496.db2.gz BIWRCQLOHCBVBQ-GJZGRUSLSA-N 0 1 308.853 3.461 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001505983709 1186174756 /nfs/dbraw/zinc/17/47/56/1186174756.db2.gz HFFAMGCDWDCNQN-WFASDCNBSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1conc1C ZINC001506571496 1186254373 /nfs/dbraw/zinc/25/43/73/1186254373.db2.gz HFUALZNVVKTSAV-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C1CN(CCC(F)(F)F)C1 ZINC001506615778 1186261661 /nfs/dbraw/zinc/26/16/61/1186261661.db2.gz CAOGDSBCQATWGG-GFCCVEGCSA-N 0 1 318.383 3.144 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)CCCC)C2)C1 ZINC001506710589 1186276881 /nfs/dbraw/zinc/27/68/81/1186276881.db2.gz OOTDFXYWLRANSH-IBGZPJMESA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CC(C)(C)CC)C2)C1 ZINC001506723355 1186278239 /nfs/dbraw/zinc/27/82/39/1186278239.db2.gz SNUUYQOOWLBTFG-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CN(Cc2ccsc2)CC1(C)C ZINC001506739356 1186283816 /nfs/dbraw/zinc/28/38/16/1186283816.db2.gz DUJXSWUJOXDEPA-HNNXBMFYSA-N 0 1 318.486 3.124 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H]1CN(CC=C)CC1(C)C)c1ccccc1 ZINC001506754226 1186289027 /nfs/dbraw/zinc/28/90/27/1186289027.db2.gz WBYAXMNTSFRWTK-QZTJIDSGSA-N 0 1 312.457 3.359 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C[C@H](C)CC(C)C)[C@@H]2C1 ZINC001506823599 1186293169 /nfs/dbraw/zinc/29/31/69/1186293169.db2.gz VXMQHTXBHVVMBI-MIGQKNRLSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1c(C)noc1CC ZINC001507119847 1186365850 /nfs/dbraw/zinc/36/58/50/1186365850.db2.gz VVJSVWUEZCGJKY-ACJLOTCBSA-N 0 1 321.465 3.084 20 30 DGEDMN CCCC(=O)N1C[C@@H](NCC#Cc2cccc(Cl)c2)C[C@@H]1C ZINC001507303464 1186385282 /nfs/dbraw/zinc/38/52/82/1186385282.db2.gz YDEODVGGYMXMIT-YOEHRIQHSA-N 0 1 318.848 3.071 20 30 DGEDMN COC(=O)C[C@H](NCc1ccc(Cl)cc1C#N)C(C)(C)C ZINC001655695893 1195951455 /nfs/dbraw/zinc/95/14/55/1195951455.db2.gz RMKIWWOVQOHEHV-AWEZNQCLSA-N 0 1 308.809 3.279 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(CC)CCCCC2)[C@@H]1C ZINC001507382528 1186409922 /nfs/dbraw/zinc/40/99/22/1186409922.db2.gz FSCRGIWBXZUIIY-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN CO[C@@]1(C(=O)C(C#N)c2ncc(C(C)(C)C)s2)CCSC1 ZINC001514903580 1186735699 /nfs/dbraw/zinc/73/56/99/1186735699.db2.gz STFHYUNMRQKALG-BMIGLBTASA-N 0 1 324.471 3.139 20 30 DGEDMN N#C[C@@H](C(=O)c1cccc2c1OCC2)c1nc(C2CC2)cs1 ZINC001515389673 1186757380 /nfs/dbraw/zinc/75/73/80/1186757380.db2.gz BSWPJUOQCBUVEN-ZDUSSCGKSA-N 0 1 310.378 3.445 20 30 DGEDMN N#CC(C(=O)c1cccc2c1OCC2)c1nc(C2CC2)cs1 ZINC001515389673 1186757383 /nfs/dbraw/zinc/75/73/83/1186757383.db2.gz BSWPJUOQCBUVEN-ZDUSSCGKSA-N 0 1 310.378 3.445 20 30 DGEDMN N#CC1(C(=O)Nc2nc(-c3cccc(Cl)c3)n[nH]2)CCCC1 ZINC001516584390 1186787380 /nfs/dbraw/zinc/78/73/80/1186787380.db2.gz USNJKMJPWKWGQP-UHFFFAOYSA-N 0 1 315.764 3.148 20 30 DGEDMN CC(C)(CC#N)COC(=O)c1ccc(CN2CCCCC2)o1 ZINC001518119090 1186832637 /nfs/dbraw/zinc/83/26/37/1186832637.db2.gz UJSCPKVBVFZZFR-UHFFFAOYSA-N 0 1 304.390 3.362 20 30 DGEDMN C=C(CC)C(=O)NC[C@@H](c1ccc(OC)cc1)N1CCCCC1 ZINC001518773803 1186852476 /nfs/dbraw/zinc/85/24/76/1186852476.db2.gz LXVWPRNMCKYJSY-SFHVURJKSA-N 0 1 316.445 3.305 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2nc3ncccc3cc2C#N)C1 ZINC001612221176 1193448983 /nfs/dbraw/zinc/44/89/83/1193448983.db2.gz KHCVPDISVRCTPA-KRWDZBQOSA-N 0 1 315.380 3.100 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001520028449 1186911395 /nfs/dbraw/zinc/91/13/95/1186911395.db2.gz DQOFZWRCFFHUSQ-NEPJUHHUSA-N 0 1 320.179 3.243 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SCc3cc(C#N)ccn3)n2)o1 ZINC001613452422 1193472525 /nfs/dbraw/zinc/47/25/25/1193472525.db2.gz PDWPAMFQEAEXSU-PLNGDYQASA-N 0 1 323.381 3.436 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c(C)cc(C#N)cc2C)nc(C)n1 ZINC001524018417 1187209541 /nfs/dbraw/zinc/20/95/41/1187209541.db2.gz KYVYEQWHMGIROA-KRWDZBQOSA-N 0 1 318.380 3.001 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CSc2ccccc21 ZINC001526586840 1187376612 /nfs/dbraw/zinc/37/66/12/1187376612.db2.gz FLVHKDBUZQPGBT-QWRGUYRKSA-N 0 1 317.801 3.139 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NC(=O)c1cccc(F)c1O ZINC001528931956 1187521151 /nfs/dbraw/zinc/52/11/51/1187521151.db2.gz CBWPHWNQEXTMOL-MRXNPFEDSA-N 0 1 310.328 3.210 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(C(C)(C)COC)CC1 ZINC001529255140 1187544607 /nfs/dbraw/zinc/54/46/07/1187544607.db2.gz BEDUKBJVYRRVPP-UHFFFAOYSA-N 0 1 312.498 3.306 20 30 DGEDMN Cc1ccccc1OCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001534067895 1187872975 /nfs/dbraw/zinc/87/29/75/1187872975.db2.gz MEJQPPMUAGREIM-UHFFFAOYSA-N 0 1 320.352 3.151 20 30 DGEDMN C=CCN(CCOC(=O)Cc1ccccc1)CCc1ccco1 ZINC001616684449 1193544982 /nfs/dbraw/zinc/54/49/82/1193544982.db2.gz RUGISOPMOYDNSR-UHFFFAOYSA-N 0 1 313.397 3.096 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(c4cccc(F)c4)CC3)[nH]c2c1 ZINC001543403824 1188331116 /nfs/dbraw/zinc/33/11/16/1188331116.db2.gz JPCMYNCKZUDCBH-UHFFFAOYSA-N 0 1 320.327 3.244 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CC2CCC1CC2 ZINC001566576211 1188523407 /nfs/dbraw/zinc/52/34/07/1188523407.db2.gz HIYQCHCNITVHTK-UYSNPLJNSA-N 0 1 312.885 3.393 20 30 DGEDMN Cc1cccc(CN2CCCC[C@H]2C(=O)N(C)[C@H](C)CC#N)c1 ZINC001617525374 1193572113 /nfs/dbraw/zinc/57/21/13/1193572113.db2.gz JGMUKHMKBKMBDC-AEFFLSMTSA-N 0 1 313.445 3.110 20 30 DGEDMN C#CCNC(=O)CN(C)[C@H](c1ccc(Cl)cc1)C(CC)CC ZINC001617653604 1193576517 /nfs/dbraw/zinc/57/65/17/1193576517.db2.gz GCUAZTFHRKGPEA-SFHVURJKSA-N 0 1 320.864 3.499 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001556466334 1189001813 /nfs/dbraw/zinc/00/18/13/1189001813.db2.gz SQUYYQDCKCEIBI-IBGZPJMESA-N 0 1 316.489 3.468 20 30 DGEDMN C=CCCC(C)(C)NC(=O)c1ncc(Br)cc1O ZINC001557638299 1189076151 /nfs/dbraw/zinc/07/61/51/1189076151.db2.gz WAAUUTXYEYHPBA-UHFFFAOYSA-N 0 1 313.195 3.024 20 30 DGEDMN N#Cc1ccc2[nH]c(NC(=O)c3cc(Cl)ccc3O)nc2c1 ZINC001557762727 1189083730 /nfs/dbraw/zinc/08/37/30/1189083730.db2.gz ULPJBVOMNRVVAP-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN C=CCC1(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)CCCC1 ZINC001558559717 1189134574 /nfs/dbraw/zinc/13/45/74/1189134574.db2.gz LYCMHKXNKNUNPH-UHFFFAOYSA-N 0 1 305.378 3.050 20 30 DGEDMN Cc1c(Cl)cnc(N2CCN(C)[C@@H](C(C)(C)C)C2)c1C#N ZINC001559266976 1189189707 /nfs/dbraw/zinc/18/97/07/1189189707.db2.gz SBDXQWQTBLWEKJ-CQSZACIVSA-N 0 1 306.841 3.082 20 30 DGEDMN CCC#C[C@@H](C)N1CCCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001559496922 1189210482 /nfs/dbraw/zinc/21/04/82/1189210482.db2.gz AFJXDXVVGMGSQO-OAHLLOKOSA-N 0 1 318.848 3.290 20 30 DGEDMN CC(C)N(CC[S@](=O)C(F)(F)F)Cc1ccc(C#N)cc1 ZINC001559507203 1189212102 /nfs/dbraw/zinc/21/21/02/1189212102.db2.gz MGCZKTLAXZHRJQ-NRFANRHFSA-N 0 1 318.364 3.037 20 30 DGEDMN C#C[C@](C)(CC)N[C@H]1CCN(c2ccccc2C(F)(F)F)C1=O ZINC001559851278 1189250474 /nfs/dbraw/zinc/25/04/74/1189250474.db2.gz GAEYQISKAGBTDU-XJKSGUPXSA-N 0 1 324.346 3.202 20 30 DGEDMN CC1(C)CN(C[C@H](O)c2cccc(C#N)c2)[C@@H]1c1ccncc1 ZINC001560036829 1189270991 /nfs/dbraw/zinc/27/09/91/1189270991.db2.gz NUJPOKYUJQEGCY-ZWKOTPCHSA-N 0 1 307.397 3.070 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)CCN(C)[C@@H]1CCC[C@H]1C#N ZINC001560060574 1189273504 /nfs/dbraw/zinc/27/35/04/1189273504.db2.gz QICXHNXDLIXDQV-XJKSGUPXSA-N 0 1 303.381 3.087 20 30 DGEDMN C#CCN(Cc1cc2c(c(Br)c1)OCO2)C(C)C ZINC001560163883 1189285962 /nfs/dbraw/zinc/28/59/62/1189285962.db2.gz FHCOBHKZGBKYCV-UHFFFAOYSA-N 0 1 310.191 3.021 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cc(-c2ccccn2)n[nH]1 ZINC001560460419 1189331256 /nfs/dbraw/zinc/33/12/56/1189331256.db2.gz YCHNJEKLJUBDPK-QGZVFWFLSA-N 0 1 315.380 3.120 20 30 DGEDMN C#CCCCCCCN1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC001560716051 1189366416 /nfs/dbraw/zinc/36/64/16/1189366416.db2.gz IJJLYVLOTMRIQT-UHFFFAOYSA-N 0 1 316.420 3.167 20 30 DGEDMN C=CCCn1cc(CNCC2(OCCC)CCCCC2)nn1 ZINC001560813273 1189386189 /nfs/dbraw/zinc/38/61/89/1189386189.db2.gz SQLOBZFJQRKOEA-UHFFFAOYSA-N 0 1 306.454 3.073 20 30 DGEDMN Cc1cc(CNCc2cccc(C#N)n2)ccc1Br ZINC001560878259 1189398215 /nfs/dbraw/zinc/39/82/15/1189398215.db2.gz QJBURSYGKAPVHX-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Nc3ccc(C#N)cn3)C2)c(F)c1 ZINC001561016621 1189421490 /nfs/dbraw/zinc/42/14/90/1189421490.db2.gz CPYBZKXJFKXMLE-QGZVFWFLSA-N 0 1 324.403 3.477 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NC/C(Cl)=C/Cl ZINC001567962114 1189435622 /nfs/dbraw/zinc/43/56/22/1189435622.db2.gz NIPADRHUMODDLI-NXIHDVOMSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCCCCCCCNC(=O)N1CCN(CC2CC2)CC1 ZINC001564884635 1189603391 /nfs/dbraw/zinc/60/33/91/1189603391.db2.gz UFRQHUIJAWAAAY-UHFFFAOYSA-N 0 1 307.482 3.250 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1C ZINC001565823489 1189676588 /nfs/dbraw/zinc/67/65/88/1189676588.db2.gz YZRNJSHTGONBSV-QGZVFWFLSA-N 0 1 300.446 3.240 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc(F)cc1Cl ZINC001565826468 1189678930 /nfs/dbraw/zinc/67/89/30/1189678930.db2.gz MSYCFNHNQKZVDY-OAHLLOKOSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H](C)CC)CC(C)(C)C1 ZINC001566128426 1189743709 /nfs/dbraw/zinc/74/37/09/1189743709.db2.gz SMXUCAWLNBYTNV-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001566635045 1189839762 /nfs/dbraw/zinc/83/97/62/1189839762.db2.gz QXYVFHKEXBCRLT-ZWKOTPCHSA-N 0 1 318.436 3.332 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC001566675427 1189856314 /nfs/dbraw/zinc/85/63/14/1189856314.db2.gz XNMYFCUHTQHEAY-RTBURBONSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccccc2Cl)CC1 ZINC001566699375 1189864235 /nfs/dbraw/zinc/86/42/35/1189864235.db2.gz IPNVBKUFFRROND-UHFFFAOYSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2CC23CCC3)C1 ZINC001566742708 1189885830 /nfs/dbraw/zinc/88/58/30/1189885830.db2.gz BCJQTUOMKIHJCS-CABCVRRESA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCCCC(=O)NC1CCC(NCc2ncc(CC)o2)CC1 ZINC001566987312 1189981631 /nfs/dbraw/zinc/98/16/31/1189981631.db2.gz CSMRIXKMXPCPLM-UHFFFAOYSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)C[C@@H](C)CC(C)C ZINC001567068846 1190019138 /nfs/dbraw/zinc/01/91/38/1190019138.db2.gz FNCHJOOODVHQCU-AAEUAGOBSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)C[C@@H](C)CC(C)C ZINC001567068848 1190019242 /nfs/dbraw/zinc/01/92/42/1190019242.db2.gz FNCHJOOODVHQCU-WCQYABFASA-N 0 1 319.287 3.062 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@@H]2C[C@H]2Cc2ccccc2)c1 ZINC001567072283 1190020605 /nfs/dbraw/zinc/02/06/05/1190020605.db2.gz AHAVRSWGYQPZMK-CVEARBPZSA-N 0 1 306.365 3.471 20 30 DGEDMN Cc1cccc(C(=O)NC[C@H](C)NCC#Cc2ccccc2)c1C ZINC001567074127 1190020954 /nfs/dbraw/zinc/02/09/54/1190020954.db2.gz JAOOXDYOMYKGLB-KRWDZBQOSA-N 0 1 320.436 3.063 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1ccon1 ZINC001567261791 1190100114 /nfs/dbraw/zinc/10/01/14/1190100114.db2.gz ZIVIDCXRCXCKQB-INIZCTEOSA-N 0 1 319.449 3.138 20 30 DGEDMN Cc1ccc(C)c(C(=O)N(C)CCNCc2ccccc2C#N)c1 ZINC001567595411 1190223636 /nfs/dbraw/zinc/22/36/36/1190223636.db2.gz HVSDCVWEAYQOKO-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C2CCCCCC2)C1 ZINC001567681348 1190250348 /nfs/dbraw/zinc/25/03/48/1190250348.db2.gz PVILATVFBUNAHQ-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(OC)c2C)C1 ZINC001567687596 1190253056 /nfs/dbraw/zinc/25/30/56/1190253056.db2.gz BTKFMJRVVLJKHB-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cccc(F)c2F)C1 ZINC001567689348 1190255320 /nfs/dbraw/zinc/25/53/20/1190255320.db2.gz UQOCGCWSACRPIO-HNNXBMFYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(C)s2)C1 ZINC001567692001 1190256232 /nfs/dbraw/zinc/25/62/32/1190256232.db2.gz ZETOFGSGTNHYAS-CYBMUJFWSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2CC3(CCC3)C2)C1 ZINC001567705366 1190263836 /nfs/dbraw/zinc/26/38/36/1190263836.db2.gz MKXMASRJMVVUOG-AWEZNQCLSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](F)c2ccccc2)C1 ZINC001567739489 1190281119 /nfs/dbraw/zinc/28/11/19/1190281119.db2.gz ZFQATSMVSQGLGG-HOCLYGCPSA-N 0 1 324.827 3.230 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)cc(C)c2)C1 ZINC001567741005 1190281540 /nfs/dbraw/zinc/28/15/40/1190281540.db2.gz AYZJNLMWYABQED-INIZCTEOSA-N 0 1 320.864 3.450 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCc2sccc2C)C1 ZINC001567846928 1190320148 /nfs/dbraw/zinc/32/01/48/1190320148.db2.gz MJSKMUDNHPTEBA-UHFFFAOYSA-N 0 1 306.475 3.003 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccnc1C ZINC001567861235 1190325634 /nfs/dbraw/zinc/32/56/34/1190325634.db2.gz OBATUEFZKZBTEV-IRXDYDNUSA-N 0 1 313.445 3.035 20 30 DGEDMN Cc1cc(CN2CC[C@H](NC(=O)C#CC(C)(C)C)C2)c(C)s1 ZINC001567873403 1190330543 /nfs/dbraw/zinc/33/05/43/1190330543.db2.gz VTKAYOKPYNXZLQ-INIZCTEOSA-N 0 1 318.486 3.105 20 30 DGEDMN CC[C@H](CNC(=O)c1cccc(C)c1)NCc1ccccc1C#N ZINC001567949027 1190371743 /nfs/dbraw/zinc/37/17/43/1190371743.db2.gz RQLSAHIFBZNSNT-LJQANCHMSA-N 0 1 321.424 3.165 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1nc(C(C)(C)C)cs1 ZINC001567953626 1190374155 /nfs/dbraw/zinc/37/41/55/1190374155.db2.gz ZDOQLICBVBSFAE-ZDUSSCGKSA-N 0 1 323.506 3.391 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CC)NCc1nc(C)c(C)s1 ZINC001567975246 1190383326 /nfs/dbraw/zinc/38/33/26/1190383326.db2.gz MOFUGJALHNRJKR-YOEHRIQHSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CCNCc2ncc(C)o2)C1 ZINC001568058261 1190411696 /nfs/dbraw/zinc/41/16/96/1190411696.db2.gz PHWRNSOBRMUPHL-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)/C=C\C(C)(C)C)C1 ZINC001568214253 1190453996 /nfs/dbraw/zinc/45/39/96/1190453996.db2.gz IPTYDFUUDIYCDF-MQNTZWLQSA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CCCC)C(C)C)CC2 ZINC001568253979 1190463330 /nfs/dbraw/zinc/46/33/30/1190463330.db2.gz VTQJRIVKTRITHU-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CCCCCC)CC2 ZINC001568253094 1190463353 /nfs/dbraw/zinc/46/33/53/1190463353.db2.gz XRSXGYPQWHNSPG-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C(C)(C)CC)cc1 ZINC001568487284 1190542402 /nfs/dbraw/zinc/54/24/02/1190542402.db2.gz XKTXNPFOVJRFAP-UHFFFAOYSA-N 0 1 314.473 3.401 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)CCN(C)CC#CC)c1ccccc1 ZINC001568506706 1190553929 /nfs/dbraw/zinc/55/39/29/1190553929.db2.gz NAKDOFDXBHQOBX-LJQANCHMSA-N 0 1 312.457 3.150 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H]3[C@@H](CCN3CC(=C)Cl)C2)CCC1 ZINC001568515996 1190556914 /nfs/dbraw/zinc/55/69/14/1190556914.db2.gz RTGXPSKTMUJNNK-JKSUJKDBSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)CCN1CC[C@@H](F)C1 ZINC001568581940 1190564629 /nfs/dbraw/zinc/56/46/29/1190564629.db2.gz GMXCXVYPZOGBTF-QGZVFWFLSA-N 0 1 304.409 3.025 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(F)c1Cl ZINC001568924897 1190600776 /nfs/dbraw/zinc/60/07/76/1190600776.db2.gz MAQLSMIGPGGWQY-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C)(C)c1ccccc1C ZINC001568974914 1190603349 /nfs/dbraw/zinc/60/33/49/1190603349.db2.gz NKZNYSVCMQNIBZ-BQYQJAHWSA-N 0 1 320.864 3.287 20 30 DGEDMN CC(C(=O)N[C@H](C)CNCc1ccccc1C#N)=C1CCCC1 ZINC001568987905 1190604924 /nfs/dbraw/zinc/60/49/24/1190604924.db2.gz VRIPEKOGNOQIRS-CQSZACIVSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(CCC)s1 ZINC001568996720 1190606284 /nfs/dbraw/zinc/60/62/84/1190606284.db2.gz PVGXYVNWKLMYPQ-LLVKDONJSA-N 0 1 300.855 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN[C@H](C)c2cc(F)ccc2F)C1 ZINC001569017355 1190609101 /nfs/dbraw/zinc/60/91/01/1190609101.db2.gz IZXAYLSESSFPHR-CHWSQXEVSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2conc2C)CCCCC1 ZINC001569038257 1190611906 /nfs/dbraw/zinc/61/19/06/1190611906.db2.gz IRGOKVLDYOJKRC-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001569075437 1190616840 /nfs/dbraw/zinc/61/68/40/1190616840.db2.gz SQWFFWPXFKWKGH-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001569115762 1190628468 /nfs/dbraw/zinc/62/84/68/1190628468.db2.gz TXEMRADUXUBPFU-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)c1cc(C)c(Cl)cc1C ZINC001569130912 1190633217 /nfs/dbraw/zinc/63/32/17/1190633217.db2.gz FVZIEIZNPBLZRR-AWEZNQCLSA-N 0 1 306.837 3.030 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(C)c(Cl)cc1C ZINC001569130912 1190633219 /nfs/dbraw/zinc/63/32/19/1190633219.db2.gz FVZIEIZNPBLZRR-AWEZNQCLSA-N 0 1 306.837 3.030 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC001569131048 1190633817 /nfs/dbraw/zinc/63/38/17/1190633817.db2.gz IPHCDIMMQXHTPR-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001569131048 1190633819 /nfs/dbraw/zinc/63/38/19/1190633819.db2.gz IPHCDIMMQXHTPR-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2ccccn2)CCCC1 ZINC001569155172 1190645498 /nfs/dbraw/zinc/64/54/98/1190645498.db2.gz HXUHTYGTBYJYLT-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccccc1CC ZINC001569359996 1190674595 /nfs/dbraw/zinc/67/45/95/1190674595.db2.gz PSPDIASADOBLOS-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC001569384365 1190687607 /nfs/dbraw/zinc/68/76/07/1190687607.db2.gz JFWWQKYOGWYVRK-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C#CCCCCC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001569396730 1190691027 /nfs/dbraw/zinc/69/10/27/1190691027.db2.gz OQKACRPRYPLVMR-UHFFFAOYSA-N 0 1 316.420 3.066 20 30 DGEDMN CC(C)C#CC(=O)N(C)C1CN(CC[C@H]2CCC[C@H](C)C2)C1 ZINC001569488914 1190707533 /nfs/dbraw/zinc/70/75/33/1190707533.db2.gz BDZJOZJWHFWBNI-DLBZAZTESA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001569499820 1190709154 /nfs/dbraw/zinc/70/91/54/1190709154.db2.gz QDZKCTQBRDNRSB-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1c(C)oc(C)c1C ZINC001569547751 1190715622 /nfs/dbraw/zinc/71/56/22/1190715622.db2.gz XWPQJUWLYCQCRK-AWEZNQCLSA-N 0 1 310.825 3.152 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)cc1 ZINC001569573762 1190718643 /nfs/dbraw/zinc/71/86/43/1190718643.db2.gz FRJZEFOTYJEIEN-UHFFFAOYSA-N 0 1 303.321 3.016 20 30 DGEDMN C#CCN(C(C)=O)C1CCN([C@H](C)c2cccc(Cl)c2)CC1 ZINC001569580981 1190720092 /nfs/dbraw/zinc/72/00/92/1190720092.db2.gz FQNOAGXYRPVRDQ-CQSZACIVSA-N 0 1 318.848 3.347 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2cc(F)ccc2C)C1 ZINC001569637913 1190729556 /nfs/dbraw/zinc/72/95/56/1190729556.db2.gz RDAWDYGDFMCPFN-LJQANCHMSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2ncccc2C)C1 ZINC001569647021 1190732157 /nfs/dbraw/zinc/73/21/57/1190732157.db2.gz WUFAYBCRWVWWBW-QFBILLFUSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)NCC1(C)CCN(Cc2cc(C)ns2)CC1 ZINC001569697784 1190742171 /nfs/dbraw/zinc/74/21/71/1190742171.db2.gz QCUSXUGEVJEQAB-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(Cl)cc2C)C1 ZINC001569720595 1190748727 /nfs/dbraw/zinc/74/87/27/1190748727.db2.gz XLTRAHDSHRLBDU-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001569738516 1190752131 /nfs/dbraw/zinc/75/21/31/1190752131.db2.gz CBLPHRDRWLFDPC-XXUROBRHSA-N 0 1 312.404 3.158 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC=CCC1 ZINC001570026020 1190783668 /nfs/dbraw/zinc/78/36/68/1190783668.db2.gz UAWSUJOKVFRBCA-KRWDZBQOSA-N 0 1 310.441 3.114 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C12CCC(CC1)C2 ZINC001570026826 1190783828 /nfs/dbraw/zinc/78/38/28/1190783828.db2.gz LHUBNJNVHOOWCX-UHFFFAOYSA-N 0 1 324.468 3.338 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001570281035 1190836648 /nfs/dbraw/zinc/83/66/48/1190836648.db2.gz NFPLMOCHRIHGOC-GOEBONIOSA-N 0 1 323.440 3.452 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)/C=C/C(C)(C)C)cc2C1 ZINC001570311484 1190838454 /nfs/dbraw/zinc/83/84/54/1190838454.db2.gz XYKDBSFRWXRDOL-MDZDMXLPSA-N 0 1 310.441 3.244 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2cc(F)ccc2F)C1 ZINC001570351445 1190842322 /nfs/dbraw/zinc/84/23/22/1190842322.db2.gz GPSHLOYHFAJANT-SUMWQHHRSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(CC(C)C)CCC2)C(C)(C)C1 ZINC001570395739 1190852580 /nfs/dbraw/zinc/85/25/80/1190852580.db2.gz PPOQXCVBKLOFHL-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001570539248 1190880131 /nfs/dbraw/zinc/88/01/31/1190880131.db2.gz ZBOMKZPESOHHHO-UONOGXRCSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CC)CC1CCCC1 ZINC001570541925 1190881970 /nfs/dbraw/zinc/88/19/70/1190881970.db2.gz LPHJPYWWDXZVOR-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)c1cccc(C)c1 ZINC001570542261 1190882535 /nfs/dbraw/zinc/88/25/35/1190882535.db2.gz QKABHIHTHIVHPO-HKUYNNGSSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc2ccccc2c1 ZINC001570545785 1190884634 /nfs/dbraw/zinc/88/46/34/1190884634.db2.gz XVMPIDBLQDPPHT-FQEVSTJZSA-N 0 1 320.436 3.400 20 30 DGEDMN CC(C)C(=O)NC[C@H]1CCCCCN1Cc1ccc(C#N)s1 ZINC001570816417 1190956291 /nfs/dbraw/zinc/95/62/91/1190956291.db2.gz YGEXESXYTCCHPN-CQSZACIVSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CC[C@@H](C)CC)C2)C1 ZINC001570886872 1190970213 /nfs/dbraw/zinc/97/02/13/1190970213.db2.gz QLHQHLGACKSKMJ-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@H](C)n1cccc1 ZINC001571370742 1191004279 /nfs/dbraw/zinc/00/42/79/1191004279.db2.gz CBWVYAHIKQYLSX-GOEBONIOSA-N 0 1 323.868 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCCCC1(C)C ZINC001571419055 1191020574 /nfs/dbraw/zinc/02/05/74/1191020574.db2.gz KDSXYZZLEWPYGV-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001571479362 1191027685 /nfs/dbraw/zinc/02/76/85/1191027685.db2.gz PJIUQJGSRBAKDF-NEPJUHHUSA-N 0 1 305.249 3.241 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001571479364 1191027926 /nfs/dbraw/zinc/02/79/26/1191027926.db2.gz PJIUQJGSRBAKDF-RYUDHWBXSA-N 0 1 305.249 3.241 20 30 DGEDMN Cc1cc(CN(C)CCN(C(=O)[C@H](C)C#N)C(C)C)c(C)s1 ZINC001571610697 1191056817 /nfs/dbraw/zinc/05/68/17/1191056817.db2.gz YJZMPMJUBLOVOC-CYBMUJFWSA-N 0 1 321.490 3.193 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1sccc1F)C(C)(C)C ZINC001571623651 1191066743 /nfs/dbraw/zinc/06/67/43/1191066743.db2.gz URQVXEQVBOPVDZ-LLVKDONJSA-N 0 1 318.845 3.374 20 30 DGEDMN C#CCSc1ccc(COC(=O)[C@@]2(CC=C)CCCN2)cc1 ZINC001574760466 1191449693 /nfs/dbraw/zinc/44/96/93/1191449693.db2.gz ZFCJPKMAPAXAIM-GOSISDBHSA-N 0 1 315.438 3.153 20 30 DGEDMN C#CCN(CCCSc1ccccc1Cl)Cc1c[nH]nn1 ZINC001574928231 1191459026 /nfs/dbraw/zinc/45/90/26/1191459026.db2.gz JXDUWQDHZMZKPP-UHFFFAOYSA-N 0 1 320.849 3.076 20 30 DGEDMN C=C1CCC(C(=O)Oc2cccc(OCc3c[nH]nn3)c2)CC1 ZINC001575063002 1191464778 /nfs/dbraw/zinc/46/47/78/1191464778.db2.gz ZJYBFZBFAMMWBU-UHFFFAOYSA-N 0 1 313.357 3.036 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@@H](CCC)c2c[nH]nn2)CCCC1 ZINC001575087163 1191465010 /nfs/dbraw/zinc/46/50/10/1191465010.db2.gz APKZHXWYJBUEQM-AWEZNQCLSA-N 0 1 319.453 3.472 20 30 DGEDMN CCCCCCCC(=O)N[C@H](c1c[nH]nn1)c1ccccc1 ZINC001576393958 1191582000 /nfs/dbraw/zinc/58/20/00/1191582000.db2.gz GJNFYXIEANEFIC-KRWDZBQOSA-N 0 1 300.406 3.371 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCN(C)CC23CCCCC3)cc1 ZINC001618703608 1193748417 /nfs/dbraw/zinc/74/84/17/1193748417.db2.gz SIMJBJNOWROJDJ-UHFFFAOYSA-N 0 1 324.468 3.077 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC[C@H]2C2CCC2)c1C#N ZINC001619799547 1193761639 /nfs/dbraw/zinc/76/16/39/1193761639.db2.gz LHWZQPQIJJUBSD-SFHVURJKSA-N 0 1 312.413 3.499 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)Nc1ccc(CN(C)C)c(F)c1 ZINC001620644911 1193785655 /nfs/dbraw/zinc/78/56/55/1193785655.db2.gz CSPHTSPSLLMQQB-UHFFFAOYSA-N 0 1 311.360 3.320 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)ccc1OCC)C1CCOCC1 ZINC001621448462 1193844518 /nfs/dbraw/zinc/84/45/18/1193844518.db2.gz XLXVTIZAORDYBM-MRXNPFEDSA-N 0 1 307.821 3.257 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1n[nH]cc1C(F)(F)F ZINC001623858384 1193969526 /nfs/dbraw/zinc/96/95/26/1193969526.db2.gz IIIUPPRKAGHRHQ-UHFFFAOYSA-N 0 1 315.320 3.318 20 30 DGEDMN CC(C)(C)Oc1ccc(NC(=O)c2cncc(O)c2)cc1C#N ZINC001624673436 1194008553 /nfs/dbraw/zinc/00/85/53/1194008553.db2.gz SDLDYXAJWQHVMQ-UHFFFAOYSA-N 0 1 311.341 3.088 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2ccc(Cl)c(O)c2)cc1 ZINC001627845228 1194146830 /nfs/dbraw/zinc/14/68/30/1194146830.db2.gz LWZHTRZTFFYNKT-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001628294675 1194171359 /nfs/dbraw/zinc/17/13/59/1194171359.db2.gz UNARJUMAQLWSIN-AWEZNQCLSA-N 0 1 311.385 3.167 20 30 DGEDMN CC(C)(NC(=O)c1cc(F)cc(F)c1O)c1cccc(C#N)c1 ZINC001629203526 1194220014 /nfs/dbraw/zinc/22/00/14/1194220014.db2.gz KXDSBBXGRAKMCL-UHFFFAOYSA-N 0 1 316.307 3.207 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1)C1CC1 ZINC001631531714 1194340797 /nfs/dbraw/zinc/34/07/97/1194340797.db2.gz ZVUVSBXEPVJENH-UHFFFAOYSA-N 0 1 306.369 3.349 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cccc3nc[nH]c32)cc1C#N ZINC001632094051 1194370784 /nfs/dbraw/zinc/37/07/84/1194370784.db2.gz MTRBEUVDWCZZHR-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)c(OC)c2)C1 ZINC001671149495 1194373663 /nfs/dbraw/zinc/37/36/63/1194373663.db2.gz VKWYFHIYQMKNPB-HNNXBMFYSA-N 0 1 316.445 3.115 20 30 DGEDMN CC(C)(Cc1ccc(C#N)cc1)NC(=O)c1cccc2nc[nH]c21 ZINC001635345467 1194545285 /nfs/dbraw/zinc/54/52/85/1194545285.db2.gz GBCRTQOHBLRJRE-UHFFFAOYSA-N 0 1 318.380 3.186 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cccc(-c3nnc[nH]3)c2)c(Cl)c1 ZINC001636515637 1194618282 /nfs/dbraw/zinc/61/82/82/1194618282.db2.gz DNUMGAKHBALDEW-UHFFFAOYSA-N 0 1 322.755 3.359 20 30 DGEDMN Cc1cc(C(=O)Nc2n[nH]c3cc(O)ccc32)cc(C)c1C#N ZINC001637294591 1194661816 /nfs/dbraw/zinc/66/18/16/1194661816.db2.gz QXVBKGSKMWENJU-UHFFFAOYSA-N 0 1 306.325 3.009 20 30 DGEDMN CCC(CC)[C@@H](CNc1cc(F)ccc1C#N)N1CCOCC1 ZINC001639235876 1194756635 /nfs/dbraw/zinc/75/66/35/1194756635.db2.gz SLYQDAUEJLLBRF-GOSISDBHSA-N 0 1 319.424 3.246 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccccc2Cl)C1 ZINC001671188179 1194762807 /nfs/dbraw/zinc/76/28/07/1194762807.db2.gz HWRFBDUPKBZDGG-CYBMUJFWSA-N 0 1 306.837 3.451 20 30 DGEDMN CC(C)C[C@H]1CN(C)CCN1c1nc(C(C)(C)C)ccc1C#N ZINC001640449538 1194813822 /nfs/dbraw/zinc/81/38/22/1194813822.db2.gz MCFWENIIRNOSEL-INIZCTEOSA-N 0 1 314.477 3.417 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(Cc2ccccc2)[C@@H](C(C)C)C1 ZINC001641478893 1194856306 /nfs/dbraw/zinc/85/63/06/1194856306.db2.gz RPOUYFDXTLTKSO-LJQANCHMSA-N 0 1 312.457 3.015 20 30 DGEDMN CCOCCOC1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC001641518605 1194858376 /nfs/dbraw/zinc/85/83/76/1194858376.db2.gz DIGDPJGADQGKDZ-UHFFFAOYSA-N 0 1 322.836 3.229 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2c[nH]c3c(C)cccc3c2=O)C1 ZINC001641732129 1194869070 /nfs/dbraw/zinc/86/90/70/1194869070.db2.gz INQZGUJQMXFPJC-AWEZNQCLSA-N 0 1 310.397 3.265 20 30 DGEDMN C=CC1CCN(CC(=O)NC[C@H](c2ccccc2)C(C)C)CC1 ZINC001641778199 1194870883 /nfs/dbraw/zinc/87/08/83/1194870883.db2.gz FOKNSCYZWXDQDS-IBGZPJMESA-N 0 1 314.473 3.440 20 30 DGEDMN CCc1nc([C@H](C)Nc2cc(Br)ccc2C#N)n[nH]1 ZINC001642525552 1194916950 /nfs/dbraw/zinc/91/69/50/1194916950.db2.gz VSCCTCKROSAYQN-QMMMGPOBSA-N 0 1 320.194 3.174 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)c1 ZINC001642592268 1194922114 /nfs/dbraw/zinc/92/21/14/1194922114.db2.gz DTFLATVWOZSXSG-IBGZPJMESA-N 0 1 319.408 3.470 20 30 DGEDMN CC(C)(C)OCCCN1CCN(c2cc(F)ccc2C#N)CC1 ZINC001643109662 1194996141 /nfs/dbraw/zinc/99/61/41/1194996141.db2.gz ZYXDJKVNQIVCOE-UHFFFAOYSA-N 0 1 319.424 3.025 20 30 DGEDMN C[C@@H](NCc1ccc(F)c(C#N)c1)c1ccc2[nH]c(=O)oc2c1 ZINC001644019792 1195073585 /nfs/dbraw/zinc/07/35/85/1195073585.db2.gz YIZBMCVNBCFKJD-SNVBAGLBSA-N 0 1 311.316 3.395 20 30 DGEDMN C#CCCN1CCN(c2cccc(OCc3ccccc3)c2)CC1 ZINC001644329715 1195101138 /nfs/dbraw/zinc/10/11/38/1195101138.db2.gz MSSPIORXVKPFHV-UHFFFAOYSA-N 0 1 320.436 3.411 20 30 DGEDMN N#Cc1ccc(CN(Cc2nnc[nH]2)Cc2cccc(F)c2)cc1 ZINC001644804458 1195134984 /nfs/dbraw/zinc/13/49/84/1195134984.db2.gz YGXMTFILSCDMIM-UHFFFAOYSA-N 0 1 321.359 3.018 20 30 DGEDMN CC1(C)C[C@]1(NCC(=O)Nc1ccc(C#N)cc1)c1ccccc1 ZINC001647873248 1195261594 /nfs/dbraw/zinc/26/15/94/1195261594.db2.gz MVTPTMLMOAUTNL-FQEVSTJZSA-N 0 1 319.408 3.412 20 30 DGEDMN N#CCSCCCN1CCc2nc[nH]c2C12CCCCC2 ZINC001648307969 1195291479 /nfs/dbraw/zinc/29/14/79/1195291479.db2.gz FRWHSFXITIJRGG-UHFFFAOYSA-N 0 1 304.463 3.074 20 30 DGEDMN COc1cccc(CN2CCc3c(C#N)c(N)sc3C2)c1C ZINC001648528906 1195307212 /nfs/dbraw/zinc/30/72/12/1195307212.db2.gz USYBDHDZSCXMGH-UHFFFAOYSA-N 0 1 313.426 3.077 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2cccc(C(F)F)c2)c1 ZINC001648729310 1195326575 /nfs/dbraw/zinc/32/65/75/1195326575.db2.gz HSDWSJQKYFZKBD-UHFFFAOYSA-N 0 1 302.280 3.149 20 30 DGEDMN N#Cc1c2ccccc2[nH]c1C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC001651015349 1195404484 /nfs/dbraw/zinc/40/44/84/1195404484.db2.gz YNRFOYFVPMKZJZ-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN Cc1nc(NC[C@@H]2N(C)CCCC2(C)C)c(Cl)cc1C#N ZINC001651821910 1195512994 /nfs/dbraw/zinc/51/29/94/1195512994.db2.gz KFOOASJGUASCOR-AWEZNQCLSA-N 0 1 306.841 3.447 20 30 DGEDMN Cc1nc(NC[C@H]2N(C)CCCC2(C)C)c(Cl)cc1C#N ZINC001651821911 1195513352 /nfs/dbraw/zinc/51/33/52/1195513352.db2.gz KFOOASJGUASCOR-CQSZACIVSA-N 0 1 306.841 3.447 20 30 DGEDMN C#CC[N@H+](Cc1ccc(O)c(OC)c1[N+](=O)[O-])C1CCCCC1 ZINC001651830785 1195514901 /nfs/dbraw/zinc/51/49/01/1195514901.db2.gz HZRINZCGCWEPAA-UHFFFAOYSA-N 0 1 318.373 3.077 20 30 DGEDMN C#CCN(Cc1ccc(O)c(OC)c1[N+](=O)[O-])C1CCCCC1 ZINC001651830785 1195514902 /nfs/dbraw/zinc/51/49/02/1195514902.db2.gz HZRINZCGCWEPAA-UHFFFAOYSA-N 0 1 318.373 3.077 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2ccc(C#N)s2)n[nH]1 ZINC001652780050 1195650672 /nfs/dbraw/zinc/65/06/72/1195650672.db2.gz CCHFITRLBFHCAS-ZDUSSCGKSA-N 0 1 300.387 3.019 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3ccncn3)C2)c(Cl)c1 ZINC001653188817 1195697021 /nfs/dbraw/zinc/69/70/21/1195697021.db2.gz ZYWPXXYLVCXVQC-OAHLLOKOSA-N 0 1 312.804 3.381 20 30 DGEDMN C[C@H](NCc1nnc[nH]1)c1ccc(-c2cccc(C#N)c2)cc1 ZINC001653253993 1195704306 /nfs/dbraw/zinc/70/43/06/1195704306.db2.gz KHMQWWRCYVOAHP-ZDUSSCGKSA-N 0 1 303.369 3.194 20 30 DGEDMN C[C@@H]1CC[C@@](CO)(NCC#Cc2ccccc2)c2ccccc21 ZINC001653269619 1195705660 /nfs/dbraw/zinc/70/56/60/1195705660.db2.gz LONWROLRZXSQAV-UTKZUKDTSA-N 0 1 305.421 3.413 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2nc(C)c(C)s2)C1 ZINC001654177532 1195780851 /nfs/dbraw/zinc/78/08/51/1195780851.db2.gz DGZSZMDMTWEMDJ-TZMCWYRMSA-N 0 1 307.463 3.375 20 30 DGEDMN CCCCCCCN(C)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC001654424315 1195802770 /nfs/dbraw/zinc/80/27/70/1195802770.db2.gz PSGDIYXESKOGNK-UHFFFAOYSA-N 0 1 320.433 3.299 20 30 DGEDMN CC[C@@]1(O)CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC001654479047 1195808192 /nfs/dbraw/zinc/80/81/92/1195808192.db2.gz VCEFGDRXRGHCAL-MRXNPFEDSA-N 0 1 311.347 3.294 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC001654839983 1195846260 /nfs/dbraw/zinc/84/62/60/1195846260.db2.gz ZBPCDQMZRYQDFO-CQSZACIVSA-N 0 1 310.397 3.407 20 30 DGEDMN COc1cccc([C@]2(C)CCN(Cc3cc(C#N)ccn3)C2)c1 ZINC001655075427 1195872485 /nfs/dbraw/zinc/87/24/85/1195872485.db2.gz PRKUNBAZRXEZRS-LJQANCHMSA-N 0 1 307.397 3.125 20 30 DGEDMN C=C[C@H](CO)N[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC001655151560 1195883853 /nfs/dbraw/zinc/88/38/53/1195883853.db2.gz ILDZBHKKOHULLT-WMLDXEAASA-N 0 1 310.397 3.136 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2C(F)(F)F)C1 ZINC001655562224 1195931610 /nfs/dbraw/zinc/93/16/10/1195931610.db2.gz AFLVJVGHXXGOQZ-NSHDSACASA-N 0 1 312.291 3.459 20 30 DGEDMN CC[C@@H](C)[C@H](C(=O)OC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001655734218 1195958095 /nfs/dbraw/zinc/95/80/95/1195958095.db2.gz ZYGAAHRSWIXNKI-RDTXWAMCSA-N 0 1 314.429 3.325 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCc3ccc(C#N)o3)n2)cc1 ZINC001656074294 1195994730 /nfs/dbraw/zinc/99/47/30/1195994730.db2.gz GGDMAWSLVBRKDD-UHFFFAOYSA-N 0 1 312.354 3.237 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001656110173 1196005284 /nfs/dbraw/zinc/00/52/84/1196005284.db2.gz ZQACCIZRMFKLLS-YOEHRIQHSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001656153780 1196010762 /nfs/dbraw/zinc/01/07/62/1196010762.db2.gz LPXUWMVVPIRJPQ-JJJCDWKUSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001656153781 1196010920 /nfs/dbraw/zinc/01/09/20/1196010920.db2.gz LPXUWMVVPIRJPQ-LKBWDGANSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001656341947 1196031187 /nfs/dbraw/zinc/03/11/87/1196031187.db2.gz OOXUOIHGADCRLH-VBQJREDUSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cc(C)oc1C ZINC001656514551 1196045869 /nfs/dbraw/zinc/04/58/69/1196045869.db2.gz MVZSOIMPMFPBCC-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001656991865 1196090414 /nfs/dbraw/zinc/09/04/14/1196090414.db2.gz UYSZEBFMIDYJPS-UPJWGTAASA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2nocc2C)CC(C)(C)C1 ZINC001657095404 1196104226 /nfs/dbraw/zinc/10/42/26/1196104226.db2.gz AIQQHIRNBFRULK-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)c(F)c2)c(-c2ccccc2)n1 ZINC001657744166 1196174341 /nfs/dbraw/zinc/17/43/41/1196174341.db2.gz FQBBELPXXXWACB-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN CCC[C@@]1(C(=O)OCC)CCCN1CCc1ccc(C#N)cc1 ZINC001658483618 1196263210 /nfs/dbraw/zinc/26/32/10/1196263210.db2.gz BFZUZHGVUAMEFX-IBGZPJMESA-N 0 1 314.429 3.299 20 30 DGEDMN CN(Cc1cc(O)cc(Cl)c1)C[C@H](O)c1cccc(C#N)c1 ZINC001658506891 1196267757 /nfs/dbraw/zinc/26/77/57/1196267757.db2.gz QFZSYDIXZDPUAN-KRWDZBQOSA-N 0 1 316.788 3.083 20 30 DGEDMN C[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1cccc(C#N)c1 ZINC001658596891 1196279109 /nfs/dbraw/zinc/27/91/09/1196279109.db2.gz RKYSKNGUUFHKOJ-ZDUSSCGKSA-N 0 1 303.369 3.194 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)C[C@H]1CCCC[C@@H]1O ZINC001658604849 1196279557 /nfs/dbraw/zinc/27/95/57/1196279557.db2.gz ANEPFFPVHPYCQO-PBHICJAKSA-N 0 1 321.848 3.335 20 30 DGEDMN CCN(CCCNC(=O)CC(C)(C)C)Cc1ccccc1C#N ZINC001660490037 1196489517 /nfs/dbraw/zinc/48/95/17/1196489517.db2.gz DTMNOXNBFPCIRR-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C=CCC[C@@H]1CCCN1Cc1ccc(Br)nc1N ZINC001660489497 1196490141 /nfs/dbraw/zinc/49/01/41/1196490141.db2.gz LWZVPDGPCWLJPB-GFCCVEGCSA-N 0 1 310.239 3.357 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N(CC)[C@@H](C)c1ccc(F)cc1 ZINC001660526192 1196494840 /nfs/dbraw/zinc/49/48/40/1196494840.db2.gz GYJHVOIBZNUPAK-WMLDXEAASA-N 0 1 304.409 3.386 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2cnccc2o1 ZINC001660553636 1196496440 /nfs/dbraw/zinc/49/64/40/1196496440.db2.gz MGXVUSAFOKVILM-UHFFFAOYSA-N 0 1 321.808 3.022 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001660776400 1196523454 /nfs/dbraw/zinc/52/34/54/1196523454.db2.gz XHPCZXPFJJJRSL-UHFFFAOYSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2ncccc2C)CC1 ZINC001660787700 1196524100 /nfs/dbraw/zinc/52/41/00/1196524100.db2.gz QZGDGZZSOLBRGJ-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2cccnc2C)C1 ZINC001660837983 1196532067 /nfs/dbraw/zinc/53/20/67/1196532067.db2.gz IPIWAZAGYIFTSP-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc2[nH]ccc2c1)C1CC1 ZINC001661163738 1196574561 /nfs/dbraw/zinc/57/45/61/1196574561.db2.gz YLKZGHAKFXMFFO-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(CC)cc1)C1CC1 ZINC001661187480 1196577907 /nfs/dbraw/zinc/57/79/07/1196577907.db2.gz IWZGLACKCNBYHB-INIZCTEOSA-N 0 1 306.837 3.100 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001661650252 1196627794 /nfs/dbraw/zinc/62/77/94/1196627794.db2.gz PXWJAWCZOZXQRM-ROMREFBGSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001661650231 1196627873 /nfs/dbraw/zinc/62/78/73/1196627873.db2.gz PXWJAWCZOZXQRM-FSZVGMLSSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@@H]1CCCN(CCF)C1)c1ccccc1 ZINC001661752332 1196641161 /nfs/dbraw/zinc/64/11/61/1196641161.db2.gz JDJTZQNEWFMTQE-DLBZAZTESA-N 0 1 318.436 3.144 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(F)ccc1C ZINC001661938085 1196663972 /nfs/dbraw/zinc/66/39/72/1196663972.db2.gz KWDGVEBULDAWGX-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN CCCC(=O)N[C@@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001661977002 1196668956 /nfs/dbraw/zinc/66/89/56/1196668956.db2.gz IMDQCLAZEHECMH-HKUYNNGSSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)/C=C(\C)C2CC2)C1 ZINC001661982281 1196669450 /nfs/dbraw/zinc/66/94/50/1196669450.db2.gz FXGZUBWJMAQSFL-SUBBQANTSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C(C)=C2CCC2)C1 ZINC001661990987 1196671634 /nfs/dbraw/zinc/67/16/34/1196671634.db2.gz ZGGCDDLKAJXGDI-GDBMZVCRSA-N 0 1 310.869 3.456 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001662207843 1196695185 /nfs/dbraw/zinc/69/51/85/1196695185.db2.gz QYYAZBATSKLKLH-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001662235262 1196697578 /nfs/dbraw/zinc/69/75/78/1196697578.db2.gz PEQSCXNUBQTCHG-MLGOLLRUSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001662302781 1196704270 /nfs/dbraw/zinc/70/42/70/1196704270.db2.gz FDLXUPVVEIUZNF-GFCCVEGCSA-N 0 1 319.836 3.245 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001662383522 1196714617 /nfs/dbraw/zinc/71/46/17/1196714617.db2.gz PDADWBGCDBYYPC-OLZOCXBDSA-N 0 1 320.820 3.383 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001662419563 1196720177 /nfs/dbraw/zinc/72/01/77/1196720177.db2.gz HHPWCCVENVFPQV-NWDGAFQWSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2cc(C)on2)C1 ZINC001662772572 1196749972 /nfs/dbraw/zinc/74/99/72/1196749972.db2.gz IINMJKMHEHABBU-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1ccc(Cl)c(F)c1 ZINC001663249532 1196819138 /nfs/dbraw/zinc/81/91/38/1196819138.db2.gz KZOJUXJDEMCQBS-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001663271993 1196824112 /nfs/dbraw/zinc/82/41/12/1196824112.db2.gz NRMZRSWPARTMEN-ZDUSSCGKSA-N 0 1 322.399 3.430 20 30 DGEDMN CC/C=C(/C)C(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001663653178 1196921818 /nfs/dbraw/zinc/92/18/18/1196921818.db2.gz NQESVNMEMQJTIW-ZDLGFXPLSA-N 0 1 312.457 3.223 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](CC)CC1CCCC1)C1CC1 ZINC001663761267 1196941436 /nfs/dbraw/zinc/94/14/36/1196941436.db2.gz OCLDKHFEMRKFEE-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(CCNC(=O)CC1CCC(C(C)(C)C)CC1)C1CC1 ZINC001663777805 1196944616 /nfs/dbraw/zinc/94/46/16/1196944616.db2.gz VOQZLRKUAUVXDA-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1cccc(F)c1Cl ZINC001663891646 1196955660 /nfs/dbraw/zinc/95/56/60/1196955660.db2.gz WMNVHRXBPJMQRD-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2ccc(F)cc2F)CC1 ZINC001664165487 1196976483 /nfs/dbraw/zinc/97/64/83/1196976483.db2.gz XQMZKNOWKNYSPK-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C#C[C@H](C)N(C)Cc1c[nH]nc1-c1ccc(Br)cc1 ZINC001664497243 1197007071 /nfs/dbraw/zinc/00/70/71/1197007071.db2.gz JEZCMEMAGVKLKG-NSHDSACASA-N 0 1 318.218 3.293 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C12CCC(CC1)CC2)c1ccccc1 ZINC001664599480 1197019466 /nfs/dbraw/zinc/01/94/66/1197019466.db2.gz RXCAVETXRIFCBG-BIXGBWIQSA-N 0 1 324.468 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C12CCC(CC1)CC2)c1ccccc1 ZINC001664599481 1197019775 /nfs/dbraw/zinc/01/97/75/1197019775.db2.gz RXCAVETXRIFCBG-MOMVYJMYSA-N 0 1 324.468 3.427 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2cccs2)C1 ZINC001664678050 1197032048 /nfs/dbraw/zinc/03/20/48/1197032048.db2.gz KRJYKCOWUUOCJU-HOTGVXAUSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C)c(C)cn2)C1 ZINC001664674259 1197032811 /nfs/dbraw/zinc/03/28/11/1197032811.db2.gz ZUYKAQWZAWLOHS-KRWDZBQOSA-N 0 1 315.461 3.201 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccc2oc3ccccc3c2c1 ZINC001665017319 1197086958 /nfs/dbraw/zinc/08/69/58/1197086958.db2.gz MMIWCLMQTBTCJC-UHFFFAOYSA-N 0 1 321.380 3.273 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001665050020 1197090918 /nfs/dbraw/zinc/09/09/18/1197090918.db2.gz LXTYLHJZKCUIHF-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2sc(N3CCCC3)nc2Cl)C1 ZINC001665057251 1197092111 /nfs/dbraw/zinc/09/21/11/1197092111.db2.gz DXSVZGHWEHHQFG-WDEREUQCSA-N 0 1 310.854 3.179 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2cc(C(=O)OC(C)(C)C)nn2C)C1 ZINC001665199759 1197107553 /nfs/dbraw/zinc/10/75/53/1197107553.db2.gz ZUGIOMLDPSZGQR-AWEZNQCLSA-N 0 1 319.449 3.164 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H](c2ccccc2)[C@H](C)CC)C1 ZINC001665357990 1197128646 /nfs/dbraw/zinc/12/86/46/1197128646.db2.gz GOSGUTWIUJKTGY-CRAIPNDOSA-N 0 1 300.446 3.050 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001665465832 1197139886 /nfs/dbraw/zinc/13/98/86/1197139886.db2.gz XLCNTTIAEKAQCC-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@@H]2CCc3c2cccc3F)C1 ZINC001665481642 1197141373 /nfs/dbraw/zinc/14/13/73/1197141373.db2.gz MFUOWAIQJXQFPN-SUMWQHHRSA-N 0 1 316.420 3.216 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001665512464 1197146790 /nfs/dbraw/zinc/14/67/90/1197146790.db2.gz AQZGRFRWZKQSLI-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#Cc1ccc(CN(C)C[C@H]2CN(c3ccccc3)C(=O)O2)cc1 ZINC001665579145 1197153538 /nfs/dbraw/zinc/15/35/38/1197153538.db2.gz BMZJXAUIAMMKDI-IBGZPJMESA-N 0 1 320.392 3.125 20 30 DGEDMN Cc1cccc([C@@H](C)[N@H+]2CC[C@H](N(C)C(=O)C#CC(C)C)C2)c1 ZINC001665631830 1197161302 /nfs/dbraw/zinc/16/13/02/1197161302.db2.gz MVSUXKNJTARSJE-MJGOQNOKSA-N 0 1 312.457 3.248 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CC[N@@H+](CCCCC(F)(F)F)C1 ZINC001665627894 1197161595 /nfs/dbraw/zinc/16/15/95/1197161595.db2.gz ZHQNZMFYZQCTMI-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001665652701 1197165499 /nfs/dbraw/zinc/16/54/99/1197165499.db2.gz JOESDRHVUUWGQM-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001665732818 1197182485 /nfs/dbraw/zinc/18/24/85/1197182485.db2.gz YKIXTKLRSBBCJZ-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(Cc2ccccc2)CC1 ZINC001665798481 1197199345 /nfs/dbraw/zinc/19/93/45/1197199345.db2.gz KTAQFYQPJYNEJW-INIZCTEOSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cnc2ccccc2c1 ZINC001665849974 1197206352 /nfs/dbraw/zinc/20/63/52/1197206352.db2.gz KTWKRZXFCRIKEU-OAHLLOKOSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C)c2c1CCC2 ZINC001665894197 1197213442 /nfs/dbraw/zinc/21/34/42/1197213442.db2.gz YCSZCZUWNVXVCK-AWEZNQCLSA-N 0 1 320.864 3.334 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc[nH]c1C(C)C ZINC001666449807 1197260850 /nfs/dbraw/zinc/26/08/50/1197260850.db2.gz JZGIRVVZVPTNFN-CYBMUJFWSA-N 0 1 309.841 3.085 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2nc(C)cs2)CCCC1 ZINC001666763666 1197283667 /nfs/dbraw/zinc/28/36/67/1197283667.db2.gz OVUMAGYNUAYDJL-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001666982199 1197305173 /nfs/dbraw/zinc/30/51/73/1197305173.db2.gz FUYOXAQOCVLGQY-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001667008723 1197307890 /nfs/dbraw/zinc/30/78/90/1197307890.db2.gz ZRBHQIVYXBQJDQ-KYJSFNMBSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001667060012 1197312988 /nfs/dbraw/zinc/31/29/88/1197312988.db2.gz YRTUYTUENOSTHI-HOCLYGCPSA-N 0 1 322.880 3.363 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(F)cc1 ZINC001667069919 1197313498 /nfs/dbraw/zinc/31/34/98/1197313498.db2.gz NGPMQOHQVVYSPE-OAHLLOKOSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1CC(C)(C)C1 ZINC001667086172 1197316053 /nfs/dbraw/zinc/31/60/53/1197316053.db2.gz GWAOWKLVOVLJFC-CQSZACIVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccccc1OC ZINC001667118064 1197320256 /nfs/dbraw/zinc/32/02/56/1197320256.db2.gz KBAWVIQVIKOHOY-AWEZNQCLSA-N 0 1 324.852 3.182 20 30 DGEDMN C#CCCCC(=O)N[C@H](CNCC(=C)Cl)C1CCCCC1 ZINC001667130346 1197321845 /nfs/dbraw/zinc/32/18/45/1197321845.db2.gz LAEBIYNZEMQAEE-MRXNPFEDSA-N 0 1 310.869 3.197 20 30 DGEDMN CC(C)=C(C)CC(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001668978048 1197435406 /nfs/dbraw/zinc/43/54/06/1197435406.db2.gz JAZSUYTXDKTZJM-SNAWJCMRSA-N 0 1 317.458 3.128 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(Cl)ccc1C ZINC001669097297 1197440032 /nfs/dbraw/zinc/44/00/32/1197440032.db2.gz QOJWIOGZUIQJEZ-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C[C@H](CN[C@H](C)c1c(F)cccc1F)NC(=O)C#CC(C)(C)C ZINC001669289253 1197447217 /nfs/dbraw/zinc/44/72/17/1197447217.db2.gz UURFLUALPBKYLG-CHWSQXEVSA-N 0 1 322.399 3.170 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2cc(C)no2)CCCCC1 ZINC001669478015 1197456974 /nfs/dbraw/zinc/45/69/74/1197456974.db2.gz CRJJTRBYXWRWPD-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](F)CC1CCCCC1 ZINC001669716108 1197472706 /nfs/dbraw/zinc/47/27/06/1197472706.db2.gz QAYFGMSJJMUNRK-JSGCOSHPSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001669745884 1197474738 /nfs/dbraw/zinc/47/47/38/1197474738.db2.gz GCSODODIXBSHKH-NWDGAFQWSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1(c2ccccc2)CC1 ZINC001671379641 1197537151 /nfs/dbraw/zinc/53/71/51/1197537151.db2.gz VLZBXTROSAVQMH-INIZCTEOSA-N 0 1 318.848 3.051 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc(C3CCC3)c2)C1 ZINC001671912176 1197584520 /nfs/dbraw/zinc/58/45/20/1197584520.db2.gz ITBQEKCYKLCQCS-OAQYLSRUSA-N 0 1 324.468 3.419 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C[C@H](C)C2CC2)C1 ZINC001671972459 1197588989 /nfs/dbraw/zinc/58/89/89/1197588989.db2.gz SGFNKLZJDUSHDU-DZGCQCFKSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)/C=C/C(C)(C)C)c1ccccc1CC ZINC001672176833 1197594575 /nfs/dbraw/zinc/59/45/75/1197594575.db2.gz OTVHPZXDWHFKCF-HHNLSAEISA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CCCC12CC2)c1ccccc1CC ZINC001672177646 1197595274 /nfs/dbraw/zinc/59/52/74/1197595274.db2.gz ZLTOEGUHUUWIAY-RTBURBONSA-N 0 1 324.468 3.209 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](CC)CC(F)F)CCC1 ZINC001673172590 1197641457 /nfs/dbraw/zinc/64/14/57/1197641457.db2.gz QAOHJCCRGQGBTR-NSHDSACASA-N 0 1 308.800 3.049 20 30 DGEDMN C=C[C@H](COC)N[C@H]1CCc2sc(Br)cc21 ZINC000382277212 1197705318 /nfs/dbraw/zinc/70/53/18/1197705318.db2.gz IDVLWLGPMLZOPN-SCZZXKLOSA-N 0 1 302.237 3.289 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN(CCF)C1 ZINC001673496611 1197669773 /nfs/dbraw/zinc/66/97/73/1197669773.db2.gz PDTBNLQXDHPZGP-IAGOWNOFSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CCC(C)C)C2 ZINC001673640620 1197683453 /nfs/dbraw/zinc/68/34/53/1197683453.db2.gz ZFQDZJOXXBEWFS-KRWDZBQOSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC[C@H](C)CC)cc2C1 ZINC001673769673 1197691826 /nfs/dbraw/zinc/69/18/26/1197691826.db2.gz RTGRFRXAZGXACN-MRXNPFEDSA-N 0 1 312.457 3.468 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN(Cc2ccccc2F)[C@H](C)C1 ZINC001674126396 1197735418 /nfs/dbraw/zinc/73/54/18/1197735418.db2.gz DXCOJVUTAHLJHR-NVXWUHKLSA-N 0 1 316.420 3.098 20 30 DGEDMN CC(C)=CCN1CCc2ccccc2[C@H]1CNC(=O)C#CC(C)C ZINC001674266613 1197753730 /nfs/dbraw/zinc/75/37/30/1197753730.db2.gz IEXADOAZFGPIAP-HXUWFJFHSA-N 0 1 324.468 3.328 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1c2ccccc2CC[N@@H+]1CCC ZINC001674271603 1197755306 /nfs/dbraw/zinc/75/53/06/1197755306.db2.gz LHZXXSBSEFVUHY-LJQANCHMSA-N 0 1 312.457 3.306 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001674457773 1197779061 /nfs/dbraw/zinc/77/90/61/1197779061.db2.gz MQSMAQGSTPXPIQ-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C)nc1C1CC1 ZINC001674709835 1197842274 /nfs/dbraw/zinc/84/22/74/1197842274.db2.gz NDOVXTVYBYCHTO-QWHCGFSZSA-N 0 1 321.852 3.116 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001674714718 1197842981 /nfs/dbraw/zinc/84/29/81/1197842981.db2.gz CLRHMHDZASLBKY-OLZOCXBDSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(C)C)nc1C ZINC001674728934 1197850986 /nfs/dbraw/zinc/85/09/86/1197850986.db2.gz KTJWBUPKWGFSIH-CHWSQXEVSA-N 0 1 323.868 3.362 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001674974129 1197941536 /nfs/dbraw/zinc/94/15/36/1197941536.db2.gz CTQPSFSGHGLEEH-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2ocnc2C)CCCCC1 ZINC001675201155 1198005208 /nfs/dbraw/zinc/00/52/08/1198005208.db2.gz CMSOBPQJFLABHA-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001675343860 1198049590 /nfs/dbraw/zinc/04/95/90/1198049590.db2.gz WUSKVCIAWOSSNK-HNNXBMFYSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)C2(C(F)(F)F)CCC2)C(C)(C)C1 ZINC001675459239 1198072136 /nfs/dbraw/zinc/07/21/36/1198072136.db2.gz VZGSGRQSLHWGJO-LBPRGKRZSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001675474263 1198075707 /nfs/dbraw/zinc/07/57/07/1198075707.db2.gz XVRLCNKYKKPZKF-LBPRGKRZSA-N 0 1 320.399 3.368 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)Cc2ccccc2C)C(C)(C)C1 ZINC001675486771 1198077582 /nfs/dbraw/zinc/07/75/82/1198077582.db2.gz OZQXAZAYUPCZOJ-AEFFLSMTSA-N 0 1 314.473 3.186 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCCN(C/C=C\Cl)C3)CCC1 ZINC001675673173 1198110295 /nfs/dbraw/zinc/11/02/95/1198110295.db2.gz NSDAWHPABSBOOZ-YHYXMXQVSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H](C)c1ccc(C)o1 ZINC001676255933 1198263746 /nfs/dbraw/zinc/26/37/46/1198263746.db2.gz KARKBMAVJPYQRF-ZFWWWQNUSA-N 0 1 324.852 3.271 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001676391315 1198308737 /nfs/dbraw/zinc/30/87/37/1198308737.db2.gz HVULBSSDOZKXJS-UWWQBHOKSA-N 0 1 320.864 3.153 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001676407342 1198314959 /nfs/dbraw/zinc/31/49/59/1198314959.db2.gz RIBFLCJHKVRNSH-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1cc(C)ccc1C ZINC001676414250 1198316229 /nfs/dbraw/zinc/31/62/29/1198316229.db2.gz XXHFLTPANUZENI-OAHLLOKOSA-N 0 1 308.853 3.035 20 30 DGEDMN C#CCCCC(=O)N1C[C@H](NCc2sccc2Cl)C[C@@H]1C ZINC001676722477 1198372566 /nfs/dbraw/zinc/37/25/66/1198372566.db2.gz KISRADDTTNIXLA-QWHCGFSZSA-N 0 1 324.877 3.284 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)[C@H]1C ZINC001676859927 1198412894 /nfs/dbraw/zinc/41/28/94/1198412894.db2.gz NOINOTVPRIPBKX-HOCLYGCPSA-N 0 1 306.475 3.492 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001677276348 1198506901 /nfs/dbraw/zinc/50/69/01/1198506901.db2.gz AXWBUAVOYDAAOQ-GOEBONIOSA-N 0 1 321.465 3.206 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C2(CC)CCC2)c(F)c1 ZINC001677644837 1198567650 /nfs/dbraw/zinc/56/76/50/1198567650.db2.gz MYAZYEIQWPWZBW-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN CN1CCC[C@@H](NC(=O)Nc2scc(C(C)(C)C)c2C#N)C1 ZINC001677860744 1198597110 /nfs/dbraw/zinc/59/71/10/1198597110.db2.gz RYMGHTVHHNEECB-LLVKDONJSA-N 0 1 320.462 3.133 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCN(CC)C[C@@H]1C)c1ccccc1 ZINC001680810119 1198917569 /nfs/dbraw/zinc/91/75/69/1198917569.db2.gz TWCBOKWKRHBESC-FUHWJXTLSA-N 0 1 315.461 3.430 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(CC)C[C@@H]1CC ZINC001683814631 1199307790 /nfs/dbraw/zinc/30/77/90/1199307790.db2.gz OAMZAVMNILSHPG-IXDOHACOSA-N 0 1 307.482 3.247 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(C(C)C)CC2)CCCC1 ZINC001683985332 1199325974 /nfs/dbraw/zinc/32/59/74/1199325974.db2.gz XVFDUOAUZIQIPL-UHFFFAOYSA-N 0 1 307.482 3.249 20 30 DGEDMN CCC(=CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1)CC ZINC001753851226 1199997877 /nfs/dbraw/zinc/99/78/77/1199997877.db2.gz XAXPDYYLCFGWDZ-UHFFFAOYSA-N 0 1 313.445 3.195 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2ccccc2o1 ZINC001754079753 1200097507 /nfs/dbraw/zinc/09/75/07/1200097507.db2.gz CTKPDSXRQKIUQH-UHFFFAOYSA-N 0 1 306.793 3.237 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(C[C@@H](F)CC)[C@@H]2C)CCCC1 ZINC001689342233 1200099518 /nfs/dbraw/zinc/09/95/18/1200099518.db2.gz LYGWFUBBWWMBIN-PMPSAXMXSA-N 0 1 310.457 3.450 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CN[C@H](C)c1ccc(F)cc1F ZINC001754115996 1200108971 /nfs/dbraw/zinc/10/89/71/1200108971.db2.gz MFTHRFBQTWNVCU-PSKZRQQASA-N 0 1 320.383 3.091 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C\CNCc1ccc(F)cc1F ZINC001754125346 1200110308 /nfs/dbraw/zinc/11/03/08/1200110308.db2.gz GMZCMCFTSXBXCF-ARJAWSKDSA-N 0 1 308.372 3.083 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c(C)cccc1Cl ZINC001754162971 1200118637 /nfs/dbraw/zinc/11/86/37/1200118637.db2.gz GSWKRAUVWIYYEC-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)c2ccco2)C1 ZINC001689410316 1200122371 /nfs/dbraw/zinc/12/23/71/1200122371.db2.gz PWKHNCHUWYHRES-LSDHHAIUSA-N 0 1 322.836 3.349 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1c(Cl)cccc1OC ZINC001754227492 1200142027 /nfs/dbraw/zinc/14/20/27/1200142027.db2.gz BYXDQPBWLFVBKW-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@H](C)C(CC)CC ZINC001754232290 1200143472 /nfs/dbraw/zinc/14/34/72/1200143472.db2.gz NSFUDWAJFRCSIW-VXGBXAGGSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2nc(C)c(C)s2)CCC1 ZINC001754240819 1200147988 /nfs/dbraw/zinc/14/79/88/1200147988.db2.gz IIHKPECJADUVTD-GFCCVEGCSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1coc2c1cccc2C ZINC001754270183 1200166009 /nfs/dbraw/zinc/16/60/09/1200166009.db2.gz QHWIXSUHUKXFHK-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(Cl)c(C)c1 ZINC001754281440 1200170775 /nfs/dbraw/zinc/17/07/75/1200170775.db2.gz MWKNDVPHKYKELE-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNC/C(Cl)=C\Cl ZINC001754388652 1200249106 /nfs/dbraw/zinc/24/91/06/1200249106.db2.gz HKYCIMHBUPBTHI-FMIVXFBMSA-N 0 1 307.265 3.346 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCNCc2c(F)cccc2F)C1 ZINC001754399307 1200253433 /nfs/dbraw/zinc/25/34/33/1200253433.db2.gz ILWXELIZIAZEJJ-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc2c(o1)CCCC2)C1CC1 ZINC001754443117 1200265975 /nfs/dbraw/zinc/26/59/75/1200265975.db2.gz QETUASOHCLGZAX-AWEZNQCLSA-N 0 1 322.836 3.009 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)Cc1ccc(F)cc1Cl ZINC001689801031 1200285811 /nfs/dbraw/zinc/28/58/11/1200285811.db2.gz VZSVLOXFRIAELD-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1[C@H](C)c1cccc(OC)c1 ZINC001754492068 1200304049 /nfs/dbraw/zinc/30/40/49/1200304049.db2.gz UZLYNEWZAHEGCP-WBVHZDCISA-N 0 1 316.445 3.303 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1ccccc1F ZINC001689852318 1200305865 /nfs/dbraw/zinc/30/58/65/1200305865.db2.gz BCGYOTYRUUPVTM-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001754495935 1200309744 /nfs/dbraw/zinc/30/97/44/1200309744.db2.gz BVRJIZMQIZZDDI-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN CCCCC(=O)NCC1(NCC#Cc2ccc(Cl)cc2)CC1 ZINC001754508067 1200324512 /nfs/dbraw/zinc/32/45/12/1200324512.db2.gz OHOBWYFBAIBWSO-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CC2(c3ccccc3)CC2)CC1 ZINC001690023416 1200370756 /nfs/dbraw/zinc/37/07/56/1200370756.db2.gz QXBZTVAHHLORNS-UHFFFAOYSA-N 0 1 324.468 3.054 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2scnc2C)CC1 ZINC001690023400 1200371673 /nfs/dbraw/zinc/37/16/73/1200371673.db2.gz QDCYZBHFXHDVAQ-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001754581260 1200377820 /nfs/dbraw/zinc/37/78/20/1200377820.db2.gz DUJHGPCGKOEBTL-MRXNPFEDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001690105770 1200402350 /nfs/dbraw/zinc/40/23/50/1200402350.db2.gz XOVSLDJUXIIUSW-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CC2=CCCCC2)CC1 ZINC001690133006 1200412365 /nfs/dbraw/zinc/41/23/65/1200412365.db2.gz PXFZLWKBYNPMMP-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](CC)SC)C1CCCC1 ZINC001754648197 1200415141 /nfs/dbraw/zinc/41/51/41/1200415141.db2.gz WJRPRPWUZOZDMO-UONOGXRCSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NC/C(Cl)=C/Cl)C2CC2)C1 ZINC001690169267 1200430536 /nfs/dbraw/zinc/43/05/36/1200430536.db2.gz WXRHXTYYTOMRFQ-OTAKNEKHSA-N 0 1 317.260 3.146 20 30 DGEDMN C=CCC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)s1 ZINC001690202596 1200453420 /nfs/dbraw/zinc/45/34/20/1200453420.db2.gz XZXOOGXQJRLTRJ-AEGPPILISA-N 0 1 319.474 3.095 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@]2(C)CCCN(C/C=C/Cl)C2)C1 ZINC001754730076 1200475848 /nfs/dbraw/zinc/47/58/48/1200475848.db2.gz CEAPBZCKUIASFH-YERXGYGTSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2cc3ccccc3o2)C1 ZINC001754734725 1200480402 /nfs/dbraw/zinc/48/04/02/1200480402.db2.gz XSDCYHSKKPSPRL-FQEVSTJZSA-N 0 1 324.424 3.288 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC(CC)CC)C1 ZINC001754744939 1200483866 /nfs/dbraw/zinc/48/38/66/1200483866.db2.gz QITABHVMQYAICS-AWEZNQCLSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(CC)CC)c1ccccc1CC ZINC001754784991 1200487437 /nfs/dbraw/zinc/48/74/37/1200487437.db2.gz HCQFLRCMYPSUHL-SFHVURJKSA-N 0 1 300.446 3.065 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CCC(C)(F)F ZINC001754945646 1200548067 /nfs/dbraw/zinc/54/80/67/1200548067.db2.gz DNYZTPUMDWZXQC-UHFFFAOYSA-N 0 1 322.399 3.193 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@H](C)CC)cccc2C1 ZINC001754975526 1200562708 /nfs/dbraw/zinc/56/27/08/1200562708.db2.gz AQXKVIZSKFRREZ-OAHLLOKOSA-N 0 1 300.446 3.283 20 30 DGEDMN C/C(=C/C(=O)NCC1(NCc2ccccc2C#N)CCC1)C1CC1 ZINC001754977414 1200564844 /nfs/dbraw/zinc/56/48/44/1200564844.db2.gz BJDREMKMSAGDQR-PTNGSMBKSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccccc1C ZINC001690459572 1200573011 /nfs/dbraw/zinc/57/30/11/1200573011.db2.gz QQUDOWWUQWYFIV-IRXDYDNUSA-N 0 1 300.446 3.255 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCCCN2CC=C)CCCCC1 ZINC001690461288 1200574694 /nfs/dbraw/zinc/57/46/94/1200574694.db2.gz QKOFBCQNLBIZNE-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2C)CC1 ZINC001690460785 1200575289 /nfs/dbraw/zinc/57/52/89/1200575289.db2.gz JPCSSROIPNOGIJ-QGZVFWFLSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc2occc2[nH]1 ZINC001690469864 1200578230 /nfs/dbraw/zinc/57/82/30/1200578230.db2.gz FNGBCDHVGLOVCK-GFCCVEGCSA-N 0 1 321.808 3.098 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001755025692 1200588095 /nfs/dbraw/zinc/58/80/95/1200588095.db2.gz ZCBNUESDBJWRKN-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)C(C)(C)CCC)O2 ZINC001755055726 1200619734 /nfs/dbraw/zinc/61/97/34/1200619734.db2.gz NPWGFDMPXVFHPB-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)C(C)(CC)CC)CO2 ZINC001755066177 1200621801 /nfs/dbraw/zinc/62/18/01/1200621801.db2.gz WGFVZWOZXUVBED-HOTGVXAUSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N[C@H](C)c1cccc(Cl)c1F ZINC001690570690 1200630695 /nfs/dbraw/zinc/63/06/95/1200630695.db2.gz KCUURJDZFMKVFI-CHWSQXEVSA-N 0 1 324.827 3.438 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2cccc(F)c2F)C1 ZINC001755113433 1200641391 /nfs/dbraw/zinc/64/13/91/1200641391.db2.gz HJJYDZFPEFQRFN-XJKSGUPXSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(C)(NCc2ncc(C)o2)CC1 ZINC001755132858 1200651094 /nfs/dbraw/zinc/65/10/94/1200651094.db2.gz RKNRVRZEIKEQOW-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1c(O)cccc1NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000126937585 1200651829 /nfs/dbraw/zinc/65/18/29/1200651829.db2.gz TUTGNFPYPYCIBY-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](CC)CCCC)C(C)(C)C1 ZINC001755141580 1200659545 /nfs/dbraw/zinc/65/95/45/1200659545.db2.gz NZLXUCRJIBSDCA-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001755143122 1200660439 /nfs/dbraw/zinc/66/04/39/1200660439.db2.gz XDRMDRYSZNDVNB-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN Cc1ccc(C)c(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)c1 ZINC001690641994 1200665491 /nfs/dbraw/zinc/66/54/91/1200665491.db2.gz WHHCCCGRSPVBNA-GOSISDBHSA-N 0 1 320.436 3.063 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cc(C)no2)[C@@H](C)C1 ZINC001755159736 1200665814 /nfs/dbraw/zinc/66/58/14/1200665814.db2.gz JBKPMLMWCBXXTN-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@@H](C)C1 ZINC001755159734 1200666859 /nfs/dbraw/zinc/66/68/59/1200666859.db2.gz JBKPMLMWCBXXTN-GJZGRUSLSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1sccc1C(C)C ZINC001690649939 1200670324 /nfs/dbraw/zinc/67/03/24/1200670324.db2.gz BNECVOZTEHDDIB-NSHDSACASA-N 0 1 300.855 3.332 20 30 DGEDMN CCC1(C(=O)N2CCC[C@H]2C[N@@H+](C)CC#Cc2ccccc2)CC1 ZINC001755198598 1200696405 /nfs/dbraw/zinc/69/64/05/1200696405.db2.gz CVSMQZPNZHVJGD-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN CCC1(C(=O)N2CCC[C@H]2CN(C)CC#Cc2ccccc2)CC1 ZINC001755198598 1200696408 /nfs/dbraw/zinc/69/64/08/1200696408.db2.gz CVSMQZPNZHVJGD-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001690771331 1200708021 /nfs/dbraw/zinc/70/80/21/1200708021.db2.gz DLTODRFNJSUKIR-KGLIPLIRSA-N 0 1 307.463 3.016 20 30 DGEDMN C[C@@H](NC(=O)CC1CCCC1)[C@H](C)NCc1ccccc1C#N ZINC001755223070 1200712323 /nfs/dbraw/zinc/71/23/23/1200712323.db2.gz ISAUFYQRJQZXOZ-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)[C@@H](C)NC(=O)CC(C)(C)C ZINC001755225336 1200715927 /nfs/dbraw/zinc/71/59/27/1200715927.db2.gz AHYAWINJYODLNB-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001755238349 1200725947 /nfs/dbraw/zinc/72/59/47/1200725947.db2.gz VHJVXNRPBUNXAT-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(F)c(C)cc1F ZINC001755239489 1200725972 /nfs/dbraw/zinc/72/59/72/1200725972.db2.gz CMNZBYFTTQVLQH-MNOVXSKESA-N 0 1 316.779 3.122 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1oc(C(C)C)nc1C ZINC001755250646 1200736077 /nfs/dbraw/zinc/73/60/77/1200736077.db2.gz YPEHIIVNKHPHKN-STQMWFEESA-N 0 1 321.465 3.301 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@@H](C)NCc2ncc(C)s2)CC1 ZINC001755253365 1200740138 /nfs/dbraw/zinc/74/01/38/1200740138.db2.gz RYJAQNIHZLLDIA-KGLIPLIRSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1CCC(C)(C)CC1 ZINC001755271658 1200753037 /nfs/dbraw/zinc/75/30/37/1200753037.db2.gz VHBIDPIGQIGMHD-CHWSQXEVSA-N 0 1 300.874 3.438 20 30 DGEDMN CCCCCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001755290209 1200768222 /nfs/dbraw/zinc/76/82/22/1200768222.db2.gz AQYADUFUSTWWGY-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN C=CCOCC(=O)NC[C@H](C)N(C)[C@@H](C)c1ccccc1Cl ZINC001755295491 1200775357 /nfs/dbraw/zinc/77/53/57/1200775357.db2.gz YEPKDFORENVPGU-KBPBESRZSA-N 0 1 324.852 3.040 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CCc1ccco1 ZINC001691789207 1200808545 /nfs/dbraw/zinc/80/85/45/1200808545.db2.gz NLWNUDNXHFXNAK-HIFRSBDPSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2C[C@H](NC/C(Cl)=C/Cl)C2)C1 ZINC001691811316 1200818626 /nfs/dbraw/zinc/81/86/26/1200818626.db2.gz ZUKKKGCMYWVDSC-IKDFDVDSSA-N 0 1 317.260 3.146 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc3cccnc3c2)C1 ZINC001691864694 1200845232 /nfs/dbraw/zinc/84/52/32/1200845232.db2.gz RPLSHVWFHIZUPA-GOSISDBHSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1ocnc1C ZINC001755367633 1200855660 /nfs/dbraw/zinc/85/56/60/1200855660.db2.gz HAQSDMNHLHRYCX-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN([C@H](C)c2cccc(F)c2)C1 ZINC001755373182 1200862217 /nfs/dbraw/zinc/86/22/17/1200862217.db2.gz JIRJHABJSWAXEM-HUUCEWRRSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(F)ccc2C)C1 ZINC001691900969 1200862754 /nfs/dbraw/zinc/86/27/54/1200862754.db2.gz JMEWDFTXHQMZIY-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001755388016 1200871548 /nfs/dbraw/zinc/87/15/48/1200871548.db2.gz VTGNHFKVJYOADK-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001755388014 1200872318 /nfs/dbraw/zinc/87/23/18/1200872318.db2.gz VTGNHFKVJYOADK-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)cc(C)c2)C1 ZINC001691921579 1200873613 /nfs/dbraw/zinc/87/36/13/1200873613.db2.gz GVPMQRPSBBFPOK-INIZCTEOSA-N 0 1 320.864 3.498 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(C/C=C/c2ccccc2)CC1(C)C ZINC001755407989 1200883383 /nfs/dbraw/zinc/88/33/83/1200883383.db2.gz UVKVFLODYRPVBO-YFPIXMDGSA-N 0 1 324.468 3.330 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC(C)C)CCCC2)C(C)(C)C1 ZINC001755411411 1200887081 /nfs/dbraw/zinc/88/70/81/1200887081.db2.gz KPDAIPAGOCMNMV-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](c2ccccc2)C(C)C)C(C)(C)C1 ZINC001755412932 1200887716 /nfs/dbraw/zinc/88/77/16/1200887716.db2.gz YUJPEXYSOHATSM-ROUUACIJSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1ncc(C)o1 ZINC001691988835 1200899823 /nfs/dbraw/zinc/89/98/23/1200899823.db2.gz YNAHBUZKDAOYDI-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1conc1C ZINC001692084074 1200925010 /nfs/dbraw/zinc/92/50/10/1200925010.db2.gz SYKWQQFEXVMGCZ-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001692104402 1200929603 /nfs/dbraw/zinc/92/96/03/1200929603.db2.gz HLWBVQWTPSKRNR-QWHCGFSZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C)(C)C(C)C ZINC001755562756 1200955534 /nfs/dbraw/zinc/95/55/34/1200955534.db2.gz UPIOVAXOLJMWGW-AWEZNQCLSA-N 0 1 300.874 3.248 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H](C)CCC=C(C)C)[C@H]1C ZINC001692205757 1200968220 /nfs/dbraw/zinc/96/82/20/1200968220.db2.gz SLELYOITCDNHNW-KSZLIROESA-N 0 1 304.478 3.361 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001692204680 1200969069 /nfs/dbraw/zinc/96/90/69/1200969069.db2.gz ZNTQDCZJBJRHTO-HDMKZQKVSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](CC)CC(F)(F)F ZINC001755611000 1200987621 /nfs/dbraw/zinc/98/76/21/1200987621.db2.gz SWNXUSHNGIQWIJ-WDEREUQCSA-N 0 1 314.779 3.154 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2csc3c2CCCC3)C1 ZINC001692261140 1200993653 /nfs/dbraw/zinc/99/36/53/1200993653.db2.gz GJSKVRXOKOKBBX-ZDUSSCGKSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C)c2c1CCC2 ZINC001755619312 1200995775 /nfs/dbraw/zinc/99/57/75/1200995775.db2.gz WBRQZEOEATUDCT-CQSZACIVSA-N 0 1 320.864 3.286 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)[C@H]1C ZINC001755654943 1200998762 /nfs/dbraw/zinc/99/87/62/1200998762.db2.gz RGJZFHFQILZDIR-CXAGYDPISA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccc(C)s2)C1 ZINC001692273904 1201000322 /nfs/dbraw/zinc/00/03/22/1201000322.db2.gz IIDDPKHBTTYTLZ-ZDUSSCGKSA-N 0 1 306.475 3.144 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC001692273821 1201000708 /nfs/dbraw/zinc/00/07/08/1201000708.db2.gz FSRFOVSDDOLPRA-GFCCVEGCSA-N 0 1 315.392 3.076 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C[C@@H]1C ZINC001755672669 1201008081 /nfs/dbraw/zinc/00/80/81/1201008081.db2.gz KWPDRVDLFNHYGC-SWLSCSKDSA-N 0 1 308.372 3.010 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@H](NCc2cc(C)no2)C1 ZINC001692322081 1201017384 /nfs/dbraw/zinc/01/73/84/1201017384.db2.gz JVUHODKAKXOPBS-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cc(C)ccc2F)[C@@H]1C ZINC001692347975 1201030625 /nfs/dbraw/zinc/03/06/25/1201030625.db2.gz GWOXBWNOWDCQFB-PBHICJAKSA-N 0 1 304.409 3.179 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001692372649 1201039633 /nfs/dbraw/zinc/03/96/33/1201039633.db2.gz IDWLKXUYWFAJMG-OALUTQOASA-N 0 1 310.441 3.055 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cccc1C ZINC001692366874 1201039723 /nfs/dbraw/zinc/03/97/23/1201039723.db2.gz PTXXHEZEOAFYMQ-ROUUACIJSA-N 0 1 312.457 3.445 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](CNCc2cscn2)C1 ZINC001755736075 1201054325 /nfs/dbraw/zinc/05/43/25/1201054325.db2.gz QXBDRWUNFCSYKI-KBPBESRZSA-N 0 1 321.490 3.120 20 30 DGEDMN CC(C)CC(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001755809234 1201069517 /nfs/dbraw/zinc/06/95/17/1201069517.db2.gz GWRMULAOKGZSFT-UHFFFAOYSA-N 0 1 315.461 3.273 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)SC)C(C)(C)C ZINC001755824137 1201089309 /nfs/dbraw/zinc/08/93/09/1201089309.db2.gz TYYMVLWPRKVAFM-RYUDHWBXSA-N 0 1 306.903 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cncc(CC)c1)C(C)(C)C ZINC001755828662 1201090688 /nfs/dbraw/zinc/09/06/88/1201090688.db2.gz MZKAQGLTLVZAAL-OAHLLOKOSA-N 0 1 323.868 3.131 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001755893701 1201122686 /nfs/dbraw/zinc/12/26/86/1201122686.db2.gz CHEYOKYMFAWMQF-JXFKEZNVSA-N 0 1 316.489 3.220 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001755893149 1201123745 /nfs/dbraw/zinc/12/37/45/1201123745.db2.gz JNBCCCIOVJOBCM-CYBMUJFWSA-N 0 1 318.383 3.289 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C[C@@H](C)CC(C)C)c(F)c1 ZINC001755902692 1201127577 /nfs/dbraw/zinc/12/75/77/1201127577.db2.gz MHWNYPWQTYNSLL-HNNXBMFYSA-N 0 1 318.436 3.237 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001698559367 1201231051 /nfs/dbraw/zinc/23/10/51/1201231051.db2.gz BBNRWELZXDAKGJ-MRXNPFEDSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C(C1CCC1)C1CCC1 ZINC001698610306 1201279841 /nfs/dbraw/zinc/27/98/41/1201279841.db2.gz JTTKNXQMQCGPQD-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccc(F)cc2)CC1 ZINC001698722524 1201362838 /nfs/dbraw/zinc/36/28/38/1201362838.db2.gz RFKIOZJAYOBIJC-UHFFFAOYSA-N 0 1 324.827 3.410 20 30 DGEDMN C=CC1(CC(=O)NC[C@H]2CN(CCCC)CCO2)CCCCC1 ZINC001698790814 1201421193 /nfs/dbraw/zinc/42/11/93/1201421193.db2.gz OQOOLXJUGVHNEB-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1c(Cl)cccc1OC ZINC001698816164 1201458990 /nfs/dbraw/zinc/45/89/90/1201458990.db2.gz QUDAMBBKELDHRT-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2ccccc2o1 ZINC001698857104 1201513418 /nfs/dbraw/zinc/51/34/18/1201513418.db2.gz SSJASNIHGDVWHA-LBPRGKRZSA-N 0 1 306.793 3.235 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccoc1)C1CCCCC1 ZINC001698877561 1201539062 /nfs/dbraw/zinc/53/90/62/1201539062.db2.gz QHBJZAXGTQIFJP-MRXNPFEDSA-N 0 1 324.852 3.229 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc[nH]1)C1CCCCC1 ZINC001698881049 1201544427 /nfs/dbraw/zinc/54/44/27/1201544427.db2.gz XMIGFWBJAXSEPI-HNNXBMFYSA-N 0 1 309.841 3.036 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1cc2ccccc2o1 ZINC001698985573 1201647035 /nfs/dbraw/zinc/64/70/35/1201647035.db2.gz SQVOYYJUDNVYPG-UHFFFAOYSA-N 0 1 312.413 3.127 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)C/C=C/c2ccccc2)C1 ZINC001698996460 1201664844 /nfs/dbraw/zinc/66/48/44/1201664844.db2.gz DTRWNACTJIIPKQ-DHZHZOJOSA-N 0 1 312.457 3.446 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccoc1CCC ZINC001750931199 1201726004 /nfs/dbraw/zinc/72/60/04/1201726004.db2.gz BQYJJPQOKCCGFY-INIZCTEOSA-N 0 1 316.445 3.182 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)c2c1CCC2 ZINC001750931721 1201727499 /nfs/dbraw/zinc/72/74/99/1201727499.db2.gz XNRRPRVMTGQGQD-KRWDZBQOSA-N 0 1 324.468 3.043 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1sccc1Cl ZINC001699179795 1201744169 /nfs/dbraw/zinc/74/41/69/1201744169.db2.gz MQHSEVWWAVJBAB-UHFFFAOYSA-N 0 1 312.866 3.143 20 30 DGEDMN O=C(CC1CCCCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001699227656 1201763517 /nfs/dbraw/zinc/76/35/17/1201763517.db2.gz PADWDUCMUWSXDU-BQYQJAHWSA-N 0 1 324.468 3.271 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1cccc(F)c1Cl ZINC001699252446 1201770900 /nfs/dbraw/zinc/77/09/00/1201770900.db2.gz RGRLWGHEEHOEAL-WAYWQWQTSA-N 0 1 322.811 3.045 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001752085163 1201773837 /nfs/dbraw/zinc/77/38/37/1201773837.db2.gz QEVOCBRRPCIYTP-JKSUJKDBSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001699274226 1201774655 /nfs/dbraw/zinc/77/46/55/1201774655.db2.gz IOPOKOFINJUVPQ-VGOKWCNXSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)Cc1ccccc1F ZINC001699306203 1201784270 /nfs/dbraw/zinc/78/42/70/1201784270.db2.gz FCYRLHHDLGJGBI-CFHLNLSMSA-N 0 1 324.827 3.019 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(C)CCC(F)(F)CC1 ZINC001699368899 1201815379 /nfs/dbraw/zinc/81/53/79/1201815379.db2.gz JVCNTZHLBHLBIQ-LLVKDONJSA-N 0 1 308.800 3.049 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001699374937 1201819735 /nfs/dbraw/zinc/81/97/35/1201819735.db2.gz YLOMVCXDBAWRJA-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001752308001 1201826786 /nfs/dbraw/zinc/82/67/86/1201826786.db2.gz HSXYICIBKNAVSJ-MRXNPFEDSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H](C)c2ccco2)CC1 ZINC001752441245 1201840494 /nfs/dbraw/zinc/84/04/94/1201840494.db2.gz CCZLTZRQBNWAOJ-INIZCTEOSA-N 0 1 316.445 3.109 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001699415957 1201849361 /nfs/dbraw/zinc/84/93/61/1201849361.db2.gz CINNBFLLXKENOQ-ZDUSSCGKSA-N 0 1 321.465 3.303 20 30 DGEDMN CCCCC(=O)NC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001752813465 1201936934 /nfs/dbraw/zinc/93/69/34/1201936934.db2.gz DZPWRGHJUKKJMA-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001699617490 1201982993 /nfs/dbraw/zinc/98/29/93/1201982993.db2.gz NPQYDTQQCFEBFP-GOSISDBHSA-N 0 1 323.440 3.052 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccccc1C1CC1 ZINC001699629091 1201991862 /nfs/dbraw/zinc/99/18/62/1201991862.db2.gz CSMFVUKJNHGMTN-LJQANCHMSA-N 0 1 324.468 3.448 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001699630202 1201994043 /nfs/dbraw/zinc/99/40/43/1201994043.db2.gz KMFOWXQRKCJAJB-CABCVRRESA-N 0 1 306.475 3.083 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001699636036 1201999532 /nfs/dbraw/zinc/99/95/32/1201999532.db2.gz VGPRGQLLERNLDK-MSOLQXFVSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)CC(C)(C)C1CCCCC1 ZINC001699638597 1202004211 /nfs/dbraw/zinc/00/42/11/1202004211.db2.gz MFHOHWDHLKTVJG-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2ccccn2)CCCCC1 ZINC001752905922 1202006105 /nfs/dbraw/zinc/00/61/05/1202006105.db2.gz SSTYPXZTCXOUOF-MRXNPFEDSA-N 0 1 315.461 3.203 20 30 DGEDMN CC[C@H](C)CCC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001752908085 1202006945 /nfs/dbraw/zinc/00/69/45/1202006945.db2.gz CYNYFWBFSLGWOT-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001752908086 1202007240 /nfs/dbraw/zinc/00/72/40/1202007240.db2.gz CYNYFWBFSLGWOT-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN CCc1ccc(C(=O)NC[C@H](C)NCC#Cc2ccccc2)cc1 ZINC001752916094 1202011247 /nfs/dbraw/zinc/01/12/47/1202011247.db2.gz ITVVJTLTYANNRJ-KRWDZBQOSA-N 0 1 320.436 3.009 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cc(Cl)ccc2F)CC1 ZINC001699659512 1202018784 /nfs/dbraw/zinc/01/87/84/1202018784.db2.gz UAWDHUAOHAKNSR-UHFFFAOYSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2cc(C)on2)C1 ZINC001752978563 1202033540 /nfs/dbraw/zinc/03/35/40/1202033540.db2.gz PENUBIFJXVSAEC-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(C[C@H](C)CC(C)C)C2)cc1 ZINC001699719245 1202051286 /nfs/dbraw/zinc/05/12/86/1202051286.db2.gz YYCISDFGAZJYMK-MRXNPFEDSA-N 0 1 312.457 3.106 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001699725596 1202055973 /nfs/dbraw/zinc/05/59/73/1202055973.db2.gz LDVIVJGGBAYVPD-YHOLWWCESA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncoc2C(C)C)C[C@@H]1C ZINC001699729692 1202058046 /nfs/dbraw/zinc/05/80/46/1202058046.db2.gz JVGSOPAXENSIOZ-GJZGRUSLSA-N 0 1 319.449 3.233 20 30 DGEDMN CN(CCNC(=O)CC1(C)CCCC1)Cc1cccc(C#N)c1 ZINC001753047838 1202081726 /nfs/dbraw/zinc/08/17/26/1202081726.db2.gz DEENJZDFGQPBIP-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001699804878 1202085611 /nfs/dbraw/zinc/08/56/11/1202085611.db2.gz HSNJRFTYTZRWEQ-GOSISDBHSA-N 0 1 310.457 3.263 20 30 DGEDMN CCCCCC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001699812673 1202090261 /nfs/dbraw/zinc/09/02/61/1202090261.db2.gz QHVBHMDKBCHWDO-LJQANCHMSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001753061340 1202090905 /nfs/dbraw/zinc/09/09/05/1202090905.db2.gz GQHZWPMXKZQHQR-CQSZACIVSA-N 0 1 308.853 3.490 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001699826652 1202102408 /nfs/dbraw/zinc/10/24/08/1202102408.db2.gz SJKHLCJEZQZVJB-KSSFIOAISA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CCCc1ccc(Cl)cc1 ZINC001753084519 1202114106 /nfs/dbraw/zinc/11/41/06/1202114106.db2.gz SQWLZHXXXYREBU-UHFFFAOYSA-N 0 1 320.864 3.124 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001753085795 1202116443 /nfs/dbraw/zinc/11/64/43/1202116443.db2.gz QNXSZOCHDBMHQN-MOPGFXCFSA-N 0 1 312.457 3.032 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C(CC)(CC)c1ccccc1 ZINC001753094730 1202126397 /nfs/dbraw/zinc/12/63/97/1202126397.db2.gz HCNLJGJVQSIIFC-UHFFFAOYSA-N 0 1 314.473 3.206 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc(Cl)c2C)C1 ZINC001699871756 1202127102 /nfs/dbraw/zinc/12/71/02/1202127102.db2.gz FBDKEDXJAWRZRA-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001699879536 1202135647 /nfs/dbraw/zinc/13/56/47/1202135647.db2.gz RIBOXFQXTPLGMC-HDYDNRTBSA-N 0 1 316.489 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CN(CC=C(C)C)CCC1(F)F ZINC001699888940 1202136628 /nfs/dbraw/zinc/13/66/28/1202136628.db2.gz VSCSYATXQHVYSK-AWEZNQCLSA-N 0 1 314.420 3.238 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](CC)CC(C)C)C1 ZINC001699888756 1202136753 /nfs/dbraw/zinc/13/67/53/1202136753.db2.gz UKGVSHXYMSYSBQ-LSDHHAIUSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001753106425 1202138729 /nfs/dbraw/zinc/13/87/29/1202138729.db2.gz OGLYIMSEYWUCIN-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1C/C=C/c1ccccc1 ZINC001753109851 1202139283 /nfs/dbraw/zinc/13/92/83/1202139283.db2.gz UYZBKYFGABSFNU-RXNMKEHESA-N 0 1 312.457 3.493 20 30 DGEDMN CCCN(CCNC(=O)CC1CCC1)Cc1ccc(C#N)s1 ZINC001753142668 1202161361 /nfs/dbraw/zinc/16/13/61/1202161361.db2.gz JWADYMLGRQBKTK-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001700007826 1202162407 /nfs/dbraw/zinc/16/24/07/1202162407.db2.gz OZAMMVXOALPCTN-WOJBJXKFSA-N 0 1 322.452 3.070 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001700106317 1202182703 /nfs/dbraw/zinc/18/27/03/1202182703.db2.gz LXBHKBXZKMOKSX-UHFFFAOYSA-N 0 1 322.880 3.247 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1ccc(F)cc1Cl ZINC001753220517 1202184966 /nfs/dbraw/zinc/18/49/66/1202184966.db2.gz UFEZTKPMZBUEBY-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001700177301 1202196421 /nfs/dbraw/zinc/19/64/21/1202196421.db2.gz QBRDCGMLZMVSMG-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C#Cc1ccc(C(=O)NCCCN(CC(=C)Cl)C(C)C)cc1 ZINC001753290034 1202196475 /nfs/dbraw/zinc/19/64/75/1202196475.db2.gz GUDOTCFHNNOPFD-UHFFFAOYSA-N 0 1 318.848 3.251 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)c(C)cn1)C(C)C ZINC001753289869 1202196616 /nfs/dbraw/zinc/19/66/16/1202196616.db2.gz ZFFMZDPWCRDTON-UHFFFAOYSA-N 0 1 323.868 3.281 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C2CCC2)CCC1)c1ccccc1 ZINC001753299947 1202200343 /nfs/dbraw/zinc/20/03/43/1202200343.db2.gz KGYHDPQJNCOMSD-GOSISDBHSA-N 0 1 310.441 3.037 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H](C)C(C)(F)F)C(C)C ZINC001753299365 1202200572 /nfs/dbraw/zinc/20/05/72/1202200572.db2.gz HKDDNKGVKDQXBG-LBPRGKRZSA-N 0 1 310.816 3.247 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC(C)(C)CC)cc2C1 ZINC001700252842 1202218179 /nfs/dbraw/zinc/21/81/79/1202218179.db2.gz YWHCXNKKFXACDE-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2conc2C)[C@@H](C)C1 ZINC001700317893 1202234057 /nfs/dbraw/zinc/23/40/57/1202234057.db2.gz NLPAEFURIYLTNQ-XJKSGUPXSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001753393889 1202239259 /nfs/dbraw/zinc/23/92/59/1202239259.db2.gz HPIVAEKZYBDEQR-IRXDYDNUSA-N 0 1 314.473 3.329 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1cc(F)ccc1Cl ZINC001700359413 1202248012 /nfs/dbraw/zinc/24/80/12/1202248012.db2.gz MQZQKTSWRQNDJM-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(F)ccc1Cl ZINC001700359413 1202248014 /nfs/dbraw/zinc/24/80/14/1202248014.db2.gz MQZQKTSWRQNDJM-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C/C=C/c1ccc(C)cc1 ZINC001700364277 1202250630 /nfs/dbraw/zinc/25/06/30/1202250630.db2.gz MXJKEIWFOBOSTM-IEJURRBGSA-N 0 1 324.468 3.344 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCCCC1(C)C ZINC001700363597 1202251198 /nfs/dbraw/zinc/25/11/98/1202251198.db2.gz HYYTXRVYUTUDMU-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2ccncc2s1 ZINC001700401254 1202262964 /nfs/dbraw/zinc/26/29/64/1202262964.db2.gz RIQDYJSHKQZIES-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@@H]1CCC(F)(F)C1 ZINC001753475084 1202268836 /nfs/dbraw/zinc/26/88/36/1202268836.db2.gz JPHQOWGWWRCBSN-RYUDHWBXSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)c(OC)c(C)c1 ZINC001753474249 1202269072 /nfs/dbraw/zinc/26/90/72/1202269072.db2.gz RZNVJIUMIYDXCN-OAHLLOKOSA-N 0 1 324.852 3.163 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(F)F)o1 ZINC001700425539 1202269426 /nfs/dbraw/zinc/26/94/26/1202269426.db2.gz PNCQGDGBKIPICY-BDAKNGLRSA-N 0 1 306.740 3.066 20 30 DGEDMN CC[C@@H](CNC(=O)[C@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001753478779 1202269912 /nfs/dbraw/zinc/26/99/12/1202269912.db2.gz MIVVAZWUSQNRPQ-YOEHRIQHSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cn(C)c2ccccc12 ZINC001753479405 1202269976 /nfs/dbraw/zinc/26/99/76/1202269976.db2.gz MUPBWTVYXGPWCR-CYBMUJFWSA-N 0 1 319.836 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cn(C)c2ccccc12 ZINC001753479406 1202270112 /nfs/dbraw/zinc/27/01/12/1202270112.db2.gz MUPBWTVYXGPWCR-ZDUSSCGKSA-N 0 1 319.836 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C(C)C)nc1C ZINC001753487052 1202271989 /nfs/dbraw/zinc/27/19/89/1202271989.db2.gz XAMLQCLTEUGOTH-AWEZNQCLSA-N 0 1 323.868 3.364 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001700461256 1202281864 /nfs/dbraw/zinc/28/18/64/1202281864.db2.gz RTNNFRITEOPSOG-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2CC[C@@H](F)C2)cc1 ZINC001753591048 1202295128 /nfs/dbraw/zinc/29/51/28/1202295128.db2.gz UKQXOENPDWSOEP-HZPDHXFCSA-N 0 1 324.827 3.283 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CCCC)CNCc2ocnc2C)C1 ZINC001700521936 1202302965 /nfs/dbraw/zinc/30/29/65/1202302965.db2.gz ZGOPKECPWLUQEO-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C(C)=C2CCCC2)C1 ZINC001753650093 1202307311 /nfs/dbraw/zinc/30/73/11/1202307311.db2.gz MPRQSYZUWRIHFW-YJBOKZPZSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)[C@@H](C)CCCC ZINC001753689366 1202314738 /nfs/dbraw/zinc/31/47/38/1202314738.db2.gz JVXCERUJYKQKBF-WCQYABFASA-N 0 1 319.287 3.158 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700576680 1202317837 /nfs/dbraw/zinc/31/78/37/1202317837.db2.gz RVGWMGXRRFBGCM-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@H](C)CNCc2ncc(C)s2)CC1 ZINC001753710397 1202318593 /nfs/dbraw/zinc/31/85/93/1202318593.db2.gz DESBVKWIKDEKCD-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(F)c1Cl ZINC001753714927 1202320024 /nfs/dbraw/zinc/32/00/24/1202320024.db2.gz MCIZTRDZGBAOSC-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(C(F)F)c1 ZINC001753723541 1202321323 /nfs/dbraw/zinc/32/13/23/1202321323.db2.gz MKKQZXIQJVCOSU-LLVKDONJSA-N 0 1 316.779 3.427 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1CCC(C)(C)CC1 ZINC001753728185 1202322240 /nfs/dbraw/zinc/32/22/40/1202322240.db2.gz QZHHDLXCWDECDT-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1cccc(C)c1 ZINC001753738825 1202325254 /nfs/dbraw/zinc/32/52/54/1202325254.db2.gz QDNMGFRKKAVUOQ-QGZVFWFLSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCCNCc2nocc2C)CC1 ZINC001700674636 1202332451 /nfs/dbraw/zinc/33/24/51/1202332451.db2.gz WMVQITOGNUPXFN-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(C)[nH]c1C ZINC001753811724 1202337746 /nfs/dbraw/zinc/33/77/46/1202337746.db2.gz MMXVBOKEHASLBO-MEDUHNTESA-N 0 1 323.868 3.214 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@@H](C)NCc2cc(C)on2)C1 ZINC001753814284 1202338338 /nfs/dbraw/zinc/33/83/38/1202338338.db2.gz GSXDBGRFQUVLBH-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@H](C)NCc2ncc(C)o2)C1 ZINC001753813110 1202338419 /nfs/dbraw/zinc/33/84/19/1202338419.db2.gz GBTZNQVKAQXMFP-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1cccc(OC)c1Cl ZINC001700742013 1202346351 /nfs/dbraw/zinc/34/63/51/1202346351.db2.gz XVFLPUWNYNAIMP-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001700741784 1202346636 /nfs/dbraw/zinc/34/66/36/1202346636.db2.gz VRUFPVRFFUYOTK-CHWSQXEVSA-N 0 1 310.388 3.429 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001700764128 1202350435 /nfs/dbraw/zinc/35/04/35/1202350435.db2.gz DDODZBGJNGXNFM-HZPDHXFCSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001700848994 1202358729 /nfs/dbraw/zinc/35/87/29/1202358729.db2.gz ZVHYEHWYSGUEOP-MNOVXSKESA-N 0 1 324.774 3.051 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2scnc2C)[C@@H]1C ZINC001700881137 1202364401 /nfs/dbraw/zinc/36/44/01/1202364401.db2.gz NWDLLKYFCAYPQO-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](CNCc2nc(C)c(C)o2)C1 ZINC001700902717 1202367913 /nfs/dbraw/zinc/36/79/13/1202367913.db2.gz RDPOWUXRKRXFNG-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001700999653 1202379010 /nfs/dbraw/zinc/37/90/10/1202379010.db2.gz ZNWVBNFPSYVYSW-CXAGYDPISA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NCc2c(F)cccc2F)C1 ZINC001701027583 1202383371 /nfs/dbraw/zinc/38/33/71/1202383371.db2.gz LVLXFNQWLBWDLV-UHFFFAOYSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@@H](CC)CCC)c(F)c1 ZINC001701067763 1202386572 /nfs/dbraw/zinc/38/65/72/1202386572.db2.gz SXKYTDSTPAJKGU-INIZCTEOSA-N 0 1 318.436 3.381 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1c(C)nsc1C ZINC001707399069 1202582567 /nfs/dbraw/zinc/58/25/67/1202582567.db2.gz NPKQBPXDESUQNC-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCCC(F)(F)C1 ZINC001707461522 1202586477 /nfs/dbraw/zinc/58/64/77/1202586477.db2.gz JDNPZRIRUGTISF-CYBMUJFWSA-N 0 1 322.827 3.393 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)[C@@H](C)c1cc(F)ccc1F ZINC001712945863 1202605562 /nfs/dbraw/zinc/60/55/62/1202605562.db2.gz KUXOVTVAJJJDQD-AWEZNQCLSA-N 0 1 322.399 3.123 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001713085315 1202615389 /nfs/dbraw/zinc/61/53/89/1202615389.db2.gz HTYBLXLBKCXRPQ-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)CCC)C1 ZINC001713128376 1202619788 /nfs/dbraw/zinc/61/97/88/1202619788.db2.gz HNHMAUPNGUXYHM-ZFWWWQNUSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C(C)(C)C2CC2)C1 ZINC001713132723 1202620578 /nfs/dbraw/zinc/62/05/78/1202620578.db2.gz FKZXYMQTJNZMKY-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C(C)(C)CC)C1 ZINC001713134573 1202620676 /nfs/dbraw/zinc/62/06/76/1202620676.db2.gz PWMOXBUKJFHGCN-CQSZACIVSA-N 0 1 300.874 3.346 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nocc1C ZINC001713143698 1202621588 /nfs/dbraw/zinc/62/15/88/1202621588.db2.gz BVRCZXZOYVHYNX-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CC(C)(C)C ZINC001713142824 1202621744 /nfs/dbraw/zinc/62/17/44/1202621744.db2.gz IWXQAQQRCWZRHZ-YESZJQIVSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCCN1Cc1ccccn1 ZINC001713252434 1202631419 /nfs/dbraw/zinc/63/14/19/1202631419.db2.gz YORWBRFHRYHLAT-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)CCC(C)(C)C ZINC001713256625 1202632534 /nfs/dbraw/zinc/63/25/34/1202632534.db2.gz KZGFIHLSEZGORD-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H](C)c1ccccc1C ZINC001713258451 1202632736 /nfs/dbraw/zinc/63/27/36/1202632736.db2.gz XQMZJMXASYMESE-MSOLQXFVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001713258467 1202632757 /nfs/dbraw/zinc/63/27/57/1202632757.db2.gz XXMKYLADMKJEHZ-MOPGFXCFSA-N 0 1 314.473 3.412 20 30 DGEDMN C[C@@H](CNC(=O)CC1=CCCCC1)NCC#Cc1ccccc1 ZINC001713298987 1202637281 /nfs/dbraw/zinc/63/72/81/1202637281.db2.gz GLKLEKZMVBOPGL-KRWDZBQOSA-N 0 1 310.441 3.023 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001713307276 1202638015 /nfs/dbraw/zinc/63/80/15/1202638015.db2.gz MLWFLSPMPBOIJM-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H](N(C)C[C@H](F)CC)C1 ZINC001713395328 1202644796 /nfs/dbraw/zinc/64/47/96/1202644796.db2.gz GCISLLCNJLEXCN-SJORKVTESA-N 0 1 310.457 3.241 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001713395469 1202645028 /nfs/dbraw/zinc/64/50/28/1202645028.db2.gz JEGZWIHHKFGOHH-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCC(=O)NCCC[C@@H]1CCCN1Cc1ncc(C)s1 ZINC001713427648 1202648768 /nfs/dbraw/zinc/64/87/68/1202648768.db2.gz JAPMIGQDPCGEEH-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CC[C@H]1c1ccc(F)cc1 ZINC001713618210 1202673484 /nfs/dbraw/zinc/67/34/84/1202673484.db2.gz QDMRKBONCLFAJS-JKSUJKDBSA-N 0 1 324.827 3.120 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C)CCC1)c1ccc(C(C)C)cc1 ZINC001713697589 1202678436 /nfs/dbraw/zinc/67/84/36/1202678436.db2.gz HTAAVAOZIOAXEQ-GOSISDBHSA-N 0 1 312.457 3.380 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@]1(C)CC=C(C)CC1)c1ccccc1 ZINC001713703440 1202678931 /nfs/dbraw/zinc/67/89/31/1202678931.db2.gz CRIQYPRPPJIDOF-QUCCMNQESA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(F)ccc2F)C1 ZINC001713718050 1202680815 /nfs/dbraw/zinc/68/08/15/1202680815.db2.gz XLIGBOVCYYJWQX-AWEZNQCLSA-N 0 1 308.372 3.077 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C/C=C/Cc2ccccc2)C1 ZINC001713717991 1202680968 /nfs/dbraw/zinc/68/09/68/1202680968.db2.gz XCQNXPFDUMANFD-IBDYFIJFSA-N 0 1 324.468 3.122 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001713721764 1202681568 /nfs/dbraw/zinc/68/15/68/1202681568.db2.gz XMQOJUMHKHMQLM-KRWDZBQOSA-N 0 1 317.477 3.326 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC2(C=C)CCCCC2)C1 ZINC001713720982 1202681571 /nfs/dbraw/zinc/68/15/71/1202681571.db2.gz HKLUSBCNVUIVJU-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001713723036 1202682359 /nfs/dbraw/zinc/68/23/59/1202682359.db2.gz ZJCJCPAHBZFZLO-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(CC=C(Cl)Cl)C1 ZINC001713724310 1202682431 /nfs/dbraw/zinc/68/24/31/1202682431.db2.gz HMVSGSWVYJFSIS-GFCCVEGCSA-N 0 1 305.249 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001713760235 1202687401 /nfs/dbraw/zinc/68/74/01/1202687401.db2.gz AVJIIOUCRTZQOY-MYBQVCMBSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001713790978 1202690968 /nfs/dbraw/zinc/69/09/68/1202690968.db2.gz SSGUARVFFMPAID-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cccnc2C)C1 ZINC001713791008 1202691108 /nfs/dbraw/zinc/69/11/08/1202691108.db2.gz VCFVYQLSBIFMKE-SJLPKXTDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001713823659 1202692810 /nfs/dbraw/zinc/69/28/10/1202692810.db2.gz AKPUFEAUAJNXMP-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001713836062 1202694369 /nfs/dbraw/zinc/69/43/69/1202694369.db2.gz PPLHHYWTSWBKKU-CVEARBPZSA-N 0 1 322.493 3.269 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CSCCC)C1 ZINC001713886646 1202698840 /nfs/dbraw/zinc/69/88/40/1202698840.db2.gz SWZPHGJEDPRBPC-CQSZACIVSA-N 0 1 318.914 3.053 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001714012236 1202725838 /nfs/dbraw/zinc/72/58/38/1202725838.db2.gz DFKZODCPSFRMOJ-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001714011643 1202726000 /nfs/dbraw/zinc/72/60/00/1202726000.db2.gz OMFYNRLEDUFKFC-ZPHJMFTNSA-N 0 1 312.457 3.445 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001714014487 1202729176 /nfs/dbraw/zinc/72/91/76/1202729176.db2.gz VNTSEJKJTUQZBD-PKOBYXMFSA-N 0 1 318.436 3.461 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H](C)CC2CCCCC2)C1 ZINC001714046567 1202749627 /nfs/dbraw/zinc/74/96/27/1202749627.db2.gz HGKHOCPHMCJPEZ-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC[C@@H](CNC(=O)c1ccccc1C)NCc1ccccc1C#N ZINC001714055114 1202754857 /nfs/dbraw/zinc/75/48/57/1202754857.db2.gz CPUKKMCSLYLKHI-SFHVURJKSA-N 0 1 321.424 3.165 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)N[C@H](C)c1cc(F)ccc1F ZINC001714074337 1202763244 /nfs/dbraw/zinc/76/32/44/1202763244.db2.gz QWJVFDUMLFKRPY-UKRRQHHQSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C/C=C\c1ccc(F)cc1 ZINC001714082126 1202765665 /nfs/dbraw/zinc/76/56/65/1202765665.db2.gz HTVYBMUPXRZXJR-YIEVGGLXSA-N 0 1 324.827 3.466 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCCCC1(F)F ZINC001714090740 1202768874 /nfs/dbraw/zinc/76/88/74/1202768874.db2.gz KXWMZIRWJCGUFH-RYUDHWBXSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1nc(C)sc1C ZINC001714096693 1202772231 /nfs/dbraw/zinc/77/22/31/1202772231.db2.gz XTIAMZSMGGFZDZ-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2cc[nH]c21 ZINC001714106002 1202779597 /nfs/dbraw/zinc/77/95/97/1202779597.db2.gz HTPWOJFQJBXMBK-ZDUSSCGKSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(Cl)c1 ZINC001714120701 1202789458 /nfs/dbraw/zinc/78/94/58/1202789458.db2.gz YVKIWINCCXDSDD-ZDUSSCGKSA-N 0 1 301.217 3.191 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1[C@H]1CCCN1CC(=C)Cl ZINC001714143405 1202799105 /nfs/dbraw/zinc/79/91/05/1202799105.db2.gz BPLHXCKLXMZQAQ-SJORKVTESA-N 0 1 322.880 3.388 20 30 DGEDMN CCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC001714145943 1202802013 /nfs/dbraw/zinc/80/20/13/1202802013.db2.gz SJVGSDANMAQDLC-WBVHZDCISA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001714270091 1202856287 /nfs/dbraw/zinc/85/62/87/1202856287.db2.gz VWCSWWCWHIXHPJ-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN Cc1cccc(C)c1C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001714273002 1202858393 /nfs/dbraw/zinc/85/83/93/1202858393.db2.gz CYAOIGYSMIBOKO-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2csc(C)n2)CC1 ZINC001714306850 1202873685 /nfs/dbraw/zinc/87/36/85/1202873685.db2.gz KUEJLOPNCRBUAD-HDJSIYSDSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001714307641 1202875468 /nfs/dbraw/zinc/87/54/68/1202875468.db2.gz MCYFMGUZGJIESW-ZQIUZPCESA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001714330150 1202885544 /nfs/dbraw/zinc/88/55/44/1202885544.db2.gz CTYMZVQEDQTLFU-HZPDHXFCSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2nocc2C)C1 ZINC001714408878 1202916305 /nfs/dbraw/zinc/91/63/05/1202916305.db2.gz YOSNZGMHRGDKIP-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001714496633 1202963031 /nfs/dbraw/zinc/96/30/31/1202963031.db2.gz BDFSHYGKLLZJCL-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN CN(CCNC(=O)C#CC(C)(C)C)Cc1ccc(C(F)F)cc1 ZINC001714532545 1202981529 /nfs/dbraw/zinc/98/15/29/1202981529.db2.gz ASMFDSKJOKBQKG-UHFFFAOYSA-N 0 1 322.399 3.222 20 30 DGEDMN CC(C)C#CC(=O)NCCN(C)C/C=C/c1ccc(Cl)cc1 ZINC001714538202 1202985889 /nfs/dbraw/zinc/98/58/89/1202985889.db2.gz PAWGMYSQAGRVRC-SNAWJCMRSA-N 0 1 318.848 3.061 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1Cl ZINC001714564529 1203007043 /nfs/dbraw/zinc/00/70/43/1203007043.db2.gz QUEDOFJXRPDIGO-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001714567735 1203007957 /nfs/dbraw/zinc/00/79/57/1203007957.db2.gz YUINUUUVDKLFRI-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2ccc(F)cc2s1 ZINC001714588368 1203028430 /nfs/dbraw/zinc/02/84/30/1203028430.db2.gz XZHMRRHMSFPKSO-UHFFFAOYSA-N 0 1 318.417 3.115 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1c(C)cccc1Cl ZINC001714751655 1203118406 /nfs/dbraw/zinc/11/84/06/1203118406.db2.gz IAUZOBGYRDLYPE-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccc(Cl)[nH]1)C(C)C ZINC001714831137 1203142210 /nfs/dbraw/zinc/14/22/10/1203142210.db2.gz RFOORCYOFBQOMT-UHFFFAOYSA-N 0 1 318.248 3.251 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CCC(C)(C)C1)c1ccccc1 ZINC001714838414 1203146785 /nfs/dbraw/zinc/14/67/85/1203146785.db2.gz GQIAQIAKFDAKRO-ZWKOTPCHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)c1ccccc1)c1ccccc1 ZINC001714843654 1203148596 /nfs/dbraw/zinc/14/85/96/1203148596.db2.gz FBVCXFMYKDCIBJ-YLJYHZDGSA-N 0 1 320.436 3.261 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C2CCC3(CC3)CC2)C1 ZINC001714856758 1203156233 /nfs/dbraw/zinc/15/62/33/1203156233.db2.gz RVIMZMVDUDFYSG-GOSISDBHSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(F)c2F)C1 ZINC001714862854 1203161959 /nfs/dbraw/zinc/16/19/59/1203161959.db2.gz BITVMWZBTGNSPA-CYBMUJFWSA-N 0 1 308.372 3.077 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C=C2CCCCC2)C1 ZINC001714863376 1203162446 /nfs/dbraw/zinc/16/24/46/1203162446.db2.gz JPGWBVACDSGQJT-MRXNPFEDSA-N 0 1 310.869 3.458 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(F)c(C)c2)C1 ZINC001714873271 1203169018 /nfs/dbraw/zinc/16/90/18/1203169018.db2.gz WERSUMSHIJXKDA-MRXNPFEDSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CCC2CCCC2)C1 ZINC001714889151 1203179733 /nfs/dbraw/zinc/17/97/33/1203179733.db2.gz DUHMJLSSPDGRPZ-INIZCTEOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccoc2C2CC2)C1 ZINC001714897406 1203184241 /nfs/dbraw/zinc/18/42/41/1203184241.db2.gz HTXLPEPNSWUYHV-ZDUSSCGKSA-N 0 1 322.836 3.303 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001714897106 1203184941 /nfs/dbraw/zinc/18/49/41/1203184941.db2.gz SHQNPZRKISQOID-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN CC(C)CCC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001714922572 1203200677 /nfs/dbraw/zinc/20/06/77/1203200677.db2.gz PVGDTEAXZSXRST-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1CC12CCCC2 ZINC001714931486 1203206013 /nfs/dbraw/zinc/20/60/13/1203206013.db2.gz AIBQZNCOWZNPTO-HUUCEWRRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC001714944136 1203209768 /nfs/dbraw/zinc/20/97/68/1203209768.db2.gz ZRPDZNOPLHICKE-INIZCTEOSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1cnc(C)o1)C1CCCCC1 ZINC001714951613 1203214796 /nfs/dbraw/zinc/21/47/96/1203214796.db2.gz BBOVOEJRMFFYLQ-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001715042762 1203251056 /nfs/dbraw/zinc/25/10/56/1203251056.db2.gz GPNNZTUNNWZFLM-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(Cl)cc1 ZINC001715083680 1203267469 /nfs/dbraw/zinc/26/74/69/1203267469.db2.gz KEMMJTQBJFJSQB-CYBMUJFWSA-N 0 1 301.217 3.191 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001715363099 1203325205 /nfs/dbraw/zinc/32/52/05/1203325205.db2.gz SJUJOJSCPPQJFF-HNAYVOBHSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C)(C)c1ccccc1 ZINC001715373109 1203327718 /nfs/dbraw/zinc/32/77/18/1203327718.db2.gz DVMZSFYTMNWTJT-AWEZNQCLSA-N 0 1 308.853 3.153 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C1(CCF)CC1 ZINC001715386988 1203331984 /nfs/dbraw/zinc/33/19/84/1203331984.db2.gz LMYFJHQYSQGUAN-TZMCWYRMSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2CC=CC2)CC1 ZINC001715465481 1203345433 /nfs/dbraw/zinc/34/54/33/1203345433.db2.gz VGBXGIBREZERJA-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)c1ccccc1C(C)(C)CC ZINC001715520609 1203359391 /nfs/dbraw/zinc/35/93/91/1203359391.db2.gz AEPMWIUSJCLEOO-UHFFFAOYSA-N 0 1 300.446 3.011 20 30 DGEDMN CCC[C@H](C)C(=O)N(CC)CCNCC#Cc1ccc(F)cc1 ZINC001715724836 1203384789 /nfs/dbraw/zinc/38/47/89/1203384789.db2.gz ZMHMSRYTZWJOHD-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001715748127 1203387465 /nfs/dbraw/zinc/38/74/65/1203387465.db2.gz FTJKSQZNKYLVJO-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C[C@@H](C(=O)NC/C=C/CNCc1ccc(C#N)s1)C(C)(C)C ZINC001715841413 1203396903 /nfs/dbraw/zinc/39/69/03/1203396903.db2.gz ZGBJHYPBQKHNEY-GFUIURDCSA-N 0 1 319.474 3.064 20 30 DGEDMN C[C@H](CNCC#Cc1ccccc1Cl)NC(=O)CC(C)(C)C ZINC001715921628 1203404436 /nfs/dbraw/zinc/40/44/36/1203404436.db2.gz MPZCDNJVBOMTDD-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001715945691 1203408966 /nfs/dbraw/zinc/40/89/66/1203408966.db2.gz UYXGEPCQSZWQCI-OLZOCXBDSA-N 0 1 310.388 3.161 20 30 DGEDMN CCC(=CC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F)CC ZINC001715974461 1203414411 /nfs/dbraw/zinc/41/44/11/1203414411.db2.gz ZAAWXZQCAVWZDW-ZDUSSCGKSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)c1ccc(OC)cc1 ZINC001716008806 1203420590 /nfs/dbraw/zinc/42/05/90/1203420590.db2.gz KFVVWIPPDQAVDF-XJKSGUPXSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2oc(C)nc2C)CCCC1 ZINC001716013056 1203422133 /nfs/dbraw/zinc/42/21/33/1203422133.db2.gz NTGRFAMCSUPTDG-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C)cc1Cl ZINC001716241150 1203452816 /nfs/dbraw/zinc/45/28/16/1203452816.db2.gz CSGUKPUJYKGERA-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(C)c1Cl ZINC001716245929 1203453832 /nfs/dbraw/zinc/45/38/32/1203453832.db2.gz KGCJCODLPJHKCX-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN CC#CCCCC(=O)NC/C=C\CNCc1cc2ccccc2o1 ZINC001716332169 1203459950 /nfs/dbraw/zinc/45/99/50/1203459950.db2.gz BAWPTARMVVCPOL-HJWRWDBZSA-N 0 1 324.424 3.388 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H](C)CC(C)(C)C ZINC001716344933 1203461184 /nfs/dbraw/zinc/46/11/84/1203461184.db2.gz FVWZMYAGMKIJOA-FWWRYZNZSA-N 0 1 300.874 3.463 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1coc2ccccc21 ZINC001716383226 1203463842 /nfs/dbraw/zinc/46/38/42/1203463842.db2.gz WIAZZDCLGQOZFK-PLNGDYQASA-N 0 1 304.777 3.061 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)cc1OC ZINC001716473796 1203482596 /nfs/dbraw/zinc/48/25/96/1203482596.db2.gz SOGFCPSIKUHAON-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=CCCC(=O)N[C@H](CN[C@H](C)c1c(F)cccc1F)C1CC1 ZINC001716606691 1203505829 /nfs/dbraw/zinc/50/58/29/1203505829.db2.gz UNGBYZNYBGBTHR-MLGOLLRUSA-N 0 1 322.399 3.476 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1[C@@H](C)c1ccc2c(c1)CCC2 ZINC001716645942 1203512568 /nfs/dbraw/zinc/51/25/68/1203512568.db2.gz SWUOFQBTQMZMNC-HNAYVOBHSA-N 0 1 312.457 3.393 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](CC)Cc1ccccc1C ZINC001716667744 1203520144 /nfs/dbraw/zinc/52/01/44/1203520144.db2.gz GPAWCSORCLBAEC-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](c2ccccc2)C2CC2)CC1 ZINC001716688076 1203525189 /nfs/dbraw/zinc/52/51/89/1203525189.db2.gz UGQFSHAMYZUPOD-MRXNPFEDSA-N 0 1 318.848 3.171 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001716690537 1203525770 /nfs/dbraw/zinc/52/57/70/1203525770.db2.gz IKSIMLQDMILCAQ-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001716693306 1203526742 /nfs/dbraw/zinc/52/67/42/1203526742.db2.gz RUEWMAPSPWKCMT-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1ccc(C)cc1 ZINC001716720028 1203531741 /nfs/dbraw/zinc/53/17/41/1203531741.db2.gz RLIQDXVJROKNLJ-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@H](F)C2)C1 ZINC001716741192 1203535374 /nfs/dbraw/zinc/53/53/74/1203535374.db2.gz PJBFGZCBHHYLFF-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001716749045 1203536916 /nfs/dbraw/zinc/53/69/16/1203536916.db2.gz JXJAAQJMSSZYOG-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1ccc(C)o1 ZINC001716785762 1203542481 /nfs/dbraw/zinc/54/24/81/1203542481.db2.gz QCOFQEJKCUAIMR-KBPBESRZSA-N 0 1 310.825 3.025 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CSC(C)C)C1CCCC1 ZINC001716815337 1203547135 /nfs/dbraw/zinc/54/71/35/1203547135.db2.gz SQOWUVGKXPBRKB-CQSZACIVSA-N 0 1 318.914 3.145 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001716839353 1203552363 /nfs/dbraw/zinc/55/23/63/1203552363.db2.gz ZFNVMZVAWJIROC-HNAYVOBHSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)CCCCCC)C1 ZINC001716899115 1203565750 /nfs/dbraw/zinc/56/57/50/1203565750.db2.gz AWZUKRUETYNJMV-MJGOQNOKSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2ccccn2)C1 ZINC001716898127 1203565805 /nfs/dbraw/zinc/56/58/05/1203565805.db2.gz PCNGZUBSWSXREQ-IBGZPJMESA-N 0 1 315.461 3.156 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC(C)(F)F)c1ccccc1CC ZINC001716954254 1203569325 /nfs/dbraw/zinc/56/93/25/1203569325.db2.gz QWKNOLPOCWCYRW-INIZCTEOSA-N 0 1 322.399 3.065 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCCC12CC2 ZINC001717113890 1203585908 /nfs/dbraw/zinc/58/59/08/1203585908.db2.gz SLMUGOUMRAGMPE-IBGZPJMESA-N 0 1 324.468 3.338 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)C#CC2CC2)cc1 ZINC001717114343 1203586679 /nfs/dbraw/zinc/58/66/79/1203586679.db2.gz CQFYTTSGPIXLJB-AWEZNQCLSA-N 0 1 316.832 3.119 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001717191249 1203595941 /nfs/dbraw/zinc/59/59/41/1203595941.db2.gz JZCDHWLHHQTFOG-HKUYNNGSSA-N 0 1 312.457 3.055 20 30 DGEDMN Cc1cccc(Cl)c1CN(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001717205418 1203600457 /nfs/dbraw/zinc/60/04/57/1203600457.db2.gz HYXLVLMKLYYPQK-AWEZNQCLSA-N 0 1 321.852 3.088 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001717212861 1203603856 /nfs/dbraw/zinc/60/38/56/1203603856.db2.gz PZNQQOYSSJHYKO-GOSISDBHSA-N 0 1 314.473 3.111 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C=C1CCC1 ZINC001717244191 1203608904 /nfs/dbraw/zinc/60/89/04/1203608904.db2.gz SDNPPLUNJIKYAE-IBGZPJMESA-N 0 1 310.441 3.216 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)CCCCC)C(C)(C)C1 ZINC001717311750 1203617766 /nfs/dbraw/zinc/61/77/66/1203617766.db2.gz HEPOEOMQQDPXNZ-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cccnc2)[C@@H](C)C1 ZINC001717338087 1203620996 /nfs/dbraw/zinc/62/09/96/1203620996.db2.gz PZHBNGBOWKIQGU-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H](CC)c1ccccc1 ZINC001717375326 1203629940 /nfs/dbraw/zinc/62/99/40/1203629940.db2.gz WCGSRGYVUKTGML-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H]1CCCc2ccccc21 ZINC001717375134 1203630524 /nfs/dbraw/zinc/63/05/24/1203630524.db2.gz URQYPYDEMPHEOP-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(Cc2ccccc2)CC1 ZINC001717412930 1203638843 /nfs/dbraw/zinc/63/88/43/1203638843.db2.gz MPUMXPKHGINTFN-LSDHHAIUSA-N 0 1 320.864 3.245 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1c(C)noc1CC ZINC001717423394 1203641373 /nfs/dbraw/zinc/64/13/73/1203641373.db2.gz RXSKFUDLHLVNRW-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)CCCC(C)C ZINC001717426733 1203641988 /nfs/dbraw/zinc/64/19/88/1203641988.db2.gz KEBWNFSQTSSFNV-QWHCGFSZSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001717435696 1203643985 /nfs/dbraw/zinc/64/39/85/1203643985.db2.gz UGPSABBTSFGSSB-MNOVXSKESA-N 0 1 301.217 3.189 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCCc1cccs1 ZINC001717438076 1203644317 /nfs/dbraw/zinc/64/43/17/1203644317.db2.gz VGCVYPWGXWTRAJ-OLZOCXBDSA-N 0 1 314.882 3.306 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001717489874 1203662543 /nfs/dbraw/zinc/66/25/43/1203662543.db2.gz BESWPUCGIOFZIL-FUHWJXTLSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCC[C@@H](C(=O)NC[C@H](C)N(C)CC#CC)c1ccccc1 ZINC001717501689 1203667921 /nfs/dbraw/zinc/66/79/21/1203667921.db2.gz SHZBHQVTZLTJHI-PKOBYXMFSA-N 0 1 312.457 3.196 20 30 DGEDMN CCCC[C@@H](CNC/C(Cl)=C\Cl)NC(=O)C#CC(C)C ZINC001717530221 1203673432 /nfs/dbraw/zinc/67/34/32/1203673432.db2.gz KYTUTFVBHCDQKK-SSUFTNFISA-N 0 1 319.276 3.229 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(CCCC)CC1 ZINC001717538511 1203676592 /nfs/dbraw/zinc/67/65/92/1203676592.db2.gz KKTRQGTZULJIJV-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(CCc2ccccc2F)C1 ZINC001717554665 1203680558 /nfs/dbraw/zinc/68/05/58/1203680558.db2.gz GSGWTDPMDCBNRO-AWEZNQCLSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001717555435 1203680838 /nfs/dbraw/zinc/68/08/38/1203680838.db2.gz VRGSZVRCKROBGV-AWEZNQCLSA-N 0 1 318.436 3.305 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001717557568 1203681511 /nfs/dbraw/zinc/68/15/11/1203681511.db2.gz PIRWARKLMKAKJY-OAHLLOKOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001717703998 1203702390 /nfs/dbraw/zinc/70/23/90/1203702390.db2.gz FJVSLWBJEJLNKC-OCCSQVGLSA-N 0 1 300.874 3.344 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCN[C@H](C)c2ncc(C)o2)C1 ZINC001717684341 1203698728 /nfs/dbraw/zinc/69/87/28/1203698728.db2.gz KRHPDOUSGOVZGC-UKRRQHHQSA-N 0 1 319.449 3.275 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)CC=C(Cl)Cl)CC1 ZINC001717698415 1203700324 /nfs/dbraw/zinc/70/03/24/1203700324.db2.gz BXNZGJBHHRQARG-UHFFFAOYSA-N 0 1 305.249 3.194 20 30 DGEDMN Cc1ccc(C(=O)N(C)C[C@H](C)NCc2ccccc2C#N)cc1 ZINC001717750311 1203709956 /nfs/dbraw/zinc/70/99/56/1203709956.db2.gz HPYVEOHPDFWOBL-INIZCTEOSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2c(C)noc2C)[C@H]1C ZINC001717853723 1203722240 /nfs/dbraw/zinc/72/22/40/1203722240.db2.gz KWRDZLXEGKATEJ-WMLDXEAASA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cnccc2C)[C@@H]1C ZINC001717858195 1203723445 /nfs/dbraw/zinc/72/34/45/1203723445.db2.gz QCNIQJBBOZVEOP-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCC2CCCC2)[C@@H]1C ZINC001717861842 1203724470 /nfs/dbraw/zinc/72/44/70/1203724470.db2.gz AJFQROZYHOHEEP-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC[C@H](C)c1ccccc1)C(C)C ZINC001717951463 1203734060 /nfs/dbraw/zinc/73/40/60/1203734060.db2.gz VQIUCAVSRYSUHV-SFHVURJKSA-N 0 1 314.473 3.372 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](CCCCC)C(C)C)CC1 ZINC001718031071 1203745031 /nfs/dbraw/zinc/74/50/31/1203745031.db2.gz KSSWWFDTFBBDOB-GOSISDBHSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1oc2ccccc2c1CC ZINC001722928249 1203952892 /nfs/dbraw/zinc/95/28/92/1203952892.db2.gz WOINVLLENHCNTI-AWEZNQCLSA-N 0 1 312.413 3.069 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1cc(C(C)(C)C)on1 ZINC001723032682 1203956569 /nfs/dbraw/zinc/95/65/69/1203956569.db2.gz ZGJXYCHARWTLSQ-UHFFFAOYSA-N 0 1 321.465 3.267 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1[C@@H](C)c1cccc(C)c1 ZINC001723446295 1203972937 /nfs/dbraw/zinc/97/29/37/1203972937.db2.gz JRHATHVLZIKBLU-PKOBYXMFSA-N 0 1 312.457 3.440 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1cc(C)sc1C ZINC001723445933 1203973026 /nfs/dbraw/zinc/97/30/26/1203973026.db2.gz GZEKBNJXDUERHR-OAHLLOKOSA-N 0 1 306.475 3.268 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2cccc(CC)c2)C1 ZINC001723453656 1203974417 /nfs/dbraw/zinc/97/44/17/1203974417.db2.gz PHMZQUXEFCCVFN-GOSISDBHSA-N 0 1 312.457 3.296 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1[C@@H]1CCc2ccccc21 ZINC001723461623 1203975762 /nfs/dbraw/zinc/97/57/62/1203975762.db2.gz NLMRWMWIAXTUQV-FUHWJXTLSA-N 0 1 312.457 3.467 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2c(C)cccc2Cl)CC1 ZINC001723507495 1203979578 /nfs/dbraw/zinc/97/95/78/1203979578.db2.gz CKZVILDDQODZLM-UHFFFAOYSA-N 0 1 318.848 3.190 20 30 DGEDMN CCCCCCC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001723587704 1203984019 /nfs/dbraw/zinc/98/40/19/1203984019.db2.gz HUSRUDRLZOBTJM-UHFFFAOYSA-N 0 1 312.457 3.247 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC2(C1)CCN(CCF)CC2 ZINC001723698597 1203988928 /nfs/dbraw/zinc/98/89/28/1203988928.db2.gz QMNFWIXMWKYSSN-UHFFFAOYSA-N 0 1 308.441 3.039 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001723768820 1203992114 /nfs/dbraw/zinc/99/21/14/1203992114.db2.gz BZPYKPRFJSWNIZ-ZDUSSCGKSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@H]3C[C@H]32)C1 ZINC001723775390 1203992159 /nfs/dbraw/zinc/99/21/59/1203992159.db2.gz DLSSEWWIGPJUND-CGTJXYLNSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)[C@H](CC(=O)N(C)C1CN(CCC2CCCC2)C1)OCC ZINC001723766795 1203992256 /nfs/dbraw/zinc/99/22/56/1203992256.db2.gz YGNRMZRODFTBDP-SFHVURJKSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(F)c(C)cc2F)C1 ZINC001723768810 1203992459 /nfs/dbraw/zinc/99/24/59/1203992459.db2.gz BZPYKPRFJSWNIZ-CYBMUJFWSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccccc2CCC)C1 ZINC001723777151 1203992689 /nfs/dbraw/zinc/99/26/89/1203992689.db2.gz UUTMISYUKAVHCQ-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3ccncc32)C1 ZINC001723803361 1203994203 /nfs/dbraw/zinc/99/42/03/1203994203.db2.gz LLNKSUPPWTVHBB-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C(C)(C)C(F)(F)F)C1 ZINC001723814603 1203994526 /nfs/dbraw/zinc/99/45/26/1203994526.db2.gz KAEWBLLLGBLCJC-NSHDSACASA-N 0 1 306.372 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@@H]1CCCC1(F)F ZINC001723937265 1203999184 /nfs/dbraw/zinc/99/91/84/1203999184.db2.gz HTRSLLZQOXUCAT-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2cc(C)ns2)CC1 ZINC001724004124 1204001228 /nfs/dbraw/zinc/00/12/28/1204001228.db2.gz HQMDUWZKBUVPEC-UHFFFAOYSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)C1CC1)C1CCN(C/C=C/Cl)CC1 ZINC001724037654 1204002343 /nfs/dbraw/zinc/00/23/43/1204002343.db2.gz CHSOVFZSDSOYQG-RXKXYKLLSA-N 0 1 320.864 3.021 20 30 DGEDMN C#CCN(C(=O)c1ccsc1)C1CCN(C[C@H](F)CC)CC1 ZINC001724029445 1204002457 /nfs/dbraw/zinc/00/24/57/1204002457.db2.gz MWQWRAKVYIDVRF-OAHLLOKOSA-N 0 1 322.449 3.036 20 30 DGEDMN C#CCN(C(=O)CCCC1CC1)C1CCN(C/C=C\Cl)CC1 ZINC001724054822 1204002584 /nfs/dbraw/zinc/00/25/84/1204002584.db2.gz ZKQUPPSACBOOSD-WCIBSUBMSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724503883 1204026485 /nfs/dbraw/zinc/02/64/85/1204026485.db2.gz LZVMUQSKYBTOIJ-ZNMIVQPWSA-N 0 1 310.869 3.310 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H]1CC=CCC1)c1ccccc1CC ZINC001724512402 1204026675 /nfs/dbraw/zinc/02/66/75/1204026675.db2.gz SQIOUQPCGDSSPI-XLIONFOSSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NCC#CC)c2ccccc2CC)C1 ZINC001724511660 1204026894 /nfs/dbraw/zinc/02/68/94/1204026894.db2.gz KPUSVSHLXASJSH-LJQANCHMSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)CCCCCC ZINC001724656133 1204036953 /nfs/dbraw/zinc/03/69/53/1204036953.db2.gz QYTKDEULRMXIKD-FGTMMUONSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)C(C)C ZINC001724737983 1204041561 /nfs/dbraw/zinc/04/15/61/1204041561.db2.gz WPTSOKVPEBJYPP-UHFFFAOYSA-N 0 1 314.473 3.440 20 30 DGEDMN C=C[C@H](C(=O)NCC1(NCC(=C)Cl)CCC1)c1ccccc1 ZINC001724800042 1204049194 /nfs/dbraw/zinc/04/91/94/1204049194.db2.gz JWYOTMXQBGCTIS-INIZCTEOSA-N 0 1 318.848 3.337 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cnc(C)o2)CC1(C)C ZINC001724965346 1204072426 /nfs/dbraw/zinc/07/24/26/1204072426.db2.gz HCQPHSBLCWXRAK-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001724994845 1204076002 /nfs/dbraw/zinc/07/60/02/1204076002.db2.gz NRIJOSBUWHSWON-XGEFYSJKSA-N 0 1 324.468 3.364 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001724994843 1204076230 /nfs/dbraw/zinc/07/62/30/1204076230.db2.gz NRIJOSBUWHSWON-OGMVEXJUSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CCCC#CC ZINC001725015141 1204079978 /nfs/dbraw/zinc/07/99/78/1204079978.db2.gz YVWFZZKWMXPQAE-FQEVSTJZSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001725044827 1204084568 /nfs/dbraw/zinc/08/45/68/1204084568.db2.gz WUZTWTUCNJDXFD-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)Cc1cncc(C)c1 ZINC001725044858 1204084966 /nfs/dbraw/zinc/08/49/66/1204084966.db2.gz XALMLGJMICGDQD-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc2c(c1)CCC=C2 ZINC001725054564 1204086602 /nfs/dbraw/zinc/08/66/02/1204086602.db2.gz XLGSFYWYMGZNTG-FQEVSTJZSA-N 0 1 322.452 3.206 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CCCC)C(C)C ZINC001725059778 1204087876 /nfs/dbraw/zinc/08/78/76/1204087876.db2.gz SJSDDNSFUZPMNK-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cnc2ccccc2c1 ZINC001725106874 1204096206 /nfs/dbraw/zinc/09/62/06/1204096206.db2.gz JQAFHDRAOGELSF-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2c1CCC2 ZINC001725133126 1204100618 /nfs/dbraw/zinc/10/06/18/1204100618.db2.gz XURPOBNJYJYGHC-CHWSQXEVSA-N 0 1 306.837 3.024 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1oc(CCC)nc1C ZINC001725135292 1204101171 /nfs/dbraw/zinc/10/11/71/1204101171.db2.gz QVBSPCZVQPVAHE-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1coc2ccc(CC)cc12 ZINC001725163262 1204108045 /nfs/dbraw/zinc/10/80/45/1204108045.db2.gz VWWMTSVFBXCLBA-AWEZNQCLSA-N 0 1 312.413 3.069 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CN(C/C=C\c3ccccc3)C2)C1 ZINC001725255748 1204128630 /nfs/dbraw/zinc/12/86/30/1204128630.db2.gz ABYTVBMRKTYUSI-JEZWAEDTSA-N 0 1 324.468 3.493 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCc2sccc2C)C1 ZINC001725262632 1204129975 /nfs/dbraw/zinc/12/99/75/1204129975.db2.gz DSGSBGAXHYZUQP-CQSZACIVSA-N 0 1 306.475 3.002 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@@H](C)CCC=C(C)C)C(C)(C)C1 ZINC001725286534 1204132762 /nfs/dbraw/zinc/13/27/62/1204132762.db2.gz FYVLLCJTTAJTEC-IRXDYDNUSA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCC[C@@H](C)CC)C(C)(C)C1 ZINC001725287988 1204133499 /nfs/dbraw/zinc/13/34/99/1204133499.db2.gz WBTRASZIGNKPHZ-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCCNCc2coc(C)n2)CC1 ZINC001725403114 1204145664 /nfs/dbraw/zinc/14/56/64/1204145664.db2.gz GYEXVFIOKFLXCT-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(F)ccc1CC ZINC001725495123 1204158939 /nfs/dbraw/zinc/15/89/39/1204158939.db2.gz NEKJYJKSFOWYKX-GFCCVEGCSA-N 0 1 312.816 3.191 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccccc1CCC ZINC001725502104 1204160182 /nfs/dbraw/zinc/16/01/82/1204160182.db2.gz OKXIUDUPIIQBHQ-CQSZACIVSA-N 0 1 308.853 3.442 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@@H]1C ZINC001725598942 1204175470 /nfs/dbraw/zinc/17/54/70/1204175470.db2.gz UVWNXQOVJYLRLU-DCGDGQDLSA-N 0 1 323.440 3.160 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(F)c(C)cc1F)C(C)C ZINC001725727447 1204201927 /nfs/dbraw/zinc/20/19/27/1204201927.db2.gz HBLXKXREFRZTGS-UHFFFAOYSA-N 0 1 322.399 3.079 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001725855772 1204233418 /nfs/dbraw/zinc/23/34/18/1204233418.db2.gz FIQFSQUUYDJUSU-UHFFFAOYSA-N 0 1 323.440 3.163 20 30 DGEDMN CCCC[C@](C)(F)C(=O)N(C)CCNCc1ccccc1C#N ZINC001753206603 1204336362 /nfs/dbraw/zinc/33/63/62/1204336362.db2.gz YMEDJBQYKWIHMW-SFHVURJKSA-N 0 1 319.424 3.025 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2cc(C)ccc2F)C1 ZINC001731268178 1204357965 /nfs/dbraw/zinc/35/79/65/1204357965.db2.gz YOIGAQSGLWLEFX-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCCC[C@@H]1C ZINC001731314661 1204370955 /nfs/dbraw/zinc/37/09/55/1204370955.db2.gz QLHFDHPVJQJOIQ-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001731370918 1204385751 /nfs/dbraw/zinc/38/57/51/1204385751.db2.gz AQGJYNKTUQJNEG-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001731418621 1204406209 /nfs/dbraw/zinc/40/62/09/1204406209.db2.gz XEVNKQYGRTYNAT-PVAVHDDUSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1conc1C ZINC001731424203 1204408997 /nfs/dbraw/zinc/40/89/97/1204408997.db2.gz BFGYJVGQSRXWNC-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001731451010 1204416047 /nfs/dbraw/zinc/41/60/47/1204416047.db2.gz CAGJWCMPANIXQG-DEYYWGMASA-N 0 1 319.449 3.137 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC/C=C/c1ccccc1 ZINC001731542051 1204452205 /nfs/dbraw/zinc/45/22/05/1204452205.db2.gz TZMGRVBBUSAMGT-KMDKVFFASA-N 0 1 310.441 3.084 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C(CC)CC)C1 ZINC001731557398 1204461085 /nfs/dbraw/zinc/46/10/85/1204461085.db2.gz RCPAMIKUUHOSPM-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)NC1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001731573485 1204467835 /nfs/dbraw/zinc/46/78/35/1204467835.db2.gz DXXOUEFLOYYDFA-FHERZECASA-N 0 1 319.449 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCCc1ccc(C)s1 ZINC001731642105 1204494751 /nfs/dbraw/zinc/49/47/51/1204494751.db2.gz IVGNTEKJIJLESW-LBPRGKRZSA-N 0 1 314.882 3.226 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@H](NCc2ncc(CC)o2)C1 ZINC001731694487 1204507530 /nfs/dbraw/zinc/50/75/30/1204507530.db2.gz KARYMRSZDKNPCA-GJZGRUSLSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NCc1ncc(C(C)C)o1 ZINC001731733835 1204528445 /nfs/dbraw/zinc/52/84/45/1204528445.db2.gz FGOUUPYDBPUYLD-CQSZACIVSA-N 0 1 321.465 3.385 20 30 DGEDMN CC#CCN(C)CCNC(=O)[C@H](CCC)CC1CCCCC1 ZINC001731786421 1204558056 /nfs/dbraw/zinc/55/80/56/1204558056.db2.gz SVYBRVZNIONJAB-GOSISDBHSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001731832493 1204592232 /nfs/dbraw/zinc/59/22/32/1204592232.db2.gz LWEXESLZYGCLBE-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@H](C)c2cc(F)ccc2F)C1 ZINC001731841979 1204598680 /nfs/dbraw/zinc/59/86/80/1204598680.db2.gz JDGDNPFRMLCDKE-KGLIPLIRSA-N 0 1 322.399 3.430 20 30 DGEDMN CCCN(CCNC(=O)C#CC1CC1)[C@H](C)c1ccccc1F ZINC001731865355 1204608853 /nfs/dbraw/zinc/60/88/53/1204608853.db2.gz ZPJGLKSNMRSTTH-OAHLLOKOSA-N 0 1 316.420 3.128 20 30 DGEDMN CCC(CC)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001731891398 1204626194 /nfs/dbraw/zinc/62/61/94/1204626194.db2.gz BAPMFLPQOSAZLS-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC(C)C(=O)NC[C@@H](NCc1ccc(C#N)cc1)c1ccccc1 ZINC001732018503 1204650976 /nfs/dbraw/zinc/65/09/76/1204650976.db2.gz KAIRVXGXHUAGRG-LJQANCHMSA-N 0 1 321.424 3.161 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@H](C)c1ccco1)c1ccccc1 ZINC001732026520 1204654295 /nfs/dbraw/zinc/65/42/95/1204654295.db2.gz WRLYBLQLAUJKRO-FUHWJXTLSA-N 0 1 324.424 3.244 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1c(C)cc(C)cc1C)c1ccccc1 ZINC001732026685 1204654562 /nfs/dbraw/zinc/65/45/62/1204654562.db2.gz MCQTYGRHASMPJT-IBGZPJMESA-N 0 1 320.436 3.306 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001732037939 1204659732 /nfs/dbraw/zinc/65/97/32/1204659732.db2.gz BLYSSELZZFHWCM-ZSYWTGECSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(CCC)on2)C1 ZINC001732040779 1204662869 /nfs/dbraw/zinc/66/28/69/1204662869.db2.gz LGDLLDOXRXOMQB-OAHLLOKOSA-N 0 1 319.449 3.130 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@@H]2C=CCCC2)C1 ZINC001732073359 1204679426 /nfs/dbraw/zinc/67/94/26/1204679426.db2.gz YTBKJPXUBZSNJC-HZPDHXFCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CC(C)(C)CC(F)F)C1 ZINC001732106561 1204695518 /nfs/dbraw/zinc/69/55/18/1204695518.db2.gz WZMILLZLVUSVJA-LBPRGKRZSA-N 0 1 302.409 3.213 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001732111146 1204697436 /nfs/dbraw/zinc/69/74/36/1204697436.db2.gz FAYKVSKIOJIWOO-ZTNFWEORSA-N 0 1 312.457 3.335 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001732133283 1204707921 /nfs/dbraw/zinc/70/79/21/1204707921.db2.gz IXJNDBNKRYIZDN-LJQANCHMSA-N 0 1 318.436 3.284 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001732143457 1204711651 /nfs/dbraw/zinc/71/16/51/1204711651.db2.gz XMJAOAYGHNBIKH-SQNIBIBYSA-N 0 1 324.468 3.391 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001732146068 1204713201 /nfs/dbraw/zinc/71/32/01/1204713201.db2.gz ZBPMKCKIHOUOQY-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN CC[C@H](CNC(=O)CC(C)=C(C)C)NCc1ccccc1C#N ZINC001732205590 1204748126 /nfs/dbraw/zinc/74/81/26/1204748126.db2.gz OPSHSTNLDSKLIJ-GOSISDBHSA-N 0 1 313.445 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2ncccc21 ZINC001732211209 1204751526 /nfs/dbraw/zinc/75/15/26/1204751526.db2.gz QEYIRMFJMUHCMH-ZDUSSCGKSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(F)ccc(C)c1F ZINC001732211442 1204751768 /nfs/dbraw/zinc/75/17/68/1204751768.db2.gz URUUJWHGTJSIHA-LLVKDONJSA-N 0 1 316.779 3.124 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2nccs2)CCCC1 ZINC001732395553 1204818449 /nfs/dbraw/zinc/81/84/49/1204818449.db2.gz RZIQOOZTHXSJNR-INIZCTEOSA-N 0 1 321.490 3.264 20 30 DGEDMN CCCCCC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001732407517 1204825722 /nfs/dbraw/zinc/82/57/22/1204825722.db2.gz ARQFNAXHRGCKNZ-HNNXBMFYSA-N 0 1 301.434 3.075 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCCC(F)(F)C1 ZINC001732437648 1204841016 /nfs/dbraw/zinc/84/10/16/1204841016.db2.gz NIGUCWGSBDYEOX-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)/C=C/C(C)(C)C ZINC001732464414 1204856407 /nfs/dbraw/zinc/85/64/07/1204856407.db2.gz VJEHACUSELZHJV-HSBSLETESA-N 0 1 300.874 3.462 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1conc1C)C1CCCCC1 ZINC001732475300 1204863651 /nfs/dbraw/zinc/86/36/51/1204863651.db2.gz KUZMLTBEBQVKKC-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCNCc1csc(C2CC2)n1 ZINC001732722086 1204934072 /nfs/dbraw/zinc/93/40/72/1204934072.db2.gz UWSCKFIOFYNUSV-GFCCVEGCSA-N 0 1 321.490 3.217 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCc1nc(C)c(C)s1 ZINC001732795759 1204944943 /nfs/dbraw/zinc/94/49/43/1204944943.db2.gz SNJMCKJNYLVHAO-QGZVFWFLSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001732813838 1204947220 /nfs/dbraw/zinc/94/72/20/1204947220.db2.gz QYHDFKPITMUMCU-SFHVURJKSA-N 0 1 320.864 3.081 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1c(C)nsc1C ZINC001732903229 1204957271 /nfs/dbraw/zinc/95/72/71/1204957271.db2.gz WYOCFYDZPMHQEO-IJDCCNJMSA-N 0 1 321.490 3.124 20 30 DGEDMN CC(C(=O)N[C@@H](C)CNCc1ccccc1C#N)=C1CCCC1 ZINC001732992799 1204976034 /nfs/dbraw/zinc/97/60/34/1204976034.db2.gz VRIPEKOGNOQIRS-AWEZNQCLSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1nsc2ccccc12 ZINC001733009907 1204980860 /nfs/dbraw/zinc/98/08/60/1204980860.db2.gz KTPHZKOEOCXPEA-ZDUSSCGKSA-N 0 1 317.458 3.247 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001733016442 1204982367 /nfs/dbraw/zinc/98/23/67/1204982367.db2.gz OYEMVHSWAKSDLT-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1cccc(C(C)C)n1 ZINC001733030926 1204988984 /nfs/dbraw/zinc/98/89/84/1204988984.db2.gz PZWATMPDSMWWHP-OAHLLOKOSA-N 0 1 303.450 3.108 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccccc1CC(C)(C)C ZINC001733042065 1204995809 /nfs/dbraw/zinc/99/58/09/1204995809.db2.gz SSXUHYBOHSWCRS-MRXNPFEDSA-N 0 1 314.473 3.349 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001733057034 1205007573 /nfs/dbraw/zinc/00/75/73/1205007573.db2.gz NOBANWSIZQWALZ-IEBWSBKVSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1c(F)cccc1F)C1CC1 ZINC001733178575 1205034077 /nfs/dbraw/zinc/03/40/77/1205034077.db2.gz CGNMCIPVDQZWQH-MRXNPFEDSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cc(C)on2)[C@@H](CC)C1 ZINC001733199984 1205040825 /nfs/dbraw/zinc/04/08/25/1205040825.db2.gz VPLLCGLUSLHBHJ-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1coc2ccc(C)cc12 ZINC001733210749 1205044897 /nfs/dbraw/zinc/04/48/97/1205044897.db2.gz AMVGKCOAQHTZRW-MRXNPFEDSA-N 0 1 312.413 3.050 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001733238983 1205065762 /nfs/dbraw/zinc/06/57/62/1205065762.db2.gz WWUZMJPBQORPAT-CZUORRHYSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2CCC)CC1 ZINC001733250717 1205068982 /nfs/dbraw/zinc/06/89/82/1205068982.db2.gz PZYQJBXQCYUWSN-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CCC(C)(C)C ZINC001733276350 1205078951 /nfs/dbraw/zinc/07/89/51/1205078951.db2.gz RVFVRZGGMQXWHK-BBWFWOEESA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)C(C)(C)C ZINC001733276384 1205079366 /nfs/dbraw/zinc/07/93/66/1205079366.db2.gz SNNYFZVQKDNLCA-BBWFWOEESA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC)c1ccccc1 ZINC001733276791 1205079467 /nfs/dbraw/zinc/07/94/67/1205079467.db2.gz ZMJDDFXUTRVXJZ-AABGKKOBSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2cccc(Cl)c2)C1 ZINC001733310029 1205090339 /nfs/dbraw/zinc/09/03/39/1205090339.db2.gz NUHXALXVOKNZHI-UHFFFAOYSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2cccc(C)c2)C1 ZINC001733313437 1205092150 /nfs/dbraw/zinc/09/21/50/1205092150.db2.gz NHDRUODSOWSICG-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1c[nH]c2ccccc12 ZINC001733344558 1205100919 /nfs/dbraw/zinc/10/09/19/1205100919.db2.gz BDRRWNSYMWWZPO-ZDUSSCGKSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1coc(C)c1)C1CCCC1 ZINC001733379672 1205110649 /nfs/dbraw/zinc/11/06/49/1205110649.db2.gz GBBCOUYJFDLJRA-OAHLLOKOSA-N 0 1 310.825 3.219 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cnc(C)s2)C1 ZINC001733411184 1205122661 /nfs/dbraw/zinc/12/26/61/1205122661.db2.gz LEWVPHMMQMKMKQ-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2sccc2Cl)C1 ZINC001733462949 1205144133 /nfs/dbraw/zinc/14/41/33/1205144133.db2.gz YQCHYVPLFCIFEN-MRXNPFEDSA-N 0 1 324.877 3.257 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001733475597 1205149161 /nfs/dbraw/zinc/14/91/61/1205149161.db2.gz BKVYOWZIIXRTPG-ZBFHGGJFSA-N 0 1 314.420 3.238 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@H](CC)CCC)C1 ZINC001733476928 1205149595 /nfs/dbraw/zinc/14/95/95/1205149595.db2.gz LUWAABLSEHKZEE-CABCVRRESA-N 0 1 316.436 3.462 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1occc1C)c1ccccc1CC ZINC001733515835 1205151361 /nfs/dbraw/zinc/15/13/61/1205151361.db2.gz XHVBZQMDMJUIPO-SFHVURJKSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)(C)C=C)c1ccccc1CC ZINC001733517451 1205151917 /nfs/dbraw/zinc/15/19/17/1205151917.db2.gz GSKOCHSSISRNKP-SFHVURJKSA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](CC)c3ccccc3)[C@@H]2C1 ZINC001733605069 1205172660 /nfs/dbraw/zinc/17/26/60/1205172660.db2.gz CZQKDMUJJFCBQZ-YSIASYRMSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H]3CCC[C@@H]3C)cccc2C1 ZINC001733709582 1205188932 /nfs/dbraw/zinc/18/89/32/1205188932.db2.gz YIFADQLNXWJLIL-DLBZAZTESA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C/C=C(/C)C=C)cccc2C1 ZINC001733708786 1205189102 /nfs/dbraw/zinc/18/91/02/1205189102.db2.gz JRNFRDXOHCUXHK-SXGWCWSVSA-N 0 1 310.441 3.369 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C(C)(C)C3CCC3)cccc2C1 ZINC001733709631 1205190376 /nfs/dbraw/zinc/19/03/76/1205190376.db2.gz ZQGCRYQCGHUECB-UHFFFAOYSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(F)ccc2C)CCC1 ZINC001733720810 1205193834 /nfs/dbraw/zinc/19/38/34/1205193834.db2.gz ONKBRJLZSXPYNR-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CCCC2(F)F)CCC1 ZINC001733722341 1205194289 /nfs/dbraw/zinc/19/42/89/1205194289.db2.gz RZZGZHJFJKYBIM-GFCCVEGCSA-N 0 1 320.811 3.193 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001733767406 1205205339 /nfs/dbraw/zinc/20/53/39/1205205339.db2.gz XBENDNMINLGINF-ROUUACIJSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1cnc(C)s1 ZINC001733771814 1205209145 /nfs/dbraw/zinc/20/91/45/1205209145.db2.gz YKFLSSSBQMETJZ-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](CC)CCCCC)C(C)(C)C1 ZINC001733876997 1205241392 /nfs/dbraw/zinc/24/13/92/1205241392.db2.gz AIQDSKGJUIPCAI-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCC(=C)C ZINC001733906821 1205250228 /nfs/dbraw/zinc/25/02/28/1205250228.db2.gz BSNZTKVUGPKZOL-LJQANCHMSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C(CC(C)C)CC(C)C ZINC001733944086 1205268485 /nfs/dbraw/zinc/26/84/85/1205268485.db2.gz PNPDAQRRONQPSQ-GOSISDBHSA-N 0 1 306.494 3.251 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(C)CCC(F)(F)CC1 ZINC001733980877 1205277921 /nfs/dbraw/zinc/27/79/21/1205277921.db2.gz XLNKQIKYIDQEAL-RYUDHWBXSA-N 0 1 322.827 3.437 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1cc(C)ccc1C ZINC001733992032 1205281144 /nfs/dbraw/zinc/28/11/44/1205281144.db2.gz VFHPTWBWHGAVJJ-LSDHHAIUSA-N 0 1 308.853 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(F)cc1Cl ZINC001734004845 1205285611 /nfs/dbraw/zinc/28/56/11/1205285611.db2.gz RLIKXCBNJYAILZ-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001734061156 1205312008 /nfs/dbraw/zinc/31/20/08/1205312008.db2.gz ATIQIWJEOLUBQO-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001734105689 1205325952 /nfs/dbraw/zinc/32/59/52/1205325952.db2.gz GWLCHRMPSPKCBZ-QKLQHJQFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001734129591 1205331539 /nfs/dbraw/zinc/33/15/39/1205331539.db2.gz RCZKZJWVXSIDAP-DLBZAZTESA-N 0 1 314.473 3.186 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001734150776 1205336148 /nfs/dbraw/zinc/33/61/48/1205336148.db2.gz VBEBUPVCWJWLHN-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](CCCC)C(C)C)C(C)(C)C1 ZINC001734155305 1205337440 /nfs/dbraw/zinc/33/74/40/1205337440.db2.gz NWLBAVPBLICMAR-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](N[C@@H](C)c1ncc(C)o1)C(C)C ZINC001734289955 1205357165 /nfs/dbraw/zinc/35/71/65/1205357165.db2.gz XIGLXWSKKZOFEM-LSDHHAIUSA-N 0 1 321.465 3.377 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001734341186 1205367437 /nfs/dbraw/zinc/36/74/37/1205367437.db2.gz MTCPFEJTSWIEBN-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1cccc(F)c1F ZINC001734340967 1205367566 /nfs/dbraw/zinc/36/75/66/1205367566.db2.gz KZFAZEMUCKZJTO-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(O)ccc2Cl)[C@H]1C ZINC001734387539 1205371364 /nfs/dbraw/zinc/37/13/64/1205371364.db2.gz IOHMMJFUXYSOCH-WBMJQRKESA-N 0 1 322.836 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001734360385 1205371578 /nfs/dbraw/zinc/37/15/78/1205371578.db2.gz VBJDFVHLQYPFRB-LBPRGKRZSA-N 0 1 300.874 3.248 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ncc(C)s2)[C@@H]1C ZINC001734396058 1205372002 /nfs/dbraw/zinc/37/20/02/1205372002.db2.gz PRRADMXHSPTCNR-UONOGXRCSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H]1C ZINC001734459779 1205381384 /nfs/dbraw/zinc/38/13/84/1205381384.db2.gz OHLDSCIALZNDQP-IEBWSBKVSA-N 0 1 324.468 3.002 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cnccc2C)[C@@H]1C ZINC001734463914 1205382781 /nfs/dbraw/zinc/38/27/81/1205382781.db2.gz XGLFQLPVUWXOQJ-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C(C)(C)C1 ZINC001734491482 1205386648 /nfs/dbraw/zinc/38/66/48/1205386648.db2.gz UACUFHFASFAABR-MRXNPFEDSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(F)c1)C(C)(C)C ZINC001734556606 1205393672 /nfs/dbraw/zinc/39/36/72/1205393672.db2.gz BIDXBCLHRZMWAY-AWEZNQCLSA-N 0 1 312.816 3.312 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001734570946 1205397562 /nfs/dbraw/zinc/39/75/62/1205397562.db2.gz RQZSKODNABHEHR-ZDUSSCGKSA-N 0 1 309.479 3.120 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C=C(CC)CC)c(F)c1 ZINC001734637960 1205408059 /nfs/dbraw/zinc/40/80/59/1205408059.db2.gz IWOFMMWEUNDFDI-UHFFFAOYSA-N 0 1 316.420 3.301 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[NH+]1CCC(CCC(=O)[O-])CC1 ZINC001594616976 956245676 /nfs/dbraw/zinc/24/56/76/956245676.db2.gz VKRYPLUQGJQGCJ-UHFFFAOYSA-N 0 1 306.793 3.288 20 30 DGEDMN CC(C)(C#N)CCCC[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000318268522 970420532 /nfs/dbraw/zinc/42/05/32/970420532.db2.gz JUEOMLICYQHWHZ-MRXNPFEDSA-N 0 1 300.402 3.390 20 30 DGEDMN CC(C)(C#N)CCCC[N@@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000318268521 970420962 /nfs/dbraw/zinc/42/09/62/970420962.db2.gz JUEOMLICYQHWHZ-INIZCTEOSA-N 0 1 300.402 3.390 20 30 DGEDMN CCC1(CC)C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CCS1 ZINC001595375436 980895601 /nfs/dbraw/zinc/89/56/01/980895601.db2.gz KPFJPKQYCHJZNF-HNNXBMFYSA-N 0 1 318.442 3.292 20 30 DGEDMN CCCCCCCC[N@H+]1CCC[C@@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001595704497 982276144 /nfs/dbraw/zinc/27/61/44/982276144.db2.gz QPUBJEXMIUWQKO-SFHVURJKSA-N 0 1 311.466 3.429 20 30 DGEDMN C#C[C@@H]([NH2+]Cc1cc(C(=O)[O-])c(C)[nH]1)c1ccc(Cl)cc1 ZINC001588377713 983358869 /nfs/dbraw/zinc/35/88/69/983358869.db2.gz QBIVSRQETGYCNQ-OAHLLOKOSA-N 0 1 302.761 3.139 20 30 DGEDMN C#C[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cc(Cl)cc(Cl)c2)C1 ZINC001588382989 983376085 /nfs/dbraw/zinc/37/60/85/983376085.db2.gz MEDYVIMWPBSCMR-HZMBPMFUSA-N 0 1 312.196 3.464 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])ccc1Br)C(C)(C)C ZINC001588469527 983502258 /nfs/dbraw/zinc/50/22/58/983502258.db2.gz KCOSFMUKYVZGNJ-UHFFFAOYSA-N 0 1 324.218 3.381 20 30 DGEDMN C#CC[N@@H+](Cc1cc(C(=O)[O-])ccc1Br)C(C)(C)C ZINC001588469527 983502260 /nfs/dbraw/zinc/50/22/60/983502260.db2.gz KCOSFMUKYVZGNJ-UHFFFAOYSA-N 0 1 324.218 3.381 20 30 DGEDMN C=C(C)C[N@H+](CC)Cc1c2cc(F)ccc2n(C)c1C(=O)[O-] ZINC001588562713 983643243 /nfs/dbraw/zinc/64/32/43/983643243.db2.gz GCMOYJJZZSGEKB-UHFFFAOYSA-N 0 1 304.365 3.414 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)[C@H](C)c1ccc(C(=O)[O-])o1 ZINC001588567698 983647347 /nfs/dbraw/zinc/64/73/47/983647347.db2.gz QOAXBYWPOCUPMA-GFCCVEGCSA-N 0 1 319.357 3.202 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)[C@H](C)c1ccc(C(=O)[O-])o1 ZINC001588567698 983647348 /nfs/dbraw/zinc/64/73/48/983647348.db2.gz QOAXBYWPOCUPMA-GFCCVEGCSA-N 0 1 319.357 3.202 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNc2cc(C(=O)[O-])cc[nH+]2)c1 ZINC001594724691 987221560 /nfs/dbraw/zinc/22/15/60/987221560.db2.gz BBHGMHKNJSHSQD-AWEZNQCLSA-N 0 1 311.341 3.073 20 30 DGEDMN CCc1oc(C[N@@H+]2CCc3c(CC#N)cccc3C2)cc1C(=O)[O-] ZINC001597800114 989389378 /nfs/dbraw/zinc/38/93/78/989389378.db2.gz XXJHBZGBYNKICQ-UHFFFAOYSA-N 0 1 324.380 3.165 20 30 DGEDMN CCc1oc(C[N@H+]2CCc3c(CC#N)cccc3C2)cc1C(=O)[O-] ZINC001597800114 989389388 /nfs/dbraw/zinc/38/93/88/989389388.db2.gz XXJHBZGBYNKICQ-UHFFFAOYSA-N 0 1 324.380 3.165 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@H]1CCCC[C@@H]1C(=O)[O-] ZINC001593698458 996164538 /nfs/dbraw/zinc/16/45/38/996164538.db2.gz LAVQIQOVXYKQON-XYPHTWIQSA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001593699819 996172712 /nfs/dbraw/zinc/17/27/12/996172712.db2.gz ZYBYRHBJICGVBQ-MDASCCDHSA-N 0 1 320.392 3.419 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)CCCC(C)C ZINC001717426734 1203641893 /nfs/dbraw/zinc/64/18/93/1203641893.db2.gz KEBWNFSQTSSFNV-STQMWFEESA-N 0 1 319.287 3.204 20 30 DGEDMN CCN(CCC#N)Cc1c(O)cc(Br)cc1F ZINC001233029704 1098206906 /nfs/dbraw/zinc/20/69/06/1098206906.db2.gz GZXWTVDFJXSJCC-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1sc(C)cc1C ZINC001329186777 1104182867 /nfs/dbraw/zinc/18/28/67/1104182867.db2.gz SZFVLJJEISQQFC-STQMWFEESA-N 0 1 314.882 3.143 20 30 DGEDMN Cc1cc(F)cc2c1CN(CCOc1cccc(C#N)c1)CC2 ZINC001169696248 1081631499 /nfs/dbraw/zinc/63/14/99/1081631499.db2.gz DYVSXUQSUMDONU-UHFFFAOYSA-N 0 1 310.372 3.443 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCN[C@H](C)c1nnc(C)s1 ZINC001169705282 1081633987 /nfs/dbraw/zinc/63/39/87/1081633987.db2.gz IBYQAJAPBIJATD-CHWSQXEVSA-N 0 1 324.494 3.138 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001169713897 1081637733 /nfs/dbraw/zinc/63/77/33/1081637733.db2.gz TULOWYRVPIARFK-ZDUSSCGKSA-N 0 1 307.438 3.022 20 30 DGEDMN N#CCC1(N[C@H]2CCCN(C(=O)c3ccccc3)C2)CCCC1 ZINC001169755925 1081648835 /nfs/dbraw/zinc/64/88/35/1081648835.db2.gz FGPMJBDQAIWITM-KRWDZBQOSA-N 0 1 311.429 3.107 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001266320428 1081662793 /nfs/dbraw/zinc/66/27/93/1081662793.db2.gz LCYZOTQCBVICAP-QGZVFWFLSA-N 0 1 308.853 3.490 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001266339094 1081680544 /nfs/dbraw/zinc/68/05/44/1081680544.db2.gz JGFHHPOLTPZBGY-QWHCGFSZSA-N 0 1 319.276 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC[C@H](NCc1ncc(C)o1)C(C)C ZINC001282562399 1081698844 /nfs/dbraw/zinc/69/88/44/1081698844.db2.gz OXMKNMYCQYGTLG-HNNXBMFYSA-N 0 1 321.465 3.158 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(Cl)cc2)CC1 ZINC001266393051 1081737278 /nfs/dbraw/zinc/73/72/78/1081737278.db2.gz PZQTWFGPFYVPRJ-UHFFFAOYSA-N 0 1 318.848 3.290 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@H](NCC(=C)Cl)C2CC2)cc1 ZINC001266432299 1081800443 /nfs/dbraw/zinc/80/04/43/1081800443.db2.gz YOVIKNIIAZRPMM-INIZCTEOSA-N 0 1 304.821 3.180 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](CC)CCCC)C2 ZINC001109539835 1081864406 /nfs/dbraw/zinc/86/44/06/1081864406.db2.gz UZTOPUOQBXLDFF-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccc(Cl)cc1)C2 ZINC001109571051 1081868882 /nfs/dbraw/zinc/86/88/82/1081868882.db2.gz KDMCVVGNBMQHEF-IKGGRYGDSA-N 0 1 318.848 3.180 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccc(C)cc1C)C2 ZINC001109577247 1081869149 /nfs/dbraw/zinc/86/91/49/1081869149.db2.gz ZNYBDMSHRIKGNY-QYZOEREBSA-N 0 1 312.457 3.144 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001266488410 1081889723 /nfs/dbraw/zinc/88/97/23/1081889723.db2.gz IVFDAHSOIOPCNC-WBVHZDCISA-N 0 1 324.424 3.140 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)c2c(F)cccc2Cl)CC1 ZINC001167318201 1081955884 /nfs/dbraw/zinc/95/58/84/1081955884.db2.gz GMKHMTBFMOGRPN-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001112610121 1081972519 /nfs/dbraw/zinc/97/25/19/1081972519.db2.gz OEOUKRUAXUPKOA-UHFFFAOYSA-N 0 1 309.841 3.172 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001266545388 1081977354 /nfs/dbraw/zinc/97/73/54/1081977354.db2.gz OJCSOENKDSTWOF-AWEZNQCLSA-N 0 1 308.372 3.012 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001480883094 1081984559 /nfs/dbraw/zinc/98/45/59/1081984559.db2.gz DPGURVAATKLXOO-OAHLLOKOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001112671488 1081985547 /nfs/dbraw/zinc/98/55/47/1081985547.db2.gz JQJNCNULMVBOLN-QGZVFWFLSA-N 0 1 320.477 3.002 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)CSC)CC1 ZINC001112716212 1081992099 /nfs/dbraw/zinc/99/20/99/1081992099.db2.gz RFMPHDBBAIFGGL-HNNXBMFYSA-N 0 1 300.512 3.100 20 30 DGEDMN C#CCCCCC(=O)N1CCN(CC/C=C/c2ccccc2)CC1 ZINC001112775338 1082002580 /nfs/dbraw/zinc/00/25/80/1082002580.db2.gz QNRWAWLOYMRETG-UKTHLTGXSA-N 0 1 324.468 3.428 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN(CC2CCC(C)(C)CC2)CC1 ZINC001112836915 1082019487 /nfs/dbraw/zinc/01/94/87/1082019487.db2.gz MCUJABPVCBFAKI-UHFFFAOYSA-N 0 1 318.505 3.397 20 30 DGEDMN CC1CCC(CN2CCN(C(=O)C#CC(C)(C)C)CC2)CC1 ZINC001112836544 1082019565 /nfs/dbraw/zinc/01/95/65/1082019565.db2.gz BWJKUAZMVXGVBE-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2sccc2C)CC1 ZINC001112850141 1082022733 /nfs/dbraw/zinc/02/27/33/1082022733.db2.gz ZLJVGQXEWASTPJ-HZPDHXFCSA-N 0 1 318.486 3.270 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001112896288 1082042342 /nfs/dbraw/zinc/04/23/42/1082042342.db2.gz PPLSKGOZUUXNNV-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN COc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)c(C)n1 ZINC001211039007 1082060277 /nfs/dbraw/zinc/06/02/77/1082060277.db2.gz CVWOYTPUXNNPAJ-UHFFFAOYSA-N 0 1 314.414 3.063 20 30 DGEDMN C#CCN(CCC(=O)OCc1ccccc1)Cc1ccccc1 ZINC001170095242 1082078670 /nfs/dbraw/zinc/07/86/70/1082078670.db2.gz PRCRQZUGDXNKFI-UHFFFAOYSA-N 0 1 307.393 3.255 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CC=CCC2)C1 ZINC001086574279 1082105578 /nfs/dbraw/zinc/10/55/78/1082105578.db2.gz JKBUBSRAKGTGNH-CEXWTWQISA-N 0 1 310.441 3.113 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccnc3ccccc32)CC1 ZINC001113076973 1082109538 /nfs/dbraw/zinc/10/95/38/1082109538.db2.gz LOZHNPHWAUTJEE-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN CC(C)CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001266644042 1082110047 /nfs/dbraw/zinc/11/00/47/1082110047.db2.gz ZPPRDYBVDDKBEK-IHWFROFDSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1nccs1)[C@H]2CC ZINC001204546294 1082112596 /nfs/dbraw/zinc/11/25/96/1082112596.db2.gz AAIOGDMQALDBDG-YOEHRIQHSA-N 0 1 319.474 3.065 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccnc2C(F)F)CC1 ZINC001113086025 1082112873 /nfs/dbraw/zinc/11/28/73/1082112873.db2.gz SKTVYTQNUUJHJI-CYBMUJFWSA-N 0 1 323.387 3.132 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(C)c(C)cn2)CC1 ZINC001113133961 1082122750 /nfs/dbraw/zinc/12/27/50/1082122750.db2.gz KNXRYPBRQNQRSM-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN C=CCCCN1CCN(C(=O)CC(C)(C)CC(F)F)CC1 ZINC001113130767 1082123551 /nfs/dbraw/zinc/12/35/51/1082123551.db2.gz JQKSINDFXQRNIF-UHFFFAOYSA-N 0 1 302.409 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)CC(F)(F)F)CC1 ZINC001113142010 1082124187 /nfs/dbraw/zinc/12/41/87/1082124187.db2.gz WXOCMHLRHOEHDE-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C2CC2)CC1 ZINC001113141615 1082124330 /nfs/dbraw/zinc/12/43/30/1082124330.db2.gz BISXRGYJWJPEII-ZWKOTPCHSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(CCCCCCC)CC1 ZINC001113164515 1082130058 /nfs/dbraw/zinc/13/00/58/1082130058.db2.gz QJPJWSAHWSNBIZ-IBGZPJMESA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc3ccc(C)cc3[nH]2)CC1 ZINC001113169424 1082130800 /nfs/dbraw/zinc/13/08/00/1082130800.db2.gz CKAMFKSFRPKRFJ-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(C)cc(F)cc2C)CC1 ZINC001113181959 1082133847 /nfs/dbraw/zinc/13/38/47/1082133847.db2.gz QDMHFEPJVPVUQD-UHFFFAOYSA-N 0 1 304.409 3.167 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc[nH]c2CCC)CC1 ZINC001113241512 1082146536 /nfs/dbraw/zinc/14/65/36/1082146536.db2.gz IXCPDMRUPJLQKO-OAHLLOKOSA-N 0 1 303.450 3.080 20 30 DGEDMN C=CCCCC(=O)N1CCN(CCCc2ccc(C)cc2)CC1 ZINC001113282366 1082163554 /nfs/dbraw/zinc/16/35/54/1082163554.db2.gz YOAKUDZWCRNRLL-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H]2CC[C@@H](C)O2)CC1 ZINC001113295181 1082169049 /nfs/dbraw/zinc/16/90/49/1082169049.db2.gz JLSHNAPNNOGTSZ-SJORKVTESA-N 0 1 310.482 3.059 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1C(C)(C)C ZINC001266736241 1082179123 /nfs/dbraw/zinc/17/91/23/1082179123.db2.gz HQHVFXHQFPKLAJ-UHFFFAOYSA-N 0 1 308.853 3.398 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CCCC(F)(F)C2)CC1 ZINC001113336690 1082180317 /nfs/dbraw/zinc/18/03/17/1082180317.db2.gz IIYZYKCTCLBCIN-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(C(C)(F)F)CC2)CC1 ZINC001113351267 1082183621 /nfs/dbraw/zinc/18/36/21/1082183621.db2.gz UEUHVTXUOMQVOB-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113390804 1082193907 /nfs/dbraw/zinc/19/39/07/1082193907.db2.gz UKPOIEWHQZFGDI-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)c2ccccc2F)CC1 ZINC001113439672 1082211790 /nfs/dbraw/zinc/21/17/90/1082211790.db2.gz NRRNIAPIPVWNOB-JKSUJKDBSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCO[C@H](CC)C2)CC1 ZINC001113502726 1082235830 /nfs/dbraw/zinc/23/58/30/1082235830.db2.gz NXDBCTZOBDFWHU-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccc(C)s2)CC1 ZINC001113534684 1082243901 /nfs/dbraw/zinc/24/39/01/1082243901.db2.gz OHFMGNZYQFJESG-HNNXBMFYSA-N 0 1 306.475 3.270 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001113575677 1082254886 /nfs/dbraw/zinc/25/48/86/1082254886.db2.gz DDIXVJLWRXSCNL-GOSISDBHSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C(F)F)o2)CC1 ZINC001113592559 1082260064 /nfs/dbraw/zinc/26/00/64/1082260064.db2.gz QHINBEOPSIRYIW-GFCCVEGCSA-N 0 1 312.360 3.330 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@H](C)c2ccccc2)CC1 ZINC001113605145 1082261379 /nfs/dbraw/zinc/26/13/79/1082261379.db2.gz HYEUFTBGCRAUKU-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2csc(C)n2)CC1 ZINC001113613694 1082264299 /nfs/dbraw/zinc/26/42/99/1082264299.db2.gz HZIWVAWUUOMSEF-UHFFFAOYSA-N 0 1 323.506 3.109 20 30 DGEDMN C=CCCCN1CCN(C(=O)CC(C)(C)c2ccccc2)CC1 ZINC001113685249 1082278854 /nfs/dbraw/zinc/27/88/54/1082278854.db2.gz AVBSJCRCEQOKFI-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCCC[C@H]2OC)CC1 ZINC001113703681 1082285163 /nfs/dbraw/zinc/28/51/63/1082285163.db2.gz HLOJCBSHHUHIKP-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)CCc2ccccc2)CC1 ZINC001113708903 1082287692 /nfs/dbraw/zinc/28/76/92/1082287692.db2.gz BYZMONJQURBMQP-SFHVURJKSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001266950610 1082368098 /nfs/dbraw/zinc/36/80/98/1082368098.db2.gz OXCSEOCLJJYGLB-BPUTZDHNSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001266952512 1082368156 /nfs/dbraw/zinc/36/81/56/1082368156.db2.gz QNFHVGMQMGCOID-UHFFFAOYSA-N 0 1 308.853 3.037 20 30 DGEDMN C=CCCCC(=O)NCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001480906411 1082376751 /nfs/dbraw/zinc/37/67/51/1082376751.db2.gz VTTDKUITWOLAJZ-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN CCc1ccccc1C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001266963697 1082382431 /nfs/dbraw/zinc/38/24/31/1082382431.db2.gz CPFHIAKNWKHYTI-KRWDZBQOSA-N 0 1 320.436 3.009 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001266994467 1082416446 /nfs/dbraw/zinc/41/64/46/1082416446.db2.gz VDSBFXFTTBFYGL-OAHLLOKOSA-N 0 1 320.864 3.182 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1cccc2ccccc21 ZINC001267004861 1082425168 /nfs/dbraw/zinc/42/51/68/1082425168.db2.gz KWQOIVXVCHRFSH-UHFFFAOYSA-N 0 1 316.832 3.183 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C2CC2)C2CC2)C1 ZINC001086619335 1082450055 /nfs/dbraw/zinc/45/00/55/1082450055.db2.gz LEJFSDKSHLWHQG-RBUKOAKNSA-N 0 1 324.468 3.193 20 30 DGEDMN N#Cc1nc(-c2ccc(Br)cc2F)cc2[nH]cnc21 ZINC001243792408 1082547856 /nfs/dbraw/zinc/54/78/56/1082547856.db2.gz ODEFZVXKKMGCIY-UHFFFAOYSA-N 0 1 317.121 3.398 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C(C)(C)c1ccccc1C ZINC001267149802 1082567650 /nfs/dbraw/zinc/56/76/50/1082567650.db2.gz BSXPBCXUOILUHZ-UHFFFAOYSA-N 0 1 308.853 3.073 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCC2(CC2)C1 ZINC001157965141 1082582940 /nfs/dbraw/zinc/58/29/40/1082582940.db2.gz POCCXDQPKZINSC-ZWKOTPCHSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)[C@H]1CCc2c1cc(F)cc2F ZINC001267213106 1082645263 /nfs/dbraw/zinc/64/52/63/1082645263.db2.gz ZYURGFRAIWENPL-INIZCTEOSA-N 0 1 322.399 3.212 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C[C@@H](C)CC ZINC001267312958 1082780004 /nfs/dbraw/zinc/78/00/04/1082780004.db2.gz TYBYIOOMNRMXDK-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001131973233 1082780077 /nfs/dbraw/zinc/78/00/77/1082780077.db2.gz ZWSSDQJXBROUCH-KBPBESRZSA-N 0 1 318.383 3.102 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCc1ccc(C)c(Cl)c1 ZINC001131990953 1082788207 /nfs/dbraw/zinc/78/82/07/1082788207.db2.gz IKLQMKKJPHAEBC-UHFFFAOYSA-N 0 1 315.244 3.039 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2csc(C)c2)C1 ZINC001132003439 1082791341 /nfs/dbraw/zinc/79/13/41/1082791341.db2.gz VKTQPYKNZILMDD-PBHICJAKSA-N 0 1 318.486 3.329 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccnc2C)C1 ZINC001132064468 1082812043 /nfs/dbraw/zinc/81/20/43/1082812043.db2.gz KBSPLGPTHWFQCM-QAPCUYQASA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cncc(C)c2)C1 ZINC001132065579 1082813187 /nfs/dbraw/zinc/81/31/87/1082813187.db2.gz WJWBPVGYKJWKIV-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCc2ccsc2)CC[C@H]1C ZINC001132114791 1082822086 /nfs/dbraw/zinc/82/20/86/1082822086.db2.gz IKXWTQHKYUQAQV-NVXWUHKLSA-N 0 1 318.486 3.063 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C/Cc2ccccc2)CC[C@H]1C ZINC001132113523 1082822205 /nfs/dbraw/zinc/82/22/05/1082822205.db2.gz CAOLGGRVQLUFDI-NREOILKTSA-N 0 1 324.468 3.168 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132234416 1082843470 /nfs/dbraw/zinc/84/34/70/1082843470.db2.gz BMEXEDHTDZZONX-ZIAGYGMSSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132227422 1082848527 /nfs/dbraw/zinc/84/85/27/1082848527.db2.gz DAXNFBNPIIZMRN-VXGBXAGGSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccnc2C)C1 ZINC001132256349 1082859170 /nfs/dbraw/zinc/85/91/70/1082859170.db2.gz MNENPHMXSQUYSR-MAUKXSAKSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCc2ccccc2)CC[C@H]1C ZINC001132260791 1082860609 /nfs/dbraw/zinc/86/06/09/1082860609.db2.gz HWLHXRINUZNVSG-MJGOQNOKSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2c(C)noc2C)C1 ZINC001132255639 1082864950 /nfs/dbraw/zinc/86/49/50/1082864950.db2.gz CPUJSQVGPCLGRK-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132302380 1082870951 /nfs/dbraw/zinc/87/09/51/1082870951.db2.gz QXPMTLTVZUGUSK-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN[C@@H](C)c1cccc(Cl)c1F ZINC001132391816 1082896476 /nfs/dbraw/zinc/89/64/76/1082896476.db2.gz OUDZINMEULYRII-NSHDSACASA-N 0 1 312.816 3.458 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCNCC#Cc2ccccc2)c(C)c1 ZINC001267463407 1082909869 /nfs/dbraw/zinc/90/98/69/1082909869.db2.gz XCFOZLCBLBCTTR-UHFFFAOYSA-N 0 1 320.436 3.017 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CC[C@H](C)N(CC=C)C2)CCCCC1 ZINC001132530576 1082935005 /nfs/dbraw/zinc/93/50/05/1082935005.db2.gz ZJIWHVWPCTVDLJ-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)CC[C@@H]1C ZINC001132541452 1082937283 /nfs/dbraw/zinc/93/72/83/1082937283.db2.gz FRAHAEZOHIFVIP-STQMWFEESA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(C)c2)C1 ZINC001267487473 1082943681 /nfs/dbraw/zinc/94/36/81/1082943681.db2.gz FAMKCENQGKMZHP-SFHVURJKSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1sccc1Cl ZINC001132569224 1082948248 /nfs/dbraw/zinc/94/82/48/1082948248.db2.gz MVSLAQBQIRETSY-WDEREUQCSA-N 0 1 300.855 3.066 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001267496661 1082955273 /nfs/dbraw/zinc/95/52/73/1082955273.db2.gz XWSLUXASHIQAHE-PXDRNWIDSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001132650905 1082972301 /nfs/dbraw/zinc/97/23/01/1082972301.db2.gz QBGWRPFEQRVTEX-HNNXBMFYSA-N 0 1 303.450 3.013 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001132659599 1082973765 /nfs/dbraw/zinc/97/37/65/1082973765.db2.gz BHMKOKDSCHGJAV-WBMJQRKESA-N 0 1 322.399 3.260 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(Cl)o1)c1ccccc1 ZINC001267518365 1082977663 /nfs/dbraw/zinc/97/76/63/1082977663.db2.gz WGBQFOBQBFQZFI-CQSZACIVSA-N 0 1 316.788 3.017 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1c(C)cc(F)cc1C)c1ccccc1 ZINC001267518802 1082978310 /nfs/dbraw/zinc/97/83/10/1082978310.db2.gz CSYVBXYEEUAURL-SFHVURJKSA-N 0 1 324.399 3.136 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001267542038 1083008464 /nfs/dbraw/zinc/00/84/64/1083008464.db2.gz NPTRVTFFKYCYBF-HNNXBMFYSA-N 0 1 319.449 3.219 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C(C)C)on2)C1 ZINC001267543977 1083012326 /nfs/dbraw/zinc/01/23/26/1083012326.db2.gz LLUXNCIRXWRJGV-OAHLLOKOSA-N 0 1 319.449 3.301 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)C)Cc1ccc(Cl)c(F)c1 ZINC001480974396 1083056342 /nfs/dbraw/zinc/05/63/42/1083056342.db2.gz YFCPGSKPWCFARV-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2oc(C(C)C)nc2C)CC1 ZINC001133362723 1083105732 /nfs/dbraw/zinc/10/57/32/1083105732.db2.gz SJENOUFQBGPUSU-UHFFFAOYSA-N 0 1 319.449 3.059 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001133378736 1083109950 /nfs/dbraw/zinc/10/99/50/1083109950.db2.gz LMBSJHXKCMLLMX-GOSISDBHSA-N 0 1 303.450 3.013 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001133381056 1083110839 /nfs/dbraw/zinc/11/08/39/1083110839.db2.gz UUDSXVJYOVFBNS-QGZVFWFLSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@H](C)SC)CC1 ZINC001267598860 1083132958 /nfs/dbraw/zinc/13/29/58/1083132958.db2.gz UIWSZSNBRAZQJJ-ZDUSSCGKSA-N 0 1 318.914 3.051 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001481053334 1083136610 /nfs/dbraw/zinc/13/66/10/1083136610.db2.gz GRWBRPQBNWPMMZ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001112014073 1083178284 /nfs/dbraw/zinc/17/82/84/1083178284.db2.gz KRBQSTOGFPAQNR-ICSRJNTNSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001112014073 1083178288 /nfs/dbraw/zinc/17/82/88/1083178288.db2.gz KRBQSTOGFPAQNR-ICSRJNTNSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1CCN(Cc2ccccc2C#N)C1 ZINC001481104784 1083179090 /nfs/dbraw/zinc/17/90/90/1083179090.db2.gz FBKNFYOSOVYNDB-QOTSWMAJSA-N 0 1 323.440 3.019 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(CCC)n1 ZINC001133887984 1083209776 /nfs/dbraw/zinc/20/97/76/1083209776.db2.gz DRRWMILFSWGRHM-ZIAGYGMSSA-N 0 1 323.506 3.435 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1)C1CC1 ZINC001481188750 1083233646 /nfs/dbraw/zinc/23/36/46/1083233646.db2.gz SCQQOAOZCDKVHZ-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001267633924 1083236726 /nfs/dbraw/zinc/23/67/26/1083236726.db2.gz VCOCOLYPCLYPRQ-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H]1CCCCC1(C)C)C1CC1 ZINC001481198442 1083241185 /nfs/dbraw/zinc/24/11/85/1083241185.db2.gz FZQQVVDBFZENCW-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(c3ccccc3)CCC2)C1 ZINC001267652343 1083246527 /nfs/dbraw/zinc/24/65/27/1083246527.db2.gz PGTHMZVIFDUWEE-MRXNPFEDSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001267654294 1083248052 /nfs/dbraw/zinc/24/80/52/1083248052.db2.gz SBESBERINULBQT-MOXGXCLJSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC001267657383 1083248905 /nfs/dbraw/zinc/24/89/05/1083248905.db2.gz SIWJBCRTENUFRP-LBPRGKRZSA-N 0 1 324.827 3.177 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2CC3(CCC3)C2)C1 ZINC001267672103 1083257153 /nfs/dbraw/zinc/25/71/53/1083257153.db2.gz ZFENCBPUVMLCFB-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CN(C)Cc2nc(C)cs2)C1 ZINC001267684689 1083263228 /nfs/dbraw/zinc/26/32/28/1083263228.db2.gz RZJOOXURBVKEBT-HNNXBMFYSA-N 0 1 321.490 3.088 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC(C)C)c1ccccc1 ZINC001267712438 1083276775 /nfs/dbraw/zinc/27/67/75/1083276775.db2.gz AEHGMVXQOQMLCP-SLFFLAALSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1nsc2ccccc12 ZINC001134412140 1083285649 /nfs/dbraw/zinc/28/56/49/1083285649.db2.gz WGSOZYSHLVLATH-UHFFFAOYSA-N 0 1 317.458 3.104 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@@H](C)NCc1coc(C)n1 ZINC001134419764 1083287658 /nfs/dbraw/zinc/28/76/58/1083287658.db2.gz ZVBDYPYNSFSMFM-KGLIPLIRSA-N 0 1 319.449 3.124 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001134470139 1083294446 /nfs/dbraw/zinc/29/44/46/1083294446.db2.gz NPSICNJXLZVHIB-QWHCGFSZSA-N 0 1 307.438 3.021 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@@H]2CCc3c2cccc3F)C1 ZINC001267747165 1083313645 /nfs/dbraw/zinc/31/36/45/1083313645.db2.gz MFUOWAIQJXQFPN-CXAGYDPISA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC001267758177 1083323795 /nfs/dbraw/zinc/32/37/95/1083323795.db2.gz IUQAUNBXLNALEX-HNNXBMFYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001267763587 1083326957 /nfs/dbraw/zinc/32/69/57/1083326957.db2.gz OFOLDTYPAGZKSR-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001267769660 1083332552 /nfs/dbraw/zinc/33/25/52/1083332552.db2.gz CQJXUDBARQIPCT-YPNIWSFNSA-N 0 1 324.468 3.426 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@@H](c3ccncc3)C2)c1Cl ZINC001141112050 1083337902 /nfs/dbraw/zinc/33/79/02/1083337902.db2.gz NFDWADIFMKYDHL-LLVKDONJSA-N 0 1 318.833 3.240 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001267789456 1083348287 /nfs/dbraw/zinc/34/82/87/1083348287.db2.gz FUBDLELLFOXWSN-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC/C=C/c2ccccc2)C1 ZINC001267791940 1083350537 /nfs/dbraw/zinc/35/05/37/1083350537.db2.gz RQUIVEBEKZMZNM-BEBFYNPSSA-N 0 1 310.441 3.036 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NCc1nc(C)sc1C ZINC001267808778 1083357295 /nfs/dbraw/zinc/35/72/95/1083357295.db2.gz XWQSPPKUBLJSMH-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1ccncc1Cl ZINC001267807925 1083358733 /nfs/dbraw/zinc/35/87/33/1083358733.db2.gz HOCYQFGMJVIHIW-AWEZNQCLSA-N 0 1 309.841 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCc2cc(F)ccc21 ZINC001267814093 1083364137 /nfs/dbraw/zinc/36/41/37/1083364137.db2.gz XAJFLLOLVNRZJB-HOCLYGCPSA-N 0 1 324.827 3.092 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001267820333 1083379177 /nfs/dbraw/zinc/37/91/77/1083379177.db2.gz POXJUPBFZIYPPQ-RYUDHWBXSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCC[C@@H]1CCC ZINC001273468606 1083388269 /nfs/dbraw/zinc/38/82/69/1083388269.db2.gz SJAWIBZYOAXIAQ-LSDHHAIUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](N[C@@H](C)c2ncc(C)o2)CC1 ZINC001267928775 1083585483 /nfs/dbraw/zinc/58/54/83/1083585483.db2.gz DXXOUEFLOYYDFA-JYJNAYRXSA-N 0 1 319.449 3.417 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F ZINC001182361427 1083701399 /nfs/dbraw/zinc/70/13/99/1083701399.db2.gz RHSWDXYQAOUTFB-NXEZZACHSA-N 0 1 320.274 3.042 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)N(C)CCNCc1ccccc1C#N ZINC001481301405 1083727102 /nfs/dbraw/zinc/72/71/02/1083727102.db2.gz IBSRNNFEEJIJGH-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2cc(C)c(C)cc2o1 ZINC001481304349 1083735597 /nfs/dbraw/zinc/73/55/97/1083735597.db2.gz QSLLJAADQSFIJO-UHFFFAOYSA-N 0 1 320.820 3.464 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(CCC)c(C)s1 ZINC001481312095 1083749332 /nfs/dbraw/zinc/74/93/32/1083749332.db2.gz ALDPUFJIDLGJBS-UHFFFAOYSA-N 0 1 314.882 3.423 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(C)(C)C)s1 ZINC001481318652 1083759998 /nfs/dbraw/zinc/75/99/98/1083759998.db2.gz FRYSAEUXDQTYHW-UHFFFAOYSA-N 0 1 314.882 3.460 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H](C)C(F)(F)F)C(C)C ZINC001481353652 1083808649 /nfs/dbraw/zinc/80/86/49/1083808649.db2.gz NALFHQTYPOZEFM-LLVKDONJSA-N 0 1 314.779 3.154 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)CC)c1ccc(C(C)C)cc1 ZINC001481357474 1083817068 /nfs/dbraw/zinc/81/70/68/1083817068.db2.gz DVAGEFNVITUOCC-QAPCUYQASA-N 0 1 300.446 3.236 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182841739 1083820367 /nfs/dbraw/zinc/82/03/67/1083820367.db2.gz WKQYCUNBZHXISB-UHFFFAOYSA-N 0 1 316.320 3.362 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cccc(C2CC2)c1)c1ccccc1 ZINC001481373799 1083833717 /nfs/dbraw/zinc/83/37/17/1083833717.db2.gz CZCCDXCYNLUODE-HXUWFJFHSA-N 0 1 318.420 3.258 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H](C)CC(C)C)c1ccccc1 ZINC001481373103 1083834275 /nfs/dbraw/zinc/83/42/75/1083834275.db2.gz NIVBZTORQHCVKK-SJLPKXTDSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001182898518 1083835582 /nfs/dbraw/zinc/83/55/82/1083835582.db2.gz ZPHYZPQSJQNTED-OAHLLOKOSA-N 0 1 304.409 3.119 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@H]2C=CCCC2)C1 ZINC001481400005 1083867882 /nfs/dbraw/zinc/86/78/82/1083867882.db2.gz CQXLSQRVVSGWBX-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C2CCC(F)(F)CC2)C1 ZINC001481409098 1083880346 /nfs/dbraw/zinc/88/03/46/1083880346.db2.gz WNMWLFKJJHRDGS-OAHLLOKOSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2ncoc2C(C)C)C1 ZINC001481425226 1083902140 /nfs/dbraw/zinc/90/21/40/1083902140.db2.gz VKIQOLPPXUUSFR-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001268035197 1083925527 /nfs/dbraw/zinc/92/55/27/1083925527.db2.gz HVKRYVXHCQIFSV-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCc2ccsc2)C1 ZINC001183480749 1083954446 /nfs/dbraw/zinc/95/44/46/1083954446.db2.gz AMJMLVFFKCPWLQ-CQSZACIVSA-N 0 1 312.866 3.014 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC2CC(C)(C)C2)C1 ZINC001481494077 1084010717 /nfs/dbraw/zinc/01/07/17/1084010717.db2.gz MDUFJNABRVVENB-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2CCC(F)CC2)C1 ZINC001481496465 1084012411 /nfs/dbraw/zinc/01/24/11/1084012411.db2.gz CSNMJRWXZQSULL-NFOMZHRRSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)C[N@@H+](C)CC1CCN(C(=O)C2(C)CC=CC2)CC1 ZINC001481518540 1084058537 /nfs/dbraw/zinc/05/85/37/1084058537.db2.gz XRORRUAYLWHBTN-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(C)CC=CC2)CC1 ZINC001481518540 1084058541 /nfs/dbraw/zinc/05/85/41/1084058541.db2.gz XRORRUAYLWHBTN-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001268093456 1084151870 /nfs/dbraw/zinc/15/18/70/1084151870.db2.gz QDXJSJHCAJFSRV-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(c2cccc(C)c2)CC1 ZINC001268098511 1084166654 /nfs/dbraw/zinc/16/66/54/1084166654.db2.gz SNJCKLKHDFAXRH-OAHLLOKOSA-N 0 1 320.864 3.216 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2ocnc2C)CCCC1 ZINC001268101925 1084170824 /nfs/dbraw/zinc/17/08/24/1084170824.db2.gz NAIDMPHZDMQALG-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1CCC(F)(F)C1 ZINC001268105962 1084175181 /nfs/dbraw/zinc/17/51/81/1084175181.db2.gz OLAUBZNSVJPNCS-QWHCGFSZSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C(C)(C)C(F)F ZINC001268111229 1084185319 /nfs/dbraw/zinc/18/53/19/1084185319.db2.gz NZSIKWOKZJTQGB-NSHDSACASA-N 0 1 310.816 3.151 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001481586216 1084225149 /nfs/dbraw/zinc/22/51/49/1084225149.db2.gz AAYZXTDSPWURLE-LBPRGKRZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001481589863 1084230549 /nfs/dbraw/zinc/23/05/49/1084230549.db2.gz KXAUGOXHOQTQLI-UONOGXRCSA-N 0 1 304.409 3.084 20 30 DGEDMN N#Cc1cccc2c(CN3CC4(C3)C[C@@H](F)CS4)c[nH]c21 ZINC001139705811 1084253972 /nfs/dbraw/zinc/25/39/72/1084253972.db2.gz FPGVGRHUEAHFIM-CYBMUJFWSA-N 0 1 301.390 3.069 20 30 DGEDMN N#CC1(c2cccnc2NC=N)CCN(Cc2ccccc2)CC1 ZINC001167863984 1084269809 /nfs/dbraw/zinc/26/98/09/1084269809.db2.gz KAHSQRJTBOUQBD-UHFFFAOYSA-N 0 1 319.412 3.158 20 30 DGEDMN CC(C)(C)CCN1CCN(c2cc(C#N)sc2[N+](=O)[O-])CC1 ZINC001184972475 1084301158 /nfs/dbraw/zinc/30/11/58/1084301158.db2.gz FVGNYQPJDMVPMY-UHFFFAOYSA-N 0 1 322.434 3.086 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001268195558 1084307662 /nfs/dbraw/zinc/30/76/62/1084307662.db2.gz WCFUDMILXGXASV-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C[C@H](Cc1ccccc1)c1cc(C(=O)N2CCCC[C@H]2C#N)n[nH]1 ZINC001184984127 1084314230 /nfs/dbraw/zinc/31/42/30/1084314230.db2.gz KLYGTJWHQDPFHX-ZBFHGGJFSA-N 0 1 322.412 3.274 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001185056404 1084323651 /nfs/dbraw/zinc/32/36/51/1084323651.db2.gz LUUOXHJRSBMHLR-UKRRQHHQSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001268224637 1084356127 /nfs/dbraw/zinc/35/61/27/1084356127.db2.gz NNFIIIDTMNDTKG-OALUTQOASA-N 0 1 314.473 3.412 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001268239928 1084389202 /nfs/dbraw/zinc/38/92/02/1084389202.db2.gz SMVHOHYCFCDLCQ-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC001185295810 1084408256 /nfs/dbraw/zinc/40/82/56/1084408256.db2.gz DTQBUCPJZJYCRL-OAHLLOKOSA-N 0 1 306.837 3.387 20 30 DGEDMN CC1(C)CC[C@@H](C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)C1 ZINC001185503018 1084458339 /nfs/dbraw/zinc/45/83/39/1084458339.db2.gz GEYDGBXRQJWREW-RTBURBONSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(F)CCCC1 ZINC001481674846 1084466965 /nfs/dbraw/zinc/46/69/65/1084466965.db2.gz ZCRSHZVWXGXHOD-HOTGVXAUSA-N 0 1 308.441 3.300 20 30 DGEDMN C=CCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2c(c1)CCCC2 ZINC001481681006 1084469346 /nfs/dbraw/zinc/46/93/46/1084469346.db2.gz UAJDVLOPTRUXRR-PMACEKPBSA-N 0 1 324.468 3.430 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@@H](CC)c2ccc(F)cc2)C1 ZINC001481687660 1084478155 /nfs/dbraw/zinc/47/81/55/1084478155.db2.gz QJHULYIFAPLQHH-ROUUACIJSA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001481691825 1084479985 /nfs/dbraw/zinc/47/99/85/1084479985.db2.gz HTYBLXLBKCXRPQ-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001481708224 1084502488 /nfs/dbraw/zinc/50/24/88/1084502488.db2.gz QBFVANFFYWFCAB-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CC[N@@H+](Cc2cccc(F)c2)C1 ZINC001481735136 1084550628 /nfs/dbraw/zinc/55/06/28/1084550628.db2.gz LCSAUJAQYNIACC-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001481735136 1084550637 /nfs/dbraw/zinc/55/06/37/1084550637.db2.gz LCSAUJAQYNIACC-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CCC)c2ccccc2)C1 ZINC001481750265 1084574131 /nfs/dbraw/zinc/57/41/31/1084574131.db2.gz JUDGXHYSHNKFHH-QZTJIDSGSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3ncccc32)C1 ZINC001268433845 1084596095 /nfs/dbraw/zinc/59/60/95/1084596095.db2.gz VZKOCYRBZDTREF-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCCCC(F)(F)F ZINC001110378714 1084628688 /nfs/dbraw/zinc/62/86/88/1084628688.db2.gz CSRFOPKLFPWJJG-MCIONIFRSA-N 0 1 318.383 3.407 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)C=C(CC)CC ZINC001481802484 1084642194 /nfs/dbraw/zinc/64/21/94/1084642194.db2.gz UFVNOBHFKPNQNB-ZDUSSCGKSA-N 0 1 317.271 3.126 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001481809909 1084647495 /nfs/dbraw/zinc/64/74/95/1084647495.db2.gz NIZRUWJVYKELPX-OLXGIWCBSA-N 0 1 317.408 3.038 20 30 DGEDMN CC(C)(C)CCC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001186459590 1084657324 /nfs/dbraw/zinc/65/73/24/1084657324.db2.gz XZRQFPNHJJOJLN-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1cc(F)ccc1F ZINC001481839742 1084662683 /nfs/dbraw/zinc/66/26/83/1084662683.db2.gz BFABPVJKGINUHU-AWEZNQCLSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(C(F)F)CCCC1 ZINC001481844553 1084663773 /nfs/dbraw/zinc/66/37/73/1084663773.db2.gz OYKFJFGUMMFHNY-NSHDSACASA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)C(C)(C)CCC ZINC001481849853 1084668446 /nfs/dbraw/zinc/66/84/46/1084668446.db2.gz OBSAWQZTUCWCIB-GFCCVEGCSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001268475200 1084687807 /nfs/dbraw/zinc/68/78/07/1084687807.db2.gz YYYPZGXYJIDMJF-OAHLLOKOSA-N 0 1 310.441 3.415 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(C)C[C@H]2Cc2ccccc2)c1 ZINC001186921342 1084729297 /nfs/dbraw/zinc/72/92/97/1084729297.db2.gz HAGRKOIUEUWYFL-QGZVFWFLSA-N 0 1 323.415 3.369 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CCNCc2nccs2)C1 ZINC001481977299 1084741500 /nfs/dbraw/zinc/74/15/00/1084741500.db2.gz NPLKGHSNTPLUPC-HNNXBMFYSA-N 0 1 321.490 3.218 20 30 DGEDMN O=C(CC1=CCCCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001268520419 1084781886 /nfs/dbraw/zinc/78/18/86/1084781886.db2.gz CEDMAOMWCAUEQH-FPLPWBNLSA-N 0 1 322.452 3.191 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CC2CC2)C1 ZINC001087461301 1084825709 /nfs/dbraw/zinc/82/57/09/1084825709.db2.gz AZTYPKPWJAQBQU-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)CC1=CCCCC1 ZINC001268638872 1084908141 /nfs/dbraw/zinc/90/81/41/1084908141.db2.gz BNHMGAZAQWWQEG-OAHLLOKOSA-N 0 1 311.429 3.043 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C[N@@H+](C)Cc1cccc(Cl)c1 ZINC001268730825 1084994381 /nfs/dbraw/zinc/99/43/81/1084994381.db2.gz WLDDPKOLGZFFLF-CQSZACIVSA-N 0 1 306.837 3.080 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001268736658 1085001035 /nfs/dbraw/zinc/00/10/35/1085001035.db2.gz SCZFXPQWVACXOY-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001268739386 1085005076 /nfs/dbraw/zinc/00/50/76/1085005076.db2.gz AEGBHCURKBLSES-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CC)Cc1ccccc1C ZINC001268753696 1085028561 /nfs/dbraw/zinc/02/85/61/1085028561.db2.gz WURNCVNIZTUPFZ-ZWKOTPCHSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1CCC(CCCC)CC1 ZINC001268756957 1085032013 /nfs/dbraw/zinc/03/20/13/1085032013.db2.gz QBHMULCMOPZCCI-WWDZGPRUSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](C)CCCc1ccccc1 ZINC001268759613 1085036628 /nfs/dbraw/zinc/03/66/28/1085036628.db2.gz MSBUYJARZCMIGX-ROUUACIJSA-N 0 1 314.473 3.105 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)C1 ZINC001268887691 1085073819 /nfs/dbraw/zinc/07/38/19/1085073819.db2.gz DLTICOKEKWFHHT-HOTGVXAUSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001269056778 1085107631 /nfs/dbraw/zinc/10/76/31/1085107631.db2.gz LHPUMAQLSCGXQC-RWERQFNSSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001482203421 1085109945 /nfs/dbraw/zinc/10/99/45/1085109945.db2.gz RPRVDTBRRVLSOK-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001269221419 1085214598 /nfs/dbraw/zinc/21/45/98/1085214598.db2.gz JWWWESQGJOOPJQ-RHSMWYFYSA-N 0 1 304.409 3.386 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001269233648 1085225455 /nfs/dbraw/zinc/22/54/55/1085225455.db2.gz KJSIJKHUKCMLHL-CRAIPNDOSA-N 0 1 316.420 3.223 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001269235695 1085226654 /nfs/dbraw/zinc/22/66/54/1085226654.db2.gz NMUQDUKLDDAAEE-MSOLQXFVSA-N 0 1 312.457 3.378 20 30 DGEDMN C[C@H](c1ccccc1)[N@H+]1CC[C@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001269241198 1085230137 /nfs/dbraw/zinc/23/01/37/1085230137.db2.gz SCDXKCIUEKYWQF-AEFFLSMTSA-N 0 1 312.457 3.330 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1[C@H]1CCc2ccccc21 ZINC001269271532 1085255606 /nfs/dbraw/zinc/25/56/06/1085255606.db2.gz ATXHDSJPPRZORF-WMZOPIPTSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001269272270 1085258394 /nfs/dbraw/zinc/25/83/94/1085258394.db2.gz JSDADDIEYDIURT-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001269275011 1085259332 /nfs/dbraw/zinc/25/93/32/1085259332.db2.gz QDRLOUHGLLCING-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001269345436 1085321950 /nfs/dbraw/zinc/32/19/50/1085321950.db2.gz QVMXDJUUYHABAX-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1cccc(C)c1 ZINC001269442970 1085389514 /nfs/dbraw/zinc/38/95/14/1085389514.db2.gz POHFGZJEQBMXQV-INIZCTEOSA-N 0 1 308.853 3.165 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cccc(C(C)C)n2)CC1 ZINC001269465150 1085400446 /nfs/dbraw/zinc/40/04/46/1085400446.db2.gz VEILSZPYYISWRA-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](CC)NCc2c(C)noc2C)CC1 ZINC001269466985 1085401799 /nfs/dbraw/zinc/40/17/99/1085401799.db2.gz RTVKOIWJWMCGMA-MRXNPFEDSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2nccs2)CCCC1 ZINC001482226868 1085439306 /nfs/dbraw/zinc/43/93/06/1085439306.db2.gz YFVFPPWYKMBZHG-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](CC)CC(F)F)C1 ZINC001269800691 1085569796 /nfs/dbraw/zinc/56/97/96/1085569796.db2.gz OTSFJWCCDUFXIL-CHWSQXEVSA-N 0 1 302.409 3.165 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)cc(F)c2)C1 ZINC001269849464 1085584799 /nfs/dbraw/zinc/58/47/99/1085584799.db2.gz SGMFYIIRXPSIJG-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)c2cc(C)n(C)c2C)C1 ZINC001269886272 1085599191 /nfs/dbraw/zinc/59/91/91/1085599191.db2.gz CQFUYJHMERXEHF-UHFFFAOYSA-N 0 1 319.493 3.369 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001482282322 1085784533 /nfs/dbraw/zinc/78/45/33/1085784533.db2.gz YLHPTLSHODOQIO-KGLIPLIRSA-N 0 1 322.399 3.122 20 30 DGEDMN C#CCN(C(=O)[C@H](CCCC)C(C)C)C1CCN(CC#C)CC1 ZINC001270364797 1085785073 /nfs/dbraw/zinc/78/50/73/1085785073.db2.gz UZVAGVJYDRGUKU-LJQANCHMSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C1CCCC1 ZINC001270443990 1085816133 /nfs/dbraw/zinc/81/61/33/1085816133.db2.gz XBEGLFWKUMFQGQ-ARFHVFGLSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001482291267 1085825501 /nfs/dbraw/zinc/82/55/01/1085825501.db2.gz DPPQEJCOWPEQAZ-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001270576852 1085867698 /nfs/dbraw/zinc/86/76/98/1085867698.db2.gz MVGRPYKPTAREBX-HNAYVOBHSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001482299095 1085876465 /nfs/dbraw/zinc/87/64/65/1085876465.db2.gz LHWVXMBSSWOFJJ-KWCYVHTRSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(C)CCC(F)(F)CC1 ZINC001482301736 1085888756 /nfs/dbraw/zinc/88/87/56/1085888756.db2.gz SSABNKOSAYMPMJ-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001270650921 1085919674 /nfs/dbraw/zinc/91/96/74/1085919674.db2.gz YVBNQJCEBWYPRQ-WIYYLYMNSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001270650921 1085919680 /nfs/dbraw/zinc/91/96/80/1085919680.db2.gz YVBNQJCEBWYPRQ-WIYYLYMNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc2cnccc21 ZINC001482364435 1086079544 /nfs/dbraw/zinc/07/95/44/1086079544.db2.gz JDOASCPAVPBYJE-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)c1ccccc1C ZINC001482368538 1086085592 /nfs/dbraw/zinc/08/55/92/1086085592.db2.gz PRZNLXYXFNFKRW-CABCVRRESA-N 0 1 308.853 3.288 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)CC(C)C)CC2 ZINC001270864465 1086086715 /nfs/dbraw/zinc/08/67/15/1086086715.db2.gz WKQRYFOWNQVISC-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2conc2C)CCCC1 ZINC001482375430 1086096387 /nfs/dbraw/zinc/09/63/87/1086096387.db2.gz YNEJENYNQKBQDQ-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CC/C=C\c2ccccc2)C1 ZINC001270991916 1086233781 /nfs/dbraw/zinc/23/37/81/1086233781.db2.gz QWPLWFLHYJXJLI-HEEFDWFXSA-N 0 1 324.468 3.332 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2c(C)cccc2Cl)C1 ZINC001270991489 1086234554 /nfs/dbraw/zinc/23/45/54/1086234554.db2.gz OSECXHIMMSMDFX-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1cccc(C)c1 ZINC001271052663 1086266561 /nfs/dbraw/zinc/26/65/61/1086266561.db2.gz WUJTVLLXVUXSQE-HNNXBMFYSA-N 0 1 308.853 3.117 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@](C)(CC)CCC)C1 ZINC001271099417 1086290612 /nfs/dbraw/zinc/29/06/12/1086290612.db2.gz CMQBYKNTQADMGZ-GOEBONIOSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001271100671 1086294192 /nfs/dbraw/zinc/29/41/92/1086294192.db2.gz MNJZKCSHHDJTDB-CABCVRRESA-N 0 1 314.420 3.238 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001271100673 1086294406 /nfs/dbraw/zinc/29/44/06/1086294406.db2.gz MNJZKCSHHDJTDB-GJZGRUSLSA-N 0 1 314.420 3.238 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(C)=C(C)C2)[C@H]1CC ZINC001087582920 1086317199 /nfs/dbraw/zinc/31/71/99/1086317199.db2.gz ICXSFQMAMCBCJS-OKZBNKHCSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2nc(C(C)(C)C)cs2)[C@H]1CC ZINC001087611536 1086325939 /nfs/dbraw/zinc/32/59/39/1086325939.db2.gz USTFGDNFRFKEJI-QWHCGFSZSA-N 0 1 321.490 3.209 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)[C@H]1CC ZINC001087626790 1086333027 /nfs/dbraw/zinc/33/30/27/1086333027.db2.gz SGBZGGIEJLVFCU-ZWKOTPCHSA-N 0 1 323.440 3.001 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CCCCCCC2)[C@H]1CC ZINC001087652712 1086343679 /nfs/dbraw/zinc/34/36/79/1086343679.db2.gz HUWKLFGHWKRBOY-ZWKOTPCHSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@H]1CC ZINC001087742518 1086389660 /nfs/dbraw/zinc/38/96/60/1086389660.db2.gz QAADCYHSNVROSU-JKSUJKDBSA-N 0 1 316.445 3.101 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(F)cccc2Cl)[C@H]1CC ZINC001087806782 1086420129 /nfs/dbraw/zinc/42/01/29/1086420129.db2.gz ZBFNIOGOLVOPAX-LSDHHAIUSA-N 0 1 322.811 3.085 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C=C3CCCCC3)C[C@@H]2C1 ZINC001087902949 1086475613 /nfs/dbraw/zinc/47/56/13/1086475613.db2.gz VSNWDKPXUBRZPS-SJORKVTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)=C3CCCC3)C[C@@H]2C1 ZINC001087930690 1086487431 /nfs/dbraw/zinc/48/74/31/1086487431.db2.gz TUIBBMHUEVQZON-SJORKVTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccoc1C ZINC001482480878 1086536468 /nfs/dbraw/zinc/53/64/68/1086536468.db2.gz HKMLFZNXAAGZRA-ABAIWWIYSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)Cc1ccccc1 ZINC001271714958 1086709871 /nfs/dbraw/zinc/70/98/71/1086709871.db2.gz LYRUQIHMDOJSSG-YOEHRIQHSA-N 0 1 320.864 3.198 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1csc(C)n1)C(C)C ZINC001482533702 1086789406 /nfs/dbraw/zinc/78/94/06/1086789406.db2.gz NZGYOYKCCFGXRS-HNNXBMFYSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1nc(C)cs1)C(C)C ZINC001482555376 1086882158 /nfs/dbraw/zinc/88/21/58/1086882158.db2.gz UMZSNPVMYRJPTI-RHSMWYFYSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(F)F)c2F)[C@H]1C ZINC001088572742 1087075599 /nfs/dbraw/zinc/07/55/99/1087075599.db2.gz FXWIMBRQAVVWQN-MFKMUULPSA-N 0 1 312.335 3.142 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1cccc(F)c1 ZINC001482617510 1087082517 /nfs/dbraw/zinc/08/25/17/1087082517.db2.gz IIQLRCGUNQJRBS-UHFFFAOYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001482660282 1087104706 /nfs/dbraw/zinc/10/47/06/1087104706.db2.gz XCXQLNBWNRIFDO-DLBZAZTESA-N 0 1 322.880 3.430 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(CCC)CC3)CC[C@@H]21 ZINC001482662298 1087105906 /nfs/dbraw/zinc/10/59/06/1087105906.db2.gz JNSGSOCCZRMTPJ-CABCVRRESA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1sc(C)cc1C ZINC001482966065 1087202930 /nfs/dbraw/zinc/20/29/30/1087202930.db2.gz TXLHJEGEUJBTGX-UHFFFAOYSA-N 0 1 314.882 3.098 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccsc2)[C@H]1C ZINC001088660898 1087247976 /nfs/dbraw/zinc/24/79/76/1087247976.db2.gz MFKUZJCWEQUCJH-BVUBDWEXSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCCC(C)C)CC[C@@H]21 ZINC001272216031 1087315950 /nfs/dbraw/zinc/31/59/50/1087315950.db2.gz NPHZIEAMHHLIKF-CVEARBPZSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cc(Cl)ccc3o2)[C@H]1C ZINC001088718218 1087318545 /nfs/dbraw/zinc/31/85/45/1087318545.db2.gz YBMDMQZHCYBBBG-RISCZKNCSA-N 0 1 318.804 3.465 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1cc(F)ccc1F ZINC001483064275 1087323422 /nfs/dbraw/zinc/32/34/22/1087323422.db2.gz IYOVETAGSLHXKT-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001483077450 1087332703 /nfs/dbraw/zinc/33/27/03/1087332703.db2.gz SLSLPIWXZFHZMV-MEWZEZIVSA-N 0 1 319.474 3.064 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001483077447 1087332911 /nfs/dbraw/zinc/33/29/11/1087332911.db2.gz SLSLPIWXZFHZMV-BEVHLOIGSA-N 0 1 319.474 3.064 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](F)CC1CCCCC1 ZINC001483083369 1087334329 /nfs/dbraw/zinc/33/43/29/1087334329.db2.gz IATDNUSGWVLXFR-IYKSTZQJSA-N 0 1 316.848 3.309 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H](C)c1ccccc1 ZINC001483089265 1087337162 /nfs/dbraw/zinc/33/71/62/1087337162.db2.gz GIIVFRJWPPYCDS-PSKZRQQASA-N 0 1 306.837 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)[C@H]1C ZINC001088793126 1087357371 /nfs/dbraw/zinc/35/73/71/1087357371.db2.gz VKXYLTCXNKJAEM-CJNGLKHVSA-N 0 1 306.837 3.335 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001483132593 1087396722 /nfs/dbraw/zinc/39/67/22/1087396722.db2.gz UMBCPLOLHYXHKX-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN CC(C)CCCC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001483169177 1087409748 /nfs/dbraw/zinc/40/97/48/1087409748.db2.gz OXPJWUIEHCVEFZ-CQSZACIVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(OCC(C)C)cc1 ZINC001483191311 1087414463 /nfs/dbraw/zinc/41/44/63/1087414463.db2.gz WANUZFWKTWWAFY-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)CC(C)(C)C)CC2)C1 ZINC001105686007 1087423610 /nfs/dbraw/zinc/42/36/10/1087423610.db2.gz LXHJQJAFUNDNRN-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001483223938 1087429311 /nfs/dbraw/zinc/42/93/11/1087429311.db2.gz BCYDAQZDVAHLBG-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)[C@H](C)CCC)CC2)C1 ZINC001105699655 1087447467 /nfs/dbraw/zinc/44/74/67/1087447467.db2.gz BNJQFKUKYLNYAE-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001483262656 1087452769 /nfs/dbraw/zinc/45/27/69/1087452769.db2.gz YLWPXEYLRAJWJK-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC1C2CC3CC(C2)CC1C3 ZINC001483294798 1087460849 /nfs/dbraw/zinc/46/08/49/1087460849.db2.gz BDVSJXRNAIYMMC-CNSOWSRNSA-N 0 1 324.896 3.296 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)[C@@H]2C)C1 ZINC001088839712 1087474595 /nfs/dbraw/zinc/47/45/95/1087474595.db2.gz AOIBOOMPLNMMNB-PBHICJAKSA-N 0 1 316.420 3.261 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)Cc2cnccc2C)CCC1 ZINC001483381081 1087485878 /nfs/dbraw/zinc/48/58/78/1087485878.db2.gz RUTKKTSDIBHISI-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCN1Cc1cccc2ccccc21 ZINC001483649288 1087603355 /nfs/dbraw/zinc/60/33/55/1087603355.db2.gz UHJPMPVVHCSLRZ-IBGZPJMESA-N 0 1 320.436 3.190 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCCc3ccccc32)[C@H]1C ZINC001088923061 1087605138 /nfs/dbraw/zinc/60/51/38/1087605138.db2.gz OPHOYVHGXXNLRR-BPAFIMBUSA-N 0 1 312.457 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@H]1C ZINC001088933697 1087606690 /nfs/dbraw/zinc/60/66/90/1087606690.db2.gz OESKGTVQASFNEY-QAPCUYQASA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)[C@H]1C ZINC001088967168 1087611842 /nfs/dbraw/zinc/61/18/42/1087611842.db2.gz VTQFPHRMBTYBEO-UTUOFQBUSA-N 0 1 312.866 3.270 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(F)(F)F)c2)[C@H]1C ZINC001088999370 1087618535 /nfs/dbraw/zinc/61/85/35/1087618535.db2.gz VUUWOCYMEFQMGC-RISCZKNCSA-N 0 1 312.335 3.084 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1C ZINC001089028225 1087623904 /nfs/dbraw/zinc/62/39/04/1087623904.db2.gz JRIOQDQWYRXMAG-DOMZBBRYSA-N 0 1 306.475 3.388 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1C ZINC001089028225 1087623906 /nfs/dbraw/zinc/62/39/06/1087623906.db2.gz JRIOQDQWYRXMAG-DOMZBBRYSA-N 0 1 306.475 3.388 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001483673434 1087625467 /nfs/dbraw/zinc/62/54/67/1087625467.db2.gz QAQUTSXFGXELTR-FUHWJXTLSA-N 0 1 300.446 3.288 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1CCCc1ccsc1 ZINC001483674020 1087626611 /nfs/dbraw/zinc/62/66/11/1087626611.db2.gz VRYOJTIBAFVFHY-KRWDZBQOSA-N 0 1 318.486 3.065 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001483676695 1087632194 /nfs/dbraw/zinc/63/21/94/1087632194.db2.gz PDBGGMFUXRBYJW-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)[C@H]1C ZINC001089084382 1087633752 /nfs/dbraw/zinc/63/37/52/1087633752.db2.gz HUEFYLLQTFXNDS-PBHICJAKSA-N 0 1 310.397 3.325 20 30 DGEDMN CCCC(=O)N1CCCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC001089102825 1087638752 /nfs/dbraw/zinc/63/87/52/1087638752.db2.gz HXZWOLJUEQXYBX-AWEZNQCLSA-N 0 1 319.474 3.233 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)C1CCC1 ZINC001099126589 1087661675 /nfs/dbraw/zinc/66/16/75/1087661675.db2.gz VTOMWWLZEDNYSZ-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H]1C=CCCC1 ZINC001099126636 1087662663 /nfs/dbraw/zinc/66/26/63/1087662663.db2.gz XIKHYAOVEGMXLB-QZTJIDSGSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1ccc(C)cc1F ZINC001272393814 1087690237 /nfs/dbraw/zinc/69/02/37/1087690237.db2.gz ATOIUIWOBALJAM-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN CCCCCCCCCCCCN1CC2(C1)COCC(=O)N2C ZINC001272454341 1087709397 /nfs/dbraw/zinc/70/93/97/1087709397.db2.gz QUKLCPAYSVVEBB-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001089278142 1087713118 /nfs/dbraw/zinc/71/31/18/1087713118.db2.gz XHCBNTUKWJJCPA-UHFFFAOYSA-N 0 1 321.490 3.128 20 30 DGEDMN CC(C)Oc1cccc(CC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000176394916 1087722312 /nfs/dbraw/zinc/72/23/12/1087722312.db2.gz NPLWWFWWHLLBGJ-UHFFFAOYSA-N 0 1 310.353 3.232 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccccc2C(F)(F)F)c1 ZINC000176406701 1087726509 /nfs/dbraw/zinc/72/65/09/1087726509.db2.gz UVJHKLXGEHYGMX-UHFFFAOYSA-N 0 1 320.270 3.464 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H]1CC=CCC1 ZINC001099171898 1087748329 /nfs/dbraw/zinc/74/83/29/1087748329.db2.gz WHAKJRNLLVUTEL-ZWKOTPCHSA-N 0 1 316.489 3.363 20 30 DGEDMN CCN(CC#Cc1ccc(F)cc1)[C@H](C)CNC(=O)CC(C)C ZINC001151670805 1087753807 /nfs/dbraw/zinc/75/38/07/1087753807.db2.gz WWEVMOOBSUKZBF-MRXNPFEDSA-N 0 1 318.436 3.050 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1ccn2cncc2c1 ZINC001151908756 1087824735 /nfs/dbraw/zinc/82/47/35/1087824735.db2.gz DKMQFFCDCKKFEM-AWEZNQCLSA-N 0 1 321.380 3.390 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(Cl)cc1C ZINC001158426902 1087829740 /nfs/dbraw/zinc/82/97/40/1087829740.db2.gz QSJUVYJNYNXBOS-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CCCC(F)(F)F ZINC001158512528 1087887454 /nfs/dbraw/zinc/88/74/54/1087887454.db2.gz QBJHHEZIYBHEGA-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN Cc1ccc(C#N)c(N2C[C@@H](C)N(Cc3ccccc3)C[C@H]2C)n1 ZINC001158523605 1087889012 /nfs/dbraw/zinc/88/90/12/1087889012.db2.gz MSEXDXRQUUYLTJ-IAGOWNOFSA-N 0 1 320.440 3.361 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)/C=C(\C)C1CC1 ZINC001152128648 1087904367 /nfs/dbraw/zinc/90/43/67/1087904367.db2.gz LCYXBCOEYSQSOJ-WBWKYDSYSA-N 0 1 324.468 3.221 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(CCC)CCC ZINC001099200279 1087916806 /nfs/dbraw/zinc/91/68/06/1087916806.db2.gz YIJMKRYBOIKHIS-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN N#Cc1cc(F)c(NC(=O)c2ccc3cncn3c2)c(Cl)c1 ZINC001152202980 1087920053 /nfs/dbraw/zinc/92/00/53/1087920053.db2.gz BGNPOACOUVFNLN-UHFFFAOYSA-N 0 1 314.707 3.251 20 30 DGEDMN C[C@@H](Cc1cccc(F)c1)N1CCN(c2ccccc2C#N)CC1 ZINC001168473090 1087978529 /nfs/dbraw/zinc/97/85/29/1087978529.db2.gz CFMJJJJKFRMYIR-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2c(c1)CCCC2 ZINC001158825204 1088053794 /nfs/dbraw/zinc/05/37/94/1088053794.db2.gz OHPYWYNEWBSGTD-HXUWFJFHSA-N 0 1 324.468 3.125 20 30 DGEDMN CCCCCCC[N@H+](CC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152907112 1088108077 /nfs/dbraw/zinc/10/80/77/1088108077.db2.gz KKUDFVZMHJWGNQ-OAHLLOKOSA-N 0 1 321.465 3.198 20 30 DGEDMN CCCCCCC[N@@H+](CC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152907112 1088108086 /nfs/dbraw/zinc/10/80/86/1088108086.db2.gz KKUDFVZMHJWGNQ-OAHLLOKOSA-N 0 1 321.465 3.198 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc2c1CCC2 ZINC001158948934 1088112457 /nfs/dbraw/zinc/11/24/57/1088112457.db2.gz AAQOJBVKSBWUQZ-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001153593714 1088294908 /nfs/dbraw/zinc/29/49/08/1088294908.db2.gz GEOCXKXSARBXTL-CQSZACIVSA-N 0 1 321.440 3.150 20 30 DGEDMN CC[C@@H](C)C(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159326144 1088297312 /nfs/dbraw/zinc/29/73/12/1088297312.db2.gz ZXNBQGXCJRBISJ-GOSISDBHSA-N 0 1 324.468 3.223 20 30 DGEDMN COc1cc(C#N)c(F)cc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC001153608503 1088299794 /nfs/dbraw/zinc/29/97/94/1088299794.db2.gz KXBCGNXAKCQHOO-UHFFFAOYSA-N 0 1 324.315 3.143 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001153608624 1088300684 /nfs/dbraw/zinc/30/06/84/1088300684.db2.gz KWTDHTWAIXWEMA-CYBMUJFWSA-N 0 1 321.465 3.220 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)COCCCCCCCC ZINC001153828292 1088377561 /nfs/dbraw/zinc/37/75/61/1088377561.db2.gz JYXQDNKWKOTMTC-GOSISDBHSA-N 0 1 324.509 3.213 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@](C)(CC)CCC ZINC001099286089 1088477383 /nfs/dbraw/zinc/47/73/83/1088477383.db2.gz OCZJWNSHVRZSBT-QFBILLFUSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)C1CCCC1 ZINC001099296385 1088496149 /nfs/dbraw/zinc/49/61/49/1088496149.db2.gz AGZDFXZDQSMEOJ-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1sc2ccccc2c1C ZINC001154229581 1088526874 /nfs/dbraw/zinc/52/68/74/1088526874.db2.gz UVIADAQVYMVTQF-CYBMUJFWSA-N 0 1 314.454 3.283 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)CC1(C)CC1 ZINC001154373919 1088586857 /nfs/dbraw/zinc/58/68/57/1088586857.db2.gz UWNWMOYIELEZGE-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1cc2ccc(C(F)(F)F)cc2[nH]1 ZINC001154410035 1088600723 /nfs/dbraw/zinc/60/07/23/1088600723.db2.gz ABLMQNOSTKRPKU-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN C[C@H](C#N)N(C)C(=O)c1cccc2[nH]c(-c3ccccc3)nc21 ZINC001154490483 1088629765 /nfs/dbraw/zinc/62/97/65/1088629765.db2.gz WKQCDILVUNORHN-GFCCVEGCSA-N 0 1 304.353 3.214 20 30 DGEDMN Cc1csc(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)c1 ZINC001154552657 1088653217 /nfs/dbraw/zinc/65/32/17/1088653217.db2.gz ZBPLYFZMTDTBKA-UHFFFAOYSA-N 0 1 309.350 3.022 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2CC23CC3)CC(C)(C)C1 ZINC001089502143 1088697931 /nfs/dbraw/zinc/69/79/31/1088697931.db2.gz NEAUZXPWJXSEHK-KBPBESRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc(Cl)c2C)CC1 ZINC001160214435 1088700584 /nfs/dbraw/zinc/70/05/84/1088700584.db2.gz LYDICTYDQQBLFV-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001154698927 1088716489 /nfs/dbraw/zinc/71/64/89/1088716489.db2.gz HKKVLGUEAIXPDQ-GDLUXTLDSA-N 0 1 324.468 3.387 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1 ZINC001154743236 1088736661 /nfs/dbraw/zinc/73/66/61/1088736661.db2.gz WXMVETOYLRADFG-HZPDHXFCSA-N 0 1 303.450 3.011 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001154742958 1088739052 /nfs/dbraw/zinc/73/90/52/1088739052.db2.gz PIJNGDCMMNUVDK-LSDHHAIUSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001154750805 1088742276 /nfs/dbraw/zinc/74/22/76/1088742276.db2.gz BDRFKXLNMLPDBV-CJNGLKHVSA-N 0 1 321.465 3.220 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001154751056 1088744905 /nfs/dbraw/zinc/74/49/05/1088744905.db2.gz LDUXJHDZBFBXDT-CVEARBPZSA-N 0 1 303.450 3.011 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1F ZINC001089594957 1088784658 /nfs/dbraw/zinc/78/46/58/1088784658.db2.gz BVQKACCDOIBVQA-AWEZNQCLSA-N 0 1 304.409 3.232 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(C)c1 ZINC001089601841 1088796041 /nfs/dbraw/zinc/79/60/41/1088796041.db2.gz PXRKZTBMJOAGQX-GOSISDBHSA-N 0 1 312.457 3.239 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2ccn(C)c2)n[nH]1)c1ccccc1 ZINC001160489599 1088832558 /nfs/dbraw/zinc/83/25/58/1088832558.db2.gz PGSWRNSMTXYBIZ-UHFFFAOYSA-N 0 1 306.369 3.248 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1c(C)nsc1C ZINC001154957963 1088851807 /nfs/dbraw/zinc/85/18/07/1088851807.db2.gz STUKPUGFEVSLHE-CYBMUJFWSA-N 0 1 323.506 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1=CCCCCC1 ZINC001089632339 1088853651 /nfs/dbraw/zinc/85/36/51/1088853651.db2.gz VXWOSSRUPFRBSE-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccsc1C ZINC001089634946 1088857680 /nfs/dbraw/zinc/85/76/80/1088857680.db2.gz JEDSQMVVGJKHPJ-CQSZACIVSA-N 0 1 306.475 3.463 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccoc1Cl ZINC001089631706 1088858420 /nfs/dbraw/zinc/85/84/20/1088858420.db2.gz PFYBRNBDNBPKGG-ZDUSSCGKSA-N 0 1 322.836 3.177 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(CC)ccn1 ZINC001089646330 1088877183 /nfs/dbraw/zinc/87/71/83/1088877183.db2.gz NSYWSUSOYJGYAU-MRXNPFEDSA-N 0 1 315.461 3.050 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001155066660 1088926924 /nfs/dbraw/zinc/92/69/24/1088926924.db2.gz MJXIDNBVFQHEPU-KDOFPFPSSA-N 0 1 321.440 3.150 20 30 DGEDMN CCCCC(=O)N1CC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001483842464 1088941247 /nfs/dbraw/zinc/94/12/47/1088941247.db2.gz VLYURIZTVZHBAL-OAQYLSRUSA-N 0 1 324.468 3.153 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccsc2)C1 ZINC001483850444 1088952283 /nfs/dbraw/zinc/95/22/83/1088952283.db2.gz DIHPCVWIGXWLOI-UHFFFAOYSA-N 0 1 308.491 3.404 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc2occc2s1 ZINC001483945225 1089027243 /nfs/dbraw/zinc/02/72/43/1089027243.db2.gz RGKOMRPJIBRTHD-LLVKDONJSA-N 0 1 324.833 3.441 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160968010 1089047318 /nfs/dbraw/zinc/04/73/18/1089047318.db2.gz PZWNJNANWSNCIL-BOSPYUDASA-N 0 1 310.869 3.480 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccncc1CC ZINC001089663002 1089052148 /nfs/dbraw/zinc/05/21/48/1089052148.db2.gz HSMKGHFOCCPSND-MRXNPFEDSA-N 0 1 315.461 3.050 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001089660906 1089057142 /nfs/dbraw/zinc/05/71/42/1089057142.db2.gz RTAFEEWEFPTBMB-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC(C)(C)C1)C1CCCC1 ZINC001483997455 1089077326 /nfs/dbraw/zinc/07/73/26/1089077326.db2.gz GQNCDALPXOMUEI-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1CC)C1CCCC1 ZINC001483998844 1089077672 /nfs/dbraw/zinc/07/76/72/1089077672.db2.gz WTQNTZSZMFTFIA-HNNXBMFYSA-N 0 1 324.852 3.473 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1oc(C)nc1C)C1CCCC1 ZINC001483997295 1089078149 /nfs/dbraw/zinc/07/81/49/1089078149.db2.gz VVHFCIZRTQWSOA-INIZCTEOSA-N 0 1 319.449 3.022 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001089675762 1089114942 /nfs/dbraw/zinc/11/49/42/1089114942.db2.gz PFNMVKBGRKSMJR-MHORFTMASA-N 0 1 316.489 3.053 20 30 DGEDMN Cc1cc(C(=O)Nc2cccc(-c3cn[nH]n3)c2)cc(C)c1C#N ZINC001161144239 1089132902 /nfs/dbraw/zinc/13/29/02/1089132902.db2.gz SJFPABOIAKCIBF-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001155489515 1089133910 /nfs/dbraw/zinc/13/39/10/1089133910.db2.gz CERXEEDTNAPRTM-CYBMUJFWSA-N 0 1 321.465 3.220 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001155489911 1089134886 /nfs/dbraw/zinc/13/48/86/1089134886.db2.gz NYWTZLBQSPBQGD-CQSZACIVSA-N 0 1 321.440 3.150 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC001089691111 1089182758 /nfs/dbraw/zinc/18/27/58/1089182758.db2.gz KRYIIBZXFXPXON-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN CCCc1cc(C#N)c(N[C@H]2CN3CCC2CC3)nc1Cl ZINC001155667595 1089206613 /nfs/dbraw/zinc/20/66/13/1089206613.db2.gz KIAQJYSDJFQCLV-AWEZNQCLSA-N 0 1 304.825 3.065 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc2cc[nH]c2c1 ZINC001089705414 1089246025 /nfs/dbraw/zinc/24/60/25/1089246025.db2.gz FHDCDGFZXMSCFT-KRWDZBQOSA-N 0 1 323.440 3.022 20 30 DGEDMN N#Cc1ccc(NC(=O)c2cccc3cncn32)c2cccnc12 ZINC001155798490 1089249899 /nfs/dbraw/zinc/24/98/99/1089249899.db2.gz IOUDFPXFSSHOLH-UHFFFAOYSA-N 0 1 313.320 3.006 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](C)C2CCCCC2)CC1 ZINC001161451685 1089250213 /nfs/dbraw/zinc/25/02/13/1089250213.db2.gz GLWFMPWSORZMDR-QGZVFWFLSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C2(CC(C)C)CCCC2)CC1 ZINC001161492142 1089260822 /nfs/dbraw/zinc/26/08/22/1089260822.db2.gz SRPMWBNHPHUNOY-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccnc(C)c1 ZINC001089714262 1089285833 /nfs/dbraw/zinc/28/58/33/1089285833.db2.gz IGFDKFRGFJWZBP-KRWDZBQOSA-N 0 1 315.461 3.187 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc2c(c1)CCC2 ZINC001089731661 1089310930 /nfs/dbraw/zinc/31/09/30/1089310930.db2.gz VBNIAVAOKBFJBS-LJQANCHMSA-N 0 1 324.468 3.029 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C2CC2)cc1 ZINC001089732519 1089313707 /nfs/dbraw/zinc/31/37/07/1089313707.db2.gz VLSALLVUJIVDMN-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CC2CCC1CC2 ZINC001089734887 1089316175 /nfs/dbraw/zinc/31/61/75/1089316175.db2.gz ZSVUNVIIIOQOSL-OPQOLIRYSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCCC1(C)C ZINC001089734765 1089316547 /nfs/dbraw/zinc/31/65/47/1089316547.db2.gz XCAXHYZKGRIQTN-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc(C(C)C)oc2C)CC1 ZINC001161622552 1089317915 /nfs/dbraw/zinc/31/79/15/1089317915.db2.gz PRHXVTKBJQPVQZ-UHFFFAOYSA-N 0 1 314.429 3.097 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC(C)(C)C1 ZINC001089737557 1089319151 /nfs/dbraw/zinc/31/91/51/1089319151.db2.gz WTONIVITCDLKLY-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](C)C(CC)CC)C[C@@H]2C1 ZINC001484408275 1089404098 /nfs/dbraw/zinc/40/40/98/1089404098.db2.gz GUXHAAADPPSTCY-BMFZPTHFSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC=C)CCCC1 ZINC001484467548 1089436239 /nfs/dbraw/zinc/43/62/39/1089436239.db2.gz LARXXELZUPUKSL-IAGOWNOFSA-N 0 1 302.462 3.374 20 30 DGEDMN CCC[C@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C(C)C ZINC001161914314 1089465671 /nfs/dbraw/zinc/46/56/71/1089465671.db2.gz PHPUHNLCMXCHBU-AWEZNQCLSA-N 0 1 311.389 3.354 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@@H]1C ZINC001161914578 1089465887 /nfs/dbraw/zinc/46/58/87/1089465887.db2.gz VZMPBZMZDBEMFX-TYNCELHUSA-N 0 1 323.400 3.354 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C3CCC4(CC4)CC3)n2)c1 ZINC001161914405 1089466647 /nfs/dbraw/zinc/46/66/47/1089466647.db2.gz SKAHSDAWZVDWIK-UHFFFAOYSA-N 0 1 321.384 3.252 20 30 DGEDMN CCCC[C@](C)(F)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161919605 1089471103 /nfs/dbraw/zinc/47/11/03/1089471103.db2.gz ZVDNORQAJKKENA-INIZCTEOSA-N 0 1 315.352 3.200 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC001484553769 1089488393 /nfs/dbraw/zinc/48/83/93/1089488393.db2.gz INGUANDJEYMXDX-PWIZWCRZSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)C(C)C ZINC001484553342 1089489147 /nfs/dbraw/zinc/48/91/47/1089489147.db2.gz DGCUKJSTVXBTHT-UHFFFAOYSA-N 0 1 300.446 3.050 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H](C)CCC ZINC001484555719 1089497002 /nfs/dbraw/zinc/49/70/02/1089497002.db2.gz VTHIQZISHARALE-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C/C=C\c2ccc(C)cc2)CC1 ZINC001162026146 1089532548 /nfs/dbraw/zinc/53/25/48/1089532548.db2.gz DHENJQFORZYLAG-PLNGDYQASA-N 0 1 322.452 3.170 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1sccc1Cl)CC2 ZINC001272687543 1089570997 /nfs/dbraw/zinc/57/09/97/1089570997.db2.gz SKPGQZBYJGXYML-LBPRGKRZSA-N 0 1 324.877 3.306 20 30 DGEDMN CCN(CC#Cc1ccc(F)cc1)[C@H](C)CNC(=O)C(C)(C)C ZINC001156540889 1089573270 /nfs/dbraw/zinc/57/32/70/1089573270.db2.gz JZQCABVMFRNEHJ-OAHLLOKOSA-N 0 1 318.436 3.050 20 30 DGEDMN CCCCOc1cnc(NC2(C#N)CCN(C)CC2)c(Cl)c1 ZINC001162231578 1089623759 /nfs/dbraw/zinc/62/37/59/1089623759.db2.gz MXBOTDFDKVGHKZ-UHFFFAOYSA-N 0 1 322.840 3.314 20 30 DGEDMN O/N=C/c1cc(NC[C@@H](O)c2ccco2)cc(C(F)(F)F)c1 ZINC001162260218 1089642107 /nfs/dbraw/zinc/64/21/07/1089642107.db2.gz AQKWLNKPGVFTII-WOVPGWDMSA-N 0 1 314.263 3.252 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1cc(C)ns1 ZINC001484752920 1089657332 /nfs/dbraw/zinc/65/73/32/1089657332.db2.gz JSSWQSXHHUODFG-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN Cc1cccc2ncc(CNc3c[nH]c4c(C#N)cnc-4c3)n21 ZINC001162349300 1089689674 /nfs/dbraw/zinc/68/96/74/1089689674.db2.gz FEGMONVSHMBMIS-UHFFFAOYSA-N 0 1 302.341 3.003 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](CC=C)c2ccccc2)C(C)(C)C1 ZINC001484943122 1089775992 /nfs/dbraw/zinc/77/59/92/1089775992.db2.gz VDQQDLHLFDXPKG-RTBURBONSA-N 0 1 324.468 3.196 20 30 DGEDMN CCOC(=O)c1cc2ccc(N[C@H]3CC[C@H](C#N)CC3)nc2[nH]1 ZINC001157055395 1089802457 /nfs/dbraw/zinc/80/24/57/1089802457.db2.gz HJNASCCQDVSESF-AULYBMBSSA-N 0 1 312.373 3.234 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1ncc(Cl)s1 ZINC001484993199 1089834297 /nfs/dbraw/zinc/83/42/97/1089834297.db2.gz FUGVWEMJQPZYRG-NSHDSACASA-N 0 1 315.870 3.137 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001485004944 1089840083 /nfs/dbraw/zinc/84/00/83/1089840083.db2.gz TYOBQBDBBPSPHH-LJQANCHMSA-N 0 1 312.457 3.306 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H]1CN(C)C/C=C\Cl ZINC001485058794 1089910808 /nfs/dbraw/zinc/91/08/08/1089910808.db2.gz VFEXMCQYEPDCNK-UBAGTECSSA-N 0 1 310.869 3.430 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc2sccc2c1 ZINC001485072210 1089920760 /nfs/dbraw/zinc/92/07/60/1089920760.db2.gz DQRQBZKUKARWPF-MRXNPFEDSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CCCC1CCCC1 ZINC001485078478 1089929929 /nfs/dbraw/zinc/92/99/29/1089929929.db2.gz GIDXWMGENFMEFY-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(CC)s1 ZINC001485168306 1089993397 /nfs/dbraw/zinc/99/33/97/1089993397.db2.gz IGOPDQVPOYNGCR-GHMZBOCLSA-N 0 1 300.855 3.159 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001485140292 1089994240 /nfs/dbraw/zinc/99/42/40/1089994240.db2.gz PIMRSWWAGUEOAK-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1nccc2ccsc21 ZINC001485156932 1089996887 /nfs/dbraw/zinc/99/68/87/1089996887.db2.gz IQCPEEOOFSXSQZ-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@H](C)NCc2nc(C)cs2)CC1 ZINC001485186947 1090015793 /nfs/dbraw/zinc/01/57/93/1090015793.db2.gz AEDYLTWONFWOOV-UONOGXRCSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)C(C)(C)CCC ZINC001485189052 1090020274 /nfs/dbraw/zinc/02/02/74/1090020274.db2.gz NAYJGADYLLKWBY-NEPJUHHUSA-N 0 1 319.287 3.204 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)[C@H](C)NCC(=C)Cl)CCCCC1 ZINC001485230805 1090037380 /nfs/dbraw/zinc/03/73/80/1090037380.db2.gz AUZNAKSZNWEHJZ-GJZGRUSLSA-N 0 1 310.869 3.196 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N[C@H]1CNCc2ccsc21 ZINC001163219954 1090120004 /nfs/dbraw/zinc/12/00/04/1090120004.db2.gz IMZPXYHOURIOIU-JTQLQIEISA-N 0 1 324.331 3.290 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1CN(C)CCC(F)(F)F ZINC001157902249 1090147140 /nfs/dbraw/zinc/14/71/40/1090147140.db2.gz ICOUREFTZQIQRY-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc(C)sn1 ZINC001485463236 1090174557 /nfs/dbraw/zinc/17/45/57/1090174557.db2.gz KZLFHJCUQFTMQU-GFCCVEGCSA-N 0 1 315.870 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCCCN2C[C@H](F)CC)C1 ZINC001485481548 1090186250 /nfs/dbraw/zinc/18/62/50/1090186250.db2.gz DPUBCSUSTJUTRT-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@]3(C)CC=C(C)CC3)[C@@H]2C1 ZINC001485626092 1090226059 /nfs/dbraw/zinc/22/60/59/1090226059.db2.gz FGGNXNPUDNPYQY-XLMAVXFVSA-N 0 1 322.880 3.169 20 30 DGEDMN CC(=O)CC(C)=Nc1cccc(C(=O)Nc2ccc(F)cc2)n1 ZINC001163737022 1090246301 /nfs/dbraw/zinc/24/63/01/1090246301.db2.gz LLSFPGIVOPMYAG-KHPPLWFESA-N 0 1 313.332 3.378 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccoc1Cl ZINC001485806471 1090296960 /nfs/dbraw/zinc/29/69/60/1090296960.db2.gz CMIYSFHPWSWNJI-LBPRGKRZSA-N 0 1 317.216 3.126 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1c(F)cccc1F ZINC001164222904 1090377728 /nfs/dbraw/zinc/37/77/28/1090377728.db2.gz OFQKQWBPZUTAOH-QWHCGFSZSA-N 0 1 310.388 3.019 20 30 DGEDMN C=CCN(Cc1ccccc1)c1cccc(N2CCN(C)CC2)n1 ZINC001165159348 1090473365 /nfs/dbraw/zinc/47/33/65/1090473365.db2.gz KQQVIKWNRKAXCG-UHFFFAOYSA-N 0 1 322.456 3.026 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CN(CCCC2CCCC2)CCO1 ZINC001107802391 1090507932 /nfs/dbraw/zinc/50/79/32/1090507932.db2.gz QNHQBYJUOXLLAN-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)C2CC2)C1 ZINC001107849579 1090515191 /nfs/dbraw/zinc/51/51/91/1090515191.db2.gz ZCHPNFSIZDBNDH-QFBILLFUSA-N 0 1 324.509 3.210 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2c(F)cccc2F)CCC1 ZINC001165998559 1090568412 /nfs/dbraw/zinc/56/84/12/1090568412.db2.gz YCTVGYLERBDYMP-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(c1cc(C)c(C)cn1)CCO2 ZINC001166370046 1090599910 /nfs/dbraw/zinc/59/99/10/1090599910.db2.gz CHPWFIIHWXZGMP-IEBWSBKVSA-N 0 1 316.445 3.277 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001107976370 1090606443 /nfs/dbraw/zinc/60/64/43/1090606443.db2.gz KJRPMANYTYJONJ-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001128081165 1090642966 /nfs/dbraw/zinc/64/29/66/1090642966.db2.gz DTPJIYNPCIBNMK-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCC1(CNCc2cscn2)CC1 ZINC001166770460 1090654117 /nfs/dbraw/zinc/65/41/17/1090654117.db2.gz YKCXBXOXTGTZTH-CQSZACIVSA-N 0 1 321.490 3.122 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(Cl)cc(C(F)(F)F)c1 ZINC000169164191 1090658208 /nfs/dbraw/zinc/65/82/08/1090658208.db2.gz CZKZVUNNGFWCST-ZCFIWIBFSA-N 0 1 312.700 3.013 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)/C=C/c3ccco3)cccc2C1 ZINC001272909522 1090677493 /nfs/dbraw/zinc/67/74/93/1090677493.db2.gz ODGFDFMGGFLVPJ-CMDGGOBGSA-N 0 1 322.408 3.153 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1ccc(Cl)cc1)CC2 ZINC001272915060 1090679632 /nfs/dbraw/zinc/67/96/32/1090679632.db2.gz LCIKGSFMKXEPGH-MRXNPFEDSA-N 0 1 318.848 3.244 20 30 DGEDMN COc1ccc([C@@H]2C[C@@H](N3CC[C@@](C)(C#N)C3)CCO2)cc1 ZINC001168671161 1090691700 /nfs/dbraw/zinc/69/17/00/1090691700.db2.gz LPINCXPTJBTGIA-SZMVWBNQSA-N 0 1 300.402 3.151 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCC[C@@H](C)CCC ZINC001128151766 1090694655 /nfs/dbraw/zinc/69/46/55/1090694655.db2.gz BIGBPKXDBFYTQY-LBPRGKRZSA-N 0 1 319.287 3.207 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC1(CNCc2nccs2)CC1 ZINC001167025965 1090697846 /nfs/dbraw/zinc/69/78/46/1090697846.db2.gz SVTGZMWTLJABDU-MRXNPFEDSA-N 0 1 321.490 3.122 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H](NCc2sccc2Cl)C[C@H]1C ZINC001486108767 1090751498 /nfs/dbraw/zinc/75/14/98/1090751498.db2.gz KFBOOXBQKNXSQG-OLZOCXBDSA-N 0 1 324.877 3.140 20 30 DGEDMN COc1cc(C[N@@H+](C)Cc2ccc(C#N)cc2)ccc1OC(C)=O ZINC001203831869 1090815648 /nfs/dbraw/zinc/81/56/48/1090815648.db2.gz ZWAFITMDKHEZDR-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(C#N)cc2)ccc1OC(C)=O ZINC001203831869 1090815656 /nfs/dbraw/zinc/81/56/56/1090815656.db2.gz ZWAFITMDKHEZDR-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN COc1ccccc1O[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001203903513 1090834297 /nfs/dbraw/zinc/83/42/97/1090834297.db2.gz HOZHOHGIQGVTNJ-QGZVFWFLSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1cccc(O[C@H]2CCCN(Cc3ccc(C#N)cc3)C2)n1 ZINC001203905893 1090835504 /nfs/dbraw/zinc/83/55/04/1090835504.db2.gz GUFTUHQPQVPJPF-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN(Cc3ccc(F)cc3)C2)CC1 ZINC001203911339 1090836518 /nfs/dbraw/zinc/83/65/18/1090836518.db2.gz CMMJGBSZIPLVDQ-UHFFFAOYSA-N 0 1 318.392 3.046 20 30 DGEDMN CC(C)(C)C#CC(=O)NC1(C2CCN(C/C=C\Cl)CC2)CC1 ZINC001486488782 1090854892 /nfs/dbraw/zinc/85/48/92/1090854892.db2.gz OBWZDCAQCFBWQI-WCIBSUBMSA-N 0 1 322.880 3.149 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C(C)(C)CC(C)C)c(F)c1 ZINC001486525757 1090869851 /nfs/dbraw/zinc/86/98/51/1090869851.db2.gz WYRZDCRTDHMGCR-UHFFFAOYSA-N 0 1 318.436 3.237 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001276440592 1090902236 /nfs/dbraw/zinc/90/22/36/1090902236.db2.gz ZWIAGXJUNXLCRA-CRAIPNDOSA-N 0 1 300.446 3.049 20 30 DGEDMN CC(C)c1noc([C@@H]2CCCN(Cc3ccccc3C#N)C2)n1 ZINC001204371741 1090957803 /nfs/dbraw/zinc/95/78/03/1090957803.db2.gz OMRHDIPEUTYQIB-MRXNPFEDSA-N 0 1 310.401 3.444 20 30 DGEDMN N#Cc1ccccc1CN1CCN(C2(c3ccccc3)CC2)CC1 ZINC001204372751 1090958749 /nfs/dbraw/zinc/95/87/49/1090958749.db2.gz GZSZSEANMNVMLK-UHFFFAOYSA-N 0 1 317.436 3.365 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CN(CC/C=C\CCC)CCO1 ZINC001108120374 1091101440 /nfs/dbraw/zinc/10/14/40/1091101440.db2.gz YWUVHKWJXFQQBE-VJIACCKLSA-N 0 1 322.493 3.152 20 30 DGEDMN N#Cc1cccc(O[C@H]2CCCN(Cc3cc(O)cc(O)c3)C2)c1 ZINC001138331363 1091143410 /nfs/dbraw/zinc/14/34/10/1091143410.db2.gz XCDUURLXUGSRCH-IBGZPJMESA-N 0 1 324.380 3.013 20 30 DGEDMN C#CCOc1ccc(CN(CC)Cc2cccnc2)cc1OC ZINC001138345411 1091149098 /nfs/dbraw/zinc/14/90/98/1091149098.db2.gz FUAZLSAKLMFEKW-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN C#CCC1(C(=O)NCCNCc2c(C)cccc2Cl)CCC1 ZINC001129125395 1091196734 /nfs/dbraw/zinc/19/67/34/1091196734.db2.gz SDXDGVVBIHWCOO-UHFFFAOYSA-N 0 1 318.848 3.048 20 30 DGEDMN CC(C)(C)C(=O)Nc1ncccc1CN1CCC(CC#N)CC1 ZINC001138541431 1091219946 /nfs/dbraw/zinc/21/99/46/1091219946.db2.gz GCKZAVUUUOFTIS-UHFFFAOYSA-N 0 1 314.433 3.192 20 30 DGEDMN C#CCOc1ccc(CN2CCN(Cc3ccccc3)CC2)cc1 ZINC001138560086 1091228342 /nfs/dbraw/zinc/22/83/42/1091228342.db2.gz ZTTVTSQXESBYHG-UHFFFAOYSA-N 0 1 320.436 3.016 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2ccc(F)c(C#N)c2)C[C@@H]1C ZINC001205502386 1091236608 /nfs/dbraw/zinc/23/66/08/1091236608.db2.gz SZLWBJJKABXFAH-ZFWWWQNUSA-N 0 1 318.392 3.109 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3ccccc3N3CCCC3)CC[C@@H]2S1 ZINC001138608884 1091252237 /nfs/dbraw/zinc/25/22/37/1091252237.db2.gz BUYGYRCGTCMCBN-KRWDZBQOSA-N 0 1 314.454 3.061 20 30 DGEDMN O=C1C=C2CN(Cc3ccccc3N3CCCC3)CCC2S1 ZINC001138608884 1091252255 /nfs/dbraw/zinc/25/22/55/1091252255.db2.gz BUYGYRCGTCMCBN-KRWDZBQOSA-N 0 1 314.454 3.061 20 30 DGEDMN C=CCc1cccc(CN2CCc3cnc(C4CC4)nc3C2)c1O ZINC001138631686 1091263752 /nfs/dbraw/zinc/26/37/52/1091263752.db2.gz YLLHXZXPDNKHKE-UHFFFAOYSA-N 0 1 321.424 3.346 20 30 DGEDMN CNc1ncccc1CN1CCC[C@H](Oc2cccc(C#N)c2)C1 ZINC001138696610 1091292222 /nfs/dbraw/zinc/29/22/22/1091292222.db2.gz UOPNFFKCGBKXSZ-SFHVURJKSA-N 0 1 322.412 3.038 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(OC(F)(F)F)cc2OC)C1 ZINC001138850504 1091355863 /nfs/dbraw/zinc/35/58/63/1091355863.db2.gz GANLQGWOQZSKRG-GFCCVEGCSA-N 0 1 313.319 3.439 20 30 DGEDMN C=CCCC1(C(=O)NC[C@]2(C)CN(CCCCC)CCO2)CC1 ZINC001108185466 1091359288 /nfs/dbraw/zinc/35/92/88/1091359288.db2.gz AFWIFVOCQKZUPM-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CN(CC)Cc1ccc(I)o1 ZINC001138901514 1091371875 /nfs/dbraw/zinc/37/18/75/1091371875.db2.gz SEJYKGLODUBLIL-UHFFFAOYSA-N 0 1 305.159 3.282 20 30 DGEDMN CCOc1cc(CN2CCC[C@H](CC#N)C2)ccc1OC(C)=O ZINC001139004530 1091415491 /nfs/dbraw/zinc/41/54/91/1091415491.db2.gz YPRZDHKJPXVWCN-OAHLLOKOSA-N 0 1 316.401 3.136 20 30 DGEDMN C=CCOc1ccccc1CN(CCOCC)CCOCC ZINC001139029910 1091424927 /nfs/dbraw/zinc/42/49/27/1091424927.db2.gz VSIFMLPOBWJPNU-UHFFFAOYSA-N 0 1 307.434 3.126 20 30 DGEDMN N#C[C@H]1CN(Cc2ccccc2Oc2ccccc2)CCC1=O ZINC001139055646 1091432187 /nfs/dbraw/zinc/43/21/87/1091432187.db2.gz MHFPACXWNVGNHO-INIZCTEOSA-N 0 1 306.365 3.393 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2scnc2C)C1 ZINC001206155897 1091511947 /nfs/dbraw/zinc/51/19/47/1091511947.db2.gz WGUVQMVOUMHXHH-UKRRQHHQSA-N 0 1 323.506 3.472 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001084698621 1091543649 /nfs/dbraw/zinc/54/36/49/1091543649.db2.gz BXWYLPVOFQDFQU-GUDVDZBRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc(C4CCC4)c3)[C@@H]2C1 ZINC001084775143 1091560204 /nfs/dbraw/zinc/56/02/04/1091560204.db2.gz UZLXHRFWLIQRDW-RTBURBONSA-N 0 1 310.441 3.286 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCCc4ccccc43)[C@@H]2C1 ZINC001084789031 1091565822 /nfs/dbraw/zinc/56/58/22/1091565822.db2.gz OTNHKHBWQYTMGP-QWFCFKBJSA-N 0 1 324.468 3.215 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(C)c(F)c(C)c3)[C@@H]2C1 ZINC001084838554 1091586252 /nfs/dbraw/zinc/58/62/52/1091586252.db2.gz POMMPDOGAVMEKA-NVXWUHKLSA-N 0 1 316.420 3.165 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Oc3ccc(F)cc3)C2)cc1F ZINC001139527241 1091586333 /nfs/dbraw/zinc/58/63/33/1091586333.db2.gz BVULMXMIBAVKFD-KRWDZBQOSA-N 0 1 314.335 3.490 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1ccc(C#N)c(F)c1 ZINC001139530047 1091588147 /nfs/dbraw/zinc/58/81/47/1091588147.db2.gz INQVVVKQKCYNQQ-OAHLLOKOSA-N 0 1 318.392 3.394 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCN(Cc2ccc(C#N)c(F)c2)C1 ZINC001139529751 1091588392 /nfs/dbraw/zinc/58/83/92/1091588392.db2.gz CGCAVISZBCDWLA-AWEZNQCLSA-N 0 1 318.392 3.251 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC3CCC(C)(C)CC3)[C@@H]2C1 ZINC001084873865 1091601965 /nfs/dbraw/zinc/60/19/65/1091601965.db2.gz XHMQOSKNJHMHAQ-QZTJIDSGSA-N 0 1 316.489 3.149 20 30 DGEDMN CC[C@H](C)C(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001084892204 1091609139 /nfs/dbraw/zinc/60/91/39/1091609139.db2.gz QUFMBXXEJTYUFB-SXLOBPIMSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CCC2(CN(C)C2)C1)c1ccccc1 ZINC001139593783 1091613153 /nfs/dbraw/zinc/61/31/53/1091613153.db2.gz FUTBCOPDPJOYPQ-GOSISDBHSA-N 0 1 312.457 3.291 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001139650480 1091634171 /nfs/dbraw/zinc/63/41/71/1091634171.db2.gz JJDXHRZBRQBHTJ-UKRRQHHQSA-N 0 1 318.392 3.109 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCc1ccc(-c2csnn2)cc1 ZINC001206462877 1091639359 /nfs/dbraw/zinc/63/93/59/1091639359.db2.gz XJGCAINRFFKMHT-AWEZNQCLSA-N 0 1 316.382 3.331 20 30 DGEDMN N#Cc1cccc2c(CN3CCc4c(O)cccc4C3)c[nH]c21 ZINC001139702293 1091647180 /nfs/dbraw/zinc/64/71/80/1091647180.db2.gz ZBUNEWZZEJIDJZ-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)c2ccc(F)cc2)C[C@H]1C ZINC001206509664 1091654120 /nfs/dbraw/zinc/65/41/20/1091654120.db2.gz RGMYLTZNUIPKAJ-CKEIUWERSA-N 0 1 304.409 3.289 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)CCC ZINC001098961226 1091687899 /nfs/dbraw/zinc/68/78/99/1091687899.db2.gz WAOQLPOLBRIOJD-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN Cc1nc(N2CCN(C3CCCC3)[C@@H](C)C2)c(Cl)cc1C#N ZINC001307209700 1091693689 /nfs/dbraw/zinc/69/36/89/1091693689.db2.gz KQOUHOMVVIHEMU-LBPRGKRZSA-N 0 1 318.852 3.368 20 30 DGEDMN CN1CCN(Cc2cc(F)cc(C#N)c2)C[C@H]1c1ccccc1 ZINC001139875335 1091699453 /nfs/dbraw/zinc/69/94/53/1091699453.db2.gz YZMFZLFNQKQNLU-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN N#Cc1cc(F)cc(CN2CCC3(CCC(=O)CC3)CC2)c1 ZINC001139878673 1091700680 /nfs/dbraw/zinc/70/06/80/1091700680.db2.gz SLUCQCHXIIICAC-UHFFFAOYSA-N 0 1 300.377 3.423 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@@H](NCc2cscn2)C12CCC2 ZINC001202989886 1091728944 /nfs/dbraw/zinc/72/89/44/1091728944.db2.gz ZACWGEWLIOUIFF-HUUCEWRRSA-N 0 1 319.474 3.016 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(Cc2ccccc2Cl)C[C@H]1C ZINC001206912680 1091793731 /nfs/dbraw/zinc/79/37/31/1091793731.db2.gz XHWDLHJRCYIOGF-RHSMWYFYSA-N 0 1 318.848 3.080 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2ccc(SC)s2)C1=O ZINC001273341106 1091818100 /nfs/dbraw/zinc/81/81/00/1091818100.db2.gz ZRHGOSHLOJZGLK-MRXNPFEDSA-N 0 1 322.499 3.223 20 30 DGEDMN Cc1ccc2n[nH]c(CN3CC(Oc4ccccc4C#N)C3)c2c1 ZINC001140331208 1091828919 /nfs/dbraw/zinc/82/89/19/1091828919.db2.gz ADTLERAUKRUBHA-UHFFFAOYSA-N 0 1 318.380 3.006 20 30 DGEDMN Cc1ncc(CN2CCC(Oc3ccccc3C#N)CC2)s1 ZINC001207061955 1091834569 /nfs/dbraw/zinc/83/45/69/1091834569.db2.gz QNLZGQABVQGFDL-UHFFFAOYSA-N 0 1 313.426 3.367 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1sc(C2CC2)nc1C ZINC001085589379 1091849064 /nfs/dbraw/zinc/84/90/64/1091849064.db2.gz KOJIFVRPWIYVBP-CQSZACIVSA-N 0 1 319.474 3.051 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1nsc2ccccc21 ZINC001085610922 1091854157 /nfs/dbraw/zinc/85/41/57/1091854157.db2.gz DVSIMVSNXMSDGE-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN Fc1ccccc1-c1ncc(CN2CCC(S)CC2)cn1 ZINC001140405165 1091854243 /nfs/dbraw/zinc/85/42/43/1091854243.db2.gz LIZHJDOVYNHFIP-UHFFFAOYSA-N 0 1 303.406 3.177 20 30 DGEDMN CC(C)n1nccc1CN1CCC[C@H](Oc2ccc(C#N)cc2)C1 ZINC001207177229 1091876680 /nfs/dbraw/zinc/87/66/80/1091876680.db2.gz NQJAFGCIOUAHTF-IBGZPJMESA-N 0 1 324.428 3.379 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(F)ccc1CC ZINC001085696741 1091883594 /nfs/dbraw/zinc/88/35/94/1091883594.db2.gz RYVYXQDQCOEZKG-INIZCTEOSA-N 0 1 304.409 3.111 20 30 DGEDMN C#CC[N@H+](Cc1[nH]c(C)c(C(=O)[O-])c1C)[C@@H]1CCc2ccccc21 ZINC001140495965 1091889893 /nfs/dbraw/zinc/88/98/93/1091889893.db2.gz MNAJSANOQWTCOF-GOSISDBHSA-N 0 1 322.408 3.452 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccccc1CCC ZINC001085713294 1091895300 /nfs/dbraw/zinc/89/53/00/1091895300.db2.gz GWBMMEAYSYMJTL-QGZVFWFLSA-N 0 1 300.446 3.362 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](Sc3ccncc3)C2)cn1 ZINC001140544501 1091903455 /nfs/dbraw/zinc/90/34/55/1091903455.db2.gz ROIRHBMNHZCANW-KRWDZBQOSA-N 0 1 310.426 3.105 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001085733514 1091903725 /nfs/dbraw/zinc/90/37/25/1091903725.db2.gz HCPRZOWRUGKQEE-WSTZPKSXSA-N 0 1 312.457 3.073 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)cn1 ZINC001140546003 1091904260 /nfs/dbraw/zinc/90/42/60/1091904260.db2.gz SFTSYCPGLRSPTM-UHFFFAOYSA-N 0 1 319.408 3.313 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3ccc(C#N)nc3)CC2)c1 ZINC001140544226 1091904470 /nfs/dbraw/zinc/90/44/70/1091904470.db2.gz HPGRMMBVWDVMHW-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001085748595 1091909480 /nfs/dbraw/zinc/90/94/80/1091909480.db2.gz LHIXXAXDSVILDF-MRXNPFEDSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001085753908 1091912880 /nfs/dbraw/zinc/91/28/80/1091912880.db2.gz UJURIERQDMQHJJ-HNNXBMFYSA-N 0 1 315.392 3.029 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C)cc1Cl ZINC001085834028 1091962502 /nfs/dbraw/zinc/96/25/02/1091962502.db2.gz ZUNOEAFUHABXNV-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(CCc2cccc(Cl)c2)C1 ZINC001207425189 1091963748 /nfs/dbraw/zinc/96/37/48/1091963748.db2.gz FDQMYKIWIGCKHD-INIZCTEOSA-N 0 1 322.836 3.259 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001085840042 1091965617 /nfs/dbraw/zinc/96/56/17/1091965617.db2.gz YSBHMIOZWJJBKR-BDXSIMOUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001085840042 1091965620 /nfs/dbraw/zinc/96/56/20/1091965620.db2.gz YSBHMIOZWJJBKR-BDXSIMOUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc(C(CC)CC)no1 ZINC001085860236 1091982426 /nfs/dbraw/zinc/98/24/26/1091982426.db2.gz UOQRKBVEQJHELH-HNNXBMFYSA-N 0 1 319.449 3.301 20 30 DGEDMN N#Cc1c(F)cc(CN2CCC[C@H]2Cc2ccccn2)cc1F ZINC001140754039 1091986960 /nfs/dbraw/zinc/98/69/60/1091986960.db2.gz NBQYNRSTJXCDIB-HNNXBMFYSA-N 0 1 313.351 3.439 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc(C(C)(C)C)c1 ZINC001085888350 1091997711 /nfs/dbraw/zinc/99/77/11/1091997711.db2.gz WGIRRGZAHIFSJS-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1coc(C2CCCC2)n1 ZINC001085900179 1092000542 /nfs/dbraw/zinc/00/05/42/1092000542.db2.gz YJDUMNCWCBFPTL-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN([C@@H](C)CC)CC1)c1ccccc1 ZINC001297958837 1092004755 /nfs/dbraw/zinc/00/47/55/1092004755.db2.gz AXEDETVPWUWXOW-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc2ccsc21 ZINC001085910049 1092009387 /nfs/dbraw/zinc/00/93/87/1092009387.db2.gz XIPPWIIROZMMQD-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(Cc3cccc(C#N)c3Cl)C2)o1 ZINC001140812963 1092012803 /nfs/dbraw/zinc/01/28/03/1092012803.db2.gz PXIWNTKUTKYRPJ-CQSZACIVSA-N 0 1 316.792 3.283 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc(CC)c(CC)o1 ZINC001085927568 1092019910 /nfs/dbraw/zinc/01/99/10/1092019910.db2.gz BFCONEDPRMDXPN-OAHLLOKOSA-N 0 1 304.434 3.127 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(Cl)ccc1OC ZINC001085958772 1092050772 /nfs/dbraw/zinc/05/07/72/1092050772.db2.gz KKRYIBNHCDLCOR-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](NCc2c(F)cccc2F)C1 ZINC001207634386 1092052820 /nfs/dbraw/zinc/05/28/20/1092052820.db2.gz BLCKLWWEUSPGEZ-CYBMUJFWSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)n(C(C)C)c1C ZINC001085964738 1092062422 /nfs/dbraw/zinc/06/24/22/1092062422.db2.gz PMKMOKQPAUIIEC-KRWDZBQOSA-N 0 1 317.477 3.408 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(F)c2occc21 ZINC001085980332 1092067176 /nfs/dbraw/zinc/06/71/76/1092067176.db2.gz NYAGIPLMWHWHMF-CYBMUJFWSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cnc(CC(C)C)s1 ZINC001085997079 1092088271 /nfs/dbraw/zinc/08/82/71/1092088271.db2.gz GYWZKISXGZNMDQ-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@]3(C2)CCCCO3)c1Cl ZINC001141106698 1092139992 /nfs/dbraw/zinc/13/99/92/1092139992.db2.gz FJFDNAHBWVHVDQ-AWEZNQCLSA-N 0 1 311.838 3.000 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)C(C)(C)C)C2)C1 ZINC001276550170 1092146377 /nfs/dbraw/zinc/14/63/77/1092146377.db2.gz ZVKKNPOGIXKCRY-IBGZPJMESA-N 0 1 324.509 3.306 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCC2CCCCCC2)C1 ZINC001208033194 1092180206 /nfs/dbraw/zinc/18/02/06/1092180206.db2.gz JOFJVXYBADJAEB-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1cc(Cl)cs1 ZINC001491593524 1092185041 /nfs/dbraw/zinc/18/50/41/1092185041.db2.gz WIMZBGKYIHULAZ-JTQLQIEISA-N 0 1 321.273 3.181 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2cccc(Cl)c2)C[C@H]1C ZINC001208309204 1092306357 /nfs/dbraw/zinc/30/63/57/1092306357.db2.gz DIHYACWIYMVJGO-CZUORRHYSA-N 0 1 320.864 3.489 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2csc(C)c2)C[C@H]1C ZINC001208315114 1092310158 /nfs/dbraw/zinc/31/01/58/1092310158.db2.gz KWTWQLGHGDPGPR-IUODEOHRSA-N 0 1 306.475 3.205 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@](C)(CC)CCC)C1 ZINC001108306462 1092324686 /nfs/dbraw/zinc/32/46/86/1092324686.db2.gz KCVZRTBSNWTVAU-RTBURBONSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@@H](CC)CC(C)C)C1 ZINC001108329693 1092334895 /nfs/dbraw/zinc/33/48/95/1092334895.db2.gz UEDPNFSMCGUBQZ-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350291 1092351039 /nfs/dbraw/zinc/35/10/39/1092351039.db2.gz WHDSIALIZOOKIL-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350291 1092351049 /nfs/dbraw/zinc/35/10/49/1092351049.db2.gz WHDSIALIZOOKIL-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN O[C@H]1CCCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)C1 ZINC001141972378 1092371947 /nfs/dbraw/zinc/37/19/47/1092371947.db2.gz IYMLAYRTYKYSET-KRWDZBQOSA-N 0 1 311.450 3.495 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@@H](C)N[C@H](C)c2ncc(C)o2)CC1 ZINC001492077527 1092372923 /nfs/dbraw/zinc/37/29/23/1092372923.db2.gz FCRRVGMBDFROJE-UKRRQHHQSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208509178 1092380900 /nfs/dbraw/zinc/38/09/00/1092380900.db2.gz VPFKUILKJBSQCM-LSBZLQRGSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CC(C)(C)CC(F)F)C1 ZINC001208616766 1092431246 /nfs/dbraw/zinc/43/12/46/1092431246.db2.gz OVLCTPUKAFPMRO-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C[C@H]1COC2(CCCCC2)O1 ZINC001208644774 1092440684 /nfs/dbraw/zinc/44/06/84/1092440684.db2.gz RTTGMKOPRXCOIX-KRWDZBQOSA-N 0 1 300.402 3.066 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCCC2CCCC2)C1 ZINC001108424642 1092444785 /nfs/dbraw/zinc/44/47/85/1092444785.db2.gz PJVYOKFARHFSHL-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1cc(F)ccc1C ZINC001492369873 1092485765 /nfs/dbraw/zinc/48/57/65/1092485765.db2.gz NGMUODNQALCHGH-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN N#CCc1cc(NCCOc2cccc3ccccc32)ccn1 ZINC001169013836 1092487672 /nfs/dbraw/zinc/48/76/72/1092487672.db2.gz CFFUFMUMKRNSRE-UHFFFAOYSA-N 0 1 303.365 3.214 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC[N@@H+](C)Cc1ccc(F)cc1C ZINC001492380765 1092489961 /nfs/dbraw/zinc/48/99/61/1092489961.db2.gz GGRPMEYWRKZOOP-HNNXBMFYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001492380765 1092489965 /nfs/dbraw/zinc/48/99/65/1092489965.db2.gz GGRPMEYWRKZOOP-HNNXBMFYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CNCc1nc(C)cs1 ZINC001317196866 1092505305 /nfs/dbraw/zinc/50/53/05/1092505305.db2.gz YNSSDUPKTHETEM-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN N#Cc1ccc2c(CN3CCC[C@H]3c3cnccn3)c[nH]c2c1 ZINC001142921459 1092587000 /nfs/dbraw/zinc/58/70/00/1092587000.db2.gz NSSMYRJVABGQNN-SFHVURJKSA-N 0 1 303.369 3.167 20 30 DGEDMN N#C[C@H]1CN(Cc2c(Cl)cccc2C(F)(F)F)CCC1=O ZINC001143288456 1092650475 /nfs/dbraw/zinc/65/04/75/1092650475.db2.gz KBDFRKZNRJTYTL-VIFPVBQESA-N 0 1 316.710 3.273 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H](C)CCCCC)C(C)(C)C1 ZINC001276615501 1092653132 /nfs/dbraw/zinc/65/31/32/1092653132.db2.gz FPVOKCYFJSZPFC-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN N#CCc1cc(N[C@H](CCO)c2cccc3ccccc32)ccn1 ZINC001169425624 1092680432 /nfs/dbraw/zinc/68/04/32/1092680432.db2.gz WHUPRBUZWMPZDW-HXUWFJFHSA-N 0 1 317.392 3.258 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001209183467 1092684423 /nfs/dbraw/zinc/68/44/23/1092684423.db2.gz NDEBNGCZGNYPDP-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1cc(C#N)ccc1Nc1cc(C)nn1-c1ccncc1 ZINC001209325541 1092736716 /nfs/dbraw/zinc/73/67/16/1092736716.db2.gz ABROWRWQTIGZCU-UHFFFAOYSA-N 0 1 305.341 3.200 20 30 DGEDMN C=CCCCCCCCN1CCN(c2nccc(C)n2)CC1 ZINC001209441275 1092775437 /nfs/dbraw/zinc/77/54/37/1092775437.db2.gz GPCAOIRWOHQQJX-UHFFFAOYSA-N 0 1 302.466 3.434 20 30 DGEDMN C=CCCCCCCCCN1CCC(=O)[C@@H](C(=O)OCC)C1 ZINC001209443379 1092776737 /nfs/dbraw/zinc/77/67/37/1092776737.db2.gz ROSRIRFGQNECPA-INIZCTEOSA-N 0 1 309.450 3.357 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1cccs1)C1CC1 ZINC001276656229 1092842642 /nfs/dbraw/zinc/84/26/42/1092842642.db2.gz MAFKTIIWKWKWBQ-DGCLKSJQSA-N 0 1 312.866 3.089 20 30 DGEDMN N#Cc1sccc1NC(=O)c1cc2cc(Cl)c[nH]c-2n1 ZINC001151296992 1092884945 /nfs/dbraw/zinc/88/49/45/1092884945.db2.gz PAAUSEQPZXEJII-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN N#Cc1ccc(NC(=O)c2cc3cccnc3[nH]2)c2cccnc12 ZINC001151549266 1092920463 /nfs/dbraw/zinc/92/04/63/1092920463.db2.gz IOBAYKBGJCYFDI-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](CCC)C(C)C)C1 ZINC001209892611 1092968286 /nfs/dbraw/zinc/96/82/86/1092968286.db2.gz WMRMOHDFBLVSLK-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](OCCCn2cccc2)C1 ZINC001209929682 1092983841 /nfs/dbraw/zinc/98/38/41/1092983841.db2.gz SAOZDDSLZFVWER-IBGZPJMESA-N 0 1 309.413 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)CC(C)(C)C)C1 ZINC001209993361 1093007608 /nfs/dbraw/zinc/00/76/08/1093007608.db2.gz PVCFGHGYNKUETA-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN CN1CCN(c2ccccc2Nc2ccc(F)cc2C#N)CC1 ZINC001210554580 1093207887 /nfs/dbraw/zinc/20/78/87/1093207887.db2.gz QCDXLKLRLXHDJN-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)CC(C)C)C1 ZINC001210568511 1093213680 /nfs/dbraw/zinc/21/36/80/1093213680.db2.gz PPNFSBRNSAOECA-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H](C)C(C)(C)C)C1 ZINC001210840693 1093299053 /nfs/dbraw/zinc/29/90/53/1093299053.db2.gz XHTOLUJKVBEIIY-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)CCCCCCCC)C1 ZINC001211206191 1093419400 /nfs/dbraw/zinc/41/94/00/1093419400.db2.gz JEQBBPYDHCTHCC-RCCFBDPRSA-N 0 1 306.494 3.443 20 30 DGEDMN COc1cnccc1Nc1ccc(OC(F)(F)F)cc1C#N ZINC001211264193 1093437532 /nfs/dbraw/zinc/43/75/32/1093437532.db2.gz AEHWLEVUFMHMAX-UHFFFAOYSA-N 0 1 309.247 3.026 20 30 DGEDMN CNC(=O)c1cc(C#N)cc(C)c1Nc1ccc2[nH]c(C)nc2c1 ZINC001213076481 1093510791 /nfs/dbraw/zinc/51/07/91/1093510791.db2.gz TYXISLFKDHZXBK-UHFFFAOYSA-N 0 1 319.368 3.155 20 30 DGEDMN COc1ccc2nc(N)n(-c3cccc(C4(C#N)CC4)c3)c2c1 ZINC001213311970 1093563249 /nfs/dbraw/zinc/56/32/49/1093563249.db2.gz MHYXNFUUWRLNHQ-UHFFFAOYSA-N 0 1 304.353 3.171 20 30 DGEDMN Cc1cc(C)c(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)cn1 ZINC001213515736 1093602231 /nfs/dbraw/zinc/60/22/31/1093602231.db2.gz HVCJOYMEOXSLPI-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN CCCCCCCCN1CCN(C(=O)c2cccnc2)CC1 ZINC000178212905 1093707237 /nfs/dbraw/zinc/70/72/37/1093707237.db2.gz IFVGJHWLJLSJJP-UHFFFAOYSA-N 0 1 303.450 3.200 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2nc(C)c(C)s2)C1 ZINC001214741606 1093844513 /nfs/dbraw/zinc/84/45/13/1093844513.db2.gz STOKMFKOZJKCEL-IUODEOHRSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2cccc(F)c2F)C1 ZINC001215429529 1093981373 /nfs/dbraw/zinc/98/13/73/1093981373.db2.gz HJJYDZFPEFQRFN-CZUORRHYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215471852 1093988210 /nfs/dbraw/zinc/98/82/10/1093988210.db2.gz FQARLRRACBKAEI-ALUHGURXSA-N 0 1 319.276 3.344 20 30 DGEDMN Cc1ccc(Nc2c(C#N)cnn2C2CCOCC2)c2cn[nH]c21 ZINC001215756511 1094049021 /nfs/dbraw/zinc/04/90/21/1094049021.db2.gz SIGHEMLRXLFISW-UHFFFAOYSA-N 0 1 322.372 3.035 20 30 DGEDMN CCc1cc(Nc2cncc(N3CCN(C)CC3)c2)ccc1C#N ZINC001216316254 1094172562 /nfs/dbraw/zinc/17/25/62/1094172562.db2.gz MJNANXAKVSCLNR-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN CCc1cc(Nc2nc[nH]c(=O)c2Br)ccc1C#N ZINC001216316623 1094173602 /nfs/dbraw/zinc/17/36/02/1094173602.db2.gz XVRHWLOSZVJARG-UHFFFAOYSA-N 0 1 319.162 3.122 20 30 DGEDMN COc1cc(C)cc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001216969929 1094284991 /nfs/dbraw/zinc/28/49/91/1094284991.db2.gz VADGKLSFYHURKJ-UHFFFAOYSA-N 0 1 307.309 3.298 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@@H](C)CCCCC ZINC001134823682 1094302057 /nfs/dbraw/zinc/30/20/57/1094302057.db2.gz DDSBFXIAXKZKBJ-LBPRGKRZSA-N 0 1 319.287 3.207 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001135185494 1094434980 /nfs/dbraw/zinc/43/49/80/1094434980.db2.gz YUCKAVQZRSPCEK-QWHCGFSZSA-N 0 1 321.465 3.267 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C(C)C)o1 ZINC001135234564 1094453073 /nfs/dbraw/zinc/45/30/73/1094453073.db2.gz BLRSOONQTVIGRM-KBPBESRZSA-N 0 1 321.465 3.383 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135229523 1094459432 /nfs/dbraw/zinc/45/94/32/1094459432.db2.gz BBCRTIQGJGDTLK-ZIAGYGMSSA-N 0 1 321.490 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1coc(C2CC2)n1 ZINC001135357539 1094500988 /nfs/dbraw/zinc/50/09/88/1094500988.db2.gz DTVCZUHUALPSGF-KGLIPLIRSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2coc(C)n2)CC1 ZINC001135383795 1094511418 /nfs/dbraw/zinc/51/14/18/1094511418.db2.gz WFUPOQCHMLJKTP-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@@H](C)NCc2coc(C)n2)CC1 ZINC001135414770 1094527093 /nfs/dbraw/zinc/52/70/93/1094527093.db2.gz CZMBNZLDRQHWDL-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1cc(C#N)cc(Cl)n1 ZINC001120927030 1094557240 /nfs/dbraw/zinc/55/72/40/1094557240.db2.gz KXBSVSALWJXGCT-UHFFFAOYSA-N 0 1 303.797 3.264 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1cscn1 ZINC001135565055 1094579272 /nfs/dbraw/zinc/57/92/72/1094579272.db2.gz OOYUGWUTQXTTAW-OLZOCXBDSA-N 0 1 309.479 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001135565206 1094579482 /nfs/dbraw/zinc/57/94/82/1094579482.db2.gz QWCYKVVBKFCDTP-CHWSQXEVSA-N 0 1 321.465 3.267 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1c(Br)ccc2ccccc21 ZINC001218200238 1094655165 /nfs/dbraw/zinc/65/51/65/1094655165.db2.gz KMYZUGKDRSVSDY-RISCZKNCSA-N 0 1 317.186 3.093 20 30 DGEDMN CC1(C)c2cc(O)ccc2-c2ccc(O[C@@H]3CNC[C@@H]3C#N)cc21 ZINC001218201319 1094656240 /nfs/dbraw/zinc/65/62/40/1094656240.db2.gz QHSLDZXUVRLKOL-HXPMCKFVSA-N 0 1 320.392 3.189 20 30 DGEDMN COc1cc(/C=C/c2ccccc2)cc(O[C@@H]2CNC[C@@H]2C#N)c1 ZINC001218202942 1094656380 /nfs/dbraw/zinc/65/63/80/1094656380.db2.gz OFFOPKXAEQXJPF-LXJMMZIJSA-N 0 1 320.392 3.356 20 30 DGEDMN CC(C)(C)c1cc(O)c(O[C@@H]2CNC[C@H]2C#N)c(C(C)(C)C)c1 ZINC001218201797 1094656556 /nfs/dbraw/zinc/65/65/56/1094656556.db2.gz VKZAGMUZDTUUHF-MLGOLLRUSA-N 0 1 316.445 3.478 20 30 DGEDMN CC(=O)N1CC[C@H](NCC#Cc2ccccc2)c2ccccc21 ZINC001336747587 1094719526 /nfs/dbraw/zinc/71/95/26/1094719526.db2.gz KWOGKGXKOJQKFE-IBGZPJMESA-N 0 1 304.393 3.126 20 30 DGEDMN CC(C)C[C@@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135872411 1094723945 /nfs/dbraw/zinc/72/39/45/1094723945.db2.gz JLXDXDDDNLSGRU-OAHLLOKOSA-N 0 1 320.864 3.080 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccccc1NN=C1C=CC=CC1=O ZINC001218409855 1094759167 /nfs/dbraw/zinc/75/91/67/1094759167.db2.gz YOLDPWRWSMMZOR-FQUKWPTESA-N 0 1 308.341 3.298 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cc(C)cc(Cl)c2)cc1 ZINC001170983614 1095076987 /nfs/dbraw/zinc/07/69/87/1095076987.db2.gz BXISLDRNHAKMTE-UHFFFAOYSA-N 0 1 302.761 3.472 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2ccc3[nH]c(C)cc3c2)cc1 ZINC001170984689 1095077650 /nfs/dbraw/zinc/07/76/50/1095077650.db2.gz XDRFMTMCMGENAR-UHFFFAOYSA-N 0 1 307.353 3.300 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@@H](NC)c1ccccc1 ZINC001220663276 1095134885 /nfs/dbraw/zinc/13/48/85/1095134885.db2.gz BMODNQTVYZQBPP-BEFAXECRSA-N 0 1 324.424 3.389 20 30 DGEDMN C=CCOCCCOC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC001123024368 1095137501 /nfs/dbraw/zinc/13/75/01/1095137501.db2.gz KMOPNPRHIIQAJZ-UHFFFAOYSA-N 0 1 310.300 3.250 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001171044222 1095183761 /nfs/dbraw/zinc/18/37/61/1095183761.db2.gz WJDUBJQJQRHVQF-AWEZNQCLSA-N 0 1 307.438 3.104 20 30 DGEDMN C#CCN1CCC(OC(=O)CCCc2sccc2C)CC1 ZINC001123251089 1095204145 /nfs/dbraw/zinc/20/41/45/1095204145.db2.gz XDIWVOLRMNYIFO-UHFFFAOYSA-N 0 1 305.443 3.020 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@@H]1Cc2ccccc2CN1)c1ccccc1 ZINC001221361540 1095249934 /nfs/dbraw/zinc/24/99/34/1095249934.db2.gz WGBFPOWSZYRYBT-MOPGFXCFSA-N 0 1 306.409 3.135 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(Cc3ccc(C)c(F)c3)C[C@H]21 ZINC001221429082 1095264592 /nfs/dbraw/zinc/26/45/92/1095264592.db2.gz GUSIERUDTDZVEB-SJLPKXTDSA-N 0 1 316.420 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2nocc2C)CCCCC1 ZINC001115667082 1095324536 /nfs/dbraw/zinc/32/45/36/1095324536.db2.gz RBMROUUOYRIACR-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2coc(C)n2)CCCCC1 ZINC001115667011 1095324749 /nfs/dbraw/zinc/32/47/49/1095324749.db2.gz LSOPQCVUYHDGRZ-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ocnc2C)CCCCC1 ZINC001115701564 1095341627 /nfs/dbraw/zinc/34/16/27/1095341627.db2.gz VBLUZMFWCLCSIF-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(C(F)(F)F)cc2Cl)CCC1=O ZINC001143711872 1095351438 /nfs/dbraw/zinc/35/14/38/1095351438.db2.gz JXAXRTLRDKNFBI-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1Cc2ccccc2[C@H]1C ZINC001338773404 1095384338 /nfs/dbraw/zinc/38/43/38/1095384338.db2.gz OWSIDLHJIYYWRW-CYBMUJFWSA-N 0 1 320.400 3.244 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2oc(C3CC3)nc2C(F)F)c1 ZINC001123676944 1095393219 /nfs/dbraw/zinc/39/32/19/1095393219.db2.gz BEFUUUYRQJIFLV-UHFFFAOYSA-N 0 1 319.267 3.319 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](C)CCC(C)(C)C)[C@@H]2C1 ZINC001221950222 1095395393 /nfs/dbraw/zinc/39/53/93/1095395393.db2.gz XYVMKUJOUOOAGJ-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN COc1cccc([C@H]2CCCN(Cc3cncc(C#N)c3)C2)c1 ZINC001144084351 1095504365 /nfs/dbraw/zinc/50/43/65/1095504365.db2.gz AKGBMPPIOMYMEY-SFHVURJKSA-N 0 1 307.397 3.341 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)c1nnc(-c2[nH]cnc2C)n1C ZINC001339207557 1095541682 /nfs/dbraw/zinc/54/16/82/1095541682.db2.gz GMKJTFLQBMYVSM-UHFFFAOYSA-N 0 1 322.416 3.096 20 30 DGEDMN Cc1cccc2c1[C@@H](N[C@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171179558 1095649855 /nfs/dbraw/zinc/64/98/55/1095649855.db2.gz UBDBMJYTABWAMY-FZKQIMNGSA-N 0 1 305.381 3.081 20 30 DGEDMN COc1ccc(-c2cc(COC3=C(C)O[C@@H](C)C3=O)on2)cc1 ZINC001222927325 1095791213 /nfs/dbraw/zinc/79/12/13/1095791213.db2.gz MJPVVNKRMAQPJI-JTQLQIEISA-N 0 1 315.325 3.086 20 30 DGEDMN C[N@H+]1CCC[C@@H](OC(F)(F)c2ccccc2/C(Cl)=N/[O-])C1 ZINC001222940312 1095797369 /nfs/dbraw/zinc/79/73/69/1095797369.db2.gz QORGYACNGUVTHL-IQRLKCEISA-N 0 1 318.751 3.221 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001171220569 1095810019 /nfs/dbraw/zinc/81/00/19/1095810019.db2.gz CGUYZMQBXMKUFS-LBPRGKRZSA-N 0 1 324.346 3.333 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CCC[C@@H](OCc2ccc(C#N)cn2)C1 ZINC001222980990 1095812307 /nfs/dbraw/zinc/81/23/07/1095812307.db2.gz DDSNHYLZVLKGDL-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2ccc(C#N)cn2)C1 ZINC001222980990 1095812325 /nfs/dbraw/zinc/81/23/25/1095812325.db2.gz DDSNHYLZVLKGDL-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CCc2cnc(C3CC3)nc2C1 ZINC001171232543 1095867134 /nfs/dbraw/zinc/86/71/34/1095867134.db2.gz TVPJOJKMUOPKCH-AWEZNQCLSA-N 0 1 318.424 3.215 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@H](CC)C1=O)c1ccc(F)cc1 ZINC001223098429 1095867735 /nfs/dbraw/zinc/86/77/35/1095867735.db2.gz YQFNRUDZYPZZMN-CJNGLKHVSA-N 0 1 322.332 3.056 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@@H](CC)C1=O)c1ccc(F)cc1 ZINC001223098432 1095868889 /nfs/dbraw/zinc/86/88/89/1095868889.db2.gz YQFNRUDZYPZZMN-XJKSGUPXSA-N 0 1 322.332 3.056 20 30 DGEDMN CCOc1cccc(CN2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC001138099869 1095876731 /nfs/dbraw/zinc/87/67/31/1095876731.db2.gz ABWMECAYGDUAQV-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN CCOc1cccc(CN2CCN(c3cccc(C#N)c3)CC2)c1 ZINC001138102368 1095879260 /nfs/dbraw/zinc/87/92/60/1095879260.db2.gz IMLCCPKVVGMTTL-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC001117994392 1095916344 /nfs/dbraw/zinc/91/63/44/1095916344.db2.gz MCSDMEZTILAHIG-OAHLLOKOSA-N 0 1 302.349 3.258 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H]3CC[N@H+](C/C=C\Cl)[C@H]3C2)CC1 ZINC001223459228 1095975256 /nfs/dbraw/zinc/97/52/56/1095975256.db2.gz CNHTWLURYLSSQB-ZEVIUFPDSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCCC1(C(=O)N2CC[C@H]3CCN(C/C=C\Cl)[C@H]3C2)CC1 ZINC001223459228 1095975260 /nfs/dbraw/zinc/97/52/60/1095975260.db2.gz CNHTWLURYLSSQB-ZEVIUFPDSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CC[N@H+](C/C=C/Cl)[C@H]3C2)CCC1 ZINC001223459845 1095975403 /nfs/dbraw/zinc/97/54/03/1095975403.db2.gz UKWJYBMEHMMSEZ-SNNKGOEXSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CCN(C/C=C/Cl)[C@H]3C2)CCC1 ZINC001223459845 1095975414 /nfs/dbraw/zinc/97/54/14/1095975414.db2.gz UKWJYBMEHMMSEZ-SNNKGOEXSA-N 0 1 322.880 3.408 20 30 DGEDMN N#C[C@H]1CCCN(C2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccccc2)C1 ZINC001171280397 1096079680 /nfs/dbraw/zinc/07/96/80/1096079680.db2.gz SYXVEHAIWSRZDL-YJJAZYOBSA-N 0 1 323.440 3.058 20 30 DGEDMN CCCC(=O)[C@H](CCC)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223893667 1096089449 /nfs/dbraw/zinc/08/94/49/1096089449.db2.gz YIVKCFNIZXFYNJ-QCPHZMBFSA-N 0 1 323.389 3.394 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224187204 1096156512 /nfs/dbraw/zinc/15/65/12/1096156512.db2.gz NBCPOZMENSUYOF-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CCCC)C(C)C)C[C@@H]21 ZINC001224250701 1096172951 /nfs/dbraw/zinc/17/29/51/1096172951.db2.gz LMKRNCZQVAZGHG-KURKYZTESA-N 0 1 304.478 3.005 20 30 DGEDMN CC[C@H](CC(=O)NCCNCC#Cc1ccccc1)C(C)(C)C ZINC001147466654 1096224717 /nfs/dbraw/zinc/22/47/17/1096224717.db2.gz RZKYIAQNWBDMMQ-GOSISDBHSA-N 0 1 314.473 3.206 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCN(C[C@H](F)CC)C2 ZINC001147631462 1096248043 /nfs/dbraw/zinc/24/80/43/1096248043.db2.gz ZKDAYPJFPXZCJL-MRXNPFEDSA-N 0 1 308.441 3.037 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCC1CC(OCc2ccccc2)C1 ZINC001225057680 1096343392 /nfs/dbraw/zinc/34/33/92/1096343392.db2.gz UPCMBJBBKIPHBA-JQRITLKVSA-N 0 1 302.370 3.218 20 30 DGEDMN C#C[C@@H](CC)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152053 1096353441 /nfs/dbraw/zinc/35/34/41/1096353441.db2.gz JALLKFPLEXQFEM-AZUAARDMSA-N 0 1 315.457 3.485 20 30 DGEDMN C=CC1(CC(=O)N2CC3(C2)CCN(CCF)C3)CCCCC1 ZINC001148436053 1096363996 /nfs/dbraw/zinc/36/39/96/1096363996.db2.gz GBXORAWNLBMPMJ-UHFFFAOYSA-N 0 1 308.441 3.017 20 30 DGEDMN CN(C)c1cccc(O[C@H]2CCN(Cc3ccccc3C#N)C2)c1 ZINC001225235899 1096370059 /nfs/dbraw/zinc/37/00/59/1096370059.db2.gz WJMHXDVPIZZWCK-FQEVSTJZSA-N 0 1 321.424 3.278 20 30 DGEDMN COc1ccc(O[C@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001225254908 1096375726 /nfs/dbraw/zinc/37/57/26/1096375726.db2.gz QWHCAUGKVGZOEC-IBGZPJMESA-N 0 1 308.381 3.220 20 30 DGEDMN CCCCCCCCC(=O)NCCNCC#Cc1ccccc1 ZINC001148728124 1096430803 /nfs/dbraw/zinc/43/08/03/1096430803.db2.gz WUWGNFQGXGMFOI-UHFFFAOYSA-N 0 1 314.473 3.495 20 30 DGEDMN CCCCCCCCC(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001148738262 1096432377 /nfs/dbraw/zinc/43/23/77/1096432377.db2.gz RAOCVQZZKSFSCR-INIZCTEOSA-N 0 1 320.481 3.302 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3ccccc3Cl)C2)cn1 ZINC001225500567 1096450552 /nfs/dbraw/zinc/45/05/52/1096450552.db2.gz WHSNDVUALROUOZ-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCCC[C@H]1NC(=O)/C=C\C1CC1 ZINC001225570947 1096469656 /nfs/dbraw/zinc/46/96/56/1096469656.db2.gz UUQORUWJKZVXCL-DBBWNDPISA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@]1(C)CCC[C@H]1CC ZINC001273448969 1096483971 /nfs/dbraw/zinc/48/39/71/1096483971.db2.gz HLWCKYKVZXDBOC-ZBFHGGJFSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H](C)CC)C2)CC1 ZINC001086905104 1096503418 /nfs/dbraw/zinc/50/34/18/1096503418.db2.gz SZBAOCLYLHDWGD-DZGCQCFKSA-N 0 1 312.885 3.488 20 30 DGEDMN N#Cc1cc(Cl)cc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c1 ZINC001225699620 1096508158 /nfs/dbraw/zinc/50/81/58/1096508158.db2.gz MNVHHKDBESBQQT-IYBDPMFKSA-N 0 1 320.820 3.234 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)c3cc[nH]c3)C2)CC1 ZINC001086924699 1096510515 /nfs/dbraw/zinc/51/05/15/1096510515.db2.gz ZQPYQCHKHQZTSH-AWEZNQCLSA-N 0 1 321.852 3.084 20 30 DGEDMN N#Cc1ccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)c(Cl)c1 ZINC001225727204 1096514922 /nfs/dbraw/zinc/51/49/22/1096514922.db2.gz MMXAWEHDEJAJME-SHTZXODSSA-N 0 1 320.820 3.234 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Br)c(F)c1F ZINC001226049780 1096598151 /nfs/dbraw/zinc/59/81/51/1096598151.db2.gz HVEQKFWPYWCBFH-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(C)cs2)[C@H](C)C1 ZINC001092594098 1096617205 /nfs/dbraw/zinc/61/72/05/1096617205.db2.gz FXJKJDBSPLWRMX-DGCLKSJQSA-N 0 1 312.866 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)[C@H](C)C1 ZINC001092848051 1096632962 /nfs/dbraw/zinc/63/29/62/1096632962.db2.gz MLSZJYQHTWQRJN-FYNNLBNCSA-N 0 1 324.896 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2CC3(CCC3)C2)[C@H](C)C1 ZINC001092887167 1096636365 /nfs/dbraw/zinc/63/63/65/1096636365.db2.gz PNGSVIKKSUBMIT-IUODEOHRSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)C(C)(C)CC)CC2)C1 ZINC001093565910 1096708948 /nfs/dbraw/zinc/70/89/48/1096708948.db2.gz HYFIGXVYNWQOTG-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1cccc(O)c1OC(C(=O)c1ccco1)c1ccco1 ZINC001226520048 1096711094 /nfs/dbraw/zinc/71/10/94/1096711094.db2.gz JIDKCMOZEHPJBM-KRWDZBQOSA-N 0 1 309.277 3.453 20 30 DGEDMN C=CC[C@@H](CCCC)Oc1nc[nH]c(=O)c1Br ZINC001226723366 1096760322 /nfs/dbraw/zinc/76/03/22/1096760322.db2.gz WTAPDTMKXPGVHR-VIFPVBQESA-N 0 1 301.184 3.458 20 30 DGEDMN C=CCCCCCN1CCc2c([nH]nc2C(=O)NC(C)(C)C)C1 ZINC001277151978 1096764874 /nfs/dbraw/zinc/76/48/74/1096764874.db2.gz NZSPVKVHRZCRIG-UHFFFAOYSA-N 0 1 318.465 3.043 20 30 DGEDMN C#CCCCC(=O)NC1CCN(Cc2cccc(Cl)c2)CC1 ZINC001227084758 1096864037 /nfs/dbraw/zinc/86/40/37/1096864037.db2.gz IIEGHQTVKQNAKQ-UHFFFAOYSA-N 0 1 318.848 3.224 20 30 DGEDMN N#Cc1ccc2nc(O[C@@H]3CCCN(c4ccccc4)C3)[nH]c2c1 ZINC001227215454 1096897211 /nfs/dbraw/zinc/89/72/11/1096897211.db2.gz CFQOZLGXJQWAAO-MRXNPFEDSA-N 0 1 318.380 3.482 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN([C@@H](C)c2ccccc2F)CC1 ZINC001227219332 1096897704 /nfs/dbraw/zinc/89/77/04/1096897704.db2.gz BKTKKMDLTFZKOA-HNNXBMFYSA-N 0 1 316.420 3.127 20 30 DGEDMN COc1ccc2c(c1)CC[C@@H](Oc1nc3ccc(C#N)cc3[nH]1)C2 ZINC001227219081 1096898002 /nfs/dbraw/zinc/89/80/02/1096898002.db2.gz KFVCSACTACXRBS-MRXNPFEDSA-N 0 1 319.364 3.379 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2c[nH]nc2-c2ccsc2)c1 ZINC001171527622 1096918704 /nfs/dbraw/zinc/91/87/04/1096918704.db2.gz CGSUAWYOHGELMP-UHFFFAOYSA-N 0 1 312.329 3.401 20 30 DGEDMN C=C[C@H](OC1=CC(=O)C=CC1=N[N-]c1cccc[nH+]1)C(=O)OC ZINC001227493212 1096941137 /nfs/dbraw/zinc/94/11/37/1096941137.db2.gz WSBYHXPVQOKWEE-HVCBDAARSA-N 0 1 313.313 3.309 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)c(F)c1 ZINC001227630914 1096964547 /nfs/dbraw/zinc/96/45/47/1096964547.db2.gz OFWVGZQTXGYJMB-ZCFIWIBFSA-N 0 1 306.211 3.322 20 30 DGEDMN CCCCCCCCN1CC[C@H](C(=O)N(C)C)n2nccc2C1 ZINC001277231727 1096969395 /nfs/dbraw/zinc/96/93/95/1096969395.db2.gz PXGWPAAOIQVLLW-QGZVFWFLSA-N 0 1 320.481 3.079 20 30 DGEDMN CCCCCCCCCCN1CCc2c([nH]nc2C(N)=O)C1 ZINC001277232951 1096972795 /nfs/dbraw/zinc/97/27/95/1096972795.db2.gz GESKLCPHLYZKEX-UHFFFAOYSA-N 0 1 306.454 3.007 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(OC(F)(F)F)ccc1OC ZINC001227865505 1097003143 /nfs/dbraw/zinc/00/31/43/1097003143.db2.gz KTSFFALWYWZHIT-ZETCQYMHSA-N 0 1 318.247 3.192 20 30 DGEDMN CN(C)c1ccc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001227939017 1097017130 /nfs/dbraw/zinc/01/71/30/1097017130.db2.gz IKOWJKMZPRQNCW-HXUWFJFHSA-N 0 1 321.424 3.278 20 30 DGEDMN C=CC[C@@H](CCC)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228242893 1097080507 /nfs/dbraw/zinc/08/05/07/1097080507.db2.gz RUKHWVDJLTXNOD-NSHDSACASA-N 0 1 303.318 3.367 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@@H]([C@@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283832 1097086182 /nfs/dbraw/zinc/08/61/82/1097086182.db2.gz HHPNUGKELKJJRL-SJORKVTESA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C#CC(C)C)C1 ZINC001277283832 1097086190 /nfs/dbraw/zinc/08/61/90/1097086190.db2.gz HHPNUGKELKJJRL-SJORKVTESA-N 0 1 322.880 3.101 20 30 DGEDMN COc1ccc(C2(N[C@@H](C)Cc3ccc(C#N)cc3)COC2)cc1 ZINC001172302481 1097094620 /nfs/dbraw/zinc/09/46/20/1097094620.db2.gz KUVRBKPOWQBNTD-HNNXBMFYSA-N 0 1 322.408 3.013 20 30 DGEDMN Cc1ccc2c(n1)N(C)CCN([C@@H](C)Cc1ccc(C#N)cc1)C2 ZINC001172307018 1097095520 /nfs/dbraw/zinc/09/55/20/1097095520.db2.gz ZKSABAKUJFWVDS-INIZCTEOSA-N 0 1 320.440 3.145 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CC2(C1)CC(F)(F)CS2 ZINC001172310981 1097096794 /nfs/dbraw/zinc/09/67/94/1097096794.db2.gz MTNCVVBVOPHPOV-LBPRGKRZSA-N 0 1 308.397 3.316 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CC[C@H](C)[C@H](C)C1)CC2 ZINC001172372407 1097111108 /nfs/dbraw/zinc/11/11/08/1097111108.db2.gz HXORTFSHWVMNQH-ARFHVFGLSA-N 0 1 317.477 3.306 20 30 DGEDMN C[C@H](CCCC#N)N1CCCn2cnc(COCC3CC3)c2C1 ZINC001172498718 1097171324 /nfs/dbraw/zinc/17/13/24/1097171324.db2.gz NIOBTHUDVUSRNZ-OAHLLOKOSA-N 0 1 316.449 3.098 20 30 DGEDMN CC[C@H]1CN([C@@H](C)CCCC#N)CCN1C(=O)OC(C)(C)C ZINC001172506763 1097174148 /nfs/dbraw/zinc/17/41/48/1097174148.db2.gz ITNHPHMWRSMHBF-GJZGRUSLSA-N 0 1 309.454 3.400 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001228787052 1097190271 /nfs/dbraw/zinc/19/02/71/1097190271.db2.gz VHCRIMLXQXDXCC-OAHLLOKOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](NCc2cscn2)C12CCC2 ZINC001203340494 1097196861 /nfs/dbraw/zinc/19/68/61/1097196861.db2.gz LUQJONTZEMOKTL-GJZGRUSLSA-N 0 1 319.474 3.016 20 30 DGEDMN O=C1C=C2CN([C@@H]3Cc4ccc(Cl)cc4C3)CCC2S1 ZINC001172715055 1097229948 /nfs/dbraw/zinc/22/99/48/1097229948.db2.gz SWYVJSISUBJIGL-HUUCEWRRSA-N 0 1 305.830 3.081 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cc(F)cc(C#N)c2)C1 ZINC001229036637 1097242109 /nfs/dbraw/zinc/24/21/09/1097242109.db2.gz VVIDAKFYYGYMHF-XJKSGUPXSA-N 0 1 311.360 3.307 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc([N+](=O)[O-])cc1C(=O)CC ZINC001229180672 1097272859 /nfs/dbraw/zinc/27/28/59/1097272859.db2.gz WPHPLXZXGPBWIC-ZDUSSCGKSA-N 0 1 319.313 3.176 20 30 DGEDMN C[C@@H]1CC[C@H](NCc2cc(C#N)co2)CN1C(=O)OC(C)(C)C ZINC001173065193 1097317069 /nfs/dbraw/zinc/31/70/69/1097317069.db2.gz VDYIZBORIUQYKJ-OCCSQVGLSA-N 0 1 319.405 3.029 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H](C)C2CCCCC2)CC1 ZINC001229593077 1097350585 /nfs/dbraw/zinc/35/05/85/1097350585.db2.gz RMXUJHFKSZUUBD-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(N=C2C=CC(=O)C=C2)cc1 ZINC001229694227 1097365871 /nfs/dbraw/zinc/36/58/71/1097365871.db2.gz CNSAVBIJGJLBSR-NSHDSACASA-N 0 1 309.321 3.052 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc(CCCC(=O)OCC)c1 ZINC001230008474 1097427955 /nfs/dbraw/zinc/42/79/55/1097427955.db2.gz TZZGIQQWVCEUER-LBPRGKRZSA-N 0 1 318.369 3.171 20 30 DGEDMN N#Cc1cccc(O[C@@H]2CCCN(Cc3ccccc3F)C2)n1 ZINC001230043795 1097435259 /nfs/dbraw/zinc/43/52/59/1097435259.db2.gz SBBGAQJMCVQPRJ-MRXNPFEDSA-N 0 1 311.360 3.136 20 30 DGEDMN CCCC1(C(=O)NC[C@H](C)NCc2ccccc2C#N)CCC1 ZINC001491555398 1097437774 /nfs/dbraw/zinc/43/77/74/1097437774.db2.gz RDWLCVACYRBOHK-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001230194294 1097456474 /nfs/dbraw/zinc/45/64/74/1097456474.db2.gz ZOVHIUFCDQCXMO-IBGZPJMESA-N 0 1 312.457 3.174 20 30 DGEDMN CC(C)(C)OC(=O)NC1(C)CN(C2CCC(C)(C#N)CC2)C1 ZINC001173596678 1097467427 /nfs/dbraw/zinc/46/74/27/1097467427.db2.gz AYKFUXXQCUYZEZ-UHFFFAOYSA-N 0 1 307.438 3.058 20 30 DGEDMN N#CCc1ccccc1O[C@H]1COCCN(Cc2ccccc2)C1 ZINC001230276145 1097467779 /nfs/dbraw/zinc/46/77/79/1097467779.db2.gz NAWYZPMQBDVKAJ-LJQANCHMSA-N 0 1 322.408 3.032 20 30 DGEDMN COC(=O)C[N@@H+](Cc1ccccc1)C1CCC(C)(C#N)CC1 ZINC001173600049 1097468895 /nfs/dbraw/zinc/46/88/95/1097468895.db2.gz VMIWUGCHKAQYQU-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN COC(=O)CN(Cc1ccccc1)C1CCC(C)(C#N)CC1 ZINC001173600049 1097468898 /nfs/dbraw/zinc/46/88/98/1097468898.db2.gz VMIWUGCHKAQYQU-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN C=C[C@@H](C(=O)NC1CCN(C[C@H](F)CC)CC1)c1ccccc1 ZINC001230331914 1097477667 /nfs/dbraw/zinc/47/76/67/1097477667.db2.gz JOZFMTJHPMDONH-SJLPKXTDSA-N 0 1 318.436 3.285 20 30 DGEDMN C#CCC1(C(=O)NC2CCN(C[C@H](F)CC)CC2)CCCCC1 ZINC001230405220 1097488988 /nfs/dbraw/zinc/48/89/88/1097488988.db2.gz XYNSJHMHJFFFRE-MRXNPFEDSA-N 0 1 322.468 3.289 20 30 DGEDMN N#C[C@@H]1CN(C2CCC3(CCc4ccccc43)CC2)CCC1=O ZINC001173688933 1097496764 /nfs/dbraw/zinc/49/67/64/1097496764.db2.gz BQDKQHAUJBTKFM-ZRZPHAQCSA-N 0 1 308.425 3.228 20 30 DGEDMN Cc1cccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001173807954 1097517390 /nfs/dbraw/zinc/51/73/90/1097517390.db2.gz HJRALBQXUBCWGH-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN N#C[C@@H](Oc1cc2[nH]ncc2cc1[N+](=O)[O-])c1cccc(F)c1 ZINC001230601501 1097527921 /nfs/dbraw/zinc/52/79/21/1097527921.db2.gz MYBARMPNRTZSAR-OAHLLOKOSA-N 0 1 312.260 3.254 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@]2(CCN(C(=O)[C@](C)(F)CCCC)C2)C1 ZINC001277465320 1097575447 /nfs/dbraw/zinc/57/54/47/1097575447.db2.gz OIIDAFDICPJSIO-MOPGFXCFSA-N 0 1 322.468 3.243 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4c(c3)CCO4)sc2C1 ZINC001174008592 1097575530 /nfs/dbraw/zinc/57/55/30/1097575530.db2.gz CZEMOWYVVWJYSD-UHFFFAOYSA-N 0 1 311.410 3.286 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(C)CC)Cc1cccnc1 ZINC001230829882 1097601100 /nfs/dbraw/zinc/60/11/00/1097601100.db2.gz QMENZRMIEMNANI-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN COc1ccc2nc(=O)[nH]c(O[C@H](CC#N)c3ccccc3)c2c1 ZINC001230861959 1097608422 /nfs/dbraw/zinc/60/84/22/1097608422.db2.gz HSOSMWCBZOXKSN-MRXNPFEDSA-N 0 1 321.336 3.378 20 30 DGEDMN CC[C@@H](C#Cc1ccccc1)Oc1nc(Cl)nc2[nH]ncc21 ZINC001231048475 1097655712 /nfs/dbraw/zinc/65/57/12/1097655712.db2.gz OTWPIUFFPIYLLD-LBPRGKRZSA-N 0 1 312.760 3.215 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1cc(C)sc1C ZINC001231122369 1097674304 /nfs/dbraw/zinc/67/43/04/1097674304.db2.gz LJOGFYCXWOINII-MRXNPFEDSA-N 0 1 306.475 3.364 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1C ZINC001231168771 1097686260 /nfs/dbraw/zinc/68/62/60/1097686260.db2.gz AIXURLQHJWPMJF-GOSISDBHSA-N 0 1 300.446 3.302 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](C(C)(C)Oc2nc(C)cc(O)c2[N+](=O)[O-])O1 ZINC001231261205 1097705500 /nfs/dbraw/zinc/70/55/00/1097705500.db2.gz ZHEABGUHXHCLIC-WBMJQRKESA-N 0 1 322.361 3.285 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1ocnc1C ZINC001231410964 1097743819 /nfs/dbraw/zinc/74/38/19/1097743819.db2.gz UUUMGXTWQMRFQM-OAHLLOKOSA-N 0 1 307.438 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001174649366 1097803676 /nfs/dbraw/zinc/80/36/76/1097803676.db2.gz DVIMVQQRUYPBRS-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)c1ccc(F)cc1)C2 ZINC001110172956 1097825183 /nfs/dbraw/zinc/82/51/83/1097825183.db2.gz SZQMIQCYCBLIJU-BDXSIMOUSA-N 0 1 316.420 3.227 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(CC#N)cc3)cc2)CC1 ZINC001174815753 1097844927 /nfs/dbraw/zinc/84/49/27/1097844927.db2.gz RGUGORLVWHXUHO-UHFFFAOYSA-N 0 1 306.413 3.248 20 30 DGEDMN COc1ccc(C#N)cc1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174815222 1097845927 /nfs/dbraw/zinc/84/59/27/1097845927.db2.gz VZINGMHBAHCYJZ-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3ccc(C(=O)[O-])s3)sc2C1 ZINC001174806148 1097857522 /nfs/dbraw/zinc/85/75/22/1097857522.db2.gz UTTGRWIQEWDRBJ-UHFFFAOYSA-N 0 1 319.411 3.111 20 30 DGEDMN COc1ccc(Nc2ccc(N3CCN(C)CC3)cc2)cc1C#N ZINC001174813679 1097862776 /nfs/dbraw/zinc/86/27/76/1097862776.db2.gz ALEVTOGYMGYEAV-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1csnn1 ZINC001174977368 1097892274 /nfs/dbraw/zinc/89/22/74/1097892274.db2.gz AHHVIOWKXDRDAK-KBPBESRZSA-N 0 1 324.494 3.220 20 30 DGEDMN COc1ccnc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001231971474 1097903991 /nfs/dbraw/zinc/90/39/91/1097903991.db2.gz BQQOAUMLMFOZKX-IBGZPJMESA-N 0 1 323.396 3.005 20 30 DGEDMN Cn1ccc(C2CCN(Cc3ccc(C#N)c(Cl)c3)CC2)n1 ZINC001232117246 1097942956 /nfs/dbraw/zinc/94/29/56/1097942956.db2.gz WLIGSGQWFJVTQO-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001277652538 1098013433 /nfs/dbraw/zinc/01/34/33/1098013433.db2.gz WGGBNKRZNIBXGR-NEPJUHHUSA-N 0 1 306.372 3.072 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cnc4cccnc4c3)sc2C1 ZINC001175353635 1098022045 /nfs/dbraw/zinc/02/20/45/1098022045.db2.gz IGKKDJVJELYXRV-UHFFFAOYSA-N 0 1 321.409 3.294 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001175469063 1098054497 /nfs/dbraw/zinc/05/44/97/1098054497.db2.gz MPMASWSAENGQKM-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001175468819 1098054535 /nfs/dbraw/zinc/05/45/35/1098054535.db2.gz KPFVJTFPFPNGDD-HNNXBMFYSA-N 0 1 321.465 3.350 20 30 DGEDMN CN1CCC=C(Nc2cc(F)c(Br)cc2C#N)C1 ZINC001175582568 1098088247 /nfs/dbraw/zinc/08/82/47/1098088247.db2.gz HCBUYMLTDCYEQN-UHFFFAOYSA-N 0 1 310.170 3.091 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cccc(CN2CCC(S)CC2)n1 ZINC001232604585 1098091821 /nfs/dbraw/zinc/09/18/21/1098091821.db2.gz JIIWGWMAGAINEJ-UHFFFAOYSA-N 0 1 323.462 3.323 20 30 DGEDMN C[N@@H+](Cc1ccc(N2CCCC2)cc1)Cc1cc(C#N)ccc1[O-] ZINC001232680080 1098113863 /nfs/dbraw/zinc/11/38/63/1098113863.db2.gz XNZPJPUTBPPACQ-UHFFFAOYSA-N 0 1 321.424 3.496 20 30 DGEDMN CCCc1noc([C@H]2CCCN(Cc3ccc(C#N)s3)C2)n1 ZINC001232844892 1098152087 /nfs/dbraw/zinc/15/20/87/1098152087.db2.gz AUPVUXLQYJQBED-LBPRGKRZSA-N 0 1 316.430 3.335 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3cccc(C#N)n3)CC2)c1 ZINC001232924675 1098173051 /nfs/dbraw/zinc/17/30/51/1098173051.db2.gz SENQMYJXHLABSR-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN Cc1ccc(O[C@@H]2CCCN(Cc3cccc(C#N)n3)C2)cc1 ZINC001232924851 1098173764 /nfs/dbraw/zinc/17/37/64/1098173764.db2.gz XBAIOUJFBFFWMC-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN COC(=O)c1cccc(CN(C)C[C@@H](C)C(C)(C)C)c1C#N ZINC001175984870 1098219536 /nfs/dbraw/zinc/21/95/36/1098219536.db2.gz XGMCVWFNHYSLEV-CYBMUJFWSA-N 0 1 302.418 3.459 20 30 DGEDMN CN(C)c1ccc(CN2CC[C@H](c3cccnc3)C2)c(F)c1C#N ZINC001233100431 1098227142 /nfs/dbraw/zinc/22/71/42/1098227142.db2.gz IQTRTRBEYIEKKF-HNNXBMFYSA-N 0 1 324.403 3.148 20 30 DGEDMN COc1ccc2c(c1)C[N@H+](Cc1ccc(C#N)cc1[O-])CCC2 ZINC001233387647 1098311329 /nfs/dbraw/zinc/31/13/29/1098311329.db2.gz RLDGFVOAYQSYTG-UHFFFAOYSA-N 0 1 308.381 3.221 20 30 DGEDMN CC[N@@H+](Cc1ccc(N(C)C)cc1)Cc1ccc(C#N)cc1[O-] ZINC001233387285 1098311740 /nfs/dbraw/zinc/31/17/40/1098311740.db2.gz CPILMTKUGJNRCS-UHFFFAOYSA-N 0 1 309.413 3.352 20 30 DGEDMN C[C@]1(CN2CCc3c(C#N)c(N)sc3C2)CC1(Cl)Cl ZINC001176771625 1098436404 /nfs/dbraw/zinc/43/64/04/1098436404.db2.gz OLZZNWHHBOKCHN-GFCCVEGCSA-N 0 1 316.257 3.144 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001233946905 1098463865 /nfs/dbraw/zinc/46/38/65/1098463865.db2.gz FQXNZSNLZDOBOQ-HXUWFJFHSA-N 0 1 324.468 3.012 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001233947640 1098464609 /nfs/dbraw/zinc/46/46/09/1098464609.db2.gz NKFHMTCJGRBUTE-LJQANCHMSA-N 0 1 312.457 3.140 20 30 DGEDMN CCc1cc(O)ccc1O[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001234102508 1098495168 /nfs/dbraw/zinc/49/51/68/1098495168.db2.gz PEQALPCATBAYEY-LJQANCHMSA-N 0 1 322.408 3.480 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc([C@@H]2CC(=O)C=C(C)O2)cc1 ZINC001234157800 1098506289 /nfs/dbraw/zinc/50/62/89/1098506289.db2.gz BYBJDQPXQNAUOZ-BZNIZROVSA-N 0 1 314.337 3.219 20 30 DGEDMN Cc1ccccc1CN1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234196309 1098511725 /nfs/dbraw/zinc/51/17/25/1098511725.db2.gz RDISIZWQDALBGZ-GOSISDBHSA-N 0 1 312.457 3.077 20 30 DGEDMN CN(C[C@@H]1CCN1[C@@H]1CCc2ccccc21)C(=O)C#CC(C)(C)C ZINC001234207014 1098514807 /nfs/dbraw/zinc/51/48/07/1098514807.db2.gz XUYCPNUBDGHGMX-PKOBYXMFSA-N 0 1 324.468 3.256 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(Br)ccc(C)c1F ZINC001234211493 1098515925 /nfs/dbraw/zinc/51/59/25/1098515925.db2.gz UATINULQEBERTA-ZETCQYMHSA-N 0 1 315.138 3.495 20 30 DGEDMN C=C[C@@H](CCCC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234568319 1098603826 /nfs/dbraw/zinc/60/38/26/1098603826.db2.gz MCZFJXMGXLBAEG-VIFPVBQESA-N 0 1 301.184 3.377 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N1CCC[C@@H]1c1nccs1 ZINC001177910218 1098645405 /nfs/dbraw/zinc/64/54/05/1098645405.db2.gz XIXLUWGMRZQQND-DGCLKSJQSA-N 0 1 317.439 3.251 20 30 DGEDMN C[C@H](NC(=O)C(C#N)Cc1cccs1)c1nc2ccccc2[nH]1 ZINC001177916006 1098648448 /nfs/dbraw/zinc/64/84/48/1098648448.db2.gz CPVIYHQJQMOLIE-NWDGAFQWSA-N 0 1 324.409 3.184 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C)nc1C1CC1 ZINC001277894185 1098669617 /nfs/dbraw/zinc/66/96/17/1098669617.db2.gz WCMAPZZQKUAVPQ-ZDUSSCGKSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(N(C)C(=O)C(C)C)cc1 ZINC001234837807 1098676259 /nfs/dbraw/zinc/67/62/59/1098676259.db2.gz ROSUGJAUIQOFLZ-OAHLLOKOSA-N 0 1 317.385 3.294 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC(F)(F)F)c(OC)c1 ZINC001234937246 1098718089 /nfs/dbraw/zinc/71/80/89/1098718089.db2.gz QAMIPQARKYXTHE-SSDOTTSWSA-N 0 1 318.247 3.192 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C\[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001178207613 1098748317 /nfs/dbraw/zinc/74/83/17/1098748317.db2.gz JWPPWQGVXFRLAC-QBNCSRNQSA-N 0 1 316.489 3.219 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1cc(Br)cc(F)c1O ZINC001235069763 1098783009 /nfs/dbraw/zinc/78/30/09/1098783009.db2.gz WUNHNTYZCDSNBN-NSHDSACASA-N 0 1 313.170 3.172 20 30 DGEDMN O=C1C=C2CN(Cc3cccc(N4CCCC4)c3)CC[C@@H]2S1 ZINC001235085529 1098791465 /nfs/dbraw/zinc/79/14/65/1098791465.db2.gz IVYKMUCCHGKTAV-KRWDZBQOSA-N 0 1 314.454 3.061 20 30 DGEDMN O=C1C=C2CN(Cc3cccc(N4CCCC4)c3)CCC2S1 ZINC001235085529 1098791469 /nfs/dbraw/zinc/79/14/69/1098791469.db2.gz IVYKMUCCHGKTAV-KRWDZBQOSA-N 0 1 314.454 3.061 20 30 DGEDMN C#CCCCC(=O)NCCCCCCN[C@H](C)c1ncc(C)o1 ZINC001178327323 1098797366 /nfs/dbraw/zinc/79/73/66/1098797366.db2.gz DIDCTVGGOKOBGO-MRXNPFEDSA-N 0 1 319.449 3.114 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1ncc(C)o1 ZINC001178596438 1098866224 /nfs/dbraw/zinc/86/62/24/1098866224.db2.gz OCUKASXDPGGBDH-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=C[C@H](CO)NCc1cn(-c2ccc(C)cc2)nc1C(C)(C)C ZINC001179217315 1099039939 /nfs/dbraw/zinc/03/99/39/1099039939.db2.gz ZGEJOSXQUDREIY-MRXNPFEDSA-N 0 1 313.445 3.115 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179334977 1099075825 /nfs/dbraw/zinc/07/58/25/1099075825.db2.gz DDXSEZMIUDMTJH-HNNXBMFYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCCCCNCc1cc(C)on1 ZINC001179339308 1099077387 /nfs/dbraw/zinc/07/73/87/1099077387.db2.gz CBFYOQZBLSUPGV-MRXNPFEDSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)sc2C)[C@@H]1C ZINC001179463553 1099106617 /nfs/dbraw/zinc/10/66/17/1099106617.db2.gz JTDMBNGWCZLPSC-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1nc3ccc(F)cc3[nH]1)C2 ZINC001235681949 1099124083 /nfs/dbraw/zinc/12/40/83/1099124083.db2.gz GENHZERGRDBQEO-UHFFFAOYSA-N 0 1 306.344 3.132 20 30 DGEDMN COCc1ccccc1/C=C(/C)CNCC1(C#N)CCOCC1 ZINC001179780760 1099196255 /nfs/dbraw/zinc/19/62/55/1099196255.db2.gz TXQBSWPVKPIDRB-WJDWOHSUSA-N 0 1 314.429 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CC)CC(C)C)[C@H]1C ZINC001179891005 1099224951 /nfs/dbraw/zinc/22/49/51/1099224951.db2.gz HLBQRTDIQHSMFT-ZNMIVQPWSA-N 0 1 300.874 3.390 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CC)CC(C)C)[C@H]1C ZINC001179891004 1099224490 /nfs/dbraw/zinc/22/44/90/1099224490.db2.gz HLBQRTDIQHSMFT-KKUMJFAQSA-N 0 1 300.874 3.390 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(c2c(C#N)cccc2C#N)CC1 ZINC001187463274 1099524696 /nfs/dbraw/zinc/52/46/96/1099524696.db2.gz UCGPHDLOOHNSKB-MRXNPFEDSA-N 0 1 316.408 3.313 20 30 DGEDMN Cc1coc(NC(=O)c2cc(-c3ccccc3C)n[nH]2)c1C#N ZINC001187969416 1099643861 /nfs/dbraw/zinc/64/38/61/1099643861.db2.gz BVLWFNQFBMKVDV-UHFFFAOYSA-N 0 1 306.325 3.411 20 30 DGEDMN C=CC[C@@H](C(=O)N1CC[C@@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001188002369 1099656212 /nfs/dbraw/zinc/65/62/12/1099656212.db2.gz PALBXRUQHDYZEJ-IAGOWNOFSA-N 0 1 318.848 3.289 20 30 DGEDMN Cc1nc(C)c(CN2CCC[C@@H](Oc3ccccc3C#N)C2)o1 ZINC001236595789 1099693632 /nfs/dbraw/zinc/69/36/32/1099693632.db2.gz VJECOKGFUZTZFY-MRXNPFEDSA-N 0 1 311.385 3.207 20 30 DGEDMN Cc1nc(C)c(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)o1 ZINC001236602986 1099699208 /nfs/dbraw/zinc/69/92/08/1099699208.db2.gz VKSNOBIBAGLSFA-QGZVFWFLSA-N 0 1 311.385 3.207 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cc(F)cc2c1OCCC2 ZINC001236629459 1099713868 /nfs/dbraw/zinc/71/38/68/1099713868.db2.gz JOYQWHGFGVFLQS-IBEGIYRJSA-N 0 1 300.377 3.417 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(OC)c(Br)cc2O)C1 ZINC001236757779 1099772288 /nfs/dbraw/zinc/77/22/88/1099772288.db2.gz XUNCCBSLGMAFKF-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)CCCCCC ZINC001115111586 1099775129 /nfs/dbraw/zinc/77/51/29/1099775129.db2.gz SMGXSZPMPYIKNU-VXNCWWDNSA-N 0 1 304.478 3.053 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(O)c(C(F)(F)F)c1)c1ccccc1 ZINC001188564668 1099784251 /nfs/dbraw/zinc/78/42/51/1099784251.db2.gz YGSPCZFRWZPREM-ZDUSSCGKSA-N 0 1 320.270 3.406 20 30 DGEDMN C[Si](C)(C)C#Cc1ccc(NS(=O)(=O)c2ccoc2)cc1 ZINC001188610682 1099793123 /nfs/dbraw/zinc/79/31/23/1099793123.db2.gz WJPMFTMZPVUTLA-UHFFFAOYSA-N 0 1 319.458 3.309 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188572954 1099796019 /nfs/dbraw/zinc/79/60/19/1099796019.db2.gz XNUYKPLXBXLXIQ-UHFFFAOYSA-N 0 1 321.258 3.238 20 30 DGEDMN CCCCCCC/C=C/CN1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001278037873 1099913535 /nfs/dbraw/zinc/91/35/35/1099913535.db2.gz SZMXBJLWQMTVCN-ZHACJKMWSA-N 0 1 318.465 3.344 20 30 DGEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)C[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001189212903 1099994205 /nfs/dbraw/zinc/99/42/05/1099994205.db2.gz QAUKWNRLMBHLNF-OKZBNKHCSA-N 0 1 304.478 3.478 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H](C)c2ccccc2F)C1 ZINC001189269041 1100011700 /nfs/dbraw/zinc/01/17/00/1100011700.db2.gz FUPKMWHPSHQGTC-CABCVRRESA-N 0 1 304.409 3.386 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC001278080248 1100063785 /nfs/dbraw/zinc/06/37/85/1100063785.db2.gz QQEFRJQWTPDMQK-QGZVFWFLSA-N 0 1 312.457 3.093 20 30 DGEDMN CCC(=CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1)CC ZINC001189633404 1100102807 /nfs/dbraw/zinc/10/28/07/1100102807.db2.gz WJTPKQQJQSFXAW-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN COc1ccc2c(c1)n[nH]c2CN1CCc2ccc(C#N)cc2C1 ZINC001237386529 1100103277 /nfs/dbraw/zinc/10/32/77/1100103277.db2.gz WLCYYZBKXOJRJW-UHFFFAOYSA-N 0 1 318.380 3.001 20 30 DGEDMN COc1cccc(NC(=O)c2cn[nH]c2-c2ccccc2)c1C#N ZINC001189697296 1100115342 /nfs/dbraw/zinc/11/53/42/1100115342.db2.gz VCWARGHRHPBBBT-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)c2ccccc2F)C1 ZINC001189926051 1100151965 /nfs/dbraw/zinc/15/19/65/1100151965.db2.gz KBNGRPINLLKWIW-HOTGVXAUSA-N 0 1 316.420 3.223 20 30 DGEDMN N#C[C@H]1CN(Cc2c(Cl)cc(F)cc2Cl)CCC1=O ZINC001237600502 1100183834 /nfs/dbraw/zinc/18/38/34/1100183834.db2.gz YJMWJNKBJVXTST-QMMMGPOBSA-N 0 1 301.148 3.047 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCC3CCCCC3)[C@@H]2C1 ZINC001190058306 1100195861 /nfs/dbraw/zinc/19/58/61/1100195861.db2.gz FLLUXYYLVVHICN-RBUKOAKNSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cncc(C)c2)C1 ZINC001190398560 1100259051 /nfs/dbraw/zinc/25/90/51/1100259051.db2.gz XMMVFODUYJPQNP-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001190398560 1100259056 /nfs/dbraw/zinc/25/90/56/1100259056.db2.gz XMMVFODUYJPQNP-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1cnc(CC)s1)C2 ZINC001237827212 1100260106 /nfs/dbraw/zinc/26/01/06/1100260106.db2.gz YWGGVFUWCRVPBH-YOEHRIQHSA-N 0 1 322.474 3.032 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2ccc(Cl)nc2)C1 ZINC001190423187 1100270313 /nfs/dbraw/zinc/27/03/13/1100270313.db2.gz NKXGICOHKTXWSL-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C#CC1CCN(Cc2cccc(OC)c2Br)CC1 ZINC001237867308 1100272026 /nfs/dbraw/zinc/27/20/26/1100272026.db2.gz FNQLBIFWHXEKRU-UHFFFAOYSA-N 0 1 308.219 3.303 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(F)c3ccccc3c2)C1 ZINC001190519091 1100284997 /nfs/dbraw/zinc/28/49/97/1100284997.db2.gz ILIIVJWRHSJJLN-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2c(Cl)ccc(O)c2Cl)nc1 ZINC001190591792 1100303874 /nfs/dbraw/zinc/30/38/74/1100303874.db2.gz CXRHEBXZDWRXDR-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN Cc1ccc(CN2CCN(c3ccccc3C#N)CC2)c(C)c1 ZINC001190730515 1100328681 /nfs/dbraw/zinc/32/86/81/1100328681.db2.gz INKQTNVZTZMKDA-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN C[C@@H](C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1)C1CCC1 ZINC001190870880 1100353952 /nfs/dbraw/zinc/35/39/52/1100353952.db2.gz WONXQBGGCBKJJD-YLJYHZDGSA-N 0 1 324.468 3.007 20 30 DGEDMN CCCCCCCCNC(=O)NCCN1CCC(F)CC1 ZINC001191076266 1100394681 /nfs/dbraw/zinc/39/46/81/1100394681.db2.gz CWWCLQDLDMSXQB-UHFFFAOYSA-N 0 1 301.450 3.080 20 30 DGEDMN C=CCN(Cc1cc(C(=O)OCC)no1)CC1CCCCC1 ZINC001190983358 1100375913 /nfs/dbraw/zinc/37/59/13/1100375913.db2.gz BZJAPDALDXPPPN-UHFFFAOYSA-N 0 1 306.406 3.420 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001191038673 1100384615 /nfs/dbraw/zinc/38/46/15/1100384615.db2.gz BAUWPUPCMBBPBS-UUKMXZOPSA-N 0 1 324.468 3.126 20 30 DGEDMN N#Cc1cc(CN2CCC3(C[C@@H](F)CO3)CC2)ccc1Cl ZINC001238199392 1100390277 /nfs/dbraw/zinc/39/02/77/1100390277.db2.gz SFGFOBSWVNWHMU-CQSZACIVSA-N 0 1 308.784 3.305 20 30 DGEDMN CCCCc1ccc(S(=O)(=O)Nc2cncc(C#N)c2)cc1 ZINC001191225267 1100433630 /nfs/dbraw/zinc/43/36/30/1100433630.db2.gz TXLGXCUWUHBWQB-UHFFFAOYSA-N 0 1 315.398 3.097 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCC2CCCCCC2)C1 ZINC001191382932 1100454556 /nfs/dbraw/zinc/45/45/56/1100454556.db2.gz NPBZHMNNNRREQO-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3cscc3s2)C1 ZINC001191413927 1100463418 /nfs/dbraw/zinc/46/34/18/1100463418.db2.gz ISFUYWHIRTVYHW-ZDUSSCGKSA-N 0 1 318.467 3.132 20 30 DGEDMN COc1cc(C)c(C)cc1CN1CCC2SC(=O)C=C2C1 ZINC001238756193 1100520402 /nfs/dbraw/zinc/52/04/02/1100520402.db2.gz CYXUMBXPNLJCQW-MRXNPFEDSA-N 0 1 303.427 3.086 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001191911680 1100537286 /nfs/dbraw/zinc/53/72/86/1100537286.db2.gz ILPFZGVAWCVBMJ-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001192333294 1100605546 /nfs/dbraw/zinc/60/55/46/1100605546.db2.gz SBPRJWNKGQFCRF-MJGOQNOKSA-N 0 1 312.457 3.045 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cnc(Cl)cc2C)ccc1C#N ZINC001192357845 1100610069 /nfs/dbraw/zinc/61/00/69/1100610069.db2.gz NPSLGDFAZZRFBC-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)cc2C)cnc1Cl ZINC001192519581 1100628589 /nfs/dbraw/zinc/62/85/89/1100628589.db2.gz OVKNPYNTGWHDAT-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CCCCCCCCCOC(=O)NCc1noc2c1CNCC2 ZINC001239319168 1100630599 /nfs/dbraw/zinc/63/05/99/1100630599.db2.gz XJJYHSALEFIBHR-UHFFFAOYSA-N 0 1 323.437 3.297 20 30 DGEDMN COc1ccc2c(c1)nccc2-c1cc2[nH]cnc2c(C#N)n1 ZINC001239668979 1100719919 /nfs/dbraw/zinc/71/99/19/1100719919.db2.gz LDGVOVJNNUXCTQ-UHFFFAOYSA-N 0 1 301.309 3.053 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001193367586 1100735211 /nfs/dbraw/zinc/73/52/11/1100735211.db2.gz VUSLMOBMOGUXLY-RDJZCZTQSA-N 0 1 324.424 3.092 20 30 DGEDMN COc1nc(NC(=O)c2ccc(O)c(C#N)c2)cc2ccccc21 ZINC001193497876 1100752771 /nfs/dbraw/zinc/75/27/71/1100752771.db2.gz FDEPAIXHRQXIRZ-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN CN(C(=O)c1ccc(O)c(C#N)c1)[C@@H](C1CCC1)C(F)(F)F ZINC001193505039 1100757336 /nfs/dbraw/zinc/75/73/36/1100757336.db2.gz XDIHIGSRGMDYIH-ZDUSSCGKSA-N 0 1 312.291 3.067 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc3ccccc3cc2O)ccc1O ZINC001193505032 1100757595 /nfs/dbraw/zinc/75/75/95/1100757595.db2.gz ISHKNPFOSIMVSO-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(O)c(O)c(Cl)c2)cc1F ZINC001193625193 1100774468 /nfs/dbraw/zinc/77/44/68/1100774468.db2.gz OLEVIEKRJHRYQD-UHFFFAOYSA-N 0 1 305.692 3.124 20 30 DGEDMN Cc1ccc2c(CCNC(=O)c3cccc(C#N)c3O)c[nH]c2c1 ZINC001193658105 1100786180 /nfs/dbraw/zinc/78/61/80/1100786180.db2.gz SUFFYTQHEGCFNF-UHFFFAOYSA-N 0 1 319.364 3.026 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CCC)c2ccccc2)C1 ZINC001193877143 1100821517 /nfs/dbraw/zinc/82/15/17/1100821517.db2.gz CCHCDKMYAGINDU-RTBURBONSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001194358455 1100918071 /nfs/dbraw/zinc/91/80/71/1100918071.db2.gz HMGQLHIVHHICQW-HKUYNNGSSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001194335751 1100925430 /nfs/dbraw/zinc/92/54/30/1100925430.db2.gz BPNSHHCTXXTAJZ-IEBWSBKVSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001194335750 1100925451 /nfs/dbraw/zinc/92/54/51/1100925451.db2.gz BPNSHHCTXXTAJZ-HKUYNNGSSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2cccc(F)c2C)CC1 ZINC001194342976 1100927558 /nfs/dbraw/zinc/92/75/58/1100927558.db2.gz IMKPQFLKHAGVEX-UHFFFAOYSA-N 0 1 306.381 3.011 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(/C=N/O)ccc3F)cc2)CC1 ZINC001240855654 1101159955 /nfs/dbraw/zinc/15/99/55/1101159955.db2.gz QHEUQVDERMOVPQ-DEDYPNTBSA-N 0 1 313.376 3.053 20 30 DGEDMN C#CCCCC(=O)N1CCCN(C/C=C/c2ccccc2)CC1 ZINC001195645108 1101169489 /nfs/dbraw/zinc/16/94/89/1101169489.db2.gz JPKBQWMIVRATNL-XYOKQWHBSA-N 0 1 310.441 3.038 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3ccccc3nc2Cl)c(O)c1 ZINC001195733282 1101182399 /nfs/dbraw/zinc/18/23/99/1101182399.db2.gz HWHSJSMHSDUPKG-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3cccc(N)c32)c(O)c1 ZINC001195758293 1101190512 /nfs/dbraw/zinc/19/05/12/1101190512.db2.gz MPUUSBXGFUZUSF-UHFFFAOYSA-N 0 1 303.321 3.252 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3cncc(F)c32)c(O)c1 ZINC001195758959 1101190713 /nfs/dbraw/zinc/19/07/13/1101190713.db2.gz VMNJBVLCODXKKR-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2csc(-c3ccncc3)n2)c(O)c1 ZINC001195741095 1101196595 /nfs/dbraw/zinc/19/65/95/1101196595.db2.gz OBRHQBHKBUZXFX-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN N#Cc1ccc(C(=O)N2CCC[C@H](Cc3ccccc3)C2)c(O)c1 ZINC001195740602 1101196613 /nfs/dbraw/zinc/19/66/13/1101196613.db2.gz AYJPASLSUKGETG-QGZVFWFLSA-N 0 1 320.392 3.359 20 30 DGEDMN N#Cc1ccc(C(=O)N2CCCC3(CC(F)C3)CC2)c(O)c1 ZINC001195750291 1101197565 /nfs/dbraw/zinc/19/75/65/1101197565.db2.gz ICOFZCNNTZZFON-UHFFFAOYSA-N 0 1 302.349 3.008 20 30 DGEDMN CCOc1ccc(F)c(-c2cc3nc[nH]c3c(C#N)n2)c1F ZINC001241057430 1101238928 /nfs/dbraw/zinc/23/89/28/1101238928.db2.gz WLLVSSQTGRLEHR-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN N#Cc1nc(C2=CCN(c3ccccc3)CC2)cc2[nH]cnc21 ZINC001241339449 1101386774 /nfs/dbraw/zinc/38/67/74/1101386774.db2.gz YGMLLURSYVFVNE-UHFFFAOYSA-N 0 1 301.353 3.123 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[N@H+](CCCCCF)CC1 ZINC001196771708 1101396575 /nfs/dbraw/zinc/39/65/75/1101396575.db2.gz XSXJBZMKJYTGDB-UHFFFAOYSA-N 0 1 310.457 3.244 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196855039 1101418461 /nfs/dbraw/zinc/41/84/61/1101418461.db2.gz XLIJHCWPKXZJCV-ROUUACIJSA-N 0 1 314.473 3.488 20 30 DGEDMN C#CCCN1CCCN(C(=O)C2(CC(C)C)CCCC2)CC1 ZINC001197477954 1101571831 /nfs/dbraw/zinc/57/18/31/1101571831.db2.gz QRMKUJRMAKALQP-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3cc(F)cc(C#N)c3)cc2[nH]1 ZINC001241658394 1101614174 /nfs/dbraw/zinc/61/41/74/1101614174.db2.gz COOSCEIEIBRNHC-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CCC[C@@H]1COC(C)(C)C ZINC001197630591 1101632643 /nfs/dbraw/zinc/63/26/43/1101632643.db2.gz RNLYUWACOQBJTM-MRXNPFEDSA-N 0 1 302.418 3.346 20 30 DGEDMN COc1cc(C#N)cc(-c2ccc3[nH]cnc3c2)c1OC(C)=O ZINC001241697558 1101643055 /nfs/dbraw/zinc/64/30/55/1101643055.db2.gz YEQFMRSEANLSCP-UHFFFAOYSA-N 0 1 307.309 3.035 20 30 DGEDMN CCCCCCCCCCC(=O)N1CCCN(CCO)CC1 ZINC001197928892 1101701998 /nfs/dbraw/zinc/70/19/98/1101701998.db2.gz YUDQAAWYWNSBBR-UHFFFAOYSA-N 0 1 312.498 3.044 20 30 DGEDMN C#CCN(Cc1n[nH]c(C(C)C)n1)[C@@H]1CCCc2ccccc21 ZINC001198105785 1101764251 /nfs/dbraw/zinc/76/42/51/1101764251.db2.gz YVGILRUDXQJKMT-QGZVFWFLSA-N 0 1 308.429 3.441 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H](C)CCc2cccc(F)c2)CC1 ZINC001198190467 1101784006 /nfs/dbraw/zinc/78/40/06/1101784006.db2.gz HENUZLSKTDBHRH-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)CCc2cccc(F)c2)CC1 ZINC001198190467 1101784013 /nfs/dbraw/zinc/78/40/13/1101784013.db2.gz HENUZLSKTDBHRH-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1cc([N+](=O)[O-])ccc1C ZINC001198406014 1101846085 /nfs/dbraw/zinc/84/60/85/1101846085.db2.gz CYLGFDOXMGAYOD-AWEZNQCLSA-N 0 1 317.389 3.272 20 30 DGEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@](C)(CC)CCCCCC)C1 ZINC001198848114 1101998988 /nfs/dbraw/zinc/99/89/88/1101998988.db2.gz KNPDRDIJKBTRHF-LMMKCTJWSA-N 0 1 324.509 3.111 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3cccc(OC)c3C#N)[nH]c-2n1 ZINC001242297523 1102037703 /nfs/dbraw/zinc/03/77/03/1102037703.db2.gz ISNIUMLJBFGJDF-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](C)CC(C)C)c1ccccc1OC ZINC001198945704 1102040384 /nfs/dbraw/zinc/04/03/84/1102040384.db2.gz PGAQKBTUXZKOJA-WBVHZDCISA-N 0 1 318.461 3.310 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C\c1ccccc1 ZINC001110533329 1102141274 /nfs/dbraw/zinc/14/12/74/1102141274.db2.gz QLDZUACGULPEKO-DTNCNXICSA-N 0 1 322.452 3.081 20 30 DGEDMN COCOc1cnc(-c2ccc(C#N)cc2C)cc1/C=C/N(C)C ZINC001242473490 1102152535 /nfs/dbraw/zinc/15/25/35/1102152535.db2.gz BOUYNGDJIAAEFN-BQYQJAHWSA-N 0 1 323.396 3.444 20 30 DGEDMN N#Cc1cnn(-c2ccccc2)c1Nc1cc(O)cc([N+](=O)[O-])c1 ZINC001199815081 1102219238 /nfs/dbraw/zinc/21/92/38/1102219238.db2.gz STKHKEZBVNLOHC-UHFFFAOYSA-N 0 1 321.296 3.101 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@@]23CCN(C2CCCC2)C3=O)c1 ZINC001273792049 1102228640 /nfs/dbraw/zinc/22/86/40/1102228640.db2.gz HDLRPTWWWMDWIT-NRFANRHFSA-N 0 1 322.452 3.177 20 30 DGEDMN COCOc1cnc(-c2ccc(C#N)c(C)c2)cc1/C=C/N(C)C ZINC001242767355 1102329038 /nfs/dbraw/zinc/32/90/38/1102329038.db2.gz RRFFEFPIJOKBGW-BQYQJAHWSA-N 0 1 323.396 3.444 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3ccc(C#N)c(C)c3)nc2)CC1 ZINC001242768027 1102331939 /nfs/dbraw/zinc/33/19/39/1102331939.db2.gz PUAROPKBXRUSPO-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3cc(Br)cnc3F)c12 ZINC001243078490 1102511099 /nfs/dbraw/zinc/51/10/99/1102511099.db2.gz SQXJSUWQCYGTMG-UHFFFAOYSA-N 0 1 317.121 3.398 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N(CC)Cc1ccccc1F ZINC001152867135 1102540369 /nfs/dbraw/zinc/54/03/69/1102540369.db2.gz JCESYEXRYMBQLA-MRXNPFEDSA-N 0 1 318.436 3.346 20 30 DGEDMN CSc1ccc(F)c(-c2cc3nc[nH]c3c(C#N)n2)c1F ZINC001243196884 1102582942 /nfs/dbraw/zinc/58/29/42/1102582942.db2.gz FPRKDTLSVZYWJA-UHFFFAOYSA-N 0 1 302.309 3.497 20 30 DGEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1C[C@@H](CC)CCCC ZINC001201559128 1102650722 /nfs/dbraw/zinc/65/07/22/1102650722.db2.gz JBUMUODKDLETGO-RDJZCZTQSA-N 0 1 320.481 3.133 20 30 DGEDMN CCCCCCCN1CCCn2c(CN(C)C(C)C)nnc2C1 ZINC001201776305 1102733284 /nfs/dbraw/zinc/73/32/84/1102733284.db2.gz ATTHLIMNIMRMMI-UHFFFAOYSA-N 0 1 321.513 3.294 20 30 DGEDMN CCCCCCCCCN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001201852580 1102736593 /nfs/dbraw/zinc/73/65/93/1102736593.db2.gz DGICVAJQDUSCDL-ZWKOTPCHSA-N 0 1 314.489 3.482 20 30 DGEDMN CCCCCCCCN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001201840527 1102745665 /nfs/dbraw/zinc/74/56/65/1102745665.db2.gz ZDNYPXGRMNCBKD-DLBZAZTESA-N 0 1 300.462 3.092 20 30 DGEDMN Cc1cc(NC(=O)Nc2cccc(C#N)c2)n(-c2ccncc2)n1 ZINC001202778848 1103055970 /nfs/dbraw/zinc/05/59/70/1103055970.db2.gz CWJNXUFLIYLXFK-UHFFFAOYSA-N 0 1 318.340 3.091 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1ccc(C)cc1 ZINC001495018145 1103067843 /nfs/dbraw/zinc/06/78/43/1103067843.db2.gz ZPDPTOQFALIVFB-MRXNPFEDSA-N 0 1 308.853 3.165 20 30 DGEDMN C=C[C@@H](COC)N[C@H](C)c1cc(Br)ccc1F ZINC001325620171 1103085288 /nfs/dbraw/zinc/08/52/88/1103085288.db2.gz QUDXQFHAWIQPEN-KOLCDFICSA-N 0 1 302.187 3.440 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC[C@@H](C)c1ccccc1 ZINC001488670644 1103100770 /nfs/dbraw/zinc/10/07/70/1103100770.db2.gz IGLBZGDOYOGYQP-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)c1ccccc1 ZINC001488687774 1103109706 /nfs/dbraw/zinc/10/97/06/1103109706.db2.gz GARRMCCVHQACGS-KRWDZBQOSA-N 0 1 300.446 3.073 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)CCC=C(C)C ZINC001488663298 1103120595 /nfs/dbraw/zinc/12/05/95/1103120595.db2.gz OHBDUBSONVIAGP-ZWKOTPCHSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1ccc(C)s1 ZINC001488703128 1103133078 /nfs/dbraw/zinc/13/30/78/1103133078.db2.gz NVNLQCYPSYGRFK-INIZCTEOSA-N 0 1 320.502 3.488 20 30 DGEDMN CCCCC(=O)N1CCC[C@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]21 ZINC001325931405 1103166246 /nfs/dbraw/zinc/16/62/46/1103166246.db2.gz BKWXJGQOEXQVQE-VQTJNVASSA-N 0 1 324.468 3.151 20 30 DGEDMN CCCCC(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001325931405 1103166251 /nfs/dbraw/zinc/16/62/51/1103166251.db2.gz BKWXJGQOEXQVQE-VQTJNVASSA-N 0 1 324.468 3.151 20 30 DGEDMN Cc1nn(-c2ccccc2)c(Cl)c1CN=Nc1ccn(C)n1 ZINC001326241069 1103243810 /nfs/dbraw/zinc/24/38/10/1103243810.db2.gz CHECNYQXXHSBDK-UHFFFAOYSA-N 0 1 314.780 3.014 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1CC ZINC001489107044 1103272279 /nfs/dbraw/zinc/27/22/79/1103272279.db2.gz VXPYKEPYPNMYIS-DLBZAZTESA-N 0 1 304.409 3.261 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(C[C@@H](F)CC)[C@@H]2CC)CCC1 ZINC001489131938 1103289194 /nfs/dbraw/zinc/28/91/94/1103289194.db2.gz BFUMVUQNGLAUSV-HRCADAONSA-N 0 1 310.457 3.450 20 30 DGEDMN Cc1ncc(C(F)(F)F)cc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001244256051 1103314867 /nfs/dbraw/zinc/31/48/67/1103314867.db2.gz HMRNGUMQIMOGBN-UHFFFAOYSA-N 0 1 303.247 3.219 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001489289014 1103341492 /nfs/dbraw/zinc/34/14/92/1103341492.db2.gz QPJFWHICXSJYOY-KMFMINBZSA-N 0 1 306.475 3.429 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1coc2c1cccc2C ZINC001489326937 1103360207 /nfs/dbraw/zinc/36/02/07/1103360207.db2.gz SPWNHBVERKVOEF-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C1CCC=CCC1 ZINC001489333897 1103365041 /nfs/dbraw/zinc/36/50/41/1103365041.db2.gz ZGNUHIWSEIUNPA-SFHVURJKSA-N 0 1 302.462 3.069 20 30 DGEDMN COc1cc(F)c(-c2cc3[nH]cnc3c(C#N)n2)cc1Cl ZINC001244349173 1103384346 /nfs/dbraw/zinc/38/43/46/1103384346.db2.gz BZFLTQXEELHJNO-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3ccccc3Cl)C[C@H]21 ZINC001114756248 1103431035 /nfs/dbraw/zinc/43/10/35/1103431035.db2.gz XZJVCOGHOCLBDV-HWWDLCQESA-N 0 1 318.848 3.243 20 30 DGEDMN CC(C)(C)/C=C/C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001326797862 1103446730 /nfs/dbraw/zinc/44/67/30/1103446730.db2.gz ITTOUUFDHOHEKJ-NTCAYCPXSA-N 0 1 324.468 3.269 20 30 DGEDMN N#Cc1nc(-c2cc(OC(F)(F)F)ccc2O)cc2[nH]cnc21 ZINC001244455718 1103450633 /nfs/dbraw/zinc/45/06/33/1103450633.db2.gz KPQZZVXEWJYLRQ-UHFFFAOYSA-N 0 1 320.230 3.101 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](CC=C)c1ccccc1 ZINC001276332819 1103505524 /nfs/dbraw/zinc/50/55/24/1103505524.db2.gz AECUZZIREWEUBK-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1c(Cl)ccc(O)c1F ZINC001244539490 1103506754 /nfs/dbraw/zinc/50/67/54/1103506754.db2.gz YVEBBWUDJQLCMX-UHFFFAOYSA-N 0 1 322.723 3.410 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001327097562 1103518163 /nfs/dbraw/zinc/51/81/63/1103518163.db2.gz GGNSVOGVXLQBPX-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)/C=C/c2ccco2)CC1 ZINC001327094507 1103518724 /nfs/dbraw/zinc/51/87/24/1103518724.db2.gz KOCDKUSMQFUPJX-PSKZRQQASA-N 0 1 308.809 3.016 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1ccc(F)c(OC)c1 ZINC001327103107 1103524883 /nfs/dbraw/zinc/52/48/83/1103524883.db2.gz XMOHWGFLBNSIAC-UHFFFAOYSA-N 0 1 320.295 3.206 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@]1(C)C=CCC1 ZINC001327246183 1103599013 /nfs/dbraw/zinc/59/90/13/1103599013.db2.gz UNZQUQKNTBLYMP-OAQYLSRUSA-N 0 1 324.468 3.175 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)[C@H](C)CCCC)O2 ZINC001327348804 1103627119 /nfs/dbraw/zinc/62/71/19/1103627119.db2.gz DLEYTHBAPKCCIJ-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001490437308 1103627523 /nfs/dbraw/zinc/62/75/23/1103627523.db2.gz RAYLYWHKULGUFH-HXUWFJFHSA-N 0 1 324.468 3.304 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C(CC=C)CC=C)CC1 ZINC001490616384 1103682296 /nfs/dbraw/zinc/68/22/96/1103682296.db2.gz FYGUQTLGFFCVRA-UHFFFAOYSA-N 0 1 302.462 3.091 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2occc2Cl)CC1 ZINC001490618602 1103682665 /nfs/dbraw/zinc/68/26/65/1103682665.db2.gz OBULIPNJEACEAI-UHFFFAOYSA-N 0 1 310.825 3.436 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1C[C@H]1c1ccsc1)C1CC1 ZINC001490679154 1103692736 /nfs/dbraw/zinc/69/27/36/1103692736.db2.gz IQYRUIZOEBDMTH-RRFJBIMHSA-N 0 1 324.877 3.089 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC[C@H](CCF)C3)n2)cc1 ZINC001327659702 1103700482 /nfs/dbraw/zinc/70/04/82/1103700482.db2.gz CZRZBWQUNHKBGI-MRXNPFEDSA-N 0 1 312.392 3.451 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1ccccc1F)C1CC1 ZINC001490721221 1103702810 /nfs/dbraw/zinc/70/28/10/1103702810.db2.gz ZQXLWWISFIMZED-LRDDRELGSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](C)C(C)(F)F)cc2C1 ZINC001327695889 1103711299 /nfs/dbraw/zinc/71/12/99/1103711299.db2.gz KQTNFRNSFLIQTM-ZDUSSCGKSA-N 0 1 322.399 3.486 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@H]2C=CCC2)C1 ZINC001490749754 1103723129 /nfs/dbraw/zinc/72/31/29/1103723129.db2.gz YUKZMPJXKPAUOC-JKSUJKDBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1cccs1)C2 ZINC001095999933 1103727115 /nfs/dbraw/zinc/72/71/15/1103727115.db2.gz SOAXJZSVHNREFQ-XGUBFFRZSA-N 0 1 304.459 3.149 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)C)nc1C)C2 ZINC001096026232 1103730365 /nfs/dbraw/zinc/73/03/65/1103730365.db2.gz QLORHHCYTJMVBT-JLSDUUJJSA-N 0 1 313.445 3.035 20 30 DGEDMN CSc1nc(C)c(C#N)c(-c2cccc(CN(C)C)c2F)n1 ZINC001244863790 1103730425 /nfs/dbraw/zinc/73/04/25/1103730425.db2.gz LZNFDRQHGLLNHG-UHFFFAOYSA-N 0 1 316.405 3.246 20 30 DGEDMN CN(C)Cc1cccc(-c2cnc3ccc(C#N)cc3n2)c1F ZINC001244865480 1103733013 /nfs/dbraw/zinc/73/30/13/1103733013.db2.gz VBGVKTMVKZPKSL-UHFFFAOYSA-N 0 1 306.344 3.369 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN(C)Cc2conc2C)C1 ZINC001490772268 1103735288 /nfs/dbraw/zinc/73/52/88/1103735288.db2.gz LNPCCWUGFDXJSU-INIZCTEOSA-N 0 1 319.449 3.010 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cncc(Br)c2C)C1 ZINC001327838584 1103740470 /nfs/dbraw/zinc/74/04/70/1103740470.db2.gz ANTWJCJWNYDSIQ-ZDUSSCGKSA-N 0 1 307.235 3.388 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CC[C@H](C)C1(C)C)C2 ZINC001096104658 1103756347 /nfs/dbraw/zinc/75/63/47/1103756347.db2.gz ONPWLJMCQOSAPQ-SPCJURDBSA-N 0 1 304.478 3.356 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc(Cl)c1)C2 ZINC001096106960 1103757929 /nfs/dbraw/zinc/75/79/29/1103757929.db2.gz XECMSSSDBYVOMY-AGIUHOORSA-N 0 1 310.850 3.313 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1cc3ccccc3o1)C2 ZINC001096304955 1103797016 /nfs/dbraw/zinc/79/70/16/1103797016.db2.gz FIOZFTSDRXNTMJ-SIXLDLHFSA-N 0 1 324.424 3.444 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/c1ccc(F)cc1)C2 ZINC001110714048 1103803934 /nfs/dbraw/zinc/80/39/34/1103803934.db2.gz ZAVWRONFNHTTTF-GOEGGOLHSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1)C2 ZINC001096410456 1103824523 /nfs/dbraw/zinc/82/45/23/1103824523.db2.gz PSPYORNSLTWLFB-ILXRZTDVSA-N 0 1 324.346 3.227 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C1CCC1)C2 ZINC001096449387 1103838056 /nfs/dbraw/zinc/83/80/56/1103838056.db2.gz HXBSJEGKECMLEI-UXPWSPDFSA-N 0 1 322.452 3.313 20 30 DGEDMN O=C1C=C2CN(Cc3c[nH]c4c3cccc4F)CCC2S1 ZINC001137052867 1103848180 /nfs/dbraw/zinc/84/81/80/1103848180.db2.gz PNIIPSNUFGMVLC-CQSZACIVSA-N 0 1 302.374 3.081 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3ccccc3o1)C2 ZINC001096485320 1103848281 /nfs/dbraw/zinc/84/82/81/1103848281.db2.gz NZSORGKZDKXOOL-PMPSAXMXSA-N 0 1 310.397 3.344 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3ccccc3o1)C2 ZINC001096485320 1103848293 /nfs/dbraw/zinc/84/82/93/1103848293.db2.gz NZSORGKZDKXOOL-PMPSAXMXSA-N 0 1 310.397 3.344 20 30 DGEDMN N#Cc1cc(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)cs1 ZINC001328185959 1103868980 /nfs/dbraw/zinc/86/89/80/1103868980.db2.gz NQOZQNDSIIMWAM-HNNXBMFYSA-N 0 1 312.394 3.051 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1CC)C2 ZINC001096600312 1103878105 /nfs/dbraw/zinc/87/81/05/1103878105.db2.gz RKNXNQSITKKGEP-ILXRZTDVSA-N 0 1 304.459 3.222 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1CC(C)C)C2 ZINC001096638084 1103891764 /nfs/dbraw/zinc/89/17/64/1103891764.db2.gz RAOVPHHRXBTSLI-HOJAQTOUSA-N 0 1 324.468 3.244 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cc(C)ns2)[C@@H](C)C1 ZINC001328261101 1103892393 /nfs/dbraw/zinc/89/23/93/1103892393.db2.gz UNJKGMQWFXNJDM-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CC1CCC1 ZINC001328464513 1103954522 /nfs/dbraw/zinc/95/45/22/1103954522.db2.gz PAKAKQDBRBFLPS-LJQANCHMSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CN(CC)Cc2ccon2)CC1 ZINC001328574776 1103985295 /nfs/dbraw/zinc/98/52/95/1103985295.db2.gz RBEIGEZVXKOLIN-UHFFFAOYSA-N 0 1 319.449 3.091 20 30 DGEDMN C[C@@H]1C(=O)CCN(Cc2ccc(OCC#N)cc2)C12CCCC2 ZINC001137123970 1103996838 /nfs/dbraw/zinc/99/68/38/1103996838.db2.gz JMCZYQFAQYFJFL-OAHLLOKOSA-N 0 1 312.413 3.313 20 30 DGEDMN CC(C)(C#N)c1ccc(C2=CC[C@H](N3CCOCC3)CC2)cn1 ZINC001245427574 1104047430 /nfs/dbraw/zinc/04/74/30/1104047430.db2.gz YALKUOAUQRTDTH-KRWDZBQOSA-N 0 1 311.429 3.151 20 30 DGEDMN Cc1cc(F)cc(C2=CC[C@@H](N3CCOCC3)CC2)c1C#N ZINC001245427815 1104050988 /nfs/dbraw/zinc/05/09/88/1104050988.db2.gz ZLVWNMDBJCXNRG-MRXNPFEDSA-N 0 1 300.377 3.274 20 30 DGEDMN N#CCc1c(F)cccc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245428624 1104051305 /nfs/dbraw/zinc/05/13/05/1104051305.db2.gz PXDPAUAJFGTEAZ-HNNXBMFYSA-N 0 1 300.377 3.160 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@@H](NC/C(Cl)=C\Cl)C12CCC2 ZINC001202599619 1104071906 /nfs/dbraw/zinc/07/19/06/1104071906.db2.gz OGZOWWZYLZDNDQ-FWPSRMAWSA-N 0 1 317.260 3.289 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1sc(C)cc1C ZINC001329186775 1104183525 /nfs/dbraw/zinc/18/35/25/1104183525.db2.gz SZFVLJJEISQQFC-OLZOCXBDSA-N 0 1 314.882 3.143 20 30 DGEDMN COc1cc(-c2cc(/C=N/O)ccc2F)c(Cl)c(OC)n1 ZINC001245755203 1104221592 /nfs/dbraw/zinc/22/15/92/1104221592.db2.gz QUFSNSIYSRDHPN-REZTVBANSA-N 0 1 310.712 3.366 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CCC[C@H]3C)cc2C1 ZINC001274027305 1104226078 /nfs/dbraw/zinc/22/60/78/1104226078.db2.gz FGVKAZALSIVDFV-AEFFLSMTSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001329530737 1104302859 /nfs/dbraw/zinc/30/28/59/1104302859.db2.gz HULJGWISSFNIBO-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](CC)CCCC)C2 ZINC001110830074 1104312055 /nfs/dbraw/zinc/31/20/55/1104312055.db2.gz UZTOPUOQBXLDFF-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN COc1ccc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)cc1OC ZINC001245932566 1104319781 /nfs/dbraw/zinc/31/97/81/1104319781.db2.gz HYGYNZRJVPQMDR-UHFFFAOYSA-N 0 1 321.336 3.134 20 30 DGEDMN CC(=O)Nc1cccc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)c1 ZINC001245937335 1104324959 /nfs/dbraw/zinc/32/49/59/1104324959.db2.gz NAGWJOPPSGVEAH-UHFFFAOYSA-N 0 1 318.336 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1cc(F)cc(F)c1 ZINC001274253048 1104335627 /nfs/dbraw/zinc/33/56/27/1104335627.db2.gz IFKMRZXVQOHJME-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCC(C)(C)c1ccccc1 ZINC001329628206 1104354498 /nfs/dbraw/zinc/35/44/98/1104354498.db2.gz OTEIAHXNKILXCY-QGZVFWFLSA-N 0 1 314.473 3.204 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CCCC[C@H](C)CC)C(C)(C)C1 ZINC001274434248 1104384620 /nfs/dbraw/zinc/38/46/20/1104384620.db2.gz CDWGYBPBGBPTKS-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1cc(C)no1 ZINC001329938805 1104431795 /nfs/dbraw/zinc/43/17/95/1104431795.db2.gz RALLAZVEMQOMIZ-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccccn2)[C@@H](C)C1 ZINC001274647261 1104433412 /nfs/dbraw/zinc/43/34/12/1104433412.db2.gz ZXQYUZZBONCWGA-JKSUJKDBSA-N 0 1 315.461 3.153 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CC[C@@H]4[C@H](C3)C4(F)F)[nH]c2c1 ZINC001330035204 1104459187 /nfs/dbraw/zinc/45/91/87/1104459187.db2.gz NBHIKSCCTXQTIW-MXWKQRLJSA-N 0 1 316.311 3.054 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@]2(C1)CN(CC/C=C\CC)CCO2 ZINC001330158579 1104491876 /nfs/dbraw/zinc/49/18/76/1104491876.db2.gz AXJWVSQKLGGIAE-VOFKWLDRSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](Cc1ccccc1)C(C)C ZINC001274920002 1104544003 /nfs/dbraw/zinc/54/40/03/1104544003.db2.gz UFHTVXUTKRXDCN-NVXWUHKLSA-N 0 1 322.880 3.348 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1oc2ccccc2c1CC ZINC001275046511 1104592139 /nfs/dbraw/zinc/59/21/39/1104592139.db2.gz VYDXKUGVAJPSMN-GFCCVEGCSA-N 0 1 320.820 3.456 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c(C)cc(F)cc1C ZINC001275129318 1104640595 /nfs/dbraw/zinc/64/05/95/1104640595.db2.gz SEIOCZKESPSPAS-KRWDZBQOSA-N 0 1 316.420 3.002 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)Cc1cncc(C)c1 ZINC001275136338 1104643440 /nfs/dbraw/zinc/64/34/40/1104643440.db2.gz UOGLDEGHDNIAHV-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H](CCC)c1ccccn1 ZINC001275135598 1104643690 /nfs/dbraw/zinc/64/36/90/1104643690.db2.gz LFUNBHFIQLJKQK-SJORKVTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCC[C@@H](NC(=O)NC[C@@H]1CCN1C)c1ccccc1 ZINC001246654950 1104651202 /nfs/dbraw/zinc/65/12/02/1104651202.db2.gz SWHNDIWXUKSNJN-DLBZAZTESA-N 0 1 301.434 3.087 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001275160149 1104658223 /nfs/dbraw/zinc/65/82/23/1104658223.db2.gz KHMCNWGVJFQITP-CHWSQXEVSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001275160149 1104658227 /nfs/dbraw/zinc/65/82/27/1104658227.db2.gz KHMCNWGVJFQITP-CHWSQXEVSA-N 0 1 324.877 3.061 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)CCc1ccc(F)cc1F ZINC001275160153 1104658457 /nfs/dbraw/zinc/65/84/57/1104658457.db2.gz KHXAKDDKWBBGSP-INIZCTEOSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1cccc(F)c1Cl ZINC001275285807 1104706053 /nfs/dbraw/zinc/70/60/53/1104706053.db2.gz FOGCAJMOHJMFBY-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NC(=O)c1n[nH]c2ccccc21 ZINC001299483362 1104706610 /nfs/dbraw/zinc/70/66/10/1104706610.db2.gz CCJKZKNPWLMWCF-MRXNPFEDSA-N 0 1 316.364 3.242 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)cc(Cl)c1 ZINC001275453283 1104763291 /nfs/dbraw/zinc/76/32/91/1104763291.db2.gz WLTTZAWGKKQFKI-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(C(F)F)CCCC1 ZINC001275472446 1104770172 /nfs/dbraw/zinc/77/01/72/1104770172.db2.gz WTAHLPGCGFTSAY-WDEREUQCSA-N 0 1 308.800 3.047 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cnc(Cl)s1 ZINC001275481981 1104773521 /nfs/dbraw/zinc/77/35/21/1104773521.db2.gz OIBIZHORCVTQKA-GHMZBOCLSA-N 0 1 315.870 3.136 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2cc(F)ccc2o1 ZINC001275504206 1104782867 /nfs/dbraw/zinc/78/28/67/1104782867.db2.gz RZYJPHARPRGHGM-MNOVXSKESA-N 0 1 324.783 3.421 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001280715334 1104788557 /nfs/dbraw/zinc/78/85/57/1104788557.db2.gz VTGZYDHKPJPGPJ-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)CC(CC)CC ZINC001275529682 1104795456 /nfs/dbraw/zinc/79/54/56/1104795456.db2.gz RCEMPMDFTVTXJY-RYUDHWBXSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2ccccc2n1 ZINC001275552156 1104804664 /nfs/dbraw/zinc/80/46/64/1104804664.db2.gz JLRPRKYZTZPTEC-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=CCOc1ccc(-c2noc(C3(N)CCCC3)n2)c(F)c1 ZINC001247502199 1104913484 /nfs/dbraw/zinc/91/34/84/1104913484.db2.gz ZZBLLRIQFANVBL-UHFFFAOYSA-N 0 1 303.337 3.169 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)[N@@H+](C)[C@H](C)c1ccc(F)c(F)c1 ZINC001275818060 1104920740 /nfs/dbraw/zinc/92/07/40/1104920740.db2.gz GPDZQRPVAJYIJR-UONOGXRCSA-N 0 1 322.399 3.266 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001275858819 1104933295 /nfs/dbraw/zinc/93/32/95/1104933295.db2.gz QEVLTKGNPSHCFQ-BHIYHBOVSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001275920806 1104957232 /nfs/dbraw/zinc/95/72/32/1104957232.db2.gz NVSRWKREHYGTOJ-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC(C1CCC1)C1CCC1 ZINC001275928544 1104958560 /nfs/dbraw/zinc/95/85/60/1104958560.db2.gz NTXCRBATDCJMAS-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001275929002 1104959217 /nfs/dbraw/zinc/95/92/17/1104959217.db2.gz WGUBHSXNWSIWKW-CVEARBPZSA-N 0 1 302.462 3.365 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3c1CCCCC3)C2 ZINC001095579862 1105085405 /nfs/dbraw/zinc/08/54/05/1105085405.db2.gz BVVUAKZSSLXIED-UXPWSPDFSA-N 0 1 324.468 3.477 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3c(C)c(C)[nH]c31)C2 ZINC001095635881 1105092820 /nfs/dbraw/zinc/09/28/20/1105092820.db2.gz YROSORKTWCXFIV-JLSDUUJJSA-N 0 1 323.440 3.306 20 30 DGEDMN CCN1CCC[C@H]1CNc1c(Br)cccc1C#N ZINC001649174316 1105116969 /nfs/dbraw/zinc/11/69/69/1105116969.db2.gz NNYYCSFIOCSBIY-LBPRGKRZSA-N 0 1 308.223 3.217 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)N(C(=O)OC(C)(C)C)CC2 ZINC001248733582 1105153346 /nfs/dbraw/zinc/15/33/46/1105153346.db2.gz QQIHUGBUTISTGG-UHFFFAOYSA-N 0 1 300.402 3.049 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(C)c2nc(C)ccc2C1 ZINC001248750856 1105155087 /nfs/dbraw/zinc/15/50/87/1105155087.db2.gz YKERDJCXONZVTO-UHFFFAOYSA-N 0 1 320.440 3.276 20 30 DGEDMN Cn1cc(C[N@H+]2CCC[C@H](CC#N)C2)c2c1ccnc2Cl ZINC001248837899 1105175613 /nfs/dbraw/zinc/17/56/13/1105175613.db2.gz XAPZDZOWCUFVME-GFCCVEGCSA-N 0 1 302.809 3.352 20 30 DGEDMN Cn1cc(CN2CCC[C@H](CC#N)C2)c2c1ccnc2Cl ZINC001248837899 1105175615 /nfs/dbraw/zinc/17/56/15/1105175615.db2.gz XAPZDZOWCUFVME-GFCCVEGCSA-N 0 1 302.809 3.352 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@@]4(C3)CC(F)(F)CO4)[nH]c2c1 ZINC001249429764 1105285649 /nfs/dbraw/zinc/28/56/49/1105285649.db2.gz OQCBVJVRKDTPAC-INIZCTEOSA-N 0 1 317.339 3.040 20 30 DGEDMN CN(Cc1cc2ccc(C#N)cc2[nH]1)Cc1ccc2c(c1)OCO2 ZINC001249429721 1105285743 /nfs/dbraw/zinc/28/57/43/1105285743.db2.gz NFOGACKBLJBSHJ-UHFFFAOYSA-N 0 1 319.364 3.400 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCC[N@@H+](Cc2cccc3c2CCC3)C1 ZINC001249459510 1105291953 /nfs/dbraw/zinc/29/19/53/1105291953.db2.gz XRHJJPKFTOUVCI-KRWDZBQOSA-N 0 1 314.429 3.052 20 30 DGEDMN CN1CCN(c2ccc(F)cc2Nc2ccc(C#N)cc2)CC1 ZINC001249712379 1105362603 /nfs/dbraw/zinc/36/26/03/1105362603.db2.gz GEFBKXRLTVCSKY-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc3c1cccc3C)C2 ZINC001097226713 1105370835 /nfs/dbraw/zinc/37/08/35/1105370835.db2.gz RANKAAANKGVPAF-XYPHTWIQSA-N 0 1 310.397 3.262 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C(C)(C)C)C2 ZINC001097295579 1105379559 /nfs/dbraw/zinc/37/95/59/1105379559.db2.gz SRRUKELBEJJVIH-LZQZEXGQSA-N 0 1 324.468 3.343 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc(C(F)F)c1)C2 ZINC001097729176 1105435935 /nfs/dbraw/zinc/43/59/35/1105435935.db2.gz YIUQKDWXHWAVMD-UPJWGTAASA-N 0 1 310.344 3.128 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)c(Cl)c1)C2 ZINC001097747950 1105440225 /nfs/dbraw/zinc/44/02/25/1105440225.db2.gz GDVVXLQQBVLIQL-WQVCFCJDSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)C)nc1C)C2 ZINC001097866433 1105454707 /nfs/dbraw/zinc/45/47/07/1105454707.db2.gz QLORHHCYTJMVBT-OLMNPRSZSA-N 0 1 313.445 3.035 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)cc(Cl)c1)C2 ZINC001097913990 1105462529 /nfs/dbraw/zinc/46/25/29/1105462529.db2.gz YQNLKBMCLDYWHP-OAGGEKHMSA-N 0 1 322.811 3.390 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(CC)cc1)C2 ZINC001097942643 1105477193 /nfs/dbraw/zinc/47/71/93/1105477193.db2.gz BRDQTOSEUAYMPH-QRVBRYPASA-N 0 1 312.457 3.089 20 30 DGEDMN COC(=O)c1sc(Nc2ccc3cncn3c2)c(C#N)c1C ZINC001250323140 1105499012 /nfs/dbraw/zinc/49/90/12/1105499012.db2.gz DDRYDJYUQKZBQS-UHFFFAOYSA-N 0 1 312.354 3.106 20 30 DGEDMN Cc1ccc(-n2ncc(C#N)c2Nc2ccc3cncn3c2)cc1 ZINC001250324019 1105499045 /nfs/dbraw/zinc/49/90/45/1105499045.db2.gz NNBBLPDPNAIKGN-UHFFFAOYSA-N 0 1 314.352 3.444 20 30 DGEDMN N#CCC1CCN(Cc2cc(O)c(Cl)c(F)c2F)CC1 ZINC001250406562 1105510352 /nfs/dbraw/zinc/51/03/52/1105510352.db2.gz FHZQUPHEPWQKAI-UHFFFAOYSA-N 0 1 300.736 3.449 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cc(O)c(Cl)c(F)c2F)CC1 ZINC001250408445 1105510590 /nfs/dbraw/zinc/51/05/90/1105510590.db2.gz HHYDCOSBELMMCT-SECBINFHSA-N 0 1 300.736 3.449 20 30 DGEDMN C=CCN(Cc1nc2cc(C)c(OC)cc2[nH]1)C(=O)OCC ZINC001250591580 1105544032 /nfs/dbraw/zinc/54/40/32/1105544032.db2.gz RVPDEFNSWBZCNU-UHFFFAOYSA-N 0 1 303.362 3.024 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc3ccccc3c2)cnc1C#N ZINC001250693090 1105561318 /nfs/dbraw/zinc/56/13/18/1105561318.db2.gz HWVBDHGTXXMZFS-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C#CCOc1ccc(-c2nc3ccc(N(C)C)cc3[nH]2)cc1OC ZINC001250690882 1105561425 /nfs/dbraw/zinc/56/14/25/1105561425.db2.gz WEHXJAXAWBUFRJ-UHFFFAOYSA-N 0 1 321.380 3.317 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccc(C)s1)C2 ZINC001098212712 1105561796 /nfs/dbraw/zinc/56/17/96/1105561796.db2.gz HGICHHJKUQOWTF-YJNKXOJESA-N 0 1 304.459 3.068 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCCc3ccccc31)C2 ZINC001098254555 1105563912 /nfs/dbraw/zinc/56/39/12/1105563912.db2.gz JSTXBHWLCYFBKK-CUDHKJQZSA-N 0 1 324.468 3.404 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)csc1Cl)C2 ZINC001098287587 1105567081 /nfs/dbraw/zinc/56/70/81/1105567081.db2.gz KJGDQUGMXLVDAL-UPJWGTAASA-N 0 1 322.861 3.068 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)cc1Cl)C2 ZINC001098322232 1105568143 /nfs/dbraw/zinc/56/81/43/1105568143.db2.gz JQCVGLPVKSPQQZ-DFBGVHRSSA-N 0 1 308.784 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCc3ccccc3C1)C2 ZINC001098538012 1105583093 /nfs/dbraw/zinc/58/30/93/1105583093.db2.gz ZKEIYCCLGHIUJL-BNDYYXHWSA-N 0 1 324.468 3.089 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)c1ccccc1)C2 ZINC001111461262 1105609228 /nfs/dbraw/zinc/60/92/28/1105609228.db2.gz NCHGCYKOXRXDLR-KURKYZTESA-N 0 1 312.457 3.262 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cscc2Cl)C1 ZINC001098891449 1105611735 /nfs/dbraw/zinc/61/17/35/1105611735.db2.gz LOCVOOSJHJOPAV-LRDDRELGSA-N 0 1 322.861 3.009 20 30 DGEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCC(C)(C)CN1Cc1ccsc1 ZINC001098983153 1105622940 /nfs/dbraw/zinc/62/29/40/1105622940.db2.gz PBBPMRPTGXZPSM-DZGCQCFKSA-N 0 1 319.474 3.015 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(Cl)cs2)C1 ZINC001099063405 1105637171 /nfs/dbraw/zinc/63/71/71/1105637171.db2.gz ZWKOTEIGBOBUKI-NHYWBVRUSA-N 0 1 310.850 3.172 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001099194983 1105656397 /nfs/dbraw/zinc/65/63/97/1105656397.db2.gz XBCPPJJUFSDSAD-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN COCOc1ccc2nc(-c3c(F)cc(C#N)cc3F)[nH]c2c1 ZINC001251438321 1105658917 /nfs/dbraw/zinc/65/89/17/1105658917.db2.gz OJHCQUJGJUKERJ-UHFFFAOYSA-N 0 1 315.279 3.362 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@](C)(CC)CCC ZINC001099286088 1105664479 /nfs/dbraw/zinc/66/44/79/1105664479.db2.gz OCZJWNSHVRZSBT-LPHOPBHVSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(CC)CC ZINC001099285644 1105665012 /nfs/dbraw/zinc/66/50/12/1105665012.db2.gz CPOCFWMMFICTDE-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2 ZINC001252133253 1105747978 /nfs/dbraw/zinc/74/79/78/1105747978.db2.gz NIBLSAPIXQVHDE-YYIAUSFCSA-N 0 1 311.466 3.124 20 30 DGEDMN CCCCCCCC[C@@H](O)CN[C@@H]1c2ccccc2CNC1=O ZINC001252133622 1105748231 /nfs/dbraw/zinc/74/82/31/1105748231.db2.gz KDHABLAJPVYKJS-SJLPKXTDSA-N 0 1 318.461 3.059 20 30 DGEDMN CCCCCCCC[C@@H](O)CN[C@H]1c2ccccc2CNC1=O ZINC001252133620 1105748258 /nfs/dbraw/zinc/74/82/58/1105748258.db2.gz KDHABLAJPVYKJS-AEFFLSMTSA-N 0 1 318.461 3.059 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(Cl)cccc2C#N)cc1 ZINC001252184176 1105753667 /nfs/dbraw/zinc/75/36/67/1105753667.db2.gz LNQBLXBXTNVRFS-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001491119350 1105757657 /nfs/dbraw/zinc/75/76/57/1105757657.db2.gz DBYSEROFJOUHCC-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(C)CCCCCC1 ZINC001491210781 1105776745 /nfs/dbraw/zinc/77/67/45/1105776745.db2.gz VDWVOQLWFZGNNX-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001491237880 1105784669 /nfs/dbraw/zinc/78/46/69/1105784669.db2.gz SPYDSXWKVKRZTR-RURPWVQCSA-N 0 1 310.441 3.002 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001491237876 1105784764 /nfs/dbraw/zinc/78/47/64/1105784764.db2.gz SPYDSXWKVKRZTR-GHOSXJJBSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cc(C)no2)C1 ZINC001491284996 1105794281 /nfs/dbraw/zinc/79/42/81/1105794281.db2.gz FCIZLINKDMEAGF-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(O)CN1[C@@H](C(=O)OCC)CCC[C@@H]1c1ccccc1 ZINC001252558310 1105794269 /nfs/dbraw/zinc/79/42/69/1105794269.db2.gz STCUMXKZNWOJEI-LMMKCTJWSA-N 0 1 317.429 3.082 20 30 DGEDMN C=C[C@@](C)(O)CN[C@H]1CN(C(=O)OC(C)(C)C)c2ccccc21 ZINC001252578420 1105798089 /nfs/dbraw/zinc/79/80/89/1105798089.db2.gz DBDPCAKCQOOLOQ-KBXCAEBGSA-N 0 1 318.417 3.009 20 30 DGEDMN C=C[C@@](C)(O)CNCc1csc(-c2ccccc2CC)n1 ZINC001252585749 1105798432 /nfs/dbraw/zinc/79/84/32/1105798432.db2.gz TVBHTSCJHIKLSH-QGZVFWFLSA-N 0 1 302.443 3.399 20 30 DGEDMN C=C[C@](C)(O)CNCc1csc(-c2ccccc2CC)n1 ZINC001252585748 1105798565 /nfs/dbraw/zinc/79/85/65/1105798565.db2.gz TVBHTSCJHIKLSH-KRWDZBQOSA-N 0 1 302.443 3.399 20 30 DGEDMN C=CCC[C@@H](O)CNCc1csc(-c2ccc(OC)cc2)n1 ZINC001252616284 1105804517 /nfs/dbraw/zinc/80/45/17/1105804517.db2.gz NETWSMCFURFLHD-OAHLLOKOSA-N 0 1 318.442 3.235 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1CCc2ccccc2CC1 ZINC001491504633 1105835922 /nfs/dbraw/zinc/83/59/22/1105835922.db2.gz QWJDRGQILFLVPL-CQSZACIVSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN1CCN(c2ccccn2)CC1 ZINC001253226901 1105904764 /nfs/dbraw/zinc/90/47/64/1105904764.db2.gz BYDNPUBIDMHAKM-GOSISDBHSA-N 0 1 317.477 3.091 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNc1ccc2nc(CO)[nH]c2c1 ZINC001253244759 1105909414 /nfs/dbraw/zinc/90/94/14/1105909414.db2.gz QKFPMEOUPBKSCH-OAHLLOKOSA-N 0 1 317.433 3.355 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)c1ccccc1F ZINC001253249889 1105910987 /nfs/dbraw/zinc/91/09/87/1105910987.db2.gz QXYVIBWILPYYGO-HNNXBMFYSA-N 0 1 307.409 3.486 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H](C)CCC)[C@H](C)C1 ZINC001101800998 1105979918 /nfs/dbraw/zinc/97/99/18/1105979918.db2.gz LGMAILOESXQBKY-UMVBOHGHSA-N 0 1 300.874 3.249 20 30 DGEDMN N#CC1(CNC[C@H](O)C(c2ccccc2)c2ccccc2)CCC1 ZINC001253931385 1106002205 /nfs/dbraw/zinc/00/22/05/1106002205.db2.gz RQNKHIGNIZJGOP-IBGZPJMESA-N 0 1 320.436 3.463 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CN(CC(=C)Cl)C[C@H]1C ZINC001101997091 1106007888 /nfs/dbraw/zinc/00/78/88/1106007888.db2.gz XHLHYZSYWDEVQB-CZUORRHYSA-N 0 1 310.869 3.191 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H]2C=CCCC2)[C@H](C)C1 ZINC001102082048 1106019293 /nfs/dbraw/zinc/01/92/93/1106019293.db2.gz FIESQQQZKCBPOS-VNQPRFMTSA-N 0 1 310.869 3.169 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H](C)c2ccco2)[C@H](C)C1 ZINC001102515759 1106068057 /nfs/dbraw/zinc/06/80/57/1106068057.db2.gz JOIBUEWYIDUTIB-GZBFAFLISA-N 0 1 324.852 3.210 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CCc2ccccc2)[C@H](C)C1 ZINC001102528327 1106070074 /nfs/dbraw/zinc/07/00/74/1106070074.db2.gz WXYMTPIQPZSKKM-RHSMWYFYSA-N 0 1 320.864 3.056 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN(C1CCC3(CC3)CC1)CC2 ZINC001254442619 1106071202 /nfs/dbraw/zinc/07/12/02/1106071202.db2.gz IXHFEOQURQKHSB-UHFFFAOYSA-N 0 1 315.461 3.204 20 30 DGEDMN N#C[C@H]1CC[C@@H](N[C@H]2COc3ccc(Br)cc32)C1 ZINC001254652602 1106123519 /nfs/dbraw/zinc/12/35/19/1106123519.db2.gz GGBQAXZFIKZDRW-NDMJEZRESA-N 0 1 307.191 3.164 20 30 DGEDMN COc1cc(NC(=O)CN[C@@H]2CC[C@H](C#N)C2)cc2ccccc21 ZINC001254654238 1106123657 /nfs/dbraw/zinc/12/36/57/1106123657.db2.gz SSEPESCFENQSLV-DZGCQCFKSA-N 0 1 323.396 3.069 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1[C@H]1CC[C@H](C#N)C1 ZINC001254658867 1106125183 /nfs/dbraw/zinc/12/51/83/1106125183.db2.gz JVXKQRIPPGYHHN-QPSCCSFWSA-N 0 1 307.438 3.008 20 30 DGEDMN COc1ccc(Cl)cc1N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001254671861 1106129283 /nfs/dbraw/zinc/12/92/83/1106129283.db2.gz VKQPTTLKBQEABV-DZGCQCFKSA-N 0 1 319.836 3.163 20 30 DGEDMN Cc1cc2ccccc2nc1N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001254670674 1106129362 /nfs/dbraw/zinc/12/93/62/1106129362.db2.gz LAYXIKLGNDIDGN-SJLPKXTDSA-N 0 1 320.440 3.358 20 30 DGEDMN C[C@H]1CN([C@@H]2CC[C@H](C#N)C2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001254673380 1106131028 /nfs/dbraw/zinc/13/10/28/1106131028.db2.gz JVXKQRIPPGYHHN-ZQDZILKHSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001254680758 1106132697 /nfs/dbraw/zinc/13/26/97/1106132697.db2.gz ZSEPYNVDGVNYAW-VKJFTORMSA-N 0 1 301.409 3.197 20 30 DGEDMN C=C(CN1CCCCC1)c1nnc(Cc2ccc(OC)cc2)o1 ZINC001254769775 1106140218 /nfs/dbraw/zinc/14/02/18/1106140218.db2.gz PESJILOSDJTACS-UHFFFAOYSA-N 0 1 313.401 3.168 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2ccc(F)c(Cl)c2)cs1 ZINC001254887755 1106152769 /nfs/dbraw/zinc/15/27/69/1106152769.db2.gz SXWAVERXFQRRMB-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN CC[C@@H](CC#N)N[C@@]12CCC[C@@H]1N(C(=O)OC(C)(C)C)CC2 ZINC001255152070 1106192157 /nfs/dbraw/zinc/19/21/57/1106192157.db2.gz MJOCKACFAOPKKZ-GRDNDAEWSA-N 0 1 307.438 3.200 20 30 DGEDMN CC[C@H](CC#N)N[C@@H](C)Cc1cc2ccn(C(C)=O)c2c(C#N)c1 ZINC001255159641 1106194030 /nfs/dbraw/zinc/19/40/30/1106194030.db2.gz ZJTNBUMEKINPQT-SCLBCKFNSA-N 0 1 322.412 3.386 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@H](C)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC001255165551 1106196131 /nfs/dbraw/zinc/19/61/31/1106196131.db2.gz YFDLAHKWBYVNPE-SOUVJXGZSA-N 0 1 309.454 3.161 20 30 DGEDMN CC[C@@H](CC#N)N1CCC[C@@]2(CCN(c3ccsc3)C2=O)C1 ZINC001255170165 1106198764 /nfs/dbraw/zinc/19/87/64/1106198764.db2.gz OPGPFHZNRWLPAR-WMLDXEAASA-N 0 1 317.458 3.259 20 30 DGEDMN CC[C@H](CC#N)N1CCCC[C@@H]1[C@H](C(=O)OC)c1ccccc1 ZINC001255175143 1106199325 /nfs/dbraw/zinc/19/93/25/1106199325.db2.gz NEFPVEHIUXLVKI-KZNAEPCWSA-N 0 1 314.429 3.490 20 30 DGEDMN CC[C@H](CC#N)N(C(C)C)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255177311 1106200472 /nfs/dbraw/zinc/20/04/72/1106200472.db2.gz PVCIEFXUFNXIID-CABCVRRESA-N 0 1 309.454 3.398 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN([C@H](C)CC(F)(F)F)CCO2 ZINC001255655908 1106287644 /nfs/dbraw/zinc/28/76/44/1106287644.db2.gz ZETXPOUUWAAOBO-QLFBSQMISA-N 0 1 321.383 3.401 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(Cl)c1C)C(C)C ZINC001278907609 1106362416 /nfs/dbraw/zinc/36/24/16/1106362416.db2.gz GKFGIQXJTQKJBO-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NS(=O)(=O)c1ccccc1Cl ZINC001256316705 1106404932 /nfs/dbraw/zinc/40/49/32/1106404932.db2.gz OSUNDMUONOFZRP-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2c(cccc2C)o1)C(C)C ZINC001278930145 1106407028 /nfs/dbraw/zinc/40/70/28/1106407028.db2.gz WLLXAYDHMDOCNX-UHFFFAOYSA-N 0 1 312.413 3.157 20 30 DGEDMN C[C@H]1CN(C(=O)OC(C)(C)C)CC[N@H+]1C1CCC(C#N)CC1 ZINC001256963083 1106515792 /nfs/dbraw/zinc/51/57/92/1106515792.db2.gz BVZINVDSLBSQFW-NFOMZHRRSA-N 0 1 307.438 3.010 20 30 DGEDMN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C1CCC(C#N)CC1 ZINC001256963083 1106515795 /nfs/dbraw/zinc/51/57/95/1106515795.db2.gz BVZINVDSLBSQFW-NFOMZHRRSA-N 0 1 307.438 3.010 20 30 DGEDMN N#CC1CCC(N2CCN(c3ccc4c(c3)CCC4=O)CC2)CC1 ZINC001256971281 1106518625 /nfs/dbraw/zinc/51/86/25/1106518625.db2.gz NHLJDFMHFNPBLJ-UHFFFAOYSA-N 0 1 323.440 3.020 20 30 DGEDMN COC(=O)[C@H](C)N(C)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257018674 1106524597 /nfs/dbraw/zinc/52/45/97/1106524597.db2.gz ATTGHKLDDXRSRC-IGEOTXOUSA-N 0 1 300.402 3.078 20 30 DGEDMN CCC[C@@H](C)CC[C@@H](C)N1CCN(c2nccnc2C#N)CC1 ZINC001257481677 1106596193 /nfs/dbraw/zinc/59/61/93/1106596193.db2.gz LZPFRXCFQZUSGB-HZPDHXFCSA-N 0 1 315.465 3.075 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccc(C3CCC3)nc2)cc1 ZINC001258053309 1106664564 /nfs/dbraw/zinc/66/45/64/1106664564.db2.gz BGCGAWFCCXLZOU-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN CCOc1ccc(C)cc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC001258109464 1106674779 /nfs/dbraw/zinc/67/47/79/1106674779.db2.gz OBKNOSQURPLNOD-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN C[C@H](Cc1ccco1)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001258179941 1106689193 /nfs/dbraw/zinc/68/91/93/1106689193.db2.gz WIIZLDXAHFUXTK-QGZVFWFLSA-N 0 1 323.440 3.359 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CCC[C@H](C#N)C2)CC1(C)C ZINC001258561707 1106822478 /nfs/dbraw/zinc/82/24/78/1106822478.db2.gz BXZVETCTKMJMDO-HUUCEWRRSA-N 0 1 321.465 3.400 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC001316976945 1106837087 /nfs/dbraw/zinc/83/70/87/1106837087.db2.gz WCJQPSBSQUXUBU-KRWDZBQOSA-N 0 1 318.848 3.142 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](c1ccccc1)[C@H](C)CC ZINC001320080278 1106849131 /nfs/dbraw/zinc/84/91/31/1106849131.db2.gz KOVYKFFLUBBIEC-MJGOQNOKSA-N 0 1 314.473 3.230 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CCC(F)(F)F)CC1 ZINC001317003954 1106886031 /nfs/dbraw/zinc/88/60/31/1106886031.db2.gz GMYMXTKVVNFASO-UHFFFAOYSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccccc1CC)C1CC1 ZINC001317024269 1106913966 /nfs/dbraw/zinc/91/39/66/1106913966.db2.gz XPSIXFGUZANYOB-INIZCTEOSA-N 0 1 306.837 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(C)cc1C)C1CC1 ZINC001317028806 1106920010 /nfs/dbraw/zinc/92/00/10/1106920010.db2.gz ROPJPNFTDBWZEL-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001258725096 1106929195 /nfs/dbraw/zinc/92/91/95/1106929195.db2.gz IAHMBUYPSSTGLB-HRCADAONSA-N 0 1 324.465 3.051 20 30 DGEDMN CC(C)(CC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1)C1CC1 ZINC001317055284 1106955223 /nfs/dbraw/zinc/95/52/23/1106955223.db2.gz RJTDAJWQFMMGCJ-IBGZPJMESA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCNC/C(Cl)=C\Cl ZINC001320438134 1107006896 /nfs/dbraw/zinc/00/68/96/1107006896.db2.gz UTOOBQNHZQGFIO-UTEVDWOZSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(Br)cc1SC ZINC001320584054 1107061721 /nfs/dbraw/zinc/06/17/21/1107061721.db2.gz OZMMDVHGYDEJKG-GFCCVEGCSA-N 0 1 316.264 3.462 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CC1(C)CCCCC1 ZINC001317173744 1107086209 /nfs/dbraw/zinc/08/62/09/1107086209.db2.gz NXRYSTHUUHBMNC-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001317174715 1107089917 /nfs/dbraw/zinc/08/99/17/1107089917.db2.gz VABIJSHZEQECTL-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccccc1C ZINC001317175798 1107093876 /nfs/dbraw/zinc/09/38/76/1107093876.db2.gz DHDLGLOZGIILCK-HNNXBMFYSA-N 0 1 306.837 3.332 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)c1cccc(C)c1 ZINC001320672981 1107099651 /nfs/dbraw/zinc/09/96/51/1107099651.db2.gz VHAMHVIWQDNMJO-UHFFFAOYSA-N 0 1 321.424 3.119 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1ccccc1F ZINC001317197286 1107115283 /nfs/dbraw/zinc/11/52/83/1107115283.db2.gz QWJGCNRFXBVTMA-HNNXBMFYSA-N 0 1 324.827 3.091 20 30 DGEDMN COc1ccccc1S(=O)(=O)Nc1ccc([C@H](C)C#N)cc1 ZINC001259300168 1107117924 /nfs/dbraw/zinc/11/79/24/1107117924.db2.gz LLRUVOJOKIARQF-GFCCVEGCSA-N 0 1 316.382 3.123 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CCc2ccco2)C1 ZINC001317208320 1107126918 /nfs/dbraw/zinc/12/69/18/1107126918.db2.gz LDHJGQWXDTVDSR-LSDHHAIUSA-N 0 1 324.852 3.181 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(Cl)cccc2C#N)cc1F ZINC001259480011 1107147236 /nfs/dbraw/zinc/14/72/36/1107147236.db2.gz SRZJPZGARLKEFY-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CCC[C@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001317227671 1107151888 /nfs/dbraw/zinc/15/18/88/1107151888.db2.gz BHJVXBGSDVCWAW-JKSUJKDBSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCc1ccncc1Cl ZINC001320830980 1107155104 /nfs/dbraw/zinc/15/51/04/1107155104.db2.gz SAGBLBKQFLEXFP-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN CCCC1(C(=O)N(CC)CCNCc2ccccc2C#N)CC1 ZINC001320843731 1107159213 /nfs/dbraw/zinc/15/92/13/1107159213.db2.gz JBRWEQILKZUWPT-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CCC[C@@H](C(=O)NC[C@@H](C)NCC#Cc1ccccc1)C(C)C ZINC001317269772 1107192494 /nfs/dbraw/zinc/19/24/94/1107192494.db2.gz DDLCBVRSKFBSJR-IEBWSBKVSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)nc2ccccc21 ZINC001317282187 1107205458 /nfs/dbraw/zinc/20/54/58/1107205458.db2.gz STKBKKDSCYJOHV-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001317281634 1107206317 /nfs/dbraw/zinc/20/63/17/1107206317.db2.gz DWOBIKFHDPXHRT-CVEARBPZSA-N 0 1 322.880 3.411 20 30 DGEDMN Cn1ccc(N=NC2CCOc3c(Cl)ccc(Cl)c32)n1 ZINC001321018162 1107224303 /nfs/dbraw/zinc/22/43/03/1107224303.db2.gz XYMMFFYXUFKGPI-UHFFFAOYSA-N 0 1 311.172 3.326 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cnc3ccccc3c2)ccc1C#N ZINC001260010769 1107234225 /nfs/dbraw/zinc/23/42/25/1107234225.db2.gz LZSGMPQLVDAAGQ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(F)c(C#N)cc2F)s1 ZINC001260683848 1107294553 /nfs/dbraw/zinc/29/45/53/1107294553.db2.gz HKJMLXREXMNLPC-UHFFFAOYSA-N 0 1 314.338 3.007 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H](C)c1ccccc1F ZINC001321294135 1107314500 /nfs/dbraw/zinc/31/45/00/1107314500.db2.gz LWXLFPWQAMOKCG-URWSZGRFSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2cccc(F)c2o1 ZINC001321401912 1107341048 /nfs/dbraw/zinc/34/10/48/1107341048.db2.gz DAVXIXFQEGKFDX-NSCUHMNNSA-N 0 1 322.767 3.200 20 30 DGEDMN C=CCCC(=O)NCCC[C@H]1CCCN1Cc1nc(C)oc1C ZINC001317419033 1107344306 /nfs/dbraw/zinc/34/43/06/1107344306.db2.gz MZNQOLKCUIQONH-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1ncc(C)o1 ZINC001317428161 1107354970 /nfs/dbraw/zinc/35/49/70/1107354970.db2.gz VHOCQGLHZSSXRN-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001321595484 1107390073 /nfs/dbraw/zinc/39/00/73/1107390073.db2.gz YJQJXDNUWSOVEU-OIISXLGYSA-N 0 1 320.864 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001321628181 1107398052 /nfs/dbraw/zinc/39/80/52/1107398052.db2.gz SJYFMYSGBXLUIA-XOKHGSTOSA-N 0 1 320.864 3.199 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001321760565 1107429224 /nfs/dbraw/zinc/42/92/24/1107429224.db2.gz RZUIKTAYULKMDQ-CYBMUJFWSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)Cc1ccc(C)nc1C ZINC001317485606 1107443418 /nfs/dbraw/zinc/44/34/18/1107443418.db2.gz BHSFQLDVHOPXAE-IBGZPJMESA-N 0 1 317.477 3.239 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001317508777 1107469772 /nfs/dbraw/zinc/46/97/72/1107469772.db2.gz KPPSDUHGMFRAET-IEBWSBKVSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1c(F)cccc1Cl ZINC001321965526 1107473780 /nfs/dbraw/zinc/47/37/80/1107473780.db2.gz HVQURYCUWKDINL-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(C)C)nc1CC ZINC001321989894 1107478926 /nfs/dbraw/zinc/47/89/26/1107478926.db2.gz DOYORPJXWARURA-ZDUSSCGKSA-N 0 1 323.868 3.228 20 30 DGEDMN CC#CCN(CCNC(=O)c1coc2ccc(CC)cc12)C1CC1 ZINC001317552053 1107526496 /nfs/dbraw/zinc/52/64/96/1107526496.db2.gz CIWPPJGIRQKFSA-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1cc(C)ccc1F ZINC001317592199 1107556705 /nfs/dbraw/zinc/55/67/05/1107556705.db2.gz QWANWAIHASTRMM-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001322208370 1107570820 /nfs/dbraw/zinc/57/08/20/1107570820.db2.gz GGVDPJNNQNTLJC-ZDUSSCGKSA-N 0 1 314.429 3.108 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001322256737 1107596776 /nfs/dbraw/zinc/59/67/76/1107596776.db2.gz BYEBDXVBIHXGDK-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cocc1C)c1ccc(C(C)C)cc1 ZINC001317711821 1107615042 /nfs/dbraw/zinc/61/50/42/1107615042.db2.gz UJZWEEKCAWJRCR-IBGZPJMESA-N 0 1 324.424 3.405 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3cc(C#N)cs3)C2)cc1 ZINC001262112837 1107623111 /nfs/dbraw/zinc/62/31/11/1107623111.db2.gz UQTYRFTVOAXNLJ-OAHLLOKOSA-N 0 1 311.410 3.211 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(C)ccc1F)c1ccccc1 ZINC001317725564 1107625301 /nfs/dbraw/zinc/62/53/01/1107625301.db2.gz VUNNYBDRLASTSN-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C(C)C ZINC001317739713 1107630861 /nfs/dbraw/zinc/63/08/61/1107630861.db2.gz MBCPCXXKPHGIGG-HDICACEKSA-N 0 1 302.462 3.212 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)c(C)s2)C1 ZINC001317770308 1107654386 /nfs/dbraw/zinc/65/43/86/1107654386.db2.gz QFRRKBACLAEKFG-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2c(C)coc2C)C1 ZINC001317781498 1107664674 /nfs/dbraw/zinc/66/46/74/1107664674.db2.gz DISHPIVFMIBEPZ-CQSZACIVSA-N 0 1 310.825 3.091 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@](C)(F)CCCC)C1 ZINC001317790772 1107669604 /nfs/dbraw/zinc/66/96/04/1107669604.db2.gz XVTJCVRPPCWWCG-HOCLYGCPSA-N 0 1 318.864 3.486 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1nc(-c2cccc(OC)c2)cs1 ZINC001262372132 1107701008 /nfs/dbraw/zinc/70/10/08/1107701008.db2.gz HDSOAJFUDDXYON-ZBFHGGJFSA-N 0 1 316.426 3.252 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H](C)c2ccco2)CC1 ZINC001317879483 1107731542 /nfs/dbraw/zinc/73/15/42/1107731542.db2.gz FHUVBTPLJKCQRD-CQSZACIVSA-N 0 1 324.852 3.306 20 30 DGEDMN Cc1c(C(=O)NCc2ccc(C#N)c(Cl)c2)ccc2cncn21 ZINC001322704308 1107740966 /nfs/dbraw/zinc/74/09/66/1107740966.db2.gz LCPIKMWKRKDTEO-UHFFFAOYSA-N 0 1 324.771 3.098 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)c2ccns2)C1 ZINC001317973142 1107776897 /nfs/dbraw/zinc/77/68/97/1107776897.db2.gz QDZIUCCCZJWEBQ-AWEZNQCLSA-N 0 1 309.479 3.308 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3cccc4cccnc43)o2)CC1 ZINC001322895704 1107777210 /nfs/dbraw/zinc/77/72/10/1107777210.db2.gz ZBSDHRSGDVJYJH-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001318038551 1107804539 /nfs/dbraw/zinc/80/45/39/1107804539.db2.gz SRWURPQPCXSIAN-UHOFOFEASA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001318051423 1107808971 /nfs/dbraw/zinc/80/89/71/1107808971.db2.gz JMXOFCAMSYYPQP-AWEZNQCLSA-N 0 1 320.864 3.428 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1CCC)C1CC1 ZINC001323032450 1107812606 /nfs/dbraw/zinc/81/26/06/1107812606.db2.gz IAAUQAOWXOQJIB-KRWDZBQOSA-N 0 1 320.864 3.490 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cccc(Cl)c2F)C1 ZINC001318063109 1107817009 /nfs/dbraw/zinc/81/70/09/1107817009.db2.gz NQEIVDORPCFNRD-LBPRGKRZSA-N 0 1 324.827 3.177 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001318089670 1107835911 /nfs/dbraw/zinc/83/59/11/1107835911.db2.gz VYLFXYZWSMGTOB-INIZCTEOSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cc(C)on2)[C@@H](CC)C1 ZINC001323152364 1107854017 /nfs/dbraw/zinc/85/40/17/1107854017.db2.gz VEJHCIUPLSQDOL-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001318145456 1107858674 /nfs/dbraw/zinc/85/86/74/1107858674.db2.gz KGNBBRIIMCXMLB-AWEZNQCLSA-N 0 1 306.475 3.207 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001318150347 1107863945 /nfs/dbraw/zinc/86/39/45/1107863945.db2.gz NXZNPTUYFJXCJB-QGZVFWFLSA-N 0 1 306.475 3.207 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC001323242671 1107899461 /nfs/dbraw/zinc/89/94/61/1107899461.db2.gz NIXZCOYZDPPFQE-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001318209848 1107899751 /nfs/dbraw/zinc/89/97/51/1107899751.db2.gz QXMURVTTZLNDLT-PMACEKPBSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001323287365 1107918668 /nfs/dbraw/zinc/91/86/68/1107918668.db2.gz OZIHGZDQPNNQOU-WMZOPIPTSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001323359116 1107950222 /nfs/dbraw/zinc/95/02/22/1107950222.db2.gz ZWCQHAZRNFJBKA-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001323359116 1107950232 /nfs/dbraw/zinc/95/02/32/1107950232.db2.gz ZWCQHAZRNFJBKA-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1ccc(F)s1 ZINC001323368956 1107953200 /nfs/dbraw/zinc/95/32/00/1107953200.db2.gz AFSMTKAHNQPZDI-ZDUSSCGKSA-N 0 1 310.438 3.438 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2sccc2Cl)CC1 ZINC001323441115 1107975910 /nfs/dbraw/zinc/97/59/10/1107975910.db2.gz FEHDWAPOKQVCQS-UHFFFAOYSA-N 0 1 305.230 3.006 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccccc2Cl)C1 ZINC001318400202 1107981731 /nfs/dbraw/zinc/98/17/31/1107981731.db2.gz NAMUXEWSZLOBNH-MRXNPFEDSA-N 0 1 318.848 3.176 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001318441151 1108006421 /nfs/dbraw/zinc/00/64/21/1108006421.db2.gz FVHKMBJNMHWAJD-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)c2ccccc2)CC1 ZINC001323608255 1108027140 /nfs/dbraw/zinc/02/71/40/1108027140.db2.gz SRNIAOSMXADUCT-ZDUSSCGKSA-N 0 1 306.837 3.171 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccccn2)s1)c1cccc(F)n1 ZINC001263378839 1108032363 /nfs/dbraw/zinc/03/23/63/1108032363.db2.gz UBALCIYXOFPSAQ-JTQLQIEISA-N 0 1 324.340 3.229 20 30 DGEDMN CCN(C(=O)C#CC(C)(C)C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001318468976 1108032763 /nfs/dbraw/zinc/03/27/63/1108032763.db2.gz ADQQYUOBFYYIOB-MRXNPFEDSA-N 0 1 318.486 3.220 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC/C=C/c1ccccc1 ZINC001323696607 1108056161 /nfs/dbraw/zinc/05/61/61/1108056161.db2.gz RDOYUIINNOOZEE-XGRQOQASSA-N 0 1 322.452 3.179 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2oc(C)nc2C)CCC1 ZINC001318570583 1108102811 /nfs/dbraw/zinc/10/28/11/1108102811.db2.gz PMFKGSHMVPCGKN-OAHLLOKOSA-N 0 1 319.449 3.022 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN([C@@H]2CCCc3ccccc32)C1 ZINC001323910056 1108119157 /nfs/dbraw/zinc/11/91/57/1108119157.db2.gz LMXRRXKWVXDTTN-HXUWFJFHSA-N 0 1 324.468 3.400 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001263884384 1108284525 /nfs/dbraw/zinc/28/45/25/1108284525.db2.gz NAJJFSHSHBUQDV-ZWKOTPCHSA-N 0 1 314.473 3.439 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H](C)C2CC2)CC1 ZINC001319043256 1108291982 /nfs/dbraw/zinc/29/19/82/1108291982.db2.gz HOJQMZKXBHRUJM-CYBMUJFWSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@H](CC)C(C)C ZINC001319124790 1108323113 /nfs/dbraw/zinc/32/31/13/1108323113.db2.gz SARFSMIYVZHLKR-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)CCC)C1 ZINC001264035421 1108346170 /nfs/dbraw/zinc/34/61/70/1108346170.db2.gz OAHMKPFOMOJNHT-QZTJIDSGSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(CC=C)CC[C@@H]1c1ccc(F)cc1 ZINC001264040156 1108347021 /nfs/dbraw/zinc/34/70/21/1108347021.db2.gz CKCPUERYNMIXBH-QZTJIDSGSA-N 0 1 316.420 3.252 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cncs2)[C@@H]1CC ZINC001264053116 1108355231 /nfs/dbraw/zinc/35/52/31/1108355231.db2.gz JOTXZTJTGGBYLO-LSDHHAIUSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001264060612 1108358308 /nfs/dbraw/zinc/35/83/08/1108358308.db2.gz HNNVXPSXZFLLPU-RYQLBKOJSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001264060684 1108359092 /nfs/dbraw/zinc/35/90/92/1108359092.db2.gz KGYRFUOKOGWUEI-BHYGNILZSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@@H](C)c2ccccc2F)C1 ZINC001324590094 1108363538 /nfs/dbraw/zinc/36/35/38/1108363538.db2.gz RSIHJUKVASNEMJ-KSSFIOAISA-N 0 1 304.409 3.434 20 30 DGEDMN C=C[C@H](C(=O)N[C@]1(C)CCN(C/C=C\Cl)C1)c1ccccc1 ZINC001324670918 1108417018 /nfs/dbraw/zinc/41/70/18/1108417018.db2.gz ACGLNFXRIYMCKJ-KIFJCJGTSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cscn2)CCCC1 ZINC001319353773 1108427012 /nfs/dbraw/zinc/42/70/12/1108427012.db2.gz TWSZABJGXDWWKH-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC[C@@H]1CCc2ccccc21 ZINC001264380364 1108493841 /nfs/dbraw/zinc/49/38/41/1108493841.db2.gz HZWLJXKBZIDBON-ZWKOTPCHSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001324893430 1108497378 /nfs/dbraw/zinc/49/73/78/1108497378.db2.gz SGTZNODXFSUAJS-DZGCQCFKSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001324893440 1108497620 /nfs/dbraw/zinc/49/76/20/1108497620.db2.gz SGTZNODXFSUAJS-UKRRQHHQSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](CCC=C)c1ccccc1 ZINC001264383172 1108498451 /nfs/dbraw/zinc/49/84/51/1108498451.db2.gz UXXUCHLOJOAPNC-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](CCC=C)c1ccccc1 ZINC001264383172 1108498458 /nfs/dbraw/zinc/49/84/58/1108498458.db2.gz UXXUCHLOJOAPNC-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H](C)CNCc1c(F)cccc1F ZINC001319514393 1108503018 /nfs/dbraw/zinc/50/30/18/1108503018.db2.gz LAVHJZJNGNILBC-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001264399796 1108507826 /nfs/dbraw/zinc/50/78/26/1108507826.db2.gz LYMXQSZQKZWMFR-XJMLSZCUSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001264403222 1108509910 /nfs/dbraw/zinc/50/99/10/1108509910.db2.gz ILVCDPDBEGDZBD-HYPJTQRVSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001319538728 1108513550 /nfs/dbraw/zinc/51/35/50/1108513550.db2.gz ARCVWTGQJIJSCB-GJZGRUSLSA-N 0 1 308.853 3.288 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](CC=C)c2ccccc2)C1 ZINC001324974556 1108543046 /nfs/dbraw/zinc/54/30/46/1108543046.db2.gz BJNHAQNBUCRXLE-CTNGQTDRSA-N 0 1 324.468 3.198 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001324977567 1108546919 /nfs/dbraw/zinc/54/69/19/1108546919.db2.gz QJEVHGWSOTXZCC-LNLFQRSKSA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cccc(F)c1 ZINC001319602558 1108549182 /nfs/dbraw/zinc/54/91/82/1108549182.db2.gz KEGACNVBGBDMJJ-HNNXBMFYSA-N 0 1 312.816 3.312 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CC(C)C)CCCC2)[C@H]1CC ZINC001264620998 1108596414 /nfs/dbraw/zinc/59/64/14/1108596414.db2.gz FQMJINMNXVMBGP-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)/C=C(\C)CC)c1ccccc1CC ZINC001325362672 1108632350 /nfs/dbraw/zinc/63/23/50/1108632350.db2.gz OIFHUJHVORWJAH-IDCODDLRSA-N 0 1 312.457 3.376 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC=CCC1)c1ccccc1CC ZINC001325361539 1108632637 /nfs/dbraw/zinc/63/26/37/1108632637.db2.gz HVUGCUNQTVVBTG-AZUAARDMSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CCCCC)C(C)C)[C@H]1C ZINC001264726376 1108634011 /nfs/dbraw/zinc/63/40/11/1108634011.db2.gz SJYNXJCJXHMABE-KURKYZTESA-N 0 1 306.494 3.441 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1cc(C)sc1C ZINC001264741921 1108641410 /nfs/dbraw/zinc/64/14/10/1108641410.db2.gz WTMRZFVUQXVZFL-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN CCc1cc(C#N)c(NC(=O)c2cnc3ccccc3c2O)s1 ZINC001264755864 1108652280 /nfs/dbraw/zinc/65/22/80/1108652280.db2.gz HVWBTNHHLQKORP-UHFFFAOYSA-N 0 1 323.377 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)Cc1ccc(C)o1 ZINC001319842843 1108660547 /nfs/dbraw/zinc/66/05/47/1108660547.db2.gz DEMLZIGLGPNRFM-BLLLJJGKSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cocc1C ZINC001319851628 1108663230 /nfs/dbraw/zinc/66/32/30/1108663230.db2.gz BIPGNPBCWSQTQK-XHDPSFHLSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cocc1C ZINC001319851626 1108663254 /nfs/dbraw/zinc/66/32/54/1108663254.db2.gz BIPGNPBCWSQTQK-NHYWBVRUSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2oc(C)nc2C)CC1 ZINC001265193301 1108766840 /nfs/dbraw/zinc/76/68/40/1108766840.db2.gz DBCSGRQMJIHNOI-UHFFFAOYSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2cc(C)cc(C)c2)C1 ZINC001265229334 1108791326 /nfs/dbraw/zinc/79/13/26/1108791326.db2.gz VULSVAPPUKSNCR-SFHVURJKSA-N 0 1 300.446 3.003 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001265279359 1108803635 /nfs/dbraw/zinc/80/36/35/1108803635.db2.gz ROGZXWRIALQBFV-JKSUJKDBSA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cc(Cl)c[nH]2)CC1 ZINC001265336116 1108831244 /nfs/dbraw/zinc/83/12/44/1108831244.db2.gz QOGVPLAJHHJPCU-UHFFFAOYSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc2c(c1)CCC2)C1CC1 ZINC001265380007 1108851592 /nfs/dbraw/zinc/85/15/92/1108851592.db2.gz IBNLHLUYZNBJNQ-KRWDZBQOSA-N 0 1 318.848 3.026 20 30 DGEDMN O=C(CC1=CCCCC1)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001265422187 1108869188 /nfs/dbraw/zinc/86/91/88/1108869188.db2.gz DGLCNZHMIVAGCA-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001265658293 1108954489 /nfs/dbraw/zinc/95/44/89/1108954489.db2.gz VXEYCNCKQNWDMN-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cc(Cl)ccc1F ZINC001265780082 1109002648 /nfs/dbraw/zinc/00/26/48/1109002648.db2.gz VXLHRDMFHXLZFQ-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN CC[C@H](C)CCC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001265843642 1109023554 /nfs/dbraw/zinc/02/35/54/1109023554.db2.gz KFJJQQNZKCVRAX-HOTGVXAUSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1oc2ccccc2c1C ZINC001265859298 1109029266 /nfs/dbraw/zinc/02/92/66/1109029266.db2.gz YNKPTAVXFIFUTC-LLVKDONJSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@@H](C)NCc1ccccn1)c1ccccc1 ZINC001265867698 1109030469 /nfs/dbraw/zinc/03/04/69/1109030469.db2.gz WJHIUXUJBSZLMV-IAGOWNOFSA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2cccc(C)c2o1 ZINC001265870429 1109031619 /nfs/dbraw/zinc/03/16/19/1109031619.db2.gz PRFVUHUTPWPLHY-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(CC)Cc1ccccc1C#N ZINC001266070011 1109079123 /nfs/dbraw/zinc/07/91/23/1109079123.db2.gz KHBACCRWFAHASY-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)NCc1csc(C2CC2)n1 ZINC001266166508 1109112463 /nfs/dbraw/zinc/11/24/63/1109112463.db2.gz BZNAZLQDTRWKGK-GFCCVEGCSA-N 0 1 321.490 3.217 20 30 DGEDMN CCCCCC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001266200152 1109124722 /nfs/dbraw/zinc/12/47/22/1109124722.db2.gz FVVQGNYRFLJVMA-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)ccc1F ZINC001280333072 1109186435 /nfs/dbraw/zinc/18/64/35/1109186435.db2.gz DQHPTEKVMTXQGW-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(CCOCC)CCCC1 ZINC001316603120 1109187729 /nfs/dbraw/zinc/18/77/29/1109187729.db2.gz BAUHCYWSEUVUPI-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H](C)CCC)cccc2C1 ZINC001280424630 1109201091 /nfs/dbraw/zinc/20/10/91/1109201091.db2.gz PZHDTOMUGQGOMG-MRXNPFEDSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C)=C3CCC3)cccc2C1 ZINC001280427209 1109202036 /nfs/dbraw/zinc/20/20/36/1109202036.db2.gz WCMNDORAWROUHS-UHFFFAOYSA-N 0 1 310.441 3.347 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1cccc(F)c1 ZINC001316605396 1109240928 /nfs/dbraw/zinc/24/09/28/1109240928.db2.gz OOAIVADSERMWKC-WMLDXEAASA-N 0 1 304.409 3.038 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCCC[C@H]1[C@@H]1CCCN(CCF)C1 ZINC001280888942 1109270122 /nfs/dbraw/zinc/27/01/22/1109270122.db2.gz FPAIQDNJPMTYFA-SJORKVTESA-N 0 1 322.468 3.099 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001281663866 1109391306 /nfs/dbraw/zinc/39/13/06/1109391306.db2.gz GCVSVFKHFPPHCW-UYAOXDASSA-N 0 1 324.468 3.364 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@H](C)CC ZINC001281775905 1109406051 /nfs/dbraw/zinc/40/60/51/1109406051.db2.gz FZIUOJCHZXKOCI-VQIMIIECSA-N 0 1 312.457 3.162 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001281776613 1109407373 /nfs/dbraw/zinc/40/73/73/1109407373.db2.gz OGSLZZHCKVGABZ-IEBWSBKVSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CC[C@@H](C)CC)CC2)C1 ZINC001281783084 1109408011 /nfs/dbraw/zinc/40/80/11/1109408011.db2.gz YUWAUONXKICLLU-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001281967010 1109424852 /nfs/dbraw/zinc/42/48/52/1109424852.db2.gz DLDFWIHNDVTFFV-QFBILLFUSA-N 0 1 312.457 3.370 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001282131063 1109455239 /nfs/dbraw/zinc/45/52/39/1109455239.db2.gz BRNNFIDBXKHQQK-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCCc1ccsc1 ZINC001282146346 1109457734 /nfs/dbraw/zinc/45/77/34/1109457734.db2.gz KIPYUQMZWGYRCP-OLZOCXBDSA-N 0 1 314.882 3.306 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cc(C2CC2)no1 ZINC001282191567 1109466795 /nfs/dbraw/zinc/46/67/95/1109466795.db2.gz ITUASTAMZHPPEP-STQMWFEESA-N 0 1 319.449 3.137 20 30 DGEDMN CC(C)CCC(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001282353061 1109489779 /nfs/dbraw/zinc/48/97/79/1109489779.db2.gz APBHOYDPMUPLNS-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C(C)(C)C)s1 ZINC001282498627 1109527543 /nfs/dbraw/zinc/52/75/43/1109527543.db2.gz YZTDZHIMWRXSRY-CYBMUJFWSA-N 0 1 306.475 3.119 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2nccs2)CCCCC1 ZINC001282598064 1109535071 /nfs/dbraw/zinc/53/50/71/1109535071.db2.gz MHZJMNIRHBKLLJ-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1cnc(C)o1 ZINC001282665706 1109547245 /nfs/dbraw/zinc/54/72/45/1109547245.db2.gz NSLKKGKAUQZCEF-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001282740862 1109560378 /nfs/dbraw/zinc/56/03/78/1109560378.db2.gz NBLRCXGQRHCMND-WMZOPIPTSA-N 0 1 306.494 3.299 20 30 DGEDMN C[C@H](CN(C)C(=O)CC(C)(C)C)NCc1cc(C#N)ccc1F ZINC001283571439 1109655116 /nfs/dbraw/zinc/65/51/16/1109655116.db2.gz IQWOFUAZJSDZBE-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001283643979 1109665368 /nfs/dbraw/zinc/66/53/68/1109665368.db2.gz WTBOFZBUYIEPGG-PBHICJAKSA-N 0 1 320.864 3.369 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)[C@H]1C ZINC001283864259 1109690957 /nfs/dbraw/zinc/69/09/57/1109690957.db2.gz DIVHNMBJNYJNNF-WBMJQRKESA-N 0 1 308.372 3.010 20 30 DGEDMN CC(C)=CC(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001284528701 1109804308 /nfs/dbraw/zinc/80/43/08/1109804308.db2.gz YAPOHDXMVGHUGD-UHFFFAOYSA-N 0 1 313.445 3.193 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001284712397 1109847353 /nfs/dbraw/zinc/84/73/53/1109847353.db2.gz LLLGSAQZFBHBAF-MQJJQYGHSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001284789538 1109860777 /nfs/dbraw/zinc/86/07/77/1109860777.db2.gz DESOWXJYGCMYQL-UTIJAOTPSA-N 0 1 319.276 3.487 20 30 DGEDMN CCn1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C(C)C)n1 ZINC001301792877 1111099905 /nfs/dbraw/zinc/09/99/05/1111099905.db2.gz SYLQGPBVKKMLGZ-UHFFFAOYSA-N 0 1 322.372 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(C(F)F)c1F ZINC001317241955 1111102034 /nfs/dbraw/zinc/10/20/34/1111102034.db2.gz PCDRDIUKMCTJLP-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN CC(C)c1cccc(-c2ccc(C(=O)NCC#CCN(C)C)o2)c1 ZINC001302295415 1111111346 /nfs/dbraw/zinc/11/13/46/1111111346.db2.gz MZZXJSJGIZJVRA-UHFFFAOYSA-N 0 1 324.424 3.365 20 30 DGEDMN CC#CCCCC(=O)NCCCN(C)Cc1cc(F)ccc1F ZINC001316842781 1111192818 /nfs/dbraw/zinc/19/28/18/1111192818.db2.gz NRIOATWYQCCPFL-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN C#CCCCCC(=O)Nc1n[nH]c2nc(C(F)(F)F)ccc12 ZINC001305701678 1111207907 /nfs/dbraw/zinc/20/79/07/1111207907.db2.gz PNHUTCCQFYGXES-UHFFFAOYSA-N 0 1 310.279 3.109 20 30 DGEDMN Cc1cc(NCc2ccc3cncn3c2)c(C#N)cc1[N+](=O)[O-] ZINC001307139878 1111270621 /nfs/dbraw/zinc/27/06/21/1111270621.db2.gz WVQWRXUOEZOHIY-UHFFFAOYSA-N 0 1 307.313 3.035 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@](C)(CCc2ccccc2)C1 ZINC001307520921 1111310903 /nfs/dbraw/zinc/31/09/03/1111310903.db2.gz QUMXBJABQQZIEV-PKOBYXMFSA-N 0 1 301.430 3.449 20 30 DGEDMN C#CCCN1CCN(Cc2cc(Cl)sc2Cl)CC1 ZINC001307654883 1111324009 /nfs/dbraw/zinc/32/40/09/1111324009.db2.gz UQFSEXZIYBJGEY-UHFFFAOYSA-N 0 1 303.258 3.196 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)Nc2cc(Cl)ccc2C#N)[C@@H]1C ZINC001307701284 1111329352 /nfs/dbraw/zinc/32/93/52/1111329352.db2.gz CYXDKYAOVRJQDM-VXGBXAGGSA-N 0 1 305.809 3.271 20 30 DGEDMN CCCCCCCN1CCN(c2ncccc2[N+](=O)[O-])CC1 ZINC001307817966 1111342982 /nfs/dbraw/zinc/34/29/82/1111342982.db2.gz DMPFGIWZMCRCAH-UHFFFAOYSA-N 0 1 306.410 3.082 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Br)C1 ZINC001308066523 1111373212 /nfs/dbraw/zinc/37/32/12/1111373212.db2.gz BTBRZOMQUIMORU-LLVKDONJSA-N 0 1 323.190 3.203 20 30 DGEDMN C#CCN(CC(OCC)OCC)[C@H]1CCCc2ccccc21 ZINC001308103479 1111378605 /nfs/dbraw/zinc/37/86/05/1111378605.db2.gz HVPGPKAWCIAXCB-SFHVURJKSA-N 0 1 301.430 3.398 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1ccc(OC)cc1OC ZINC001308137110 1111383105 /nfs/dbraw/zinc/38/31/05/1111383105.db2.gz OTKPNWCREFDBEE-CQSZACIVSA-N 0 1 318.417 3.073 20 30 DGEDMN Cc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1C#N ZINC001308517532 1111437087 /nfs/dbraw/zinc/43/70/87/1111437087.db2.gz IUSVJUJGDZAVPX-UHFFFAOYSA-N 0 1 303.365 3.410 20 30 DGEDMN Cc1ccc(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)c(F)c1 ZINC001308794210 1111475856 /nfs/dbraw/zinc/47/58/56/1111475856.db2.gz VFCSPLUYVIWBOQ-MRXNPFEDSA-N 0 1 311.360 3.054 20 30 DGEDMN Cc1cc(-c2ccccc2)ccc1NC(=O)NCC#CCN(C)C ZINC001310083638 1111550757 /nfs/dbraw/zinc/55/07/57/1111550757.db2.gz ZDNBSBCREFXDFP-UHFFFAOYSA-N 0 1 321.424 3.349 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)Cc1ccc(C)o1 ZINC001316700801 1111732913 /nfs/dbraw/zinc/73/29/13/1111732913.db2.gz OPFFHTPBSXMDKK-DOMZBBRYSA-N 0 1 324.852 3.100 20 30 DGEDMN N#Cc1ccnc(N[C@@H]2CCN(Cc3ccccc3)C2)c1Cl ZINC000309731220 231102884 /nfs/dbraw/zinc/10/28/84/231102884.db2.gz PFMCVKJRPUJQOI-OAHLLOKOSA-N 0 1 312.804 3.293 20 30 DGEDMN COC(=O)c1ccc(/C=C/C(=O)c2ccc(OC)cc2O)cc1 ZINC000096921672 185391664 /nfs/dbraw/zinc/39/16/64/185391664.db2.gz XYDHFBRMJYWHHP-BJMVGYQFSA-N 0 1 312.321 3.084 20 30 DGEDMN CC[C@H](C#N)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000344788681 529586838 /nfs/dbraw/zinc/58/68/38/529586838.db2.gz QWVQLWSHYVHSHI-SNVBAGLBSA-N 0 1 310.382 3.323 20 30 DGEDMN CCn1nc(C)c(/C=C/C(=O)c2ccc(OC)cc2O)c1C ZINC000156613082 529599872 /nfs/dbraw/zinc/59/98/72/529599872.db2.gz ZNNRMVJCZDVNLV-CMDGGOBGSA-N 0 1 300.358 3.130 20 30 DGEDMN CC[C@H](C(=O)NC1(C#N)CCCCC1)N(C)Cc1ccccc1 ZINC000339257167 529604094 /nfs/dbraw/zinc/60/40/94/529604094.db2.gz ZTFMMUQPPSPANM-QGZVFWFLSA-N 0 1 313.445 3.240 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc(-n2cncn2)cc1 ZINC000090117501 185282912 /nfs/dbraw/zinc/28/29/12/185282912.db2.gz FKICGDXTZJIDRL-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N[C@H](C)c2ccccc2)c(C#N)c1C ZINC000181374454 199286670 /nfs/dbraw/zinc/28/66/70/199286670.db2.gz YJJOVTKFLHIITG-OLZOCXBDSA-N 0 1 311.385 3.446 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286645542 219100968 /nfs/dbraw/zinc/10/09/68/219100968.db2.gz TZZBSRDTTQLGIB-DFBGVHRSSA-N 0 1 312.335 3.112 20 30 DGEDMN N#Cc1cccc(CNCc2c(C3CC3)nc3sccn23)c1 ZINC000181648618 199332905 /nfs/dbraw/zinc/33/29/05/199332905.db2.gz CBEQXSWZJDEVJT-UHFFFAOYSA-N 0 1 308.410 3.435 20 30 DGEDMN C[C@H](CC#N)N(C)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000103442553 432010241 /nfs/dbraw/zinc/01/02/41/432010241.db2.gz VSHIPPITIUBXOI-SNVBAGLBSA-N 0 1 302.765 3.104 20 30 DGEDMN CCN(CC)[C@H](C/N=C/c1cc(OC)ccc1O)c1ccco1 ZINC000067005694 432024499 /nfs/dbraw/zinc/02/44/99/432024499.db2.gz PFMDGIMYISHQSF-PUMYGXPMSA-N 0 1 316.401 3.496 20 30 DGEDMN C[C@H](NCc1cc(C#N)n(C)c1)c1ccccc1OC(F)F ZINC000277046043 213260891 /nfs/dbraw/zinc/26/08/91/213260891.db2.gz MYQPPKXLOSWSES-NSHDSACASA-N 0 1 305.328 3.349 20 30 DGEDMN CCCN(Cc1ccc(C#N)o1)C[C@@H]1COc2ccccc2O1 ZINC000179652079 432066778 /nfs/dbraw/zinc/06/67/78/432066778.db2.gz UCUUBUMIMYEUCE-MRXNPFEDSA-N 0 1 312.369 3.203 20 30 DGEDMN CN(Cc1cc(C#N)n(C)c1)Cc1cccc(Br)c1 ZINC000276813856 213111499 /nfs/dbraw/zinc/11/14/99/213111499.db2.gz YCKIJBJAWYDRSE-UHFFFAOYSA-N 0 1 318.218 3.291 20 30 DGEDMN C#Cc1cccc(NC(=O)N[C@@H](C)c2n[nH]c([C@H](C)CC)n2)c1 ZINC000276737981 213076003 /nfs/dbraw/zinc/07/60/03/213076003.db2.gz AMQZRIDKZRDNKN-NEPJUHHUSA-N 0 1 311.389 3.182 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CCCC[C@H](C)C2)c(C#N)c1C ZINC000187959889 200183362 /nfs/dbraw/zinc/18/33/62/200183362.db2.gz OWIVNJCWOYZEJH-AAEUAGOBSA-N 0 1 303.406 3.217 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1cccc(F)c1C#N ZINC000428228624 238012320 /nfs/dbraw/zinc/01/23/20/238012320.db2.gz MKRZNRWJBSVBGI-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN N#CC(C(=O)c1cncs1)c1nc2ccccc2n1C(F)F ZINC000429672183 238057452 /nfs/dbraw/zinc/05/74/52/238057452.db2.gz CTSJKTDDFIEMBK-QMMMGPOBSA-N 0 1 318.308 3.378 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)c(F)c1 ZINC000271910685 209317316 /nfs/dbraw/zinc/31/73/16/209317316.db2.gz ANYMMMRFNGHCIZ-UGSOOPFHSA-N 0 1 323.371 3.153 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)c(F)c1 ZINC000271910679 209317920 /nfs/dbraw/zinc/31/79/20/209317920.db2.gz ANYMMMRFNGHCIZ-FZKQIMNGSA-N 0 1 323.371 3.153 20 30 DGEDMN CCn1nccc1C1CCN(Cc2cc(C#N)cs2)CC1 ZINC000435024804 238262918 /nfs/dbraw/zinc/26/29/18/238262918.db2.gz UIVRKEAZMROTAL-UHFFFAOYSA-N 0 1 300.431 3.216 20 30 DGEDMN N#C[C@H]1CC[C@@H](Nc2ccccc2CN2CCSCC2)C1 ZINC000442863546 239138216 /nfs/dbraw/zinc/13/82/16/239138216.db2.gz XQRBIHOVOVVQBS-GOEBONIOSA-N 0 1 301.459 3.340 20 30 DGEDMN COCCOc1cc(C)ccc1CNCc1ccc(C#N)c(C)c1 ZINC000443995205 239248361 /nfs/dbraw/zinc/24/83/61/239248361.db2.gz QFRHRDAEWSACDJ-UHFFFAOYSA-N 0 1 324.424 3.490 20 30 DGEDMN COc1ccc(CN2CC[C@@H](OCCC(C)C)C2)cc1C#N ZINC000135135611 432100173 /nfs/dbraw/zinc/10/01/73/432100173.db2.gz BQYDCXZVOZYRBC-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN CC(C)c1nnc(-c2ccccc2NC(=O)c2c[nH]c(C#N)c2)[nH]1 ZINC000180867045 432104975 /nfs/dbraw/zinc/10/49/75/432104975.db2.gz FFRSUBDGZZMPQD-UHFFFAOYSA-N 0 1 320.356 3.047 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000275358550 212290287 /nfs/dbraw/zinc/29/02/87/212290287.db2.gz SENOLMYTAKRFMW-UKRRQHHQSA-N 0 1 312.417 3.465 20 30 DGEDMN Cc1ncsc1CN1CCCN(c2ccccc2C#N)CC1 ZINC000091792575 193109407 /nfs/dbraw/zinc/10/94/07/193109407.db2.gz RLVCAEIIOZWOJR-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H]1c1ccc(F)cc1C ZINC000451966472 241286459 /nfs/dbraw/zinc/28/64/59/241286459.db2.gz FGTKBIJAEIKRSU-QGZVFWFLSA-N 0 1 317.408 3.033 20 30 DGEDMN Cc1cc(CN2CCC[C@@H]([C@H](O)C(F)(F)F)C2)ccc1C#N ZINC000488973877 245256779 /nfs/dbraw/zinc/25/67/79/245256779.db2.gz VGWSATKRXWCSQH-CABCVRRESA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1nc(C)c([C@@H](C)[N@@H+](C)C[C@@H](O)c2ccc(C#N)cc2)s1 ZINC000268703574 432123570 /nfs/dbraw/zinc/12/35/70/432123570.db2.gz RTJNFDOJUFRIDE-MLGOLLRUSA-N 0 1 315.442 3.358 20 30 DGEDMN CN(C(=O)c1cc(-c2cccs2)n[nH]1)c1cccc(C#N)c1 ZINC000126515233 284307866 /nfs/dbraw/zinc/30/78/66/284307866.db2.gz KRKBGHPLZNYOJO-UHFFFAOYSA-N 0 1 308.366 3.286 20 30 DGEDMN N#Cc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)s1 ZINC000288398142 220132663 /nfs/dbraw/zinc/13/26/63/220132663.db2.gz HQAKIQSYELAOSI-OAHLLOKOSA-N 0 1 306.818 3.486 20 30 DGEDMN CS[C@@H]1CCCCN([C@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000246423123 432129140 /nfs/dbraw/zinc/12/91/40/432129140.db2.gz CDSBLKFVMSXZQM-CZUORRHYSA-N 0 1 317.458 3.103 20 30 DGEDMN C[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccc(C#N)cc1 ZINC000266506209 205394880 /nfs/dbraw/zinc/39/48/80/205394880.db2.gz WJZAJGPDTXMDSF-CQSZACIVSA-N 0 1 307.397 3.111 20 30 DGEDMN C[C@@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccc(C#N)cc1 ZINC000266506262 205396770 /nfs/dbraw/zinc/39/67/70/205396770.db2.gz WMSMKEMTNGEDCU-CQSZACIVSA-N 0 1 319.408 3.301 20 30 DGEDMN CN(CC(=O)Nc1cccc(C#N)c1)Cc1cccc2[nH]ccc21 ZINC000494114806 246114821 /nfs/dbraw/zinc/11/48/21/246114821.db2.gz DGMBDEYHWSYQRS-UHFFFAOYSA-N 0 1 318.380 3.110 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@@H](NCc1ccc(C#N)o1)C(C)(C)C ZINC000495335648 246259808 /nfs/dbraw/zinc/25/98/08/246259808.db2.gz HLINQAABWFUJCN-CQSZACIVSA-N 0 1 321.421 3.180 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@@]2(CC[N@@H+](Cc3ccccc3)C2)C1 ZINC000286280839 335031353 /nfs/dbraw/zinc/03/13/53/335031353.db2.gz OXFRDIBEVNQSRY-OAQYLSRUSA-N 0 1 324.468 3.305 20 30 DGEDMN CCCc1csc(CNCCOc2ccc(C#N)cc2)n1 ZINC000494136840 535449378 /nfs/dbraw/zinc/44/93/78/535449378.db2.gz MCPHFONCYIWJSM-UHFFFAOYSA-N 0 1 301.415 3.136 20 30 DGEDMN CCOc1cccc(NS(=O)(=O)c2cccc(C)c2C#N)c1 ZINC000137289985 284517736 /nfs/dbraw/zinc/51/77/36/284517736.db2.gz MEMLSTHQXJDSLD-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN N#Cc1c(F)cccc1NCC1(N2CCOCC2)CCCCC1 ZINC000104909300 194052027 /nfs/dbraw/zinc/05/20/27/194052027.db2.gz ICMRGMBDXPULLX-UHFFFAOYSA-N 0 1 317.408 3.144 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000338668960 253330498 /nfs/dbraw/zinc/33/04/98/253330498.db2.gz LZRXMDLXDXQVQP-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2ccc3cncn3c2)cc1C#N ZINC000339964649 253548720 /nfs/dbraw/zinc/54/87/20/253548720.db2.gz MJCZQYWOHIBWJE-UHFFFAOYSA-N 0 1 320.352 3.245 20 30 DGEDMN COc1ccc(C2(NCc3ccc(C#N)cc3OC)CC2)cc1 ZINC000341685097 253854898 /nfs/dbraw/zinc/85/48/98/253854898.db2.gz QMSMXCIBRBHLNM-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN COC(=O)C(C)(C)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000129359009 533126706 /nfs/dbraw/zinc/12/67/06/533126706.db2.gz PCAKJZOOUTYFSH-OMCISZLKSA-N 0 1 304.173 3.317 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1ccc(F)c(C#N)c1 ZINC000344345728 254260391 /nfs/dbraw/zinc/26/03/91/254260391.db2.gz HORKHEPXSRCENH-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN N#Cc1ccc(CC(=O)Nc2cc(Cl)c(O)cc2F)cc1 ZINC000345618306 254380544 /nfs/dbraw/zinc/38/05/44/254380544.db2.gz GOZYOUCTQVHWEK-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN CCN(CC(=O)NCc1ccccc1)[C@H](C)c1ccc(C#N)cc1 ZINC000345197384 254339873 /nfs/dbraw/zinc/33/98/73/254339873.db2.gz CPLFVFZXRAVJQS-MRXNPFEDSA-N 0 1 321.424 3.258 20 30 DGEDMN COc1cccc2c(C(=O)Nc3cc(C#N)ccc3C)n[nH]c21 ZINC000345263161 254347196 /nfs/dbraw/zinc/34/71/96/254347196.db2.gz LNUDZGXUBNIIGB-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccco2)c2ccccc2)CC1 ZINC000490605651 533145529 /nfs/dbraw/zinc/14/55/29/533145529.db2.gz SLAFFEYEVBKYRB-UHFFFAOYSA-N 0 1 322.408 3.158 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccccc1OCC)c1ccccc1 ZINC000271646243 209089975 /nfs/dbraw/zinc/08/99/75/209089975.db2.gz AHSAMJMBFRJUSQ-QGZVFWFLSA-N 0 1 322.408 3.378 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@@H](C)N1CCC(CCC#N)CC1 ZINC000584778363 400102342 /nfs/dbraw/zinc/10/23/42/400102342.db2.gz WLWSDKIDXFAXDE-MRXNPFEDSA-N 0 1 313.445 3.016 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](c3ccccc3)CC2)CC1 ZINC000066762126 400115345 /nfs/dbraw/zinc/11/53/45/400115345.db2.gz FILKYUBPMYXYIA-LJQANCHMSA-N 0 1 324.468 3.128 20 30 DGEDMN N#Cc1c(CN2CCc3ccc(O)cc3CC2)cn2ccccc12 ZINC000609146035 400144784 /nfs/dbraw/zinc/14/47/84/400144784.db2.gz YSJYSMUJLZZNIQ-UHFFFAOYSA-N 0 1 317.392 3.117 20 30 DGEDMN CCS[C@@H]1CCC[C@@H]1NCc1cccc(C(=O)OC)c1C#N ZINC000585817439 400145051 /nfs/dbraw/zinc/14/50/51/400145051.db2.gz BQFCRNGZUJDIPV-JKSUJKDBSA-N 0 1 318.442 3.109 20 30 DGEDMN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccc(C#N)cc1C(F)(F)F ZINC000595450859 400155281 /nfs/dbraw/zinc/15/52/81/400155281.db2.gz DSWVDOCDJWKPPK-GHMZBOCLSA-N 0 1 312.335 3.311 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1 ZINC000609316385 400159178 /nfs/dbraw/zinc/15/91/78/400159178.db2.gz VEBMINZZNXKATH-UHFFFAOYSA-N 0 1 324.384 3.026 20 30 DGEDMN Cc1nsc(N2CCN(CCCC3CCC3)CC2)c1C#N ZINC000595495404 400167531 /nfs/dbraw/zinc/16/75/31/400167531.db2.gz PTTLTTVUMNMIJZ-UHFFFAOYSA-N 0 1 304.463 3.026 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000595500840 400169067 /nfs/dbraw/zinc/16/90/67/400169067.db2.gz FTHFDDUUFYAELO-HNNXBMFYSA-N 0 1 322.368 3.241 20 30 DGEDMN C[C@@H](C#N)N(Cc1nc2ccc(Br)cc2[nH]1)C1CC1 ZINC000595710529 400239013 /nfs/dbraw/zinc/23/90/13/400239013.db2.gz SHIUPOJRSBNJPQ-VIFPVBQESA-N 0 1 319.206 3.202 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)Nc1cccc(C#N)c1 ZINC000610345892 400245707 /nfs/dbraw/zinc/24/57/07/400245707.db2.gz BRHBHLMYQSMSQE-ZIAGYGMSSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)Nc1cccc(C#N)c1 ZINC000610345889 400246198 /nfs/dbraw/zinc/24/61/98/400246198.db2.gz BRHBHLMYQSMSQE-KBPBESRZSA-N 0 1 318.380 3.108 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC[C@H](CCF)C3)o2)c1 ZINC000595755141 400251176 /nfs/dbraw/zinc/25/11/76/400251176.db2.gz HDYXGSZTKRPMKM-CYBMUJFWSA-N 0 1 314.364 3.180 20 30 DGEDMN CCc1ccc(CN2CCN(c3ccccc3C#N)CC2)cc1 ZINC000609766320 400189649 /nfs/dbraw/zinc/18/96/49/400189649.db2.gz NEELSFLONKOEMN-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](CC[C@H]2Sc3ccccc3NC2=O)C1 ZINC000595623561 400211266 /nfs/dbraw/zinc/21/12/66/400211266.db2.gz TZYFKTXGUWLOGL-CZUORRHYSA-N 0 1 315.442 3.115 20 30 DGEDMN Cn1cc(CCCN2CCC[C@H]2[C@H](C#N)c2ccccc2)cn1 ZINC000610604338 400280685 /nfs/dbraw/zinc/28/06/85/400280685.db2.gz ZVEOCTUVJFFSSD-MOPGFXCFSA-N 0 1 308.429 3.124 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000595845697 400280853 /nfs/dbraw/zinc/28/08/53/400280853.db2.gz UJUCHONFFGKPJC-VBNZEHGJSA-N 0 1 315.373 3.105 20 30 DGEDMN CC(C)(C)OCCCN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000610681999 400290467 /nfs/dbraw/zinc/29/04/67/400290467.db2.gz LLHAVTCFEUJJGC-SFHVURJKSA-N 0 1 315.461 3.074 20 30 DGEDMN C[C@@H]1c2ccccc2CN1C[C@H](O)COc1ccc(CC#N)cc1 ZINC000595903712 400290559 /nfs/dbraw/zinc/29/05/59/400290559.db2.gz ZXZDKMMRDLNKNB-QAPCUYQASA-N 0 1 322.408 3.069 20 30 DGEDMN C[C@@H]1[C@H](C)N(Cc2ccccc2)[C@@H](C)CN1C(=O)C(C)(C)C#N ZINC000404384865 400295479 /nfs/dbraw/zinc/29/54/79/400295479.db2.gz VLJMYSGMAVRYHS-HRCADAONSA-N 0 1 313.445 3.046 20 30 DGEDMN CCCc1cc(C(=O)N(Cc2ccc(C#N)cc2)C(C)C)n[nH]1 ZINC000069009020 400304894 /nfs/dbraw/zinc/30/48/94/400304894.db2.gz KOINUVKLXCNFDC-UHFFFAOYSA-N 0 1 310.401 3.285 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H]1c1ccccc1OC ZINC000069086572 400310164 /nfs/dbraw/zinc/31/01/64/400310164.db2.gz UIYIERYRIIIDIB-KRWDZBQOSA-N 0 1 314.429 3.033 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)cc2F)c(=O)c1CCC#N ZINC000601935485 400380370 /nfs/dbraw/zinc/38/03/70/400380370.db2.gz VVWGOROJFQUOFE-SNVBAGLBSA-N 0 1 324.153 3.231 20 30 DGEDMN N#Cc1ccc(Br)c(-n2[nH]c(C3CCC3)cc2=O)c1 ZINC000601948512 400384850 /nfs/dbraw/zinc/38/48/50/400384850.db2.gz PFIKRVNBTZMSPP-UHFFFAOYSA-N 0 1 318.174 3.214 20 30 DGEDMN Cc1cccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c1C ZINC000611386235 400388464 /nfs/dbraw/zinc/38/84/64/400388464.db2.gz GPJLKDGMPCFAGE-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN Cc1ccc(C)c(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c1 ZINC000611386053 400388641 /nfs/dbraw/zinc/38/86/41/400388641.db2.gz BIKLJQAFPZKIHT-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@@H]1CCCC[C@@H]1C)c1cccc(C#N)c1 ZINC000611386008 400388904 /nfs/dbraw/zinc/38/89/04/400388904.db2.gz AILPKWACJCYTRS-JTOWHCCKSA-N 0 1 313.445 3.292 20 30 DGEDMN C[C@@H](NC(=O)CN[C@@H](C)c1cccc(C#N)c1)c1cccs1 ZINC000611388890 400389576 /nfs/dbraw/zinc/38/95/76/400389576.db2.gz TZUOSWTYKKJTFG-QWHCGFSZSA-N 0 1 313.426 3.148 20 30 DGEDMN C[C@H](NCC(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C)c1cccc(C#N)c1 ZINC000611389232 400391397 /nfs/dbraw/zinc/39/13/97/400391397.db2.gz QTSOMJMZUPYREU-ZXFNITATSA-N 0 1 313.445 3.150 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N(C)C1CCCCC1 ZINC000611389261 400391576 /nfs/dbraw/zinc/39/15/76/400391576.db2.gz ZDNPWFCFXKZJDQ-GJZGRUSLSA-N 0 1 313.445 3.388 20 30 DGEDMN C[C@](C#N)(NC(=O)c1cc(F)cc2nc[nH]c21)C1CCCCC1 ZINC000580734282 400345809 /nfs/dbraw/zinc/34/58/09/400345809.db2.gz MPFYSAICUJKPAA-QGZVFWFLSA-N 0 1 314.364 3.294 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2N[C@H](CO)c1cccc(F)c1 ZINC000611394955 400392420 /nfs/dbraw/zinc/39/24/20/400392420.db2.gz XQNUESFMBJNOJY-RTBURBONSA-N 0 1 310.372 3.398 20 30 DGEDMN N#CCSc1ccccc1NC(=O)CN1CCC[C@H]1C1CC1 ZINC000072606303 400392989 /nfs/dbraw/zinc/39/29/89/400392989.db2.gz MPVNNCDLIKQJPO-HNNXBMFYSA-N 0 1 315.442 3.115 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)c1nc2cc(F)ccc2s1 ZINC000611249135 400364240 /nfs/dbraw/zinc/36/42/40/400364240.db2.gz LYWVHESAMCRDAT-LBPRGKRZSA-N 0 1 317.389 3.491 20 30 DGEDMN N#Cc1ccnc(N2CCN(Cc3ccccc3C3CC3)CC2)c1 ZINC000611253654 400365532 /nfs/dbraw/zinc/36/55/32/400365532.db2.gz PPLGWUIHNYBNPS-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN C[C@@H](NCC(=O)NC1(C#N)CCCCC1)c1ccc(Cl)cc1 ZINC000032832536 400376282 /nfs/dbraw/zinc/37/62/82/400376282.db2.gz DKYHJOBCSNYMJN-CYBMUJFWSA-N 0 1 319.836 3.333 20 30 DGEDMN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000072698397 400398488 /nfs/dbraw/zinc/39/84/88/400398488.db2.gz MIMKDSDEQMPRII-FZMZJTMJSA-N 0 1 305.809 3.271 20 30 DGEDMN Cc1ccc(-c2csc(C(C#N)C(=O)c3cnn(C)c3)n2)cc1 ZINC000041512898 400409090 /nfs/dbraw/zinc/40/90/90/400409090.db2.gz VFJAIYUBWVJTDH-CQSZACIVSA-N 0 1 322.393 3.342 20 30 DGEDMN C[C@H](C(=O)N[C@@H](C)c1ccc(-c2cccc(C#N)c2)cc1)N(C)C ZINC000602130216 400416854 /nfs/dbraw/zinc/41/68/54/400416854.db2.gz KYWASAUCVVJKFC-LSDHHAIUSA-N 0 1 321.424 3.353 20 30 DGEDMN CN(CC(=O)Nc1cc(C#N)ccc1NC1CC1)CC(C)(C)C ZINC000602148254 400418289 /nfs/dbraw/zinc/41/82/89/400418289.db2.gz IPTNQYJUYHBUKY-UHFFFAOYSA-N 0 1 314.433 3.049 20 30 DGEDMN C=CCN(C(=O)c1cccc(C(F)(F)F)c1O)[C@@H](C)COC ZINC000617584449 400419010 /nfs/dbraw/zinc/41/90/10/400419010.db2.gz CFPYHDZEJHWNCU-JTQLQIEISA-N 0 1 317.307 3.074 20 30 DGEDMN Cc1cc(C#N)ccc1CN(Cc1cccc(C(N)=O)c1)C1CC1 ZINC000590747226 400427585 /nfs/dbraw/zinc/42/75/85/400427585.db2.gz XAVCMAWFDFUANS-UHFFFAOYSA-N 0 1 319.408 3.130 20 30 DGEDMN COc1ccccc1N1CCN([C@@H](C)c2ccc(C#N)cc2)CC1 ZINC000118390174 400428053 /nfs/dbraw/zinc/42/80/53/400428053.db2.gz JSQHQFSNYJXYGK-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN COc1ccccc1N1CCN([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000118390177 400428103 /nfs/dbraw/zinc/42/81/03/400428103.db2.gz JSQHQFSNYJXYGK-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN N#Cc1ccc(NC[C@@H]2CCN2Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000596553347 400443504 /nfs/dbraw/zinc/44/35/04/400443504.db2.gz QOYNGFMCYACZIX-INIZCTEOSA-N 0 1 322.368 3.153 20 30 DGEDMN C=C(C)COCCNCc1ccc(C(F)(F)F)cc1[N+](=O)[O-] ZINC000602572423 400500535 /nfs/dbraw/zinc/50/05/35/400500535.db2.gz ZJLOCWZLNILFJR-UHFFFAOYSA-N 0 1 318.295 3.296 20 30 DGEDMN COc1cccc(CC2CCN(Cc3cccc(C#N)n3)CC2)c1 ZINC000602606123 400509442 /nfs/dbraw/zinc/50/94/42/400509442.db2.gz BKBBROIIAOJPHO-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cc1ccccc1OCCCCO ZINC000602442105 400463897 /nfs/dbraw/zinc/46/38/97/400463897.db2.gz UXJPYNFRGYREJJ-UHFFFAOYSA-N 0 1 324.424 3.342 20 30 DGEDMN CO[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000611943757 400466148 /nfs/dbraw/zinc/46/61/48/400466148.db2.gz VUMPIWYWZDKVGR-AWEZNQCLSA-N 0 1 310.344 3.161 20 30 DGEDMN C[C@@H](N[C@H]1CCc2ccccc2NC1=O)c1ccc(C#N)cc1F ZINC000591026537 400477896 /nfs/dbraw/zinc/47/78/96/400477896.db2.gz KXGHWINPIHSFHP-XIKOKIGWSA-N 0 1 323.371 3.301 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CC[C@@H](C3CCC3)C2)c(C#N)c1C ZINC000591063256 400480328 /nfs/dbraw/zinc/48/03/28/400480328.db2.gz MHBJAAQVPGOULL-IUODEOHRSA-N 0 1 315.417 3.217 20 30 DGEDMN CO[C@@H](CNCc1cccc(C#N)n1)c1ccc(Cl)cc1 ZINC000602564004 400498670 /nfs/dbraw/zinc/49/86/70/400498670.db2.gz CKDZWLGQSQYLEA-INIZCTEOSA-N 0 1 301.777 3.084 20 30 DGEDMN COc1ccc(Cl)cc1NC(=O)C[C@H](C)NCC(C)(C)C#N ZINC000612585284 400570225 /nfs/dbraw/zinc/57/02/25/400570225.db2.gz UWCVICINOYSRMV-NSHDSACASA-N 0 1 323.824 3.205 20 30 DGEDMN C[C@H](CC(=O)N(C)Cc1ccccc1Cl)NCC(C)(C)C#N ZINC000612588239 400571458 /nfs/dbraw/zinc/57/14/58/400571458.db2.gz UBKQFDSSRDWPLR-CYBMUJFWSA-N 0 1 321.852 3.216 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2cc(C(F)F)n[nH]2)c1 ZINC000602800763 400576907 /nfs/dbraw/zinc/57/69/07/400576907.db2.gz USRZFQYWRCPMSU-UHFFFAOYSA-N 0 1 320.299 3.282 20 30 DGEDMN Cc1nn(-c2ccccc2F)cc1CN1CCC[C@H](CC#N)C1 ZINC000597177833 400577653 /nfs/dbraw/zinc/57/76/53/400577653.db2.gz RCTUKVOWBCYWLC-OAHLLOKOSA-N 0 1 312.392 3.446 20 30 DGEDMN CN(C)Cc1cc(NCc2cccc(C#N)n2)ccc1Cl ZINC000602649200 400523178 /nfs/dbraw/zinc/52/31/78/400523178.db2.gz UTWHUISTPOWCOO-UHFFFAOYSA-N 0 1 300.793 3.280 20 30 DGEDMN C[C@@H](CC#N)C(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000596974234 400531750 /nfs/dbraw/zinc/53/17/50/400531750.db2.gz VVEWCELZCJRFRB-NSHDSACASA-N 0 1 311.389 3.257 20 30 DGEDMN C[C@@H](Cc1ccsc1)N1CCN(c2ccsc2C#N)CC1 ZINC000597183993 400580178 /nfs/dbraw/zinc/58/01/78/400580178.db2.gz PPVDFRWYSQORFF-ZDUSSCGKSA-N 0 1 317.483 3.434 20 30 DGEDMN N#Cc1sccc1N1CCN(Cc2cccc3cc[nH]c32)CC1 ZINC000597184398 400580229 /nfs/dbraw/zinc/58/02/29/400580229.db2.gz VDARTHHKDZEDCG-UHFFFAOYSA-N 0 1 322.437 3.423 20 30 DGEDMN Cc1cccc2nc(CNc3ncc4c(c3C#N)CCC4)[nH]c21 ZINC000591821833 400563083 /nfs/dbraw/zinc/56/30/83/400563083.db2.gz FFWRFPGFHCOIQS-UHFFFAOYSA-N 0 1 303.369 3.239 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1cc(C(F)F)[nH]n1 ZINC000603076453 400636685 /nfs/dbraw/zinc/63/66/85/400636685.db2.gz VPIMEKGVLHGELV-SECBINFHSA-N 0 1 304.300 3.052 20 30 DGEDMN CC(C)[C@H](NCc1cnc2c(C#N)cnn2c1)c1ccccc1F ZINC000597195701 400583141 /nfs/dbraw/zinc/58/31/41/400583141.db2.gz NAJDQUSJSFNTCB-KRWDZBQOSA-N 0 1 323.375 3.227 20 30 DGEDMN N#CCC[C@H](C#N)CN1CCC[C@@H](Cn2cnc3ccccc32)C1 ZINC000602821211 400584296 /nfs/dbraw/zinc/58/42/96/400584296.db2.gz WPVLFXBZNXIVEK-IAGOWNOFSA-N 0 1 321.428 3.192 20 30 DGEDMN N#CCCC1CCN([C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000592136105 400611170 /nfs/dbraw/zinc/61/11/70/400611170.db2.gz UUQJLAOCKJDLEA-SFHVURJKSA-N 0 1 311.429 3.198 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)CC2(C#N)CCCCC2)CC1 ZINC000597633898 400654657 /nfs/dbraw/zinc/65/46/57/400654657.db2.gz FRBACHOEJWEUFR-UHFFFAOYSA-N 0 1 314.433 3.288 20 30 DGEDMN Cc1ccc(-c2nn(C)cc2CN(C)Cc2cccc(C#N)c2)o1 ZINC000592497763 400659549 /nfs/dbraw/zinc/65/95/49/400659549.db2.gz COKIZSDAYLJUIC-UHFFFAOYSA-N 0 1 320.396 3.492 20 30 DGEDMN C[C@H](CC#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC000597807288 400675286 /nfs/dbraw/zinc/67/52/86/400675286.db2.gz AFGZIYQBCVAKKA-LTIDMASMSA-N 0 1 313.445 3.046 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3cc(C#N)ccc32)c(OC)c1 ZINC000618742260 400680141 /nfs/dbraw/zinc/68/01/41/400680141.db2.gz VETTWONAZULEEP-SFHVURJKSA-N 0 1 308.381 3.353 20 30 DGEDMN CN(C)Cc1ccc(-c2cccc(C[C@H](C#N)CO)c2)cc1F ZINC000592987372 400727523 /nfs/dbraw/zinc/72/75/23/400727523.db2.gz QUILBMLCUIJMLW-OAHLLOKOSA-N 0 1 312.388 3.229 20 30 DGEDMN Cc1[nH]n(-c2ccccc2Br)c(=O)c1CCC#N ZINC000603920649 400732797 /nfs/dbraw/zinc/73/27/97/400732797.db2.gz AHBBINVLGRYTKJ-JTQLQIEISA-N 0 1 306.163 3.092 20 30 DGEDMN Cc1[nH]n(Cc2cccc(C(F)(F)F)c2)c(=O)c1CCC#N ZINC000603921554 400733121 /nfs/dbraw/zinc/73/31/21/400733121.db2.gz VTFXEOKCBBBLBZ-CYBMUJFWSA-N 0 1 309.291 3.343 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCc2ccccc2[C@@H]1CC ZINC000603944415 400736801 /nfs/dbraw/zinc/73/68/01/400736801.db2.gz MHXUJOWHQLEVNO-SFHVURJKSA-N 0 1 300.446 3.420 20 30 DGEDMN C[C@@H](C#N)N(C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)C1CC1 ZINC000598126433 400745789 /nfs/dbraw/zinc/74/57/89/400745789.db2.gz VPZHGSKFTUCHNY-JTQLQIEISA-N 0 1 314.776 3.247 20 30 DGEDMN C=CCNC(=O)Nc1ccc(NCc2ccc3nc[nH]c3c2)cc1 ZINC000604068350 400746782 /nfs/dbraw/zinc/74/67/82/400746782.db2.gz IAFUEQRFFPHRKI-UHFFFAOYSA-N 0 1 321.384 3.483 20 30 DGEDMN CCCCN1C(=O)N=NC1S[C@@H](C#N)Cc1ccccc1 ZINC000604075962 400747356 /nfs/dbraw/zinc/74/73/56/400747356.db2.gz CJOIBVMAFDASOL-CYBMUJFWSA-N 0 1 302.403 3.011 20 30 DGEDMN COC(=O)C[C@H]1CCCCN1Cc1ccc(C#N)cc1Cl ZINC000163659620 400749437 /nfs/dbraw/zinc/74/94/37/400749437.db2.gz GTUQWMJQNPBFBZ-CQSZACIVSA-N 0 1 306.793 3.129 20 30 DGEDMN C[N@@H+](CCC(=O)Nc1ccc(C#N)c(Cl)c1)Cc1ccoc1 ZINC000165856444 400752184 /nfs/dbraw/zinc/75/21/84/400752184.db2.gz NDSUCPOQBYXXDW-UHFFFAOYSA-N 0 1 317.776 3.265 20 30 DGEDMN CN(CCC(=O)Nc1ccc(C#N)c(Cl)c1)Cc1ccoc1 ZINC000165856444 400752189 /nfs/dbraw/zinc/75/21/89/400752189.db2.gz NDSUCPOQBYXXDW-UHFFFAOYSA-N 0 1 317.776 3.265 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC(C)CC1 ZINC000078606414 400814419 /nfs/dbraw/zinc/81/44/19/400814419.db2.gz QUMQAISPMVZLPZ-OAHLLOKOSA-N 0 1 317.408 3.193 20 30 DGEDMN C[C@@H](CO)C1CCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000593084439 400757195 /nfs/dbraw/zinc/75/71/95/400757195.db2.gz PDXHWWKFICAHIN-LBPRGKRZSA-N 0 1 324.371 3.000 20 30 DGEDMN CC(C)CCN(C)CC(=O)Nc1ccccc1SCC#N ZINC000053363874 400778094 /nfs/dbraw/zinc/77/80/94/400778094.db2.gz PYDRZPSYJZIYBS-UHFFFAOYSA-N 0 1 305.447 3.219 20 30 DGEDMN N#Cc1ccc(Sc2nc(-c3cc(F)cc(F)c3)n[nH]2)nc1 ZINC000078381387 400795702 /nfs/dbraw/zinc/79/57/02/400795702.db2.gz WUJLWVZDHFUBNI-UHFFFAOYSA-N 0 1 315.308 3.168 20 30 DGEDMN C=CCNC(=O)CN[C@H](CC(C)C)c1ccccc1OCC ZINC000181261790 400887804 /nfs/dbraw/zinc/88/78/04/400887804.db2.gz KLZQQMSNNDUZMB-MRXNPFEDSA-N 0 1 304.434 3.064 20 30 DGEDMN CC[NH+](CC)Cc1cccc(NC(=O)c2cc([O-])cc(C#N)c2)c1 ZINC000614294919 400872415 /nfs/dbraw/zinc/87/24/15/400872415.db2.gz AUAXNDHXKFCWCN-UHFFFAOYSA-N 0 1 323.396 3.358 20 30 DGEDMN CC(C)Oc1ccccc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000055590389 400879086 /nfs/dbraw/zinc/87/90/86/400879086.db2.gz CSSXLCDZDAPPHC-UHFFFAOYSA-N 0 1 316.382 3.146 20 30 DGEDMN CCN(CC)CC(=O)Nc1c(C#N)c(C)c(C)n1C1CCCC1 ZINC000054609766 400831900 /nfs/dbraw/zinc/83/19/00/400831900.db2.gz CPPKUPAEQRFKKB-UHFFFAOYSA-N 0 1 316.449 3.372 20 30 DGEDMN Cc1csc([C@@H]2CCCCN2C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598590549 400838652 /nfs/dbraw/zinc/83/86/52/400838652.db2.gz OLKZUDSSNPYLLG-KBPBESRZSA-N 0 1 307.463 3.279 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2Nc2ccncc2)cc1 ZINC000619581532 400869365 /nfs/dbraw/zinc/86/93/65/400869365.db2.gz HUSAIAZQEMKWQP-UHFFFAOYSA-N 0 1 314.348 3.371 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1ccc(Cl)c(Cl)c1 ZINC000594011789 400947941 /nfs/dbraw/zinc/94/79/41/400947941.db2.gz SIOLTJBYRZOBLV-GHMZBOCLSA-N 0 1 317.216 3.015 20 30 DGEDMN CCC[N@@H+](C)[C@H](CNC(=O)[C@H](C#N)CC)c1ccc(Cl)cc1 ZINC000593700863 400896317 /nfs/dbraw/zinc/89/63/17/400896317.db2.gz COSRXWYIZOFOFP-XJKSGUPXSA-N 0 1 321.852 3.389 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccsc1)C(=O)NC1(C#N)CCCCC1 ZINC000619746310 400897499 /nfs/dbraw/zinc/89/74/99/400897499.db2.gz MMTCZMNDCMZKAK-CHWSQXEVSA-N 0 1 305.447 3.130 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3c(c2)OCCO3)cc1Cl ZINC000619748776 400898499 /nfs/dbraw/zinc/89/84/99/400898499.db2.gz OIPBLELUPTWAFG-UHFFFAOYSA-N 0 1 314.772 3.273 20 30 DGEDMN C[C@@H](C#N)CN[C@H](C)CC(=O)Nc1ccccc1C(F)(F)F ZINC000183833055 400902257 /nfs/dbraw/zinc/90/22/57/400902257.db2.gz YNPVIRYUJLKVMZ-WDEREUQCSA-N 0 1 313.323 3.172 20 30 DGEDMN Cc1oc(NC(=O)CN[C@H](C)c2ccsc2)c(C#N)c1C ZINC000619782681 400906098 /nfs/dbraw/zinc/90/60/98/400906098.db2.gz JLKNOXCUGDDTJG-SNVBAGLBSA-N 0 1 303.387 3.119 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H](C)c3cccc(C#N)c3)c2[nH]1 ZINC000619807362 400908233 /nfs/dbraw/zinc/90/82/33/400908233.db2.gz UZVCKHVJYBMSQG-NSHDSACASA-N 0 1 304.353 3.234 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1cccc2[nH]ncc21 ZINC000056213373 400914667 /nfs/dbraw/zinc/91/46/67/400914667.db2.gz OFVAZZXDYDTXLW-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN N#Cc1ccc(CN2CCN(c3cccc4[nH]ccc43)CC2)cc1 ZINC000593956743 400927002 /nfs/dbraw/zinc/92/70/02/400927002.db2.gz FKBHJEZMZRMEKE-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN CN(C)[C@@H](CNc1ccc(C#N)cn1)c1ccccc1Cl ZINC000198356867 400931492 /nfs/dbraw/zinc/93/14/92/400931492.db2.gz AEPCRMWUODFJPS-HNNXBMFYSA-N 0 1 300.793 3.321 20 30 DGEDMN Cc1ccc(CN2CC[C@@H](C(=O)OC(C)(C)C)C2)cc1C#N ZINC000599306118 401017219 /nfs/dbraw/zinc/01/72/19/401017219.db2.gz DNGVXVRZSKMPAO-OAHLLOKOSA-N 0 1 300.402 3.030 20 30 DGEDMN CO[C@@]1(C(F)(F)F)CCCN(Cc2ccc(CC#N)cc2)C1 ZINC000614924787 400967112 /nfs/dbraw/zinc/96/71/12/400967112.db2.gz MGVVZHKIPGZPIV-HNNXBMFYSA-N 0 1 312.335 3.296 20 30 DGEDMN C=CC1CCN([C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000606897487 400977338 /nfs/dbraw/zinc/97/73/38/400977338.db2.gz NFXDHTQXRAZXEA-INIZCTEOSA-N 0 1 304.821 3.343 20 30 DGEDMN C[C@@H](NCC(=O)N[C@H](C)c1ccccc1)c1cccc(C#N)c1 ZINC000599348127 401030277 /nfs/dbraw/zinc/03/02/77/401030277.db2.gz CKMIOBZFUBILEI-HUUCEWRRSA-N 0 1 307.397 3.086 20 30 DGEDMN COC(=O)[C@@H]1CN(Cc2ccc(C#N)cc2C)Cc2ccccc21 ZINC000599664235 401106707 /nfs/dbraw/zinc/10/67/07/401106707.db2.gz BAQXMSQBVWEZJG-LJQANCHMSA-N 0 1 320.392 3.139 20 30 DGEDMN COC(=O)[C@@H]1CN([C@@H](C)c2cccc(C#N)c2)Cc2ccccc21 ZINC000599668903 401107626 /nfs/dbraw/zinc/10/76/26/401107626.db2.gz ZVDPTWVSROZXLY-IFXJQAMLSA-N 0 1 320.392 3.392 20 30 DGEDMN CCc1cccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000271786274 401137710 /nfs/dbraw/zinc/13/77/10/401137710.db2.gz MMSYRPCUPKVQSA-UHFFFAOYSA-N 0 1 319.408 3.338 20 30 DGEDMN Cc1cc(C)c(C(=O)[C@@H](C#N)c2nnc3n2CCCCC3)s1 ZINC000633898932 401141474 /nfs/dbraw/zinc/14/14/74/401141474.db2.gz BWYIOXKQNSJLIO-GFCCVEGCSA-N 0 1 314.414 3.173 20 30 DGEDMN Cc1cc(C)c(C(=O)C(C#N)c2nnc3n2CCCCC3)s1 ZINC000633898932 401141482 /nfs/dbraw/zinc/14/14/82/401141482.db2.gz BWYIOXKQNSJLIO-GFCCVEGCSA-N 0 1 314.414 3.173 20 30 DGEDMN CCCN(Cc1ccc(C(=O)OC)o1)Cc1ccc(C#N)cc1 ZINC000061001838 401143778 /nfs/dbraw/zinc/14/37/78/401143778.db2.gz WIVGXWWUQNCLNU-UHFFFAOYSA-N 0 1 312.369 3.350 20 30 DGEDMN C[C@@H](N[C@@H]1CCc2ccccc2NC1=O)c1ccc(C#N)c(F)c1 ZINC000594511770 401089318 /nfs/dbraw/zinc/08/93/18/401089318.db2.gz OKAHBRCAMWYBEO-KZULUSFZSA-N 0 1 323.371 3.301 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000616030110 401198991 /nfs/dbraw/zinc/19/89/91/401198991.db2.gz BMTRWFDMVBNPNG-ZWSORDCHSA-N 0 1 323.440 3.115 20 30 DGEDMN C[C@@H]1CC(F)(F)CCN1CCOCC(F)(F)C(F)(F)F ZINC000625980939 401272477 /nfs/dbraw/zinc/27/24/77/401272477.db2.gz ZBJISWADBRCDLW-MRVPVSSYSA-N 0 1 311.241 3.320 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(CC(C)C)n2)nc(C)n1 ZINC000064101013 401230156 /nfs/dbraw/zinc/23/01/56/401230156.db2.gz WRLGKJXNAAFVRX-LBPRGKRZSA-N 0 1 314.414 3.239 20 30 DGEDMN C=CCCOCC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000622313052 401378325 /nfs/dbraw/zinc/37/83/25/401378325.db2.gz QCHURRAUQDHVPY-MRXNPFEDSA-N 0 1 313.401 3.128 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCNC[C@H]1C(C)C)c1ccccc1 ZINC000627003382 401432014 /nfs/dbraw/zinc/43/20/14/401432014.db2.gz NVVAUVWGKULVKP-ROUUACIJSA-N 0 1 315.461 3.333 20 30 DGEDMN C[C@@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@H](O)C(F)(F)F ZINC000631235875 401433215 /nfs/dbraw/zinc/43/32/15/401433215.db2.gz KWDVTNVAPQRLPN-LDWIPMOCSA-N 0 1 319.220 3.246 20 30 DGEDMN C=CCOc1c(Cl)cc(CN(C)CCOCC)cc1OC ZINC000628235285 401556245 /nfs/dbraw/zinc/55/62/45/401556245.db2.gz GUZQETYZBWWUBK-UHFFFAOYSA-N 0 1 313.825 3.382 20 30 DGEDMN C[C@H](NC[C@@H](O)C(F)(F)F)c1ccc(F)cc1C(F)(F)F ZINC000623969534 401606501 /nfs/dbraw/zinc/60/65/01/401606501.db2.gz KHMKKOAISBQAKV-QUBYGPBYSA-N 0 1 319.220 3.418 20 30 DGEDMN CN(C)[C@H](CNc1ccc(C#N)nc1)c1ccccc1Cl ZINC000176748604 164788263 /nfs/dbraw/zinc/78/82/63/164788263.db2.gz UFCZRDSSEHQJHP-MRXNPFEDSA-N 0 1 300.793 3.321 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(O)(c2ccccc2)CC1 ZINC000185518976 165006829 /nfs/dbraw/zinc/00/68/29/165006829.db2.gz RMIAQGCICNUSJD-UHFFFAOYSA-N 0 1 322.408 3.050 20 30 DGEDMN Cn1cc(CN2CCC(Nc3ccc(F)cc3)CC2)cc1C#N ZINC000191263073 165239573 /nfs/dbraw/zinc/23/95/73/165239573.db2.gz RUYACWKMEKYRLB-UHFFFAOYSA-N 0 1 312.392 3.112 20 30 DGEDMN Cn1cc(CNC2(c3ccc(F)cc3F)CCC2)cc1C#N ZINC000191508739 165255017 /nfs/dbraw/zinc/25/50/17/165255017.db2.gz KPLIRXMDNGMKGF-UHFFFAOYSA-N 0 1 301.340 3.344 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)cc1F ZINC000192070623 165281010 /nfs/dbraw/zinc/28/10/10/165281010.db2.gz ZLALVWMFIGDUBV-RDJZCZTQSA-N 0 1 314.360 3.378 20 30 DGEDMN C[C@H](NCc1ccc(C#N)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000192147738 165282449 /nfs/dbraw/zinc/28/24/49/165282449.db2.gz YHQOSNYCMHUVGP-LBPRGKRZSA-N 0 1 312.344 3.319 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cccc(C(F)F)c1 ZINC000195452149 165371020 /nfs/dbraw/zinc/37/10/20/165371020.db2.gz DPXSGMKXFMCPHZ-UHFFFAOYSA-N 0 1 308.309 3.297 20 30 DGEDMN CC(C)(NCc1cccc(C#N)c1)C(=O)NCC1CCCCC1 ZINC000129480504 196052336 /nfs/dbraw/zinc/05/23/36/196052336.db2.gz UHBOWNYDMLAABL-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](c2ccco2)N2CCCC2)CCC1 ZINC000190600886 432173158 /nfs/dbraw/zinc/17/31/58/432173158.db2.gz RRIPRVQRPHICHA-HNNXBMFYSA-N 0 1 302.418 3.279 20 30 DGEDMN N#CC(C(=O)c1cc(F)cc(F)c1)c1cnc2ccccc2n1 ZINC000190955551 432205076 /nfs/dbraw/zinc/20/50/76/432205076.db2.gz ZFRAHHHZQMJSBA-CYBMUJFWSA-N 0 1 309.275 3.398 20 30 DGEDMN N#Cc1ccc(N2CCC[C@H](N3Cc4ccccc4C3)C2=O)cc1 ZINC000182762620 432225316 /nfs/dbraw/zinc/22/53/16/432225316.db2.gz KLWSQWIMEJYEBG-IBGZPJMESA-N 0 1 317.392 3.069 20 30 DGEDMN N#Cc1ccc(N2CCC[C@@H](N3Cc4ccccc4C3)C2=O)cc1 ZINC000182762600 432226379 /nfs/dbraw/zinc/22/63/79/432226379.db2.gz KLWSQWIMEJYEBG-LJQANCHMSA-N 0 1 317.392 3.069 20 30 DGEDMN CC(C)C[C@@H](C)N(C)C(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271641563 209086479 /nfs/dbraw/zinc/08/64/79/209086479.db2.gz HAYRASYIBUTUSR-HUUCEWRRSA-N 0 1 301.434 3.102 20 30 DGEDMN Cc1cccc2c1[nH]cc(C(=O)N(CCC#N)CC1CC1)c2=O ZINC000164553376 228264359 /nfs/dbraw/zinc/26/43/59/228264359.db2.gz MKBCZAUSBYZARM-UHFFFAOYSA-N 0 1 309.369 3.015 20 30 DGEDMN C[C@H](N(C)CC(=O)Nc1ccc(C#N)c(Cl)c1)C1(C)CC1 ZINC000177245826 228327754 /nfs/dbraw/zinc/32/77/54/228327754.db2.gz SFTPDDCMTHQTFJ-NSHDSACASA-N 0 1 305.809 3.271 20 30 DGEDMN Cc1cccc2nc(CN[C@H](C)c3ccc(OCC#N)cc3)cn21 ZINC000182007016 228364808 /nfs/dbraw/zinc/36/48/08/228364808.db2.gz FLNRCKVXOLFXHY-OAHLLOKOSA-N 0 1 320.396 3.396 20 30 DGEDMN C[C@@H](c1nccs1)N1CCN(CC(C)(C)CCC#N)CC1 ZINC000185617350 228394066 /nfs/dbraw/zinc/39/40/66/228394066.db2.gz PRFPEWUGYXCJPT-AWEZNQCLSA-N 0 1 306.479 3.152 20 30 DGEDMN COc1ccnc(NCc2cccn2Cc2ccccc2C#N)c1 ZINC000187905488 228443668 /nfs/dbraw/zinc/44/36/68/228443668.db2.gz UYRFCRAFTIVQFG-UHFFFAOYSA-N 0 1 318.380 3.424 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)NCc2ccc(C#N)cc2)cc1 ZINC000298724543 228722043 /nfs/dbraw/zinc/72/20/43/228722043.db2.gz DZYWJSHIILMHJF-INIZCTEOSA-N 0 1 321.424 3.176 20 30 DGEDMN Cc1cc(NC([O-])=[NH+]C(C)(C)C)ccc1-n1nnnc1C(C)C ZINC000330803805 229004468 /nfs/dbraw/zinc/00/44/68/229004468.db2.gz HXGNCXYKRRHEDX-UHFFFAOYSA-N 0 1 316.409 3.219 20 30 DGEDMN Cc1n[nH]c(C)c1[NH+]=C([O-])Nc1ccnn1[C@@H](C)CC(C)C ZINC000330882121 229007792 /nfs/dbraw/zinc/00/77/92/229007792.db2.gz NWVAIXCPKWTAGM-JTQLQIEISA-N 0 1 304.398 3.488 20 30 DGEDMN Cc1nn(C)c(C)c1[NH+]=C([O-])Nc1ccnn1[C@H](C)CC(C)C ZINC000330882642 229007953 /nfs/dbraw/zinc/00/79/53/229007953.db2.gz OFIMVISXNYDFNF-LLVKDONJSA-N 0 1 318.425 3.498 20 30 DGEDMN Cc1ccnc(N2CCN(CCCCC(C)(C)C#N)CC2)c1 ZINC000336866510 229041740 /nfs/dbraw/zinc/04/17/40/229041740.db2.gz XRNOUKIJKMCLLM-UHFFFAOYSA-N 0 1 300.450 3.232 20 30 DGEDMN C[C@](O)(CNCc1cc(C(F)(F)F)ccc1F)C(F)(F)F ZINC000340594356 229091047 /nfs/dbraw/zinc/09/10/47/229091047.db2.gz FMIBPAMKRAPFND-JTQLQIEISA-N 0 1 319.220 3.247 20 30 DGEDMN COc1cc(C(=O)C(C#N)c2nc(C(C)C)cs2)cc(C)n1 ZINC000337358760 229047944 /nfs/dbraw/zinc/04/79/44/229047944.db2.gz GMMQMRMMLYZNCB-GFCCVEGCSA-N 0 1 315.398 3.469 20 30 DGEDMN Cc1nc2sccn2c1CN(C)Cc1ccc(CC#N)cc1 ZINC000343417312 229125737 /nfs/dbraw/zinc/12/57/37/229125737.db2.gz KCJGUBROFAVRJB-UHFFFAOYSA-N 0 1 310.426 3.402 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(C(C)C)nc2C)CC1 ZINC000339173551 229075896 /nfs/dbraw/zinc/07/58/96/229075896.db2.gz RSMAHBFGAQBPCI-UHFFFAOYSA-N 0 1 301.434 3.266 20 30 DGEDMN OC[C@@H](CC(F)(F)F)NCc1ccc(F)c(C(F)(F)F)c1 ZINC000339797661 229082827 /nfs/dbraw/zinc/08/28/27/229082827.db2.gz IRRMLMJSYPFZED-MRVPVSSYSA-N 0 1 319.220 3.247 20 30 DGEDMN N#CCCN(Cc1ccco1)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000343869843 229130938 /nfs/dbraw/zinc/13/09/38/229130938.db2.gz CLEFFIXNBWNJNZ-UHFFFAOYSA-N 0 1 320.352 3.226 20 30 DGEDMN Cc1cc(C)c(C(=O)C(C#N)c2nc3ccccc3o2)c(=O)[nH]1 ZINC000349288537 229211111 /nfs/dbraw/zinc/21/11/11/229211111.db2.gz VXBOHIPXXXGYAV-LLVKDONJSA-N 0 1 307.309 3.035 20 30 DGEDMN C[C@H]1[C@H](C(F)(F)F)CCN1CCOCC(F)(F)C(F)F ZINC000348246629 229201448 /nfs/dbraw/zinc/20/14/48/229201448.db2.gz RIFISLLHMIIKGW-JGVFFNPUSA-N 0 1 311.241 3.176 20 30 DGEDMN Cc1[nH]c2ccc(Cl)cc2c1C(=O)C(C#N)c1ccncn1 ZINC000354465976 229295858 /nfs/dbraw/zinc/29/58/58/229295858.db2.gz LYGOAGRLFXIWBI-GFCCVEGCSA-N 0 1 310.744 3.410 20 30 DGEDMN N#CCCC[C@H](NC(=O)[C@@H]1CCCc2[nH]cnc21)c1ccccc1 ZINC000352225172 229247182 /nfs/dbraw/zinc/24/71/82/229247182.db2.gz JCHBOHOEPLDXME-CVEARBPZSA-N 0 1 322.412 3.381 20 30 DGEDMN N#CC(C(=O)c1ccc2ccc(Cl)cc2n1)c1ccncn1 ZINC000354467131 229296490 /nfs/dbraw/zinc/29/64/90/229296490.db2.gz KVMCIFUDFLRJBY-GFCCVEGCSA-N 0 1 308.728 3.168 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc2ccc(Cl)cc2n1)c1ccncn1 ZINC000354467131 229296494 /nfs/dbraw/zinc/29/64/94/229296494.db2.gz KVMCIFUDFLRJBY-GFCCVEGCSA-N 0 1 308.728 3.168 20 30 DGEDMN C[C@H](F)CCN1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000352402527 229251400 /nfs/dbraw/zinc/25/14/00/229251400.db2.gz OHMFHHMFPLAMNR-BBRMVZONSA-N 0 1 309.816 3.270 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c(C)nn(CC(F)F)c2C)n1 ZINC000355305883 229306868 /nfs/dbraw/zinc/30/68/68/229306868.db2.gz JIVVOOZLCMZBMT-SNVBAGLBSA-N 0 1 324.356 3.020 20 30 DGEDMN Cc1nc([C@H](C)Sc2nc(C(F)(F)F)ccc2C#N)n[nH]1 ZINC000413001138 230108400 /nfs/dbraw/zinc/10/84/00/230108400.db2.gz CELKVCHDUICVAJ-LURJTMIESA-N 0 1 313.308 3.252 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1C ZINC000346445931 264033139 /nfs/dbraw/zinc/03/31/39/264033139.db2.gz DPOVZQJWRCSLPF-VXGBXAGGSA-N 0 1 320.824 3.158 20 30 DGEDMN CC[C@@H](NCc1ccc(Br)cc1C#N)[C@H]1CCCO1 ZINC000347253933 264183839 /nfs/dbraw/zinc/18/38/39/264183839.db2.gz SKEHAHCDIWEKBF-HUUCEWRRSA-N 0 1 323.234 3.368 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1C ZINC000347601537 264249110 /nfs/dbraw/zinc/24/91/10/264249110.db2.gz NAMZPWNWQLHLLU-VXGBXAGGSA-N 0 1 305.809 3.128 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1cc(F)ccc1Cl ZINC000103059221 270003446 /nfs/dbraw/zinc/00/34/46/270003446.db2.gz QEFRYRQWXLDQOW-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CC(C)(C)CCN1CCN(c2c(F)cc(C#N)cc2F)CC1 ZINC000109809381 270003483 /nfs/dbraw/zinc/00/34/83/270003483.db2.gz GMHZRSFSKHSDMV-UHFFFAOYSA-N 0 1 307.388 3.395 20 30 DGEDMN COC(=O)c1cccc(CN(C)CCCc2ccccc2)c1C#N ZINC000534601444 270005290 /nfs/dbraw/zinc/00/52/90/270005290.db2.gz YAJUIXYJPLJJNC-UHFFFAOYSA-N 0 1 322.408 3.409 20 30 DGEDMN C[C@H](NCc1cccc(C#N)c1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000352469652 265060600 /nfs/dbraw/zinc/06/06/00/265060600.db2.gz FNJPIJWZWFFNMQ-GJZGRUSLSA-N 0 1 319.408 3.014 20 30 DGEDMN CCN(CC(=O)N1CCCCCC1)[C@@H](C)c1cccc(C#N)c1 ZINC000353202743 266102611 /nfs/dbraw/zinc/10/26/11/266102611.db2.gz PPDOWIQDIWNNNF-INIZCTEOSA-N 0 1 313.445 3.344 20 30 DGEDMN CN(Cc1cccc(C#N)c1)[C@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600021 266570948 /nfs/dbraw/zinc/57/09/48/266570948.db2.gz LYZNKIWPSZJPFZ-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN CN(Cc1cc(C#N)n(C)c1)Cc1ccccc1N1CCCC1 ZINC000191192650 432233017 /nfs/dbraw/zinc/23/30/17/432233017.db2.gz DPHREIDZTRNLGU-UHFFFAOYSA-N 0 1 308.429 3.129 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCc2cc(C)ccc2C1 ZINC000361842237 266794940 /nfs/dbraw/zinc/79/49/40/266794940.db2.gz JWIQNUNLQAAEDS-UHFFFAOYSA-N 0 1 322.408 3.312 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCCN(c2ncccc2F)CC1 ZINC000363902956 267000835 /nfs/dbraw/zinc/00/08/35/267000835.db2.gz LMEJMKMEBPCNCU-HNNXBMFYSA-N 0 1 324.403 3.366 20 30 DGEDMN N#Cc1ccc(CN2CCC(n3cc(Cl)cn3)CC2)cc1F ZINC000364590711 268064820 /nfs/dbraw/zinc/06/48/20/268064820.db2.gz QFQGRAZZAVPZCC-UHFFFAOYSA-N 0 1 318.783 3.384 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]1C1CC1 ZINC000377542259 268099929 /nfs/dbraw/zinc/09/99/29/268099929.db2.gz GGVQFNFMDUDATI-HNNXBMFYSA-N 0 1 303.793 3.025 20 30 DGEDMN N#Cc1cccc(CNC(=O)CN2CCC[C@H]2c2ccccc2)c1 ZINC000362997289 268250033 /nfs/dbraw/zinc/25/00/33/268250033.db2.gz KPKPMOUEQJDYKE-IBGZPJMESA-N 0 1 319.408 3.012 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)CCC1 ZINC000191299183 432246635 /nfs/dbraw/zinc/24/66/35/432246635.db2.gz CFJXCASEMFGXOF-INIZCTEOSA-N 0 1 304.409 3.291 20 30 DGEDMN Cc1cccc(OC2CCN(Cc3cccc(C#N)c3)CC2)n1 ZINC000367660019 271059845 /nfs/dbraw/zinc/05/98/45/271059845.db2.gz MDUOLOXGOWFFOW-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cccc(NC2CCN(Cc3cc(C#N)n(C)c3)CC2)c1 ZINC000191390959 432259895 /nfs/dbraw/zinc/25/98/95/432259895.db2.gz CTFDNEPZQVSBIN-UHFFFAOYSA-N 0 1 308.429 3.282 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N(C)[C@@H](C)C1(C)CC1)CC2 ZINC000330054271 276089417 /nfs/dbraw/zinc/08/94/17/276089417.db2.gz RSVDBUMVGALVOU-DZGCQCFKSA-N 0 1 318.465 3.356 20 30 DGEDMN CCN(Cc1ccc(Cl)cc1)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346279980 276140900 /nfs/dbraw/zinc/14/09/00/276140900.db2.gz OFCUYNGXOXTWCQ-ZDUSSCGKSA-N 0 1 319.836 3.113 20 30 DGEDMN C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1CC[C@@H](C)[C@H]1C ZINC000177881909 278216945 /nfs/dbraw/zinc/21/69/45/278216945.db2.gz OTUDZEHZJODDFS-UTUOFQBUSA-N 0 1 317.458 3.166 20 30 DGEDMN CC(C)CN(C(=O)CN1[C@@H](C)CC[C@@H]1C)c1ccc(C#N)cc1 ZINC000342039784 278813164 /nfs/dbraw/zinc/81/31/64/278813164.db2.gz LDBLKWBELYWPMY-HOTGVXAUSA-N 0 1 313.445 3.420 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCC[C@H](C)[C@@H]3C)C2)c1 ZINC000329541003 279034445 /nfs/dbraw/zinc/03/44/45/279034445.db2.gz DGBPXEQZXJQHAW-CAOSSQGBSA-N 0 1 304.438 3.177 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)Nc2cc(C)ccc2OC)CC1 ZINC000111259683 431203457 /nfs/dbraw/zinc/20/34/57/431203457.db2.gz XCSTXLFDYBVRMG-CQSZACIVSA-N 0 1 302.418 3.229 20 30 DGEDMN CCS[C@H]1CCCCN(CC(=O)Nc2cccc(C#N)c2)C1 ZINC000112089780 431246261 /nfs/dbraw/zinc/24/62/61/431246261.db2.gz GKPTWKLHRHYBKL-INIZCTEOSA-N 0 1 317.458 3.104 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1=O)c1ccc(C#N)cc1 ZINC000112366671 431269665 /nfs/dbraw/zinc/26/96/65/431269665.db2.gz ZBRJMBVPGOZRCJ-SUMWQHHRSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1ccc2nc(S[C@H](C(N)=O)c3ccc(C#N)cc3)[nH]c2c1 ZINC000115184894 431436178 /nfs/dbraw/zinc/43/61/78/431436178.db2.gz NJXNRIGSCWDVQI-HNNXBMFYSA-N 0 1 322.393 3.062 20 30 DGEDMN O=C(C[C@@H]1CCCO1)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000076365928 431547792 /nfs/dbraw/zinc/54/77/92/431547792.db2.gz MBPMJRYDNRBNAI-VIFPVBQESA-N 0 1 306.753 3.282 20 30 DGEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)Cc1cccc2ccccc21 ZINC000077169510 431569763 /nfs/dbraw/zinc/56/97/63/431569763.db2.gz ZLMGIVDCBLFCRL-HNNXBMFYSA-N 0 1 309.413 3.032 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCN(Cc2ccccc2)CC1 ZINC000118390231 431604547 /nfs/dbraw/zinc/60/45/47/431604547.db2.gz PDYZLMDVYRIUQX-KRWDZBQOSA-N 0 1 305.425 3.437 20 30 DGEDMN Cc1cccnc1[C@H](NCC(=O)Nc1cccc(C#N)c1)C(C)C ZINC000118839254 431620612 /nfs/dbraw/zinc/62/06/12/431620612.db2.gz ROJNZOPXYOIUGP-GOSISDBHSA-N 0 1 322.412 3.187 20 30 DGEDMN C[C@@H]1CN(Cc2cccc(OCCCC#N)c2)CC(C)(C)O1 ZINC000119515002 431701773 /nfs/dbraw/zinc/70/17/73/431701773.db2.gz FUCSSUJZFQXKLL-OAHLLOKOSA-N 0 1 302.418 3.368 20 30 DGEDMN C=CCCOCCNCc1cc(OC)ccc1Br ZINC000120807985 431733673 /nfs/dbraw/zinc/73/36/73/431733673.db2.gz PRYXCEKKSJGCMA-UHFFFAOYSA-N 0 1 314.223 3.140 20 30 DGEDMN CC(C)C[C@@H](C)OCCC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279562 431852867 /nfs/dbraw/zinc/85/28/67/431852867.db2.gz GHWROIFQRXGAOS-LLVKDONJSA-N 0 1 306.366 3.494 20 30 DGEDMN C=CCCOCCNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093353052 431914849 /nfs/dbraw/zinc/91/48/49/431914849.db2.gz BFVMGWVIULKKSV-UHFFFAOYSA-N 0 1 324.331 3.215 20 30 DGEDMN C[N@H+]1CCN(Cc2ccc(F)cc2C#N)[C@H](c2ccccc2)C1 ZINC000124546347 431896864 /nfs/dbraw/zinc/89/68/64/431896864.db2.gz GEAKHSNKEAKGQJ-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)[C@H](c2ccccc2)C1 ZINC000124546347 431896867 /nfs/dbraw/zinc/89/68/67/431896867.db2.gz GEAKHSNKEAKGQJ-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C=Cc3cccc(C#N)c3)ccc12 ZINC000091825115 431904652 /nfs/dbraw/zinc/90/46/52/431904652.db2.gz CRMCDEVKWDTBHZ-VMPITWQZSA-N 0 1 302.337 3.395 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NC1CCC(C)CC1)c1ccc(C#N)cc1 ZINC000127509286 431973950 /nfs/dbraw/zinc/97/39/50/431973950.db2.gz NNXIEAMUBPRSOB-DGSCNEQVSA-N 0 1 313.445 3.292 20 30 DGEDMN Cc1ncc(CN2CCCN(c3ccccc3C#N)CC2)s1 ZINC000098132990 431979762 /nfs/dbraw/zinc/97/97/62/431979762.db2.gz GLVBQCIMPAWFGY-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN CC(=O)Nc1cc(C(=O)C(C#N)c2nc(C)cs2)ccc1C ZINC000048788294 431932550 /nfs/dbraw/zinc/93/25/50/431932550.db2.gz PAUUFMGTHQSSOY-ZDUSSCGKSA-N 0 1 313.382 3.208 20 30 DGEDMN CC(=O)Nc1cc(C(=O)[C@H](C#N)c2nc(C)cs2)ccc1C ZINC000048788294 431932552 /nfs/dbraw/zinc/93/25/52/431932552.db2.gz PAUUFMGTHQSSOY-ZDUSSCGKSA-N 0 1 313.382 3.208 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)Cc1cccc2ccccc21 ZINC000053017439 431959779 /nfs/dbraw/zinc/95/97/79/431959779.db2.gz XRYWDHSNEQSVLU-MRXNPFEDSA-N 0 1 323.440 3.280 20 30 DGEDMN COc1ccc(CC[C@H]2CCCCN2CCC#N)cc1OC ZINC000101965922 431989986 /nfs/dbraw/zinc/98/99/86/431989986.db2.gz KNBROEAOZYKVGF-MRXNPFEDSA-N 0 1 302.418 3.405 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000102039366 431991371 /nfs/dbraw/zinc/99/13/71/431991371.db2.gz UDGBJPQVWLJUFR-INIZCTEOSA-N 0 1 317.433 3.109 20 30 DGEDMN C#CCCOc1ccc(CN[C@H](C)c2n[nH]c(C(C)C)n2)cc1 ZINC000270750253 432285850 /nfs/dbraw/zinc/28/58/50/432285850.db2.gz XYYJKWUWSLGSSC-CQSZACIVSA-N 0 1 312.417 3.181 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N(CC)CCOCC ZINC000270765229 432287979 /nfs/dbraw/zinc/28/79/79/432287979.db2.gz ZQNDWBCZQUTXHC-OAHLLOKOSA-N 0 1 324.490 3.010 20 30 DGEDMN COc1ccc(C#N)cc1CSc1nc(C(C)(C)C)n[nH]1 ZINC000152725851 432351710 /nfs/dbraw/zinc/35/17/10/432351710.db2.gz UYFQDPXPODZDII-UHFFFAOYSA-N 0 1 302.403 3.275 20 30 DGEDMN COc1ccc(C#N)cc1CSc1n[nH]c(C(C)(C)C)n1 ZINC000152725851 432351716 /nfs/dbraw/zinc/35/17/16/432351716.db2.gz UYFQDPXPODZDII-UHFFFAOYSA-N 0 1 302.403 3.275 20 30 DGEDMN Cc1ccc2nc(CNC(=O)C=Cc3cccc(C#N)c3)[nH]c2c1 ZINC000174885870 432377253 /nfs/dbraw/zinc/37/72/53/432377253.db2.gz MNWPETNFVYVKFT-SOFGYWHQSA-N 0 1 316.364 3.073 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000192561538 432386640 /nfs/dbraw/zinc/38/66/40/432386640.db2.gz MXSDZHXDASHKCK-UHFFFAOYSA-N 0 1 320.393 3.089 20 30 DGEDMN C=CCCCC(=O)NC[C@H](c1ccccc1OC)N1CCCC1 ZINC000175094986 432397506 /nfs/dbraw/zinc/39/75/06/432397506.db2.gz JKLMDIXQGNJFKT-QGZVFWFLSA-N 0 1 316.445 3.305 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc(OCC#N)cc1 ZINC000271886366 432428483 /nfs/dbraw/zinc/42/84/83/432428483.db2.gz QSYJIRDTEIAXCI-MRXNPFEDSA-N 0 1 320.392 3.452 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(OCCC(C)C)CC1 ZINC000193115489 432451207 /nfs/dbraw/zinc/45/12/07/432451207.db2.gz UGOHDRFPQFYWDY-QGZVFWFLSA-N 0 1 324.509 3.327 20 30 DGEDMN CCCc1cc(C(=O)N(Cc2ccc(C#N)cc2)C2CC2)n[nH]1 ZINC000193232467 432459689 /nfs/dbraw/zinc/45/96/89/432459689.db2.gz JKUMWGQXESLNRI-UHFFFAOYSA-N 0 1 308.385 3.039 20 30 DGEDMN CCc1nc([C@@H](C)NCCOc2cccc(C#N)c2)cs1 ZINC000184675676 432419394 /nfs/dbraw/zinc/41/93/94/432419394.db2.gz ZHOWYKDNRFUBSM-GFCCVEGCSA-N 0 1 301.415 3.307 20 30 DGEDMN C=CCN(CC=C)[C@@H](C[NH2+][C@@H](c1nccn1C)C1CC1)C(C)C ZINC000271850356 432426362 /nfs/dbraw/zinc/42/63/62/432426362.db2.gz VYWNHMQKVJKPDO-ZWKOTPCHSA-N 0 1 316.493 3.159 20 30 DGEDMN C#CCOc1ccc(CN[C@H](CCOC)c2ccc(C)o2)cc1 ZINC000272224091 432464826 /nfs/dbraw/zinc/46/48/26/432464826.db2.gz FCWHWWBYARXYQC-GOSISDBHSA-N 0 1 313.397 3.467 20 30 DGEDMN COc1ccc(OCc2ccccn2)c(CNCCCC#N)c1 ZINC000273092096 432550552 /nfs/dbraw/zinc/55/05/52/432550552.db2.gz STIZRVKGTQSREY-UHFFFAOYSA-N 0 1 311.385 3.063 20 30 DGEDMN CC1(C#N)CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)CC1 ZINC000272558378 432525088 /nfs/dbraw/zinc/52/50/88/432525088.db2.gz SQVAEHCHBMOOES-UHFFFAOYSA-N 0 1 300.406 3.223 20 30 DGEDMN CC(=O)Nc1cccc2c1CCN(Cc1ccc(C#N)cc1C)C2 ZINC000186172520 432564694 /nfs/dbraw/zinc/56/46/94/432564694.db2.gz ATFUMSICLLJHQD-UHFFFAOYSA-N 0 1 319.408 3.383 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000155142442 432540158 /nfs/dbraw/zinc/54/01/58/432540158.db2.gz SLWJJXZRWKEMEJ-LBPRGKRZSA-N 0 1 305.809 3.437 20 30 DGEDMN Cc1c(Cl)cnc(NC[C@@H](c2ccco2)N(C)C)c1C#N ZINC000273042480 432541615 /nfs/dbraw/zinc/54/16/15/432541615.db2.gz PIBWMFZATOOPCW-ZDUSSCGKSA-N 0 1 304.781 3.223 20 30 DGEDMN CCN(C)[C@@H](C(=O)N[C@H](C)c1ccc(C#N)cc1)c1ccccc1 ZINC000264042824 432608019 /nfs/dbraw/zinc/60/80/19/432608019.db2.gz QMOPJCUEEIFBGY-DNVCBOLYSA-N 0 1 321.424 3.428 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)Cc1ccc([S@](C)=O)cc1 ZINC000186251792 432574503 /nfs/dbraw/zinc/57/45/03/432574503.db2.gz PBTBDFZDOOLTMZ-QFIPXVFZSA-N 0 1 312.438 3.236 20 30 DGEDMN C[C@@H](CN(C)CC(=O)NC1(C#N)CCCCC1)c1ccccc1 ZINC000263862903 432585072 /nfs/dbraw/zinc/58/50/72/432585072.db2.gz ACDWISZXQYULEP-INIZCTEOSA-N 0 1 313.445 3.065 20 30 DGEDMN CCCNC(=O)C1(NCc2cc(C#N)ccc2F)CCCCC1 ZINC000177119935 432588308 /nfs/dbraw/zinc/58/83/08/432588308.db2.gz MMWFZCDTUIJSBQ-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN CCN(CCC(=O)Nc1ccc(Cl)cc1F)C[C@@H](C)C#N ZINC000157655110 432626091 /nfs/dbraw/zinc/62/60/91/432626091.db2.gz LRLYCLOANVZNKK-NSHDSACASA-N 0 1 311.788 3.289 20 30 DGEDMN C#CCN(Cc1ccc(OCc2ccncc2)c(OC)c1)C1CC1 ZINC000274193574 432638657 /nfs/dbraw/zinc/63/86/57/432638657.db2.gz ZWOPOMPIDOKHNK-UHFFFAOYSA-N 0 1 322.408 3.267 20 30 DGEDMN C=C(C)[C@H](NCc1ccc(C(N)=O)cc1)c1ccc(F)c(F)c1 ZINC000187371071 432661272 /nfs/dbraw/zinc/66/12/72/432661272.db2.gz FUHSTCDBKINQMF-KRWDZBQOSA-N 0 1 316.351 3.471 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2OC(F)F)cc1O ZINC000188246879 432714893 /nfs/dbraw/zinc/71/48/93/432714893.db2.gz XBDATQHHNSJCIO-UHFFFAOYSA-N 0 1 304.252 3.118 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cc(Cl)ccc2O)ccc1C#N ZINC000235890057 432746722 /nfs/dbraw/zinc/74/67/22/432746722.db2.gz LLZIKBZNHDMXAB-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN Cc1ccnn1-c1ccccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188852581 432748814 /nfs/dbraw/zinc/74/88/14/432748814.db2.gz BFLVZKOECYKKPV-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccc(C#N)c(C)n3)c2C)o1 ZINC000178564386 432751906 /nfs/dbraw/zinc/75/19/06/432751906.db2.gz BUNVLCQQPZNFQT-UHFFFAOYSA-N 0 1 321.340 3.114 20 30 DGEDMN CCCCOc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cn1 ZINC000189222709 432771126 /nfs/dbraw/zinc/77/11/26/432771126.db2.gz JVZDOCSFXDTXOR-UHFFFAOYSA-N 0 1 311.341 3.090 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000178799546 432778273 /nfs/dbraw/zinc/77/82/73/432778273.db2.gz YOSUSAHDUZTGCN-PEBXRYMYSA-N 0 1 312.438 3.489 20 30 DGEDMN COc1cccc(N2CCN([C@@H](C)c3cccc(C#N)c3)CC2)c1 ZINC000189347194 432779659 /nfs/dbraw/zinc/77/96/59/432779659.db2.gz VVUDWCQLXVYBGD-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN CC(C)Nc1ccc(F)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188605706 432733759 /nfs/dbraw/zinc/73/37/59/432733759.db2.gz UTMFGYCTQGHAQD-UHFFFAOYSA-N 0 1 313.332 3.476 20 30 DGEDMN Cc1cc(N(C)C)cc(C)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188824424 432746550 /nfs/dbraw/zinc/74/65/50/432746550.db2.gz JNODPKJXAVTANC-UHFFFAOYSA-N 0 1 309.369 3.199 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccc(OC(F)(F)F)cc2)CCCO1 ZINC000178939980 432790312 /nfs/dbraw/zinc/79/03/12/432790312.db2.gz GJEOYQZMHIPYDN-ZDUSSCGKSA-N 0 1 313.319 3.048 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1c(F)c(F)c(F)c(F)c1F ZINC000276508728 432813811 /nfs/dbraw/zinc/81/38/11/432813811.db2.gz XDSBGAUFALJLEK-SSDOTTSWSA-N 0 1 322.277 3.217 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCS[C@H]2CCCC[C@H]21 ZINC000245919861 432869992 /nfs/dbraw/zinc/86/99/92/432869992.db2.gz GIYIULFJROCWHH-WBVHZDCISA-N 0 1 302.443 3.427 20 30 DGEDMN Cc1cnc(NC(=O)N2CCC[C@@H](c3nc(C)c(C)s3)C2)o1 ZINC000329988411 432886109 /nfs/dbraw/zinc/88/61/09/432886109.db2.gz HCIWXVMYIBBWQN-GFCCVEGCSA-N 0 1 320.418 3.481 20 30 DGEDMN Cc1nc(CC(C)C)oc1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330015446 432888392 /nfs/dbraw/zinc/88/83/92/432888392.db2.gz NZUHFACNFHYGJV-GFCCVEGCSA-N 0 1 302.378 3.287 20 30 DGEDMN CC1=CCN(CCNC(=O)[C@@H]2CCCc3c(O)cccc32)CC1 ZINC000330016787 432888784 /nfs/dbraw/zinc/88/87/84/432888784.db2.gz QCOPRHJPSRCMEA-QGZVFWFLSA-N 0 1 314.429 3.421 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000278182139 432889978 /nfs/dbraw/zinc/88/99/78/432889978.db2.gz CPAFERASMDPZCU-AWEZNQCLSA-N 0 1 312.373 3.293 20 30 DGEDMN O=C(NC1CCC(C(=O)NCCN2CC=CCC2)CC1)C1CC1 ZINC000330085792 432892199 /nfs/dbraw/zinc/89/21/99/432892199.db2.gz LPTOEGPYWAJGRT-UHFFFAOYSA-N 0 1 319.449 3.130 20 30 DGEDMN Cc1cccc([C@H](C)CNC(=O)N[C@@H]2CCCc3cn[nH]c32)c1 ZINC000330102698 432893171 /nfs/dbraw/zinc/89/31/71/432893171.db2.gz FVUDOYOZYNYZBW-CZUORRHYSA-N 0 1 312.417 3.403 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296802866 432928397 /nfs/dbraw/zinc/92/83/97/432928397.db2.gz UHWIJLMGMJZIQC-KBPBESRZSA-N 0 1 319.405 3.059 20 30 DGEDMN CCn1nccc1C1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000279086486 432933072 /nfs/dbraw/zinc/93/30/72/432933072.db2.gz OTDMJDKGCBMWKI-UHFFFAOYSA-N 0 1 312.392 3.293 20 30 DGEDMN CN(Cc1cc2c(cc1OC(F)F)OCO2)[C@H]1CC[C@H](C#N)C1 ZINC000278544161 432896669 /nfs/dbraw/zinc/89/66/69/432896669.db2.gz GRNTWLZXPJTJHJ-JQWIXIFHSA-N 0 1 324.327 3.141 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cccc(F)c1-c1nc[nH]n1 ZINC000330293202 432901806 /nfs/dbraw/zinc/90/18/06/432901806.db2.gz DNXWAMUKJQGFTQ-PHIMTYICSA-N 0 1 317.368 3.072 20 30 DGEDMN O=C(N[C@@H]1C[C@H]1c1ccccc1)N[C@H]1CCc2c1cccc2O ZINC000330284014 432902030 /nfs/dbraw/zinc/90/20/30/432902030.db2.gz WWYRLQBGDYEQKW-YESZJQIVSA-N 0 1 308.381 3.439 20 30 DGEDMN [O-]C(N[C@H]1CCc2c1cccc2O)=[NH+][C@@H]1C[C@H]1c1ccccc1 ZINC000330284014 432902033 /nfs/dbraw/zinc/90/20/33/432902033.db2.gz WWYRLQBGDYEQKW-YESZJQIVSA-N 0 1 308.381 3.439 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330283699 432902112 /nfs/dbraw/zinc/90/21/12/432902112.db2.gz WKWIFUBFAPDSAM-QLFBSQMISA-N 0 1 304.438 3.013 20 30 DGEDMN CCc1nc(C)c(NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)s1 ZINC000330337569 432904609 /nfs/dbraw/zinc/90/46/09/432904609.db2.gz UDRKRLCAPCRCHV-MRVPVSSYSA-N 0 1 307.423 3.003 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(C(C)C)n2)nc(C)n1 ZINC000330411171 432907904 /nfs/dbraw/zinc/90/79/04/432907904.db2.gz AIZLAVIEMPDAGZ-LLVKDONJSA-N 0 1 300.387 3.163 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1cc(OC)c(OC)cc1O ZINC000289591246 432969546 /nfs/dbraw/zinc/96/95/46/432969546.db2.gz KKAIEMAOBPKSSS-UHFFFAOYSA-N 0 1 311.381 3.045 20 30 DGEDMN Clc1ccc(C#CCN2CCC(c3ccncn3)CC2)cc1 ZINC000298052800 433045212 /nfs/dbraw/zinc/04/52/12/433045212.db2.gz FMUOJTWALXNTPP-UHFFFAOYSA-N 0 1 311.816 3.361 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1OC)[C@H]1CC[C@@H](C#N)C1 ZINC000297935531 433034472 /nfs/dbraw/zinc/03/44/72/433034472.db2.gz ROFZFDBMPQVCLX-CABCVRRESA-N 0 1 315.417 3.038 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)c3cccc(C)c32)CC1 ZINC000297998004 433038696 /nfs/dbraw/zinc/03/86/96/433038696.db2.gz YLZHBENZHLCYOA-HNNXBMFYSA-N 0 1 310.441 3.180 20 30 DGEDMN C[C@H](CCC#N)N1CCCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000298241965 433067648 /nfs/dbraw/zinc/06/76/48/433067648.db2.gz SFLMKBAULCPOGS-CQSZACIVSA-N 0 1 319.836 3.180 20 30 DGEDMN CCN(CC(=O)NCc1ccc(C#N)cc1)Cc1ccccc1C ZINC000298454024 433146355 /nfs/dbraw/zinc/14/63/55/433146355.db2.gz IGAWXDXWTULXCG-UHFFFAOYSA-N 0 1 321.424 3.005 20 30 DGEDMN Cn1c(CN[C@@H]2CCC[C@H](C#N)C2)nc2cccc(Cl)c21 ZINC000446804264 433103349 /nfs/dbraw/zinc/10/33/49/433103349.db2.gz QMFVESJWSCMKCS-NWDGAFQWSA-N 0 1 302.809 3.399 20 30 DGEDMN N#Cc1c2c(cnc1NC[C@@H](c1ccco1)N1CCCC1)CCC2 ZINC000425205270 433120604 /nfs/dbraw/zinc/12/06/04/433120604.db2.gz VMAOLGUWEIWDQD-KRWDZBQOSA-N 0 1 322.412 3.284 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H]2CCC[C@H](C#N)C2)c(Cl)c1 ZINC000425368261 433205432 /nfs/dbraw/zinc/20/54/32/433205432.db2.gz JVYJEAKGNMIJCW-STQMWFEESA-N 0 1 305.809 3.259 20 30 DGEDMN CC[C@H](NCC(=O)Nc1ccc(F)cc1)c1ccc(C#N)cc1 ZINC000447543877 433221043 /nfs/dbraw/zinc/22/10/43/433221043.db2.gz SVFKTJTZMXCTQS-KRWDZBQOSA-N 0 1 311.360 3.377 20 30 DGEDMN N#CCSCCCN[C@H](CO)c1c(Cl)cccc1Cl ZINC000361532460 433230427 /nfs/dbraw/zinc/23/04/27/433230427.db2.gz XZPJAOLTIVJCBL-GFCCVEGCSA-N 0 1 319.257 3.263 20 30 DGEDMN COc1cccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C#N)c2)c1 ZINC000298874042 433268751 /nfs/dbraw/zinc/26/87/51/433268751.db2.gz LJODLNORKXTUFS-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H](CCC#N)[N@H+]1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000298794677 433247369 /nfs/dbraw/zinc/24/73/69/433247369.db2.gz DPRCYPXOIBKQHL-RYUDHWBXSA-N 0 1 300.328 3.231 20 30 DGEDMN C=C(C)CN(C)C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCC1(F)F ZINC000354743223 433250315 /nfs/dbraw/zinc/25/03/15/433250315.db2.gz GMEDRYVJSJHZQK-INIZCTEOSA-N 0 1 322.399 3.178 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2cccc(SCC)c2)C1=O ZINC000281145012 433267776 /nfs/dbraw/zinc/26/77/76/433267776.db2.gz FAPFKJOLKCROOV-MRXNPFEDSA-N 0 1 304.459 3.017 20 30 DGEDMN COc1ccc(F)c(N2CCN(CC(C)(C)CCC#N)CC2)c1 ZINC000361646370 433313883 /nfs/dbraw/zinc/31/38/83/433313883.db2.gz GQPZVTAAMBYDBA-UHFFFAOYSA-N 0 1 319.424 3.286 20 30 DGEDMN CC1=C(C)CN([C@H]2CCCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000361619942 433295105 /nfs/dbraw/zinc/29/51/05/433295105.db2.gz PAYJWNQIAPHOCS-SFHVURJKSA-N 0 1 309.413 3.096 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc(F)cc1F)c1ccc(C#N)cc1 ZINC000338360985 433295186 /nfs/dbraw/zinc/29/51/86/433295186.db2.gz KSBCZXLCEPXGQQ-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3c(Cl)cc(C)cc32)CC1 ZINC000338396897 433297083 /nfs/dbraw/zinc/29/70/83/433297083.db2.gz DINRMVFDCCWBDI-UHFFFAOYSA-N 0 1 314.820 3.210 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000425698324 433346685 /nfs/dbraw/zinc/34/66/85/433346685.db2.gz FLILHRSMXZNCAR-WBMJQRKESA-N 0 1 323.400 3.061 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000361692549 433346971 /nfs/dbraw/zinc/34/69/71/433346971.db2.gz LXTMASOQAYKGFS-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000375080930 433357075 /nfs/dbraw/zinc/35/70/75/433357075.db2.gz LAQIFMHJOGPHMC-UHFFFAOYSA-N 0 1 309.373 3.001 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H](CC(F)F)c1ccccc1 ZINC000420988469 433367664 /nfs/dbraw/zinc/36/76/64/433367664.db2.gz UNOOMFNWFDGQPK-PBHICJAKSA-N 0 1 323.387 3.027 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@H](C[C@@H]3CCOC3)C2)c(F)c1 ZINC000375016114 433331346 /nfs/dbraw/zinc/33/13/46/433331346.db2.gz XOIYZHWMWWULQY-CVEARBPZSA-N 0 1 302.393 3.336 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)c2cccc(Cl)c2)CC1 ZINC000299138297 433331487 /nfs/dbraw/zinc/33/14/87/433331487.db2.gz IBZSHOHJWHSKIO-AWEZNQCLSA-N 0 1 318.848 3.205 20 30 DGEDMN CC(C)(C)CCN1CCN(c2ncc(C#N)cc2Cl)CC1 ZINC000339139764 433387163 /nfs/dbraw/zinc/38/71/63/433387163.db2.gz JBGIDLNWIGRBEZ-UHFFFAOYSA-N 0 1 306.841 3.165 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](CCO)CC2)c(OC(F)F)c1 ZINC000421024451 433391299 /nfs/dbraw/zinc/39/12/99/433391299.db2.gz XHJZDOJHMUHXGD-CYBMUJFWSA-N 0 1 324.371 3.144 20 30 DGEDMN N#Cc1ccc(NC2CCN(Cc3ccc(F)cc3)CC2)nc1 ZINC000301115198 433422889 /nfs/dbraw/zinc/42/28/89/433422889.db2.gz XDCCYJRGEBZQGQ-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](CC(C)C)c2ccccc2OC)C1=O ZINC000281978730 433451889 /nfs/dbraw/zinc/45/18/89/433451889.db2.gz LTSBWNREAIHAOS-IRXDYDNUSA-N 0 1 316.445 3.159 20 30 DGEDMN COc1ccccc1[C@@H](CNc1ccc(F)cc1C#N)N(C)C ZINC000301207630 433452363 /nfs/dbraw/zinc/45/23/63/433452363.db2.gz RIFPMLAKGHZIDX-QGZVFWFLSA-N 0 1 313.376 3.421 20 30 DGEDMN C[C@@H]1CN(CCCNc2c(C#N)cnc3ccccc32)C[C@@H](C)O1 ZINC000301371272 433524770 /nfs/dbraw/zinc/52/47/70/433524770.db2.gz VLJYIMPDFFESBH-HUUCEWRRSA-N 0 1 324.428 3.018 20 30 DGEDMN C[C@H](c1ccccc1F)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292321403 433553149 /nfs/dbraw/zinc/55/31/49/433553149.db2.gz VVFSOCWJOQCWID-HIFRSBDPSA-N 0 1 311.360 3.307 20 30 DGEDMN N#Cc1csc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000342308354 433604672 /nfs/dbraw/zinc/60/46/72/433604672.db2.gz XGJHDLOEVAEJCU-HNNXBMFYSA-N 0 1 306.818 3.486 20 30 DGEDMN N#Cc1cccnc1Sc1nc(-c2cc(F)cc(F)c2)n[nH]1 ZINC000301558062 433610464 /nfs/dbraw/zinc/61/04/64/433610464.db2.gz SWPKFLMSYNZMCR-UHFFFAOYSA-N 0 1 315.308 3.168 20 30 DGEDMN N#Cc1ccnc(N2CCN(Cc3cccc4ccoc43)CC2)c1 ZINC000449009684 433658861 /nfs/dbraw/zinc/65/88/61/433658861.db2.gz PDZCUKAZIGBSEF-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN CN(CCc1cccs1)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000362381495 433671681 /nfs/dbraw/zinc/67/16/81/433671681.db2.gz YENCAUKOGSDZFK-UHFFFAOYSA-N 0 1 324.409 3.344 20 30 DGEDMN CC(C)C[C@H](N)c1noc([C@H](C)Oc2ccc(C#N)cc2)n1 ZINC000414611782 433742225 /nfs/dbraw/zinc/74/22/25/433742225.db2.gz CTNGOVJSWAKJQA-FZMZJTMJSA-N 0 1 300.362 3.127 20 30 DGEDMN N#CCSc1cccc(-c2nc(C3(N)CCCC3)no2)c1 ZINC000414621585 433751155 /nfs/dbraw/zinc/75/11/55/433751155.db2.gz ALPATXXHQMNWCO-UHFFFAOYSA-N 0 1 300.387 3.080 20 30 DGEDMN N#Cc1cccc(Sc2nc(-c3cc(F)cc(F)c3)n[nH]2)n1 ZINC000301876677 433769147 /nfs/dbraw/zinc/76/91/47/433769147.db2.gz YMTUFNVNDIIBDI-UHFFFAOYSA-N 0 1 315.308 3.168 20 30 DGEDMN COc1cc2c(cc1F)nccc2N1CCC(F)(C#N)CC1 ZINC000343224523 433787297 /nfs/dbraw/zinc/78/72/97/433787297.db2.gz QTUATRKBSVCCKA-UHFFFAOYSA-N 0 1 303.312 3.215 20 30 DGEDMN C[C@@H]1CCC[C@H](CN2C[C@H](C#N)[C@]3(C2)c2ccccc2NC3=O)C1 ZINC000356976212 433796473 /nfs/dbraw/zinc/79/64/73/433796473.db2.gz GBQGSGHUVNPAIC-NARAOEGZSA-N 0 1 323.440 3.158 20 30 DGEDMN N#Cc1ccc2c(c1)N(C(=O)c1cn[nH]c1-c1ccccc1)CC2 ZINC000339825951 433808725 /nfs/dbraw/zinc/80/87/25/433808725.db2.gz KQRRSPOXTLNKFI-UHFFFAOYSA-N 0 1 314.348 3.151 20 30 DGEDMN CC1(C)CCc2sc(NC(=O)c3ccc(C#N)c(O)c3)nc21 ZINC000421955512 433819610 /nfs/dbraw/zinc/81/96/10/433819610.db2.gz FRPZSQCBYATGLU-UHFFFAOYSA-N 0 1 313.382 3.196 20 30 DGEDMN C[C@H]1CN(CC(=O)N(C)C2(C#N)CCCCC2)CC(C)(C)C1 ZINC000343995221 433843340 /nfs/dbraw/zinc/84/33/40/433843340.db2.gz PLZWGFCDVBYBRT-OAHLLOKOSA-N 0 1 305.466 3.039 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000377618214 433929662 /nfs/dbraw/zinc/92/96/62/433929662.db2.gz BTKPULGGPFUTGK-IBGZPJMESA-N 0 1 323.444 3.487 20 30 DGEDMN CN(C)c1cc(C#N)cc(-c2nc(-c3ccc(O)c(F)c3)no2)c1 ZINC000436520311 433990333 /nfs/dbraw/zinc/99/03/33/433990333.db2.gz FKVKKNRGKPRBHY-UHFFFAOYSA-N 0 1 324.315 3.186 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000285586386 434005446 /nfs/dbraw/zinc/00/54/46/434005446.db2.gz VOHOZJMGEPBXNA-CQSZACIVSA-N 0 1 303.753 3.003 20 30 DGEDMN C=CCOc1ccccc1C(=O)Nc1cc(C(C)=O)ccc1O ZINC000436681510 434066449 /nfs/dbraw/zinc/06/64/49/434066449.db2.gz RCITVBWSEQVWQM-UHFFFAOYSA-N 0 1 311.337 3.412 20 30 DGEDMN COCCCOc1ccc(CNCc2ccc(C#N)s2)cc1 ZINC000285742016 434054756 /nfs/dbraw/zinc/05/47/56/434054756.db2.gz YECPAKJJWSNWSF-UHFFFAOYSA-N 0 1 316.426 3.325 20 30 DGEDMN CC(C)CCO[C@H]1CCCC[C@@H]1NCc1nc(C#N)cs1 ZINC000352864038 434060758 /nfs/dbraw/zinc/06/07/58/434060758.db2.gz YEOOVCULIGCMKX-GJZGRUSLSA-N 0 1 307.463 3.478 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000457462607 434185362 /nfs/dbraw/zinc/18/53/62/434185362.db2.gz ZWVKJXRLCHEKHO-HNNXBMFYSA-N 0 1 319.449 3.318 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1c(O)cc(Cl)cc1Cl ZINC000436986349 434193523 /nfs/dbraw/zinc/19/35/23/434193523.db2.gz FQZSAIDFEQUHTD-UHFFFAOYSA-N 0 1 301.173 3.321 20 30 DGEDMN Cc1ccc(O)c(C(=O)c2cnn(-c3ccc(C#N)cc3F)c2)c1 ZINC000340581805 434224737 /nfs/dbraw/zinc/22/47/37/434224737.db2.gz AAMZLDPMFMFVPF-UHFFFAOYSA-N 0 1 321.311 3.128 20 30 DGEDMN CN(Cc1ccc(C#N)s1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000295135548 434224974 /nfs/dbraw/zinc/22/49/74/434224974.db2.gz ZAFPVNPWLUTQRW-UHFFFAOYSA-N 0 1 321.446 3.107 20 30 DGEDMN CC(C)(C)OC(=O)CCN1CC=C(c2ccc(C#N)cc2)CC1 ZINC000347956922 434281827 /nfs/dbraw/zinc/28/18/27/434281827.db2.gz LLXKGYWAJUFWOV-UHFFFAOYSA-N 0 1 312.413 3.379 20 30 DGEDMN CCN(CC(=O)NC[C@H]1CCO[C@@H]1c1ccccc1)C1CC1 ZINC000328610965 434288728 /nfs/dbraw/zinc/28/87/28/434288728.db2.gz JTQJJVMWSSZZBN-CRAIPNDOSA-N 0 1 302.418 3.205 20 30 DGEDMN O=C(N[C@@H]1CCCC12CCOCC2)[C@@H]1CCCc2[nH]ncc21 ZINC000328648871 434291492 /nfs/dbraw/zinc/29/14/92/434291492.db2.gz IIIJRCVFZYYSDG-IUODEOHRSA-N 0 1 303.406 3.135 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)c1coc(C2CCCC2)n1 ZINC000328720689 434306361 /nfs/dbraw/zinc/30/63/61/434306361.db2.gz GXWXFAKLNUMBEW-GFCCVEGCSA-N 0 1 300.362 3.438 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@@H]3CCCC[C@H]3C)C2)n[nH]1 ZINC000328838306 434317113 /nfs/dbraw/zinc/31/71/13/434317113.db2.gz YGMGEGFBWSLSKB-BPLDGKMQSA-N 0 1 304.438 3.390 20 30 DGEDMN Cc1cnn([C@H]2CCCN(C([O-])=[NH+][C@H]3CC[C@H](C)CC3)C2)c1 ZINC000329256701 434382148 /nfs/dbraw/zinc/38/21/48/434382148.db2.gz BAHCKEGGADQSCW-BPUTZDHNSA-N 0 1 304.438 3.321 20 30 DGEDMN C[C@@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)[C@@H]2CCCC[C@@H]21 ZINC000329292619 434387258 /nfs/dbraw/zinc/38/72/58/434387258.db2.gz AZDQYQUKHMDSKW-NMFUWQPSSA-N 0 1 302.422 3.212 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000329037436 434350522 /nfs/dbraw/zinc/35/05/22/434350522.db2.gz NHKSVEUKIRZOPP-MCIONIFRSA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000329037436 434350530 /nfs/dbraw/zinc/35/05/30/434350530.db2.gz NHKSVEUKIRZOPP-MCIONIFRSA-N 0 1 304.438 3.471 20 30 DGEDMN C[C@@]1(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)CCOC1 ZINC000329620791 434444256 /nfs/dbraw/zinc/44/42/56/434444256.db2.gz UHPWVHNOLGSADI-RHSMWYFYSA-N 0 1 315.373 3.429 20 30 DGEDMN C=CCCOCCNCc1cccc(Br)c1F ZINC000394975207 434410908 /nfs/dbraw/zinc/41/09/08/434410908.db2.gz OUMVSAYXHMOTKA-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN Cc1cc2c(cc1C)[C@H](C(=O)N[C@H]1CCc3nc[nH]c3C1)CO2 ZINC000329604071 434439750 /nfs/dbraw/zinc/43/97/50/434439750.db2.gz LOKCJQIHMFSNFU-GXTWGEPZSA-N 0 1 311.385 3.017 20 30 DGEDMN CC1(C[NH+]=C([O-])N2CCC[C@H]2Cn2ccnc2)CCCCC1 ZINC000329728115 434468888 /nfs/dbraw/zinc/46/88/88/434468888.db2.gz HCCSDYGKDLEUHK-HNNXBMFYSA-N 0 1 304.438 3.232 20 30 DGEDMN N#Cc1cccc(C[N@H+](Cc2ccccc2)[C@H](CO)C2CC2)c1F ZINC000340989103 434474548 /nfs/dbraw/zinc/47/45/48/434474548.db2.gz ZACRJTXLPUQTPB-LJQANCHMSA-N 0 1 324.399 3.470 20 30 DGEDMN N#Cc1cccc(CN(Cc2ccccc2)[C@H](CO)C2CC2)c1F ZINC000340989103 434474556 /nfs/dbraw/zinc/47/45/56/434474556.db2.gz ZACRJTXLPUQTPB-LJQANCHMSA-N 0 1 324.399 3.470 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2nc(Cl)c(C#N)s2)n[nH]1 ZINC000287927219 434480502 /nfs/dbraw/zinc/48/05/02/434480502.db2.gz WXRNERZCVOSQHM-RNFRBKRXSA-N 0 1 310.814 3.473 20 30 DGEDMN CCc1csc(NC(=O)N2CC[C@@H](C)[C@H](n3ccnc3)C2)n1 ZINC000329865238 434497537 /nfs/dbraw/zinc/49/75/37/434497537.db2.gz ACOQSJVDKMYIJA-DGCLKSJQSA-N 0 1 319.434 3.031 20 30 DGEDMN Cc1nc(NC[C@H]2CCCCN2C2CC2)c(Cl)cc1C#N ZINC000360065698 434504403 /nfs/dbraw/zinc/50/44/03/434504403.db2.gz KLFVEINVBIJAHP-CQSZACIVSA-N 0 1 304.825 3.344 20 30 DGEDMN O=C(N[C@@H](c1nnc[nH]1)c1ccccc1)[C@H]1CCCCS1 ZINC000329669163 434455882 /nfs/dbraw/zinc/45/58/82/434455882.db2.gz MFCIYTGZTQFCHM-CHWSQXEVSA-N 0 1 302.403 3.136 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CC[C@@H](SC)C1 ZINC000296111353 434511384 /nfs/dbraw/zinc/51/13/84/434511384.db2.gz DYKVBHIOEPKNGT-UONOGXRCSA-N 0 1 324.515 3.089 20 30 DGEDMN C=C[C@@H](C)NCc1ccc(F)cc1I ZINC000417926351 434551523 /nfs/dbraw/zinc/55/15/23/434551523.db2.gz DJHPFNYQVRMIKH-MRVPVSSYSA-N 0 1 305.134 3.094 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1C[C@H]2CCCC[C@H]21 ZINC000368088045 434566476 /nfs/dbraw/zinc/56/64/76/434566476.db2.gz DRZBPQHTOOAGSP-LZLYRXPVSA-N 0 1 311.429 3.196 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC(C4CC4)CC3)o2)cc1 ZINC000368342301 434573073 /nfs/dbraw/zinc/57/30/73/434573073.db2.gz YKKHHHGUZOCNBM-UHFFFAOYSA-N 0 1 308.385 3.230 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3ncc[nH]3)C2)c(Cl)c1 ZINC000439431064 434604047 /nfs/dbraw/zinc/60/40/47/434604047.db2.gz LEIGSFHQXVHKEO-CQSZACIVSA-N 0 1 300.793 3.314 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N[C@H](C)c1ccc(Cl)cc1 ZINC000459785766 434718923 /nfs/dbraw/zinc/71/89/23/434718923.db2.gz KIWYLHDFOXQIEY-IIYDPXPESA-N 0 1 321.852 3.434 20 30 DGEDMN C[C@H](CCC#N)N1CCCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000411193826 434740924 /nfs/dbraw/zinc/74/09/24/434740924.db2.gz TZWHFJCNNOYWHK-CQSZACIVSA-N 0 1 319.836 3.180 20 30 DGEDMN C=CCCC1(C(=O)NC[C@H](C)N2CCc3ccccc3C2)CC1 ZINC000354381709 434741755 /nfs/dbraw/zinc/74/17/55/434741755.db2.gz JDMLZQNUONGYJM-INIZCTEOSA-N 0 1 312.457 3.296 20 30 DGEDMN COCC1(C#N)CCN(Cc2cnc3ccc(C)cc3c2)CC1 ZINC000411774744 434829161 /nfs/dbraw/zinc/82/91/61/434829161.db2.gz XOUSZSQJAIAKEU-UHFFFAOYSA-N 0 1 309.413 3.295 20 30 DGEDMN CC(C)[C@@H](CC(F)(F)F)NCC(=O)Nc1sccc1C#N ZINC000445884963 434835281 /nfs/dbraw/zinc/83/52/81/434835281.db2.gz JNHYYBIPTSVIJE-SNVBAGLBSA-N 0 1 319.352 3.125 20 30 DGEDMN COc1ncc(CN[C@@H]2CCc3ccc(C#N)cc32)cc1Cl ZINC000453281606 434848368 /nfs/dbraw/zinc/84/83/68/434848368.db2.gz CTBAKTLIHSMEPB-MRXNPFEDSA-N 0 1 313.788 3.392 20 30 DGEDMN C[C@](C#N)(NC(=O)Cc1[nH]nc2ccccc21)C1CCCCC1 ZINC000573158333 434878378 /nfs/dbraw/zinc/87/83/78/434878378.db2.gz UAAXKDODZDRENV-GOSISDBHSA-N 0 1 310.401 3.084 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccc2oc(C(C)(C)C)nc2c1 ZINC000462071099 434899664 /nfs/dbraw/zinc/89/96/64/434899664.db2.gz JUWMTHAOYNGJHC-NSHDSACASA-N 0 1 321.402 3.169 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000555547022 434976674 /nfs/dbraw/zinc/97/66/74/434976674.db2.gz DXQCIHWSFFYZGW-UHFFFAOYSA-N 0 1 323.318 3.299 20 30 DGEDMN CC(C)c1ccc(CCN[C@H](C(N)=O)c2ccc(C#N)cc2)cc1 ZINC000522373327 434923039 /nfs/dbraw/zinc/92/30/39/434923039.db2.gz UIMYTJVCWIIVQC-IBGZPJMESA-N 0 1 321.424 3.040 20 30 DGEDMN COc1ccc([C@H](NCc2ccc(C#N)c(F)c2)C2CC2)cn1 ZINC000527841297 435015879 /nfs/dbraw/zinc/01/58/79/435015879.db2.gz GOSKDNIWKYPZEN-GOSISDBHSA-N 0 1 311.360 3.342 20 30 DGEDMN CCC(C#N)(CC)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC000528588059 435025768 /nfs/dbraw/zinc/02/57/68/435025768.db2.gz WQTPVLZYJXYAPI-UHFFFAOYSA-N 0 1 305.466 3.039 20 30 DGEDMN COCCC1CCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000528575186 435025808 /nfs/dbraw/zinc/02/58/08/435025808.db2.gz WAMUMIOLADLIFT-UHFFFAOYSA-N 0 1 324.371 3.408 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC2(c3ccccc3)CC2)nc(C)n1 ZINC000528917223 435030469 /nfs/dbraw/zinc/03/04/69/435030469.db2.gz LXZXKKRAMBQFBE-INIZCTEOSA-N 0 1 305.381 3.392 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@H]2Cc3c2cccc3Cl)nc(C)n1 ZINC000528913785 435030495 /nfs/dbraw/zinc/03/04/95/435030495.db2.gz DNLKAXSWBSCJAN-UONOGXRCSA-N 0 1 311.772 3.263 20 30 DGEDMN CC(C)c1ccc(C(=O)NC2(C#N)CCN(C)CC2)cc1Cl ZINC000526042915 435008964 /nfs/dbraw/zinc/00/89/64/435008964.db2.gz ZEICWUMOLPRYRF-UHFFFAOYSA-N 0 1 319.836 3.181 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)C=Cc2ccc(C#N)cc2)CC1 ZINC000492880145 435065906 /nfs/dbraw/zinc/06/59/06/435065906.db2.gz JFEURRONRUKIQF-SREVYHEPSA-N 0 1 320.396 3.009 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@H](N)c1ccc(C(F)(F)F)cc1 ZINC000638454537 435036015 /nfs/dbraw/zinc/03/60/15/435036015.db2.gz ILEJNLAREBGUSW-CYBMUJFWSA-N 0 1 314.351 3.424 20 30 DGEDMN C[C@H](NC(=O)CN1CCC(CC#N)CC1)c1ccc(Cl)cc1 ZINC000575946344 435053469 /nfs/dbraw/zinc/05/34/69/435053469.db2.gz ABTCRVLVKVCEDF-ZDUSSCGKSA-N 0 1 319.836 3.143 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)C=Cc2cccc(C#N)c2)n[nH]1 ZINC000493188411 435121558 /nfs/dbraw/zinc/12/15/58/435121558.db2.gz OHFCFBNHZPZAFG-MYSQMOAQSA-N 0 1 323.400 3.080 20 30 DGEDMN CC(C)C[C@H]1CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000469162918 435129509 /nfs/dbraw/zinc/12/95/09/435129509.db2.gz YRYZOBHGUUUWSL-MRXNPFEDSA-N 0 1 305.466 3.087 20 30 DGEDMN CCOCCN(CC)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000533324969 435225462 /nfs/dbraw/zinc/22/54/62/435225462.db2.gz HHKROXJGSIKBHB-UHFFFAOYSA-N 0 1 315.335 3.295 20 30 DGEDMN COc1ccc(C#N)cc1CNC1(c2ccccc2)CCOCC1 ZINC000533381978 435231138 /nfs/dbraw/zinc/23/11/38/435231138.db2.gz PRFIZFZDTCQFMB-UHFFFAOYSA-N 0 1 322.408 3.362 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000496892784 298273696 /nfs/dbraw/zinc/27/36/96/298273696.db2.gz PLCXMVJJAVQDEN-ILXRZTDVSA-N 0 1 309.454 3.256 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)[N-]c1ccccc1[NH2+]C1CCCCC1 ZINC000563550905 435268329 /nfs/dbraw/zinc/26/83/29/435268329.db2.gz JBFXLAKXRLCDRM-CQSZACIVSA-N 0 1 321.446 3.475 20 30 DGEDMN COc1cc(C)ccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC000564823505 435273528 /nfs/dbraw/zinc/27/35/28/435273528.db2.gz YIXNJZZJSOOHCC-AWEZNQCLSA-N 0 1 317.348 3.437 20 30 DGEDMN CC1(C)C[C@@H](c2ccccc2)N(CC(=O)NC2(C#N)CCC2)C1 ZINC000564879970 435275175 /nfs/dbraw/zinc/27/51/75/435275175.db2.gz HYZAMBKQJZMXHU-INIZCTEOSA-N 0 1 311.429 3.022 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCOc1cccc(C)c1 ZINC000501253288 435304062 /nfs/dbraw/zinc/30/40/62/435304062.db2.gz LFSDMXRNFKVSED-UHFFFAOYSA-N 0 1 310.397 3.386 20 30 DGEDMN C=C(C)[C@H](NC(=O)CN1CCC(C)CC1)c1ccc(F)c(F)c1 ZINC000478910728 435313658 /nfs/dbraw/zinc/31/36/58/435313658.db2.gz MUJVLOBQWLACAO-SFHVURJKSA-N 0 1 322.399 3.430 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H](C)C3(CCC3)C2)c1C#N ZINC000536714401 435405532 /nfs/dbraw/zinc/40/55/32/435405532.db2.gz XXONLDBGZNBKIE-CQSZACIVSA-N 0 1 312.413 3.357 20 30 DGEDMN C=C(C)CN(C)CC(=O)NCC1(c2ccccc2)CCCC1 ZINC000481210094 435415914 /nfs/dbraw/zinc/41/59/14/435415914.db2.gz LPDPAWKUICTJGV-UHFFFAOYSA-N 0 1 300.446 3.123 20 30 DGEDMN CC#CCCNCc1cn(-c2cccc(Cl)c2Cl)nn1 ZINC000482158227 435455094 /nfs/dbraw/zinc/45/50/94/435455094.db2.gz PJMJWQRUAQWZSU-UHFFFAOYSA-N 0 1 309.200 3.077 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1ccc(Cl)c(C#N)c1 ZINC000540971049 435631366 /nfs/dbraw/zinc/63/13/66/435631366.db2.gz ZVCVAXIOPLRGIP-UHFFFAOYSA-N 0 1 310.691 3.433 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3c3ncccc3C#N)[nH]c2c1 ZINC000570257556 435603245 /nfs/dbraw/zinc/60/32/45/435603245.db2.gz JMMJCUDCEAHILO-MRXNPFEDSA-N 0 1 303.369 3.480 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)Nc1cc(CN(C)C)ccn1 ZINC000640592555 435603801 /nfs/dbraw/zinc/60/38/01/435603801.db2.gz BBZXTGKMMMBIRW-UHFFFAOYSA-N 0 1 304.438 3.257 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@H]([C@H](C)O)C2)cc1Cl ZINC000541890753 435644722 /nfs/dbraw/zinc/64/47/22/435644722.db2.gz BQNRUYBTRFNILN-ZFWWWQNUSA-N 0 1 309.837 3.498 20 30 DGEDMN C=CCN1CC[C@@H](N2CCc3ccc(Cl)cc3[C@@H](C)C2)C1=O ZINC000649746812 435689816 /nfs/dbraw/zinc/68/98/16/435689816.db2.gz JJCONEUMIQYFDF-SUMWQHHRSA-N 0 1 318.848 3.089 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2/C=C\c2ccccc2)CC1 ZINC000490936680 435691554 /nfs/dbraw/zinc/69/15/54/435691554.db2.gz RKAOOSHXGBKTIQ-JPMGXVIASA-N 0 1 322.452 3.036 20 30 DGEDMN C#C[C@@H](C)N(C)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000491671048 435791293 /nfs/dbraw/zinc/79/12/93/435791293.db2.gz NCVWPLNTOPAQBN-LLVKDONJSA-N 0 1 309.394 3.385 20 30 DGEDMN C=CCC[C@H](NCc1n[nH]c([C@H]2CCCO2)n1)c1ccco1 ZINC000655794917 435803519 /nfs/dbraw/zinc/80/35/19/435803519.db2.gz VEUKPNVOMKABSZ-GXTWGEPZSA-N 0 1 302.378 3.046 20 30 DGEDMN C=CCC[C@@H](NCc1n[nH]c([C@H]2CCCO2)n1)c1ccco1 ZINC000655794920 435805787 /nfs/dbraw/zinc/80/57/87/435805787.db2.gz VEUKPNVOMKABSZ-TZMCWYRMSA-N 0 1 302.378 3.046 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1ccc(OCc2ccncc2)c(OC)c1 ZINC000491774214 435807728 /nfs/dbraw/zinc/80/77/28/435807728.db2.gz CAFBYNAXPBDTRK-OAHLLOKOSA-N 0 1 310.397 3.123 20 30 DGEDMN C=CCN1CC[C@@H]([N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)C1=O ZINC000646119127 435784674 /nfs/dbraw/zinc/78/46/74/435784674.db2.gz QJUWSEUJTWPIHN-QZTJIDSGSA-N 0 1 308.425 3.167 20 30 DGEDMN C=CCN1CC[C@@H](N2CC3(CC=CC3)[C@H]2c2ccccc2)C1=O ZINC000646119127 435784677 /nfs/dbraw/zinc/78/46/77/435784677.db2.gz QJUWSEUJTWPIHN-QZTJIDSGSA-N 0 1 308.425 3.167 20 30 DGEDMN C=C(C)[C@@H](CO)N1CC[C@H](c2cccc(Br)c2)C1 ZINC000650009862 435786772 /nfs/dbraw/zinc/78/67/72/435786772.db2.gz QPMRNWUHVODWHP-DZGCQCFKSA-N 0 1 310.235 3.175 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000665112632 435894106 /nfs/dbraw/zinc/89/41/06/435894106.db2.gz LOPWCIUWKZDOGL-CHWSQXEVSA-N 0 1 319.453 3.036 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000665112635 435894262 /nfs/dbraw/zinc/89/42/62/435894262.db2.gz LOPWCIUWKZDOGL-STQMWFEESA-N 0 1 319.453 3.036 20 30 DGEDMN C=CC[N@H+](Cc1cc(Br)ccc1C(=O)[O-])C1CC1 ZINC000652442748 435981421 /nfs/dbraw/zinc/98/14/21/435981421.db2.gz VKBMONQANICWRL-UHFFFAOYSA-N 0 1 310.191 3.298 20 30 DGEDMN C=CCN(Cc1ccc(OCC(F)(F)F)nc1)[C@@H](C)COC ZINC000661241406 436003808 /nfs/dbraw/zinc/00/38/08/436003808.db2.gz XKFXKWKFARGUHJ-LBPRGKRZSA-N 0 1 318.339 3.046 20 30 DGEDMN N#CC(C(=O)c1cnn2ccccc12)c1nc(C2CC2)cs1 ZINC000647378071 436035505 /nfs/dbraw/zinc/03/55/05/436035505.db2.gz OWEMTWSUHMUHDG-NSHDSACASA-N 0 1 308.366 3.158 20 30 DGEDMN CC(C)n1nccc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000647378196 436036570 /nfs/dbraw/zinc/03/65/70/436036570.db2.gz DGPUWXRYCJDPGD-NSHDSACASA-N 0 1 300.387 3.288 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2cc(C)ccc2OC)C1 ZINC000661378526 436048451 /nfs/dbraw/zinc/04/84/51/436048451.db2.gz IFRKPVXJQRNZQS-HNNXBMFYSA-N 0 1 302.418 3.230 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCc2nc(-c3ccccc3)sc2C1 ZINC000661542396 436104215 /nfs/dbraw/zinc/10/42/15/436104215.db2.gz XBMCNLVQQDOVRM-OAHLLOKOSA-N 0 1 300.427 3.105 20 30 DGEDMN C=CCCn1cc(CNC[C@H](C)Sc2ccccc2)nn1 ZINC000657379302 436168804 /nfs/dbraw/zinc/16/88/04/436168804.db2.gz SZINJUXKQJHBRD-AWEZNQCLSA-N 0 1 302.447 3.125 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@H](c3ccc(F)cc3)C2)nn1 ZINC000657473532 436215823 /nfs/dbraw/zinc/21/58/23/436215823.db2.gz MNDHRDRJNTVDFK-KOMQPUFPSA-N 0 1 300.381 3.029 20 30 DGEDMN Cn1ncc(C2CC2)c1C(=O)C(C#N)c1nc2ccccc2s1 ZINC000659011029 436456544 /nfs/dbraw/zinc/45/65/44/436456544.db2.gz AZNYQJSOKXOCJF-NSHDSACASA-N 0 1 322.393 3.397 20 30 DGEDMN C=C(C)[C@H]1OCCC[C@H]1C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000655064458 436491589 /nfs/dbraw/zinc/49/15/89/436491589.db2.gz LVEBMQVPUKUBOK-GDBMZVCRSA-N 0 1 319.449 3.037 20 30 DGEDMN C[C@H](N[C@@H]1C[C@H](C)N(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000174255718 312761745 /nfs/dbraw/zinc/76/17/45/312761745.db2.gz CNMIETKZROFSEW-YZVOILCLSA-N 0 1 319.408 3.403 20 30 DGEDMN CCn1nc(C)c(CN[C@H](C)c2ccc(C#N)c(F)c2)c1C ZINC000540707395 313972595 /nfs/dbraw/zinc/97/25/95/313972595.db2.gz YSLDMKLHMWCVPK-LLVKDONJSA-N 0 1 300.381 3.381 20 30 DGEDMN COCCOc1ccc(C#N)cc1NCc1ccc2nc[nH]c2c1 ZINC000542301744 314067838 /nfs/dbraw/zinc/06/78/38/314067838.db2.gz IASVIRPZGHUWDK-UHFFFAOYSA-N 0 1 322.368 3.072 20 30 DGEDMN C[C@@](O)(C1CCN(Cc2cccc(C#N)c2)CC1)C(F)(F)F ZINC000547345384 314358126 /nfs/dbraw/zinc/35/81/26/314358126.db2.gz DZQLBPFJTBXMGR-OAHLLOKOSA-N 0 1 312.335 3.084 20 30 DGEDMN C[C@](O)(C1CCN(Cc2cccc(C#N)c2)CC1)C(F)(F)F ZINC000547345383 314358282 /nfs/dbraw/zinc/35/82/82/314358282.db2.gz DZQLBPFJTBXMGR-HNNXBMFYSA-N 0 1 312.335 3.084 20 30 DGEDMN Cc1ccccc1CN(Cc1cnc2c(C#N)cnn2c1)C1CC1 ZINC000566068992 315309199 /nfs/dbraw/zinc/30/91/99/315309199.db2.gz OJDCAPUIIBNNIR-UHFFFAOYSA-N 0 1 317.396 3.074 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c(F)c1 ZINC000576293851 316115498 /nfs/dbraw/zinc/11/54/98/316115498.db2.gz YHLMTXKROYIKBV-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN N#Cc1cccc(/C=C/c2nc(-c3ccn4cncc4c3)no2)c1 ZINC000351069858 323538951 /nfs/dbraw/zinc/53/89/51/323538951.db2.gz BWSPTZBFQGUJMN-SNAWJCMRSA-N 0 1 313.320 3.426 20 30 DGEDMN CC(C)CN(C(=O)[C@H](C)Cc1cnc[nH]1)c1ccc(C#N)cc1 ZINC000580582492 324016472 /nfs/dbraw/zinc/01/64/72/324016472.db2.gz POTTZFCBOKLLDJ-CQSZACIVSA-N 0 1 310.401 3.149 20 30 DGEDMN C=CCCCCCN(C)[C@@H](C)C(=O)Nc1c(C)nn(C)c1C ZINC000150898886 332197720 /nfs/dbraw/zinc/19/77/20/332197720.db2.gz XLHUGGNGOKKSRH-HNNXBMFYSA-N 0 1 306.454 3.042 20 30 DGEDMN C[C@@H]1CN(CC(C)(C)CCC#N)CCN1C(=O)OC(C)(C)C ZINC000124606152 332232287 /nfs/dbraw/zinc/23/22/87/332232287.db2.gz GCWPSVLNCQCQHB-CQSZACIVSA-N 0 1 309.454 3.258 20 30 DGEDMN CC[C@@H](CC#N)NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000580343671 335116498 /nfs/dbraw/zinc/11/64/98/335116498.db2.gz VBFVBFXCYCPWBT-ZDUSSCGKSA-N 0 1 314.345 3.074 20 30 DGEDMN N#CC1(NC(=O)CN(C2CC2)[C@H]2CCCc3ccccc32)CCC1 ZINC000525886101 335141005 /nfs/dbraw/zinc/14/10/05/335141005.db2.gz ZKEAQDHUHVWBJX-SFHVURJKSA-N 0 1 323.440 3.091 20 30 DGEDMN CN(C)c1nc2ccc(NC(=O)[C@@]3(C#N)CC34CCCC4)cc2[nH]1 ZINC000295173112 334220153 /nfs/dbraw/zinc/22/01/53/334220153.db2.gz GYDBAHHFECMRIU-SFHVURJKSA-N 0 1 323.400 3.041 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)CC1 ZINC000111800376 334359158 /nfs/dbraw/zinc/35/91/58/334359158.db2.gz HCOMEFMOWBRKQQ-QGZVFWFLSA-N 0 1 319.424 3.036 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc4c(c3)OCO4)[nH]c21 ZINC000345388181 335322330 /nfs/dbraw/zinc/32/23/30/335322330.db2.gz ZAZJOOOBSRXEBU-LBPRGKRZSA-N 0 1 319.320 3.090 20 30 DGEDMN N#CC1(NC(=O)CN2CCCCC[C@@H]2c2ccccc2)CCC1 ZINC000526135878 336231548 /nfs/dbraw/zinc/23/15/48/336231548.db2.gz OMGOYINVICOGKU-QGZVFWFLSA-N 0 1 311.429 3.166 20 30 DGEDMN C[C@@H](C(=O)NC1CCC(C)CC1)N1CCC(CCC#N)CC1 ZINC000583869808 337362700 /nfs/dbraw/zinc/36/27/00/337362700.db2.gz JIOALPMMCPABJX-CKDBGZEDSA-N 0 1 305.466 3.086 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000503429544 339065172 /nfs/dbraw/zinc/06/51/72/339065172.db2.gz JIOUNHRYDMBULG-KSSFIOAISA-N 0 1 318.848 3.365 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Nc3cccc(F)c3)[nH]c21 ZINC000505437590 340168383 /nfs/dbraw/zinc/16/83/83/340168383.db2.gz DIICCWKBPKYVKV-CYBMUJFWSA-N 0 1 308.316 3.256 20 30 DGEDMN N#CCc1ccccc1NS(=O)(=O)c1cccc2cccnc21 ZINC000193360318 340576731 /nfs/dbraw/zinc/57/67/31/340576731.db2.gz HOGCLTRPLMJZCJ-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@H](c2ccccc2)C(C)C)CC1 ZINC000523607809 340629770 /nfs/dbraw/zinc/62/97/70/340629770.db2.gz ZTRMEDPMAQQFPA-IBGZPJMESA-N 0 1 300.446 3.398 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccc(OC)cc1)N1CCC(CC#N)CC1 ZINC000543809032 341060124 /nfs/dbraw/zinc/06/01/24/341060124.db2.gz FCAOAKFGQRQSTL-KRWDZBQOSA-N 0 1 315.417 3.038 20 30 DGEDMN C[C@@H](C#N)CSc1ccccc1NC(=O)CN(C)C1CCC1 ZINC000072722341 341101560 /nfs/dbraw/zinc/10/15/60/341101560.db2.gz VKPAYLWUNFIRMH-ZDUSSCGKSA-N 0 1 317.458 3.361 20 30 DGEDMN Cc1[nH]ncc1CNC(=O)c1ccc(C#Cc2ccccc2)cc1 ZINC000545954227 341148397 /nfs/dbraw/zinc/14/83/97/341148397.db2.gz KGZLKPKSTWDYLN-UHFFFAOYSA-N 0 1 315.376 3.048 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3ccccc3CC#N)[nH]n2)o1 ZINC000549710763 341301116 /nfs/dbraw/zinc/30/11/16/341301116.db2.gz HUOXWELECUPWBG-UHFFFAOYSA-N 0 1 306.325 3.297 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)N[C@H](C)c1ccccc1OC ZINC000249352983 341396589 /nfs/dbraw/zinc/39/65/89/341396589.db2.gz XKOAVNYIIHYADI-CABCVRRESA-N 0 1 304.434 3.159 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000574701244 341766457 /nfs/dbraw/zinc/76/64/57/341766457.db2.gz VWVCUSYBLTWOFQ-OALUTQOASA-N 0 1 324.424 3.375 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)Nc1cccc(OCCCC#N)c1 ZINC000355408845 533740762 /nfs/dbraw/zinc/74/07/62/533740762.db2.gz KFHDQTYDDVSTQP-GJZGRUSLSA-N 0 1 315.417 3.180 20 30 DGEDMN C[C@@H](O)[C@@H]1C[C@H](C)CCN1Cc1ccc(C#N)cc1OC(F)F ZINC000331302692 533826939 /nfs/dbraw/zinc/82/69/39/533826939.db2.gz XLINCZBDYYQEPJ-JMSVASOKSA-N 0 1 324.371 3.141 20 30 DGEDMN Cc1cc(C)cc(NS(=O)(=O)c2cccc(C)c2C#N)c1 ZINC000441371661 534082142 /nfs/dbraw/zinc/08/21/42/534082142.db2.gz MVWLDZIUCDMXDL-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN COC(=O)c1ccc2c(c1)CN(Cc1ccc(CC#N)cc1)CC2 ZINC000343708858 534250141 /nfs/dbraw/zinc/25/01/41/534250141.db2.gz JWYXMZLPOJJKIP-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN C=CCn1cccc1C(=O)Nc1[nH]nc2c1cccc2Cl ZINC000488797842 534473594 /nfs/dbraw/zinc/47/35/94/534473594.db2.gz JSGGEHCSIJTJLS-UHFFFAOYSA-N 0 1 300.749 3.456 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000488472293 534469042 /nfs/dbraw/zinc/46/90/42/534469042.db2.gz BOEBTIFCLBKSMI-GOSISDBHSA-N 0 1 323.440 3.069 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1ccc(F)cc1Br ZINC000449549277 534574121 /nfs/dbraw/zinc/57/41/21/534574121.db2.gz WJHIJVDVGQWILR-KGLIPLIRSA-N 0 1 314.198 3.411 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1c2ccccc2oc1C(=O)OCC ZINC000451604868 534609462 /nfs/dbraw/zinc/60/94/62/534609462.db2.gz ITTXYBYXLQVGQV-CABCVRRESA-N 0 1 315.369 3.043 20 30 DGEDMN N#CCCN1CCN(C2c3ccccc3-c3ccccc32)CC1 ZINC000153062798 534647323 /nfs/dbraw/zinc/64/73/23/534647323.db2.gz YJMRXODUFKESAJ-UHFFFAOYSA-N 0 1 303.409 3.288 20 30 DGEDMN C=C[C@H]1CCCCN1C[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000449289250 534695246 /nfs/dbraw/zinc/69/52/46/534695246.db2.gz RKSIDJXNACIVCN-ZBFHGGJFSA-N 0 1 307.459 3.234 20 30 DGEDMN N#C[C@H](NC(=O)c1c(O)cccc1F)c1ccc(Cl)cc1 ZINC000436988253 534744147 /nfs/dbraw/zinc/74/41/47/534744147.db2.gz LAIACGQCUMOAAV-LBPRGKRZSA-N 0 1 304.708 3.179 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](O)C23CCCC3)c(OC(F)F)c1 ZINC000433008469 534782317 /nfs/dbraw/zinc/78/23/17/534782317.db2.gz DCVZURXFZNGVHA-OAHLLOKOSA-N 0 1 322.355 3.039 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@H](C(=O)OC)[C@H]2C)cc1Cl ZINC000470149865 534883258 /nfs/dbraw/zinc/88/32/58/534883258.db2.gz UVGATKAPYAUGDE-OCCSQVGLSA-N 0 1 323.820 3.288 20 30 DGEDMN CC(C)(C)NC(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000161412920 526321466 /nfs/dbraw/zinc/32/14/66/526321466.db2.gz VMXQXZREHSOPBE-VIFPVBQESA-N 0 1 307.806 3.472 20 30 DGEDMN CC#CCN1CCC(Oc2cccc(Br)c2)CC1 ZINC000353578066 526363129 /nfs/dbraw/zinc/36/31/29/526363129.db2.gz CNQTVNSIGOLMRS-UHFFFAOYSA-N 0 1 308.219 3.316 20 30 DGEDMN C=CCN(Cc1ccco1)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000353514441 526366255 /nfs/dbraw/zinc/36/62/55/526366255.db2.gz GUKAJUNLXPVTDC-CQSZACIVSA-N 0 1 309.373 3.005 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000356229038 526375757 /nfs/dbraw/zinc/37/57/57/526375757.db2.gz UOXPVGQCGKNDDE-UHFFFAOYSA-N 0 1 318.354 3.190 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(F)cccc2Cl)CC1 ZINC000352013918 526446619 /nfs/dbraw/zinc/44/66/19/526446619.db2.gz KUZFHJPVVHLNIR-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCCC[C@H]2c2ccc(C)o2)C1=O ZINC000337175118 526475879 /nfs/dbraw/zinc/47/58/79/526475879.db2.gz IKJDTZOGTIWOQS-JKSUJKDBSA-N 0 1 302.418 3.292 20 30 DGEDMN C=C(C)CN(C)CC(=O)N1CCC[C@@H]1c1ccc(OCC)cc1 ZINC000181266659 526503203 /nfs/dbraw/zinc/50/32/03/526503203.db2.gz APDZEFRFZYRTIP-GOSISDBHSA-N 0 1 316.445 3.257 20 30 DGEDMN C=C(C)CN(C)[C@H](C)C(=O)N(C)Cc1nc2ccccc2s1 ZINC000181314055 526506724 /nfs/dbraw/zinc/50/67/24/526506724.db2.gz TZLWZVZBNUCOHN-CYBMUJFWSA-N 0 1 317.458 3.151 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CC1(c2ccccc2)CC1 ZINC000444687821 526535044 /nfs/dbraw/zinc/53/50/44/526535044.db2.gz WTNIVBMHEZQQAJ-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)[C@@H]1CCCN(C(C)C)C1=O ZINC000348242565 526569712 /nfs/dbraw/zinc/56/97/12/526569712.db2.gz RVFXEBCIGHEUJS-GOSISDBHSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1CCC(c2ccc(F)cc2C)CC1 ZINC000428729429 526632895 /nfs/dbraw/zinc/63/28/95/526632895.db2.gz UTHSDZWBZSLJKY-AWEZNQCLSA-N 0 1 304.409 3.004 20 30 DGEDMN C=CCOCCCSc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000356046787 526763472 /nfs/dbraw/zinc/76/34/72/526763472.db2.gz RSWNGIBSXOYUND-UHFFFAOYSA-N 0 1 305.403 3.165 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2n[nH]c3ccccc32)cc1 ZINC000355703963 526884145 /nfs/dbraw/zinc/88/41/45/526884145.db2.gz DUQPJWHCUPMMAJ-UHFFFAOYSA-N 0 1 307.353 3.058 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC000331697589 526941586 /nfs/dbraw/zinc/94/15/86/526941586.db2.gz VCPTUQSOBWZINF-OAHLLOKOSA-N 0 1 324.428 3.390 20 30 DGEDMN C=CC1CCN(C(=O)[C@@H](CC)N(C)Cc2ccccc2)CC1 ZINC000354202321 526971734 /nfs/dbraw/zinc/97/17/34/526971734.db2.gz FYLDYADWJASLDP-GOSISDBHSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CC[C@@H]1N(C(=O)CCCCc2cn[nH]n2)CCCC1(C)C ZINC000444638947 527114702 /nfs/dbraw/zinc/11/47/02/527114702.db2.gz XQBGDPIEFLOCFT-HNNXBMFYSA-N 0 1 304.438 3.111 20 30 DGEDMN C=CC[C@H]1N(C(=O)CCCCc2cn[nH]n2)CCCC1(C)C ZINC000444638948 527224450 /nfs/dbraw/zinc/22/44/50/527224450.db2.gz XQBGDPIEFLOCFT-OAHLLOKOSA-N 0 1 304.438 3.111 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NCCN1CCc2ccccc2C1 ZINC000342791208 527289723 /nfs/dbraw/zinc/28/97/23/527289723.db2.gz SWBULECOMMRIEW-INIZCTEOSA-N 0 1 315.461 3.089 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000342974655 527291282 /nfs/dbraw/zinc/29/12/82/527291282.db2.gz WYYHFPAVWSYHMF-ZDUSSCGKSA-N 0 1 300.406 3.415 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(N2CCCC2)cc1C ZINC000342762912 527320560 /nfs/dbraw/zinc/32/05/60/527320560.db2.gz HBVFVNVGROXZIQ-UHFFFAOYSA-N 0 1 301.434 3.042 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000342741971 527324049 /nfs/dbraw/zinc/32/40/49/527324049.db2.gz ZTRTYUPLKRLFQV-KRWDZBQOSA-N 0 1 313.445 3.447 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cc(-c2ccccc2)on1 ZINC000348120349 527331128 /nfs/dbraw/zinc/33/11/28/527331128.db2.gz NPGSTVYYIYJXMJ-UHFFFAOYSA-N 0 1 314.370 3.117 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCN(C(C)C)CC1)c1ccccc1 ZINC000435758898 527439905 /nfs/dbraw/zinc/43/99/05/527439905.db2.gz IAWKINKTQXQIKD-GOSISDBHSA-N 0 1 315.461 3.430 20 30 DGEDMN C=CCC[C@H](C(=O)N1C[C@H](C)N(C)C[C@H]1C)c1ccccc1 ZINC000428023284 527462689 /nfs/dbraw/zinc/46/26/89/527462689.db2.gz KUSPBOBWLXBVGQ-JZXOWHBKSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCC[C@H](O)CN[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000123180708 527492950 /nfs/dbraw/zinc/49/29/50/527492950.db2.gz ZIGVESBPVIIUFQ-RBUKOAKNSA-N 0 1 324.424 3.283 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN[C@@H](CO)c1cccc(F)c1 ZINC000359529354 527498837 /nfs/dbraw/zinc/49/88/37/527498837.db2.gz BYEQUHNPMUSZAE-SFHVURJKSA-N 0 1 312.388 3.450 20 30 DGEDMN C=CCCc1ccccc1NC(=O)CCSc1nnc(C)[nH]1 ZINC000337522192 527504060 /nfs/dbraw/zinc/50/40/60/527504060.db2.gz VWLPKRPOQRQBDU-UHFFFAOYSA-N 0 1 316.430 3.353 20 30 DGEDMN CC(=Cc1cnc(C(C)C)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435337935 527508587 /nfs/dbraw/zinc/50/85/87/527508587.db2.gz ZZDJAJCKPXATGT-UITAMQMPSA-N 0 1 300.387 3.090 20 30 DGEDMN C=C[C@H](C)NC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000128468681 527560451 /nfs/dbraw/zinc/56/04/51/527560451.db2.gz HOWCWHUACIHHNL-BBRMVZONSA-N 0 1 321.852 3.351 20 30 DGEDMN C=CCN(CC=C)C(=O)c1ccc(NCc2[nH]ncc2C)cc1 ZINC000356317855 527641170 /nfs/dbraw/zinc/64/11/70/527641170.db2.gz LKPGPJRBHYCNST-UHFFFAOYSA-N 0 1 310.401 3.144 20 30 DGEDMN CC(C)CC1(C)CCN([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000344000720 527689281 /nfs/dbraw/zinc/68/92/81/527689281.db2.gz YBFFDKCVGXWLPS-HNNXBMFYSA-N 0 1 305.466 3.086 20 30 DGEDMN CCCN(CC(=O)N(CC)C[C@@H](C)C#N)Cc1ccc(F)cc1 ZINC000346613929 527887186 /nfs/dbraw/zinc/88/71/86/527887186.db2.gz SUDWRVUZXWVBQW-HNNXBMFYSA-N 0 1 319.424 3.046 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000181761456 527932220 /nfs/dbraw/zinc/93/22/20/527932220.db2.gz FQIAOCIRXUSATG-UGSOOPFHSA-N 0 1 313.401 3.138 20 30 DGEDMN CC(C)CNC(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000161421896 528055523 /nfs/dbraw/zinc/05/55/23/528055523.db2.gz NEYWSEGAZYVSKJ-JTQLQIEISA-N 0 1 307.806 3.329 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000108324965 528090397 /nfs/dbraw/zinc/09/03/97/528090397.db2.gz PGVURYBJVOSIPR-CYBMUJFWSA-N 0 1 305.447 3.219 20 30 DGEDMN CC(C)c1cccc2c1CCN(C(=O)NCCc1cnccn1)C2 ZINC000329921860 528187805 /nfs/dbraw/zinc/18/78/05/528187805.db2.gz FZPGGOFFJQHBIN-UHFFFAOYSA-N 0 1 324.428 3.115 20 30 DGEDMN CCN(CCCC(C)(C)C#N)CC(=O)Nc1cccc(OC)c1 ZINC000174808765 528430196 /nfs/dbraw/zinc/43/01/96/528430196.db2.gz DTVUXLMHKDUIHE-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN CC(C)C[C@H](N)c1noc(CSc2ccc(C#N)cc2)n1 ZINC000414625460 528558150 /nfs/dbraw/zinc/55/81/50/528558150.db2.gz WVUHDOWVTWHTTF-ZDUSSCGKSA-N 0 1 302.403 3.279 20 30 DGEDMN CCCc1cc(C(=O)C(C#N)c2cnc3ccccc3n2)ccn1 ZINC000355404263 528597851 /nfs/dbraw/zinc/59/78/51/528597851.db2.gz PJBPZXIGONYOHC-HNNXBMFYSA-N 0 1 316.364 3.467 20 30 DGEDMN CCC(CC)CN(CC)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000176245353 528742741 /nfs/dbraw/zinc/74/27/41/528742741.db2.gz LONSRSXMEXEKAD-UHFFFAOYSA-N 0 1 322.474 3.090 20 30 DGEDMN CCC[C@@H](NCC(=O)NCC#N)c1ccc(Cl)c(Cl)c1 ZINC000341644928 529005576 /nfs/dbraw/zinc/00/55/76/529005576.db2.gz ZPAUFGVJNJVEPP-CYBMUJFWSA-N 0 1 314.216 3.064 20 30 DGEDMN CC[C@@H]1CCCN1C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000331044707 529301290 /nfs/dbraw/zinc/30/12/90/529301290.db2.gz FJBPPBFZCMOKFD-CQSZACIVSA-N 0 1 318.421 3.051 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@H]1c1cccc(OC)c1 ZINC000054979022 545700880 /nfs/dbraw/zinc/70/08/80/545700880.db2.gz KASQULKNJNGXQP-SFHVURJKSA-N 0 1 316.445 3.257 20 30 DGEDMN CCc1ccccc1OCC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000073973647 545720353 /nfs/dbraw/zinc/72/03/53/545720353.db2.gz TXHIEANQYNXYMP-UHFFFAOYSA-N 0 1 312.329 3.294 20 30 DGEDMN OC[C@]1(NCC#Cc2cccc(Cl)c2)CCc2ccccc21 ZINC000132283291 545963268 /nfs/dbraw/zinc/96/32/68/545963268.db2.gz IOVCSHBEHVMWOF-LJQANCHMSA-N 0 1 311.812 3.115 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1coc(C2CCC2)n1 ZINC000195260824 546254342 /nfs/dbraw/zinc/25/43/42/546254342.db2.gz XLMWHKKSCAGVPB-LBPRGKRZSA-N 0 1 320.352 3.319 20 30 DGEDMN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCC[C@@H](C2CC2)C1 ZINC000329673016 546489873 /nfs/dbraw/zinc/48/98/73/546489873.db2.gz UVBLHTVNIKSECC-DZGCQCFKSA-N 0 1 304.438 3.135 20 30 DGEDMN O=C(NCc1cc(=O)[nH]c2ccccc12)[C@@H]1CCCN1C1CC1 ZINC000330222047 546496789 /nfs/dbraw/zinc/49/67/89/546496789.db2.gz MBWGKRUJGXHUCW-INIZCTEOSA-N 0 1 311.385 3.024 20 30 DGEDMN C=CCOc1ccc(CN2C[C@@H](C)[C@H](O)[C@@H](C)C2)cc1Cl ZINC000668553701 547478879 /nfs/dbraw/zinc/47/88/79/547478879.db2.gz GNKISLSUQUVWCX-LAQFHYBYSA-N 0 1 309.837 3.354 20 30 DGEDMN N#CC(C(=O)c1cn2c(n1)CCCC2)c1nccc2ccccc21 ZINC000669603739 547659438 /nfs/dbraw/zinc/65/94/38/547659438.db2.gz QNSGAICGVGKINU-OAHLLOKOSA-N 0 1 316.364 3.258 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CC[N@H+](CC)[C@@H](C)C1 ZINC000669727767 547679719 /nfs/dbraw/zinc/67/97/19/547679719.db2.gz XDGKUAGHTDZTTD-HNNXBMFYSA-N 0 1 301.434 3.363 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(CC)[C@@H](C)C1 ZINC000669727767 547679721 /nfs/dbraw/zinc/67/97/21/547679721.db2.gz XDGKUAGHTDZTTD-HNNXBMFYSA-N 0 1 301.434 3.363 20 30 DGEDMN OCCN(CC#Cc1ccc(Cl)cc1)CCc1ccccc1 ZINC000674251708 548052174 /nfs/dbraw/zinc/05/21/74/548052174.db2.gz WLMTUXVIJKSCSQ-UHFFFAOYSA-N 0 1 313.828 3.229 20 30 DGEDMN Oc1ccc2c(c1)CCN(CC#Cc1ccc(Cl)cc1)CC2 ZINC000674250011 548052401 /nfs/dbraw/zinc/05/24/01/548052401.db2.gz RHLKFMXPDRHWDR-UHFFFAOYSA-N 0 1 311.812 3.498 20 30 DGEDMN CCC#C[C@H](C)N1CCC(c2nc(-c3ccccn3)no2)CC1 ZINC000677048456 548443796 /nfs/dbraw/zinc/44/37/96/548443796.db2.gz YAYWOOHQKLWITM-AWEZNQCLSA-N 0 1 310.401 3.113 20 30 DGEDMN COCC#CCN(Cc1cccc(Br)c1)C1CC1 ZINC000677133140 548458013 /nfs/dbraw/zinc/45/80/13/548458013.db2.gz JODNEZJGXZEMHJ-UHFFFAOYSA-N 0 1 308.219 3.063 20 30 DGEDMN COc1cc(C=NNc2ccc(F)cn2)ccc1OC(C)C ZINC000731318954 574546814 /nfs/dbraw/zinc/54/68/14/574546814.db2.gz PRPZYINBYXMRIL-UHFFFAOYSA-N 0 1 303.337 3.463 20 30 DGEDMN COc1cc(CN=Nc2ccc(F)cn2)cc(Cl)c1OC ZINC000731321460 574547063 /nfs/dbraw/zinc/54/70/63/574547063.db2.gz JHRZEZONVMKIIY-UHFFFAOYSA-N 0 1 309.728 3.337 20 30 DGEDMN CCOc1cc(CN=Nc2ccc(F)cn2)cc(Cl)c1O ZINC000731320334 574547094 /nfs/dbraw/zinc/54/70/94/574547094.db2.gz YCUOTTAQOVZXPI-UHFFFAOYSA-N 0 1 309.728 3.424 20 30 DGEDMN COC[C@H](C)NC(=S)Nc1ccccc1S[C@H](C)CC#N ZINC000731321767 574547109 /nfs/dbraw/zinc/54/71/09/574547109.db2.gz RTEWNIMBBDEMCB-NWDGAFQWSA-N 0 1 323.487 3.402 20 30 DGEDMN CCOc1c(OC)cc(CN=Nc2ccc(F)cn2)cc1OC ZINC000731321683 574547128 /nfs/dbraw/zinc/54/71/28/574547128.db2.gz NUWCSXWCHUDUIV-UHFFFAOYSA-N 0 1 319.336 3.083 20 30 DGEDMN Cc1c(C=NNc2cc(C)ncn2)sc2nc(C)nc(C)c12 ZINC000731934727 574562835 /nfs/dbraw/zinc/56/28/35/574562835.db2.gz GHKQAFUBONTLAE-UHFFFAOYSA-N 0 1 312.402 3.161 20 30 DGEDMN CCc1ccc(CN=Nc2ccccc2S(C)(=O)=O)s1 ZINC000731933604 574562867 /nfs/dbraw/zinc/56/28/67/574562867.db2.gz MMXHUTRVIGEZON-UHFFFAOYSA-N 0 1 308.428 3.160 20 30 DGEDMN Cc1cc(NN=Cc2cnn(-c3ccc(Cl)cc3)c2)ncn1 ZINC000731935518 574562917 /nfs/dbraw/zinc/56/29/17/574562917.db2.gz CUTGDNXHUBVXTB-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN N#Cc1ccc(CNCC(=O)Nc2cccc3ccccc32)cc1 ZINC000732231108 574568721 /nfs/dbraw/zinc/56/87/21/574568721.db2.gz GLGBIWBTIQKRKQ-UHFFFAOYSA-N 0 1 315.376 3.440 20 30 DGEDMN CC(N=Nc1ccc(Br)nc1)c1ccc(O)cc1 ZINC000732712751 574579836 /nfs/dbraw/zinc/57/98/36/574579836.db2.gz GPBFBGJZWXRMDD-UHFFFAOYSA-N 0 1 306.163 3.386 20 30 DGEDMN C#CCCOc1ccc(CNCc2c(C)cc(C)nc2OC)cc1 ZINC000733327202 574616277 /nfs/dbraw/zinc/61/62/77/574616277.db2.gz PUCZVVLZPILPHY-UHFFFAOYSA-N 0 1 324.424 3.399 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc2c(c1)CCO2 ZINC000733748186 574636661 /nfs/dbraw/zinc/63/66/61/574636661.db2.gz KSHLKKLCXQDGCW-UHFFFAOYSA-N 0 1 304.353 3.401 20 30 DGEDMN Cc1cc(=NN=C(CC2CCCCC2)c2ncccn2)nc[nH]1 ZINC000733901157 574641943 /nfs/dbraw/zinc/64/19/43/574641943.db2.gz FBBCTJANDNHUGZ-UHFFFAOYSA-N 0 1 310.405 3.362 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCc3ccccc32)nc(C)n1 ZINC000734705714 574674058 /nfs/dbraw/zinc/67/40/58/574674058.db2.gz OYRAGLGYJUOPJO-RDJZCZTQSA-N 0 1 305.381 3.390 20 30 DGEDMN CC(=O)Nc1ccsc1C=NNc1cnc2ccccc2n1 ZINC000735406658 574701074 /nfs/dbraw/zinc/70/10/74/574701074.db2.gz KUNZMMDTRPEQKG-UHFFFAOYSA-N 0 1 311.370 3.096 20 30 DGEDMN CC(=O)Nc1ccsc1C=NN=c1nc[nH]c2sccc21 ZINC000735408177 574701262 /nfs/dbraw/zinc/70/12/62/574701262.db2.gz DIBFDKYRVZWQHO-UHFFFAOYSA-N 0 1 317.399 3.157 20 30 DGEDMN COCC(=NNc1cc(C)ncn1)c1cccc(C(F)(F)F)c1 ZINC000735615547 574709664 /nfs/dbraw/zinc/70/96/64/574709664.db2.gz OEZYYWYBADLXKB-UHFFFAOYSA-N 0 1 324.306 3.266 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCc3cscn3)[nH]c21 ZINC000735791053 574715108 /nfs/dbraw/zinc/71/51/08/574715108.db2.gz UALBRBTZLGMKOA-LBPRGKRZSA-N 0 1 310.382 3.137 20 30 DGEDMN C=CCCCCC[N@H+](C)Cn1ncc2cc(C(=O)[O-])ccc21 ZINC000736098081 574731429 /nfs/dbraw/zinc/73/14/29/574731429.db2.gz REGGDPFXUBKOBI-UHFFFAOYSA-N 0 1 301.390 3.370 20 30 DGEDMN CC(C)[N@@H+](CCC#N)Cc1c(O)c(C(=O)[O-])cc2ccccc21 ZINC000736463594 574754628 /nfs/dbraw/zinc/75/46/28/574754628.db2.gz RJYWDLATKGTKBF-UHFFFAOYSA-N 0 1 312.369 3.368 20 30 DGEDMN CCOc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2ccc(C#N)cc2)cc1 ZINC000737205761 574827024 /nfs/dbraw/zinc/82/70/24/574827024.db2.gz QOHPUFWZGLTWFJ-GOSISDBHSA-N 0 1 324.380 3.215 20 30 DGEDMN COc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2ccc(C#N)cc2)cc1C ZINC000737995429 574857851 /nfs/dbraw/zinc/85/78/51/574857851.db2.gz RRLKDOFITZUQII-GOSISDBHSA-N 0 1 324.380 3.133 20 30 DGEDMN COc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2ccc(C#N)cc2)cc1C ZINC000737995432 574857812 /nfs/dbraw/zinc/85/78/12/574857812.db2.gz RRLKDOFITZUQII-SFHVURJKSA-N 0 1 324.380 3.133 20 30 DGEDMN N#Cc1ccc(C[N@@H+](CCCC(=O)[O-])Cc2ccccc2)cc1 ZINC000739116499 574892605 /nfs/dbraw/zinc/89/26/05/574892605.db2.gz AMVDXPITPWFCNQ-UHFFFAOYSA-N 0 1 308.381 3.425 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2cnc3ccccc3n2)n1 ZINC000739420919 574902928 /nfs/dbraw/zinc/90/29/28/574902928.db2.gz BBSRLKYPUGZWIL-UHFFFAOYSA-N 0 1 317.274 3.490 20 30 DGEDMN CC(C)(C)n1cc(C=NNc2cccc(C(F)(F)F)n2)cn1 ZINC000739421358 574902976 /nfs/dbraw/zinc/90/29/76/574902976.db2.gz JDYHHYCKHYOQSL-UHFFFAOYSA-N 0 1 311.311 3.498 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1cccc(C#N)c1)N1CCCCC1 ZINC000741013211 574948328 /nfs/dbraw/zinc/94/83/28/574948328.db2.gz ZREMNBJFHRBTNJ-QGZVFWFLSA-N 0 1 300.402 3.112 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(C#N)cc1F)N1[C@H](C)CC[C@H]1C ZINC000741023345 574948883 /nfs/dbraw/zinc/94/88/83/574948883.db2.gz WCYZOPZVGUNCDP-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN CC(=NN=c1cc[nH]c(N(C)C)n1)c1cc(C)c(F)c(C)c1 ZINC000741461032 574958588 /nfs/dbraw/zinc/95/85/88/574958588.db2.gz STDLZHRVWJVTQV-UHFFFAOYSA-N 0 1 301.369 3.135 20 30 DGEDMN Cn1cccc1[C@H](O)C/N=C\c1cc(Cl)cc(Cl)c1O ZINC000741466596 574958615 /nfs/dbraw/zinc/95/86/15/574958615.db2.gz XDSVFNSWMIYFHY-QDLULRBISA-N 0 1 313.184 3.190 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2cnc(-c3ccccc3)nc2)n1 ZINC000741763826 574968982 /nfs/dbraw/zinc/96/89/82/574968982.db2.gz GTXPJXXUQPVWKF-UHFFFAOYSA-N 0 1 324.775 3.341 20 30 DGEDMN CSc1ccc(C(C)=NNc2ncnc3nc[nH]c32)cc1F ZINC000741762595 574969015 /nfs/dbraw/zinc/96/90/15/574969015.db2.gz PCTODTRSMCDQQF-UHFFFAOYSA-N 0 1 316.365 3.050 20 30 DGEDMN CSc1ccc(C(C)=NNCCN2CCCCC2)cc1F ZINC000741762357 574969087 /nfs/dbraw/zinc/96/90/87/574969087.db2.gz WQJNMYBRTLUVLC-UHFFFAOYSA-N 0 1 309.454 3.347 20 30 DGEDMN CCC(=NNc1nc2ccccc2n1C)c1c(C)nn(C)c1C ZINC000741839612 574972990 /nfs/dbraw/zinc/97/29/90/574972990.db2.gz KDVDATGFLACWBT-UHFFFAOYSA-N 0 1 310.405 3.150 20 30 DGEDMN Fc1cc(F)c(NN=Cc2cc(-c3cccnc3)[nH]n2)cc1F ZINC000743440205 575051336 /nfs/dbraw/zinc/05/13/36/575051336.db2.gz DIHSNRKYKOKKSH-UHFFFAOYSA-N 0 1 317.274 3.335 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)c1cccc(F)c1 ZINC000744209211 575078666 /nfs/dbraw/zinc/07/86/66/575078666.db2.gz YEASFUNGXXODNA-SFHVURJKSA-N 0 1 301.336 3.134 20 30 DGEDMN C=CCN(Cn1cc(-c2ccc([N+](=O)[O-])cc2)cn1)C(C)C ZINC000728898382 575192394 /nfs/dbraw/zinc/19/23/94/575192394.db2.gz HMFBIBRMKISSNP-UHFFFAOYSA-N 0 1 300.362 3.312 20 30 DGEDMN C=CCOCC(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000729889920 575265654 /nfs/dbraw/zinc/26/56/54/575265654.db2.gz XGKJGAFZXHHFEL-AWEZNQCLSA-N 0 1 312.394 3.497 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000730084665 575274400 /nfs/dbraw/zinc/27/44/00/575274400.db2.gz HZFUHVXZLGEFNY-ROUUACIJSA-N 0 1 324.509 3.185 20 30 DGEDMN CC(C)COc1ccc(C=NNCCN2CCCCC2)cc1 ZINC000730145796 575276158 /nfs/dbraw/zinc/27/61/58/575276158.db2.gz QADNRIFAPADZPK-UHFFFAOYSA-N 0 1 303.450 3.131 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1cccc(N(C)C)c1 ZINC000744398579 575339901 /nfs/dbraw/zinc/33/99/01/575339901.db2.gz UMGORMIYZKMICQ-UHFFFAOYSA-N 0 1 308.425 3.397 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC3(CCCC3)C2)cc1Cl ZINC000744422195 575340862 /nfs/dbraw/zinc/34/08/62/575340862.db2.gz ZANVVTOPSOOYCX-UHFFFAOYSA-N 0 1 317.820 3.416 20 30 DGEDMN C/C(=C/C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596461 575404000 /nfs/dbraw/zinc/40/40/00/575404000.db2.gz BIJHTNCSYAWUGZ-XTOZHPGHSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C/C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596461 575404003 /nfs/dbraw/zinc/40/40/03/575404003.db2.gz BIJHTNCSYAWUGZ-XTOZHPGHSA-N 0 1 320.414 3.163 20 30 DGEDMN CC(C)c1nc(C#N)c(NN=Cc2cc3ccccc3[nH]c2=O)o1 ZINC000745624999 575407262 /nfs/dbraw/zinc/40/72/62/575407262.db2.gz RUZZIDXSJRFUDZ-UHFFFAOYSA-N 0 1 321.340 3.369 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000745947826 575423049 /nfs/dbraw/zinc/42/30/49/575423049.db2.gz OLMNEOISAIDDNR-LBPRGKRZSA-N 0 1 302.374 3.169 20 30 DGEDMN N#CC(C(=O)C1CC(OCc2ccccc2)C1)c1ccccn1 ZINC000747107456 575496139 /nfs/dbraw/zinc/49/61/39/575496139.db2.gz DDPNYQZVVGPRAN-OFLPRAFFSA-N 0 1 306.365 3.253 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CSc2ccc(F)cc2)c1 ZINC000748003464 575555634 /nfs/dbraw/zinc/55/56/34/575555634.db2.gz WQZZKSQIOZNMOE-UHFFFAOYSA-N 0 1 302.330 3.134 20 30 DGEDMN OCC(=NNc1ccc2ccccc2n1)c1ccc(F)cc1F ZINC000749604246 575668280 /nfs/dbraw/zinc/66/82/80/575668280.db2.gz SDOCXEIAWLIRPP-UHFFFAOYSA-N 0 1 313.307 3.322 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000751151555 575772007 /nfs/dbraw/zinc/77/20/07/575772007.db2.gz HWZWBSOYKNOLPA-OZTPJHRESA-N 0 1 316.788 3.424 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CCCc3sccc3C2)cc1 ZINC000739106970 575958060 /nfs/dbraw/zinc/95/80/60/575958060.db2.gz VANHPNSCNGWBRC-MRXNPFEDSA-N 0 1 312.394 3.194 20 30 DGEDMN N#Cc1ccc(N=NC2CN3CCC2CC3)cc1C(F)(F)F ZINC000726425860 576022687 /nfs/dbraw/zinc/02/26/87/576022687.db2.gz KXZMEGRETQQUPA-UHFFFAOYSA-N 0 1 308.307 3.071 20 30 DGEDMN Fc1ccc(N=NCc2nccn2CC(F)(F)F)c(F)c1 ZINC000727688287 576138945 /nfs/dbraw/zinc/13/89/45/576138945.db2.gz TURCERDTBMZHNF-UHFFFAOYSA-N 0 1 304.222 3.170 20 30 DGEDMN O=C(/C=C/c1cn(-c2ccccc2)nn1)c1ccc(O)c(F)c1 ZINC000728699088 576195686 /nfs/dbraw/zinc/19/56/86/576195686.db2.gz RIGZZCLMNWKAQN-VQHVLOKHSA-N 0 1 309.300 3.008 20 30 DGEDMN COc1cccc(C=NNc2nc3ccccc3n2C)c1OC ZINC000728777080 576201350 /nfs/dbraw/zinc/20/13/50/576201350.db2.gz YIOCVHUGTNBXJT-UHFFFAOYSA-N 0 1 310.357 3.037 20 30 DGEDMN CC(C)[C@H](NC[C@@H](C#N)CCC#N)[C@@H](O)c1ccc(Cl)cc1 ZINC000852732605 620643925 /nfs/dbraw/zinc/64/39/25/620643925.db2.gz VBDRUBKJIJSUDC-COXVUDFISA-N 0 1 319.836 3.431 20 30 DGEDMN C#CCCN(CCOC)Cc1cncc(OCc2ccccc2)c1 ZINC000852749309 620645477 /nfs/dbraw/zinc/64/54/77/620645477.db2.gz VUGCTQYHOAMVBZ-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C=CCN(CC(=O)N[C@H](c1ccc(C)cc1)C1CC1)C(C)C ZINC000917068786 620654783 /nfs/dbraw/zinc/65/47/83/620654783.db2.gz BRYNTLXJSLEVGO-LJQANCHMSA-N 0 1 300.446 3.459 20 30 DGEDMN C=CCN(CC(=O)N[C@@H](c1ccc(C)cc1)C1CC1)C(C)C ZINC000917068785 620654981 /nfs/dbraw/zinc/65/49/81/620654981.db2.gz BRYNTLXJSLEVGO-IBGZPJMESA-N 0 1 300.446 3.459 20 30 DGEDMN C=CCN(CC(=O)N(C)CCOc1ccc(Cl)cc1)C(C)C ZINC000917071031 620655256 /nfs/dbraw/zinc/65/52/56/620655256.db2.gz MNXLMHBDXWIQJQ-UHFFFAOYSA-N 0 1 324.852 3.074 20 30 DGEDMN CC(C)[C@@H](C)[N@H+](C)CC(=O)[C@@H](C#N)c1nc2ccccc2s1 ZINC000917102099 620656767 /nfs/dbraw/zinc/65/67/67/620656767.db2.gz XMZCDBXVIWBDKM-CHWSQXEVSA-N 0 1 315.442 3.449 20 30 DGEDMN CC(=NNc1ccc(F)cc1F)c1ccc(-n2cncn2)cc1 ZINC000917208986 620659922 /nfs/dbraw/zinc/65/99/22/620659922.db2.gz IKRRIWXMHLJOCS-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN C#CCCCC(=O)O[C@H](CN(C)C)c1cc(F)c(F)c(F)c1 ZINC000853212763 620677569 /nfs/dbraw/zinc/67/75/69/620677569.db2.gz FHROGYFVGBGYSA-CQSZACIVSA-N 0 1 313.319 3.053 20 30 DGEDMN CC(Cc1ccc(Cl)c(C(F)(F)F)c1)=Nn1cnnc1 ZINC000853253724 620682245 /nfs/dbraw/zinc/68/22/45/620682245.db2.gz BPRLQAWBURGXTM-UHFFFAOYSA-N 0 1 302.687 3.417 20 30 DGEDMN Cc1cc(N=NCc2cccc(OC3CC3)c2F)nc(C)n1 ZINC000853290686 620684353 /nfs/dbraw/zinc/68/43/53/620684353.db2.gz GLMMEZFYULPQBF-UHFFFAOYSA-N 0 1 300.337 3.220 20 30 DGEDMN COc1ccc2nc(NN=C3CCCn4nccc43)c(C)cc2c1 ZINC000853329060 620687117 /nfs/dbraw/zinc/68/71/17/620687117.db2.gz VSKCDGXWKMFNBQ-UHFFFAOYSA-N 0 1 321.384 3.358 20 30 DGEDMN Clc1cc(N=NC2CCN(Cc3ccccn3)CC2)ccn1 ZINC000853394416 620691525 /nfs/dbraw/zinc/69/15/25/620691525.db2.gz OFRKHKTXZGWPDK-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2cccc(Cl)c2)cc1 ZINC000918185440 620700955 /nfs/dbraw/zinc/70/09/55/620700955.db2.gz NYFSKMAKOPDAGL-UHFFFAOYSA-N 0 1 303.793 3.243 20 30 DGEDMN C=CC[C@@H]1CCCN(CN2C(=O)C(C)(C)[C@H]2c2ccncc2)C1 ZINC000853537259 620707876 /nfs/dbraw/zinc/70/78/76/620707876.db2.gz SMPFYRXXHYGQDB-NVXWUHKLSA-N 0 1 313.445 3.237 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CC3(CCC3)OC[C@H]2C)c1O ZINC000853542442 620708617 /nfs/dbraw/zinc/70/86/17/620708617.db2.gz POVJYXYPBBYZCK-CQSZACIVSA-N 0 1 317.429 3.273 20 30 DGEDMN COC(=O)c1cc2ccccc2c(CN2CC[C@](C)(C#N)C2)c1O ZINC000853599533 620714467 /nfs/dbraw/zinc/71/44/67/620714467.db2.gz RDMAHAPTAFVZFZ-LJQANCHMSA-N 0 1 324.380 3.068 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000891716441 617878707 /nfs/dbraw/zinc/87/87/07/617878707.db2.gz QAKGLVQEZGUEFF-RHSMWYFYSA-N 0 1 309.454 3.115 20 30 DGEDMN Cc1ccnc(-c2n[nH]c([C@H](C)N[C@H](C)CC(C)(C)C#N)n2)c1 ZINC000926311768 617935263 /nfs/dbraw/zinc/93/52/63/617935263.db2.gz ZCDGLQJIVJVUND-OLZOCXBDSA-N 0 1 312.421 3.154 20 30 DGEDMN N#Cc1ccc(CN(c2nc(Cl)nc3[nH]cnc32)C2CC2)cc1 ZINC000892102807 617962087 /nfs/dbraw/zinc/96/20/87/617962087.db2.gz GRUXFHIIPALHEA-UHFFFAOYSA-N 0 1 324.775 3.047 20 30 DGEDMN C#C[C@@H](CC)NC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000854068336 620766582 /nfs/dbraw/zinc/76/65/82/620766582.db2.gz ABIBGHXTXNGJJH-BBRMVZONSA-N 0 1 310.401 3.130 20 30 DGEDMN N#Cc1cc(CN2CCC(OC[C@H]3CCCCO3)CC2)cs1 ZINC000892185771 617980079 /nfs/dbraw/zinc/98/00/79/617980079.db2.gz QTRJFEPWOSWSNW-MRXNPFEDSA-N 0 1 320.458 3.170 20 30 DGEDMN CC#CCN1CCC(c2nccc(-c3cccc(F)c3)n2)CC1 ZINC000854106068 620769760 /nfs/dbraw/zinc/76/97/60/620769760.db2.gz BTAUJNXNWVTLGR-UHFFFAOYSA-N 0 1 309.388 3.485 20 30 DGEDMN Cc1sc(Cl)nc1C(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000892474248 618075120 /nfs/dbraw/zinc/07/51/20/618075120.db2.gz AMOONJDVNCGVQM-SECBINFHSA-N 0 1 306.778 3.002 20 30 DGEDMN N#CC(C(=O)CC[C@@H]1CCc2ccccc21)C(=O)NC1CCCC1 ZINC000892530306 618100318 /nfs/dbraw/zinc/10/03/18/618100318.db2.gz MXSNTNBJAIFFCQ-YJBOKZPZSA-N 0 1 324.424 3.264 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2C[C@@H](C)OC[C@@H]2C)c1O ZINC000919938634 620773159 /nfs/dbraw/zinc/77/31/59/620773159.db2.gz NVSLVXNUDQNDQP-UONOGXRCSA-N 0 1 305.418 3.129 20 30 DGEDMN C#CCCOc1ccc(CN=Nc2nc3ccccc3[nH]2)cc1 ZINC000920362027 620797486 /nfs/dbraw/zinc/79/74/86/620797486.db2.gz HMFZRBHXCPKWKK-UHFFFAOYSA-N 0 1 304.353 3.411 20 30 DGEDMN COC(=O)c1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1C ZINC000893190715 618468686 /nfs/dbraw/zinc/46/86/86/618468686.db2.gz FZQDETGTBVRZDG-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN Cc1cc(C#N)nc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)c1 ZINC000895061237 618522756 /nfs/dbraw/zinc/52/27/56/618522756.db2.gz GEMKAKWTWSOFNA-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN CSc1ccc(CN(C)C[C@H](O)c2cccc(C#N)c2)o1 ZINC000895133266 618547247 /nfs/dbraw/zinc/54/72/47/618547247.db2.gz HXDJUIDYXBYGEU-HNNXBMFYSA-N 0 1 302.399 3.039 20 30 DGEDMN O=C1[C@@H](NCc2ccc(-c3ccc(F)cc3)s2)CCCN1O ZINC000895164671 618561240 /nfs/dbraw/zinc/56/12/40/618561240.db2.gz GDUIFOMRPSYRDI-AWEZNQCLSA-N 0 1 320.389 3.024 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)Nc1n[nH]c2cc(O)ccc21 ZINC000854581556 620820033 /nfs/dbraw/zinc/82/00/33/620820033.db2.gz PAOASWVLOZQCLA-CQSZACIVSA-N 0 1 318.377 3.178 20 30 DGEDMN CC[N@@H+](Cc1cc(Br)cc(F)c1[O-])C[C@H](C)C#N ZINC000893996009 618688962 /nfs/dbraw/zinc/68/89/62/618688962.db2.gz XQXYVMSLWJVMNL-SECBINFHSA-N 0 1 315.186 3.275 20 30 DGEDMN CC[N@H+](Cc1cc(Br)cc(F)c1[O-])C[C@H](C)C#N ZINC000893996009 618688964 /nfs/dbraw/zinc/68/89/64/618688964.db2.gz XQXYVMSLWJVMNL-SECBINFHSA-N 0 1 315.186 3.275 20 30 DGEDMN CCOC(=O)[C@]1(C(C)C)CC[N@@H+](Cc2csc(C#N)c2)C1 ZINC000894068366 618703856 /nfs/dbraw/zinc/70/38/56/618703856.db2.gz VDQPRERUJYLPEN-MRXNPFEDSA-N 0 1 306.431 3.031 20 30 DGEDMN Cc1ccc(C2=NO[C@H](CNCc3ccc(C#N)cc3)C2)cc1 ZINC000895493821 618791479 /nfs/dbraw/zinc/79/14/79/618791479.db2.gz AGSUNIVHQZCHPZ-SFHVURJKSA-N 0 1 305.381 3.150 20 30 DGEDMN N#Cc1cc2ccccc2nc1N[C@H]1CCCN2CCSC[C@H]12 ZINC000895571159 618799880 /nfs/dbraw/zinc/79/98/80/618799880.db2.gz CGYWMZQTUIPROA-DLBZAZTESA-N 0 1 324.453 3.098 20 30 DGEDMN C=C(C)C[C@H](NCc1cnc(-c2ccccc2)[nH]1)C(=O)OCC ZINC000895598219 618804230 /nfs/dbraw/zinc/80/42/30/618804230.db2.gz PJVDGCAMCQKIOE-INIZCTEOSA-N 0 1 313.401 3.064 20 30 DGEDMN CSc1ccc(CNCc2ccnc(F)c2C)cc1C#N ZINC000895776353 618829647 /nfs/dbraw/zinc/82/96/47/618829647.db2.gz CRAGHNJRMPDTOY-UHFFFAOYSA-N 0 1 301.390 3.413 20 30 DGEDMN C=C1CCN(C(=O)NCc2ccc(Nc3ccncc3)cc2)CC1 ZINC000895916059 618848880 /nfs/dbraw/zinc/84/88/80/618848880.db2.gz UOXJHKWTAULZDA-UHFFFAOYSA-N 0 1 322.412 3.109 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1nc2c(s1)CCCC2 ZINC000896825663 618965777 /nfs/dbraw/zinc/96/57/77/618965777.db2.gz WWKRIDHDKVMZEP-CYBMUJFWSA-N 0 1 319.474 3.210 20 30 DGEDMN CC#CCN(C)Cc1ccc(OCc2ccncc2)c(OC)c1 ZINC000897166040 619023662 /nfs/dbraw/zinc/02/36/62/619023662.db2.gz HQQWPOXIOIFSLN-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cnc(C(C)(C)C)nc2)c(O)c1 ZINC000840716222 619032874 /nfs/dbraw/zinc/03/28/74/619032874.db2.gz KDPPOONDSBQLER-VMPITWQZSA-N 0 1 312.369 3.384 20 30 DGEDMN C#CCC1(NCc2nnn(-c3ccccc3)c2C2CC2)CCC1 ZINC000897350869 619070019 /nfs/dbraw/zinc/07/00/19/619070019.db2.gz MOZUDVRJBCBQTG-UHFFFAOYSA-N 0 1 306.413 3.180 20 30 DGEDMN Oc1c(Cl)cccc1C=NN1C(=S)N=NC1c1ccco1 ZINC000753161493 619120536 /nfs/dbraw/zinc/12/05/36/619120536.db2.gz QPYIWWODOFMAQI-UHFFFAOYSA-N 0 1 320.761 3.068 20 30 DGEDMN COC1(CN2CCO[C@H](c3ccc(C#N)cc3)C2)CCCCC1 ZINC000897596379 619164831 /nfs/dbraw/zinc/16/48/31/619164831.db2.gz PFABYZGTLKOIAU-SFHVURJKSA-N 0 1 314.429 3.281 20 30 DGEDMN O=C1C=COC2(CCN(C/C=C\c3cccc(F)c3)CC2)C1 ZINC000897599102 619165177 /nfs/dbraw/zinc/16/51/77/619165177.db2.gz AVCQCEJTBVZTOA-RQOWECAXSA-N 0 1 301.361 3.177 20 30 DGEDMN O=C1C=COC2(CCN(C/C=C/c3ccc(F)c(F)c3)CC2)C1 ZINC000897599143 619165219 /nfs/dbraw/zinc/16/52/19/619165219.db2.gz COYSIXKLJXIWTL-OWOJBTEDSA-N 0 1 319.351 3.316 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@@H]2CCOC23CCCCC3)c1 ZINC000897728078 619175665 /nfs/dbraw/zinc/17/56/65/619175665.db2.gz YRIYDZJMDGMWQO-CQSZACIVSA-N 0 1 305.809 3.188 20 30 DGEDMN CC(C)(C)N1CC[C@H]1C(=O)N[C@@H](CCCC#N)c1ccccc1 ZINC000897840172 619187382 /nfs/dbraw/zinc/18/73/82/619187382.db2.gz AJPFWPGTAVZIEH-IRXDYDNUSA-N 0 1 313.445 3.411 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CC[C@@H](c2nc[nH]n2)C1)c1ccccc1 ZINC000898042937 619209045 /nfs/dbraw/zinc/20/90/45/619209045.db2.gz YZFGGLORYIEIES-SJORKVTESA-N 0 1 324.428 3.261 20 30 DGEDMN N#Cc1cnc(Cl)c(C[N@H+]2CCCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000898513336 619282299 /nfs/dbraw/zinc/28/22/99/619282299.db2.gz ROXTZRGEATZEOT-HUUCEWRRSA-N 0 1 319.836 3.245 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000898513336 619282300 /nfs/dbraw/zinc/28/23/00/619282300.db2.gz ROXTZRGEATZEOT-HUUCEWRRSA-N 0 1 319.836 3.245 20 30 DGEDMN C#CCCc1cc(=O)n(Cc2c(OC)ccc3ccccc32)[nH]1 ZINC000899355911 619328434 /nfs/dbraw/zinc/32/84/34/619328434.db2.gz DWDSKWPKVHZETA-UHFFFAOYSA-N 0 1 306.365 3.350 20 30 DGEDMN Cc1[nH]n(-c2cc(Br)ccc2F)c(=O)c1CCC#N ZINC000899361734 619330842 /nfs/dbraw/zinc/33/08/42/619330842.db2.gz BVTITGLWYYJUIW-SNVBAGLBSA-N 0 1 324.153 3.231 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1cnn(C2CCC2)c1 ZINC000899405739 619337510 /nfs/dbraw/zinc/33/75/10/619337510.db2.gz GFHLITGPBLJYJQ-PLNGDYQASA-N 0 1 304.296 3.488 20 30 DGEDMN Cc1ccnc(NN=Cc2cnc(CC(F)(F)F)s2)c1 ZINC000901197562 619477210 /nfs/dbraw/zinc/47/72/10/619477210.db2.gz BHVXELYZRRZQAT-UHFFFAOYSA-N 0 1 300.309 3.397 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1ccccc1CN1CCC(O)CC1 ZINC000901255454 619481723 /nfs/dbraw/zinc/48/17/23/619481723.db2.gz KMWGCGZGPPCHOB-UHFFFAOYSA-N 0 1 316.445 3.184 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2ccc(O)cc2O)c(C2CCCC2)n1 ZINC000901481840 619504080 /nfs/dbraw/zinc/50/40/80/619504080.db2.gz FMGKAFGNKMNPSW-RMKNXTFCSA-N 0 1 312.369 3.385 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000901702197 619535132 /nfs/dbraw/zinc/53/51/32/619535132.db2.gz XNTVRKXLXKLZKR-KRWDZBQOSA-N 0 1 321.804 3.039 20 30 DGEDMN C=CCOc1cccc(C[N@H+]2CC3(CCC3)[C@](F)(C(=O)[O-])C2)c1 ZINC000901917261 619560604 /nfs/dbraw/zinc/56/06/04/619560604.db2.gz XXDMOOFORRLOJZ-GOSISDBHSA-N 0 1 319.376 3.030 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ccc(O[C@H](C)C(=O)[O-])cc2)C1 ZINC000902280820 619610386 /nfs/dbraw/zinc/61/03/86/619610386.db2.gz FTVFBMXXWUOZAA-HUUCEWRRSA-N 0 1 303.402 3.327 20 30 DGEDMN CCN(CC)c1ccc(C=NNCCc2ccncc2)cc1F ZINC000789094776 625360020 /nfs/dbraw/zinc/36/00/20/625360020.db2.gz YWNVNWPTDLOISI-UHFFFAOYSA-N 0 1 314.408 3.233 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](Cc2cc(C)c(OCC(=O)[O-])c(C)c2)C1 ZINC000902489672 619646338 /nfs/dbraw/zinc/64/63/38/619646338.db2.gz NIGFNEAFHXAWDE-OAHLLOKOSA-N 0 1 303.402 3.165 20 30 DGEDMN C=CC[N@H+](Cc1ccc(C(=O)[O-])c(Br)c1)C1CC1 ZINC000902527855 619649237 /nfs/dbraw/zinc/64/92/37/619649237.db2.gz MONSYPPRTNMYRG-UHFFFAOYSA-N 0 1 310.191 3.298 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CC[C@H]3[C@@H](C(=O)[O-])[C@H]3C2)cc1Cl ZINC000902555016 619651408 /nfs/dbraw/zinc/65/14/08/619651408.db2.gz UHCXWWKHCYLJMZ-DVOMOZLQSA-N 0 1 321.804 3.057 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2cc(C#N)ccn2)c1 ZINC000902866700 619684134 /nfs/dbraw/zinc/68/41/34/619684134.db2.gz LVIWTDALWMZYAP-PBHICJAKSA-N 0 1 320.396 3.485 20 30 DGEDMN C[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cc(C#N)ccn1 ZINC000902989675 619699843 /nfs/dbraw/zinc/69/98/43/619699843.db2.gz KUAPOMXDXKFKEY-SCLBCKFNSA-N 0 1 312.392 3.046 20 30 DGEDMN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1cc(C#N)ccn1 ZINC000902999872 619703033 /nfs/dbraw/zinc/70/30/33/619703033.db2.gz QYCCBJGTRYBLDW-PRXAMGSTSA-N 0 1 313.426 3.368 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C2CC2)[nH]1)[C@@]1(C)CCCCO1 ZINC000903004476 619703888 /nfs/dbraw/zinc/70/38/88/619703888.db2.gz BVYADHALQUMVBG-RHSMWYFYSA-N 0 1 304.438 3.066 20 30 DGEDMN C[C@H](COc1c(F)cccc1F)N[C@H](C)c1cc(C#N)ccn1 ZINC000903050235 619710658 /nfs/dbraw/zinc/71/06/58/619710658.db2.gz VTHFTXYLMMDQAZ-VXGBXAGGSA-N 0 1 317.339 3.350 20 30 DGEDMN COCCOc1ccc([C@H](C)NCC2(C#N)CCCC2)cc1 ZINC000903108146 619717971 /nfs/dbraw/zinc/71/79/71/619717971.db2.gz NMLCHHRWFXBWJM-HNNXBMFYSA-N 0 1 302.418 3.446 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCC[C@H](CCC(=O)[O-])C1 ZINC000903405293 619771472 /nfs/dbraw/zinc/77/14/72/619771472.db2.gz PCRFQGXJXPTTAL-OAHLLOKOSA-N 0 1 300.402 3.252 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+]2CCCC[C@@H]2CCC(=O)[O-])c1 ZINC000903409628 619772151 /nfs/dbraw/zinc/77/21/51/619772151.db2.gz ZZIJQSBRLNBPLS-OAHLLOKOSA-N 0 1 306.793 3.431 20 30 DGEDMN O=C([C@@H]1CCc2[nH]cnc2C1)N(O)Cc1cccc2ccccc21 ZINC000904172441 619892024 /nfs/dbraw/zinc/89/20/24/619892024.db2.gz AUNXCAXXSIKHSY-CQSZACIVSA-N 0 1 321.380 3.086 20 30 DGEDMN O=C1N/C(=N/C2CCCC2)SC1=Cc1ccc2nc[nH]c2c1 ZINC000905069397 619970314 /nfs/dbraw/zinc/97/03/14/619970314.db2.gz DLYXNCWVBRIECI-ZSOIEALJSA-N 0 1 312.398 3.065 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cnc(C3CC3)s2)n1 ZINC000905501510 620002437 /nfs/dbraw/zinc/00/24/37/620002437.db2.gz MXWWHRLMCWVNHT-UHFFFAOYSA-N 0 1 317.418 3.101 20 30 DGEDMN CN(Cc1cn(CC2CCC(C#N)CC2)nn1)C1CCCCC1 ZINC000905814541 620033795 /nfs/dbraw/zinc/03/37/95/620033795.db2.gz ASJBVUZMNLJKNM-UHFFFAOYSA-N 0 1 315.465 3.373 20 30 DGEDMN CC(C)N1CCC[C@H]1C(=O)N(CC#N)c1ccc(Cl)cc1 ZINC000905972710 620045752 /nfs/dbraw/zinc/04/57/52/620045752.db2.gz GLMGSTKGUPKLKT-HNNXBMFYSA-N 0 1 305.809 3.069 20 30 DGEDMN CCC(=O)c1cccc(C#N)c1OCC[C@@H]1CCCC[N@H+]1C ZINC000906507431 620101528 /nfs/dbraw/zinc/10/15/28/620101528.db2.gz CFFGXEWEAMXIQG-HNNXBMFYSA-N 0 1 300.402 3.404 20 30 DGEDMN O=C1C=COC2(CCN([C@@H]3C[C@H]3c3cccc(F)c3)CC2)C1 ZINC000906598755 620109990 /nfs/dbraw/zinc/10/99/90/620109990.db2.gz ZHKVBKFAOXSBPW-DLBZAZTESA-N 0 1 301.361 3.019 20 30 DGEDMN Cc1ccc2nc(CNC(=O)[C@@]3(C#N)CC4CCC3CC4)[nH]c2c1 ZINC000906868227 620125495 /nfs/dbraw/zinc/12/54/95/620125495.db2.gz ILFSLUOXKIEFKU-SVGDTCPJSA-N 0 1 322.412 3.208 20 30 DGEDMN C#CC1CCN(C(=O)c2cc(-c3c[nH]c4ccccc34)[nH]n2)CC1 ZINC000911547112 620336636 /nfs/dbraw/zinc/33/66/36/620336636.db2.gz JKODRQCNTYQZJM-UHFFFAOYSA-N 0 1 318.380 3.043 20 30 DGEDMN Oc1cccc2c1CCC2N=Nc1nc2cc(F)c(F)cc2[nH]1 ZINC000912822744 620408330 /nfs/dbraw/zinc/40/83/30/620408330.db2.gz LKNIUVZYZPQBOY-UHFFFAOYSA-N 0 1 314.295 3.309 20 30 DGEDMN C#CCSCCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000753679730 620459354 /nfs/dbraw/zinc/45/93/54/620459354.db2.gz NUWVOVUAZWLZRC-KRWDZBQOSA-N 0 1 300.402 3.266 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@H]2CC[C@H](C)C2)cc1 ZINC000151627334 620466828 /nfs/dbraw/zinc/46/68/28/620466828.db2.gz ONAQLPJCDKZCRN-HEHGZKQESA-N 0 1 314.385 3.169 20 30 DGEDMN CC1(C)CCC(CC(=O)[C@H](C#N)C(=O)NC2CCCC2)CC1 ZINC000152454561 620507922 /nfs/dbraw/zinc/50/79/22/620507922.db2.gz FRWQCYJWIOAMNN-HNNXBMFYSA-N 0 1 304.434 3.361 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000153323444 620550541 /nfs/dbraw/zinc/55/05/41/620550541.db2.gz FMRJEAZIMRSACJ-ZIAGYGMSSA-N 0 1 316.401 3.415 20 30 DGEDMN C=CCOc1ccccc1CNCc1cccc(C(=O)N(C)C)c1 ZINC000914796033 620555004 /nfs/dbraw/zinc/55/50/04/620555004.db2.gz SARIEZWLLBXJMX-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN CC[C@@H](C)OCC(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000153381357 620557223 /nfs/dbraw/zinc/55/72/23/620557223.db2.gz HGTLDWHNQWULTI-DGCLKSJQSA-N 0 1 315.398 3.196 20 30 DGEDMN CC1(C)CC(=NOC[C@@H]2CCOC2)CN1Cc1ccccc1 ZINC000788034193 620564750 /nfs/dbraw/zinc/56/47/50/620564750.db2.gz OLUIICVSPQTTHA-MRXNPFEDSA-N 0 1 302.418 3.080 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1ccc(OCC#N)cc1 ZINC000915915945 620606827 /nfs/dbraw/zinc/60/68/27/620606827.db2.gz ZEMRVYWWGYOVBI-UHFFFAOYSA-N 0 1 305.341 3.301 20 30 DGEDMN Cc1cc(N=NCc2c(Cl)n(C)nc2C(C)C)nc(C)n1 ZINC000915968217 620609597 /nfs/dbraw/zinc/60/95/97/620609597.db2.gz RCQUHYCACXUKNP-UHFFFAOYSA-N 0 1 306.801 3.050 20 30 DGEDMN CCCC(=NNCCN1CCCCC1)c1cccc([N+](=O)[O-])c1 ZINC000915974353 620610268 /nfs/dbraw/zinc/61/02/68/620610268.db2.gz RYWALLMOXUTQKV-UHFFFAOYSA-N 0 1 318.421 3.175 20 30 DGEDMN COc1cccc2cc(C(C)=NNCCN3CCCCC3)oc21 ZINC000915974409 620610335 /nfs/dbraw/zinc/61/03/35/620610335.db2.gz SVTMQGKZAHAGAQ-UHFFFAOYSA-N 0 1 315.417 3.241 20 30 DGEDMN CC(=[NH+][N-]c1ccc(Cl)cc1[N+](=O)[O-])c1csc(N)n1 ZINC000915975991 620610450 /nfs/dbraw/zinc/61/04/50/620610450.db2.gz NYXZWFDAUMCFFJ-UHFFFAOYSA-N 0 1 311.754 3.123 20 30 DGEDMN COc1cccc(C=NNc2ccc(Cl)cc2[N+](=O)[O-])c1O ZINC000915976740 620610637 /nfs/dbraw/zinc/61/06/37/620610637.db2.gz YMGZVIKKBTWJRB-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN Cc1ccc(CN=Nc2ccccc2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000916249532 620622576 /nfs/dbraw/zinc/62/25/76/620622576.db2.gz WCQLUXVJCAHMRB-UHFFFAOYSA-N 0 1 300.274 3.257 20 30 DGEDMN Fc1cccc(Cl)c1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000916305540 620625354 /nfs/dbraw/zinc/62/53/54/620625354.db2.gz VKWHFUGTXSJBLH-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN C=CCC[C@H](NC(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1C)c1ccccc1 ZINC000921561795 620909655 /nfs/dbraw/zinc/90/96/55/620909655.db2.gz LMAUARJRPDWUJY-OIISXLGYSA-N 0 1 301.434 3.086 20 30 DGEDMN Cc1cccn2cc(CNCc3c(C)cc(C#N)cc3C)nc12 ZINC000921759977 620945274 /nfs/dbraw/zinc/94/52/74/620945274.db2.gz WJGZHRVUHAHNFI-UHFFFAOYSA-N 0 1 304.397 3.421 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCC(=O)N(C)C1CCCCC1 ZINC000921817794 620954948 /nfs/dbraw/zinc/95/49/48/620954948.db2.gz FHOUAKFOGFRKAP-UHFFFAOYSA-N 0 1 313.445 3.056 20 30 DGEDMN C[C@@H]1CCC[C@H](CNCc2cc(C#N)ccc2Br)O1 ZINC000922249729 621012892 /nfs/dbraw/zinc/01/28/92/621012892.db2.gz KKQDZRYPMJXZHI-BXUZGUMPSA-N 0 1 323.234 3.368 20 30 DGEDMN C[C@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000114617912 621024758 /nfs/dbraw/zinc/02/47/58/621024758.db2.gz SVNFJQLZNYRQPY-CJNGLKHVSA-N 0 1 304.434 3.361 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1Cc2ccccc2[C@@H](C)C1 ZINC000857202148 621067320 /nfs/dbraw/zinc/06/73/20/621067320.db2.gz YWCOIKXVNVTUDU-HOTGVXAUSA-N 0 1 300.446 3.419 20 30 DGEDMN CC(=NNc1nc2cccnc2s1)c1cc2n(n1)CCCC2 ZINC000857218863 621069832 /nfs/dbraw/zinc/06/98/32/621069832.db2.gz XHIMNKBBSGMSJB-UHFFFAOYSA-N 0 1 312.402 3.060 20 30 DGEDMN N#Cc1csc(CNCC2(c3cccc(F)c3)CCC2)n1 ZINC000922707015 621086707 /nfs/dbraw/zinc/08/67/07/621086707.db2.gz QHHCWOSAECWOBV-UHFFFAOYSA-N 0 1 301.390 3.365 20 30 DGEDMN Cc1ccc(CNCc2cccc3c2OCCCO3)cc1C#N ZINC000922759330 621095320 /nfs/dbraw/zinc/09/53/20/621095320.db2.gz NVHNFZOBPHZQCD-UHFFFAOYSA-N 0 1 308.381 3.318 20 30 DGEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](Cc2c(C)cc(C#N)cc2C)C1 ZINC000923469626 621186457 /nfs/dbraw/zinc/18/64/57/621186457.db2.gz BPVXXXIQSJZRKQ-SFHVURJKSA-N 0 1 300.402 3.252 20 30 DGEDMN C[C@]1(C(=O)[C@H](C#N)c2nc3ccccc3s2)CCCCO1 ZINC000838782822 621279898 /nfs/dbraw/zinc/27/98/98/621279898.db2.gz NOENYEZXPRJFEM-MEDUHNTESA-N 0 1 300.383 3.432 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CCC1 ZINC000838866221 621293091 /nfs/dbraw/zinc/29/30/91/621293091.db2.gz UCNTZDYXILVABI-ABAIWWIYSA-N 0 1 318.804 3.101 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)c1cscn1 ZINC000103330141 621348857 /nfs/dbraw/zinc/34/88/57/621348857.db2.gz BDSHTCSCGYNKRG-ZDUSSCGKSA-N 0 1 321.361 3.257 20 30 DGEDMN CSCCCCCCC(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000860467562 621408616 /nfs/dbraw/zinc/40/86/16/621408616.db2.gz CVNHBNNLWZOLAI-HNNXBMFYSA-N 0 1 324.490 3.458 20 30 DGEDMN C#C[C@@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccc(C)cc1 ZINC000860961474 621462666 /nfs/dbraw/zinc/46/26/66/621462666.db2.gz CYHAGIGGKATEPV-VQIMIIECSA-N 0 1 317.404 3.425 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C2CC[C@@H](C)C2)n1 ZINC000753806347 621466111 /nfs/dbraw/zinc/46/61/11/621466111.db2.gz WKYJCQHQKFAMNS-QESQTXLRSA-N 0 1 318.398 3.242 20 30 DGEDMN Clc1cnccc1NN=C(C[C@H]1CCOC1)c1ccccc1 ZINC000753931569 621472108 /nfs/dbraw/zinc/47/21/08/621472108.db2.gz HRWNSBLIZXZXJA-CYBMUJFWSA-N 0 1 315.804 3.400 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1NN=Cc1cn2cccnc2n1 ZINC000753947945 621473163 /nfs/dbraw/zinc/47/31/63/621473163.db2.gz UQVCCBJCYDSGFN-UHFFFAOYSA-N 0 1 323.253 3.333 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)CCc1ccccc1 ZINC000754020703 621480581 /nfs/dbraw/zinc/48/05/81/621480581.db2.gz UXNTVBXFXXKNLZ-HXUWFJFHSA-N 0 1 311.400 3.255 20 30 DGEDMN CC(C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)=C1CCCC1 ZINC000754163580 621493445 /nfs/dbraw/zinc/49/34/45/621493445.db2.gz QULCEOTUQWDLFJ-CYBMUJFWSA-N 0 1 318.398 3.062 20 30 DGEDMN CC(C(=O)OCC(=O)C(C#N)c1nc(C)cs1)=C1CCCC1 ZINC000754163580 621493448 /nfs/dbraw/zinc/49/34/48/621493448.db2.gz QULCEOTUQWDLFJ-CYBMUJFWSA-N 0 1 318.398 3.062 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1c[nH]nc1-c1ccc(Br)s1 ZINC000862349130 621576257 /nfs/dbraw/zinc/57/62/57/621576257.db2.gz PXLDVRAFPYAXKP-SECBINFHSA-N 0 1 324.247 3.354 20 30 DGEDMN C[C@H](CCC(C)(C)C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000755613776 621581161 /nfs/dbraw/zinc/58/11/61/621581161.db2.gz IPILALFPCMRWNW-CHWSQXEVSA-N 0 1 301.390 3.191 20 30 DGEDMN C/C(=C/c1ccccc1)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000862421076 621588168 /nfs/dbraw/zinc/58/81/68/621588168.db2.gz FYTVPLRMYLIQJI-LGMDPLHJSA-N 0 1 318.424 3.179 20 30 DGEDMN CN(C)c1ncc(C=NNc2cc(F)c(F)cc2F)s1 ZINC000755730247 621588906 /nfs/dbraw/zinc/58/89/06/621588906.db2.gz HARSVSFZKCBJSO-UHFFFAOYSA-N 0 1 300.309 3.072 20 30 DGEDMN C[C@H]1CN(C2CC2)CC1N=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000755889096 621600134 /nfs/dbraw/zinc/60/01/34/621600134.db2.gz KNVLAUAKLPEMBY-VIFPVBQESA-N 0 1 308.769 3.130 20 30 DGEDMN Cc1ccnc(N=NC2CCN(Cc3ccccn3)C[C@@H]2C)c1 ZINC000755899928 621600952 /nfs/dbraw/zinc/60/09/52/621600952.db2.gz HEAIIWNDWFHTJG-HNNXBMFYSA-N 0 1 309.417 3.095 20 30 DGEDMN Brc1ccc(NN=C2CCCc3scnc32)nc1 ZINC000863089188 621638024 /nfs/dbraw/zinc/63/80/24/621638024.db2.gz JRZHLRCSIPDYKH-UHFFFAOYSA-N 0 1 323.219 3.453 20 30 DGEDMN CCOc1cc(CN=Nc2cc(C)nc(C)n2)c(F)cc1OC ZINC000863079792 621638083 /nfs/dbraw/zinc/63/80/83/621638083.db2.gz AOSWECNVOVVDJW-UHFFFAOYSA-N 0 1 318.352 3.086 20 30 DGEDMN CC(N=Nc1ccnc(C(F)(F)F)c1)c1cn2c(n1)CCCC2 ZINC000863179614 621645643 /nfs/dbraw/zinc/64/56/43/621645643.db2.gz ZWBQSKRCRXORCA-UHFFFAOYSA-N 0 1 323.322 3.469 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)/C=C/c1ccc(Cl)s1 ZINC000756544575 621646619 /nfs/dbraw/zinc/64/66/19/621646619.db2.gz PMWWHCOVNVHIBR-WMADIVHISA-N 0 1 309.818 3.056 20 30 DGEDMN C=C(C)[C@@H](CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)OCC ZINC000756562937 621649330 /nfs/dbraw/zinc/64/93/30/621649330.db2.gz RVGRGKFPSSAXIJ-XJKSGUPXSA-N 0 1 311.385 3.419 20 30 DGEDMN CCOc1cccc(/C=N\[C@@H]2CN(C)Cc3ccccc32)c1O ZINC000756840704 621667452 /nfs/dbraw/zinc/66/74/52/621667452.db2.gz GJISIAYCGANHQI-LELXDKESSA-N 0 1 310.397 3.397 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2ccc(F)cc2)c(OC)c1 ZINC000756897755 621670790 /nfs/dbraw/zinc/67/07/90/621670790.db2.gz NILLUCKIUQFBKA-UHFFFAOYSA-N 0 1 316.332 3.457 20 30 DGEDMN CCC1(C(=O)OCC(=O)[C@@H](C#N)c2nc(C)cs2)CCCC1 ZINC000757125133 621688604 /nfs/dbraw/zinc/68/86/04/621688604.db2.gz JWRQWGJNZCEAJB-GFCCVEGCSA-N 0 1 320.414 3.141 20 30 DGEDMN CCC1(C(=O)OCC(=O)C(C#N)c2nc(C)cs2)CCCC1 ZINC000757125133 621688609 /nfs/dbraw/zinc/68/86/09/621688609.db2.gz JWRQWGJNZCEAJB-GFCCVEGCSA-N 0 1 320.414 3.141 20 30 DGEDMN CN(CCCN(C)C(=O)OC(C)(C)C)Cc1ccccc1C#N ZINC000839654280 621704339 /nfs/dbraw/zinc/70/43/39/621704339.db2.gz FTWWLZZCYDBICU-UHFFFAOYSA-N 0 1 317.433 3.247 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@H](c2ccc(O)cc2)C2CC2)c1 ZINC000839696778 621708834 /nfs/dbraw/zinc/70/88/34/621708834.db2.gz PNIVALCUGXMYFM-INIZCTEOSA-N 0 1 322.364 3.492 20 30 DGEDMN C#C[C@@H](CC)NCc1c(C)nn(-c2ccc(F)cc2F)c1C ZINC000809686165 621711276 /nfs/dbraw/zinc/71/12/76/621711276.db2.gz WODJVFBSQRMKJH-AWEZNQCLSA-N 0 1 303.356 3.269 20 30 DGEDMN COCCOc1ccccc1/C=C\C(=O)c1cc(F)ccc1O ZINC000757467818 621714460 /nfs/dbraw/zinc/71/44/60/621714460.db2.gz NABCJMJBYHXWAN-VURMDHGXSA-N 0 1 316.328 3.453 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccc(-n3cncn3)c(F)c2)c(O)c1 ZINC000757476845 621716061 /nfs/dbraw/zinc/71/60/61/621716061.db2.gz UULGKRBVLQTETI-DAXSKMNVSA-N 0 1 323.327 3.317 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(Cl)nn2)CN1Cc1ccccc1 ZINC000757559938 621724347 /nfs/dbraw/zinc/72/43/47/621724347.db2.gz AWRXGGGEODLNND-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccccc2C(=O)[O-])C[N@H+]1Cc1ccccc1 ZINC000757570344 621725306 /nfs/dbraw/zinc/72/53/06/621725306.db2.gz WRYHBTVLKPXRMD-CQSZACIVSA-N 0 1 323.396 3.447 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](c1ccc(C)o1)C1CCCC1 ZINC000757656853 621731688 /nfs/dbraw/zinc/73/16/88/621731688.db2.gz OTGKFXYUCCSTCX-INIZCTEOSA-N 0 1 311.447 3.010 20 30 DGEDMN COc1ccc(NN=Cc2nc(Cl)cs2)c([N+](=O)[O-])c1 ZINC000758116213 621758627 /nfs/dbraw/zinc/75/86/27/621758627.db2.gz DHWAUZOSMQHYTL-UHFFFAOYSA-N 0 1 312.738 3.159 20 30 DGEDMN COC(=O)C1CC(/N=C\c2cc(Cl)cc(Cl)c2O)C1 ZINC000864347073 621759254 /nfs/dbraw/zinc/75/92/54/621759254.db2.gz JRUGYAXAQRLVCZ-SOFYXZRVSA-N 0 1 302.157 3.070 20 30 DGEDMN Cc1ccc(C)c([C@H]2CCCN2Cn2ccc(C)c(C#N)c2=O)c1 ZINC000758237965 621769452 /nfs/dbraw/zinc/76/94/52/621769452.db2.gz RUDGYEYAGLADQY-LJQANCHMSA-N 0 1 321.424 3.440 20 30 DGEDMN COC(=O)[C@H](C)Oc1ccc(CN=Nc2ccccc2F)cc1 ZINC000758374674 621778540 /nfs/dbraw/zinc/77/85/40/621778540.db2.gz UJLZIIGPLZRSEE-LBPRGKRZSA-N 0 1 316.332 3.212 20 30 DGEDMN C=C(Cl)CNC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000759266224 621841402 /nfs/dbraw/zinc/84/14/02/621841402.db2.gz CTJXZEDBUJGJIG-MRXNPFEDSA-N 0 1 321.852 3.045 20 30 DGEDMN CCCC1(C(=O)OCC(=O)[C@@H](C#N)c2nc(C)cs2)CCC1 ZINC000759448226 621859744 /nfs/dbraw/zinc/85/97/44/621859744.db2.gz JYOAXHPGXUCGBT-GFCCVEGCSA-N 0 1 320.414 3.141 20 30 DGEDMN CCCC1(C(=O)OCC(=O)C(C#N)c2nc(C)cs2)CCC1 ZINC000759448226 621859748 /nfs/dbraw/zinc/85/97/48/621859748.db2.gz JYOAXHPGXUCGBT-GFCCVEGCSA-N 0 1 320.414 3.141 20 30 DGEDMN Fc1c(Cl)cccc1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000759647186 621879032 /nfs/dbraw/zinc/87/90/32/621879032.db2.gz VVSOMINGOYBENL-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN COc1cccc2c1CCC2=NN=c1[nH]c2ccccc2n1C ZINC000759654305 621879544 /nfs/dbraw/zinc/87/95/44/621879544.db2.gz ZTORLNPRFJUTKN-UHFFFAOYSA-N 0 1 306.369 3.344 20 30 DGEDMN Cc1ccc(F)c(N=NCc2ccc(-n3cncn3)c(C)c2)c1 ZINC000759665632 621880399 /nfs/dbraw/zinc/88/03/99/621880399.db2.gz PXVGPMBUIYGRDP-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN C[C@]1(C(=O)Nc2cc(C#N)ccc2O)CCCc2ccccc21 ZINC000759713246 621884913 /nfs/dbraw/zinc/88/49/13/621884913.db2.gz LDQSXCGORLNSPA-IBGZPJMESA-N 0 1 306.365 3.497 20 30 DGEDMN Cc1nc(C2CC2)oc1C([O-])=C(C#N)C(=O)Nc1cccc(C)c1 ZINC000760198577 621920629 /nfs/dbraw/zinc/92/06/29/621920629.db2.gz XHCJXPLFOSEDHW-AWEZNQCLSA-N 0 1 323.352 3.130 20 30 DGEDMN Cc1nc(C2CC2)oc1C(=O)C(C#N)C(=O)Nc1cccc(C)c1 ZINC000760198577 621920631 /nfs/dbraw/zinc/92/06/31/621920631.db2.gz XHCJXPLFOSEDHW-AWEZNQCLSA-N 0 1 323.352 3.130 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cccc(-n3cccn3)c2)c(O)c1 ZINC000760264650 621926193 /nfs/dbraw/zinc/92/61/93/621926193.db2.gz KUAYUZIXCLQXLZ-TWGQIWQCSA-N 0 1 320.348 3.483 20 30 DGEDMN N#C[C@@H](C(=O)CCn1ccc2cc(Cl)ccc21)c1ccncn1 ZINC000760334597 621932586 /nfs/dbraw/zinc/93/25/86/621932586.db2.gz PVAJFEVHBKPVHY-CQSZACIVSA-N 0 1 324.771 3.351 20 30 DGEDMN N#CC(C(=O)CCCOc1ccccc1Cl)c1ccncn1 ZINC000760359879 621935246 /nfs/dbraw/zinc/93/52/46/621935246.db2.gz VDRDCLWZEMEJEZ-LBPRGKRZSA-N 0 1 315.760 3.165 20 30 DGEDMN NC(=NOCCCCCF)c1ccc(N2CCCCC2)cc1 ZINC000789595599 625532133 /nfs/dbraw/zinc/53/21/33/625532133.db2.gz KPXDXUUCUIQATJ-UHFFFAOYSA-N 0 1 307.413 3.454 20 30 DGEDMN Cn1cc(N=NCc2cccc(OCc3ccccc3)c2)cn1 ZINC000761866438 622035781 /nfs/dbraw/zinc/03/57/81/622035781.db2.gz RDXBHERWYDAXOG-UHFFFAOYSA-N 0 1 306.369 3.445 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCc1nc(C(C)(C)C)cs1 ZINC000120950987 622060752 /nfs/dbraw/zinc/06/07/52/622060752.db2.gz GGJHTYRCRAHVMO-LBPRGKRZSA-N 0 1 324.494 3.104 20 30 DGEDMN N#Cc1ccc(CNC[C@@H](O)c2ccc(Cl)c(F)c2)c(F)c1 ZINC000762632980 622088398 /nfs/dbraw/zinc/08/83/98/622088398.db2.gz XMTFSMNDNKJOLX-MRXNPFEDSA-N 0 1 322.742 3.313 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1cccc(SC(F)(F)F)c1 ZINC000866325479 622094254 /nfs/dbraw/zinc/09/42/54/622094254.db2.gz CGOAIJSFLXNYKA-SNVBAGLBSA-N 0 1 318.364 3.251 20 30 DGEDMN CC[C@H](N[C@@H](C)c1cccc(C#N)c1O)C(=O)OC(C)(C)C ZINC000866382401 622107151 /nfs/dbraw/zinc/10/71/51/622107151.db2.gz XPOZPWFIENBHJK-FZMZJTMJSA-N 0 1 304.390 3.035 20 30 DGEDMN COc1cc(-c2ccccc2)sc1C(=O)N=C1C[C@@H](C)ON1 ZINC000763260613 622133774 /nfs/dbraw/zinc/13/37/74/622133774.db2.gz OEIMMVBOCZTVPJ-SNVBAGLBSA-N 0 1 316.382 3.276 20 30 DGEDMN C=C(C)[C@H](NC[C@@H]1CC2(CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000763777405 622161963 /nfs/dbraw/zinc/16/19/63/622161963.db2.gz CZEOHJLGISQNID-WFASDCNBSA-N 0 1 307.340 3.267 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@@H](C)c2c(F)cccc2F)nc(C)n1 ZINC000764561389 622203079 /nfs/dbraw/zinc/20/30/79/622203079.db2.gz OCJQXRHFDYBNAK-CMPLNLGQSA-N 0 1 315.323 3.352 20 30 DGEDMN CC[C@H](C(=O)C(C#N)C(=O)N1CCCC1)C1CCC(C)CC1 ZINC000764760641 622217927 /nfs/dbraw/zinc/21/79/27/622217927.db2.gz NIEQDKVITYCLSM-CKUJCDMFSA-N 0 1 304.434 3.170 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc(-c3ccco3)nc3ccccc32)NO1 ZINC000765455966 622265887 /nfs/dbraw/zinc/26/58/87/622265887.db2.gz SNAJRUMAIGJBRU-LLVKDONJSA-N 0 1 321.336 3.347 20 30 DGEDMN Cc1c(Cl)cccc1NC(=S)NN=C1CCN2CCC[C@H]12 ZINC000765556412 622273500 /nfs/dbraw/zinc/27/35/00/622273500.db2.gz MRJMOMPELLDUNL-CQSZACIVSA-N 0 1 322.865 3.159 20 30 DGEDMN CC1(C)OCc2cc(C=NNc3ccc(F)cn3)ccc2O1 ZINC000766021790 622300547 /nfs/dbraw/zinc/30/05/47/622300547.db2.gz NHYNTWYKDAUIHF-UHFFFAOYSA-N 0 1 301.321 3.312 20 30 DGEDMN Cc1ccc(CN=Nc2ccccc2S(C)(=O)=O)cc1Cl ZINC000766450452 622330810 /nfs/dbraw/zinc/33/08/10/622330810.db2.gz JKZBVNYFANLEEC-UHFFFAOYSA-N 0 1 322.817 3.498 20 30 DGEDMN C=C(C)CNC(=S)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000766704705 622347604 /nfs/dbraw/zinc/34/76/04/622347604.db2.gz MTXZIGAPEXZATF-HOTGVXAUSA-N 0 1 317.502 3.032 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000767095691 622374862 /nfs/dbraw/zinc/37/48/62/622374862.db2.gz DSPQDFOTJGXLAI-UHFFFAOYSA-N 0 1 320.190 3.313 20 30 DGEDMN Cc1cc(C)nc(N=NC2C[C@@H](C)CN(Cc3ccccc3)C2)n1 ZINC000767351802 622391846 /nfs/dbraw/zinc/39/18/46/622391846.db2.gz LCDGBWMOHCQBTF-CQSZACIVSA-N 0 1 323.444 3.403 20 30 DGEDMN CC(C)(C#N)c1ccccc1COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000768041643 622435032 /nfs/dbraw/zinc/43/50/32/622435032.db2.gz WCZIPILACDUAST-CQSZACIVSA-N 0 1 323.396 3.374 20 30 DGEDMN CC(C)C[N@@H+](CCC#N)Cc1cc(Cl)cc([N+](=O)[O-])c1[O-] ZINC000768153818 622442226 /nfs/dbraw/zinc/44/22/26/622442226.db2.gz LAIIHGBEYDRHPT-UHFFFAOYSA-N 0 1 311.769 3.325 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccc(OC)c(F)c2)cc1 ZINC000768199852 622444906 /nfs/dbraw/zinc/44/49/06/622444906.db2.gz KIUFJFFXRRCDSU-UHFFFAOYSA-N 0 1 313.372 3.478 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)CSc1n[nH]c(=S)s1 ZINC000870282526 622451372 /nfs/dbraw/zinc/45/13/72/622451372.db2.gz LIDCJZFDXVIFAK-UHFFFAOYSA-N 0 1 317.505 3.028 20 30 DGEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccccc1 ZINC000789832469 625569075 /nfs/dbraw/zinc/56/90/75/625569075.db2.gz RLSMEUHDCNZMSV-MRXNPFEDSA-N 0 1 307.397 3.173 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@]23CCCc2ccccc23)c1 ZINC000870692870 622497067 /nfs/dbraw/zinc/49/70/67/622497067.db2.gz PVEUINCQSUVJKA-QUCCMNQESA-N 0 1 318.376 3.262 20 30 DGEDMN C#CCN(CC)Cc1cc(Br)c2c(c1)OCCCO2 ZINC000769108111 622499207 /nfs/dbraw/zinc/49/92/07/622499207.db2.gz ZDEONKPSANNERC-UHFFFAOYSA-N 0 1 324.218 3.066 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CC(C)(C)OCC2(C)C)cc1 ZINC000769446120 622528523 /nfs/dbraw/zinc/52/85/23/622528523.db2.gz NECRJMZXAKUMOE-CQSZACIVSA-N 0 1 302.418 3.367 20 30 DGEDMN Cn1cc(C=NNc2nc3ccccc3[nH]2)c(-c2cccs2)n1 ZINC000769568045 622541451 /nfs/dbraw/zinc/54/14/51/622541451.db2.gz XCQRZODSCANYEF-UHFFFAOYSA-N 0 1 322.397 3.471 20 30 DGEDMN O=C1c2ccccc2CCN1N=Cc1cc(-c2ccccc2)[nH]n1 ZINC000769591531 622542642 /nfs/dbraw/zinc/54/26/42/622542642.db2.gz ANECLDBFSPWHRO-UHFFFAOYSA-N 0 1 316.364 3.109 20 30 DGEDMN Cc1cc(NN=Cc2cc(O)ccc2Br)nc(C)n1 ZINC000769790846 622560629 /nfs/dbraw/zinc/56/06/29/622560629.db2.gz DSWLHLZKZMIMFR-UHFFFAOYSA-N 0 1 321.178 3.008 20 30 DGEDMN N#Cc1ccc(CNN=Cc2cc(-c3ccccc3)[nH]n2)cc1 ZINC000769820430 622564308 /nfs/dbraw/zinc/56/43/08/622564308.db2.gz FEALRDHPPMMNIN-UHFFFAOYSA-N 0 1 301.353 3.072 20 30 DGEDMN Cc1cccc(F)c1N=NCc1nccn1CC(F)(F)F ZINC000769840224 622566855 /nfs/dbraw/zinc/56/68/55/622566855.db2.gz INJGZMFTZBWYIX-UHFFFAOYSA-N 0 1 300.259 3.339 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1c(O)cccc1Cl ZINC000769912285 622575118 /nfs/dbraw/zinc/57/51/18/622575118.db2.gz FRDWWCYJQOMBHO-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN O=C1OCC[C@@H]1CCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000871597546 622644802 /nfs/dbraw/zinc/64/48/02/622644802.db2.gz SJSDJIJBKIKDBH-PCYPHTAMSA-N 0 1 316.184 3.461 20 30 DGEDMN COCCc1nc(C)c(C(C)N=Nc2ccc(C)cn2)s1 ZINC000770607543 622651674 /nfs/dbraw/zinc/65/16/74/622651674.db2.gz XWQBCHNXNANJEH-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN COCCc1nc(C)c(C(C)=N[N-]c2ccc(C)c[nH+]2)s1 ZINC000770607543 622651677 /nfs/dbraw/zinc/65/16/77/622651677.db2.gz XWQBCHNXNANJEH-UHFFFAOYSA-N 0 1 304.419 3.180 20 30 DGEDMN C=C(C)CNC(=S)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000871678109 622665038 /nfs/dbraw/zinc/66/50/38/622665038.db2.gz UQPNHEBPEVXQCH-CYBMUJFWSA-N 0 1 306.479 3.163 20 30 DGEDMN CN1CCN(c2ccc(/C=C/C(=O)c3cccc(O)c3)cc2)CC1 ZINC000771521075 622772092 /nfs/dbraw/zinc/77/20/92/622772092.db2.gz PYJAQXLEIHNOJF-JXMROGBWSA-N 0 1 322.408 3.040 20 30 DGEDMN c1cc2nc(N=NC3CCCN4CCCC[C@H]34)sc2cn1 ZINC000872412032 622908294 /nfs/dbraw/zinc/90/82/94/622908294.db2.gz DQSVNMFCBMMIDM-CYBMUJFWSA-N 0 1 301.419 3.108 20 30 DGEDMN c1cc2nc(N=NC3CCCN4CCCC[C@@H]34)sc2cn1 ZINC000872412033 622908305 /nfs/dbraw/zinc/90/83/05/622908305.db2.gz DQSVNMFCBMMIDM-ZDUSSCGKSA-N 0 1 301.419 3.108 20 30 DGEDMN CC(=NNc1nc2ccncc2s1)c1cnn(C(C)C)c1 ZINC000872414408 622909110 /nfs/dbraw/zinc/90/91/10/622909110.db2.gz QTPHZKKFVCTQEX-UHFFFAOYSA-N 0 1 300.391 3.305 20 30 DGEDMN COCCCn1nccc1CN=Nc1cc(Cl)ccc1F ZINC000872418379 622911077 /nfs/dbraw/zinc/91/10/77/622911077.db2.gz NBZALCSPJSVOLN-UHFFFAOYSA-N 0 1 310.760 3.158 20 30 DGEDMN COc1cccc2c1C/C(=C/c1cc(O)ccc1[N+](=O)[O-])C2=O ZINC000772799229 622941596 /nfs/dbraw/zinc/94/15/96/622941596.db2.gz AAUZPZYRWAAFMJ-XFFZJAGNSA-N 0 1 311.293 3.131 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccc2c(c1)COC2 ZINC000772809360 622944167 /nfs/dbraw/zinc/94/41/67/622944167.db2.gz YEEBMSXZXVDBNZ-UTCJRWHESA-N 0 1 311.293 3.227 20 30 DGEDMN C/C(=C/C(C)(C)C)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000772816870 622945159 /nfs/dbraw/zinc/94/51/59/622945159.db2.gz XRYGTWDVJXDSPJ-XTOZHPGHSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C/C(C)(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000772816870 622945161 /nfs/dbraw/zinc/94/51/61/622945161.db2.gz XRYGTWDVJXDSPJ-XTOZHPGHSA-N 0 1 320.414 3.163 20 30 DGEDMN C=CCc1ccc(OC(=O)c2ccc3cncn3c2)c(OC)c1 ZINC000790136419 625607514 /nfs/dbraw/zinc/60/75/14/625607514.db2.gz UTXKSZMVHWXFNA-UHFFFAOYSA-N 0 1 308.337 3.291 20 30 DGEDMN Cc1ccc(C#N)c(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)n1 ZINC000872648042 622948024 /nfs/dbraw/zinc/94/80/24/622948024.db2.gz JGBIZTUJTGKYOI-OAHLLOKOSA-N 0 1 309.417 3.492 20 30 DGEDMN Cc1ccnc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)c1C#N ZINC000872649092 622948596 /nfs/dbraw/zinc/94/85/96/622948596.db2.gz SIZQZECTGUHDFC-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN C#CCOc1ccccc1CN[C@H]1CCc2ccc(OC)cc21 ZINC000772986147 622961126 /nfs/dbraw/zinc/96/11/26/622961126.db2.gz AIUPDCIGIHSFLJ-IBGZPJMESA-N 0 1 307.393 3.484 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@@H](CO)c2cccc(F)c2)cc1 ZINC000773012048 622966015 /nfs/dbraw/zinc/96/60/15/622966015.db2.gz VKYLPXUGJJWKPM-KUHUBIRLSA-N 0 1 313.372 3.222 20 30 DGEDMN C#C[C@H](CCC)NC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872983095 623010565 /nfs/dbraw/zinc/01/05/65/623010565.db2.gz VFVHVGRBRLQXNO-HUUCEWRRSA-N 0 1 316.449 3.224 20 30 DGEDMN CC(C)(C)C1CCN(N=Cc2cnc(N3CCCC3)nc2)CC1 ZINC000790178883 625613331 /nfs/dbraw/zinc/61/33/31/625613331.db2.gz MTLLZISVTZAPNC-UHFFFAOYSA-N 0 1 315.465 3.169 20 30 DGEDMN C[C@@H](CCNCc1cccc(F)c1C#N)NC(=O)OC(C)(C)C ZINC000873046338 623024573 /nfs/dbraw/zinc/02/45/73/623024573.db2.gz OYZNEIZQYROCGH-LBPRGKRZSA-N 0 1 321.396 3.090 20 30 DGEDMN N#Cc1c(F)cccc1CNCC1([C@@H](O)c2ccccc2)CC1 ZINC000873096615 623034763 /nfs/dbraw/zinc/03/47/63/623034763.db2.gz SKPOZMJDUGJQBQ-SFHVURJKSA-N 0 1 310.372 3.301 20 30 DGEDMN N#Cc1c(F)cccc1CN[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000873097689 623035779 /nfs/dbraw/zinc/03/57/79/623035779.db2.gz VTGCTRNWSPYQPK-KFWWJZLASA-N 0 1 308.447 3.167 20 30 DGEDMN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1cccc(F)c1C#N ZINC000873097202 623035911 /nfs/dbraw/zinc/03/59/11/623035911.db2.gz JWIUAKZBMXFNAT-XIKOKIGWSA-N 0 1 316.351 3.438 20 30 DGEDMN C(=[NH+][N-]c1nc2ccccn2n1)c1ccc(N2CCCCC2)cc1 ZINC000790199053 625616208 /nfs/dbraw/zinc/61/62/08/625616208.db2.gz CCJLWWDXRUNWHW-UHFFFAOYSA-N 0 1 320.400 3.166 20 30 DGEDMN N#Cc1ccc2ncc(CNc3ccc4c(n3)CCCC4)n2c1 ZINC000873188786 623067343 /nfs/dbraw/zinc/06/73/43/623067343.db2.gz LHANVIYBKCUXGS-UHFFFAOYSA-N 0 1 303.369 3.092 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)CC(C)(C)C ZINC000773980187 623095587 /nfs/dbraw/zinc/09/55/87/623095587.db2.gz OEJQJYCRXYLFDS-OLZOCXBDSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC2(C)C)cc1 ZINC000773978097 623096099 /nfs/dbraw/zinc/09/60/99/623096099.db2.gz RCLIEWYFXHURBW-CABCVRRESA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC2(C)C)cc1 ZINC000773978103 623096174 /nfs/dbraw/zinc/09/61/74/623096174.db2.gz RCLIEWYFXHURBW-LSDHHAIUSA-N 0 1 314.385 3.169 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C(C)=CC(C)(C)C)n1 ZINC000774026675 623100820 /nfs/dbraw/zinc/10/08/20/623100820.db2.gz LRALPFRGWDDFBA-PFEDMVJOSA-N 0 1 320.414 3.488 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)c1nccs1 ZINC000774053109 623102623 /nfs/dbraw/zinc/10/26/23/623102623.db2.gz NUPUYBBYKICIHT-ZDUSSCGKSA-N 0 1 321.361 3.257 20 30 DGEDMN CCC(CC)CNN=C1CCN(Cc2ccccn2)C[C@H]1C ZINC000774461726 623148353 /nfs/dbraw/zinc/14/83/53/623148353.db2.gz JCFBEFPLQHVBSD-OAHLLOKOSA-N 0 1 302.466 3.305 20 30 DGEDMN Cc1nc([C@@H](C)OC(=O)C2(c3ccc(C#N)cc3)CCCC2)n[nH]1 ZINC000873456385 623151256 /nfs/dbraw/zinc/15/12/56/623151256.db2.gz NRROZUPNGAMUMB-GFCCVEGCSA-N 0 1 324.384 3.101 20 30 DGEDMN C#CCN([C@@H]1CCc2ccccc21)[C@H]1CCCN(C(C)C)C1=O ZINC000790271057 625626019 /nfs/dbraw/zinc/62/60/19/625626019.db2.gz FWNQKQBLYGCTSO-MOPGFXCFSA-N 0 1 310.441 3.009 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000873522626 623167093 /nfs/dbraw/zinc/16/70/93/623167093.db2.gz FHEHERSVYRSIPJ-HOTGVXAUSA-N 0 1 300.402 3.146 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)c1F ZINC000774660197 623172653 /nfs/dbraw/zinc/17/26/53/623172653.db2.gz PCXYRTWTDGFIJL-CVEARBPZSA-N 0 1 314.360 3.378 20 30 DGEDMN C[C@@H](NC[C@@H](O)c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000774865046 623201541 /nfs/dbraw/zinc/20/15/41/623201541.db2.gz FQVAGNKTMIBJEH-BDJLRTHQSA-N 0 1 302.324 3.221 20 30 DGEDMN Cc1cc(Br)cc2c1C(=NNc1nccn1C)CC2 ZINC000790301037 625631503 /nfs/dbraw/zinc/63/15/03/625631503.db2.gz DRLPALCKAJLAQR-UHFFFAOYSA-N 0 1 319.206 3.253 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H](CC)c1ccccc1OC ZINC000775088061 623229842 /nfs/dbraw/zinc/22/98/42/623229842.db2.gz PQOXNYMEQATSJP-WBVHZDCISA-N 0 1 316.445 3.325 20 30 DGEDMN C#C[C@H](C)N(C)Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000775183019 623238731 /nfs/dbraw/zinc/23/87/31/623238731.db2.gz FYVRJXTVCAXBRW-AWEZNQCLSA-N 0 1 301.390 3.039 20 30 DGEDMN Cc1cc(CN=Nc2nccn2C)ccc1Oc1cccnc1 ZINC000790306316 625633238 /nfs/dbraw/zinc/63/32/38/625633238.db2.gz XPKANYBGSOPLLH-UHFFFAOYSA-N 0 1 307.357 3.362 20 30 DGEDMN C#CCNC(=O)CN[C@@H](CCC)c1ccc(Cl)c(Cl)c1 ZINC000775317703 623253058 /nfs/dbraw/zinc/25/30/58/623253058.db2.gz YGRJVRWUVCGNJS-AWEZNQCLSA-N 0 1 313.228 3.174 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2cccs2)s1)[C@H]1CCCO1 ZINC000775343060 623257508 /nfs/dbraw/zinc/25/75/08/623257508.db2.gz VBYSTZCIRIKEBR-QWHCGFSZSA-N 0 1 304.440 3.142 20 30 DGEDMN C#CCOc1ccccc1NCc1cn(-c2ccncc2)nc1C ZINC000775361559 623259496 /nfs/dbraw/zinc/25/94/96/623259496.db2.gz QVGULQVOVFFNKE-UHFFFAOYSA-N 0 1 318.380 3.200 20 30 DGEDMN CCC#C[C@@H](C)N[C@@H](C)c1cc(F)ccc1N1CCC(O)CC1 ZINC000775356592 623259733 /nfs/dbraw/zinc/25/97/33/623259733.db2.gz JAZYFBPNFAOMBK-CABCVRRESA-N 0 1 318.436 3.239 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cnn(-c2ccccc2)c1C)[C@H]1CCCO1 ZINC000775572064 623291466 /nfs/dbraw/zinc/29/14/66/623291466.db2.gz OPKFQRQVRCOLRX-ZOCIIQOWSA-N 0 1 309.413 3.012 20 30 DGEDMN C#C[C@H](N[C@@H](CCC)c1cccc([N+](=O)[O-])c1)[C@H]1CCCO1 ZINC000775573652 623291771 /nfs/dbraw/zinc/29/17/71/623291771.db2.gz ILTLNTZQLSAKHT-YESZJQIVSA-N 0 1 302.374 3.206 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(OCC)c(OCC)c1)[C@@H]1CCCO1 ZINC000775575793 623292429 /nfs/dbraw/zinc/29/24/29/623292429.db2.gz YSZOOTBAXBWHBC-XIRDDKMYSA-N 0 1 317.429 3.315 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cccc(Br)c1)[C@H]1CCCO1 ZINC000775575517 623292717 /nfs/dbraw/zinc/29/27/17/623292717.db2.gz YEKCOGRUKDXILL-TUKIKUTGSA-N 0 1 308.219 3.281 20 30 DGEDMN CC(C)(C#N)c1ccc(CN2CCCC[C@@H]2C2OCCO2)cc1 ZINC000775592646 623294974 /nfs/dbraw/zinc/29/49/74/623294974.db2.gz WSLZCKOPOSSREH-QGZVFWFLSA-N 0 1 314.429 3.215 20 30 DGEDMN C#C[C@H](NCCOc1ccc(Cl)cc1Cl)[C@@H]1CCCO1 ZINC000775792244 623333228 /nfs/dbraw/zinc/33/32/28/623333228.db2.gz YXDLTKDWODDRQJ-ZFWWWQNUSA-N 0 1 314.212 3.143 20 30 DGEDMN C#C[C@H](NCCOc1c(Cl)cccc1Cl)[C@H]1CCCO1 ZINC000775791820 623333319 /nfs/dbraw/zinc/33/33/19/623333319.db2.gz XFUFRHMQTMDKTE-UONOGXRCSA-N 0 1 314.212 3.143 20 30 DGEDMN CC[C@]1(C)COCCN1Cc1ccc(N(C)CCC#N)cc1 ZINC000775981311 623360080 /nfs/dbraw/zinc/36/00/80/623360080.db2.gz ORWNCPUZKDISIP-GOSISDBHSA-N 0 1 301.434 3.037 20 30 DGEDMN C[C@H]1CCN(Cc2ccccc2)CC1=NOCc1cccnc1 ZINC000776188327 623392690 /nfs/dbraw/zinc/39/26/90/623392690.db2.gz ZQGDNSHXDAAZSD-INIZCTEOSA-N 0 1 309.413 3.496 20 30 DGEDMN C=CCNC(=S)N1CCN(Cc2ccccc2)[C@H](CCC)C1 ZINC000776206669 623397073 /nfs/dbraw/zinc/39/70/73/623397073.db2.gz ZHLPPTHDJIUEQG-QGZVFWFLSA-N 0 1 317.502 3.033 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC000882138002 625645891 /nfs/dbraw/zinc/64/58/91/625645891.db2.gz BATOAIQUHIRXTJ-KBPBESRZSA-N 0 1 317.414 3.182 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)Nc2ccccc2Cl)C1 ZINC000776519101 623437415 /nfs/dbraw/zinc/43/74/15/623437415.db2.gz YFHUJNJRJJQIDB-GRYCIOLGSA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCCN1CCN([C@@H](C)c2ccccc2Br)CC1 ZINC000776593764 623445094 /nfs/dbraw/zinc/44/50/94/623445094.db2.gz ZLNAQWHTMHTLFQ-AWEZNQCLSA-N 0 1 321.262 3.151 20 30 DGEDMN C[C@@H](O)C[C@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000790438882 625652796 /nfs/dbraw/zinc/65/27/96/625652796.db2.gz XAKICFQKMYEBCH-CZUORRHYSA-N 0 1 322.836 3.368 20 30 DGEDMN CC(C)C[C@@H](C#N)NC(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875515407 623458299 /nfs/dbraw/zinc/45/82/99/623458299.db2.gz HFBZZNABQOJFKC-LBPRGKRZSA-N 0 1 302.271 3.111 20 30 DGEDMN C[C@@H](NCc1cccc2c1OCCO2)c1ccc(C#N)c(F)c1 ZINC000776744691 623458820 /nfs/dbraw/zinc/45/88/20/623458820.db2.gz BGYXTJBCIPXFNZ-GFCCVEGCSA-N 0 1 312.344 3.319 20 30 DGEDMN C#CC[C@H]([NH2+]Cc1cc(=O)oc2cc([O-])ccc12)c1ccccc1 ZINC000777719432 623561001 /nfs/dbraw/zinc/56/10/01/623561001.db2.gz DDPTYGCYFKTACE-SFHVURJKSA-N 0 1 319.360 3.353 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@@H](C(F)(F)F)C1 ZINC000876534565 623636094 /nfs/dbraw/zinc/63/60/94/623636094.db2.gz DPBUVJVINBMYBB-CQSZACIVSA-N 0 1 311.351 3.399 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cc(OC)cc(OC)c2)c(O)c1 ZINC000212159774 625667023 /nfs/dbraw/zinc/66/70/23/625667023.db2.gz RWOYCGABFPHNGL-DAXSKMNVSA-N 0 1 314.337 3.314 20 30 DGEDMN C[C@@H](OC(=O)[C@@H](C)N1CCCCCC1)c1cccc(C#N)c1 ZINC000778632500 623678466 /nfs/dbraw/zinc/67/84/66/623678466.db2.gz RHVOIQZMMNTODO-HUUCEWRRSA-N 0 1 300.402 3.427 20 30 DGEDMN CC[C@H](C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)N(CC)CC ZINC000778650865 623679730 /nfs/dbraw/zinc/67/97/30/623679730.db2.gz KRDWSIYGFVMJFG-UKRRQHHQSA-N 0 1 312.417 3.168 20 30 DGEDMN C=CCCC(=O)OC[C@H]1CN(Cc2ccccc2)CC(C)(C)O1 ZINC000778897907 623709599 /nfs/dbraw/zinc/70/95/99/623709599.db2.gz MCSJIGUKQCSNCR-QGZVFWFLSA-N 0 1 317.429 3.175 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2cc(Cl)cc(C(F)(F)F)c2)=NO1 ZINC000779040703 623723791 /nfs/dbraw/zinc/72/37/91/623723791.db2.gz ZTKYWUAJCQVWJM-ZCFIWIBFSA-N 0 1 306.671 3.211 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccnn2-c2ccccc2)c(O)c1 ZINC000790599755 625676396 /nfs/dbraw/zinc/67/63/96/625676396.db2.gz CXNYWHLOSYKTIS-YFHOEESVSA-N 0 1 320.348 3.483 20 30 DGEDMN Cc1cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)ccc1Cl ZINC000779760421 623798142 /nfs/dbraw/zinc/79/81/42/623798142.db2.gz WUBFIGAGMUHLPB-UHFFFAOYSA-N 0 1 320.727 3.430 20 30 DGEDMN CCc1cccnc1C(C)=NNc1ccc([N+](=O)[O-])cc1OC ZINC000779772995 623799809 /nfs/dbraw/zinc/79/98/09/623799809.db2.gz XMRQZYPUTSIXAO-UHFFFAOYSA-N 0 1 314.345 3.397 20 30 DGEDMN C[C@H]1CN=C(NN=Cc2ccc(SCc3ccccc3)cc2)N1 ZINC000779791908 623807239 /nfs/dbraw/zinc/80/72/39/623807239.db2.gz NASKIEQYPUIORZ-AWEZNQCLSA-N 0 1 324.453 3.250 20 30 DGEDMN C[C@@H]1CN=C(NN=C(CCCc2ccccc2)c2ccccc2)N1 ZINC000779802352 623810202 /nfs/dbraw/zinc/81/02/02/623810202.db2.gz FQVZNQOMPLWQAV-MRXNPFEDSA-N 0 1 320.440 3.351 20 30 DGEDMN COc1ccc(C=NNc2ccc(Cl)cc2[N+](=O)[O-])cn1 ZINC000780210857 623878264 /nfs/dbraw/zinc/87/82/64/623878264.db2.gz BKINNWBDLLCGRF-UHFFFAOYSA-N 0 1 306.709 3.098 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NN[C@@H]1CCCOC1 ZINC000780252218 623895707 /nfs/dbraw/zinc/89/57/07/623895707.db2.gz SIRUUAJMHMAZRH-WMLDXEAASA-N 0 1 321.440 3.411 20 30 DGEDMN CCCn1c(C=NNc2ccc(OC)cn2)nc2ccccc21 ZINC000780270201 623901579 /nfs/dbraw/zinc/90/15/79/623901579.db2.gz DQPUGPJPGJJLCK-UHFFFAOYSA-N 0 1 309.373 3.296 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc3c(c2)OCCCO3)nc1 ZINC000780275114 623902737 /nfs/dbraw/zinc/90/27/37/623902737.db2.gz PZENMTXUIXCORZ-UHFFFAOYSA-N 0 1 313.357 3.088 20 30 DGEDMN C#C[C@H](N[C@H](C)C[C@@H]1CCCC(=O)N1)c1ccc(Cl)cc1F ZINC000877516044 623919624 /nfs/dbraw/zinc/91/96/24/623919624.db2.gz AYDIDBFFEYXTOL-FFSVYQOJSA-N 0 1 322.811 3.190 20 30 DGEDMN C#C[C@H](N[C@H](C)C[C@H]1CCCC(=O)N1)c1ccc(Cl)cc1F ZINC000877516046 623919934 /nfs/dbraw/zinc/91/99/34/623919934.db2.gz AYDIDBFFEYXTOL-KFNAQCHYSA-N 0 1 322.811 3.190 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(-c3cccnc3)c2)nc1 ZINC000780556359 623951494 /nfs/dbraw/zinc/95/14/94/623951494.db2.gz XEBWTEZRPGZGTD-UHFFFAOYSA-N 0 1 300.365 3.305 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2CC1(CNCC1(C#N)CCCC1)C2 ZINC000877609514 623961432 /nfs/dbraw/zinc/96/14/32/623961432.db2.gz LKNRKPSOXSWOAN-UHFFFAOYSA-N 0 1 319.449 3.059 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1ccnn1CC1CCC1 ZINC000877704379 623998621 /nfs/dbraw/zinc/99/86/21/623998621.db2.gz HGWNNDSOHPQHAG-UHFFFAOYSA-N 0 1 308.429 3.229 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)N1CCN(C2CCCC2)CC1 ZINC000780977967 624006318 /nfs/dbraw/zinc/00/63/18/624006318.db2.gz ATHWLEANFPTWBY-KRWDZBQOSA-N 0 1 323.481 3.044 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)N1CCN(C2CCCC2)CC1 ZINC000780977968 624006544 /nfs/dbraw/zinc/00/65/44/624006544.db2.gz ATHWLEANFPTWBY-QGZVFWFLSA-N 0 1 323.481 3.044 20 30 DGEDMN CCCCCCC[C@@H](C)[NH2+]Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877775687 624032797 /nfs/dbraw/zinc/03/27/97/624032797.db2.gz YZXQYKNMMDYTPL-CYBMUJFWSA-N 0 1 307.438 3.094 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000781217026 624040512 /nfs/dbraw/zinc/04/05/12/624040512.db2.gz BAVHDIQUBOOAQR-INIZCTEOSA-N 0 1 312.498 3.041 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(C(F)F)c2)nc(C)n1 ZINC000790769567 625695282 /nfs/dbraw/zinc/69/52/82/625695282.db2.gz ZNDQZCSIXHFECO-CQSZACIVSA-N 0 1 315.323 3.450 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1ccc(C#N)cc1F ZINC000781421940 624068848 /nfs/dbraw/zinc/06/88/48/624068848.db2.gz IYTZTFFWDAGPSP-CYBMUJFWSA-N 0 1 309.344 3.451 20 30 DGEDMN N#CC(C(=O)C=Cc1ccncn1)c1nc2cc(F)ccc2s1 ZINC000781471800 624077293 /nfs/dbraw/zinc/07/72/93/624077293.db2.gz XFLWLVUBBOIZSY-DLGQBQFBSA-N 0 1 324.340 3.115 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)C[C@@H](O)C[C@H](O)c1ccccc1 ZINC000877917252 624091732 /nfs/dbraw/zinc/09/17/32/624091732.db2.gz RNNTYVSOZFTNSC-PMACEKPBSA-N 0 1 311.425 3.159 20 30 DGEDMN CC(=O)Nc1ccc(C(C)=NN=c2[nH]c3ccccc3[nH]2)cc1 ZINC000781576475 624096444 /nfs/dbraw/zinc/09/64/44/624096444.db2.gz UGPCAQVJZCOVBC-UHFFFAOYSA-N 0 1 307.357 3.357 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CC[C@@H]2CCCC2(F)F)c1 ZINC000877975487 624119899 /nfs/dbraw/zinc/11/98/99/624119899.db2.gz IVGJTLDMZHSCLP-HNNXBMFYSA-N 0 1 320.383 3.364 20 30 DGEDMN C#CCCCCCN1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000878196166 624209933 /nfs/dbraw/zinc/20/99/33/624209933.db2.gz WFCQZIGSIWGKIE-RBUKOAKNSA-N 0 1 301.430 3.005 20 30 DGEDMN COC(=O)c1ccc(OC)c(CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC000878360923 624256153 /nfs/dbraw/zinc/25/61/53/624256153.db2.gz JIERNMHLXRJSQL-ZDUSSCGKSA-N 0 1 324.380 3.204 20 30 DGEDMN C=CCCN(C)Cc1nnc(-c2ccc(Cl)cc2[N+](=O)[O-])o1 ZINC000878490132 624289199 /nfs/dbraw/zinc/28/91/99/624289199.db2.gz CTTYAUAVFIHXQX-UHFFFAOYSA-N 0 1 322.752 3.306 20 30 DGEDMN C=C(Br)CNCc1ccc(OCOCC)cc1 ZINC000782958153 624294875 /nfs/dbraw/zinc/29/48/75/624294875.db2.gz COTCFQCQCXVBLF-UHFFFAOYSA-N 0 1 300.196 3.058 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H]1CCCC(C)(C)O1 ZINC000878607807 624320941 /nfs/dbraw/zinc/32/09/41/624320941.db2.gz ZDFXFBHCXMSEPY-MRXNPFEDSA-N 0 1 307.434 3.498 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CCCC(C)(C)O1 ZINC000878607807 624320943 /nfs/dbraw/zinc/32/09/43/624320943.db2.gz ZDFXFBHCXMSEPY-MRXNPFEDSA-N 0 1 307.434 3.498 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(-c2ccccc2OC)n1 ZINC000878679787 624338244 /nfs/dbraw/zinc/33/82/44/624338244.db2.gz BPEBYDIODXDVSU-CVEARBPZSA-N 0 1 314.385 3.173 20 30 DGEDMN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@H](CC#N)C1 ZINC000878886211 624380706 /nfs/dbraw/zinc/38/07/06/624380706.db2.gz CSROZORNYFRVEK-AEFFLSMTSA-N 0 1 313.445 3.362 20 30 DGEDMN O=C(/C=C\c1cc(-n2ccnc2)cs1)c1ccc(O)cc1O ZINC000783711511 624386192 /nfs/dbraw/zinc/38/61/92/624386192.db2.gz ZILHGOSYYHWZGQ-RQOWECAXSA-N 0 1 312.350 3.241 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2csc(-c3ccn(C)n3)n2)C1 ZINC000878915765 624386714 /nfs/dbraw/zinc/38/67/14/624386714.db2.gz YOVNQIQMORLWQX-CYBMUJFWSA-N 0 1 302.447 3.332 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2csc(-c3ccn(C)n3)n2)C1 ZINC000878915765 624386718 /nfs/dbraw/zinc/38/67/18/624386718.db2.gz YOVNQIQMORLWQX-CYBMUJFWSA-N 0 1 302.447 3.332 20 30 DGEDMN Cc1cc(COC(=O)[C@@H](C(C)C)N2CCCC2)ccc1C#N ZINC000783777710 624395080 /nfs/dbraw/zinc/39/50/80/624395080.db2.gz PORZVHRSFZDZBW-QGZVFWFLSA-N 0 1 300.402 3.030 20 30 DGEDMN C=CC[C@H]1CCN(Cc2nnc(-c3ccccc3[N+](=O)[O-])o2)C1 ZINC000879031872 624413092 /nfs/dbraw/zinc/41/30/92/624413092.db2.gz CGVMWHSWTUZXDK-LBPRGKRZSA-N 0 1 314.345 3.043 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@H]2CCCc3[nH]ncc32)c(Cl)c1 ZINC000784233205 624459065 /nfs/dbraw/zinc/45/90/65/624459065.db2.gz IIHOBAUPODXQEW-LBPRGKRZSA-N 0 1 315.760 3.098 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H](F)C(C)C ZINC000784490782 624533660 /nfs/dbraw/zinc/53/36/60/624533660.db2.gz KIFKKJBKVZEGQI-HUUCEWRRSA-N 0 1 318.392 3.453 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1)N(C)CCc1cccc(C#N)c1 ZINC000879434848 624546253 /nfs/dbraw/zinc/54/62/53/624546253.db2.gz OAABCDLUYDGALK-OAHLLOKOSA-N 0 1 307.397 3.060 20 30 DGEDMN CC1([C@H]2CCCN(CC#Cc3ccccc3Cl)C2)OCCO1 ZINC000784569817 624550593 /nfs/dbraw/zinc/55/05/93/624550593.db2.gz BWZZWQLEROTFKS-INIZCTEOSA-N 0 1 319.832 3.167 20 30 DGEDMN C[C@H](OC(=O)c1ccc(-c2nnc[nH]2)cc1)c1cccc(C#N)c1 ZINC000784782999 624580420 /nfs/dbraw/zinc/58/04/20/624580420.db2.gz FWDQMLZCPGHMFU-LBPRGKRZSA-N 0 1 318.336 3.261 20 30 DGEDMN N#CCC1(CN2CCC(c3nc(C4CCC4)no3)CC2)CC1 ZINC000879553708 624587607 /nfs/dbraw/zinc/58/76/07/624587607.db2.gz UYRJRJCCXZKCLE-UHFFFAOYSA-N 0 1 300.406 3.210 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000879714717 624650465 /nfs/dbraw/zinc/65/04/65/624650465.db2.gz XVVKPNLCZITUEQ-ZIAGYGMSSA-N 0 1 315.417 3.292 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CC1(CC#N)CC1 ZINC000879755909 624667692 /nfs/dbraw/zinc/66/76/92/624667692.db2.gz QCJVMNNNBUOKFE-CABCVRRESA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@@H]1CCCN2CC1(CC#N)CC1 ZINC000879755909 624667693 /nfs/dbraw/zinc/66/76/93/624667693.db2.gz QCJVMNNNBUOKFE-CABCVRRESA-N 0 1 319.449 3.154 20 30 DGEDMN OCCC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1Cl ZINC000879776036 624673310 /nfs/dbraw/zinc/67/33/10/624673310.db2.gz CXOLTVUBRCOSIK-ZXFUBFMLSA-N 0 1 303.833 3.317 20 30 DGEDMN CCCCCCCCCN1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879940932 624728058 /nfs/dbraw/zinc/72/80/58/624728058.db2.gz REALEXWPIVQXBG-CVEARBPZSA-N 0 1 301.496 3.104 20 30 DGEDMN COc1cc(C#N)ccc1C[N@H+]1CC[C@@H](c2nc(C)cs2)C1 ZINC000880008300 624751344 /nfs/dbraw/zinc/75/13/44/624751344.db2.gz BQAQJQDQFSZXOF-OAHLLOKOSA-N 0 1 313.426 3.321 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CC1 ZINC000786535962 624913289 /nfs/dbraw/zinc/91/32/89/624913289.db2.gz WVHADTNVNNOKTB-IAQYHMDHSA-N 0 1 318.804 3.101 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786542790 624916611 /nfs/dbraw/zinc/91/66/11/624916611.db2.gz VOQLGOFCHKCPNJ-RHSMWYFYSA-N 0 1 314.429 3.392 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786567614 624922748 /nfs/dbraw/zinc/92/27/48/624922748.db2.gz DKUQFFFIEONOMS-GFCCVEGCSA-N 0 1 322.355 3.116 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@]1(C)c1ccccc1 ZINC000880370918 624939190 /nfs/dbraw/zinc/93/91/90/624939190.db2.gz WSHBQICFEKUNFB-MOPGFXCFSA-N 0 1 313.445 3.052 20 30 DGEDMN CC(=CC(C)(C)C)C(=O)[C@H](C#N)C(=O)N(C)Cc1ccccc1 ZINC000787071802 624975566 /nfs/dbraw/zinc/97/55/66/624975566.db2.gz FJAJLDGIECAFFS-QBOMHBFWSA-N 0 1 312.413 3.346 20 30 DGEDMN CC(=CC(C)(C)C)C(=O)[C@H](C#N)C(=O)N(C)Cc1ccccc1 ZINC000787071804 624975775 /nfs/dbraw/zinc/97/57/75/624975775.db2.gz FJAJLDGIECAFFS-UKYUDJEDSA-N 0 1 312.413 3.346 20 30 DGEDMN Cc1c(C(C)N=Nc2ccncc2Cl)cnn1C(C)(C)C ZINC000787164255 624984444 /nfs/dbraw/zinc/98/44/44/624984444.db2.gz XZCFVOMXIKGRKZ-UHFFFAOYSA-N 0 1 305.813 3.253 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC(C2CCCCC2)CC1 ZINC000787171969 624985113 /nfs/dbraw/zinc/98/51/13/624985113.db2.gz GQGBRHFFTNNMBQ-OAHLLOKOSA-N 0 1 317.477 3.230 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)Nc1cc(CN(C)C)ccn1 ZINC000880602292 624985580 /nfs/dbraw/zinc/98/55/80/624985580.db2.gz COXMUQIOICNPQE-UHFFFAOYSA-N 0 1 304.438 3.257 20 30 DGEDMN CC(C)CN(CCC#N)CCOCC(F)(F)C(F)(F)F ZINC000787252026 624992203 /nfs/dbraw/zinc/99/22/03/624992203.db2.gz QXHJNIACEFOYOU-UHFFFAOYSA-N 0 1 302.287 3.072 20 30 DGEDMN FC(F)(F)[C@H]1CCN(CCOCC(F)(F)C(F)(F)F)C1 ZINC000787273884 624995044 /nfs/dbraw/zinc/99/50/44/624995044.db2.gz ZAQUESWVIJMBTM-ZETCQYMHSA-N 0 1 315.204 3.085 20 30 DGEDMN N#CCCCCCC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000805855384 625061129 /nfs/dbraw/zinc/06/11/29/625061129.db2.gz HXGFMWLNEUYOHO-LBPRGKRZSA-N 0 1 317.776 3.461 20 30 DGEDMN O=[N+]([O-])c1ccc2c(c1)C(N=Nc1ncccc1Cl)CCO2 ZINC000788426594 625166413 /nfs/dbraw/zinc/16/64/13/625166413.db2.gz SVLVGYSRJSTMPC-UHFFFAOYSA-N 0 1 318.720 3.242 20 30 DGEDMN C#CCCN1CCN(c2nc3cc(Cl)cc(Cl)c3o2)CC1 ZINC000788532948 625209140 /nfs/dbraw/zinc/20/91/40/625209140.db2.gz XVCQOKGQEUNMPZ-UHFFFAOYSA-N 0 1 324.211 3.280 20 30 DGEDMN COc1ccc2nc(C=NNc3ccc(F)cn3)sc2c1 ZINC000788714285 625250274 /nfs/dbraw/zinc/25/02/74/625250274.db2.gz UOBJISGTLYJEKU-UHFFFAOYSA-N 0 1 302.334 3.285 20 30 DGEDMN C=C(CSc1nc(C=Cc2ccccc2)n[nH]1)C(=O)OCC ZINC000788809940 625273495 /nfs/dbraw/zinc/27/34/95/625273495.db2.gz QXWKMYCVNDBZEZ-MDZDMXLPSA-N 0 1 315.398 3.187 20 30 DGEDMN C=CCOc1ccc(COC(=O)c2ccc3cncn3c2)cc1 ZINC000790950509 625720585 /nfs/dbraw/zinc/72/05/85/625720585.db2.gz CIKGORDNMUYYNG-UHFFFAOYSA-N 0 1 308.337 3.256 20 30 DGEDMN N#Cc1ccc(COC(=O)c2c(F)cc(O)cc2F)cc1F ZINC000791385670 625788848 /nfs/dbraw/zinc/78/88/48/625788848.db2.gz MJYIYCNXJXQSCT-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(C#N)c(F)c1)N1[C@H](C)CC[C@@H]1C ZINC000791391084 625790071 /nfs/dbraw/zinc/79/00/71/625790071.db2.gz LKARKEHKTSWXLO-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@@H]1CCC[C@@H](OCC(=O)C(C#N)c2cnc3ccccc3n2)C1 ZINC000792108222 625910167 /nfs/dbraw/zinc/91/01/67/625910167.db2.gz CISOUAAERVPSMN-KFWWJZLASA-N 0 1 323.396 3.401 20 30 DGEDMN C=CCC[C@H](CO)NCc1c(C)nn(-c2ccccc2)c1Cl ZINC000883138560 625940777 /nfs/dbraw/zinc/94/07/77/625940777.db2.gz IEEXJUQYMULWSA-CQSZACIVSA-N 0 1 319.836 3.251 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)c1ccc(F)cc1 ZINC000796381840 626045017 /nfs/dbraw/zinc/04/50/17/626045017.db2.gz GJRVOOUBZJHESV-GOSISDBHSA-N 0 1 319.376 3.023 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796653454 626070270 /nfs/dbraw/zinc/07/02/70/626070270.db2.gz IDUINMMFAXKTTL-KBPBESRZSA-N 0 1 317.364 3.037 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)C(=O)[C@H](F)CC1CCCCC1 ZINC000796653456 626070413 /nfs/dbraw/zinc/07/04/13/626070413.db2.gz IDUINMMFAXKTTL-UONOGXRCSA-N 0 1 317.364 3.037 20 30 DGEDMN CC[C@@](C)(OC)C(=O)[C@H](C#N)c1nc2cc(F)ccc2s1 ZINC000796689885 626073694 /nfs/dbraw/zinc/07/36/94/626073694.db2.gz DAYYXFYNFNIGER-ZUZCIYMTSA-N 0 1 306.362 3.427 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2coc3ccccc23)nc(C)n1 ZINC000796777873 626081271 /nfs/dbraw/zinc/08/12/71/626081271.db2.gz UPGYUBOYJJHEOR-OAHLLOKOSA-N 0 1 305.337 3.259 20 30 DGEDMN C[C@H](Oc1ccc2ccccc2c1)C(=O)C(C#N)c1ccncn1 ZINC000796843672 626089064 /nfs/dbraw/zinc/08/90/64/626089064.db2.gz APPHQMWQGUVLMO-SUMWQHHRSA-N 0 1 317.348 3.274 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCC(F)(F)C1)c1cnc2ccccc2n1 ZINC000796900404 626094369 /nfs/dbraw/zinc/09/43/69/626094369.db2.gz PPXAPOUFAVGQRX-GHMZBOCLSA-N 0 1 301.296 3.241 20 30 DGEDMN COC(=O)c1coc(C=NNc2ncnc(Cl)c2C(C)C)c1 ZINC000797404621 626140443 /nfs/dbraw/zinc/14/04/43/626140443.db2.gz HFCITEOFPQOBKS-UHFFFAOYSA-N 0 1 322.752 3.079 20 30 DGEDMN C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000797548924 626151935 /nfs/dbraw/zinc/15/19/35/626151935.db2.gz SMKVGJMHZLFNHG-NBMRYCAZSA-N 0 1 324.424 3.194 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CCc2cnccn2)s1 ZINC000797572859 626154376 /nfs/dbraw/zinc/15/43/76/626154376.db2.gz JTWRHSPLNXFBMS-GFCCVEGCSA-N 0 1 314.414 3.040 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc(C)nc(Cl)c1 ZINC000797600308 626158147 /nfs/dbraw/zinc/15/81/47/626158147.db2.gz PMVJXDVHVDEMCG-UHFFFAOYSA-N 0 1 300.789 3.345 20 30 DGEDMN C#Cc1cncc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)c1 ZINC000798176504 626199351 /nfs/dbraw/zinc/19/93/51/626199351.db2.gz GWYXLCIYWOHRGQ-UHFFFAOYSA-N 0 1 312.279 3.129 20 30 DGEDMN COc1ccc(CN[C@H](c2cncc(F)c2)C2CC2)cc1C#N ZINC000798931935 626260677 /nfs/dbraw/zinc/26/06/77/626260677.db2.gz QFFBWIMGWYRQPW-SFHVURJKSA-N 0 1 311.360 3.342 20 30 DGEDMN Cc1ccc(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)cc1C#N ZINC000799613578 626313033 /nfs/dbraw/zinc/31/30/33/626313033.db2.gz WAXUNXAVNZXNEV-IBGZPJMESA-N 0 1 320.392 3.298 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000839891344 626330498 /nfs/dbraw/zinc/33/04/98/626330498.db2.gz NDOFBVWZBAEKGQ-MRXNPFEDSA-N 0 1 323.440 3.135 20 30 DGEDMN C=CCCN(C)CC(=O)N(COCC)c1c(C)cccc1CC ZINC000801545672 626409238 /nfs/dbraw/zinc/40/92/38/626409238.db2.gz DIBWHSZWGJGGQW-UHFFFAOYSA-N 0 1 318.461 3.392 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000883234469 626618437 /nfs/dbraw/zinc/61/84/37/626618437.db2.gz GOEUQCMYTCFZDJ-ZMSDIMECSA-N 0 1 312.417 3.287 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)C=Cc2ccccc2C#N)CC1 ZINC000803638133 626625953 /nfs/dbraw/zinc/62/59/53/626625953.db2.gz AVHGKKPDYLYZAV-SREVYHEPSA-N 0 1 320.396 3.009 20 30 DGEDMN C[C@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1ccc(F)cc1F ZINC000883358934 626660458 /nfs/dbraw/zinc/66/04/58/626660458.db2.gz UJZGFNPXYDTCOH-QUBYGPBYSA-N 0 1 319.220 3.174 20 30 DGEDMN C=CCC[C@@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1ccco1 ZINC000883362091 626661809 /nfs/dbraw/zinc/66/18/09/626661809.db2.gz UAQUUGMXKNXWJM-KOLCDFICSA-N 0 1 313.266 3.435 20 30 DGEDMN COc1ccc2c(c1F)CCC2=NNc1cc(C)nc(C)n1 ZINC000807963993 626780361 /nfs/dbraw/zinc/78/03/61/626780361.db2.gz SLHOTMOQZUNDEX-UHFFFAOYSA-N 0 1 300.337 3.004 20 30 DGEDMN C=CC1CCN(CN2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000808156770 626799180 /nfs/dbraw/zinc/79/91/80/626799180.db2.gz BMCKXOXKVQMDRB-UHFFFAOYSA-N 0 1 319.836 3.438 20 30 DGEDMN C[C@H](OC1CCCCCC1)C(=O)Nc1cc(C#N)ccc1O ZINC000133725866 626834912 /nfs/dbraw/zinc/83/49/12/626834912.db2.gz ROOVIABGIKZXRJ-LBPRGKRZSA-N 0 1 302.374 3.330 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccnc(C(F)(F)F)c2)n1 ZINC000808977523 626872818 /nfs/dbraw/zinc/87/28/18/626872818.db2.gz NAENBNYEANQOMU-VIFPVBQESA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnc(C(F)(F)F)c2)n1 ZINC000808977523 626872819 /nfs/dbraw/zinc/87/28/19/626872819.db2.gz NAENBNYEANQOMU-VIFPVBQESA-N 0 1 311.288 3.355 20 30 DGEDMN CC(C)[C@H](C(=O)OC[C@H]1CC[C@@H](C#N)CC1)N1CCCCC1 ZINC000809088621 626880844 /nfs/dbraw/zinc/88/08/44/626880844.db2.gz WGMWIHGMYPSJRM-IXDOHACOSA-N 0 1 306.450 3.370 20 30 DGEDMN C=CCc1cc(CN2CCO[C@H](C3CCC3)C2)c(O)c(OC)c1 ZINC000840175764 626912544 /nfs/dbraw/zinc/91/25/44/626912544.db2.gz NDWGJLXYIMTDQS-SFHVURJKSA-N 0 1 317.429 3.130 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@@H](NC(=O)OC(C)(C)C)C2)cc1 ZINC000809546895 626918045 /nfs/dbraw/zinc/91/80/45/626918045.db2.gz DJZLITXINRQBRS-QGZVFWFLSA-N 0 1 314.429 3.157 20 30 DGEDMN C#Cc1ccc(CN[C@H](COC)c2ccc(F)c(F)c2)cc1 ZINC000809630924 626929702 /nfs/dbraw/zinc/92/97/02/626929702.db2.gz MJZHKJWLUQSYFW-GOSISDBHSA-N 0 1 301.336 3.423 20 30 DGEDMN C[C@H](O)c1ncc(CNCc2ccc(C#N)c(Cl)c2)s1 ZINC000809663602 626934434 /nfs/dbraw/zinc/93/44/34/626934434.db2.gz WNEPPDAWXIHISG-VIFPVBQESA-N 0 1 307.806 3.011 20 30 DGEDMN C#C[C@H](CC)NCc1cnn(-c2ccc(C(F)(F)F)cc2)c1 ZINC000809686477 626936242 /nfs/dbraw/zinc/93/62/42/626936242.db2.gz VJHNXSIROXEOMO-CQSZACIVSA-N 0 1 307.319 3.393 20 30 DGEDMN C#C[C@H](NCc1cc([N+](=O)[O-])ccc1OC(F)F)C(C)(C)C ZINC000809781234 626952536 /nfs/dbraw/zinc/95/25/36/626952536.db2.gz KGMGNOSKOREYPX-ZDUSSCGKSA-N 0 1 312.316 3.334 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(OC)c(C#N)c2)cc1O ZINC000810228798 626990367 /nfs/dbraw/zinc/99/03/67/626990367.db2.gz YZYFMMWBXPYFIU-GFCCVEGCSA-N 0 1 312.369 3.132 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)O[C@H](C#N)C1CCCCC1 ZINC000885258665 626994882 /nfs/dbraw/zinc/99/48/82/626994882.db2.gz ISXZCPHYCFCIBI-HFBAOOFYSA-N 0 1 301.390 3.091 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(Cl)c(F)c2)nc(C)n1 ZINC000810528510 627012202 /nfs/dbraw/zinc/01/22/02/627012202.db2.gz UFCRAWGJPUIEPY-GFCCVEGCSA-N 0 1 317.751 3.305 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2(F)CCCCC2)cc1 ZINC000810532000 627012247 /nfs/dbraw/zinc/01/22/47/627012247.db2.gz BJMBDKFODRAFOI-AWEZNQCLSA-N 0 1 318.348 3.015 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2(F)CCCCC2)cc1 ZINC000810532002 627012489 /nfs/dbraw/zinc/01/24/89/627012489.db2.gz BJMBDKFODRAFOI-CQSZACIVSA-N 0 1 318.348 3.015 20 30 DGEDMN C#Cc1ccccc1CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000810590526 627020597 /nfs/dbraw/zinc/02/05/97/627020597.db2.gz OFKWKRJGSNIWPJ-MRXNPFEDSA-N 0 1 313.360 3.272 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)O[C@H](C#N)C2CCCCC2)C1 ZINC000810711372 627039647 /nfs/dbraw/zinc/03/96/47/627039647.db2.gz DNAKXULNNRUYKJ-RHSMWYFYSA-N 0 1 310.413 3.215 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CC[C@H](C2CC2)O1 ZINC000886203346 627120417 /nfs/dbraw/zinc/12/04/17/627120417.db2.gz SUMNQNPQPBGDLN-SJLPKXTDSA-N 0 1 305.418 3.108 20 30 DGEDMN CC(C)(CCC#N)CNCc1nc(Cc2ccccc2F)no1 ZINC000886303698 627133468 /nfs/dbraw/zinc/13/34/68/627133468.db2.gz DDMFFNAMQDGRIP-UHFFFAOYSA-N 0 1 316.380 3.219 20 30 DGEDMN C#CC[C@@H]1CCN(CC(=O)Nc2ccc(SC(F)F)cc2)C1 ZINC000886360395 627142835 /nfs/dbraw/zinc/14/28/35/627142835.db2.gz VQVCGAGZDNGYMO-GFCCVEGCSA-N 0 1 324.396 3.285 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)[C@H](CO)c1ccccc1C ZINC000886435562 627148396 /nfs/dbraw/zinc/14/83/96/627148396.db2.gz BXVHVSGJEDFCFT-GOSISDBHSA-N 0 1 310.397 3.041 20 30 DGEDMN C=CC[C@H](N[C@H](C)c1cncc(C(=O)OC)c1)c1ccncc1 ZINC000886528815 627157745 /nfs/dbraw/zinc/15/77/45/627157745.db2.gz RULZHPAFPGOQDZ-DYVFJYSZSA-N 0 1 311.385 3.231 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)N[C@H](C)c2cccc(C#N)c2)c1 ZINC000886534525 627158243 /nfs/dbraw/zinc/15/82/43/627158243.db2.gz KBNGUVDWVUTUKX-CHWSQXEVSA-N 0 1 309.369 3.152 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@H]3CCN3C3CCCC3)cc2n1 ZINC000886649341 627180593 /nfs/dbraw/zinc/18/05/93/627180593.db2.gz XUQPXTYFRWWQJM-GOSISDBHSA-N 0 1 324.428 3.178 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@H]3CCCN3C(C)C)cc2n1 ZINC000886650702 627180896 /nfs/dbraw/zinc/18/08/96/627180896.db2.gz BZSWWJYFUUCUPW-QGZVFWFLSA-N 0 1 312.417 3.034 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(NC(C)=O)cc2)c(O)c1 ZINC000138904718 627203190 /nfs/dbraw/zinc/20/31/90/627203190.db2.gz UGSFWLOAXCIMBP-BJMVGYQFSA-N 0 1 311.337 3.255 20 30 DGEDMN C#CCC1(NCc2coc(-c3cccs3)n2)CCOCC1 ZINC000887125931 627227033 /nfs/dbraw/zinc/22/70/33/627227033.db2.gz PTSZERYYNVYHRT-UHFFFAOYSA-N 0 1 302.399 3.065 20 30 DGEDMN C#CCCOc1ccc(CN2CC(C)(C)[C@@H]2[C@@H]2CCCO2)cc1 ZINC000811510610 627285514 /nfs/dbraw/zinc/28/55/14/627285514.db2.gz LBMSNYBGBFPEPV-OALUTQOASA-N 0 1 313.441 3.478 20 30 DGEDMN C[C@@H]1C(N=Nc2ccncc2Cl)CCN1Cc1ccccc1 ZINC000811643970 627298193 /nfs/dbraw/zinc/29/81/93/627298193.db2.gz OPLGKOPAHIJLDJ-CYBMUJFWSA-N 0 1 314.820 3.219 20 30 DGEDMN C#C[C@@H](NC(=O)NC[C@H](c1ccco1)N(CC)CC)C(C)(C)C ZINC000812016601 627347389 /nfs/dbraw/zinc/34/73/89/627347389.db2.gz LMERLJLBXGUJST-GDBMZVCRSA-N 0 1 319.449 3.010 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)N(C)Cc1cc(C#N)cs1 ZINC000887970259 627350797 /nfs/dbraw/zinc/35/07/97/627350797.db2.gz WLXQUCUABNGTQU-UHFFFAOYSA-N 0 1 302.403 3.047 20 30 DGEDMN CC(C)OCCON=C1C[C@@H](C)CN(Cc2ccccc2)C1 ZINC000812238908 627363431 /nfs/dbraw/zinc/36/34/31/627363431.db2.gz HICXHNRMCTWKDN-MRXNPFEDSA-N 0 1 304.434 3.326 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCCCN1CC)c1ccc(Cl)cc1 ZINC000812352346 627373625 /nfs/dbraw/zinc/37/36/25/627373625.db2.gz KXVVKFDLSWIHKP-JKSUJKDBSA-N 0 1 304.821 3.005 20 30 DGEDMN COc1cccc(O)c1/C=N\C[C@H](c1cccs1)N(C)C ZINC000812394013 627377607 /nfs/dbraw/zinc/37/76/07/627377607.db2.gz MYJDMLHPZUCXLF-OMJMQIJPSA-N 0 1 304.415 3.184 20 30 DGEDMN CON(C[C@H]1CCC[C@@H](C)C1)C(=O)c1ccc(C#N)c(O)c1 ZINC000812422238 627384803 /nfs/dbraw/zinc/38/48/03/627384803.db2.gz VJIUSEVEOYKZCF-OLZOCXBDSA-N 0 1 302.374 3.094 20 30 DGEDMN CO[C@](C)(C(=O)[C@H](C#N)c1nc2ccccc2s1)C1CC1 ZINC000813057749 627443948 /nfs/dbraw/zinc/44/39/48/627443948.db2.gz QMEKRMJVEYKRBH-ZBEGNZNMSA-N 0 1 300.383 3.288 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000813089199 627447692 /nfs/dbraw/zinc/44/76/92/627447692.db2.gz OMOKODWXXSYXKQ-BPLDGKMQSA-N 0 1 318.804 3.101 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000889695206 627458478 /nfs/dbraw/zinc/45/84/78/627458478.db2.gz FNACJJAMPCZRIK-GJZGRUSLSA-N 0 1 321.465 3.057 20 30 DGEDMN N#C[C@@H](C(=O)Cc1ccc(F)cn1)c1cc(C(F)(F)F)ccn1 ZINC000813218146 627468885 /nfs/dbraw/zinc/46/88/85/627468885.db2.gz MKJBLWHMBZVRNA-GFCCVEGCSA-N 0 1 323.249 3.053 20 30 DGEDMN C=CCOc1ccccc1C(=O)OCc1nnc(C(C)(C)C)[nH]1 ZINC000814438732 627564996 /nfs/dbraw/zinc/56/49/96/627564996.db2.gz HEWKTEHESWLVNO-UHFFFAOYSA-N 0 1 315.373 3.024 20 30 DGEDMN C=CCOc1ccccc1C(=O)OCc1n[nH]c(C(C)(C)C)n1 ZINC000814438732 627564999 /nfs/dbraw/zinc/56/49/99/627564999.db2.gz HEWKTEHESWLVNO-UHFFFAOYSA-N 0 1 315.373 3.024 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)OCc1nnc(C(C)(C)C)[nH]1 ZINC000814465401 627569034 /nfs/dbraw/zinc/56/90/34/627569034.db2.gz PGKCLUVSMOEGRR-GFCCVEGCSA-N 0 1 324.425 3.117 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)OCc1n[nH]c(C(C)(C)C)n1 ZINC000814465401 627569035 /nfs/dbraw/zinc/56/90/35/627569035.db2.gz PGKCLUVSMOEGRR-GFCCVEGCSA-N 0 1 324.425 3.117 20 30 DGEDMN c1ccc2c(c1)OCC2=NN=c1nc[nH]c2sc3c(c21)CCC3 ZINC000814726089 627586913 /nfs/dbraw/zinc/58/69/13/627586913.db2.gz JQMBMPIVBPEBBA-UHFFFAOYSA-N 0 1 322.393 3.389 20 30 DGEDMN CC(=[NH+][N-]c1ncnc2sccc21)c1cc(F)c(N)c(F)c1 ZINC000814765552 627588960 /nfs/dbraw/zinc/58/89/60/627588960.db2.gz KLXVUUARYCHWCD-UHFFFAOYSA-N 0 1 319.340 3.388 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1cnccn1)CCCS2 ZINC000814797603 627592514 /nfs/dbraw/zinc/59/25/14/627592514.db2.gz RSDYQMQBHSJHMC-UHFFFAOYSA-N 0 1 300.387 3.187 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1ccc(C)nc1C ZINC000814823564 627595929 /nfs/dbraw/zinc/59/59/29/627595929.db2.gz LTTOTYRNOFSKQQ-UHFFFAOYSA-N 0 1 314.345 3.451 20 30 DGEDMN Brc1cnn(N=C2CCCC[C@@H]2[C@@H]2CCOC2)c1 ZINC000814884209 627602848 /nfs/dbraw/zinc/60/28/48/627602848.db2.gz FENLNFLMRRYTMB-ZYHUDNBSSA-N 0 1 312.211 3.076 20 30 DGEDMN COC(=O)c1ccc(CN=Nc2nc3ccccc3cc2C)n1C ZINC000814896301 627604156 /nfs/dbraw/zinc/60/41/56/627604156.db2.gz SAYSQZBSFMPTDD-UHFFFAOYSA-N 0 1 322.368 3.114 20 30 DGEDMN CCOc1ccc2c(c1)C(=NNc1cccc(OC)n1)CCO2 ZINC000814900111 627604876 /nfs/dbraw/zinc/60/48/76/627604876.db2.gz DTYCPIINIGASGR-UHFFFAOYSA-N 0 1 313.357 3.088 20 30 DGEDMN CC(C)(C)c1ncc(CN=Nc2cccc(F)c2[N+](=O)[O-])cn1 ZINC000814919280 627607776 /nfs/dbraw/zinc/60/77/76/627607776.db2.gz OEDPPPGIXPDLIZ-UHFFFAOYSA-N 0 1 317.324 3.267 20 30 DGEDMN COc1cccc(-c2n[nH]cc2C=NNc2cccc(F)n2)c1 ZINC000814927935 627609190 /nfs/dbraw/zinc/60/91/90/627609190.db2.gz NXNNLSNKNCRUFJ-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN Fc1cc2c(c(F)c1)C(N=Nc1nccnc1C1CCC1)CO2 ZINC000814932117 627609706 /nfs/dbraw/zinc/60/97/06/627609706.db2.gz PXAPXPJQODYXKR-UHFFFAOYSA-N 0 1 316.311 3.231 20 30 DGEDMN CC(C)[C@H]1CN([C@@H]2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000815098827 627626257 /nfs/dbraw/zinc/62/62/57/627626257.db2.gz NONHMWHJXWFZJI-ARFHVFGLSA-N 0 1 321.465 3.256 20 30 DGEDMN N#CC(C(=O)Cc1cscc1Br)c1ccccn1 ZINC000815906832 627711141 /nfs/dbraw/zinc/71/11/41/627711141.db2.gz KJRKCFOLCOBIEJ-SNVBAGLBSA-N 0 1 321.199 3.325 20 30 DGEDMN C#CCCOc1ccc(CN2CCOC[C@H]2CC2CCC2)cc1 ZINC000816667540 627823786 /nfs/dbraw/zinc/82/37/86/627823786.db2.gz PKLWVPRVXURLRW-LJQANCHMSA-N 0 1 313.441 3.480 20 30 DGEDMN Cc1c(Cl)c(C=NNc2cc(C(F)(F)F)ccn2)nn1C ZINC000817328880 627883658 /nfs/dbraw/zinc/88/36/58/627883658.db2.gz CHADXHDEWYBCDW-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN CC(=NN[C@@H]1CCC[C@H]1O)c1ccc(N2CCCCC2)c(F)c1 ZINC000817332246 627884164 /nfs/dbraw/zinc/88/41/64/627884164.db2.gz LJOXDAJHZXAGRQ-SJLPKXTDSA-N 0 1 319.424 3.043 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCC2(C#N)CCC2)C12CCC2 ZINC000926626974 627923200 /nfs/dbraw/zinc/92/32/00/627923200.db2.gz MOVHJRVDVILRSC-CQSZACIVSA-N 0 1 319.449 3.202 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)[C@H]1CC12CC2 ZINC000817713062 627937344 /nfs/dbraw/zinc/93/73/44/627937344.db2.gz AGFXQITVRAVLIF-NXEZZACHSA-N 0 1 323.179 3.441 20 30 DGEDMN C#CCN1CCC[C@@H](N[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000926868101 627966531 /nfs/dbraw/zinc/96/65/31/627966531.db2.gz MRFSXXSQHQKKMH-TZIWHRDSSA-N 0 1 319.452 3.167 20 30 DGEDMN COC(OC)c1ccc(F)c(CNCc2cccc(C#N)c2)c1 ZINC000818177605 628001005 /nfs/dbraw/zinc/00/10/05/628001005.db2.gz CBJFMCIMXWUTQN-UHFFFAOYSA-N 0 1 314.360 3.279 20 30 DGEDMN CC(C)[C@H](N[C@H](C)c1ccc(C#N)cc1F)c1cnn(C)c1 ZINC000927068380 628006903 /nfs/dbraw/zinc/00/69/03/628006903.db2.gz IVQBSMCVMRBXRM-PXAZEXFGSA-N 0 1 300.381 3.479 20 30 DGEDMN C[C@@H](N[C@H]1c2ccccc2CC[C@H]1O)c1ccc(C#N)c(F)c1 ZINC000927141003 628025014 /nfs/dbraw/zinc/02/50/14/628025014.db2.gz VGQINYIJQHJIDQ-DPMMWBKBSA-N 0 1 310.372 3.396 20 30 DGEDMN C=CCC[C@@H]([NH2+]Cc1ccc(-c2nn[n-]n2)o1)c1ccccc1 ZINC000819733682 628183977 /nfs/dbraw/zinc/18/39/77/628183977.db2.gz ABXLHQPPRFMXKH-OAHLLOKOSA-N 0 1 309.373 3.257 20 30 DGEDMN CCCn1cc(CN=Nc2ncc(Br)cc2C)cn1 ZINC000819827688 628193787 /nfs/dbraw/zinc/19/37/87/628193787.db2.gz NAXMKYYQDSLCRL-UHFFFAOYSA-N 0 1 322.210 3.205 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC[C@H]2C)cc1 ZINC000819942927 628202246 /nfs/dbraw/zinc/20/22/46/628202246.db2.gz MVSCCXFCQMUQDQ-DAXOMENPSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1c2cc(C#N)ccc2sc1C(=O)N1CCc2nc[nH]c2C1 ZINC000929079268 628207025 /nfs/dbraw/zinc/20/70/25/628207025.db2.gz ICGQABIKBQQEPX-UHFFFAOYSA-N 0 1 322.393 3.003 20 30 DGEDMN CC(C)Cc1nc(-c2nn[nH]n2)c(N=NC2CCCC2(C)C)o1 ZINC000820108697 628226525 /nfs/dbraw/zinc/22/65/25/628226525.db2.gz OMJVMGICEBGGNG-UHFFFAOYSA-N 0 1 317.397 3.031 20 30 DGEDMN CC[C@@H]1CCCC1N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820972560 628321523 /nfs/dbraw/zinc/32/15/23/628321523.db2.gz PIABIHVJNRRGEB-SECBINFHSA-N 0 1 317.397 3.130 20 30 DGEDMN CC[C@@H]1CCCC1N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC000820971998 628321610 /nfs/dbraw/zinc/32/16/10/628321610.db2.gz JHQRRRKUSFRFDT-SNVBAGLBSA-N 0 1 317.397 3.031 20 30 DGEDMN C[C@@]1(C#N)CC[C@H](OC(=O)c2cc3[nH]cnc3cc2F)CC1 ZINC000821364695 628360598 /nfs/dbraw/zinc/36/05/98/628360598.db2.gz FHZBLZOROPBNNO-RSVKCKOMSA-N 0 1 301.321 3.331 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN(C[C@H](C)C#N)C1CC1 ZINC000823476900 628566902 /nfs/dbraw/zinc/56/69/02/628566902.db2.gz CMEBMVNPFOMPJE-CABCVRRESA-N 0 1 323.481 3.407 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@@H]2CCCC[C@@H]2C)o1 ZINC000824352496 628654041 /nfs/dbraw/zinc/65/40/41/628654041.db2.gz FYNVTGZNMGTSQK-QWRGUYRKSA-N 0 1 317.397 3.202 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@H]2CCC[C@@H](C)C2)o1 ZINC000824927589 628708080 /nfs/dbraw/zinc/70/80/80/628708080.db2.gz PTPGMOSYPKCGCL-MNOVXSKESA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCC[C@@H](NCc1c(O)ccc(Cl)c1F)C(=O)OCC ZINC000825117410 628729905 /nfs/dbraw/zinc/72/99/05/628729905.db2.gz CKXZFOZKSQIIEG-GFCCVEGCSA-N 0 1 315.772 3.172 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCCC[C@H]1CC(=O)[O-] ZINC000826081343 628826478 /nfs/dbraw/zinc/82/64/78/628826478.db2.gz OZOYOZFKVGGFHA-HNNXBMFYSA-N 0 1 300.402 3.317 20 30 DGEDMN CCOC(=O)[C@@]1(F)CN(CC(C)(C)CCC#N)CC12CCC2 ZINC000826853279 628895147 /nfs/dbraw/zinc/89/51/47/628895147.db2.gz TWKKDJYDOJWAAJ-KRWDZBQOSA-N 0 1 310.413 3.074 20 30 DGEDMN C[C@H](C[N@@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1)c1ccccc1 ZINC000827442461 628959478 /nfs/dbraw/zinc/95/94/78/628959478.db2.gz LCFXBWATPAZPND-RDTXWAMCSA-N 0 1 308.381 3.419 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN1CC[C@](C)(C#N)C1 ZINC000827650040 628979876 /nfs/dbraw/zinc/97/98/76/628979876.db2.gz MODRINCXGXYOLT-WMLDXEAASA-N 0 1 309.454 3.019 20 30 DGEDMN C#Cc1cnc(N2CCC[C@@H](c3nc4ccc(C)cc4[nH]3)C2)nc1 ZINC000827883954 629000354 /nfs/dbraw/zinc/00/03/54/629000354.db2.gz UWKFRHAIXAQPFI-OAHLLOKOSA-N 0 1 317.396 3.027 20 30 DGEDMN C#CCOc1ccc(CN2Cc3cnn(C)c3CC(C)(C)C2)cc1 ZINC000828018161 629015242 /nfs/dbraw/zinc/01/52/42/629015242.db2.gz GZMDSOATFHHRNW-UHFFFAOYSA-N 0 1 323.440 3.017 20 30 DGEDMN C#CCCN1CCN(Cc2ccc([C@H](C)C(F)(F)F)cc2)CC1 ZINC000828519755 629062245 /nfs/dbraw/zinc/06/22/45/629062245.db2.gz ZWOSACOTIIVHMI-HNNXBMFYSA-N 0 1 324.390 3.493 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)N(C)Cc2ccc(Cl)s2)C1 ZINC000829768542 629190374 /nfs/dbraw/zinc/19/03/74/629190374.db2.gz FTQBAWAJPRAOTQ-ZDUSSCGKSA-N 0 1 324.877 3.095 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccccc2C(F)(F)F)C1 ZINC000829778924 629191476 /nfs/dbraw/zinc/19/14/76/629191476.db2.gz KRBOLPYQOSWJLO-CYBMUJFWSA-N 0 1 324.346 3.379 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)c2nc(Cc3ccccc3)no2)C1 ZINC000829783759 629192297 /nfs/dbraw/zinc/19/22/97/629192297.db2.gz BRWJMDGMBWNMGV-WBVHZDCISA-N 0 1 309.413 3.457 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(OCC)cc2)C1 ZINC000829794380 629193384 /nfs/dbraw/zinc/19/33/84/629193384.db2.gz XDCWHVWKODGLNX-CVEARBPZSA-N 0 1 314.429 3.148 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(SC)cc2)C1 ZINC000829793416 629193479 /nfs/dbraw/zinc/19/34/79/629193479.db2.gz DFCOLKBTPPYXDB-AWEZNQCLSA-N 0 1 302.443 3.082 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(OCC)cc2)C1 ZINC000829794383 629193517 /nfs/dbraw/zinc/19/35/17/629193517.db2.gz XDCWHVWKODGLNX-JKSUJKDBSA-N 0 1 314.429 3.148 20 30 DGEDMN CCS[C@H]1CCCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000830163974 629233034 /nfs/dbraw/zinc/23/30/34/629233034.db2.gz LFXAEGQOVSZOTE-JKSUJKDBSA-N 0 1 318.442 3.292 20 30 DGEDMN CCc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2cccc(C#N)c2)cc1 ZINC000830249929 629244859 /nfs/dbraw/zinc/24/48/59/629244859.db2.gz RBBYNMNAFPELDG-GOSISDBHSA-N 0 1 308.381 3.378 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)N[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000830326126 629253263 /nfs/dbraw/zinc/25/32/63/629253263.db2.gz CPBBZTDRVYUCAD-GJZGRUSLSA-N 0 1 324.424 3.344 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H](c1cccc(C#N)c1)C(F)(F)F ZINC000831095168 629337482 /nfs/dbraw/zinc/33/74/82/629337482.db2.gz AACJFQQRWAGUMY-LLVKDONJSA-N 0 1 318.245 3.489 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2cccc(F)n2)CC1 ZINC000831486229 629382112 /nfs/dbraw/zinc/38/21/12/629382112.db2.gz PEBZXCZMCOZTTH-UHFFFAOYSA-N 0 1 304.413 3.063 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1c(C)nn(-c2ccccc2)c1Cl ZINC000831679156 629403610 /nfs/dbraw/zinc/40/36/10/629403610.db2.gz RQBPZGAUAXKWBV-CVEARBPZSA-N 0 1 317.820 3.267 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)C#CC(C)(C)C)C2)n[nH]1 ZINC000831884821 629422584 /nfs/dbraw/zinc/42/25/84/629422584.db2.gz KTNQVLKTTOJKBP-AWEZNQCLSA-N 0 1 301.434 3.289 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CC3(CCC3)[C@@H]2c2ccco2)cc1 ZINC000832233468 629453616 /nfs/dbraw/zinc/45/36/16/629453616.db2.gz ZIASRUQHTLTBGQ-AEFFLSMTSA-N 0 1 308.381 3.412 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@@]2(CCOC2)C1 ZINC000832344090 629469720 /nfs/dbraw/zinc/46/97/20/629469720.db2.gz SHACPPACPGCISP-QGZVFWFLSA-N 0 1 320.820 3.245 20 30 DGEDMN N#CC1CC[NH+]([C@H](C(=O)[O-])c2cc(Cl)cc(Cl)c2)CC1 ZINC000833063428 629554672 /nfs/dbraw/zinc/55/46/72/629554672.db2.gz WEYVUIULKCQECV-ZDUSSCGKSA-N 0 1 313.184 3.355 20 30 DGEDMN C#CC[C@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1ccccc1 ZINC000833360903 629591441 /nfs/dbraw/zinc/59/14/41/629591441.db2.gz RJFKBHCJEZCCGB-SFHVURJKSA-N 0 1 304.349 3.111 20 30 DGEDMN CC(C)(CCC#N)C[N@@H+](CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000833457309 629607255 /nfs/dbraw/zinc/60/72/55/629607255.db2.gz OOILWZDTHFGNQT-UHFFFAOYSA-N 0 1 310.344 3.181 20 30 DGEDMN C[C@H](Oc1ccc(C[N@@H+](C)Cc2cccc(C#N)c2)cc1)C(=O)[O-] ZINC000833538561 629617967 /nfs/dbraw/zinc/61/79/67/629617967.db2.gz TWLLBLGNSAJSLN-AWEZNQCLSA-N 0 1 324.380 3.042 20 30 DGEDMN C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC000833633388 629633699 /nfs/dbraw/zinc/63/36/99/629633699.db2.gz VCSIXWIWDWFJJJ-ZDUSSCGKSA-N 0 1 306.365 3.203 20 30 DGEDMN C[C@H]1Cc2ccccc2C[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC000833633388 629633701 /nfs/dbraw/zinc/63/37/01/629633701.db2.gz VCSIXWIWDWFJJJ-ZDUSSCGKSA-N 0 1 306.365 3.203 20 30 DGEDMN CCOC(=O)c1ccc(N=NC2C[C@H](C)n3ccnc32)cc1C ZINC000834978842 629816307 /nfs/dbraw/zinc/81/63/07/629816307.db2.gz JDZGAOLCUBTTGE-LBPRGKRZSA-N 0 1 312.373 3.149 20 30 DGEDMN N#CC(C(=O)C1(CF)CCOCC1)c1ccc2ccccc2n1 ZINC000835040195 629832380 /nfs/dbraw/zinc/83/23/80/629832380.db2.gz BKYJOFITYXSWIU-AWEZNQCLSA-N 0 1 312.344 3.177 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC2(F)F)cc1 ZINC000835060757 629840498 /nfs/dbraw/zinc/84/04/98/629840498.db2.gz CXGFQVFJBHCVOB-KBPBESRZSA-N 0 1 320.339 3.332 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCCC(=O)C(C)(C)C)nc(C)n1 ZINC000835060306 629840699 /nfs/dbraw/zinc/84/06/99/629840699.db2.gz RKZUTJOHGWQDQU-ZDUSSCGKSA-N 0 1 301.390 3.055 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000835066588 629842414 /nfs/dbraw/zinc/84/24/14/629842414.db2.gz YOFWMNXRQFUKEZ-FBIMIBRVSA-N 0 1 308.740 3.173 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H]1CC1(F)F ZINC000835064388 629842726 /nfs/dbraw/zinc/84/27/26/629842726.db2.gz VXJAUQKZPQBANJ-CHWSQXEVSA-N 0 1 320.339 3.114 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)O[C@H]1CCCN(Cc2ccccc2)C1 ZINC000835289004 629899515 /nfs/dbraw/zinc/89/95/15/629899515.db2.gz DAAVGLBFBOMRLP-KRWDZBQOSA-N 0 1 323.396 3.016 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000835289006 629899707 /nfs/dbraw/zinc/89/97/07/629899707.db2.gz DAAVGLBFBOMRLP-QGZVFWFLSA-N 0 1 323.396 3.016 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C1CCCCC1 ZINC000102122885 629951466 /nfs/dbraw/zinc/95/14/66/629951466.db2.gz OTLJEJNCVOPTHQ-UKRRQHHQSA-N 0 1 313.401 3.335 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000837444974 630258817 /nfs/dbraw/zinc/25/88/17/630258817.db2.gz ZZEJFCGQPGAVQV-UHFFFAOYSA-N 0 1 320.190 3.313 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccc(C2CC2)cc1 ZINC000841606549 630447963 /nfs/dbraw/zinc/44/79/63/630447963.db2.gz IJXRXOBYPGYXHR-UHFFFAOYSA-N 0 1 312.320 3.345 20 30 DGEDMN COCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000842289641 630511288 /nfs/dbraw/zinc/51/12/88/630511288.db2.gz GLOQGYIGVTWHGR-NSHDSACASA-N 0 1 322.792 3.050 20 30 DGEDMN COCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000842288039 630511494 /nfs/dbraw/zinc/51/14/94/630511494.db2.gz GKNWTEJTBJLPGZ-ZDUSSCGKSA-N 0 1 322.792 3.050 20 30 DGEDMN Clc1c(C=NNCCN2CCCCC2)oc2ccccc12 ZINC000842524031 630544268 /nfs/dbraw/zinc/54/42/68/630544268.db2.gz HYWCEEFVFKGBCF-UHFFFAOYSA-N 0 1 305.809 3.496 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C(=O)C3(CCC3)[C@H]2c2ccccc2)C1 ZINC000842628810 630555687 /nfs/dbraw/zinc/55/56/87/630555687.db2.gz GVAUHIBOHVJKOG-PKOBYXMFSA-N 0 1 322.452 3.433 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@](C)(Cc3ccccc3)CC2=O)C1 ZINC000842629228 630556210 /nfs/dbraw/zinc/55/62/10/630556210.db2.gz LPFCOVGURXWGBK-TZIWHRDSSA-N 0 1 324.468 3.161 20 30 DGEDMN CC[C@@H](C/N=C/c1cc(Cl)cc(Cl)c1O)C(=O)OC ZINC000843262581 630638530 /nfs/dbraw/zinc/63/85/30/630638530.db2.gz CEILGTUIOYAXSU-LBBJYAOWSA-N 0 1 304.173 3.317 20 30 DGEDMN CCCCCCOc1ccc(CN2CC[C@](O)(CC#N)C2)cc1 ZINC000843425135 630657044 /nfs/dbraw/zinc/65/70/44/630657044.db2.gz FJCXDFKHYTUBHR-LJQANCHMSA-N 0 1 316.445 3.496 20 30 DGEDMN C#CC1CCN(Cc2c(C)nn(CC(C)C)c2OCC)CC1 ZINC000843427930 630657740 /nfs/dbraw/zinc/65/77/40/630657740.db2.gz BPGTZIRSNRCWSC-UHFFFAOYSA-N 0 1 303.450 3.091 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)[C@@H]2CCCCN2Cc2ccccc2)C1 ZINC000844000053 630706386 /nfs/dbraw/zinc/70/63/86/630706386.db2.gz UIYHMCDJPLKPDC-ICSRJNTNSA-N 0 1 324.468 3.303 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000105757976 631057475 /nfs/dbraw/zinc/05/74/75/631057475.db2.gz HCKPLRQRXNAKIO-KBPBESRZSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@H]1C1CCCC1)c1nnc2n1CCCCC2 ZINC000845447078 631175907 /nfs/dbraw/zinc/17/59/07/631175907.db2.gz YKWWYAUKZJDUHL-SOUVJXGZSA-N 0 1 312.417 3.007 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C2CCCC2)c1 ZINC000845526057 631180438 /nfs/dbraw/zinc/18/04/38/631180438.db2.gz BHGNGDDTZFKUIT-RCCFBDPRSA-N 0 1 324.424 3.146 20 30 DGEDMN C=C[C@@H](C)ONC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000845616420 631183772 /nfs/dbraw/zinc/18/37/72/631183772.db2.gz JJYDFIDYUMSVFR-SSDOTTSWSA-N 0 1 305.161 3.004 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1)n1cccc1 ZINC000845839440 631198275 /nfs/dbraw/zinc/19/82/75/631198275.db2.gz UMEZXWMMSPZBNF-DOTOQJQBSA-N 0 1 320.392 3.449 20 30 DGEDMN Cc1ccc(CC(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)o1 ZINC000845841470 631198569 /nfs/dbraw/zinc/19/85/69/631198569.db2.gz NNUHGEKJQSGBSZ-MRXNPFEDSA-N 0 1 307.349 3.140 20 30 DGEDMN CC[C@H](SC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845841754 631198593 /nfs/dbraw/zinc/19/85/93/631198593.db2.gz PZCLNNKKXLVSRI-KBPBESRZSA-N 0 1 301.411 3.138 20 30 DGEDMN Cc1nc(CC(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)cs1 ZINC000845842950 631198766 /nfs/dbraw/zinc/19/87/66/631198766.db2.gz WIUIJOQTVIQZEA-HNNXBMFYSA-N 0 1 324.405 3.004 20 30 DGEDMN CC[C@H](C)[C@H](OC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843395 631198833 /nfs/dbraw/zinc/19/88/33/631198833.db2.gz DAUKBTIYOVPDIV-QRTARXTBSA-N 0 1 313.397 3.057 20 30 DGEDMN CCOc1cccc(C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)c1 ZINC000846008810 631205476 /nfs/dbraw/zinc/20/54/76/631205476.db2.gz XZVUOANQXJTBAG-LJQANCHMSA-N 0 1 310.397 3.122 20 30 DGEDMN CCOc1cccc(C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)c1 ZINC000846008807 631205509 /nfs/dbraw/zinc/20/55/09/631205509.db2.gz XZVUOANQXJTBAG-IBGZPJMESA-N 0 1 310.397 3.122 20 30 DGEDMN C=C(C)CONC(=O)[C@@H](C)c1ccc(Br)s1 ZINC000846536999 631256097 /nfs/dbraw/zinc/25/60/97/631256097.db2.gz FJIBPIYOKRQUAQ-QMMMGPOBSA-N 0 1 304.209 3.238 20 30 DGEDMN C=C[C@@H](C)ONC(=O)[C@@H]1CCc2cc(Br)ccc21 ZINC000846553451 631259891 /nfs/dbraw/zinc/25/98/91/631259891.db2.gz BKAXGRFPRMISBI-NOZJJQNGSA-N 0 1 310.191 3.101 20 30 DGEDMN C=C[C@@H](C)ONC(=O)[C@H]1CCc2cc(Br)ccc21 ZINC000846553452 631259917 /nfs/dbraw/zinc/25/99/17/631259917.db2.gz BKAXGRFPRMISBI-RNCFNFMXSA-N 0 1 310.191 3.101 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccccc3C3CC3)CC2)cn1 ZINC000846878467 631337377 /nfs/dbraw/zinc/33/73/77/631337377.db2.gz DZOFZUPRFNVWNQ-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N[C@@H](C)c2ccc(Cl)cc2)C1 ZINC000847024284 631383114 /nfs/dbraw/zinc/38/31/14/631383114.db2.gz QFLCNGGAWZZYON-RRFJBIMHSA-N 0 1 318.848 3.251 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000848289730 631641519 /nfs/dbraw/zinc/64/15/19/631641519.db2.gz BTWVYSGNFJJTTK-GFCCVEGCSA-N 0 1 313.788 3.216 20 30 DGEDMN c1ccc2ncc(CNN=C3CCCN4CCCC[C@H]34)cc2c1 ZINC000848407602 631655677 /nfs/dbraw/zinc/65/56/77/631655677.db2.gz RTXCBRBIZUILIB-LJQANCHMSA-N 0 1 308.429 3.329 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2cncnc2C)c1 ZINC000848533251 631681856 /nfs/dbraw/zinc/68/18/56/631681856.db2.gz FVPFTWHZOPWXPT-CZUORRHYSA-N 0 1 310.401 3.317 20 30 DGEDMN N#Cc1ccc(OCCOC(=O)c2ccc(O)c(Cl)c2)cc1 ZINC000848951280 631793216 /nfs/dbraw/zinc/79/32/16/631793216.db2.gz ZGLZFANIBPKXQC-UHFFFAOYSA-N 0 1 317.728 3.153 20 30 DGEDMN CO[C@H](C/N=C/c1cc(Cl)cc(Cl)c1O)[C@H]1CCOC1 ZINC000849169816 631852666 /nfs/dbraw/zinc/85/26/66/631852666.db2.gz GUWHBRZVKHBRJE-IZQFALEASA-N 0 1 318.200 3.169 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCSc1cccc(OC)c1 ZINC000849888086 631997207 /nfs/dbraw/zinc/99/72/07/631997207.db2.gz WVAYCRGQNKZWPS-UHFFFAOYSA-N 0 1 323.443 3.063 20 30 DGEDMN N#CC1(c2ccc(CNCc3cccc4c3OCCO4)cc2)CC1 ZINC000850135924 632064260 /nfs/dbraw/zinc/06/42/60/632064260.db2.gz LTRIINBSUOLQAB-UHFFFAOYSA-N 0 1 320.392 3.303 20 30 DGEDMN CCCCCCCOc1cccc(CN[C@H]2CCNC2=O)c1 ZINC000850341952 632119147 /nfs/dbraw/zinc/11/91/47/632119147.db2.gz CAPCXMIDHOBACI-KRWDZBQOSA-N 0 1 304.434 3.014 20 30 DGEDMN N#CC1(CNCc2ccc(N3CCOCC3)cc2)CCCCC1 ZINC000850390335 632136700 /nfs/dbraw/zinc/13/67/00/632136700.db2.gz FUFCGTDCIGSNBT-UHFFFAOYSA-N 0 1 313.445 3.087 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)C1CC2(C1)CCCCC2 ZINC000850751602 632215064 /nfs/dbraw/zinc/21/50/64/632215064.db2.gz DVLAQBODYNFBOZ-HNNXBMFYSA-N 0 1 304.434 3.362 20 30 DGEDMN N#C[C@@H](C(=O)NC1CCCCC1)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000850757500 632216822 /nfs/dbraw/zinc/21/68/22/632216822.db2.gz LJXJDLWGRGOJHC-TWMKSMIVSA-N 0 1 316.445 3.361 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000850757315 632216882 /nfs/dbraw/zinc/21/68/82/632216882.db2.gz YGLZUNGFUWUVIE-STQMWFEESA-N 0 1 304.777 3.424 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000850757974 632216958 /nfs/dbraw/zinc/21/69/58/632216958.db2.gz VVTUAYKLBROXCT-XDNAFOTISA-N 0 1 324.424 3.228 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1CCC1(C)C)c1ccccc1 ZINC000850978479 632275316 /nfs/dbraw/zinc/27/53/16/632275316.db2.gz TUDDHXBYQMBDAD-OAGGEKHMSA-N 0 1 312.413 3.399 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCCC1 ZINC000850987236 632278693 /nfs/dbraw/zinc/27/86/93/632278693.db2.gz XKNCPERRMDLARK-IFXJQAMLSA-N 0 1 323.396 3.401 20 30 DGEDMN CC1(C)OC[C@H](CCN2CCC[C@@H]2[C@@H](C#N)c2ccccc2)O1 ZINC000851794412 632496517 /nfs/dbraw/zinc/49/65/17/632496517.db2.gz SLUKNUMCEBOHLO-OKZBNKHCSA-N 0 1 314.429 3.300 20 30 DGEDMN C#CCOCCN1CCC[C@H]1c1nc2ccccc2n1C(C)C ZINC000851850544 632507957 /nfs/dbraw/zinc/50/79/57/632507957.db2.gz PJIWFPSIPRDLFV-SFHVURJKSA-N 0 1 311.429 3.404 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccccc1OC(F)F ZINC000852098711 632552917 /nfs/dbraw/zinc/55/29/17/632552917.db2.gz UJVCOKPMUCERBF-BZNIZROVSA-N 0 1 310.344 3.007 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccccc1OC(F)F ZINC000852098712 632554171 /nfs/dbraw/zinc/55/41/71/632554171.db2.gz UJVCOKPMUCERBF-MEDUHNTESA-N 0 1 310.344 3.007 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000852103036 632555539 /nfs/dbraw/zinc/55/55/39/632555539.db2.gz WEEUKSSDPHREBX-QFBILLFUSA-N 0 1 311.429 3.022 20 30 DGEDMN CCOC(=O)[C@@]1(F)CN(CCCCC(C)(C)C#N)CC12CCC2 ZINC000852317420 632585067 /nfs/dbraw/zinc/58/50/67/632585067.db2.gz NUANBIYRQCOMHH-SFHVURJKSA-N 0 1 324.440 3.464 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2Cc3ccc(NC(=O)C(F)(F)F)cc3C2)C1 ZINC000852336453 632590933 /nfs/dbraw/zinc/59/09/33/632590933.db2.gz VPCKNQKVTAYRIQ-YGRLFVJLSA-N 0 1 323.318 3.195 20 30 DGEDMN C=CCN(Cc1cc(Cl)ccc1OCC#N)[C@H](C)COC ZINC000852358309 632594661 /nfs/dbraw/zinc/59/46/61/632594661.db2.gz PRPWCZSFVRCIHQ-CYBMUJFWSA-N 0 1 308.809 3.265 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C)s2)CC1(C)C ZINC000995367310 660194380 /nfs/dbraw/zinc/19/43/80/660194380.db2.gz QGUPTZVDHGTAIQ-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(C)CN(CC)CC(=O)Nc1ccc(N2CCCC2)cc1 ZINC000072700741 660213577 /nfs/dbraw/zinc/21/35/77/660213577.db2.gz IAQSSRYSSHFKCE-UHFFFAOYSA-N 0 1 301.434 3.123 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H](C)C1 ZINC000945367010 660250356 /nfs/dbraw/zinc/25/03/56/660250356.db2.gz QCZPGEQAFLXLLD-JZFKGDSASA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccsc2C)CC1(C)C ZINC000995780940 660270800 /nfs/dbraw/zinc/27/08/00/660270800.db2.gz ADNBCJHXAHXYAM-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(C)(C)C2CC2)CC1(C)C ZINC000995775315 660270504 /nfs/dbraw/zinc/27/05/04/660270504.db2.gz SMJRGLCBWGOVPJ-AWEZNQCLSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C)(C)C2CC2)CC1(C)C ZINC000995775320 660270537 /nfs/dbraw/zinc/27/05/37/660270537.db2.gz SMJRGLCBWGOVPJ-CQSZACIVSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(F)c2C)CC1(C)C ZINC000995850989 660271841 /nfs/dbraw/zinc/27/18/41/660271841.db2.gz WTVZTWCIZXZGHI-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2[nH]ccc2C2CC2)CC1(C)C ZINC000995883979 660272472 /nfs/dbraw/zinc/27/24/72/660272472.db2.gz KPDORWPSXFAMLF-CQSZACIVSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CC)o2)CC1(C)C ZINC000995968471 660273710 /nfs/dbraw/zinc/27/37/10/660273710.db2.gz SFCKYXFLSBLNFC-CQSZACIVSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC(F)CC2)CC1(C)C ZINC000996252780 660278172 /nfs/dbraw/zinc/27/81/72/660278172.db2.gz DKXZAZMFVJCMIV-JXQTWKCFSA-N 0 1 316.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc[nH]c2C(C)C)CC1(C)C ZINC000996170952 660277180 /nfs/dbraw/zinc/27/71/80/660277180.db2.gz TXJBOAQIICCIKZ-CQSZACIVSA-N 0 1 323.868 3.331 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001015955550 660282042 /nfs/dbraw/zinc/28/20/42/660282042.db2.gz KFHOPNGSTFCEHH-RDJZCZTQSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C)CC(F)(F)C2)CC1(C)C ZINC000996504286 660283696 /nfs/dbraw/zinc/28/36/96/660283696.db2.gz ZYTRWFUQKBRTKT-LLVKDONJSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001015962195 660285066 /nfs/dbraw/zinc/28/50/66/660285066.db2.gz FNBJZJONXAEZBX-RYUDHWBXSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C(C)(F)F)CC2)CC1(C)C ZINC000996306836 660279374 /nfs/dbraw/zinc/27/93/74/660279374.db2.gz BBAWQWDUPJSUPN-NSHDSACASA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)cc(F)c2)CC1(C)C ZINC000996343359 660279888 /nfs/dbraw/zinc/27/98/88/660279888.db2.gz OIIGVUXWQMZCBT-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC000996952531 660303373 /nfs/dbraw/zinc/30/33/73/660303373.db2.gz BMSIFDKSFXUEKU-RDTXWAMCSA-N 0 1 319.449 3.227 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC000996952529 660303375 /nfs/dbraw/zinc/30/33/75/660303375.db2.gz BMSIFDKSFXUEKU-KSSFIOAISA-N 0 1 319.449 3.227 20 30 DGEDMN CC(C)c1ccccc1CN1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397365 660311774 /nfs/dbraw/zinc/31/17/74/660311774.db2.gz WQOGMJLONDVFLV-OALUTQOASA-N 0 1 322.452 3.009 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cscc1Cl ZINC001032462704 660322295 /nfs/dbraw/zinc/32/22/95/660322295.db2.gz ZFUXPXMTVGWALY-RYUDHWBXSA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2ccc(C)nc21 ZINC001032466919 660322809 /nfs/dbraw/zinc/32/28/09/660322809.db2.gz KTOXUAAEVAYOAD-IRXDYDNUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(C)CCC(F)(F)CC1 ZINC001032483273 660329268 /nfs/dbraw/zinc/32/92/68/660329268.db2.gz XPMMINIWFBYJMU-KBPBESRZSA-N 0 1 312.404 3.063 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCc2ccccc21 ZINC001032562433 660348911 /nfs/dbraw/zinc/34/89/11/660348911.db2.gz SPGQVDXJWUOUAJ-XYJFISCASA-N 0 1 310.441 3.171 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)c2cccn2C)CC1 ZINC000997930293 660357263 /nfs/dbraw/zinc/35/72/63/660357263.db2.gz VGTLILOFAPRRFD-OAHLLOKOSA-N 0 1 323.868 3.094 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000998200272 660376122 /nfs/dbraw/zinc/37/61/22/660376122.db2.gz ZIESGTIEZDWDAI-QGZVFWFLSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@H]2CCC[N@@H+](CC#CC)CC2)CC1 ZINC000998112038 660370761 /nfs/dbraw/zinc/37/07/61/660370761.db2.gz SGWRTMRCDRWLEK-IBGZPJMESA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(CC)CC)no1 ZINC001032671528 660410269 /nfs/dbraw/zinc/41/02/69/660410269.db2.gz UPJZUCCFFOYBTQ-GJZGRUSLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc3occc3c2)C1 ZINC000999228379 660410366 /nfs/dbraw/zinc/41/03/66/660410366.db2.gz QGRSAFNEUYZHKL-HNNXBMFYSA-N 0 1 318.804 3.380 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001032684597 660413548 /nfs/dbraw/zinc/41/35/48/660413548.db2.gz FBIBBSKVKFPIRN-MNEFBYGVSA-N 0 1 312.457 3.214 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2ccccc2CC)C1 ZINC000999487304 660421045 /nfs/dbraw/zinc/42/10/45/660421045.db2.gz WQBZMDXJJNNXRX-KRWDZBQOSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2ccc(C)cc2)C1 ZINC000999653808 660424230 /nfs/dbraw/zinc/42/42/30/660424230.db2.gz PTLOPJVMMRTFGN-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2cc(F)ccc2F)C1 ZINC000999898419 660429817 /nfs/dbraw/zinc/42/98/17/660429817.db2.gz IQFJKDMVBSXFJG-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2nc(C)sc2C)C1 ZINC000999900594 660430680 /nfs/dbraw/zinc/43/06/80/660430680.db2.gz REDNHMRIHPMKPQ-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2cccc(Cl)n2)C1 ZINC000999901853 660430811 /nfs/dbraw/zinc/43/08/11/660430811.db2.gz WKYGBOVJIJKVJT-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]ccc1-c1ccccc1 ZINC001032727865 660434597 /nfs/dbraw/zinc/43/45/97/660434597.db2.gz GKBHUQSSELWGTR-IRXDYDNUSA-N 0 1 321.424 3.157 20 30 DGEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc2ccccc21 ZINC001032746085 660437589 /nfs/dbraw/zinc/43/75/89/660437589.db2.gz YSJNRURASCXTOG-OALUTQOASA-N 0 1 320.436 3.244 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](F)CC1CCCCC1 ZINC001032734274 660435411 /nfs/dbraw/zinc/43/54/11/660435411.db2.gz DQGHFORGMYHPDW-YESZJQIVSA-N 0 1 308.441 3.156 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)ccc2F)C1 ZINC001000314894 660444560 /nfs/dbraw/zinc/44/45/60/660444560.db2.gz ZNERISXULYENHU-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccccc2OCC)C1 ZINC001000840798 660449028 /nfs/dbraw/zinc/44/90/28/660449028.db2.gz PKMAPGOXXWGSDS-CQSZACIVSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2sccc2CC)C1 ZINC001000989550 660450720 /nfs/dbraw/zinc/45/07/20/660450720.db2.gz WVDDNUJFRRTNLZ-CYBMUJFWSA-N 0 1 312.866 3.257 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2csc3ccccc23)CC1 ZINC001003396077 660492784 /nfs/dbraw/zinc/49/27/84/660492784.db2.gz ONNGXNGHGBHWGI-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C)c3ccccc23)CC1 ZINC001003705174 660500843 /nfs/dbraw/zinc/50/08/43/660500843.db2.gz MIYQIHPBWZFWMH-UHFFFAOYSA-N 0 1 320.436 3.366 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2CCC(C(C)(C)C)CC2)CC1 ZINC001004090046 660528356 /nfs/dbraw/zinc/52/83/56/660528356.db2.gz CVMOZKKRNSRMSV-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(CCC)c(C)s2)CC1 ZINC001004108772 660529061 /nfs/dbraw/zinc/52/90/61/660529061.db2.gz JZHQTHFNYALAEC-UHFFFAOYSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2c3ccccc3oc2CC)CC1 ZINC001004216755 660534259 /nfs/dbraw/zinc/53/42/59/660534259.db2.gz ZVXUHOYSAJDXOH-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN CC(C(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1)=C1CCC1 ZINC001033066509 660535488 /nfs/dbraw/zinc/53/54/88/660535488.db2.gz NBMTUOWSAWCIQE-LJQANCHMSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001033085129 660537599 /nfs/dbraw/zinc/53/75/99/660537599.db2.gz PYSZUKFBYNDXSB-KURKYZTESA-N 0 1 312.457 3.145 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C3CCC3)cc2)C1 ZINC001033129484 660553669 /nfs/dbraw/zinc/55/36/69/660553669.db2.gz ALJAMELEMZIHRY-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN CCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1ccccc1C#N ZINC001004684796 660567074 /nfs/dbraw/zinc/56/70/74/660567074.db2.gz LTVADIXMBLWJPI-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2C(C)(C)C)C1 ZINC001033177356 660572618 /nfs/dbraw/zinc/57/26/18/660572618.db2.gz ORWJCHBNNSVTNG-OAHLLOKOSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001033284555 660603608 /nfs/dbraw/zinc/60/36/08/660603608.db2.gz ZGKMJWIXCRAOKC-HNNXBMFYSA-N 0 1 314.429 3.367 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001033288098 660604015 /nfs/dbraw/zinc/60/40/15/660604015.db2.gz BEJKCAAGAQVUKK-CYBMUJFWSA-N 0 1 316.376 3.213 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2csc3ccccc32)C1 ZINC001033300017 660605289 /nfs/dbraw/zinc/60/52/89/660605289.db2.gz JWLDQBCNDSTJEV-CYBMUJFWSA-N 0 1 300.427 3.234 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001033388073 660618357 /nfs/dbraw/zinc/61/83/57/660618357.db2.gz OKQUSFMMRSIEIE-MRXNPFEDSA-N 0 1 320.864 3.336 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001033591225 660635608 /nfs/dbraw/zinc/63/56/08/660635608.db2.gz ZQJOLTQTKKBSOQ-KRWDZBQOSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001033604188 660637318 /nfs/dbraw/zinc/63/73/18/660637318.db2.gz CHBDLNRGJGOUGM-QGZVFWFLSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001033607021 660638071 /nfs/dbraw/zinc/63/80/71/660638071.db2.gz SWACYBWHBYFVGE-AWEZNQCLSA-N 0 1 312.335 3.038 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(Cl)cc2Cl)C1 ZINC001033606546 660638108 /nfs/dbraw/zinc/63/81/08/660638108.db2.gz OFIWVDHUPIZJOE-GFCCVEGCSA-N 0 1 313.228 3.326 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001033636250 660642979 /nfs/dbraw/zinc/64/29/79/660642979.db2.gz VQJBCOJMWBCQQE-CQSZACIVSA-N 0 1 304.459 3.348 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001033636250 660642981 /nfs/dbraw/zinc/64/29/81/660642981.db2.gz VQJBCOJMWBCQQE-CQSZACIVSA-N 0 1 304.459 3.348 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001033677959 660649109 /nfs/dbraw/zinc/64/91/09/660649109.db2.gz GHLYJIHHWWFEDE-CQSZACIVSA-N 0 1 318.486 3.215 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001033710309 660653756 /nfs/dbraw/zinc/65/37/56/660653756.db2.gz DUAGSJUVIYKHQE-HNNXBMFYSA-N 0 1 308.372 3.131 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001033729867 660657289 /nfs/dbraw/zinc/65/72/89/660657289.db2.gz QWQMKCJTRRUADJ-ZDUSSCGKSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2c(C)oc3ccccc32)C1 ZINC001033731062 660657565 /nfs/dbraw/zinc/65/75/65/660657565.db2.gz WWJDXCBXJBEQGD-OAHLLOKOSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cccc3scnc32)C1 ZINC001033832420 660667769 /nfs/dbraw/zinc/66/77/69/660667769.db2.gz FISQHARRCBQNRS-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc3scnc32)C1 ZINC001033832420 660667771 /nfs/dbraw/zinc/66/77/71/660667771.db2.gz FISQHARRCBQNRS-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN CCN(C(=O)C1=CCCC1)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001033797387 660665365 /nfs/dbraw/zinc/66/53/65/660665365.db2.gz XXJQZTKSXTYKRK-LJQANCHMSA-N 0 1 323.440 3.091 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001033833619 660667908 /nfs/dbraw/zinc/66/79/08/660667908.db2.gz JJJOSOWKDLQYIW-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001033833619 660667909 /nfs/dbraw/zinc/66/79/09/660667909.db2.gz JJJOSOWKDLQYIW-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C3CCC3)cc2)C1 ZINC001033845135 660669989 /nfs/dbraw/zinc/66/99/89/660669989.db2.gz FTIFDHXJEMRRTP-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2nsc3ccccc32)C1 ZINC001033845284 660670040 /nfs/dbraw/zinc/67/00/40/660670040.db2.gz DXSLRLQOHTZMKO-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001033850572 660670600 /nfs/dbraw/zinc/67/06/00/660670600.db2.gz NZQOPKNOLSXFTQ-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2coc3c2cccc3C)C1 ZINC001033853377 660671106 /nfs/dbraw/zinc/67/11/06/660671106.db2.gz AAAJNIJVVFTKTQ-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2nccc3ccsc32)C1 ZINC001033864667 660671445 /nfs/dbraw/zinc/67/14/45/660671445.db2.gz MISPUJVFVXMMPO-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2CCCCCCC2)C1 ZINC001033893990 660676306 /nfs/dbraw/zinc/67/63/06/660676306.db2.gz CTHUDLXGOYZXPW-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@H]2CCN(C[C@H](F)CC)C2)CC1 ZINC001033946439 660682832 /nfs/dbraw/zinc/68/28/32/660682832.db2.gz VMUUTVPUYWVJRM-SJORKVTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@@H]2CCN(C[C@H](F)CC)C2)CC1 ZINC001033946437 660682861 /nfs/dbraw/zinc/68/28/61/660682861.db2.gz VMUUTVPUYWVJRM-IAGOWNOFSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2cc3occc3s2)C1 ZINC001033960175 660684004 /nfs/dbraw/zinc/68/40/04/660684004.db2.gz ZUVQQLRQWWMVMH-CYBMUJFWSA-N 0 1 316.426 3.054 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc(F)c2Cl)C1 ZINC001033973667 660687279 /nfs/dbraw/zinc/68/72/79/660687279.db2.gz UZGFHCPLBCHVMP-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2scnc2C2CC2)C1 ZINC001033979593 660690039 /nfs/dbraw/zinc/69/00/39/660690039.db2.gz JISZRJIOLIFNRH-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2ccc(C3CC3)s2)C1 ZINC001034013258 660693724 /nfs/dbraw/zinc/69/37/24/660693724.db2.gz MSZNAYXZLOWXKV-OAHLLOKOSA-N 0 1 316.470 3.185 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc3ccccc3c2)C1 ZINC001034024120 660699243 /nfs/dbraw/zinc/69/92/43/660699243.db2.gz ZQNLFCZQYCKEBV-HXUWFJFHSA-N 0 1 320.436 3.400 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2occ3c2CCCC3)C1 ZINC001034024879 660699271 /nfs/dbraw/zinc/69/92/71/660699271.db2.gz CEZSPJRYUZYRBX-MRXNPFEDSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2csc(CC(C)C)n2)C1 ZINC001034056129 660700340 /nfs/dbraw/zinc/70/03/40/660700340.db2.gz GVWNOPFWUCOLKP-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C3CCCC3)no2)C1 ZINC001034043216 660700879 /nfs/dbraw/zinc/70/08/79/660700879.db2.gz ZCWXRDWZXHOMOS-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001034047452 660701445 /nfs/dbraw/zinc/70/14/45/660701445.db2.gz JQTVRZQKJKKMPR-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001034049932 660702125 /nfs/dbraw/zinc/70/21/25/660702125.db2.gz XZASZIYGKQDHQV-QFBILLFUSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccsc2C(F)F)C1 ZINC001034027891 660696069 /nfs/dbraw/zinc/69/60/69/660696069.db2.gz FHRUJFKFEGNXMI-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2CCC(C3CC3)CC2)C1 ZINC001034035816 660697484 /nfs/dbraw/zinc/69/74/84/660697484.db2.gz LPNMSNIEEFPUJC-CTWPCTMYSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2coc3c2cccc3C)CC1 ZINC001005510976 660715722 /nfs/dbraw/zinc/71/57/22/660715722.db2.gz PFBVSDVPBHFWTG-UHFFFAOYSA-N 0 1 324.424 3.301 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC001034225008 660727073 /nfs/dbraw/zinc/72/70/73/660727073.db2.gz CQKKZCBXJAUWEX-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccnn2C(CC)CC)C1 ZINC001034250699 660727739 /nfs/dbraw/zinc/72/77/39/660727739.db2.gz RROXKJUNWBEOHS-OAHLLOKOSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001034253045 660729801 /nfs/dbraw/zinc/72/98/01/660729801.db2.gz DLUPRBPMNNOFJP-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001034253045 660729802 /nfs/dbraw/zinc/72/98/02/660729802.db2.gz DLUPRBPMNNOFJP-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001034309648 660735375 /nfs/dbraw/zinc/73/53/75/660735375.db2.gz NZHAPRKHSBCMBL-QGZVFWFLSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001034304305 660736833 /nfs/dbraw/zinc/73/68/33/660736833.db2.gz QQBMQJJYBIAJGY-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001034343912 660740745 /nfs/dbraw/zinc/74/07/45/660740745.db2.gz DUXQNGURANOPKW-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC001034377866 660744969 /nfs/dbraw/zinc/74/49/69/660744969.db2.gz MSIQFWOAHHQRTC-OAHLLOKOSA-N 0 1 324.827 3.178 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCCCN(C[C@H](F)CC)C2)CC1 ZINC001034381522 660745650 /nfs/dbraw/zinc/74/56/50/660745650.db2.gz NOVJTNGTVVGMNI-SJORKVTESA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2cc([C@H](C)CC)no2)C1 ZINC001034428312 660748917 /nfs/dbraw/zinc/74/89/17/660748917.db2.gz MOWUTSJAGIGGAX-CABCVRRESA-N 0 1 319.449 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001034411983 660750024 /nfs/dbraw/zinc/75/00/24/660750024.db2.gz ZGODPGHBMFETKQ-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001034414814 660750475 /nfs/dbraw/zinc/75/04/75/660750475.db2.gz DVDWKERVMNDJEG-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001034430870 660752883 /nfs/dbraw/zinc/75/28/83/660752883.db2.gz BLGLGAWTYXQBJJ-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2ccc3ccncc3c2)C1 ZINC001034474448 660756363 /nfs/dbraw/zinc/75/63/63/660756363.db2.gz OYPOBAYLLSWBSO-GOSISDBHSA-N 0 1 309.413 3.005 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001034483126 660761202 /nfs/dbraw/zinc/76/12/02/660761202.db2.gz ACGYBCWNEZJJES-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001034484186 660761206 /nfs/dbraw/zinc/76/12/06/660761206.db2.gz JSJQRLVWOJOOKK-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001034511855 660764665 /nfs/dbraw/zinc/76/46/65/660764665.db2.gz ROLHPKMZCGNMCV-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001034519263 660767705 /nfs/dbraw/zinc/76/77/05/660767705.db2.gz BYOGNDIEUNZZSF-IBGZPJMESA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001034543883 660770847 /nfs/dbraw/zinc/77/08/47/660770847.db2.gz BQTQEZAQXFUHIR-PBPGXSGUSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC001034509876 660764012 /nfs/dbraw/zinc/76/40/12/660764012.db2.gz AFZNQCSUQHPSCQ-QGZVFWFLSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)Cc2ccc(C3CCC3)cc2)CC1 ZINC001005791157 660814722 /nfs/dbraw/zinc/81/47/22/660814722.db2.gz NNJWONNTKKYMEG-UHFFFAOYSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001035012326 660808866 /nfs/dbraw/zinc/80/88/66/660808866.db2.gz WIQFBTWFBFWYLF-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001005767066 660812941 /nfs/dbraw/zinc/81/29/41/660812941.db2.gz FEWRTDWFKREICU-MOPGFXCFSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H](C)C(C)C)CC2 ZINC001035235728 660832050 /nfs/dbraw/zinc/83/20/50/660832050.db2.gz RGRWEOXMSBSTCF-HNNXBMFYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1c[nH]cc1C)CC2 ZINC001035258309 660835603 /nfs/dbraw/zinc/83/56/03/660835603.db2.gz KZIXUBLVVDSBJQ-UHFFFAOYSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1CCC1(C)C)CC2 ZINC001035215714 660830402 /nfs/dbraw/zinc/83/04/02/660830402.db2.gz RIMBEJCVFKMEIR-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(C)sc1C)CC2 ZINC001035743936 660847439 /nfs/dbraw/zinc/84/74/39/660847439.db2.gz AOTWBXPVWHDYAY-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC[C@@H]1CCC)CC2 ZINC001035737793 660848575 /nfs/dbraw/zinc/84/85/75/660848575.db2.gz OBQGWWFHHQQWHM-DLBZAZTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1ccccc1F)CC2 ZINC001035820221 660854011 /nfs/dbraw/zinc/85/40/11/660854011.db2.gz ZAJKXKKQAFHNMT-HNNXBMFYSA-N 0 1 316.420 3.040 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccc(C(C)(C)C)c1)CC2 ZINC001035822370 660854025 /nfs/dbraw/zinc/85/40/25/660854025.db2.gz WGJVJGYFKZZQNY-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)CC1CCCC1)CC2 ZINC001035811580 660854947 /nfs/dbraw/zinc/85/49/47/660854947.db2.gz IAGRSDLBFAJNJN-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(Cl)s1)CC2 ZINC001035848672 660855840 /nfs/dbraw/zinc/85/58/40/660855840.db2.gz XPHBKZGIMNINBO-UHFFFAOYSA-N 0 1 310.850 3.126 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(C(F)F)c1)CC2 ZINC001035839431 660857717 /nfs/dbraw/zinc/85/77/17/660857717.db2.gz RTJKGCRMJZAWOO-UHFFFAOYSA-N 0 1 320.383 3.348 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCC1CCCCC1)CC2 ZINC001035845531 660858507 /nfs/dbraw/zinc/85/85/07/660858507.db2.gz KSVRVQIFSYOZAD-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c[nH]c3ccc(C)cc13)CC2 ZINC001035857733 660860391 /nfs/dbraw/zinc/86/03/91/660860391.db2.gz SNLYRGPBZFXKMD-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1(C)CCC(C)CC1)CC2 ZINC001035861759 660861027 /nfs/dbraw/zinc/86/10/27/660861027.db2.gz PZQSSVPFAYAKDW-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCCC[C@H]1C)CC2 ZINC001035860584 660861059 /nfs/dbraw/zinc/86/10/59/660861059.db2.gz SDFCDJJMGUFDHY-SJORKVTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(F)cc3)CC[C@H]21 ZINC001036623819 660927851 /nfs/dbraw/zinc/92/78/51/660927851.db2.gz HIAWIDMYDJWMBV-GDBMZVCRSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C(\C)C3CC3)CC[C@@H]21 ZINC001036659241 660939084 /nfs/dbraw/zinc/93/90/84/660939084.db2.gz UYCCRLMFDBWPQO-NKRQLWMJSA-N 0 1 308.853 3.018 20 30 DGEDMN C[C@H]1C[C@H]1CC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005929615 660950547 /nfs/dbraw/zinc/95/05/47/660950547.db2.gz KGKCLLCXGWAPST-HKUYNNGSSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000187192591 660965680 /nfs/dbraw/zinc/96/56/80/660965680.db2.gz VMKICDKKPFKZBR-UHFFFAOYSA-N 0 1 317.348 3.341 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000187196083 660965990 /nfs/dbraw/zinc/96/59/90/660965990.db2.gz FPPSECDQIKTCPF-UHFFFAOYSA-N 0 1 323.377 3.403 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3c(C)coc3C)CC[C@H]21 ZINC001036703365 660956554 /nfs/dbraw/zinc/95/65/54/660956554.db2.gz BGWCCHXHVSNDFO-HUUCEWRRSA-N 0 1 322.836 3.185 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001006021919 660957356 /nfs/dbraw/zinc/95/73/56/660957356.db2.gz IZNMIDYBJIQBRE-UYAOXDASSA-N 0 1 324.468 3.007 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccnn2C(C)C)c1 ZINC000792983334 660998465 /nfs/dbraw/zinc/99/84/65/660998465.db2.gz AFZLQUMOWUESMP-QGZVFWFLSA-N 0 1 312.417 3.435 20 30 DGEDMN C#CCOc1ccccc1[C@@H](C)OC(=O)c1ccc2cncn2c1 ZINC000793148648 661007602 /nfs/dbraw/zinc/00/76/02/661007602.db2.gz UXVCNMFFXMOGGL-CQSZACIVSA-N 0 1 320.348 3.264 20 30 DGEDMN Clc1cccc(N=NC2CCN(Cc3ccccn3)CC2)n1 ZINC000793163049 661009235 /nfs/dbraw/zinc/00/92/35/661009235.db2.gz WMCPXTTYBCNYDV-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN Clc1cccc(N=NCc2cc(-n3ccnc3)cs2)n1 ZINC000793173800 661009881 /nfs/dbraw/zinc/00/98/81/661009881.db2.gz CQSAKWHBVMAVGA-UHFFFAOYSA-N 0 1 303.778 3.428 20 30 DGEDMN CCOC1(C(=O)C(C#N)c2cnc3ccccc3n2)CCCC1 ZINC000793279970 661020155 /nfs/dbraw/zinc/02/01/55/661020155.db2.gz CDBVUVPVADIYDU-CYBMUJFWSA-N 0 1 309.369 3.155 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2cc(C)nn2C)c1 ZINC000793543039 661044278 /nfs/dbraw/zinc/04/42/78/661044278.db2.gz YAMMWFZQFFLKBI-HOCLYGCPSA-N 0 1 312.417 3.260 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2cc(C)nn2C)c1 ZINC000793543035 661044299 /nfs/dbraw/zinc/04/42/99/661044299.db2.gz YAMMWFZQFFLKBI-GDBMZVCRSA-N 0 1 312.417 3.260 20 30 DGEDMN CN(C)c1ccc(C=[NH+][N-]c2cnccn2)c(C(F)(F)F)c1 ZINC000794488790 661087231 /nfs/dbraw/zinc/08/72/31/661087231.db2.gz MKUMOAJLLCVZLP-UHFFFAOYSA-N 0 1 309.295 3.007 20 30 DGEDMN COc1ccc(O)c([C@H](C)NCc2cccc(F)c2C#N)c1 ZINC000794461455 661085985 /nfs/dbraw/zinc/08/59/85/661085985.db2.gz JVYAENGAOLYSGO-NSHDSACASA-N 0 1 300.333 3.262 20 30 DGEDMN Oc1ccc(F)c(C=NNc2nncc3ccccc32)c1F ZINC000794465121 661086136 /nfs/dbraw/zinc/08/61/36/661086136.db2.gz KHUFMMVOFSEWBC-UHFFFAOYSA-N 0 1 300.268 3.060 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1ccc(Br)cn1 ZINC000794506542 661087923 /nfs/dbraw/zinc/08/79/23/661087923.db2.gz RGVDDCOBODRMQC-UHFFFAOYSA-N 0 1 305.179 3.384 20 30 DGEDMN CC(C)n1nccc1CN=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000794505848 661087968 /nfs/dbraw/zinc/08/79/68/661087968.db2.gz GURAJABFZXVKOY-UHFFFAOYSA-N 0 1 307.741 3.472 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1ccc2[nH]c(=O)oc2c1 ZINC000794859410 661110188 /nfs/dbraw/zinc/11/01/88/661110188.db2.gz BJCAOQDZBDYOSO-UHFFFAOYSA-N 0 1 307.313 3.246 20 30 DGEDMN CC(N=Nc1nc2ccccc2[nH]1)c1ccc2[nH]c(=O)oc2c1 ZINC000794859410 661110190 /nfs/dbraw/zinc/11/01/90/661110190.db2.gz BJCAOQDZBDYOSO-UHFFFAOYSA-N 0 1 307.313 3.246 20 30 DGEDMN CC(=NNc1cncnc1)c1ccc(F)cc1Br ZINC000794976056 661118170 /nfs/dbraw/zinc/11/81/70/661118170.db2.gz BXWVYLIMTJUSKN-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN Fc1cccc(N=NC2CCN(CCC(F)(F)F)CC2)n1 ZINC000795006706 661121004 /nfs/dbraw/zinc/12/10/04/661121004.db2.gz RXBXTQHEDJWJNZ-UHFFFAOYSA-N 0 1 304.291 3.037 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2c(F)ccc(O)c2F)c(O)c1 ZINC000794941029 661115237 /nfs/dbraw/zinc/11/52/37/661115237.db2.gz IROBWWVZASCCJK-GQCTYLIASA-N 0 1 306.264 3.281 20 30 DGEDMN Cc1onc(-c2cccc(Cl)c2)c1C(=O)NC1=NO[C@H](C)C1 ZINC000795217397 661133846 /nfs/dbraw/zinc/13/38/46/661133846.db2.gz RNMHRHJEBIQMRX-MRVPVSSYSA-N 0 1 319.748 3.156 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1sccc1CC ZINC000969156423 655529376 /nfs/dbraw/zinc/52/93/76/655529376.db2.gz SGVGOKWLFFLQTO-OAHLLOKOSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cnc(CC)s1 ZINC000969164741 655530113 /nfs/dbraw/zinc/53/01/13/655530113.db2.gz NINOOZZRMZUYFX-CQSZACIVSA-N 0 1 321.490 3.256 20 30 DGEDMN C=C(C)CN1CCCCC[C@@H]1CNC(=O)c1cnc(CC)s1 ZINC000969164741 655530114 /nfs/dbraw/zinc/53/01/14/655530114.db2.gz NINOOZZRMZUYFX-CQSZACIVSA-N 0 1 321.490 3.256 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2ccc(C(F)F)cc2)CC1 ZINC000949265896 655534637 /nfs/dbraw/zinc/53/46/37/655534637.db2.gz KPKDWBGIGFQZBB-UHFFFAOYSA-N 0 1 322.399 3.277 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C[C@@H]1C ZINC000947373881 655541816 /nfs/dbraw/zinc/54/18/16/655541816.db2.gz IRYMUBORVAVIAN-YOEHRIQHSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(C)(C)C)s1 ZINC001039042382 655550049 /nfs/dbraw/zinc/55/00/49/655550049.db2.gz IOPUWNLFDOVYJU-CYBMUJFWSA-N 0 1 306.475 3.426 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2cccc(F)c2Cl)C1 ZINC000969584243 655551405 /nfs/dbraw/zinc/55/14/05/655551405.db2.gz GDVJPANVTBGQBQ-CYBMUJFWSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2ccc(Cl)cc2F)C1 ZINC000969586213 655551505 /nfs/dbraw/zinc/55/15/05/655551505.db2.gz IMIMBSBRGIGLIX-CQSZACIVSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC000969668540 655554879 /nfs/dbraw/zinc/55/48/79/655554879.db2.gz UOWDWZBNAIEHDW-OLMNPRSZSA-N 0 1 312.457 3.049 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000969830929 655559201 /nfs/dbraw/zinc/55/92/01/655559201.db2.gz WLYUVZRZONVUQW-CRAIPNDOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2oc(C(F)F)cc2C)C1 ZINC000969886193 655562332 /nfs/dbraw/zinc/56/23/32/655562332.db2.gz CXBSVSCZOSPTKT-NSHDSACASA-N 0 1 312.360 3.152 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC000969957386 655565576 /nfs/dbraw/zinc/56/55/76/655565576.db2.gz FSYPBMKCMATAKL-QXAKKESOSA-N 0 1 324.468 3.030 20 30 DGEDMN CN(C)c1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])cc1 ZINC000255059757 655588371 /nfs/dbraw/zinc/58/83/71/655588371.db2.gz INTLLBWJCALYBU-YHYXMXQVSA-N 0 1 312.325 3.263 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000970214273 655588732 /nfs/dbraw/zinc/58/87/32/655588732.db2.gz RVYAHDAQEMPUEB-HNNXBMFYSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C)c2Cl)C1 ZINC000970300378 655592417 /nfs/dbraw/zinc/59/24/17/655592417.db2.gz UDFYKMJPCDCXSN-GFCCVEGCSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000970339537 655594793 /nfs/dbraw/zinc/59/47/93/655594793.db2.gz CKDAVDQEVRWBCR-BZUAXINKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C3CCCCC3)CC2)C1 ZINC000970576581 655607173 /nfs/dbraw/zinc/60/71/73/655607173.db2.gz BGVTUSOOSJFOSR-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCN(C/C=C\Cl)[C@@H](C)C1 ZINC000947390923 655608334 /nfs/dbraw/zinc/60/83/34/655608334.db2.gz CQLSVPCBENOLKS-DNWYELSFSA-N 0 1 321.852 3.009 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccsc2C(F)F)C1 ZINC000970596100 655608414 /nfs/dbraw/zinc/60/84/14/655608414.db2.gz LRZXAWKMGJVSEH-SECBINFHSA-N 0 1 320.792 3.242 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cc(C)ccc3s2)C1 ZINC000970677627 655612320 /nfs/dbraw/zinc/61/23/20/655612320.db2.gz YKVCQJPBVJTUPP-ZDUSSCGKSA-N 0 1 314.454 3.446 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC000970708394 655613653 /nfs/dbraw/zinc/61/36/53/655613653.db2.gz KQKUSZOEEFNGII-GJZGRUSLSA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC000970738672 655615510 /nfs/dbraw/zinc/61/55/10/655615510.db2.gz XXFVHAIJKLKIAO-CQSZACIVSA-N 0 1 318.848 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)c3c2CCC3)C1 ZINC000970821634 655626312 /nfs/dbraw/zinc/62/63/12/655626312.db2.gz AELOXFINEULYSO-CQSZACIVSA-N 0 1 318.848 3.040 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC000970824327 655626780 /nfs/dbraw/zinc/62/67/80/655626780.db2.gz SSFYTXMCJXQBEZ-LBPRGKRZSA-N 0 1 316.426 3.244 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC000970838281 655628584 /nfs/dbraw/zinc/62/85/84/655628584.db2.gz JPADSYZIQMLDOX-CYBMUJFWSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC000970857265 655630506 /nfs/dbraw/zinc/63/05/06/655630506.db2.gz ANKZHIWGZFXVPO-AWEZNQCLSA-N 0 1 311.429 3.021 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)C(F)=C(C)C)C1 ZINC001021559338 655632325 /nfs/dbraw/zinc/63/23/25/655632325.db2.gz QZAPYLPIBTVVBD-UONOGXRCSA-N 0 1 314.832 3.315 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]3CN(CCF)CC[C@@H]32)CC1 ZINC001021666723 655652436 /nfs/dbraw/zinc/65/24/36/655652436.db2.gz WLXADXGWQJTMPZ-IRXDYDNUSA-N 0 1 308.441 3.015 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCCCc3cc(C#N)ccc32)nc1 ZINC000795817541 661174257 /nfs/dbraw/zinc/17/42/57/661174257.db2.gz RBPUIYRGFNXBDN-LJQANCHMSA-N 0 1 302.381 3.382 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H]1CCC2(CCOCC2)CO1 ZINC000933769737 655732271 /nfs/dbraw/zinc/73/22/71/655732271.db2.gz FEJSRLMVQHVILD-OAHLLOKOSA-N 0 1 320.458 3.027 20 30 DGEDMN C=CCN1CC[C@@H](N2CC=C(c3c[nH]c4ccccc34)CC2)C1=O ZINC000933819372 655734571 /nfs/dbraw/zinc/73/45/71/655734571.db2.gz ONXIAGCNDDNWBL-LJQANCHMSA-N 0 1 321.424 3.044 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C3CCCC3)cc2)C1 ZINC000971951812 655750346 /nfs/dbraw/zinc/75/03/46/655750346.db2.gz HYEFAYCONGPJGW-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)Cc2cc(C)ccc2F)C1 ZINC000972080143 655763054 /nfs/dbraw/zinc/76/30/54/655763054.db2.gz RLMOSQZMDVNZBQ-INIZCTEOSA-N 0 1 318.436 3.379 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2sc(C)nc2C)C1 ZINC000972082931 655763626 /nfs/dbraw/zinc/76/36/26/655763626.db2.gz UEVYSGFOXBNVAA-CQSZACIVSA-N 0 1 321.490 3.005 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC[C@H](Cc3nccs3)C2)c1 ZINC000934334545 655768676 /nfs/dbraw/zinc/76/86/76/655768676.db2.gz ARJHEQRCSIXXMY-CYBMUJFWSA-N 0 1 301.390 3.218 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC000972189681 655776518 /nfs/dbraw/zinc/77/65/18/655776518.db2.gz UQVWXCHULRZHPJ-NZSAHSFTSA-N 0 1 312.457 3.071 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC000972189682 655776684 /nfs/dbraw/zinc/77/66/84/655776684.db2.gz UQVWXCHULRZHPJ-YTQUADARSA-N 0 1 312.457 3.071 20 30 DGEDMN C#C[C@@H]1CCCCN1Cc1cccc(C(=O)N2CCCCC2)c1 ZINC000934510888 655781814 /nfs/dbraw/zinc/78/18/14/655781814.db2.gz FORRPVQNWANOKG-LJQANCHMSA-N 0 1 310.441 3.300 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC000949656516 655787699 /nfs/dbraw/zinc/78/76/99/655787699.db2.gz WFCQUCXCKBKGHF-GOSISDBHSA-N 0 1 312.457 3.420 20 30 DGEDMN COc1ccc(O)c(C(=O)/C=C\c2csc([N+](=O)[O-])c2)c1 ZINC000255555341 655795511 /nfs/dbraw/zinc/79/55/11/655795511.db2.gz WTMWAHJGBFETIA-RQOWECAXSA-N 0 1 305.311 3.267 20 30 DGEDMN C=CCc1cc(CNCc2ccc(C)nc2)cc(OC)c1OC ZINC000155812375 655810622 /nfs/dbraw/zinc/81/06/22/655810622.db2.gz MLNYUWBMCHBNIV-UHFFFAOYSA-N 0 1 312.413 3.426 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)=C3CCC3)CC[C@H]21 ZINC001036713914 661191243 /nfs/dbraw/zinc/19/12/43/661191243.db2.gz PWQRHFZMQHNQSG-JKSUJKDBSA-N 0 1 308.853 3.162 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C[C@H]1C ZINC000947559597 655837422 /nfs/dbraw/zinc/83/74/22/655837422.db2.gz KNTADADFQYNVHZ-OLZOCXBDSA-N 0 1 322.811 3.003 20 30 DGEDMN CC(C)C(=O)Nc1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176818728 655847677 /nfs/dbraw/zinc/84/76/77/655847677.db2.gz XSMYSVWSZWCRRG-UHFFFAOYSA-N 0 1 323.352 3.111 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(Br)cc2)c1 ZINC000176828972 655849881 /nfs/dbraw/zinc/84/98/81/655849881.db2.gz DDUXKSYMYOSNBK-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN Cc1csc(C(C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000255617843 655873002 /nfs/dbraw/zinc/87/30/02/655873002.db2.gz VKSAEGIPOWFFJH-QQHVMHFCSA-N 0 1 312.350 3.070 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000255617843 655873004 /nfs/dbraw/zinc/87/30/04/655873004.db2.gz VKSAEGIPOWFFJH-QQHVMHFCSA-N 0 1 312.350 3.070 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])c(F)c1 ZINC000255675184 655888727 /nfs/dbraw/zinc/88/87/27/655888727.db2.gz CEAGKAWVFBQEAX-UQCOIBPSSA-N 0 1 317.272 3.344 20 30 DGEDMN Cc1cc(C)cc(OCCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000179738088 655912472 /nfs/dbraw/zinc/91/24/72/655912472.db2.gz QLNXYYPORWNRJY-UHFFFAOYSA-N 0 1 310.353 3.288 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C2(c3cccc(F)c3)CCC2)c1 ZINC000179730504 655912995 /nfs/dbraw/zinc/91/29/95/655912995.db2.gz IJKUYXNRYMSRTH-UHFFFAOYSA-N 0 1 310.328 3.463 20 30 DGEDMN CC(C)c1nn(C)c(Cl)c1C(=O)Nc1cc(C#N)ccc1O ZINC000179731227 655913119 /nfs/dbraw/zinc/91/31/19/655913119.db2.gz RSJHLUGKZKOFAF-UHFFFAOYSA-N 0 1 318.764 3.026 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(-n2cccc2)cc1 ZINC001024536484 655913987 /nfs/dbraw/zinc/91/39/87/655913987.db2.gz MQBLJZFQPKAUKX-LJQANCHMSA-N 0 1 323.440 3.248 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(-n2cccc2)cc1 ZINC001024536483 655914219 /nfs/dbraw/zinc/91/42/19/655914219.db2.gz MQBLJZFQPKAUKX-IBGZPJMESA-N 0 1 323.440 3.248 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC001024573558 655919340 /nfs/dbraw/zinc/91/93/40/655919340.db2.gz QAGGMGINPPRWDN-KRWDZBQOSA-N 0 1 310.441 3.090 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc2ncccc21 ZINC001024581877 655920336 /nfs/dbraw/zinc/92/03/36/655920336.db2.gz NOMVZOQDIHJPJG-MRXNPFEDSA-N 0 1 323.440 3.395 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cc(C)c(Cl)cc1C ZINC001024591208 655921358 /nfs/dbraw/zinc/92/13/58/655921358.db2.gz BWFUFVDXSBHAPP-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc2occc2s1 ZINC001024647544 655927298 /nfs/dbraw/zinc/92/72/98/655927298.db2.gz OAOWMTGZTHPLJA-CYBMUJFWSA-N 0 1 316.426 3.102 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnc2ccccc2c1 ZINC001024680998 655930048 /nfs/dbraw/zinc/93/00/48/655930048.db2.gz MXNHPQUAZZIKGQ-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1sc(C)cc1OC ZINC001024689299 655930504 /nfs/dbraw/zinc/93/05/04/655930504.db2.gz CYMXLBOLANIYMP-AWEZNQCLSA-N 0 1 322.474 3.226 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C12CCC(CC1)C2(C)C ZINC001024697238 655931351 /nfs/dbraw/zinc/93/13/51/655931351.db2.gz SSEOQKBDYDQACA-OHTSDLOESA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C12CCC(CC1)C2(C)C ZINC001024697142 655931571 /nfs/dbraw/zinc/93/15/71/655931571.db2.gz QZIOAXJGOWKKQX-WUJZJPHMSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001024709786 655932672 /nfs/dbraw/zinc/93/26/72/655932672.db2.gz KPQFCLNYIQQLDE-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)ccc1C ZINC001024716458 655932801 /nfs/dbraw/zinc/93/28/01/655932801.db2.gz VSJCEIYRUKWZRT-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001024710772 655932833 /nfs/dbraw/zinc/93/28/33/655932833.db2.gz YURFCJWWMLHZQG-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001024730295 655933943 /nfs/dbraw/zinc/93/39/43/655933943.db2.gz YQPKHOJHWNYMDC-BZSNNMDCSA-N 0 1 304.478 3.053 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2C(C)(C)C2(C)C)cc1 ZINC000177602498 655934369 /nfs/dbraw/zinc/93/43/69/655934369.db2.gz MUIDCASBIYGZAM-ZDUSSCGKSA-N 0 1 314.385 3.025 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(F)cccc1F ZINC001024777302 655938315 /nfs/dbraw/zinc/93/83/15/655938315.db2.gz JLLGUYRVWFIZAX-ZDUSSCGKSA-N 0 1 308.372 3.125 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1CCC(C2CC2)CC1 ZINC001024782527 655938448 /nfs/dbraw/zinc/93/84/48/655938448.db2.gz IZGYLVRCQYUFMX-DAWZGUTISA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2ncsc2c1 ZINC001024786431 655938513 /nfs/dbraw/zinc/93/85/13/655938513.db2.gz IRZZHHWLBGIFFH-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(F)cc1Cl ZINC001024788127 655938938 /nfs/dbraw/zinc/93/89/38/655938938.db2.gz FOJSOMGOKQAXKN-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCCc2ccccc21 ZINC001024814204 655941127 /nfs/dbraw/zinc/94/11/27/655941127.db2.gz PHNSRUTVAFTFCF-AZUAARDMSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(Cl)cccc1OC ZINC001024810476 655941160 /nfs/dbraw/zinc/94/11/60/655941160.db2.gz FNQNIXJMYAZPHO-ZDUSSCGKSA-N 0 1 322.836 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001024822062 655943255 /nfs/dbraw/zinc/94/32/55/655943255.db2.gz XMIRQQDABZXHQH-PBPGXSGUSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001025069307 655963650 /nfs/dbraw/zinc/96/36/50/655963650.db2.gz HPSFGVOLEONVNS-CRAIPNDOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001025069310 655963688 /nfs/dbraw/zinc/96/36/88/655963688.db2.gz HPSFGVOLEONVNS-YJBOKZPZSA-N 0 1 319.449 3.056 20 30 DGEDMN COCCOc1cccc(/C=C\C(=O)c2ccc(O)cc2O)c1 ZINC000255901486 655963809 /nfs/dbraw/zinc/96/38/09/655963809.db2.gz SPGPAVCIINCHQZ-YVMONPNESA-N 0 1 314.337 3.019 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001025202135 655978245 /nfs/dbraw/zinc/97/82/45/655978245.db2.gz VMGFQARLNKIDCG-JKSUJKDBSA-N 0 1 321.852 3.226 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1CCCCCC1 ZINC001025207955 655978570 /nfs/dbraw/zinc/97/85/70/655978570.db2.gz BWCOOCSVVGLGIA-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C(F)=C1CCCC1 ZINC001025220813 655980557 /nfs/dbraw/zinc/98/05/57/655980557.db2.gz JGJSMAAKFNMBCR-SJORKVTESA-N 0 1 318.436 3.263 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001025223879 655981529 /nfs/dbraw/zinc/98/15/29/655981529.db2.gz IJEYFYQLFIOZCW-HZPDHXFCSA-N 0 1 316.470 3.149 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1CC(C)(C)C1 ZINC001025227718 655981994 /nfs/dbraw/zinc/98/19/94/655981994.db2.gz BBUHEEFNYWZGNS-QZTJIDSGSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2cccc(F)c2)C1 ZINC001025315059 655992454 /nfs/dbraw/zinc/99/24/54/655992454.db2.gz YTYJMQYHZRIZEJ-DZGCQCFKSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2ccccc2F)C1 ZINC001025313466 655992685 /nfs/dbraw/zinc/99/26/85/655992685.db2.gz RYQFOUKOGLABEM-UONOGXRCSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3csc(C)c3)CC[C@H]21 ZINC001036842023 661212674 /nfs/dbraw/zinc/21/26/74/661212674.db2.gz JCRLJMCOKFMRFJ-DZGCQCFKSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2C=CC=CC=C2)C1 ZINC001025429232 656010835 /nfs/dbraw/zinc/01/08/35/656010835.db2.gz AFIUQYKTRWJSFB-RDJZCZTQSA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(CNCc1cnc(C(=O)OCC)s1)c1ccccc1 ZINC000892713200 656017018 /nfs/dbraw/zinc/01/70/18/656017018.db2.gz ZAHROEOFBZKLFH-UHFFFAOYSA-N 0 1 302.399 3.123 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)C23CCC(CC2)CC3)C1 ZINC001025630783 656052444 /nfs/dbraw/zinc/05/24/44/656052444.db2.gz WCCKBXYKTGCMAL-BHASAWDCSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1oc2ccc(F)cc2c1C ZINC001038345643 656076981 /nfs/dbraw/zinc/07/69/81/656076981.db2.gz QPIYEVLYFHFCJS-CQSZACIVSA-N 0 1 316.376 3.261 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000122901632 656097990 /nfs/dbraw/zinc/09/79/90/656097990.db2.gz BBUVIADYEMUTGZ-OAHLLOKOSA-N 0 1 315.376 3.481 20 30 DGEDMN C#CCN(CCc1ccc(OC)c(OC)c1)Cc1ccsc1 ZINC000178371046 656123543 /nfs/dbraw/zinc/12/35/43/656123543.db2.gz QAEZYRYHWMHPIL-UHFFFAOYSA-N 0 1 315.438 3.443 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)C(C)(C)C1 ZINC000974575321 656214875 /nfs/dbraw/zinc/21/48/75/656214875.db2.gz DSPAOISWUNEMGP-CQSZACIVSA-N 0 1 319.449 3.123 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C(C)(C)C1 ZINC000974692654 656222704 /nfs/dbraw/zinc/22/27/04/656222704.db2.gz ORTBEOVRXSOQCL-KZNAEPCWSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cncc(Cl)c2C)C(C)(C)C1 ZINC000974699242 656223110 /nfs/dbraw/zinc/22/31/10/656223110.db2.gz JYUJACPEHQTPRF-HNNXBMFYSA-N 0 1 321.852 3.060 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccnc2C(F)F)C(C)(C)C1 ZINC000974798695 656231760 /nfs/dbraw/zinc/23/17/60/656231760.db2.gz QJLRHHHLLLDGRT-CYBMUJFWSA-N 0 1 323.387 3.036 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974970371 656244686 /nfs/dbraw/zinc/24/46/86/656244686.db2.gz FPZNYCAFWPRUFY-QGZVFWFLSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974970371 656244690 /nfs/dbraw/zinc/24/46/90/656244690.db2.gz FPZNYCAFWPRUFY-QGZVFWFLSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ccc3[nH]ccc3c2)C(C)(C)C1 ZINC000974998295 656247554 /nfs/dbraw/zinc/24/75/54/656247554.db2.gz SRPYCFRSHVRQHM-QGZVFWFLSA-N 0 1 311.429 3.184 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C(F)F)c2)C(C)(C)C1 ZINC000974986043 656247965 /nfs/dbraw/zinc/24/79/65/656247965.db2.gz OXFSCASTXKAOCU-CYBMUJFWSA-N 0 1 312.360 3.234 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C2(C)CC2)C(C)(C)C1 ZINC000975044456 656251577 /nfs/dbraw/zinc/25/15/77/656251577.db2.gz UVVQFQMMLAXXKE-SFHVURJKSA-N 0 1 324.468 3.202 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@H](NC(=O)[C@@H](C)c2cccs2)C(C)(C)C1 ZINC000975032250 656252004 /nfs/dbraw/zinc/25/20/04/656252004.db2.gz VMJYJWYMBVDXIN-ZFWWWQNUSA-N 0 1 306.475 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(F)c2)C(C)(C)C1 ZINC000975096914 656257491 /nfs/dbraw/zinc/25/74/91/656257491.db2.gz OGHAKTNEHNGBLL-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001009599048 656299366 /nfs/dbraw/zinc/29/93/66/656299366.db2.gz FCISCLBQJZYGGZ-CQSZACIVSA-N 0 1 318.486 3.263 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001009689438 656312557 /nfs/dbraw/zinc/31/25/57/656312557.db2.gz HSVDDILHPBQEDS-KRWDZBQOSA-N 0 1 300.446 3.265 20 30 DGEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000977317199 656321097 /nfs/dbraw/zinc/32/10/97/656321097.db2.gz HWFDEYLULZXIET-HNNXBMFYSA-N 0 1 320.383 3.088 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000977317199 656321098 /nfs/dbraw/zinc/32/10/98/656321098.db2.gz HWFDEYLULZXIET-HNNXBMFYSA-N 0 1 320.383 3.088 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000977318517 656322250 /nfs/dbraw/zinc/32/22/50/656322250.db2.gz KRMHKSMSXDZRKW-CQSZACIVSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2C)C(C)(C)C1 ZINC000977359344 656324495 /nfs/dbraw/zinc/32/44/95/656324495.db2.gz ZGLISCUHPFWEBF-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000950000639 656327478 /nfs/dbraw/zinc/32/74/78/656327478.db2.gz QTTJUFFJHOKHBZ-AWEZNQCLSA-N 0 1 306.837 3.164 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000977453566 656331200 /nfs/dbraw/zinc/33/12/00/656331200.db2.gz NZBJVDXQMLTQIH-GOSISDBHSA-N 0 1 310.441 3.272 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2CCC)C(C)(C)C1 ZINC000977466360 656333818 /nfs/dbraw/zinc/33/38/18/656333818.db2.gz SDOOPDXQMOQYKW-INIZCTEOSA-N 0 1 304.434 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3ccc(F)cc23)C(C)(C)C1 ZINC000977511644 656337803 /nfs/dbraw/zinc/33/78/03/656337803.db2.gz HOECPSDQHLOKIV-INIZCTEOSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C2CCC2)C(C)(C)C1 ZINC000977542530 656340883 /nfs/dbraw/zinc/34/08/83/656340883.db2.gz WOOXFRMKSAARLS-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(s2)CCCC3)C(C)(C)C1 ZINC000977555660 656342222 /nfs/dbraw/zinc/34/22/22/656342222.db2.gz GRNMTXFYILWTBA-MRXNPFEDSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C)c(C)s2)C(C)(C)C1 ZINC000977568390 656345662 /nfs/dbraw/zinc/34/56/62/656345662.db2.gz RZNKUVWPPKIOIT-HNNXBMFYSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000977642867 656351632 /nfs/dbraw/zinc/35/16/32/656351632.db2.gz UTFMHZHEBRKNLN-ABHNRTSZSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C(C)(C)C1 ZINC000977664888 656354885 /nfs/dbraw/zinc/35/48/85/656354885.db2.gz WJGMTPLRWDSLGT-FTXHTYHOSA-N 0 1 304.478 3.215 20 30 DGEDMN N#Cc1ccccc1CN1CCC[C@H](NC(=O)C=C2CCC2)CC1 ZINC001052297152 656426337 /nfs/dbraw/zinc/42/63/37/656426337.db2.gz POKRWJHJLMMMLY-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052315686 656427561 /nfs/dbraw/zinc/42/75/61/656427561.db2.gz SIEZSKBSQFSLKM-RBUKOAKNSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2(C3CC3)CCC2)CC1 ZINC001052596459 656448180 /nfs/dbraw/zinc/44/81/80/656448180.db2.gz INUHPKRIPLNVBR-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(C(F)F)ccc1F ZINC001027885559 656459704 /nfs/dbraw/zinc/45/97/04/656459704.db2.gz GIBBGQFOOHNYJK-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C(F)F)ccc1F ZINC001027885559 656459706 /nfs/dbraw/zinc/45/97/06/656459706.db2.gz GIBBGQFOOHNYJK-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1CC=CCC1)C2 ZINC001048502785 656502810 /nfs/dbraw/zinc/50/28/10/656502810.db2.gz OWQZDADUBFCIQT-NXOAAHMSSA-N 0 1 322.880 3.454 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(Cl)sc1Cl ZINC001027931731 656503340 /nfs/dbraw/zinc/50/33/40/656503340.db2.gz JICVKYCEUPOJEF-SECBINFHSA-N 0 1 319.257 3.435 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc([C@@H](C)CC)no1 ZINC001039449462 656505031 /nfs/dbraw/zinc/50/50/31/656505031.db2.gz UYCIYBDQKFYTQX-ZNMIVQPWSA-N 0 1 317.433 3.053 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1C1CCC1 ZINC001039462761 656507840 /nfs/dbraw/zinc/50/78/40/656507840.db2.gz FDKRCBKTRISYOO-MSOLQXFVSA-N 0 1 322.452 3.266 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1coc2ccccc12 ZINC001039476708 656511445 /nfs/dbraw/zinc/51/14/45/656511445.db2.gz JVSQVIYRQYWXPX-CVEARBPZSA-N 0 1 322.408 3.135 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)c(CC)c1 ZINC001039479737 656512329 /nfs/dbraw/zinc/51/23/29/656512329.db2.gz MOBUQBAKBHAULJ-UXHICEINSA-N 0 1 324.468 3.124 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC000980587430 656527250 /nfs/dbraw/zinc/52/72/50/656527250.db2.gz KCGZHWBYAAUQGX-LJQANCHMSA-N 0 1 308.425 3.033 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001039897094 656540622 /nfs/dbraw/zinc/54/06/22/656540622.db2.gz UECKYHISUXKADG-CBZIJGRNSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCC=CCCC3)C[C@@H]2C1 ZINC001048887232 656544090 /nfs/dbraw/zinc/54/40/90/656544090.db2.gz YRENYEPNWMHWII-ZACQAIPSSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCCC(C)(C)C3)C[C@@H]2C1 ZINC001049238672 656576099 /nfs/dbraw/zinc/57/60/99/656576099.db2.gz QAQHWDNNNUIGHP-HRCADAONSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001040333107 656577097 /nfs/dbraw/zinc/57/70/97/656577097.db2.gz RFTMILNCXOICLX-RCMYXZNBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H](C)C3CCC3)C2)CC1 ZINC001040739743 656604234 /nfs/dbraw/zinc/60/42/34/656604234.db2.gz CPJSNZUFDCOPDF-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@H]3CC)C2)CC1 ZINC001040860113 656611905 /nfs/dbraw/zinc/61/19/05/656611905.db2.gz VBXCKKGPMQLCHG-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3CC34CCC4)C2)CC1 ZINC001040868245 656612255 /nfs/dbraw/zinc/61/22/55/656612255.db2.gz QIBZOBUKOBGMKC-HNNXBMFYSA-N 0 1 322.880 3.244 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049498088 656631018 /nfs/dbraw/zinc/63/10/18/656631018.db2.gz LNHZMCGSVYMIQW-HOTGVXAUSA-N 0 1 316.470 3.067 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049498087 656631058 /nfs/dbraw/zinc/63/10/58/656631058.db2.gz LNHZMCGSVYMIQW-CVEARBPZSA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1coc2c1cccc2C ZINC001049521365 656635318 /nfs/dbraw/zinc/63/53/18/656635318.db2.gz HKFAPMHLXNXUSF-ROUUACIJSA-N 0 1 322.408 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522145 656635333 /nfs/dbraw/zinc/63/53/33/656635333.db2.gz DLIFPKZDLXRRJU-ROUUACIJSA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043292 656641085 /nfs/dbraw/zinc/64/10/85/656641085.db2.gz KUASOSPWNAJFJG-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043292 656641086 /nfs/dbraw/zinc/64/10/86/656641086.db2.gz KUASOSPWNAJFJG-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1CCC ZINC001049586609 656645853 /nfs/dbraw/zinc/64/58/53/656645853.db2.gz UFXNBBJHBPMWQN-WOJBJXKFSA-N 0 1 324.468 3.341 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001041536830 656651918 /nfs/dbraw/zinc/65/19/18/656651918.db2.gz RQUTZJHYZZSDIJ-CTEOGUNLSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C[C@H](F)CC ZINC001049632771 656652852 /nfs/dbraw/zinc/65/28/52/656652852.db2.gz BAVAEOLCICPMAN-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](c1ccccc1)C(C)C ZINC001049753928 656679947 /nfs/dbraw/zinc/67/99/47/656679947.db2.gz LZWMNJYRQRMBAF-VAMGGRTRSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1scnc1C(C)C ZINC001049773270 656684715 /nfs/dbraw/zinc/68/47/15/656684715.db2.gz OFYDBPBHFZXZQG-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C(C)C)oc1C ZINC001049819456 656692513 /nfs/dbraw/zinc/69/25/13/656692513.db2.gz AKQOZLMJSISYQJ-DLBZAZTESA-N 0 1 314.429 3.024 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1occ2c1CCCC2 ZINC001049863191 656703679 /nfs/dbraw/zinc/70/36/79/656703679.db2.gz LPXWBYRTFQYKBE-SJORKVTESA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(C(C)C)s1 ZINC001049917240 656722384 /nfs/dbraw/zinc/72/23/84/656722384.db2.gz KXMLRNHTLXOJPO-CABCVRRESA-N 0 1 316.470 3.184 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001028103991 656722423 /nfs/dbraw/zinc/72/24/23/656722423.db2.gz AJEBSPCLFLMJKQ-NZSAHSFTSA-N 0 1 312.457 3.119 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sccc1CC ZINC001049918842 656722931 /nfs/dbraw/zinc/72/29/31/656722931.db2.gz MZGUHASMVWNTRJ-CVEARBPZSA-N 0 1 316.470 3.013 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C)CCC(C)CC1 ZINC001049924884 656725171 /nfs/dbraw/zinc/72/51/71/656725171.db2.gz UUPXPNHRFXZJOW-AGYKMIKPSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3csc4ccccc34)C[C@@H]21 ZINC001042169898 656730397 /nfs/dbraw/zinc/73/03/97/656730397.db2.gz NSXUBFOZMDFOEV-PBHICJAKSA-N 0 1 324.449 3.071 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@]34C[C@@H]3CCCC4)cccc2C1 ZINC001051299226 656742501 /nfs/dbraw/zinc/74/25/01/656742501.db2.gz ZUGIPANJESDEIC-RXVVDRJESA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccc(C(C)(C)C)c3)C[C@H]21 ZINC001042282591 656747790 /nfs/dbraw/zinc/74/77/90/656747790.db2.gz FMSBRRNQMLVPRP-QFBILLFUSA-N 0 1 324.468 3.154 20 30 DGEDMN C=CCN1CCCN(C(=O)c2coc3cc(C)c(C)cc32)CC1 ZINC000981693938 656749214 /nfs/dbraw/zinc/74/92/14/656749214.db2.gz XIUYPVTZXROOOX-UHFFFAOYSA-N 0 1 312.413 3.384 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2coc3cc(C)c(C)cc32)CC1 ZINC000981694073 656749417 /nfs/dbraw/zinc/74/94/17/656749417.db2.gz ZSEKBWFELBNUTQ-UHFFFAOYSA-N 0 1 324.424 3.221 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(Cl)[nH]2)CC1 ZINC001052693050 656749829 /nfs/dbraw/zinc/74/98/29/656749829.db2.gz NYBPQSRBHBRLJW-LLVKDONJSA-N 0 1 316.232 3.005 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc4ccccc4c3)C[C@@H]21 ZINC001042335837 656757392 /nfs/dbraw/zinc/75/73/92/656757392.db2.gz NUOOJOBPHBIZFP-PXNSSMCTSA-N 0 1 318.420 3.009 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[N@H+]([C@H]2CCc3ccccc32)CC1 ZINC000981753531 656758364 /nfs/dbraw/zinc/75/83/64/656758364.db2.gz FSQXJSCUVXLFQA-SFHVURJKSA-N 0 1 312.457 3.420 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(C)c(C)s3)C[C@@H]21 ZINC001042345241 656761916 /nfs/dbraw/zinc/76/19/16/656761916.db2.gz KHEXUTFAQYALNW-GJZGRUSLSA-N 0 1 304.459 3.087 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C(C)C)s3)C[C@H]21 ZINC001042371066 656766098 /nfs/dbraw/zinc/76/60/98/656766098.db2.gz KQYZRSCBDDWSNX-LSDHHAIUSA-N 0 1 316.470 3.041 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccccc3C(C)(C)C)C2)C1 ZINC000981833388 656779915 /nfs/dbraw/zinc/77/99/15/656779915.db2.gz ZJXWOINZYDHCAZ-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(F)cc2C)CC1 ZINC001052882322 656781074 /nfs/dbraw/zinc/78/10/74/656781074.db2.gz DOSYWIHMWYFCMH-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001038887747 656781171 /nfs/dbraw/zinc/78/11/71/656781171.db2.gz AAFLJQJCFKRQBU-DOTOQJQBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(Cl)c2F)CC1 ZINC000981858590 656788172 /nfs/dbraw/zinc/78/81/72/656788172.db2.gz ODXFLDAYJRCVFO-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCN1CCCN(C(=O)C(C)(C)c2ccc(Cl)cc2)CC1 ZINC000981858574 656788340 /nfs/dbraw/zinc/78/83/40/656788340.db2.gz NEEXIPAYKBILNZ-UHFFFAOYSA-N 0 1 320.864 3.338 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)CC1 ZINC001052968026 656801632 /nfs/dbraw/zinc/80/16/32/656801632.db2.gz RWDCBCKWWBINTQ-NEXGVSGLSA-N 0 1 322.880 3.002 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2nccc3ccsc32)C1 ZINC001042980989 656802696 /nfs/dbraw/zinc/80/26/96/656802696.db2.gz SVUCDLUXPYIMCG-UHFFFAOYSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)c2cccc(F)c2)CC1 ZINC000981925910 656809767 /nfs/dbraw/zinc/80/97/67/656809767.db2.gz OWCYIZUHFBIQRX-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2cccc(F)c2)CC1 ZINC000981939846 656815332 /nfs/dbraw/zinc/81/53/32/656815332.db2.gz MSQQRXGABBTXTA-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c(C)cc(F)cc2C)C1 ZINC001043507285 656834016 /nfs/dbraw/zinc/83/40/16/656834016.db2.gz HFWFBQCTNUSSDW-UHFFFAOYSA-N 0 1 304.409 3.165 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CC3CCCCCC3)C2)cc1 ZINC001043505643 656834887 /nfs/dbraw/zinc/83/48/87/656834887.db2.gz ZVVGDWAEVQENRT-UHFFFAOYSA-N 0 1 324.468 3.395 20 30 DGEDMN C=CCN1CCCN(C(=O)CC(C2CCC2)C2CCC2)CC1 ZINC000981969403 656838024 /nfs/dbraw/zinc/83/80/24/656838024.db2.gz KVXJDOJTFYKRRJ-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCCN2C(=O)C(F)F)C1 ZINC001053063942 656840105 /nfs/dbraw/zinc/84/01/05/656840105.db2.gz SXRYDRWLGOAHDW-CHWSQXEVSA-N 0 1 320.811 3.097 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccccc1OCC(C)C ZINC001028203920 656864122 /nfs/dbraw/zinc/86/41/22/656864122.db2.gz FVJPIHIQEXWUSS-MRXNPFEDSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(F)c2Cl)CC1 ZINC000982031612 656870001 /nfs/dbraw/zinc/87/00/01/656870001.db2.gz QATKMUKCWOBMKR-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc(C)o1 ZINC001054031598 656885415 /nfs/dbraw/zinc/88/54/15/656885415.db2.gz HLJOHMYKXFJKHC-GOSISDBHSA-N 0 1 324.424 3.321 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(C(C)(C)C)cc3)C2)C1 ZINC000982128370 656901155 /nfs/dbraw/zinc/90/11/55/656901155.db2.gz DLPKRBQHUBGOSV-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c(C)cc(C)cc2C)C1 ZINC001044267086 656904959 /nfs/dbraw/zinc/90/49/59/656904959.db2.gz OZWBQLKZROSQEJ-UHFFFAOYSA-N 0 1 300.446 3.334 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3(CC)CCCCC3)C2)C1 ZINC000982161599 656908439 /nfs/dbraw/zinc/90/84/39/656908439.db2.gz XKFYBVQCQSJYPG-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C=C(/C)C1CC1)C2 ZINC001054092279 656908959 /nfs/dbraw/zinc/90/89/59/656908959.db2.gz IAYWUDFHELOTKO-BLSFAOBESA-N 0 1 322.880 3.410 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(C(C)C)s3)C2)C1 ZINC000982167544 656909294 /nfs/dbraw/zinc/90/92/94/656909294.db2.gz MZZUUCQRGIQGSV-UHFFFAOYSA-N 0 1 316.470 3.043 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001044329259 656909707 /nfs/dbraw/zinc/90/97/07/656909707.db2.gz YGWCZGYILQARNI-INIZCTEOSA-N 0 1 300.446 3.207 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H]3CCCC[C@H]3C)C2)C1 ZINC000982168743 656910145 /nfs/dbraw/zinc/91/01/45/656910145.db2.gz BKMUMXSOPQBROW-QZTJIDSGSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1CC1(C)C)C2 ZINC001054118505 656914999 /nfs/dbraw/zinc/91/49/99/656914999.db2.gz JSBCNCKEVLTVIH-YOEHRIQHSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1(C3CC3)CC1)C2 ZINC001054151029 656919841 /nfs/dbraw/zinc/91/98/41/656919841.db2.gz YQRZXENDIMRKRK-KRWDZBQOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(F)F)cc1F ZINC001028225479 656924704 /nfs/dbraw/zinc/92/47/04/656924704.db2.gz ZODNUJUCBJOUMB-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(C)c2Cl)CC1 ZINC000982275919 656931616 /nfs/dbraw/zinc/93/16/16/656931616.db2.gz QDITUJOAFXGWFU-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CC(C)(F)F)C2 ZINC001054191544 656932406 /nfs/dbraw/zinc/93/24/06/656932406.db2.gz IMRATMLDCCISAW-OAHLLOKOSA-N 0 1 320.811 3.099 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cc(C)oc3C)cc2C1 ZINC001054254693 656951685 /nfs/dbraw/zinc/95/16/85/656951685.db2.gz CJFFPNHDUUOVSJ-UHFFFAOYSA-N 0 1 322.408 3.165 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cccc(C)c3)cc2C1 ZINC001054254603 656952242 /nfs/dbraw/zinc/95/22/42/656952242.db2.gz CNJDMZJLOWBMBA-UHFFFAOYSA-N 0 1 318.420 3.264 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cc(C)cs3)cc2C1 ZINC001054263901 656955135 /nfs/dbraw/zinc/95/51/35/656955135.db2.gz JSJUFJABXHYAHW-UHFFFAOYSA-N 0 1 324.449 3.325 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cc(C)co3)cc2C1 ZINC001054277927 656957768 /nfs/dbraw/zinc/95/77/68/656957768.db2.gz DJWMXMQFCZIELB-UHFFFAOYSA-N 0 1 310.397 3.410 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@]34C[C@H]3CCC4)cc2C1 ZINC001054275483 656958086 /nfs/dbraw/zinc/95/80/86/656958086.db2.gz SIOTWIWSWHZJST-UYAOXDASSA-N 0 1 310.441 3.385 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCC[C@H]3CC)cc2C1 ZINC001054279429 656959763 /nfs/dbraw/zinc/95/97/63/656959763.db2.gz FPQIPROIKRCJEO-XLIONFOSSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3CCC(=C)CC3)cc2C1 ZINC001054280075 656959994 /nfs/dbraw/zinc/95/99/94/656959994.db2.gz YRBATOUBBDIEQW-UHFFFAOYSA-N 0 1 322.452 3.388 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3C[C@@H]3C(C)C)cc2C1 ZINC001054287829 656962145 /nfs/dbraw/zinc/96/21/45/656962145.db2.gz COZYKPYEWIIZBM-MOPGFXCFSA-N 0 1 312.457 3.487 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3C[C@H]3C(C)C)cc2C1 ZINC001054287830 656963517 /nfs/dbraw/zinc/96/35/17/656963517.db2.gz COZYKPYEWIIZBM-OALUTQOASA-N 0 1 312.457 3.487 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccccc1C(F)(F)F ZINC001028281853 656968994 /nfs/dbraw/zinc/96/89/94/656968994.db2.gz QSTKFZUPKQGBBQ-GFCCVEGCSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1sccc1C(F)F ZINC001028292265 656978316 /nfs/dbraw/zinc/97/83/16/656978316.db2.gz NWDZBQQCGWYFIJ-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN CCC(CC)C(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001044936078 656984343 /nfs/dbraw/zinc/98/43/43/656984343.db2.gz YLSRUUJAXQTERL-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C(=O)N1CCC[C@H]1CNCc1ccccc1C#N)=C1CCC1 ZINC001045034006 656990897 /nfs/dbraw/zinc/99/08/97/656990897.db2.gz MEJFXNSIYDHNLD-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cnc(C(C)(C)C)s1 ZINC001028337682 656997009 /nfs/dbraw/zinc/99/70/09/656997009.db2.gz VAWCFYCMZLETPD-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C2CCCC2)C[C@H]1C ZINC001054740870 657005411 /nfs/dbraw/zinc/00/54/11/657005411.db2.gz XBIQNQJXRQXRMR-IUODEOHRSA-N 0 1 312.885 3.392 20 30 DGEDMN CC1(NC(=O)C2CCCC2)CCN(CC#Cc2ccccc2)CC1 ZINC001045343776 657012702 /nfs/dbraw/zinc/01/27/02/657012702.db2.gz CDDLMWGSWPVRDT-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN CC#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@H]3CCCC[C@@H]3C)C[C@@]2(C)C1 ZINC000982492741 657015786 /nfs/dbraw/zinc/01/57/86/657015786.db2.gz VSXYKMWZCTXGFC-QGZVKYPTSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2cccc(F)c2F)C1 ZINC001054977467 657027923 /nfs/dbraw/zinc/02/79/23/657027923.db2.gz YDUCLOZZKZCRPR-SWLSCSKDSA-N 0 1 322.399 3.114 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3ccccc3s2)CC1 ZINC001045495012 657030228 /nfs/dbraw/zinc/03/02/28/657030228.db2.gz MDCCFXKHDZFGHN-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C1CCC(C(=O)NC2(C)CCN(C/C=C/Cl)CC2)CC1 ZINC001045546315 657035868 /nfs/dbraw/zinc/03/58/68/657035868.db2.gz QWBSLBQYYLGEPX-XCVCLJGOSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cccc(C3CCC3)c2)CC1 ZINC001045620095 657044486 /nfs/dbraw/zinc/04/44/86/657044486.db2.gz NETNQMMSGQHFSO-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001045840843 657072812 /nfs/dbraw/zinc/07/28/12/657072812.db2.gz RJGMNMQFJHFWHK-KFIBTWSOSA-N 0 1 310.869 3.407 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001045955740 657104325 /nfs/dbraw/zinc/10/43/25/657104325.db2.gz JEWARJWKOWXKJK-GOSISDBHSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc3cc[nH]c32)C1 ZINC001000276029 657108197 /nfs/dbraw/zinc/10/81/97/657108197.db2.gz VRUDJESEWRRVDO-AWEZNQCLSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@H]1CC13CC3)C2 ZINC001045969407 657109492 /nfs/dbraw/zinc/10/94/92/657109492.db2.gz PUXJPKXYMARJHX-WBVHZDCISA-N 0 1 322.880 3.244 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001046361949 657153164 /nfs/dbraw/zinc/15/31/64/657153164.db2.gz MYULVTLHTNMSEH-HXUWFJFHSA-N 0 1 321.424 3.129 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc3ccccc3s2)CC1 ZINC001000910782 657162928 /nfs/dbraw/zinc/16/29/28/657162928.db2.gz LSKVRUIXBSRTJR-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccn2C(C)C)C1 ZINC001000939099 657167115 /nfs/dbraw/zinc/16/71/15/657167115.db2.gz UDRAUKKNTRTSIB-CQSZACIVSA-N 0 1 309.841 3.016 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001046516603 657171979 /nfs/dbraw/zinc/17/19/79/657171979.db2.gz MKADKSZACHBNQH-HXUWFJFHSA-N 0 1 324.424 3.267 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc3c2CCC3)C1 ZINC001001040578 657177264 /nfs/dbraw/zinc/17/72/64/657177264.db2.gz NSIJPJLEDOSOBC-HNNXBMFYSA-N 0 1 318.848 3.122 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001046662036 657200039 /nfs/dbraw/zinc/20/00/39/657200039.db2.gz CFCVORJUGLLXDY-IBGZPJMESA-N 0 1 316.445 3.103 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC001001375760 657213099 /nfs/dbraw/zinc/21/30/99/657213099.db2.gz HPIPORJKJZKJDS-UHFFFAOYSA-N 0 1 320.436 3.031 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cc3sccc3[nH]2)C1 ZINC001028908649 657216874 /nfs/dbraw/zinc/21/68/74/657216874.db2.gz TXZYRUBHISWWAY-NSHDSACASA-N 0 1 323.849 3.034 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2sccc2C(C)C)CC1 ZINC001001468278 657224687 /nfs/dbraw/zinc/22/46/87/657224687.db2.gz PNKDYOCAJWHMEL-UHFFFAOYSA-N 0 1 316.470 3.257 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001046848251 657235132 /nfs/dbraw/zinc/23/51/32/657235132.db2.gz GONAQGFADSVLCM-SFHVURJKSA-N 0 1 316.376 3.342 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2c3ccccc3oc2CC)C1 ZINC001046854483 657236362 /nfs/dbraw/zinc/23/63/62/657236362.db2.gz PWCIBQFWHIHOER-FQEVSTJZSA-N 0 1 324.424 3.213 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001046854444 657236859 /nfs/dbraw/zinc/23/68/59/657236859.db2.gz PCGJCBLDOAYWNF-LJQANCHMSA-N 0 1 312.413 3.430 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3oc(C(F)F)cc3C)[C@@H]2C1 ZINC001050068182 657243979 /nfs/dbraw/zinc/24/39/79/657243979.db2.gz CEZNZMWRRSQYFC-QWHCGFSZSA-N 0 1 324.371 3.248 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCC2(C)C)C1 ZINC001046963788 657247732 /nfs/dbraw/zinc/24/77/32/657247732.db2.gz JUQKRLHDXYFWMG-ZIAGYGMSSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001050215266 657272093 /nfs/dbraw/zinc/27/20/93/657272093.db2.gz VFGKBPDJBZFVQF-RCCFBDPRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)C3CCC3)C2)CC1 ZINC001047215443 657279351 /nfs/dbraw/zinc/27/93/51/657279351.db2.gz KIJFJSHHXVWSCW-KRWDZBQOSA-N 0 1 324.896 3.490 20 30 DGEDMN CC(C)CC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001050412169 657302218 /nfs/dbraw/zinc/30/22/18/657302218.db2.gz VITXSFUSYDJRRS-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3CCCCC3)C2)CC1 ZINC001050414679 657303061 /nfs/dbraw/zinc/30/30/61/657303061.db2.gz ULZIIYLPCULMLJ-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3c(C)coc3C)C2)CC1 ZINC001050507887 657318096 /nfs/dbraw/zinc/31/80/96/657318096.db2.gz HCKUJZAWWWQNMQ-UHFFFAOYSA-N 0 1 322.836 3.187 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3CC4(CCC4)C3)C2)CC1 ZINC001050626303 657332816 /nfs/dbraw/zinc/33/28/16/657332816.db2.gz KRWFLKTWQWQQCQ-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC3(C)C)C2)CC1 ZINC001050623770 657333073 /nfs/dbraw/zinc/33/30/73/657333073.db2.gz UVXWGOOHWFWNTN-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC[C@H](C)C3)C2)CC1 ZINC001050686494 657343547 /nfs/dbraw/zinc/34/35/47/657343547.db2.gz JGDFEGXRNQVDOL-DZGCQCFKSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CC[C@H](C)CC3)C2)CC1 ZINC001050735845 657350463 /nfs/dbraw/zinc/35/04/63/657350463.db2.gz HNGMLGRQSHFMML-KOMQPUFPSA-N 0 1 324.896 3.490 20 30 DGEDMN CC(C)(C)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001050737002 657351682 /nfs/dbraw/zinc/35/16/82/657351682.db2.gz NMCAGSRMOMANDV-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN CN(CCC#N)c1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000237199570 657378364 /nfs/dbraw/zinc/37/83/64/657378364.db2.gz IZAKVURFOLTMHK-UHFFFAOYSA-N 0 1 322.387 3.337 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC[C@H](C)C3)cccc2C1 ZINC001051302103 657384712 /nfs/dbraw/zinc/38/47/12/657384712.db2.gz RGYATMRRVQDZOT-JKSUJKDBSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CC34CCC4)cccc2C1 ZINC001051301302 657384818 /nfs/dbraw/zinc/38/48/18/657384818.db2.gz CHZFJHXZUIABOB-GOSISDBHSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3C[C@@H]3C(C)C)cccc2C1 ZINC001051306332 657385878 /nfs/dbraw/zinc/38/58/78/657385878.db2.gz TUNIIPLLGQPLJK-MOPGFXCFSA-N 0 1 312.457 3.139 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968354762 657392700 /nfs/dbraw/zinc/39/27/00/657392700.db2.gz WCZJFMSZQCCIJM-LRDDRELGSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(C)ccc2F)C1 ZINC000968357332 657393301 /nfs/dbraw/zinc/39/33/01/657393301.db2.gz LNKWFCCSPDXWBE-WMLDXEAASA-N 0 1 304.409 3.150 20 30 DGEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000968366378 657395982 /nfs/dbraw/zinc/39/59/82/657395982.db2.gz WXSVPFLHABQWOB-OXQOHEQNSA-N 0 1 320.436 3.222 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2csc(Cl)c2)C1 ZINC000968386366 657402301 /nfs/dbraw/zinc/40/23/01/657402301.db2.gz HRRAQHZYAVXPNX-YPMHNXCESA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2scnc2C2CC2)C1 ZINC000968400254 657404552 /nfs/dbraw/zinc/40/45/52/657404552.db2.gz ODCJKRWSQJFMFZ-GXTWGEPZSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968404083 657406353 /nfs/dbraw/zinc/40/63/53/657406353.db2.gz BVIBWYJOGAKHJC-DNVCBOLYSA-N 0 1 310.441 3.272 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc3ccccc3[nH]2)C1 ZINC000957364882 657408065 /nfs/dbraw/zinc/40/80/65/657408065.db2.gz WHHBHRRZGCQXMY-UHFFFAOYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC000968413955 657409060 /nfs/dbraw/zinc/40/90/60/657409060.db2.gz NSGCJSVKQWEBQE-KGLIPLIRSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H]2CCCc3ccccc32)CC1 ZINC000957419154 657413810 /nfs/dbraw/zinc/41/38/10/657413810.db2.gz YDKRYRIZOKXIFQ-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCCN1CC(N(CC)C(=O)c2c[nH]c3ccc(C)cc32)C1 ZINC000957430171 657416104 /nfs/dbraw/zinc/41/61/04/657416104.db2.gz XEWXDMSPXKPSRZ-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548428 657424753 /nfs/dbraw/zinc/42/47/53/657424753.db2.gz GJTPJLQOHGODIM-HOCLYGCPSA-N 0 1 318.461 3.421 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968569700 657429126 /nfs/dbraw/zinc/42/91/26/657429126.db2.gz KUQWHPSKYBFRAZ-SWLSCSKDSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@H](C)c2ccccc2)CC1 ZINC000957548169 657430415 /nfs/dbraw/zinc/43/04/15/657430415.db2.gz WDCGFAFNKMZDPK-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001029420160 657431897 /nfs/dbraw/zinc/43/18/97/657431897.db2.gz RYHXSEWDQBOYKW-HDICACEKSA-N 0 1 312.457 3.464 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968580153 657432375 /nfs/dbraw/zinc/43/23/75/657432375.db2.gz TYLGEZKJKNKDIU-OXQOHEQNSA-N 0 1 320.436 3.303 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccccc2Cl)CC1 ZINC000957580402 657434720 /nfs/dbraw/zinc/43/47/20/657434720.db2.gz MVRAJFZQCUTTCM-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968589314 657435325 /nfs/dbraw/zinc/43/53/25/657435325.db2.gz UHVQCRORPXVSMR-CMPLNLGQSA-N 0 1 312.866 3.336 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)nc3ccccc32)C1 ZINC000968609525 657440815 /nfs/dbraw/zinc/44/08/15/657440815.db2.gz IBLLEDPIYJKJMC-AUUYWEPGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccc(CC)cc2)CC1 ZINC000957629077 657443003 /nfs/dbraw/zinc/44/30/03/657443003.db2.gz PYRIXGBLSMEPEJ-UHFFFAOYSA-N 0 1 314.473 3.292 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc3ccccc3o2)C1 ZINC000968618545 657443408 /nfs/dbraw/zinc/44/34/08/657443408.db2.gz HGEYGAKGEFFHFT-HOCLYGCPSA-N 0 1 312.413 3.449 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc(Cl)s2)CC1 ZINC000957639673 657443833 /nfs/dbraw/zinc/44/38/33/657443833.db2.gz RFSHYIBOWPHCHX-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968624465 657447328 /nfs/dbraw/zinc/44/73/28/657447328.db2.gz UDJNNHQEVDAHSK-PBHICJAKSA-N 0 1 300.446 3.238 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccc(F)c(F)c2)CC1 ZINC000957715423 657453851 /nfs/dbraw/zinc/45/38/51/657453851.db2.gz MCXGOTMZIAVOIY-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CCCN1CCN(C(=O)C(CC)(CC)c2ccccc2)CC1 ZINC000957744319 657456753 /nfs/dbraw/zinc/45/67/53/657456753.db2.gz QTQQAMHONRYIRY-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684697 657457433 /nfs/dbraw/zinc/45/74/33/657457433.db2.gz HEQDEVGXDJLAJB-GOEBONIOSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccoc2C(C)C)C1 ZINC000968684697 657457438 /nfs/dbraw/zinc/45/74/38/657457438.db2.gz HEQDEVGXDJLAJB-GOEBONIOSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc3c2CCC3)C1 ZINC000968690887 657461158 /nfs/dbraw/zinc/46/11/58/657461158.db2.gz BJVCQTNILCXIDD-DNVCBOLYSA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC000968692910 657461222 /nfs/dbraw/zinc/46/12/22/657461222.db2.gz QUALIDWCZUCLEM-SPNPKDGLSA-N 0 1 324.468 3.121 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC000968706375 657465105 /nfs/dbraw/zinc/46/51/05/657465105.db2.gz OJMBVDZOKYSOBF-SJLPKXTDSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CCCN1CCN(C(=O)C2CC(c3cccc(F)c3)C2)CC1 ZINC000957924711 657473229 /nfs/dbraw/zinc/47/32/29/657473229.db2.gz VWWXECTZVWZXGO-UHFFFAOYSA-N 0 1 316.420 3.040 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC000968870776 657506903 /nfs/dbraw/zinc/50/69/03/657506903.db2.gz BSAAOMUQMVZMEA-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(Cl)cc1F ZINC000968941070 657518378 /nfs/dbraw/zinc/51/83/78/657518378.db2.gz GZLFTANUVPUPTH-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCCCC1(F)F ZINC000968969039 657525141 /nfs/dbraw/zinc/52/51/41/657525141.db2.gz WSZOKFFTIZTXGV-LSDHHAIUSA-N 0 1 314.420 3.359 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1cc(F)ccc1C ZINC000968968978 657526011 /nfs/dbraw/zinc/52/60/11/657526011.db2.gz VILROVBLZHTBGI-KRWDZBQOSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(F)ccc1C ZINC000968968978 657526021 /nfs/dbraw/zinc/52/60/21/657526021.db2.gz VILROVBLZHTBGI-KRWDZBQOSA-N 0 1 316.420 3.132 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(CCC)cc1 ZINC000969007802 657532688 /nfs/dbraw/zinc/53/26/88/657532688.db2.gz HTVJLIRSKFJUCA-IBGZPJMESA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1ccsc1Cl ZINC000969059658 657546535 /nfs/dbraw/zinc/54/65/35/657546535.db2.gz QFZPXHLUOWFNBW-ZDUSSCGKSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccsc1Cl ZINC000969059658 657546538 /nfs/dbraw/zinc/54/65/38/657546538.db2.gz QFZPXHLUOWFNBW-ZDUSSCGKSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1cc(Cl)cs1 ZINC000969060325 657547452 /nfs/dbraw/zinc/54/74/52/657547452.db2.gz ZEQZLOUVGSGWLS-AWEZNQCLSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(Cl)cs1 ZINC000969060325 657547456 /nfs/dbraw/zinc/54/74/56/657547456.db2.gz ZEQZLOUVGSGWLS-AWEZNQCLSA-N 0 1 324.877 3.399 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001007341994 657596455 /nfs/dbraw/zinc/59/64/55/657596455.db2.gz CJLVBRKUOZMSCJ-KURKYZTESA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccoc2C(F)(F)F)C1 ZINC001007423122 657605996 /nfs/dbraw/zinc/60/59/96/657605996.db2.gz GVVSVHNEONSWOO-LLVKDONJSA-N 0 1 316.323 3.069 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC001007402217 657606305 /nfs/dbraw/zinc/60/63/05/657606305.db2.gz VYVZQHOASRZKRA-KRWDZBQOSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC001007443188 657609792 /nfs/dbraw/zinc/60/97/92/657609792.db2.gz ILQHBQKKGQYPMB-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007468106 657611255 /nfs/dbraw/zinc/61/12/55/657611255.db2.gz FJGQXBWFZODWPX-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007468106 657611259 /nfs/dbraw/zinc/61/12/59/657611259.db2.gz FJGQXBWFZODWPX-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccnn2C(CC)CC)C1 ZINC001007493882 657613377 /nfs/dbraw/zinc/61/33/77/657613377.db2.gz VUNFZAGCSVRTCT-HNNXBMFYSA-N 0 1 318.465 3.015 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC001007860281 657641276 /nfs/dbraw/zinc/64/12/76/657641276.db2.gz SRFXMKNCUDDXKC-SFHVURJKSA-N 0 1 312.457 3.265 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001007896307 657644777 /nfs/dbraw/zinc/64/47/77/657644777.db2.gz APYSUMDVBFZCDL-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001008046004 657656527 /nfs/dbraw/zinc/65/65/27/657656527.db2.gz LUZQRHIQTQYSIB-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001008064148 657657564 /nfs/dbraw/zinc/65/75/64/657657564.db2.gz UDJCSRYBXCQVGP-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001008091040 657660372 /nfs/dbraw/zinc/66/03/72/657660372.db2.gz XDWTZQWPFGXPFK-LJQANCHMSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001008711518 657723469 /nfs/dbraw/zinc/72/34/69/657723469.db2.gz SQCRZKRENHLFSG-JKSUJKDBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001009128994 657751571 /nfs/dbraw/zinc/75/15/71/657751571.db2.gz DYYFRFKLDLOWPX-NEPJUHHUSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001009219612 657758465 /nfs/dbraw/zinc/75/84/65/657758465.db2.gz KYDIZGQOBULXCX-OAHLLOKOSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC000985572107 657763581 /nfs/dbraw/zinc/76/35/81/657763581.db2.gz UWNLLWQXONGUJK-UHFFFAOYSA-N 0 1 321.490 3.168 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001009307022 657766779 /nfs/dbraw/zinc/76/67/79/657766779.db2.gz XVFFKNNMIAWMRW-AZRLCKCBSA-N 0 1 316.489 3.360 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001009312720 657768657 /nfs/dbraw/zinc/76/86/57/657768657.db2.gz MKVYJBCHCBXRFT-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(C)CCCCCC1 ZINC000960277849 657771808 /nfs/dbraw/zinc/77/18/08/657771808.db2.gz JIGRAMDYJVXYFJ-RTBURBONSA-N 0 1 324.468 3.352 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC001009417465 657781087 /nfs/dbraw/zinc/78/10/87/657781087.db2.gz JEJGDHJEGDJOCD-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001009430258 657786912 /nfs/dbraw/zinc/78/69/12/657786912.db2.gz GBZJQFOVXWDEFB-GOSISDBHSA-N 0 1 312.457 3.265 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2occc2CC)CC1 ZINC000985629346 657788260 /nfs/dbraw/zinc/78/82/60/657788260.db2.gz WUECJQNLVUQXRI-UHFFFAOYSA-N 0 1 310.825 3.131 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccc3ccccc3c2)C1 ZINC001009447600 657788740 /nfs/dbraw/zinc/78/87/40/657788740.db2.gz IEMLJZSUTTXVNS-LJQANCHMSA-N 0 1 308.425 3.149 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1 ZINC000985662020 657798445 /nfs/dbraw/zinc/79/84/45/657798445.db2.gz RNXWHIFMIPSRJA-GDBMZVCRSA-N 0 1 312.885 3.344 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC001009664766 657804832 /nfs/dbraw/zinc/80/48/32/657804832.db2.gz XPYAIGMIVRIMEI-INIZCTEOSA-N 0 1 312.457 3.254 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC000985725428 657821259 /nfs/dbraw/zinc/82/12/59/657821259.db2.gz CRABTZVVSTVMSM-NVXWUHKLSA-N 0 1 312.885 3.344 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1cnccc1C ZINC000960791975 657877251 /nfs/dbraw/zinc/87/72/51/657877251.db2.gz SBTISIWULLWSDK-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC000960838399 657893520 /nfs/dbraw/zinc/89/35/20/657893520.db2.gz LLLDRCHERPHPFE-IEBWSBKVSA-N 0 1 315.461 3.107 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CC3CCCC3)C2)cc1 ZINC000951113496 657899587 /nfs/dbraw/zinc/89/95/87/657899587.db2.gz CAYQCXUFWYYCSB-UHFFFAOYSA-N 0 1 310.441 3.004 20 30 DGEDMN CC(C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1)=C1CCCC1 ZINC001010508575 657908436 /nfs/dbraw/zinc/90/84/36/657908436.db2.gz SMMAWHCXCKPTBV-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C=C(C)C)C2)C1 ZINC000961106664 657950786 /nfs/dbraw/zinc/95/07/86/657950786.db2.gz CUPSVSKXBVVYNX-HOTGVXAUSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CCC3CC3)C2)C1 ZINC000961123338 657956041 /nfs/dbraw/zinc/95/60/41/657956041.db2.gz QZBVOLLIAWEGGN-IRXDYDNUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3CC=CC3)C2)C1 ZINC000961131944 657959042 /nfs/dbraw/zinc/95/90/42/657959042.db2.gz TXTCYJQQYYURLQ-IRXDYDNUSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2Cl)[C@@H]1C ZINC000986304264 658035255 /nfs/dbraw/zinc/03/52/55/658035255.db2.gz SDMKJAXAPUATHE-MWLCHTKSSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2csc(C)c2C)[C@H]1C ZINC000986322089 658037531 /nfs/dbraw/zinc/03/75/31/658037531.db2.gz HBSKGJXBRFBLBX-FZMZJTMJSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001014753618 658048671 /nfs/dbraw/zinc/04/86/71/658048671.db2.gz RRBICQBCGRDROG-CQSZACIVSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CC)s2)[C@@H]1C ZINC000986500435 658057077 /nfs/dbraw/zinc/05/70/77/658057077.db2.gz MWLYKPIFAXBEBR-DGCLKSJQSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)Cc1cccc(C(=O)[O-])c1 ZINC000262929517 658070594 /nfs/dbraw/zinc/07/05/94/658070594.db2.gz ABVSLWPAHQUHCK-UHFFFAOYSA-N 0 1 315.369 3.048 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001015147704 658092126 /nfs/dbraw/zinc/09/21/26/658092126.db2.gz VUTZSCUYOLAUCU-AWEZNQCLSA-N 0 1 300.427 3.282 20 30 DGEDMN C#CCCN1CC[C@@H](NC(=O)c2sc3ccccc3c2C)C1 ZINC001015174885 658093847 /nfs/dbraw/zinc/09/38/47/658093847.db2.gz QDICEPFBYFGMCR-CQSZACIVSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001015175834 658094025 /nfs/dbraw/zinc/09/40/25/658094025.db2.gz IYZUPIBSEGKOTP-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001015168781 658094241 /nfs/dbraw/zinc/09/42/41/658094241.db2.gz BFGAHHAWHILYOQ-AWEZNQCLSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001015398018 658116904 /nfs/dbraw/zinc/11/69/04/658116904.db2.gz MFIZMKBLDFTCMS-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3occc3s2)[C@@H]1C ZINC000986957122 658124095 /nfs/dbraw/zinc/12/40/95/658124095.db2.gz LKMMCFQIDQNHHM-GHMZBOCLSA-N 0 1 324.833 3.439 20 30 DGEDMN CN(C)c1ccc(C=Nn2c(=S)[nH]nc2-c2ccco2)cc1 ZINC000254697260 658136052 /nfs/dbraw/zinc/13/60/52/658136052.db2.gz PVLZPIQPBRKGGB-UHFFFAOYSA-N 0 1 313.386 3.149 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccsc2Cl)[C@@H]1C ZINC000987123121 658162951 /nfs/dbraw/zinc/16/29/51/658162951.db2.gz IYKCNKDRWPRDGH-KOLCDFICSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccsc2Cl)[C@H]1C ZINC000987123125 658162818 /nfs/dbraw/zinc/16/28/18/658162818.db2.gz IYKCNKDRWPRDGH-ONGXEEELSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(Cl)cs2)[C@H]1C ZINC000987127913 658164052 /nfs/dbraw/zinc/16/40/52/658164052.db2.gz ZYJCXMLNGWVMID-ONGXEEELSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001015730075 658172854 /nfs/dbraw/zinc/17/28/54/658172854.db2.gz BWYNCMKWUTZVMT-IPMKNSEASA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3sccc3[nH]2)[C@@H]1C ZINC000987308753 658190413 /nfs/dbraw/zinc/19/04/13/658190413.db2.gz DYAZGOKYNFVZTE-MNOVXSKESA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2oc(CC)cc2C)[C@H]1C ZINC000987354905 658195679 /nfs/dbraw/zinc/19/56/79/658195679.db2.gz GDTXQOMOIGUNFL-JSGCOSHPSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001015894355 658201930 /nfs/dbraw/zinc/20/19/30/658201930.db2.gz OUPYTQMIFXYXBC-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001016003568 658216671 /nfs/dbraw/zinc/21/66/71/658216671.db2.gz KDJBOUHLVQSKDS-MRXNPFEDSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccccc2C(F)(F)F)C1 ZINC001016070313 658231837 /nfs/dbraw/zinc/23/18/37/658231837.db2.gz ZGQNYZMBZPCKSR-LBPRGKRZSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2CC)[C@@H]1C ZINC000987567897 658231794 /nfs/dbraw/zinc/23/17/94/658231794.db2.gz PFQXZQZWDPWJMF-YPMHNXCESA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001016088332 658236180 /nfs/dbraw/zinc/23/61/80/658236180.db2.gz PBPSXNKFLAQHSX-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001016201308 658254397 /nfs/dbraw/zinc/25/43/97/658254397.db2.gz DAPLVBWFWNDFBL-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001016337828 658268578 /nfs/dbraw/zinc/26/85/78/658268578.db2.gz AKDJGYXZKSLLKW-OAHLLOKOSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001016374203 658273017 /nfs/dbraw/zinc/27/30/17/658273017.db2.gz IPWAGLVZLZHKGH-CQSZACIVSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccsc2C)CC1 ZINC001016614037 658307543 /nfs/dbraw/zinc/30/75/43/658307543.db2.gz IYBIVCVCUQTIOH-CQSZACIVSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H]2CCCC23CC3)CC1 ZINC001016685435 658315951 /nfs/dbraw/zinc/31/59/51/658315951.db2.gz PFFQBBVIOUSSBG-CABCVRRESA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccc(CC)o2)CC1 ZINC001016692651 658318527 /nfs/dbraw/zinc/31/85/27/658318527.db2.gz DWVAUGPUYXCWQO-OAHLLOKOSA-N 0 1 322.836 3.179 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2(C)CCCC2)CC1 ZINC001016755409 658324753 /nfs/dbraw/zinc/32/47/53/658324753.db2.gz CSAOBRNIPXIMQZ-CQSZACIVSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1scnc1C1CCCC1 ZINC001038476289 658334158 /nfs/dbraw/zinc/33/41/58/658334158.db2.gz JUPGLBBBMZGPQA-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN CCCC(=O)N1CCC[C@H](CN(C)Cc2ccccc2C#N)C1 ZINC001017022095 658380438 /nfs/dbraw/zinc/38/04/38/658380438.db2.gz DQAIAKJULFNHCG-MRXNPFEDSA-N 0 1 313.445 3.029 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CCC(C)C)cc1Cl ZINC000130305567 658399554 /nfs/dbraw/zinc/39/95/54/658399554.db2.gz OFXRQACNVGEMEO-GFCCVEGCSA-N 0 1 322.792 3.432 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)coc1C ZINC001017521490 658437229 /nfs/dbraw/zinc/43/72/29/658437229.db2.gz SZTCWEYRSYKMJQ-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2c[nH]c3ccccc23)C1 ZINC000989124088 658439726 /nfs/dbraw/zinc/43/97/26/658439726.db2.gz JDJFJNNDQKMDLK-OLZOCXBDSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2c(C)oc(C)c2C)C1 ZINC000989147445 658443144 /nfs/dbraw/zinc/44/31/44/658443144.db2.gz ZCVUKNMJEUHKPB-IINYFYTJSA-N 0 1 310.825 3.150 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCC[C@@H]1CC ZINC001017663945 658448918 /nfs/dbraw/zinc/44/89/18/658448918.db2.gz YIQSGQMQIZGYAG-BMFAXAFESA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc[nH]c2C(C)C)C1 ZINC000989329548 658465213 /nfs/dbraw/zinc/46/52/13/658465213.db2.gz PJOPUBOXGFZYQL-STQMWFEESA-N 0 1 309.841 3.083 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2csc(C)c2C)C1 ZINC000966326410 658467533 /nfs/dbraw/zinc/46/75/33/658467533.db2.gz OYBMKTGQJDRPNM-BLLLJJGKSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)nc2)C1 ZINC000966719932 658493370 /nfs/dbraw/zinc/49/33/70/658493370.db2.gz GFCAHZVGFLOEQV-CRAIPNDOSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccc3[nH]ccc32)C1 ZINC000989468170 658494201 /nfs/dbraw/zinc/49/42/01/658494201.db2.gz CKPQOZQJFBNMSQ-CHWSQXEVSA-N 0 1 317.820 3.113 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CC1CCCCC1 ZINC001018029415 658494514 /nfs/dbraw/zinc/49/45/14/658494514.db2.gz ZTVKOYBPMBZLMT-QRQLOZEOSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)cc(F)c2)C1 ZINC000989474177 658495929 /nfs/dbraw/zinc/49/59/29/658495929.db2.gz RAEQINKFXYPCGJ-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)ccc2F)C1 ZINC000989487449 658500380 /nfs/dbraw/zinc/50/03/80/658500380.db2.gz ZLIYIAZAJGZYNM-STQMWFEESA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)ccc2F)C1 ZINC000989487448 658500409 /nfs/dbraw/zinc/50/04/09/658500409.db2.gz ZLIYIAZAJGZYNM-QWHCGFSZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC000966878658 658505506 /nfs/dbraw/zinc/50/55/06/658505506.db2.gz HCYMBWNCTZCBKG-PXAZEXFGSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccc(C)s1 ZINC001018169689 658509811 /nfs/dbraw/zinc/50/98/11/658509811.db2.gz MMGWPDLLQYNBAL-HRCADAONSA-N 0 1 318.486 3.411 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1ccco1 ZINC001018177414 658510580 /nfs/dbraw/zinc/51/05/80/658510580.db2.gz CCAFZIQICPDLCX-OAGGEKHMSA-N 0 1 302.418 3.025 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2coc3ccc(F)cc32)CC1 ZINC000989568797 658518956 /nfs/dbraw/zinc/51/89/56/658518956.db2.gz LICOTDASILUXBM-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2Cc3ccc(Cl)cc32)CC1 ZINC000989582530 658521275 /nfs/dbraw/zinc/52/12/75/658521275.db2.gz NUBSJUULCNZRQB-QGZVFWFLSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(C)cc2Cl)C1 ZINC000966980294 658525070 /nfs/dbraw/zinc/52/50/70/658525070.db2.gz RKLDTXAUJIEAPS-YOEHRIQHSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C(C)C ZINC001018326205 658538517 /nfs/dbraw/zinc/53/85/17/658538517.db2.gz FJPKBOYSHHAQNR-GASCZTMLSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC000967231236 658551695 /nfs/dbraw/zinc/55/16/95/658551695.db2.gz OZSXVJBTTYFRMS-VQIMIIECSA-N 0 1 314.473 3.365 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C(F)F)o2)C1 ZINC000989734021 658556935 /nfs/dbraw/zinc/55/69/35/658556935.db2.gz PAWDCFJSYKLNSS-NXEZZACHSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc3c(s2)CCC3)C1 ZINC000989755193 658571923 /nfs/dbraw/zinc/57/19/23/658571923.db2.gz IRHVNEWZDQJDMU-AAEUAGOBSA-N 0 1 324.877 3.182 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(c3ccccc3C)CC2)CC1 ZINC000989795272 658579892 /nfs/dbraw/zinc/57/98/92/658579892.db2.gz BBSMRGUHYIEVGF-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC000967420865 658580526 /nfs/dbraw/zinc/58/05/26/658580526.db2.gz ZDXOWFKVWPFXMH-BEFAXECRSA-N 0 1 312.457 3.119 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2sccc2CC)C1 ZINC000989822671 658584352 /nfs/dbraw/zinc/58/43/52/658584352.db2.gz KMVNINPDTLJHCB-DGCLKSJQSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cccn2C(C)C)C1 ZINC000989807631 658584762 /nfs/dbraw/zinc/58/47/62/658584762.db2.gz YDZWDTBHPBJELE-ZIAGYGMSSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001019042571 658604179 /nfs/dbraw/zinc/60/41/79/658604179.db2.gz NFNCUJPHCZVIMR-RDJZCZTQSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001019150155 658611874 /nfs/dbraw/zinc/61/18/74/658611874.db2.gz XVYLXBYUBBQEJP-AWEZNQCLSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001019222258 658617814 /nfs/dbraw/zinc/61/78/14/658617814.db2.gz RFTLFBRLXJUDEE-AWEZNQCLSA-N 0 1 317.820 3.033 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2cccc(Cl)c2F)C1 ZINC001019238184 658618832 /nfs/dbraw/zinc/61/88/32/658618832.db2.gz POZOLFXEKSQBFJ-ZDUSSCGKSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC000967778991 658631951 /nfs/dbraw/zinc/63/19/51/658631951.db2.gz NFCAWDODECKWAW-KBPBESRZSA-N 0 1 306.475 3.038 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccn(C(C)C)c2C)C1 ZINC000967940401 658645949 /nfs/dbraw/zinc/64/59/49/658645949.db2.gz AZYWVLAVZGSFFC-WMLDXEAASA-N 0 1 303.450 3.004 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3c(s2)CCCC3)C1 ZINC001019737186 658670451 /nfs/dbraw/zinc/67/04/51/658670451.db2.gz YXVHTNFAEHUSAZ-ZDUSSCGKSA-N 0 1 324.877 3.184 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001019756689 658672708 /nfs/dbraw/zinc/67/27/08/658672708.db2.gz XKXZZTUIAMPSIZ-KRWDZBQOSA-N 0 1 320.864 3.442 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC000968174779 658677930 /nfs/dbraw/zinc/67/79/30/658677930.db2.gz LCTDJRBTKPTXEX-SUMWQHHRSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001038482419 658682234 /nfs/dbraw/zinc/68/22/34/658682234.db2.gz ZOAHLNOJDHUNTK-GOSISDBHSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001038482419 658682239 /nfs/dbraw/zinc/68/22/39/658682239.db2.gz ZOAHLNOJDHUNTK-GOSISDBHSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC000968336320 658700465 /nfs/dbraw/zinc/70/04/65/658700465.db2.gz RYZFDSPHVMOGNE-RDTXWAMCSA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001042758957 658719220 /nfs/dbraw/zinc/71/92/20/658719220.db2.gz DKEVSTOFHAPVPP-UHFFFAOYSA-N 0 1 316.445 3.034 20 30 DGEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3cscc3C(F)F)CCC[C@@H]12 ZINC000990712771 658730906 /nfs/dbraw/zinc/73/09/06/658730906.db2.gz PHNNHDOJBJGYLU-CJNGLKHVSA-N 0 1 324.396 3.046 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cscc3C(F)F)CCC[C@@H]12 ZINC000990712771 658730909 /nfs/dbraw/zinc/73/09/09/658730909.db2.gz PHNNHDOJBJGYLU-CJNGLKHVSA-N 0 1 324.396 3.046 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001038246441 658735900 /nfs/dbraw/zinc/73/59/00/658735900.db2.gz CXNSFAWGJSJKTG-KSZLIROESA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccccc1-c1ccncc1 ZINC001038400120 658748662 /nfs/dbraw/zinc/74/86/62/658748662.db2.gz HVCRQROEHGTUMU-QGZVFWFLSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001038407844 658749732 /nfs/dbraw/zinc/74/97/32/658749732.db2.gz SXLHYZWTRQGODB-HNNXBMFYSA-N 0 1 313.445 3.150 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1occc1-c1ccccc1 ZINC001038477846 658755564 /nfs/dbraw/zinc/75/55/64/658755564.db2.gz QJPNELWOCWGFKL-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Br)CNCc1ccc(Br)cc1 ZINC000042318752 658765859 /nfs/dbraw/zinc/76/58/59/658765859.db2.gz MLTVZAQLCUEQDH-UHFFFAOYSA-N 0 1 305.013 3.447 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CCC)s3)CCC[C@@H]12 ZINC000990939549 658782736 /nfs/dbraw/zinc/78/27/36/658782736.db2.gz ZOODWHCYQUYQEX-AEFFLSMTSA-N 0 1 316.470 3.061 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C4CCC4)cc3)CCC[C@H]12 ZINC000990970589 658785530 /nfs/dbraw/zinc/78/55/30/658785530.db2.gz APKQLXJLUFMVSB-FPOVZHCZSA-N 0 1 322.452 3.314 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1scnc1C(C)(C)C ZINC001038791816 658803154 /nfs/dbraw/zinc/80/31/54/658803154.db2.gz KVZFVFLTOUHXSQ-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001030028018 658882490 /nfs/dbraw/zinc/88/24/90/658882490.db2.gz ZFAKZRHUVJCKKE-IKGGRYGDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCc1cc(CN(C)Cc2c(C)noc2C)c(O)c(OC)c1 ZINC000163995577 658912561 /nfs/dbraw/zinc/91/25/61/658912561.db2.gz IURWJOLQYCNPAC-UHFFFAOYSA-N 0 1 316.401 3.366 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C)OC(C)(C)C2)c1O ZINC000164206931 658921683 /nfs/dbraw/zinc/92/16/83/658921683.db2.gz CLFUXCUUTYIHSU-CYBMUJFWSA-N 0 1 305.418 3.129 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C)c(F)cc1Cl ZINC001038961795 658967193 /nfs/dbraw/zinc/96/71/93/658967193.db2.gz MZFAMVMYQGZZPZ-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCCc2ccccc21 ZINC001039058644 658989706 /nfs/dbraw/zinc/98/97/06/658989706.db2.gz CAMYILVSFKMQHZ-HKUYNNGSSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)/C(C)=C/C)C1 ZINC001039201929 659038420 /nfs/dbraw/zinc/03/84/20/659038420.db2.gz CUZFMFRPRNQXDG-FIVBTLBUSA-N 0 1 310.869 3.408 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc(C)sc3C)CCC[C@H]12 ZINC000991617224 659074471 /nfs/dbraw/zinc/07/44/71/659074471.db2.gz BETCKOSBVPNOTE-WMZOPIPTSA-N 0 1 316.470 3.115 20 30 DGEDMN CCCCCCCN1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000157883562 659119127 /nfs/dbraw/zinc/11/91/27/659119127.db2.gz NKWPUZBTRSTCOO-UHFFFAOYSA-N 0 1 323.525 3.023 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2coc3c2cccc3C)CC1 ZINC000948779318 659191895 /nfs/dbraw/zinc/19/18/95/659191895.db2.gz PRPPEZOUSKTSNO-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN N#CC(C(=O)CC1CCCCC1)c1nnc2n1CCCCC2 ZINC000060851385 659212243 /nfs/dbraw/zinc/21/22/43/659212243.db2.gz OTHWOXFBTHSYAC-AWEZNQCLSA-N 0 1 300.406 3.151 20 30 DGEDMN N#Cc1ccc(CNC[C@@](O)(c2ccccc2)C(F)(F)F)cc1 ZINC000170790738 659237525 /nfs/dbraw/zinc/23/75/25/659237525.db2.gz CMKDNQVPQQPBPT-MRXNPFEDSA-N 0 1 320.314 3.098 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C ZINC000171036806 659255490 /nfs/dbraw/zinc/25/54/90/659255490.db2.gz PPYUREKFTPMZJE-KBPBESRZSA-N 0 1 316.401 3.415 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C)cc1 ZINC000171037436 659256226 /nfs/dbraw/zinc/25/62/26/659256226.db2.gz MJZZBAAZKDBHRG-CZUORRHYSA-N 0 1 316.401 3.415 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)Cc1cc2c(cc1O)OCO2 ZINC000063281019 659375615 /nfs/dbraw/zinc/37/56/15/659375615.db2.gz ZXYDXRIWPHPYCE-UHFFFAOYSA-N 0 1 322.364 3.181 20 30 DGEDMN N#CC(C(=O)CC1CCC1)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000173979570 659407523 /nfs/dbraw/zinc/40/75/23/659407523.db2.gz CBIPUKZQYGPLCH-LLVKDONJSA-N 0 1 308.740 3.317 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cccc(Cl)c3F)CCC[C@H]12 ZINC000992153327 659474273 /nfs/dbraw/zinc/47/42/73/659474273.db2.gz LQJGPTDCAGMLSA-YOEHRIQHSA-N 0 1 322.811 3.392 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(Cl)c(C)c3)CCC[C@H]12 ZINC000992170914 659482704 /nfs/dbraw/zinc/48/27/04/659482704.db2.gz NFPIURMQSDYDQC-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@@H]12 ZINC000992470683 659558478 /nfs/dbraw/zinc/55/84/78/659558478.db2.gz GOQKBZWVBSSZDN-CTNGQTDRSA-N 0 1 324.468 3.245 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CC(C)C)cc3)CCC[C@@H]12 ZINC000992470683 659558480 /nfs/dbraw/zinc/55/84/80/659558480.db2.gz GOQKBZWVBSSZDN-CTNGQTDRSA-N 0 1 324.468 3.245 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc4c(cccc4C)o3)CCC[C@@H]12 ZINC000992517750 659569262 /nfs/dbraw/zinc/56/92/62/659569262.db2.gz QSUFUUMNFCESQR-UYAOXDASSA-N 0 1 322.408 3.101 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C2=CCCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993193664 659696044 /nfs/dbraw/zinc/69/60/44/659696044.db2.gz BLBWXMKOXYJWFW-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001046796030 659730516 /nfs/dbraw/zinc/73/05/16/659730516.db2.gz AHKDLCRQUIRULQ-OAHLLOKOSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(C)CCCCCC2)[C@H]1C ZINC000993439570 659741541 /nfs/dbraw/zinc/74/15/41/659741541.db2.gz GHNHUVKTSSMLBD-SJORKVTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)[C@H]1C ZINC000993445420 659744712 /nfs/dbraw/zinc/74/47/12/659744712.db2.gz KJIBUXWKKULBHI-GMTUCVLRSA-N 0 1 316.489 3.214 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@@H]1C ZINC000993476721 659746860 /nfs/dbraw/zinc/74/68/60/659746860.db2.gz LWRRJUACMYSYFG-QWHCGFSZSA-N 0 1 324.371 3.132 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3ccc(C)nc32)[C@@H]1C ZINC000993477852 659746925 /nfs/dbraw/zinc/74/69/25/659746925.db2.gz KFXBIICNTYCUNP-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(F)cc2F)[C@@H]1C ZINC000993500281 659750671 /nfs/dbraw/zinc/75/06/71/659750671.db2.gz ZLSWMPKRBDCLSC-LRDDRELGSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)cc3ccoc32)[C@@H]1C ZINC000993522566 659755247 /nfs/dbraw/zinc/75/52/47/659755247.db2.gz PSMIJCGISCMKQC-BLLLJJGKSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(COC)cs2)[C@@H]1C ZINC000993535377 659756569 /nfs/dbraw/zinc/75/65/69/659756569.db2.gz JLJFITIYIJWKIB-DZGCQCFKSA-N 0 1 322.474 3.053 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(CC)s2)[C@@H]1C ZINC000993552910 659761360 /nfs/dbraw/zinc/76/13/60/659761360.db2.gz GPSRXMVMJIZMAE-DZGCQCFKSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-c3ccco3)o2)[C@@H]1C ZINC000993565447 659764400 /nfs/dbraw/zinc/76/44/00/659764400.db2.gz DCCNQJQLGGPYOR-UONOGXRCSA-N 0 1 314.385 3.308 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)cc(C)cc2F)[C@H]1C ZINC000993569069 659764480 /nfs/dbraw/zinc/76/44/80/659764480.db2.gz ZTXLMLJZRGBRGR-CJNGLKHVSA-N 0 1 322.399 3.432 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)noc2C2CC2)[C@H]1C ZINC000993608020 659765555 /nfs/dbraw/zinc/76/55/55/659765555.db2.gz VROYZAHDVCCBHB-UKRRQHHQSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H]1C ZINC000993632470 659773120 /nfs/dbraw/zinc/77/31/20/659773120.db2.gz BTZMIVGEJLKWNE-WBVHZDCISA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@H]1C ZINC000993664357 659773378 /nfs/dbraw/zinc/77/33/78/659773378.db2.gz LMGFBQSPSRBJTC-WBVHZDCISA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccs2)[C@@H]1C ZINC000993684780 659776494 /nfs/dbraw/zinc/77/64/94/659776494.db2.gz DVIDOPCZVDVRKT-UONOGXRCSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C2CCC2)C2CCC2)[C@H]1C ZINC000993705171 659783297 /nfs/dbraw/zinc/78/32/97/659783297.db2.gz UBZSGWRLHIKINA-RHSMWYFYSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2F)[C@@H]1C ZINC000993732277 659787108 /nfs/dbraw/zinc/78/71/08/659787108.db2.gz OGMSLNMFMSPJLM-SMDDNHRTSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(C)c2)[C@@H]1C ZINC000993764465 659788783 /nfs/dbraw/zinc/78/87/83/659788783.db2.gz TYEPDUYGUXZMNS-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@H]1C ZINC000993778250 659789501 /nfs/dbraw/zinc/78/95/01/659789501.db2.gz RUEGSMMMRWZLBI-UKRRQHHQSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)C)nc2C)[C@H]1C ZINC000993819769 659791535 /nfs/dbraw/zinc/79/15/35/659791535.db2.gz IBEHRWBFDNKWJB-CRAIPNDOSA-N 0 1 315.461 3.282 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3occc3s2)[C@H]1C ZINC000993805351 659791647 /nfs/dbraw/zinc/79/16/47/659791647.db2.gz MUTDSDPPEBEYJY-CHWSQXEVSA-N 0 1 316.426 3.100 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(F)c2Cl)[C@H]1C ZINC000993880365 659796753 /nfs/dbraw/zinc/79/67/53/659796753.db2.gz SZNSOBJDOHYCLX-BXUZGUMPSA-N 0 1 310.800 3.248 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C)c3ccccc23)[C@H]1C ZINC000993888374 659797555 /nfs/dbraw/zinc/79/75/55/659797555.db2.gz VQSABXRUDKLUPG-UZLBHIALSA-N 0 1 320.436 3.364 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(F)c2Cl)[C@@H]1C ZINC000993867114 659798659 /nfs/dbraw/zinc/79/86/59/659798659.db2.gz WYOFTSHLPIHZRS-SWLSCSKDSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(Cl)cs2)[C@@H]1C ZINC000993918044 659803034 /nfs/dbraw/zinc/80/30/34/659803034.db2.gz OHVCFIOZOOHQEK-AAEUAGOBSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@H]1C ZINC000993938176 659805527 /nfs/dbraw/zinc/80/55/27/659805527.db2.gz LMNWTBYPFWTDBE-IUODEOHRSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cccnc3c2)[C@H]1C ZINC000994048911 659810955 /nfs/dbraw/zinc/81/09/55/659810955.db2.gz GLPZNYWHZYGYQE-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@@H]1C ZINC000994086946 659811834 /nfs/dbraw/zinc/81/18/34/659811834.db2.gz ATPOSFIUQZFZNK-XSLAGTTESA-N 0 1 304.478 3.051 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccn2C2CCCC2)[C@H]1C ZINC000994114049 659814951 /nfs/dbraw/zinc/81/49/51/659814951.db2.gz NYBFNBZRSVESGC-NVXWUHKLSA-N 0 1 315.461 3.372 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncoc2C(C)(C)C)[C@H]1C ZINC000994191347 659818720 /nfs/dbraw/zinc/81/87/20/659818720.db2.gz RYRLHGFVYFDOSB-KGLIPLIRSA-N 0 1 319.449 3.131 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccccc2C2CCC2)[C@H]1C ZINC000994354170 659843053 /nfs/dbraw/zinc/84/30/53/659843053.db2.gz SXGXOODFWXBMEP-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccccc2C2CCC2)[C@H]1C ZINC000994354170 659843054 /nfs/dbraw/zinc/84/30/54/659843054.db2.gz SXGXOODFWXBMEP-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2sccc2C2CC2)[C@H]1C ZINC000994356456 659843795 /nfs/dbraw/zinc/84/37/95/659843795.db2.gz ZDXAYNHCVFJPSW-IUODEOHRSA-N 0 1 304.459 3.394 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc3ccccc32)[C@@H]1C ZINC000994357651 659845104 /nfs/dbraw/zinc/84/51/04/659845104.db2.gz YFGWAEZAPNLDES-JXFKEZNVSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc3ccccc32)[C@H]1C ZINC000994357655 659845272 /nfs/dbraw/zinc/84/52/72/659845272.db2.gz YFGWAEZAPNLDES-UZLBHIALSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)[C@@H]1C ZINC000994402372 659852348 /nfs/dbraw/zinc/85/23/48/659852348.db2.gz OWHZXAJTJLNBOG-FUHWJXTLSA-N 0 1 317.477 3.455 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@@H]1C ZINC000994441397 659854665 /nfs/dbraw/zinc/85/46/65/659854665.db2.gz MZCWACUIRUXQFB-HOCLYGCPSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)c3occc32)[C@@H]1C ZINC000994460041 659857361 /nfs/dbraw/zinc/85/73/61/659857361.db2.gz IDLKTHRPWQRFKZ-LRDDRELGSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sccc2CC)[C@@H]1C ZINC000994489743 659860395 /nfs/dbraw/zinc/86/03/95/659860395.db2.gz UYVZPEJABLRNQG-ZFWWWQNUSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CC2)[C@@H]1C ZINC000994518378 659869260 /nfs/dbraw/zinc/86/92/60/659869260.db2.gz MHHAHPJCMZPEFN-WMZOPIPTSA-N 0 1 312.457 3.182 20 30 DGEDMN CC(F)(F)CCCN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000929985211 659870457 /nfs/dbraw/zinc/87/04/57/659870457.db2.gz BGOHSIJMKDBMBR-INIZCTEOSA-N 0 1 307.388 3.304 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc(C(C)C)s2)[C@@H]1C ZINC000994532646 659873829 /nfs/dbraw/zinc/87/38/29/659873829.db2.gz LHDOCSDELSRUHN-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)c2c[nH]c3c2cccc3CC)C1 ZINC001031440627 659879152 /nfs/dbraw/zinc/87/91/52/659879152.db2.gz NOCNZFZOUJBNGR-UHFFFAOYSA-N 0 1 311.429 3.111 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3c(cccc3C)c2C)C[C@H]1C ZINC000948070925 659916434 /nfs/dbraw/zinc/91/64/34/659916434.db2.gz UDIDHJVYQDVPFY-GDBMZVCRSA-N 0 1 324.424 3.266 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000175684912 659927266 /nfs/dbraw/zinc/92/72/66/659927266.db2.gz GNGZUBTXZKMXOA-UHFFFAOYSA-N 0 1 316.382 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3occc3s2)C[C@@H]1C ZINC000939131978 659986055 /nfs/dbraw/zinc/98/60/55/659986055.db2.gz DZVKFIHBSZTRAG-GXSJLCMTSA-N 0 1 324.833 3.297 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2scnc2C2CCCC2)C1 ZINC001031820647 659998739 /nfs/dbraw/zinc/99/87/39/659998739.db2.gz FHSBCIRKXKVGBT-UHFFFAOYSA-N 0 1 319.474 3.038 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001031824190 660000989 /nfs/dbraw/zinc/00/09/89/660000989.db2.gz WOGBOCFVFPWIDH-UHFFFAOYSA-N 0 1 318.804 3.324 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC[C@H]2c2ccccc2)C1 ZINC001031836865 660008364 /nfs/dbraw/zinc/00/83/64/660008364.db2.gz RWRSLDNCXNRILZ-RBUKOAKNSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC(C)(C)CC2)C[C@H]1C ZINC000939829862 660015957 /nfs/dbraw/zinc/01/59/57/660015957.db2.gz AYDDRVGCZVDMJZ-IUODEOHRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001031860035 660018281 /nfs/dbraw/zinc/01/82/81/660018281.db2.gz HXRMFYPRUYXBHE-UHFFFAOYSA-N 0 1 314.429 3.272 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H](C)n2cccc2)CC1 ZINC000948286960 660076862 /nfs/dbraw/zinc/07/68/62/660076862.db2.gz XSNRZQUERGJJKZ-JKSUJKDBSA-N 0 1 323.868 3.115 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000941002281 660077799 /nfs/dbraw/zinc/07/77/99/660077799.db2.gz GUXAXGFATGURLL-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CC[N@@H+](C/C=C/Cl)CC2(C)C)C1 ZINC000941018207 660079977 /nfs/dbraw/zinc/07/99/77/660079977.db2.gz SRNQLZMQMLPMFW-HZRUHFOJSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(C/C=C/Cl)CC2(C)C)C1 ZINC000941018207 660079979 /nfs/dbraw/zinc/07/99/79/660079979.db2.gz SRNQLZMQMLPMFW-HZRUHFOJSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(CC(C)C)c[nH]2)C(C)(C)C1 ZINC000941165658 660092530 /nfs/dbraw/zinc/09/25/30/660092530.db2.gz AASYITTWASEEEJ-KRWDZBQOSA-N 0 1 317.477 3.230 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(CC)s2)C(C)(C)C1 ZINC000941204987 660094506 /nfs/dbraw/zinc/09/45/06/660094506.db2.gz ZVZDXKIHWADMNT-INIZCTEOSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)C23CCC(CC2)CC3)C(C)(C)C1 ZINC000941232025 660096171 /nfs/dbraw/zinc/09/61/71/660096171.db2.gz AZLMGRMTOVKNDX-WUJZJPHMSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C(C)(C)C1 ZINC000941225454 660096850 /nfs/dbraw/zinc/09/68/50/660096850.db2.gz DPFHGISHTUCPJN-INIZCTEOSA-N 0 1 318.436 3.459 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccoc2C(C)C)C(C)(C)C1 ZINC000941242283 660097064 /nfs/dbraw/zinc/09/70/64/660097064.db2.gz BFQRSKRIXRCNHV-INIZCTEOSA-N 0 1 316.445 3.257 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C(C)(C)C1 ZINC000941244979 660097746 /nfs/dbraw/zinc/09/77/46/660097746.db2.gz RJHWDIPINBIRAT-APMMTJHUSA-N 0 1 316.489 3.053 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccccc2O[C@H](C)C#N)cc1 ZINC000183133417 660102686 /nfs/dbraw/zinc/10/26/86/660102686.db2.gz HIRKBAFQSOMPDT-CYBMUJFWSA-N 0 1 316.382 3.087 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ccccc3cc2F)[C@H](C)C1 ZINC000942444359 660152125 /nfs/dbraw/zinc/15/21/25/660152125.db2.gz MJRZHZHLTFRBFT-AUUYWEPGSA-N 0 1 324.399 3.052 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H](C)Sc1ccccc1Cl ZINC000131062410 660159016 /nfs/dbraw/zinc/15/90/16/660159016.db2.gz GKBKMXOXYAIIKT-QWRGUYRKSA-N 0 1 324.833 3.054 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC001032038128 660164921 /nfs/dbraw/zinc/16/49/21/660164921.db2.gz HRJDXKXEBSKGCQ-DLBZAZTESA-N 0 1 318.848 3.068 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2ccc3ccccc3c2)C1 ZINC001032061132 660176050 /nfs/dbraw/zinc/17/60/50/660176050.db2.gz OTJJQIYUDPWBSU-VQTJNVASSA-N 0 1 320.436 3.177 20 30 DGEDMN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1cncc(C#N)c1 ZINC000929384001 661303248 /nfs/dbraw/zinc/30/32/48/661303248.db2.gz UMCBLZMURXMOJI-LJQANCHMSA-N 0 1 307.397 3.471 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000929468435 661309570 /nfs/dbraw/zinc/30/95/70/661309570.db2.gz XMCKRDCKDGKEGM-PBHICJAKSA-N 0 1 304.434 3.016 20 30 DGEDMN COc1ccccc1CC1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929477484 661310253 /nfs/dbraw/zinc/31/02/53/661310253.db2.gz BMNQFJSWNLJORH-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CO[C@@H]1CC[C@@H]2OCC[N@@H+](CCCCC3(C#N)CCC3)[C@H]2C1 ZINC000930090623 661365589 /nfs/dbraw/zinc/36/55/89/661365589.db2.gz CYBNESBUBCKYDA-IKGGRYGDSA-N 0 1 306.450 3.119 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCSc2ccncc2)CC1 ZINC000930332123 661389671 /nfs/dbraw/zinc/38/96/71/661389671.db2.gz ABICPUOTQSYUPR-UHFFFAOYSA-N 0 1 324.453 3.126 20 30 DGEDMN COc1ccc([C@@H](C)NCc2cncc(C#N)c2)c(C)c1OC ZINC000930491612 661404135 /nfs/dbraw/zinc/40/41/35/661404135.db2.gz FUWBUDGGIYCWFL-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1cc(C)nc(CNC2(c3ccc(C#N)cc3)CCOCC2)c1 ZINC000931257970 661462442 /nfs/dbraw/zinc/46/24/42/661462442.db2.gz OHXDZHYDJWJOPO-UHFFFAOYSA-N 0 1 321.424 3.366 20 30 DGEDMN N#CCC[C@H](C#N)CN1CCC[C@H](c2c[nH]c3ncccc23)C1 ZINC000931366454 661470915 /nfs/dbraw/zinc/47/09/15/661470915.db2.gz UCJNZTSPIJRGLL-CABCVRRESA-N 0 1 307.401 3.186 20 30 DGEDMN N#Cc1ccsc1NC(=O)CN1C[C@H](C2CC2)C[C@@H]1C1CC1 ZINC000932894218 661606853 /nfs/dbraw/zinc/60/68/53/661606853.db2.gz VNMRXHSBSXDJKT-HUUCEWRRSA-N 0 1 315.442 3.069 20 30 DGEDMN C#CCCN1C[C@@H]2[C@@H](C1)C(F)(F)C(F)(F)C(F)(F)C2(F)F ZINC000932945267 661611303 /nfs/dbraw/zinc/61/13/03/661611303.db2.gz ULCUFBNXCJFDFW-HTQZYQBOSA-N 0 1 321.211 3.113 20 30 DGEDMN N#Cc1ccc(CNC2([C@H]3CCCCO3)CC2)c(OC(F)F)c1 ZINC000933377927 661631343 /nfs/dbraw/zinc/63/13/43/661631343.db2.gz IPXUVTPBWYBABW-OAHLLOKOSA-N 0 1 322.355 3.351 20 30 DGEDMN Cc1cc(=O)[nH]c([C@@H](C)N2CCC(c3ccc(C#N)cc3)CC2)n1 ZINC000933612870 661644867 /nfs/dbraw/zinc/64/48/67/661644867.db2.gz RXSMPYORBWYQKE-CQSZACIVSA-N 0 1 322.412 3.303 20 30 DGEDMN CC(C)Oc1cccc(CN2CCC3(CC2)CC(=O)C=CO3)c1 ZINC000933652755 661648057 /nfs/dbraw/zinc/64/80/57/661648057.db2.gz MGQSCSBNVXBJBY-UHFFFAOYSA-N 0 1 315.413 3.312 20 30 DGEDMN CSc1ccc(CN2CCC3(CC2)CC(=O)C=CO3)cc1 ZINC000933654431 661648644 /nfs/dbraw/zinc/64/86/44/661648644.db2.gz WOVOCNHJRINYJM-UHFFFAOYSA-N 0 1 303.427 3.246 20 30 DGEDMN COc1ccc2c(c1)C[C@@H](CN(C)Cc1cc(C#N)cs1)O2 ZINC000933771026 661656523 /nfs/dbraw/zinc/65/65/23/661656523.db2.gz VBYKKKZHPORAEZ-HNNXBMFYSA-N 0 1 314.410 3.064 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)c1ccc(Cl)cc1 ZINC000933770927 661656533 /nfs/dbraw/zinc/65/65/33/661656533.db2.gz AVSJSEIDBKAMCY-OAHLLOKOSA-N 0 1 306.818 3.439 20 30 DGEDMN N#Cc1cccc(CNC2(c3ccc4c(c3)OCCO4)CC2)c1 ZINC000934000904 661672385 /nfs/dbraw/zinc/67/23/85/661672385.db2.gz TYXXJARZCVEGHB-UHFFFAOYSA-N 0 1 306.365 3.108 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N1CCC[C@H]1c1cccs1 ZINC000934467358 661708968 /nfs/dbraw/zinc/70/89/68/661708968.db2.gz WVBIQLDNHOKAFM-ZNMIVQPWSA-N 0 1 304.459 3.451 20 30 DGEDMN C=CC[C@H]1CCN1[C@@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000934467285 661709022 /nfs/dbraw/zinc/70/90/22/661709022.db2.gz VQSTVQFCYUILTR-YJBOKZPZSA-N 0 1 313.445 3.264 20 30 DGEDMN C=C(CC(=O)C(=O)CC(C)(C)CC(=O)OCC)c1ccco1 ZINC000935945226 661820839 /nfs/dbraw/zinc/82/08/39/661820839.db2.gz PQOIGANHPJPZAF-FMIVXFBMSA-N 0 1 306.358 3.191 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)CC2CCC2)CCN1CC#Cc1ccccc1 ZINC000947163206 661829928 /nfs/dbraw/zinc/82/99/28/661829928.db2.gz RYPJTVNYNBANLN-PXNSSMCTSA-N 0 1 324.468 3.197 20 30 DGEDMN CCc1ccccc1OCC(=O)C(C#N)c1nc(C)cs1 ZINC000041519734 661835062 /nfs/dbraw/zinc/83/50/62/661835062.db2.gz XHPRWJQNKLPHOD-ZDUSSCGKSA-N 0 1 300.383 3.269 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])c(F)c1 ZINC000157212315 661866645 /nfs/dbraw/zinc/86/66/45/661866645.db2.gz CEAGKAWVFBQEAX-FARCUNLSSA-N 0 1 317.272 3.344 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ccccc3s2)C[C@H]1C ZINC000947505841 661912281 /nfs/dbraw/zinc/91/22/81/661912281.db2.gz FWSPJHYXUBCBIS-UKRRQHHQSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)C)s2)C[C@@H]1C ZINC000948075120 661948341 /nfs/dbraw/zinc/94/83/41/661948341.db2.gz WVMDRJXTRPLGHH-UONOGXRCSA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC000948277011 661964990 /nfs/dbraw/zinc/96/49/90/661964990.db2.gz DGTAMSILLPCUKX-WCVJEAGWSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2[nH]ccc2C)CC1 ZINC000948344796 661969650 /nfs/dbraw/zinc/96/96/50/661969650.db2.gz DPBWLKIBAOGXQI-AWEZNQCLSA-N 0 1 309.841 3.002 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC000948923345 662007934 /nfs/dbraw/zinc/00/79/34/662007934.db2.gz GPBUHPBBMSECCN-LJQANCHMSA-N 0 1 323.440 3.023 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1cc(C)co1 ZINC000948955119 662009341 /nfs/dbraw/zinc/00/93/41/662009341.db2.gz SDUFCZHVCLIQNU-SFHVURJKSA-N 0 1 324.424 3.493 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC000948961752 662009575 /nfs/dbraw/zinc/00/95/75/662009575.db2.gz MYEOCGBWCLFMRK-LZQZEXGQSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC12CCC2 ZINC000948962874 662010195 /nfs/dbraw/zinc/01/01/95/662010195.db2.gz RXLLBTSCPSUGBD-OALUTQOASA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@]2(C)[C@H]3Cc4ccccc4[C@H]32)CC1 ZINC000948993180 662010781 /nfs/dbraw/zinc/01/07/81/662010781.db2.gz SWHDJEGZCURDOM-QKNQBKEWSA-N 0 1 324.468 3.073 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2cccc(Cl)c2)CC1 ZINC000949525896 662017982 /nfs/dbraw/zinc/01/79/82/662017982.db2.gz PJXNBVMGSCYETE-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000949939376 662038434 /nfs/dbraw/zinc/03/84/34/662038434.db2.gz JVAOCCCXOYBCOK-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCCC[C@@H]2C)cc1C ZINC000078272611 662057696 /nfs/dbraw/zinc/05/76/96/662057696.db2.gz KTFWNUWAWOVDLL-KRWDZBQOSA-N 0 1 313.445 3.425 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCc1c(F)cccc1Cl ZINC000078542811 662074908 /nfs/dbraw/zinc/07/49/08/662074908.db2.gz HUVNVJQERBTWLV-NSHDSACASA-N 0 1 313.804 3.142 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(F)ccc2Cl)CC1 ZINC000950679154 662078207 /nfs/dbraw/zinc/07/82/07/662078207.db2.gz LNHFFPHFISPYNI-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2oc3ccccc3c2CC)CC1 ZINC001006462553 662086330 /nfs/dbraw/zinc/08/63/30/662086330.db2.gz KOIFWHLENUOPIT-UHFFFAOYSA-N 0 1 324.424 3.165 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccn3ccccc23)C1 ZINC000950848674 662091833 /nfs/dbraw/zinc/09/18/33/662091833.db2.gz MTUYYHFNRIYNAO-UHFFFAOYSA-N 0 1 311.429 3.052 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2CCCC[C@@H]2C)CC1 ZINC001006464733 662093898 /nfs/dbraw/zinc/09/38/98/662093898.db2.gz VJXQUAIXVBSCCA-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)C2CCC3(CC3)CC2)C1 ZINC000950898447 662094481 /nfs/dbraw/zinc/09/44/81/662094481.db2.gz MMFFTPWTIHLAHK-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2cc(Cl)cs2)CC1 ZINC000950908720 662095087 /nfs/dbraw/zinc/09/50/87/662095087.db2.gz KAYSRGCRZJFXKS-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CCC3CCCC3)C2)cc1 ZINC000951117590 662114145 /nfs/dbraw/zinc/11/41/45/662114145.db2.gz ZQPXWOXXUUIFCP-UHFFFAOYSA-N 0 1 324.468 3.395 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc[nH]c2C(C)C)C1 ZINC000951214286 662119754 /nfs/dbraw/zinc/11/97/54/662119754.db2.gz IAHMMTCWPKAJFT-UHFFFAOYSA-N 0 1 303.450 3.251 20 30 DGEDMN C=CCCCCCN1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC000193308375 662130067 /nfs/dbraw/zinc/13/00/67/662130067.db2.gz YYUFDNUCUKZJRN-OAHLLOKOSA-N 0 1 305.418 3.299 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3c(c2)C=CCC3)CC1 ZINC000951702918 662147678 /nfs/dbraw/zinc/14/76/78/662147678.db2.gz FPRVELOJIVDIME-UHFFFAOYSA-N 0 1 310.441 3.370 20 30 DGEDMN Cc1ncc(C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)s1 ZINC000194680009 662171216 /nfs/dbraw/zinc/17/12/16/662171216.db2.gz WAYMSVIPWIBDIW-NSHDSACASA-N 0 1 319.773 3.066 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccccc2F)CC1 ZINC000952301631 662180686 /nfs/dbraw/zinc/18/06/86/662180686.db2.gz HDZQNIBQIMDERX-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2c(C)cccc2C)C1 ZINC000952322515 662181961 /nfs/dbraw/zinc/18/19/61/662181961.db2.gz AOTDSVSRFKCVRL-UHFFFAOYSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3nc(C)ccc3c2)CC1 ZINC000952368952 662185097 /nfs/dbraw/zinc/18/50/97/662185097.db2.gz SIHXWQAONGMJBK-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000245944569 662245327 /nfs/dbraw/zinc/24/53/27/662245327.db2.gz UJNQNXLTRUXJCO-MPTYRVRUSA-N 0 1 316.445 3.361 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)C(C)(C)C1 ZINC000974732179 685338302 /nfs/dbraw/zinc/33/83/02/685338302.db2.gz FIVDAVPXIHFTMX-CYBMUJFWSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)Cc2ccc(Cl)s2)CC1 ZINC000982113662 685342381 /nfs/dbraw/zinc/34/23/81/685342381.db2.gz NNSJEMIMGISETD-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3[nH]ccc3c2)[C@H]1C ZINC000986778804 685351238 /nfs/dbraw/zinc/35/12/38/685351238.db2.gz LFJHIEXTDNVCNT-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C=C2CCC2)CN1Cc1ccc(C#N)cc1 ZINC001071420596 686659499 /nfs/dbraw/zinc/65/94/99/686659499.db2.gz PTSZMMKCOXHZFQ-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cscc2C(F)F)CC[C@H]1C ZINC001071510496 686671814 /nfs/dbraw/zinc/67/18/14/686671814.db2.gz CPLGHHCQYVVMCG-MNOVXSKESA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3scnc32)CC[C@@H]1C ZINC001071509018 686672015 /nfs/dbraw/zinc/67/20/15/686672015.db2.gz WKUOIPZHDSDBCE-STQMWFEESA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3ccccc3nc2C)CC[C@@H]1C ZINC001071545624 686686021 /nfs/dbraw/zinc/68/60/21/686686021.db2.gz BVXSFWUKKCLHCJ-WMLDXEAASA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(F)ccc2F)CC[C@@H]1C ZINC001071569027 686690751 /nfs/dbraw/zinc/69/07/51/686690751.db2.gz VWJCATCELWMTSG-GXTWGEPZSA-N 0 1 308.372 3.124 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)C2CCCC2)CC[C@H]1C ZINC001071575496 686693048 /nfs/dbraw/zinc/69/30/48/686693048.db2.gz WIRHPRZPBXWNRY-NVXWUHKLSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C)CCCCCC2)CC[C@@H]1C ZINC001071576881 686693086 /nfs/dbraw/zinc/69/30/86/686693086.db2.gz RLJFYMBDLGZZQM-IRXDYDNUSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nccc3ccsc32)CC[C@@H]1C ZINC001071579335 686693734 /nfs/dbraw/zinc/69/37/34/686693734.db2.gz CGQNZNMSGABUSO-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@H]1C ZINC001071584455 686696148 /nfs/dbraw/zinc/69/61/48/686696148.db2.gz QJUUEWPQHOGGKF-VXGBXAGGSA-N 0 1 312.360 3.294 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(COC)cs2)CC[C@H]1C ZINC001071653532 686713997 /nfs/dbraw/zinc/71/39/97/686713997.db2.gz ZOQZHPKANDXKOW-HIFRSBDPSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-n3cccc3)cc2)CC[C@H]1C ZINC001071654225 686714119 /nfs/dbraw/zinc/71/41/19/686714119.db2.gz DJRVKDRXLYHFAY-SJLPKXTDSA-N 0 1 323.440 3.246 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(CCCC)cc2)CC[C@@H]1C ZINC001071663769 686716971 /nfs/dbraw/zinc/71/69/71/686716971.db2.gz RYVCNMOBKWRDGS-QFBILLFUSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cc(C)ccc3n2)CC[C@@H]1C ZINC001071670375 686719314 /nfs/dbraw/zinc/71/93/14/686719314.db2.gz JSVJQAGUIHOQBI-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@H]1C ZINC001071670531 686719501 /nfs/dbraw/zinc/71/95/01/686719501.db2.gz MGUTXPOLAIZJAB-CHWSQXEVSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nc3ccccc3cc2C)CC[C@H]1C ZINC001071694937 686724896 /nfs/dbraw/zinc/72/48/96/686724896.db2.gz LBDVIOJQWYVGOA-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccccc3c2C)CC[C@@H]1C ZINC001071695417 686725751 /nfs/dbraw/zinc/72/57/51/686725751.db2.gz PNWRPBFRKHOGGI-GOEBONIOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)onc2C2CC2)CC[C@@H]1C ZINC001071695253 686726080 /nfs/dbraw/zinc/72/60/80/686726080.db2.gz OAZQNOSXMUHPRR-SWLSCSKDSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@H]1C ZINC001071732160 686735934 /nfs/dbraw/zinc/73/59/34/686735934.db2.gz PEBZFEVRDUOZNP-NVXWUHKLSA-N 0 1 323.440 3.082 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(F)c2F)CC[C@H]1C ZINC001071769788 686745018 /nfs/dbraw/zinc/74/50/18/686745018.db2.gz YUNLSXKUUKOGJM-OLZOCXBDSA-N 0 1 308.372 3.124 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2C2CC2)CC[C@@H]1C ZINC001071772155 686745956 /nfs/dbraw/zinc/74/59/56/686745956.db2.gz FWRQMEMHLSRYBZ-DZGCQCFKSA-N 0 1 302.418 3.316 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@H]1C ZINC001071792152 686754966 /nfs/dbraw/zinc/75/49/66/686754966.db2.gz FJYGMZVXESJLRV-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cccc2Cl)CC[C@H]1C ZINC001071796916 686756519 /nfs/dbraw/zinc/75/65/19/686756519.db2.gz ZKWFPPACNAKFHD-KGLIPLIRSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C3CC3)nc2C)CC[C@@H]1C ZINC001071832123 686764320 /nfs/dbraw/zinc/76/43/20/686764320.db2.gz RDALHTIKORWFEL-BBRMVZONSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(F)c2Cl)CC[C@H]1C ZINC001071839805 686767208 /nfs/dbraw/zinc/76/72/08/686767208.db2.gz HMXIOWHHQWFACT-VXGBXAGGSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@H]1C ZINC001071844480 686769666 /nfs/dbraw/zinc/76/96/66/686769666.db2.gz NBIIJQKDYORPNT-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(F)ccc2Cl)CC[C@@H]1C ZINC001071845253 686769891 /nfs/dbraw/zinc/76/98/91/686769891.db2.gz ZDRQRSAGYGWALJ-WCQYABFASA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(F)ccc3o2)CC[C@@H]1C ZINC001071867537 686775693 /nfs/dbraw/zinc/77/56/93/686775693.db2.gz BVEGQCAOJRLKBW-SWLSCSKDSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C(CC)CC)no2)CC[C@H]1C ZINC001071873069 686777536 /nfs/dbraw/zinc/77/75/36/686777536.db2.gz QWGZPDPRFQTHLW-UKRRQHHQSA-N 0 1 319.449 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccn(C(CC)CC)n2)CC[C@@H]1C ZINC001071871980 686777788 /nfs/dbraw/zinc/77/77/88/686777788.db2.gz KNLJPIJRBQDFHY-GJZGRUSLSA-N 0 1 318.465 3.013 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2scnc2C(C)C)CC[C@@H]1C ZINC001071883313 686780782 /nfs/dbraw/zinc/78/07/82/686780782.db2.gz JJQHBBPLTJZJHX-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@@H]1C ZINC001071880049 686780790 /nfs/dbraw/zinc/78/07/90/686780790.db2.gz OIPJWUCQBYOIPB-RPTYLJJZSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)CC[C@H]1C ZINC001071883024 686780797 /nfs/dbraw/zinc/78/07/97/686780797.db2.gz DWKRYJHUDFJODI-HIFRSBDPSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(C(C)C)n2)CC[C@H]1C ZINC001071901671 686787334 /nfs/dbraw/zinc/78/73/34/686787334.db2.gz UVNPHGJSSARGIN-CHWSQXEVSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2C)CC[C@H]1C ZINC001071913508 686790208 /nfs/dbraw/zinc/79/02/08/686790208.db2.gz FLMVTPITOJQBQI-HIFRSBDPSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cccnc3c2)CC[C@H]1C ZINC001071913854 686790535 /nfs/dbraw/zinc/79/05/35/686790535.db2.gz JNARSWXMQVXDQT-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@H]1C ZINC001071934619 686793153 /nfs/dbraw/zinc/79/31/53/686793153.db2.gz PJXOBOSYUAWPAO-BRSBDYLESA-N 0 1 304.478 3.051 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C(C)C)oc2C)CC[C@H]1C ZINC001071950301 686794922 /nfs/dbraw/zinc/79/49/22/686794922.db2.gz IFHGLNBSXYKSHP-UKRRQHHQSA-N 0 1 304.434 3.480 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)CC[C@H]1C ZINC001071954694 686794980 /nfs/dbraw/zinc/79/49/80/686794980.db2.gz TXOXJMBAODMRPM-ZBFHGGJFSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3scnc3c2)CC[C@H]1C ZINC001071961653 686798548 /nfs/dbraw/zinc/79/85/48/686798548.db2.gz UDTQZJNGAARGQC-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2coc(C3CCC3)n2)CC[C@H]1C ZINC001071972524 686800830 /nfs/dbraw/zinc/80/08/30/686800830.db2.gz WWRSBDKJGWFYJM-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc(C3CCC3)c2)CC[C@H]1C ZINC001071988335 686807852 /nfs/dbraw/zinc/80/78/52/686807852.db2.gz IKEAQSRMWSRTBB-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)CC[C@@H]1C ZINC001072002339 686810378 /nfs/dbraw/zinc/81/03/78/686810378.db2.gz KHEOKXTUONXQPO-FUHWJXTLSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)CC[C@@H]1C ZINC001072022466 686827847 /nfs/dbraw/zinc/82/78/47/686827847.db2.gz AIYRYUAKIOXPTO-YJBOKZPZSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(s2)CCC3)CC[C@@H]1C ZINC001072043983 686840970 /nfs/dbraw/zinc/84/09/70/686840970.db2.gz HUOIOMNALORDLH-GXTWGEPZSA-N 0 1 304.459 3.006 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3ccccc3o2)CC[C@H]1C ZINC001072047091 686843381 /nfs/dbraw/zinc/84/33/81/686843381.db2.gz ABCMGFWKKWQQPD-ZBFHGGJFSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)c(CC)s2)CC[C@H]1C ZINC001072058291 686852078 /nfs/dbraw/zinc/85/20/78/686852078.db2.gz JSUIXKDINWKANE-CABCVRRESA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(Cl)cccc2OC)CC[C@@H]1C ZINC001072095849 686862593 /nfs/dbraw/zinc/86/25/93/686862593.db2.gz PDOPULGYJSBYQZ-QWHCGFSZSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccccc2CC(C)C)CC[C@@H]1C ZINC001072102296 686867711 /nfs/dbraw/zinc/86/77/11/686867711.db2.gz OBFNHFAAIBPMJQ-FUHWJXTLSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)CC[C@H]1C ZINC001072109230 686870031 /nfs/dbraw/zinc/87/00/31/686870031.db2.gz DCQGVKKKOUJTLD-SPNPKDGLSA-N 0 1 324.468 3.263 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3C[C@@H](C)CC(C)(C)C3)C2)C1 ZINC001073062591 687007506 /nfs/dbraw/zinc/00/75/06/687007506.db2.gz HZTMPSAVEPXXJP-IAGOWNOFSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC=CCCC2)[C@H]1C ZINC001074707590 687290427 /nfs/dbraw/zinc/29/04/27/687290427.db2.gz ICIGYELEGDPDCJ-XHSDSOJGSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2cc(C)oc2C)[C@H]1C ZINC001074970206 687320966 /nfs/dbraw/zinc/32/09/66/687320966.db2.gz YKSSZQWUPBEBBT-WWGRRREGSA-N 0 1 324.852 3.331 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2)[C@H]1C ZINC001075054405 687328420 /nfs/dbraw/zinc/32/84/20/687328420.db2.gz CLOMLPZWQOESLY-LLLHUVSDSA-N 0 1 318.848 3.122 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccccc2)[C@H]1C ZINC001075058018 687328911 /nfs/dbraw/zinc/32/89/11/687328911.db2.gz PWOKTTVOAZPIRE-YCPHGPKFSA-N 0 1 306.837 3.122 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C(F)F)ccc3F)[C@@H]2C1 ZINC001075801115 687441595 /nfs/dbraw/zinc/44/15/95/687441595.db2.gz WMHFOQYJHIHGQT-SWLSCSKDSA-N 0 1 324.346 3.096 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3scc(C)c3Cl)[C@@H]2C1 ZINC001075828481 687447319 /nfs/dbraw/zinc/44/73/19/687447319.db2.gz RDCXHWMUSXMFML-QWHCGFSZSA-N 0 1 324.877 3.432 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001076460769 687524862 /nfs/dbraw/zinc/52/48/62/687524862.db2.gz BAWYAIHMUACPDM-FGTMMUONSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccc(C4CCC4)c3)[C@@H]2C1 ZINC001076461010 687526279 /nfs/dbraw/zinc/52/62/79/687526279.db2.gz MFZIVGLJCOLKPJ-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3sccc3C(F)F)[C@@H]2C1 ZINC001076512963 687531137 /nfs/dbraw/zinc/53/11/37/687531137.db2.gz XJOJDYABSSLBGL-CMPLNLGQSA-N 0 1 312.385 3.018 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4cccc(C)c4o3)[C@@H]2C1 ZINC001076612554 687542932 /nfs/dbraw/zinc/54/29/32/687542932.db2.gz GYBKWARKSHIXQE-JKSUJKDBSA-N 0 1 310.397 3.074 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001080060409 687916229 /nfs/dbraw/zinc/91/62/29/687916229.db2.gz ZBBQZGIMBUTROS-TZMCWYRMSA-N 0 1 300.427 3.137 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001080085043 687918590 /nfs/dbraw/zinc/91/85/90/687918590.db2.gz YBQOKAFZDGRDTO-CRAIPNDOSA-N 0 1 322.408 3.020 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C/C=C\c3ccccc3)C[C@H]2C)C1 ZINC001080404661 687972363 /nfs/dbraw/zinc/97/23/63/687972363.db2.gz SKULLCVZDXGDJJ-OSKOBQJQSA-N 0 1 324.468 3.493 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001080526022 687991611 /nfs/dbraw/zinc/99/16/11/687991611.db2.gz XPEVUVLCDAGKRF-CZUORRHYSA-N 0 1 320.864 3.240 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001080809148 688013574 /nfs/dbraw/zinc/01/35/74/688013574.db2.gz DDTHQJGYWNXHOM-CZUORRHYSA-N 0 1 320.864 3.240 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001080833429 688018337 /nfs/dbraw/zinc/01/83/37/688018337.db2.gz IQSJIWOLPMIOTH-QMTHXVAHSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC001080893716 688024643 /nfs/dbraw/zinc/02/46/43/688024643.db2.gz IBEVYBWLCDFJJF-CZUORRHYSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001081005555 688033259 /nfs/dbraw/zinc/03/32/59/688033259.db2.gz OLCBHABYSYRSMH-CHWSQXEVSA-N 0 1 321.490 3.067 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001081008843 688033789 /nfs/dbraw/zinc/03/37/89/688033789.db2.gz FCKRUHQVULEPCP-AQEOSJORSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001081175313 688058153 /nfs/dbraw/zinc/05/81/53/688058153.db2.gz WCFZVRXOVKGWLX-YQVWRLOYSA-N 0 1 318.436 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2cccc(F)c2)C[C@H]1C ZINC001082931449 688132006 /nfs/dbraw/zinc/13/20/06/688132006.db2.gz CMBAAMHALIMKCV-AXAPSJFSSA-N 0 1 324.827 3.118 20 30 DGEDMN CC(C)(C)OCCCN1CCN(c2ccc(F)cc2C#N)CC1 ZINC001620507593 1191967857 /nfs/dbraw/zinc/96/78/57/1191967857.db2.gz QMOATDQYNGKCRG-UHFFFAOYSA-N 0 1 319.424 3.025 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(C(F)(F)F)CCCC1 ZINC001661896521 1196660286 /nfs/dbraw/zinc/66/02/86/1196660286.db2.gz OUCICPQUYNICGN-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](C)C(C)(C)C ZINC001661929699 1196663356 /nfs/dbraw/zinc/66/33/56/1196663356.db2.gz KCDBZFHTAOGBDB-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)ccc1F ZINC001584620976 1192208652 /nfs/dbraw/zinc/20/86/52/1192208652.db2.gz JLFUXDSIKZWOFC-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN C[C@@H](Cc1ccccc1F)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001587347634 1192395039 /nfs/dbraw/zinc/39/50/39/1192395039.db2.gz UDZLRMAUEYRWIP-NSHDSACASA-N 0 1 322.343 3.391 20 30 DGEDMN C=C(CC(C)C)C(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001587917568 1192425534 /nfs/dbraw/zinc/42/55/34/1192425534.db2.gz OPRBKPYKEWMNEX-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2cc(Cl)cc(-c3nn[nH]n3)c2)C1 ZINC001588559956 1192449992 /nfs/dbraw/zinc/44/99/92/1192449992.db2.gz MLRVHEAWBQJOMF-GFCCVEGCSA-N 0 1 317.824 3.308 20 30 DGEDMN C=CCCC1(C[NH2+]Cc2ccc(-c3nn[n-]n3)o2)CCCC1 ZINC001588580056 1192451062 /nfs/dbraw/zinc/45/10/62/1192451062.db2.gz YWQOWKMWUBJSRJ-UHFFFAOYSA-N 0 1 301.394 3.076 20 30 DGEDMN C=CC[N@@H+](Cc1csc(-c2nnn[n-]2)c1)CC1CCCCC1 ZINC001588653690 1192452643 /nfs/dbraw/zinc/45/26/43/1192452643.db2.gz ULXIKYFAUARZLT-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CC[N@H+](Cc1csc(-c2nnn[n-]2)c1)CC1CCCCC1 ZINC001588653690 1192452649 /nfs/dbraw/zinc/45/26/49/1192452649.db2.gz ULXIKYFAUARZLT-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CC[N@@H+](Cc1csc(-c2nn[n-]n2)c1)CC1CCCCC1 ZINC001588653690 1192452650 /nfs/dbraw/zinc/45/26/50/1192452650.db2.gz ULXIKYFAUARZLT-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN C=CC[N@H+](Cc1csc(-c2nn[n-]n2)c1)CC1CCCCC1 ZINC001588653690 1192452656 /nfs/dbraw/zinc/45/26/56/1192452656.db2.gz ULXIKYFAUARZLT-UHFFFAOYSA-N 0 1 317.462 3.497 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)CC[C@@H]2C)o1 ZINC001590228645 1192494908 /nfs/dbraw/zinc/49/49/08/1192494908.db2.gz ZBQIKAISQURNIB-ZJUUUORDSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cccc(F)c1O ZINC001597009832 1192715752 /nfs/dbraw/zinc/71/57/52/1192715752.db2.gz DXFQZUUEMAMPAE-UHFFFAOYSA-N 0 1 315.344 3.368 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H]1C=CCC1)C1CCCC1 ZINC001671519539 1176166038 /nfs/dbraw/zinc/16/60/38/1176166038.db2.gz VFCLVIITOGICDZ-HOCLYGCPSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c(C)cc(C)cc1C)C1CC1 ZINC001670551415 1176168721 /nfs/dbraw/zinc/16/87/21/1176168721.db2.gz SRFRQDKBYZVLRX-INIZCTEOSA-N 0 1 320.864 3.462 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CC23CCCC3)C1 ZINC001691989378 1176183808 /nfs/dbraw/zinc/18/38/08/1176183808.db2.gz FTNMMKFBGNPONF-LSDHHAIUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CC23CCCC3)C1 ZINC001691989377 1176184413 /nfs/dbraw/zinc/18/44/13/1176184413.db2.gz FTNMMKFBGNPONF-HUUCEWRRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)CC(C)=C(C)C ZINC001772539769 1176271527 /nfs/dbraw/zinc/27/15/27/1176271527.db2.gz DJNKDKTUGOMZCS-CYBMUJFWSA-N 0 1 317.271 3.078 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCC1CCCCCC1 ZINC001691050664 1176277512 /nfs/dbraw/zinc/27/75/12/1176277512.db2.gz NIQQWXYHQHEADW-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C[C@@H]1CCN1CCCF)c1ccccc1 ZINC001691071106 1176293784 /nfs/dbraw/zinc/29/37/84/1176293784.db2.gz ALZCWXULQIELRL-ZWKOTPCHSA-N 0 1 318.436 3.239 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001691099705 1176312272 /nfs/dbraw/zinc/31/22/72/1176312272.db2.gz FRCWBIKASIHBKZ-RTBURBONSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC[C@@H](C(=O)NC[C@H]1CCCN1CC#CC)c1ccccc1 ZINC001691112802 1176321822 /nfs/dbraw/zinc/32/18/22/1176321822.db2.gz KYSIAEZBRQJGBB-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@H](C)c2ccc(F)cc2F)C1 ZINC001691122025 1176328401 /nfs/dbraw/zinc/32/84/01/1176328401.db2.gz ZBQHIXFPNOZPAX-KGLIPLIRSA-N 0 1 322.399 3.430 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001691172761 1176354325 /nfs/dbraw/zinc/35/43/25/1176354325.db2.gz PYBSQEUUUZJPEP-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1cscn1 ZINC001691293307 1176426770 /nfs/dbraw/zinc/42/67/70/1176426770.db2.gz BEJCEYDLCYWKEB-GOEBONIOSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1 ZINC001691414023 1176474573 /nfs/dbraw/zinc/47/45/73/1176474573.db2.gz WYDWGBZENYVVNW-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1 ZINC001691416079 1176475238 /nfs/dbraw/zinc/47/52/38/1176475238.db2.gz CTVZZKXOBGKBLD-MJGOQNOKSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)cc(C)c1 ZINC001691412344 1176476354 /nfs/dbraw/zinc/47/63/54/1176476354.db2.gz GJVPVBLTRSWYIG-SFHVURJKSA-N 0 1 300.446 3.416 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1 ZINC001691786444 1176555592 /nfs/dbraw/zinc/55/55/92/1176555592.db2.gz AATCZIMIAYWSNS-NVXWUHKLSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCCCC(=O)N(C)CCN[C@@H]1CCc2c1c(F)ccc2F ZINC001691454091 1176620838 /nfs/dbraw/zinc/62/08/38/1176620838.db2.gz QYMDJZQTAKJBAR-MRXNPFEDSA-N 0 1 322.399 3.356 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001731780562 1176634915 /nfs/dbraw/zinc/63/49/15/1176634915.db2.gz BQOANOPUTIBAPL-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2cccnc2C)CC1 ZINC001752301561 1176722262 /nfs/dbraw/zinc/72/22/62/1176722262.db2.gz NRLIWYKRPJLVSH-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(Cl)c(Cl)c2N)c1 ZINC001598127923 1192763239 /nfs/dbraw/zinc/76/32/39/1192763239.db2.gz BKSLUCBRPWDERI-UHFFFAOYSA-N 0 1 322.151 3.405 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ncc(Cl)cc2Cl)c1 ZINC001598128533 1192763250 /nfs/dbraw/zinc/76/32/50/1192763250.db2.gz UEAUUIQQROREPE-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2[C@@H]3Cc4c(cccc4Cl)[C@@H]32)c1 ZINC001598127942 1192763579 /nfs/dbraw/zinc/76/35/79/1192763579.db2.gz CKAUDMIZVNUZMP-OAUYIBNBSA-N 0 1 324.767 3.442 20 30 DGEDMN CC(C)C#CC(=O)N[C@@]1(C)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001671638145 1176784317 /nfs/dbraw/zinc/78/43/17/1176784317.db2.gz MBFZEUULQCAKSY-KXBFYZLASA-N 0 1 316.420 3.127 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC=C)CCCC1)c1ccccc1 ZINC001691805885 1177014105 /nfs/dbraw/zinc/01/41/05/1177014105.db2.gz RZMCHWMGAZQCAT-GOSISDBHSA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cnc2ccsc2c1 ZINC001755600669 1177090824 /nfs/dbraw/zinc/09/08/24/1177090824.db2.gz SBISWBGSEGWTCI-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC001600075166 1192787454 /nfs/dbraw/zinc/78/74/54/1192787454.db2.gz KOXMMBOTARTGTJ-HXUWFJFHSA-N 0 1 320.392 3.095 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CCC)c1ccccc1 ZINC001691587634 1177319959 /nfs/dbraw/zinc/31/99/59/1177319959.db2.gz WEYDDMBSUCFGDN-MRXNPFEDSA-N 0 1 308.853 3.371 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001691612481 1177326568 /nfs/dbraw/zinc/32/65/68/1177326568.db2.gz FIJKHOXLHFPEAZ-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc3ccc(C)nc32)CC1 ZINC001687434657 1177398786 /nfs/dbraw/zinc/39/87/86/1177398786.db2.gz QTOYPHRDUQYYPP-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1[C@H]1CCN(CCF)C1 ZINC001670924496 1177545217 /nfs/dbraw/zinc/54/52/17/1177545217.db2.gz ZSXZWXTYHNTUCJ-HOTGVXAUSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H]1CCN1CCc1ccccc1F ZINC001691656769 1177595765 /nfs/dbraw/zinc/59/57/65/1177595765.db2.gz MZKVEXBGLGXMHE-QGZVFWFLSA-N 0 1 318.436 3.257 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1ccc2ccccc2c1 ZINC001691678029 1177678786 /nfs/dbraw/zinc/67/87/86/1177678786.db2.gz INFITJLSTRRHKZ-IBGZPJMESA-N 0 1 308.425 3.101 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001691682254 1177684466 /nfs/dbraw/zinc/68/44/66/1177684466.db2.gz JFXOXRJVYJLUDS-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001691682254 1177684471 /nfs/dbraw/zinc/68/44/71/1177684471.db2.gz JFXOXRJVYJLUDS-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(CCC2CC2)CC1)c1ccccc1 ZINC001113661500 1177726432 /nfs/dbraw/zinc/72/64/32/1177726432.db2.gz WNLOJYRVJOGJPC-LJQANCHMSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CCC=C)CC1)c1ccccc1 ZINC001113743689 1177729786 /nfs/dbraw/zinc/72/97/86/1177729786.db2.gz SLNFXMRGJSLQIB-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1-c1nnc[nH]1)c1ccc(C#N)cc1 ZINC001182800149 1177820954 /nfs/dbraw/zinc/82/09/54/1177820954.db2.gz ZHSMLADJKOSUHK-GFCCVEGCSA-N 0 1 317.352 3.086 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2cccc(C)c2o1 ZINC001274620053 1177919662 /nfs/dbraw/zinc/91/96/62/1177919662.db2.gz WUDAVFRCAOMTHT-ARJAWSKDSA-N 0 1 318.804 3.369 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC001671142064 1178046168 /nfs/dbraw/zinc/04/61/68/1178046168.db2.gz NGTZJTDJLPAHAJ-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C[C@H]1C(N=Nc2ccc(C#N)cn2)CCN1Cc1ccccc1 ZINC001330911624 1178090210 /nfs/dbraw/zinc/09/02/10/1178090210.db2.gz UILOJRGZDIQUEV-AWEZNQCLSA-N 0 1 305.385 3.016 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001331405547 1178205476 /nfs/dbraw/zinc/20/54/76/1178205476.db2.gz LJDONHZHWRIQAO-HNNXBMFYSA-N 0 1 318.848 3.094 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1cc(Cl)ccc1F ZINC001331443328 1178215262 /nfs/dbraw/zinc/21/52/62/1178215262.db2.gz SRLTZUHNOBDHJN-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001331574953 1178251191 /nfs/dbraw/zinc/25/11/91/1178251191.db2.gz BLZRMBVJNYVMOS-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001332158068 1178372715 /nfs/dbraw/zinc/37/27/15/1178372715.db2.gz JYKWDQSYRQVOLC-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)oc1C)C(C)(C)C ZINC001332756934 1178493532 /nfs/dbraw/zinc/49/35/32/1178493532.db2.gz NXNPCXAGBKQMAR-AWEZNQCLSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c(C)coc1C)C(C)(C)C ZINC001332792703 1178500155 /nfs/dbraw/zinc/50/01/55/1178500155.db2.gz UECNHGPKKBVDIZ-CYBMUJFWSA-N 0 1 312.841 3.383 20 30 DGEDMN C#CCCCC(=O)NCC1=CCN(C/C=C\c2ccccc2)CC1 ZINC001333329909 1178589780 /nfs/dbraw/zinc/58/97/80/1178589780.db2.gz YQTBWCPPAOWSOI-FLIBITNWSA-N 0 1 322.452 3.252 20 30 DGEDMN CC(C)c1ncc(C(=O)N2CCC(c3ccc(C#N)cc3)CC2)[nH]1 ZINC001334396187 1178758302 /nfs/dbraw/zinc/75/83/02/1178758302.db2.gz XZLJPJUUKWBXEI-UHFFFAOYSA-N 0 1 322.412 3.425 20 30 DGEDMN N#CC1(CCCC[N@H+](CC(=O)[O-])Cc2ccccc2)CCC1 ZINC001601054221 1192914535 /nfs/dbraw/zinc/91/45/35/1192914535.db2.gz HDFKFSTVLRXYMR-UHFFFAOYSA-N 0 1 300.402 3.437 20 30 DGEDMN N#CC[C@H]1CCC[N@@H+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064312 1192915162 /nfs/dbraw/zinc/91/51/62/1192915162.db2.gz SNKRJSOXBSTOLD-YGRLFVJLSA-N 0 1 310.756 3.230 20 30 DGEDMN N#CC[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064312 1192915165 /nfs/dbraw/zinc/91/51/65/1192915165.db2.gz SNKRJSOXBSTOLD-YGRLFVJLSA-N 0 1 310.756 3.230 20 30 DGEDMN N#CCC[C@H]([NH2+][C@H]1CCCn2nc(C(=O)[O-])cc21)c1ccccc1 ZINC001601066597 1192915575 /nfs/dbraw/zinc/91/55/75/1192915575.db2.gz OACZJTYSUHVYJH-GJZGRUSLSA-N 0 1 324.384 3.051 20 30 DGEDMN N#Cc1c(C[NH2+][C@@H]2CCc3c2cccc3F)cccc1C(=O)[O-] ZINC001601082749 1192916831 /nfs/dbraw/zinc/91/68/31/1192916831.db2.gz YVLSOIBZLILSEB-QGZVFWFLSA-N 0 1 310.328 3.173 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@@H]21 ZINC001601088704 1192917268 /nfs/dbraw/zinc/91/72/68/1192917268.db2.gz DVHHIYODFNFEBQ-IKGGRYGDSA-N 0 1 316.376 3.163 20 30 DGEDMN N#Cc1ccc(C[N@H+]2C[C@H]3CCCC[C@@]3(C(=O)[O-])C2)c(Cl)c1 ZINC001601099459 1192918770 /nfs/dbraw/zinc/91/87/70/1192918770.db2.gz AGMAVAWWONKYFO-RHSMWYFYSA-N 0 1 318.804 3.288 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)C[C@@H](N)c1ccccc1OCC ZINC001341779035 1179061552 /nfs/dbraw/zinc/06/15/52/1179061552.db2.gz IKQAQQQIKRYIHI-DOTOQJQBSA-N 0 1 316.445 3.432 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCCCC1 ZINC001341855758 1179072825 /nfs/dbraw/zinc/07/28/25/1179072825.db2.gz ZIKJNQHPBVATAG-UHFFFAOYSA-N 0 1 322.416 3.388 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)c1csc(C2CCCCC2)n1 ZINC001342165395 1179112486 /nfs/dbraw/zinc/11/24/86/1179112486.db2.gz LHUDTNPSRRAFMN-LBPRGKRZSA-N 0 1 314.414 3.414 20 30 DGEDMN C=CCCC[C@@H](NC(=O)[C@H](N)Cc1ccccn1)c1ccccc1 ZINC001342456204 1179142461 /nfs/dbraw/zinc/14/24/61/1179142461.db2.gz YAHOKCQIVPCKCJ-RTBURBONSA-N 0 1 323.440 3.165 20 30 DGEDMN CC(C)COc1ncccc1C(=O)[C@H](C#N)c1cccc(F)n1 ZINC001342575894 1179155990 /nfs/dbraw/zinc/15/59/90/1179155990.db2.gz QOJCZFHNVZEODY-CYBMUJFWSA-N 0 1 313.332 3.141 20 30 DGEDMN CC(C)COc1ncccc1C(=O)C(C#N)c1cccc(F)n1 ZINC001342575894 1179155993 /nfs/dbraw/zinc/15/59/93/1179155993.db2.gz QOJCZFHNVZEODY-CYBMUJFWSA-N 0 1 313.332 3.141 20 30 DGEDMN Cc1occc1C(=O)[C@H](C#N)c1nccn1Cc1ccccc1 ZINC001343272924 1179251223 /nfs/dbraw/zinc/25/12/23/1179251223.db2.gz MAWNDTBLEYODSY-INIZCTEOSA-N 0 1 305.337 3.323 20 30 DGEDMN Cc1occc1C(=O)C(C#N)c1nccn1Cc1ccccc1 ZINC001343272924 1179251234 /nfs/dbraw/zinc/25/12/34/1179251234.db2.gz MAWNDTBLEYODSY-INIZCTEOSA-N 0 1 305.337 3.323 20 30 DGEDMN N#CC(C(=O)c1ccoc1C1CC1)c1nc(N)c2ccccc2n1 ZINC001343375132 1179262813 /nfs/dbraw/zinc/26/28/13/1179262813.db2.gz ARXYKYXATVTLPJ-CYBMUJFWSA-N 0 1 318.336 3.172 20 30 DGEDMN N#C[C@H](C(=O)c1ccoc1C1CC1)c1nc(N)c2ccccc2n1 ZINC001343375132 1179262820 /nfs/dbraw/zinc/26/28/20/1179262820.db2.gz ARXYKYXATVTLPJ-CYBMUJFWSA-N 0 1 318.336 3.172 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001343581804 1179300247 /nfs/dbraw/zinc/30/02/47/1179300247.db2.gz FVDLERJKJIHPGZ-JYYAWHABSA-N 0 1 310.397 3.469 20 30 DGEDMN Cc1sc(C(=O)[C@@H](C#N)c2nccn2C)cc1Br ZINC001343849883 1179339007 /nfs/dbraw/zinc/33/90/07/1179339007.db2.gz AOSYWEBJFKVMEV-MRVPVSSYSA-N 0 1 324.203 3.043 20 30 DGEDMN Cc1sc(C(=O)C(C#N)c2nccn2C)cc1Br ZINC001343849883 1179339014 /nfs/dbraw/zinc/33/90/14/1179339014.db2.gz AOSYWEBJFKVMEV-MRVPVSSYSA-N 0 1 324.203 3.043 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CC(C)(C)Oc2ccccc21 ZINC001344322697 1179412871 /nfs/dbraw/zinc/41/28/71/1179412871.db2.gz VVILFNRAIDBUFL-GJZGRUSLSA-N 0 1 323.396 3.424 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H]1CC(C)(C)Oc2ccccc21 ZINC001344322699 1179412945 /nfs/dbraw/zinc/41/29/45/1179412945.db2.gz VVILFNRAIDBUFL-LSDHHAIUSA-N 0 1 323.396 3.424 20 30 DGEDMN C=CCCCN(CC)C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC001345603982 1179576889 /nfs/dbraw/zinc/57/68/89/1179576889.db2.gz YLSSJQHQVSLYOC-INIZCTEOSA-N 0 1 300.402 3.117 20 30 DGEDMN Cc1ccc(Cl)c(OC[C@@H](O)CNCC2(C#N)CCCC2)c1 ZINC001346325032 1179684335 /nfs/dbraw/zinc/68/43/35/1179684335.db2.gz IBWADFINXOXHPB-AWEZNQCLSA-N 0 1 322.836 3.062 20 30 DGEDMN N#CC(C(=O)c1csc([C@@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125875 1179780714 /nfs/dbraw/zinc/78/07/14/1179780714.db2.gz YIIHNLIWIBXOGU-CABZTGNLSA-N 0 1 317.345 3.019 20 30 DGEDMN N#C[C@H](C(=O)c1csc([C@@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125875 1179780719 /nfs/dbraw/zinc/78/07/19/1179780719.db2.gz YIIHNLIWIBXOGU-CABZTGNLSA-N 0 1 317.345 3.019 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+](C)Cc1cc(Br)ccc1Cl ZINC001602804812 1192998283 /nfs/dbraw/zinc/99/82/83/1192998283.db2.gz SRGKEXFDCIPNAI-LLVKDONJSA-N 0 1 318.598 3.174 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])cc1 ZINC001603230525 1193011655 /nfs/dbraw/zinc/01/16/55/1193011655.db2.gz OKSSFIJYEZULAY-USXIJHARSA-N 0 1 312.413 3.173 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NCC(C(C)C)C(C)C)o1 ZINC001603407363 1193017004 /nfs/dbraw/zinc/01/70/04/1193017004.db2.gz UUSBHZBVZYQAAR-UHFFFAOYSA-N 0 1 319.413 3.304 20 30 DGEDMN N#CC1(c2ccccc2)CC(NCc2cnn(CC3CCC3)c2)C1 ZINC001352097128 1180295399 /nfs/dbraw/zinc/29/53/99/1180295399.db2.gz NBOIIXPELPFYOW-UHFFFAOYSA-N 0 1 320.440 3.397 20 30 DGEDMN CCCCCCCCCCC(=O)N[C@H]1CC[N@@H+](CC)C[C@@H]1O ZINC001100083186 1180365905 /nfs/dbraw/zinc/36/59/05/1180365905.db2.gz OIQPGCLSWYTFDQ-IRXDYDNUSA-N 0 1 312.498 3.089 20 30 DGEDMN C[C@H](CNC(=O)CCC1CCCC1)NCc1ccccc1C#N ZINC001480418758 1180408892 /nfs/dbraw/zinc/40/88/92/1180408892.db2.gz QJXWMWQAEFTAPM-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1cccc(-c2nnc[nH]2)c1 ZINC001353405853 1180550901 /nfs/dbraw/zinc/55/09/01/1180550901.db2.gz KJYABZDLKLUHTL-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1nsc2ccc(C)cc21 ZINC001480514989 1180586814 /nfs/dbraw/zinc/58/68/14/1180586814.db2.gz BPMVCRFNJGKUJV-NSHDSACASA-N 0 1 323.849 3.065 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100364463 1180617164 /nfs/dbraw/zinc/61/71/64/1180617164.db2.gz GUFTXRLHJMKAFN-XYOKQWHBSA-N 0 1 319.276 3.392 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)CCCC(=O)OC(C)(C)C)n1 ZINC001354062817 1180715349 /nfs/dbraw/zinc/71/53/49/1180715349.db2.gz NFUAXRUIMFIDQZ-LLVKDONJSA-N 0 1 308.403 3.140 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCC1CC(NCc2ccccc2C#N)C1 ZINC001100583473 1180818581 /nfs/dbraw/zinc/81/85/81/1180818581.db2.gz UUSZKUVNEPPTAE-NVNXTCNLSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100594795 1180833639 /nfs/dbraw/zinc/83/36/39/1180833639.db2.gz FUYRDZMUQNLMKS-GRTSSRMGSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100711446 1180956583 /nfs/dbraw/zinc/95/65/83/1180956583.db2.gz PYBSQEUUUZJPEP-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN N#CCn1c(C(O)=C(C#N)c2cccc(F)n2)cc2ccccc21 ZINC001357599498 1181412874 /nfs/dbraw/zinc/41/28/74/1181412874.db2.gz VYCCNOPBAFKISV-ZDUSSCGKSA-N 0 1 318.311 3.189 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccsc1 ZINC001570807378 1181708138 /nfs/dbraw/zinc/70/81/38/1181708138.db2.gz KGTZMAJDCISDRJ-ZDUSSCGKSA-N 0 1 300.855 3.379 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1coc2ccc(CC)cc12 ZINC001492952291 1181748209 /nfs/dbraw/zinc/74/82/09/1181748209.db2.gz HQIJYKBQLOQCCR-UHFFFAOYSA-N 0 1 312.413 3.070 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC001435021024 1181785168 /nfs/dbraw/zinc/78/51/68/1181785168.db2.gz ICLFFRONHGWATB-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccc(F)cc1 ZINC001440868303 1182049346 /nfs/dbraw/zinc/04/93/46/1182049346.db2.gz SDSQTPQJIGEZMY-CYBMUJFWSA-N 0 1 304.708 3.179 20 30 DGEDMN C[C@H](CSc1ccc(C#N)cc1Cl)CN1CCOCC1 ZINC001652723014 1195640867 /nfs/dbraw/zinc/64/08/67/1195640867.db2.gz AKHYJQJSEMILNL-LBPRGKRZSA-N 0 1 310.850 3.272 20 30 DGEDMN CC(C)Cc1c[nH]c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001454327259 1182337554 /nfs/dbraw/zinc/33/75/54/1182337554.db2.gz JPPFPAUHOHBMBB-UHFFFAOYSA-N 0 1 307.357 3.213 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1cn[nH]c1-c1ccncc1 ZINC001455446014 1182369445 /nfs/dbraw/zinc/36/94/45/1182369445.db2.gz SOUJQEYVQPFLGU-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN N#C[C@H]1C[C@H]1C(=O)Nc1ccc(-c2nc3ccc(F)cc3[nH]2)cc1 ZINC001456037122 1182394648 /nfs/dbraw/zinc/39/46/48/1182394648.db2.gz ZSZKGGXXCHQQHA-BXUZGUMPSA-N 0 1 320.327 3.467 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)c3cccc4nc[nH]c43)cc2n1 ZINC001456181248 1182403590 /nfs/dbraw/zinc/40/35/90/1182403590.db2.gz JEIUGWBBJPJLTM-UHFFFAOYSA-N 0 1 317.352 3.351 20 30 DGEDMN CCCCCCN(O)C(=O)c1cc(-c2ccc(OC)cc2)[nH]n1 ZINC001458008984 1182490351 /nfs/dbraw/zinc/49/03/51/1182490351.db2.gz CBTPVEICQSNMRX-UHFFFAOYSA-N 0 1 317.389 3.497 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@@H]3C[C@@H]3C3CCCC3)n2)c1 ZINC001458039993 1182491383 /nfs/dbraw/zinc/49/13/83/1182491383.db2.gz CNSVUSWURKWDOQ-HUUCEWRRSA-N 0 1 321.384 3.108 20 30 DGEDMN CC1(C)C(C)(C)C1(C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458050583 1182492610 /nfs/dbraw/zinc/49/26/10/1182492610.db2.gz VRKSEKLZITZAFO-UHFFFAOYSA-N 0 1 323.400 3.354 20 30 DGEDMN CCC[C@H](C)CCCN1CCN(c2nccnc2C#N)C[C@H]1C ZINC001459770613 1182614121 /nfs/dbraw/zinc/61/41/21/1182614121.db2.gz SXWOPXZRRLDTOB-JKSUJKDBSA-N 0 1 315.465 3.075 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1cccc(C#N)c1)c1ccc(F)cc1 ZINC001459797659 1182617033 /nfs/dbraw/zinc/61/70/33/1182617033.db2.gz LRBDHBPQYUFMOH-QGZVFWFLSA-N 0 1 311.360 3.377 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccccc2CC(C)C)C1=O ZINC001460020602 1182641717 /nfs/dbraw/zinc/64/17/17/1182641717.db2.gz RCDOGQXUCFJKHS-SFHVURJKSA-N 0 1 300.446 3.104 20 30 DGEDMN CCOC(=O)CCN(Cc1ccc(Cl)cc1C#N)C(C)C ZINC001460353852 1182681534 /nfs/dbraw/zinc/68/15/34/1182681534.db2.gz FRYJQQASXFYGOE-UHFFFAOYSA-N 0 1 308.809 3.375 20 30 DGEDMN CC(C)=CCN1CCN(c2cc(-c3ccc(C#N)cc3)[nH]n2)CC1 ZINC001460358042 1182682139 /nfs/dbraw/zinc/68/21/39/1182682139.db2.gz ASJJLXWQHHMHKW-UHFFFAOYSA-N 0 1 321.428 3.037 20 30 DGEDMN C=C(CC)CN1C[C@@H](C)O[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001460727844 1182713568 /nfs/dbraw/zinc/71/35/68/1182713568.db2.gz SADZPKMRZUYVQR-CRAIPNDOSA-N 0 1 324.465 3.053 20 30 DGEDMN COc1cccc([C@@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001461918324 1182876114 /nfs/dbraw/zinc/87/61/14/1182876114.db2.gz NRXRRWKLPCFICY-LLVKDONJSA-N 0 1 320.352 3.185 20 30 DGEDMN C#C[C@H](NC[C@@H]1C[C@H]1c1cnn(C)c1)c1ccc(Cl)cc1F ZINC001462229302 1182926647 /nfs/dbraw/zinc/92/66/47/1182926647.db2.gz ZYLHFKOBODMWOS-CXMBCZLWSA-N 0 1 317.795 3.280 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H](O)c1ccc(Cl)cc1 ZINC001462278687 1182932320 /nfs/dbraw/zinc/93/23/20/1182932320.db2.gz GPVCVVPGQXDMNW-INIZCTEOSA-N 0 1 304.752 3.174 20 30 DGEDMN N#Cc1c2ccccc2[nH]c1C(=O)Nc1ccc2nc[nH]c2c1 ZINC001464510162 1183096676 /nfs/dbraw/zinc/09/66/76/1183096676.db2.gz AKSBIHARPCLOFW-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(Oc2ccccc2)c(C#N)c1)N(C)C ZINC001467349340 1183256766 /nfs/dbraw/zinc/25/67/66/1183256766.db2.gz BBPUYXOBNFNJKV-CYBMUJFWSA-N 0 1 309.369 3.239 20 30 DGEDMN COc1ccc(C#N)cc1C[N@@H+](CC(C)C)CC(C)(C)C(=O)[O-] ZINC001607201830 1193217462 /nfs/dbraw/zinc/21/74/62/1193217462.db2.gz RHQWPQUIZIXMGL-UHFFFAOYSA-N 0 1 318.417 3.136 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCN1C1CCCC1)c1ccc(Cl)cc1 ZINC001468721850 1183354066 /nfs/dbraw/zinc/35/40/66/1183354066.db2.gz ZKWIBLNBHXOWAH-IRXDYDNUSA-N 0 1 316.832 3.147 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(C)C[C@@H]2CC(C)C)CCCC1 ZINC001470149240 1183464546 /nfs/dbraw/zinc/46/45/46/1183464546.db2.gz BNMRZGCZQSWIIN-KRWDZBQOSA-N 0 1 321.509 3.495 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4[nH]ccc4c3)[nH]c2c1 ZINC001470719006 1183507636 /nfs/dbraw/zinc/50/76/36/1183507636.db2.gz GYCUERQWHAWEOW-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2[C@@H]3c4ccc(Cl)cc4C[C@H]23)c1 ZINC001471086306 1183536674 /nfs/dbraw/zinc/53/66/74/1183536674.db2.gz XYVPDABFRBWVCD-GVDBMIGSSA-N 0 1 324.767 3.208 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2ccc(C)c(C#N)c2)n[nH]1 ZINC001472103836 1183617169 /nfs/dbraw/zinc/61/71/69/1183617169.db2.gz IKIJNTLVRPJFSO-QGZVFWFLSA-N 0 1 308.385 3.266 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)cc1 ZINC001472286181 1183630847 /nfs/dbraw/zinc/63/08/47/1183630847.db2.gz PMDLDQFQBMMVAX-UHFFFAOYSA-N 0 1 303.321 3.016 20 30 DGEDMN C#Cc1ccc(NC(=O)c2ccc(Br)cc2O)cc1 ZINC001472285981 1183631029 /nfs/dbraw/zinc/63/10/29/1183631029.db2.gz KBZFELSFHVQOPO-UHFFFAOYSA-N 0 1 316.154 3.388 20 30 DGEDMN CC(C)[C@@H](C(=O)N(O)Cc1cc(Cl)cc(Cl)c1)N(C)C ZINC001472618287 1183656449 /nfs/dbraw/zinc/65/64/49/1183656449.db2.gz YLPMMBQSTAAPEL-ZDUSSCGKSA-N 0 1 319.232 3.297 20 30 DGEDMN CC(C)N(C)CC(=O)N(O)Cc1cc(Cl)cc(Cl)c1 ZINC001472615960 1183657329 /nfs/dbraw/zinc/65/73/29/1183657329.db2.gz JVMCUPYLYYLQBJ-UHFFFAOYSA-N 0 1 305.205 3.051 20 30 DGEDMN CC(C)c1ncc(CNc2cc(F)c(C#N)cc2[N+](=O)[O-])[nH]1 ZINC001472885872 1183696331 /nfs/dbraw/zinc/69/63/31/1183696331.db2.gz GJTGWYXHIXUFCQ-UHFFFAOYSA-N 0 1 303.297 3.064 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc(C3CC3)[nH]c2C2CC2)CC1 ZINC001472970233 1183704399 /nfs/dbraw/zinc/70/43/99/1183704399.db2.gz GLRYWPOZVZVQAV-UHFFFAOYSA-N 0 1 313.445 3.103 20 30 DGEDMN C#C[C@H](NCCOc1cc(Cl)cc(Cl)c1)[C@H]1CCCO1 ZINC001473402355 1183752547 /nfs/dbraw/zinc/75/25/47/1183752547.db2.gz JNBHHKDCDIPGBP-LSDHHAIUSA-N 0 1 314.212 3.143 20 30 DGEDMN CC[C@@](C)(C(=O)OC)N(Cc1ccc(C#N)cc1C)CC1CC1 ZINC001473571750 1183774693 /nfs/dbraw/zinc/77/46/93/1183774693.db2.gz POEQUFYMQXPMPF-IBGZPJMESA-N 0 1 314.429 3.420 20 30 DGEDMN C#CC1CCN(CCOc2ccccc2Br)CC1 ZINC001473582394 1183776408 /nfs/dbraw/zinc/77/64/08/1183776408.db2.gz VGSGKEYXPAZOOY-UHFFFAOYSA-N 0 1 308.219 3.173 20 30 DGEDMN C#CCCCCCCN1CCN(c2ccsc2C#N)CC1 ZINC001473640551 1183783637 /nfs/dbraw/zinc/78/36/37/1183783637.db2.gz DPTKGBDCRICYIY-UHFFFAOYSA-N 0 1 301.459 3.325 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN([C@@H](C)c1ccc(C#N)cc1)C2 ZINC001473760456 1183799685 /nfs/dbraw/zinc/79/96/85/1183799685.db2.gz HEKOWGFCMWENCJ-AWEZNQCLSA-N 0 1 320.392 3.464 20 30 DGEDMN CCC#C[C@H](C)N1CCC[C@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC001473842498 1183811194 /nfs/dbraw/zinc/81/11/94/1183811194.db2.gz YUNOGWGEIPEBCP-YOEHRIQHSA-N 0 1 318.848 3.336 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)CC(C)C)C1 ZINC001474177011 1183877455 /nfs/dbraw/zinc/87/74/55/1183877455.db2.gz JUSCVJCWCSVYDB-CVEARBPZSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CC1(NCc2ccc(OC)cc2Br)CCCC1 ZINC001474246829 1183889506 /nfs/dbraw/zinc/88/95/06/1183889506.db2.gz HZSVKVFRHKHHIW-UHFFFAOYSA-N 0 1 308.219 3.493 20 30 DGEDMN Cc1ccc(CNCc2ccc(Br)cn2)cc1C#N ZINC001474263339 1183891659 /nfs/dbraw/zinc/89/16/59/1183891659.db2.gz UAQYFPRXQOLVTF-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN N#Cc1cccc(CNC[C@H](O)c2c(Cl)cccc2Cl)n1 ZINC001474547766 1183938134 /nfs/dbraw/zinc/93/81/34/1183938134.db2.gz KHSMJNLKHMNELL-AWEZNQCLSA-N 0 1 322.195 3.083 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)OC1CCCCCC1 ZINC001479244790 1184215600 /nfs/dbraw/zinc/21/56/00/1184215600.db2.gz LICCJLXDFZJGPI-IAGOWNOFSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001479249974 1184219243 /nfs/dbraw/zinc/21/92/43/1184219243.db2.gz MLGHJZYFDRLJIK-FUHWJXTLSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001479263211 1184221761 /nfs/dbraw/zinc/22/17/61/1184221761.db2.gz KYRKWOGAYDPTHN-AZRLCKCBSA-N 0 1 316.489 3.312 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1c(C)oc2ccccc21 ZINC001479274587 1184225841 /nfs/dbraw/zinc/22/58/41/1184225841.db2.gz LYDQAZSIUJUYTJ-OAHLLOKOSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@@H]2CCC[N@@H+](CCF)[C@H]2C1(C)C ZINC001479610625 1184274473 /nfs/dbraw/zinc/27/44/73/1184274473.db2.gz CUGQIVCDERBXDU-NUEKZKHPSA-N 0 1 310.457 3.163 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@@H]2CCCN(CCF)[C@H]2C1(C)C ZINC001479610625 1184274479 /nfs/dbraw/zinc/27/44/79/1184274479.db2.gz CUGQIVCDERBXDU-NUEKZKHPSA-N 0 1 310.457 3.163 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1[C@H]2CCCN(CCF)[C@@H]2C1(C)C ZINC001479612887 1184276358 /nfs/dbraw/zinc/27/63/58/1184276358.db2.gz LEJNXQPZMBRWML-ZACQAIPSSA-N 0 1 322.468 3.330 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2cc(C)ccc2F)[C@@H]1C ZINC001479704416 1184295445 /nfs/dbraw/zinc/29/54/45/1184295445.db2.gz XOEVQYXXOGCDMV-QAPCUYQASA-N 0 1 316.420 3.017 20 30 DGEDMN N#Cc1c(C[N@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)cccc1C(=O)[O-] ZINC001608192124 1193282229 /nfs/dbraw/zinc/28/22/29/1193282229.db2.gz UQJPTOLMOMLGKA-UZLBHIALSA-N 0 1 318.376 3.030 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[NH2+][C@@H](C(=O)[O-])c1ccccc1 ZINC001608196957 1193282331 /nfs/dbraw/zinc/28/23/31/1193282331.db2.gz MLYXSJLNSOSZEG-OAHLLOKOSA-N 0 1 300.745 3.127 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001479912172 1184379781 /nfs/dbraw/zinc/37/97/81/1184379781.db2.gz WERUHVNIJYCBGY-IRXDYDNUSA-N 0 1 300.446 3.255 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CCc2ccsc2)CC1 ZINC001479993246 1184406292 /nfs/dbraw/zinc/40/62/92/1184406292.db2.gz KLMXNEXAIGLCHY-UHFFFAOYSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(Cl)cc1)C1CC1 ZINC001480060201 1184421262 /nfs/dbraw/zinc/42/12/62/1184421262.db2.gz ZORQZNDPZYNVAD-AWEZNQCLSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001480154946 1184446599 /nfs/dbraw/zinc/44/65/99/1184446599.db2.gz DCWWMWYYKMVQFX-WRWXXUTGSA-N 0 1 305.249 3.145 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001480204743 1184459338 /nfs/dbraw/zinc/45/93/38/1184459338.db2.gz AKJBFVWSWNJRGJ-JVGGDYBHSA-N 0 1 317.260 3.311 20 30 DGEDMN CCCCC(=O)NC[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC001480243391 1184469690 /nfs/dbraw/zinc/46/96/90/1184469690.db2.gz RWUZJCDSNJSORN-CQSZACIVSA-N 0 1 319.474 3.138 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](CCC)CC(C)C ZINC001480331467 1184495281 /nfs/dbraw/zinc/49/52/81/1184495281.db2.gz MDXMHSUZUABARN-QZTJIDSGSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(Cl)c[nH]1 ZINC001480337747 1184497630 /nfs/dbraw/zinc/49/76/30/1184497630.db2.gz DGYYASJRXACKCQ-LBPRGKRZSA-N 0 1 316.232 3.005 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](C)[C@@H]1CCCN(CC(=C)Cl)C1 ZINC001480382804 1184516092 /nfs/dbraw/zinc/51/60/92/1184516092.db2.gz MTRJWIASLCBZEX-JVPVSYIYSA-N 0 1 310.869 3.478 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCC(NCc2nc(C)c(C)o2)CC1 ZINC001480405994 1184523409 /nfs/dbraw/zinc/52/34/09/1184523409.db2.gz PNXXEQWMUYTXHR-UHFFFAOYSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1cc(F)ccc1Cl ZINC001480528393 1184543383 /nfs/dbraw/zinc/54/33/83/1184543383.db2.gz OQFOXCZXPYKVGC-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(CC(C)C)CCCC1 ZINC001480557820 1184547836 /nfs/dbraw/zinc/54/78/36/1184547836.db2.gz VADDBGLTDMYLJN-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ncc(C)s2)CCCC1 ZINC001480603060 1184557440 /nfs/dbraw/zinc/55/74/40/1184557440.db2.gz AKPKRNWFTIYAND-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(CC)c(CC)c1 ZINC001480608819 1184559325 /nfs/dbraw/zinc/55/93/25/1184559325.db2.gz UEBRIXCBBBKPEA-CYBMUJFWSA-N 0 1 308.853 3.272 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001493063173 1184640962 /nfs/dbraw/zinc/64/09/62/1184640962.db2.gz VDAGUMRGSFBHSR-MRXNPFEDSA-N 0 1 300.446 3.275 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001493093340 1184647762 /nfs/dbraw/zinc/64/77/62/1184647762.db2.gz DHTNSVBUOUDLSN-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@H](C)c2ccc(F)c(F)c2)C1 ZINC001493188881 1184666505 /nfs/dbraw/zinc/66/65/05/1184666505.db2.gz ZVZTYBNWHBUICR-ZIAGYGMSSA-N 0 1 322.399 3.430 20 30 DGEDMN O=C([O-])[C@]12C[N@@H+](CC#Cc3ccc(Cl)cc3)C[C@H]1CCCC2 ZINC001608817473 1193305205 /nfs/dbraw/zinc/30/52/05/1193305205.db2.gz WUBKANPULZQJPO-CRAIPNDOSA-N 0 1 317.816 3.268 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCCc1ccc(C)cc1 ZINC001493683597 1184724971 /nfs/dbraw/zinc/72/49/71/1184724971.db2.gz ZFSHZRQWIGKCBY-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC/C=C\Cl)c1ccccc1 ZINC001493892504 1184760006 /nfs/dbraw/zinc/76/00/06/1184760006.db2.gz NLRJLBZHBQKQLO-QIENPNARSA-N 0 1 306.837 3.398 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H](C)c1ccccc1)c1ccccc1 ZINC001493902511 1184762030 /nfs/dbraw/zinc/76/20/30/1184762030.db2.gz CDYZMOSHJCNQOP-FXAWDEMLSA-N 0 1 320.436 3.261 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H](C)c1ccccc1)c1ccccc1 ZINC001493902514 1184762379 /nfs/dbraw/zinc/76/23/79/1184762379.db2.gz CDYZMOSHJCNQOP-YLJYHZDGSA-N 0 1 320.436 3.261 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cccc(C)c1 ZINC001493922267 1184764905 /nfs/dbraw/zinc/76/49/05/1184764905.db2.gz VFECUIUVMUBEFF-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ncc(C)cc2C)C1 ZINC001493964635 1184777618 /nfs/dbraw/zinc/77/76/18/1184777618.db2.gz MARLGLYMCYARNX-KRWDZBQOSA-N 0 1 315.461 3.201 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCCCC2(F)F)C1 ZINC001493964022 1184779275 /nfs/dbraw/zinc/77/92/75/1184779275.db2.gz IQBCKCPOXLWKKK-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001493978352 1184781764 /nfs/dbraw/zinc/78/17/64/1184781764.db2.gz SIVFAYQPRPIERN-MAUKXSAKSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001493978352 1184781771 /nfs/dbraw/zinc/78/17/71/1184781771.db2.gz SIVFAYQPRPIERN-MAUKXSAKSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001494555233 1184885216 /nfs/dbraw/zinc/88/52/16/1184885216.db2.gz IRXOJLYEBUKROS-AWEZNQCLSA-N 0 1 304.409 3.291 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CCC)CC1CCCC1 ZINC001494674987 1184904336 /nfs/dbraw/zinc/90/43/36/1184904336.db2.gz VJOPYTRUYXQDOE-QYZOEREBSA-N 0 1 316.489 3.291 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001494750199 1184919635 /nfs/dbraw/zinc/91/96/35/1184919635.db2.gz RBIVDWYNZVYMLW-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001494841035 1184939437 /nfs/dbraw/zinc/93/94/37/1184939437.db2.gz DMOQEIUOGGVKLK-QAPCUYQASA-N 0 1 318.436 3.461 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001494842864 1184939544 /nfs/dbraw/zinc/93/95/44/1184939544.db2.gz JRYLJRHDASAGTC-YVDYPEBHSA-N 0 1 323.440 3.113 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001494910350 1184960313 /nfs/dbraw/zinc/96/03/13/1184960313.db2.gz MVJZVAKZRHVMAY-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)NCc1ccc(F)cc1Cl ZINC001494972119 1184973535 /nfs/dbraw/zinc/97/35/35/1184973535.db2.gz XLPHQTIRIBRIDK-HNNXBMFYSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CC[C@@H]1[N@@H+](Cc2ccc(-c3nnn[n-]3)s2)CCCC1(C)C ZINC001609053218 1193322273 /nfs/dbraw/zinc/32/22/73/1193322273.db2.gz FRICGLDBQACSKO-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2ccc(-c3nnn[n-]3)s2)CCCC1(C)C ZINC001609053218 1193322275 /nfs/dbraw/zinc/32/22/75/1193322275.db2.gz FRICGLDBQACSKO-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@@H+](Cc2ccc(-c3nn[n-]n3)s2)CCCC1(C)C ZINC001609053218 1193322278 /nfs/dbraw/zinc/32/22/78/1193322278.db2.gz FRICGLDBQACSKO-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2ccc(-c3nn[n-]n3)s2)CCCC1(C)C ZINC001609053218 1193322279 /nfs/dbraw/zinc/32/22/79/1193322279.db2.gz FRICGLDBQACSKO-AWEZNQCLSA-N 0 1 317.462 3.495 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C)c(C)c1 ZINC001495593551 1185078623 /nfs/dbraw/zinc/07/86/23/1185078623.db2.gz NGLFORJEZARPAN-MRXNPFEDSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cscn2)CCCC1 ZINC001495930094 1185114132 /nfs/dbraw/zinc/11/41/32/1185114132.db2.gz ABDDDHQNPYIWSI-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2ccc(F)cc2F)C1 ZINC001496152158 1185142033 /nfs/dbraw/zinc/14/20/33/1185142033.db2.gz NLYMBCWHEUVWKB-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001496171581 1185143199 /nfs/dbraw/zinc/14/31/99/1185143199.db2.gz LQTCPCFDLWTHPV-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cc(C)c(C)o1 ZINC001496463627 1185192878 /nfs/dbraw/zinc/19/28/78/1185192878.db2.gz GLMGPKUTMRWCIM-IAQYHMDHSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cc(C)c(C)o1 ZINC001496463629 1185193006 /nfs/dbraw/zinc/19/30/06/1185193006.db2.gz GLMGPKUTMRWCIM-NHYWBVRUSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1csc(C)n1)C(C)C ZINC001496588851 1185217495 /nfs/dbraw/zinc/21/74/95/1185217495.db2.gz CCJDBAHGRCKDHH-HNNXBMFYSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1cccc(C2CC2)c1 ZINC001497427060 1185330889 /nfs/dbraw/zinc/33/08/89/1185330889.db2.gz HITGCBCPNPANTH-UHFFFAOYSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(C(F)F)CCCC1 ZINC001497444450 1185334957 /nfs/dbraw/zinc/33/49/57/1185334957.db2.gz UIXWQMKYMXEMSN-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2ccncc2c1 ZINC001497477821 1185339949 /nfs/dbraw/zinc/33/99/49/1185339949.db2.gz MVNVJEMGZHHCJM-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=C[C@@H](C(=O)N(CC)CCNCC(=C)Cl)c1ccccc1 ZINC001497500173 1185342125 /nfs/dbraw/zinc/34/21/25/1185342125.db2.gz CFXGCFINMFWBHL-MRXNPFEDSA-N 0 1 306.837 3.147 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cccc(F)c1Cl ZINC001497651504 1185369921 /nfs/dbraw/zinc/36/99/21/1185369921.db2.gz XASZHRUXIJIPEW-WAYWQWQTSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001497878644 1185404261 /nfs/dbraw/zinc/40/42/61/1185404261.db2.gz WWAOBUBQQBTDJD-VXGBXAGGSA-N 0 1 310.388 3.332 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1ccccc1)Cc1ccccc1C#N)C(=O)[O-] ZINC001609742506 1193353627 /nfs/dbraw/zinc/35/36/27/1193353627.db2.gz RYRLTEQGELAWAU-HNNXBMFYSA-N 0 1 308.381 3.281 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CC)c1ccc(OC)cc1 ZINC001497990945 1185420179 /nfs/dbraw/zinc/42/01/79/1185420179.db2.gz KFVVWIPPDQAVDF-CJNGLKHVSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1cccc(F)c1 ZINC001498110080 1185436621 /nfs/dbraw/zinc/43/66/21/1185436621.db2.gz VJSZWXZBSWHNLA-AAEUAGOBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001498123083 1185438199 /nfs/dbraw/zinc/43/81/99/1185438199.db2.gz XWDQTOWMNOIEOW-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(CC(C)C)cc1 ZINC001498183366 1185444878 /nfs/dbraw/zinc/44/48/78/1185444878.db2.gz UFHWNNLJRKQBRP-AWEZNQCLSA-N 0 1 308.853 3.346 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c[nH]cc1-c1ccccc1 ZINC001498190274 1185445492 /nfs/dbraw/zinc/44/54/92/1185445492.db2.gz LSRVRUMTJRAOBD-ZDUSSCGKSA-N 0 1 317.820 3.142 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN(C)Cc1csc(C)c1 ZINC001498361668 1185478479 /nfs/dbraw/zinc/47/84/79/1185478479.db2.gz IFNNSTJSBINYNO-AWEZNQCLSA-N 0 1 306.475 3.187 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2coc(C)n2)[C@H](CC)C1 ZINC001498900189 1185566223 /nfs/dbraw/zinc/56/62/23/1185566223.db2.gz WZCWZZOOZBQJIS-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001499073059 1185605271 /nfs/dbraw/zinc/60/52/71/1185605271.db2.gz TUQQEUKHYNVJHS-GOSISDBHSA-N 0 1 314.473 3.293 20 30 DGEDMN C#CCCCCC(=O)NCC1(NCc2sccc2Cl)CC1 ZINC001499204968 1185626590 /nfs/dbraw/zinc/62/65/90/1185626590.db2.gz RFOSXAYKYWIXBJ-UHFFFAOYSA-N 0 1 324.877 3.334 20 30 DGEDMN C[C@@H]1CCC[C@@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001499329633 1185637876 /nfs/dbraw/zinc/63/78/76/1185637876.db2.gz HMTMUJBIOODYER-IEBWSBKVSA-N 0 1 324.468 3.103 20 30 DGEDMN C[C@H]1CCC[C@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001499329631 1185638006 /nfs/dbraw/zinc/63/80/06/1185638006.db2.gz HMTMUJBIOODYER-HKUYNNGSSA-N 0 1 324.468 3.103 20 30 DGEDMN C[C@@H]1CCC[C@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001499329635 1185638334 /nfs/dbraw/zinc/63/83/34/1185638334.db2.gz HMTMUJBIOODYER-MJGOQNOKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001499555293 1185667734 /nfs/dbraw/zinc/66/77/34/1185667734.db2.gz XUOVVGPDDXYURI-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001499604544 1185676185 /nfs/dbraw/zinc/67/61/85/1185676185.db2.gz VUSVWEHWVLYOMR-CXKPLTABSA-N 0 1 322.880 3.432 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001499713645 1185686501 /nfs/dbraw/zinc/68/65/01/1185686501.db2.gz CMSKWLRYLISZMN-YJBOKZPZSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccccc2C)C1 ZINC001499781961 1185695713 /nfs/dbraw/zinc/69/57/13/1185695713.db2.gz LWDBQZCEDRHIPY-MRXNPFEDSA-N 0 1 300.446 3.035 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](F)Cc2ccccc2)C1 ZINC001499794883 1185696147 /nfs/dbraw/zinc/69/61/47/1185696147.db2.gz DNJDAQIQIOQHTK-MAUKXSAKSA-N 0 1 318.436 3.065 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(C)=O)c1ccc2ccccc2c1 ZINC001500059521 1185736255 /nfs/dbraw/zinc/73/62/55/1185736255.db2.gz CWMXPUWLCHUARK-QGZVFWFLSA-N 0 1 302.805 3.359 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccc(C)o1)C1CCCC1 ZINC001500084748 1185740299 /nfs/dbraw/zinc/74/02/99/1185740299.db2.gz YRNHVCFGQIGOQX-INIZCTEOSA-N 0 1 324.852 3.148 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccc(C)o1)C1CCCC1 ZINC001500084749 1185740677 /nfs/dbraw/zinc/74/06/77/1185740677.db2.gz YRNHVCFGQIGOQX-MRXNPFEDSA-N 0 1 324.852 3.148 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2CCCCCCC2)C1 ZINC001500508165 1185810988 /nfs/dbraw/zinc/81/09/88/1185810988.db2.gz CENUPJZAOAPWJT-LJQANCHMSA-N 0 1 304.478 3.198 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC2CCCC2)C1 ZINC001500603815 1185825590 /nfs/dbraw/zinc/82/55/90/1185825590.db2.gz JLSOBRFMUAPEKZ-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)C1CC1)c1ccccc1CC ZINC001501019903 1185857466 /nfs/dbraw/zinc/85/74/66/1185857466.db2.gz WSNATRMEFQIRBV-HNAYVOBHSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001501422434 1185902528 /nfs/dbraw/zinc/90/25/28/1185902528.db2.gz PNIATUXCGOGZIP-KEUCVTBVSA-N 0 1 310.869 3.264 20 30 DGEDMN C[C@H](C(=O)[O-])[N@@H+](C)Cc1cccc(OCc2ccccc2C#N)c1 ZINC001610393283 1193385792 /nfs/dbraw/zinc/38/57/92/1193385792.db2.gz FSOPBMADOLBUPM-CQSZACIVSA-N 0 1 324.380 3.042 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001501663450 1185918204 /nfs/dbraw/zinc/91/82/04/1185918204.db2.gz SNVAVYSYVGBENK-MBOZVWFJSA-N 0 1 324.468 3.194 20 30 DGEDMN C=C1CCC(C(=O)NCC2(NCc3ccns3)CCC2)CC1 ZINC001501997894 1185944623 /nfs/dbraw/zinc/94/46/23/1185944623.db2.gz ZFJWBIULQSLPSD-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](CC)CC(F)F)CC1 ZINC001502126270 1185956881 /nfs/dbraw/zinc/95/68/81/1185956881.db2.gz UKQHLSWPQMNWQN-OLZOCXBDSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@H](C)c2ccco2)CC1 ZINC001502142113 1185961986 /nfs/dbraw/zinc/96/19/86/1185961986.db2.gz AKRUSAUYLWTJKW-ZFWWWQNUSA-N 0 1 324.852 3.496 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001502360496 1185973685 /nfs/dbraw/zinc/97/36/85/1185973685.db2.gz RFPSQBVSWKJYPC-UHFFFAOYSA-N 0 1 312.457 3.031 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@H](CNC(=O)/C(C)=C/C)O2 ZINC001502431986 1185983600 /nfs/dbraw/zinc/98/36/00/1185983600.db2.gz WJUGAEZKHYXIRM-RPDKKYPRSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CC(F)(F)F)cc2C1 ZINC001502647238 1185999821 /nfs/dbraw/zinc/99/98/21/1185999821.db2.gz JQJHJHUNYXIMLT-UHFFFAOYSA-N 0 1 312.335 3.147 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C[C@H](F)CC)CC1(C)C ZINC001502824138 1186018451 /nfs/dbraw/zinc/01/84/51/1186018451.db2.gz SESKSOSCABWFRL-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@H](C)C1 ZINC001502986125 1186033919 /nfs/dbraw/zinc/03/39/19/1186033919.db2.gz JHYSXZLEJHXWCG-CJNGLKHVSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NCc1nc2ccccc2o1 ZINC001503414339 1186044398 /nfs/dbraw/zinc/04/43/98/1186044398.db2.gz MOAWTVPSOXLWAM-ZDUSSCGKSA-N 0 1 315.417 3.024 20 30 DGEDMN C#CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)/C(C)=C\CC ZINC001503667850 1186049335 /nfs/dbraw/zinc/04/93/35/1186049335.db2.gz LNMCTSFIFCRARS-VPEZWSJASA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C(C)=C\CC ZINC001503667850 1186049337 /nfs/dbraw/zinc/04/93/37/1186049337.db2.gz LNMCTSFIFCRARS-VPEZWSJASA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)C1CCC1 ZINC001503677885 1186051182 /nfs/dbraw/zinc/05/11/82/1186051182.db2.gz LIDWBACQYCUFCK-OXJNMPFZSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(C)cccc1Cl ZINC001505686688 1186124375 /nfs/dbraw/zinc/12/43/75/1186124375.db2.gz STSXQYXKALOHGQ-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2oc(C)nc2C)CCC1 ZINC001505685034 1186124624 /nfs/dbraw/zinc/12/46/24/1186124624.db2.gz DORPRTLSRYQCAC-OLZOCXBDSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001505983706 1186175547 /nfs/dbraw/zinc/17/55/47/1186175547.db2.gz HFFAMGCDWDCNQN-DOMZBBRYSA-N 0 1 323.506 3.299 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC001506024659 1186183713 /nfs/dbraw/zinc/18/37/13/1186183713.db2.gz UXKNAQRRWOAKEH-RYGJVYDSSA-N 0 1 320.436 3.013 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C(CC)(CC)c1ccccc1 ZINC001506063005 1186191185 /nfs/dbraw/zinc/19/11/85/1186191185.db2.gz JAFKDMBPUKSALS-QGZVFWFLSA-N 0 1 314.473 3.204 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ncccc1C ZINC001506539185 1186243170 /nfs/dbraw/zinc/24/31/70/1186243170.db2.gz KHZYZYOCSIQUDI-AWEZNQCLSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001506589109 1186258429 /nfs/dbraw/zinc/25/84/29/1186258429.db2.gz FMKUZMPXQBSBGP-SCLBCKFNSA-N 0 1 316.420 3.216 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H](C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001506647177 1186266952 /nfs/dbraw/zinc/26/69/52/1186266952.db2.gz YPGOGQIHZPRBLO-AHQMPEJBSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H](C)C1CN(Cc2cccc(C#N)c2)C1 ZINC001506647187 1186268690 /nfs/dbraw/zinc/26/86/90/1186268690.db2.gz YPGOGQIHZPRBLO-YMDFIQGPSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)C[C@@H](C)CCC)C2)C1 ZINC001506715934 1186277834 /nfs/dbraw/zinc/27/78/34/1186277834.db2.gz BVXJTGSFBYYTPD-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001507019210 1186340986 /nfs/dbraw/zinc/34/09/86/1186340986.db2.gz VVXRTHRJTVBISZ-WCXIOVBPSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001507140270 1186370685 /nfs/dbraw/zinc/37/06/85/1186370685.db2.gz DUVUPPTUKHVYAS-INIZCTEOSA-N 0 1 322.880 3.425 20 30 DGEDMN CC(C)=CC(=O)N[C@]12CCC[C@@H]1N(Cc1ccccc1C#N)CC2 ZINC001507334833 1186393445 /nfs/dbraw/zinc/39/34/45/1186393445.db2.gz KNQIUDKQIXUDKL-ICSRJNTNSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(CC)CCCCC2)[C@H]1C ZINC001507382529 1186410944 /nfs/dbraw/zinc/41/09/44/1186410944.db2.gz FSCRGIWBXZUIIY-IAGOWNOFSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCc1ccccc1C)C(C)C ZINC001507841206 1186449338 /nfs/dbraw/zinc/44/93/38/1186449338.db2.gz LXHILVADAMUKJM-UHFFFAOYSA-N 0 1 314.473 3.120 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001507908304 1186457605 /nfs/dbraw/zinc/45/76/05/1186457605.db2.gz UWDMZRKWKCJTNX-ZZZKQGFOSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001508015806 1186466644 /nfs/dbraw/zinc/46/66/44/1186466644.db2.gz FPECPWLEQXJNFU-CZUORRHYSA-N 0 1 300.874 3.462 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)CC2CCCCC2)CC1 ZINC001508223615 1186491143 /nfs/dbraw/zinc/49/11/43/1186491143.db2.gz UQWJZFBZLHYMIO-QGZVFWFLSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](c2ccccc2)[C@@H](C)CC)CC1 ZINC001508231556 1186492230 /nfs/dbraw/zinc/49/22/30/1186492230.db2.gz OHXFPEKHUQMGMU-FXAWDEMLSA-N 0 1 324.468 3.198 20 30 DGEDMN C=C1CCC(CNC(=O)c2c[nH]c3ccc(C)cc3c2=O)CC1 ZINC001611643897 1193439140 /nfs/dbraw/zinc/43/91/40/1193439140.db2.gz WPGTXAJPYZAJEC-UHFFFAOYSA-N 0 1 310.397 3.313 20 30 DGEDMN N#C[C@H](C(=O)CCc1ccsc1)c1nc(N)c2ccccc2n1 ZINC001516638135 1186788106 /nfs/dbraw/zinc/78/81/06/1186788106.db2.gz RYUYOJGNAXSYRZ-CYBMUJFWSA-N 0 1 322.393 3.083 20 30 DGEDMN N#CC(C(=O)c1ccnn1C1CCCC1)c1ncc(F)cc1F ZINC001518067770 1186831298 /nfs/dbraw/zinc/83/12/98/1186831298.db2.gz XTXQRQWGBHFUDO-LBPRGKRZSA-N 0 1 316.311 3.161 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1ncc(F)cc1F)[C@H]1CCCCO1 ZINC001518065751 1186831397 /nfs/dbraw/zinc/83/13/97/1186831397.db2.gz QKOZSYVMOCLXNY-WCQYABFASA-N 0 1 308.328 3.131 20 30 DGEDMN CC(C)(C#N)C[NH2+]Cc1ccc(C(=O)[O-])cc1C(F)(F)F ZINC001603230608 1186854023 /nfs/dbraw/zinc/85/40/23/1186854023.db2.gz PMXFNPLTSJLUSE-UHFFFAOYSA-N 0 1 300.280 3.043 20 30 DGEDMN Cc1ccc(C(=O)Nc2ccc(-c3nnc[nH]3)cc2F)cc1C#N ZINC001519919050 1186902674 /nfs/dbraw/zinc/90/26/74/1186902674.db2.gz JUMYBANOFVGTJM-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H](C)c1n[nH]c([C@H](C)CC)n1)c1ccccc1 ZINC001520074053 1186915085 /nfs/dbraw/zinc/91/50/85/1186915085.db2.gz STBDBGBNFZQKIH-IPYPFGDCSA-N 0 1 312.417 3.465 20 30 DGEDMN CCCN1CC[C@H](Nc2c(Br)cccc2C#N)C1 ZINC001612645965 1193458293 /nfs/dbraw/zinc/45/82/93/1193458293.db2.gz DLSIBLNLZMYNQE-LBPRGKRZSA-N 0 1 308.223 3.217 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c(C)cc(C#N)cc2C)nc(C)n1 ZINC001524018421 1187209417 /nfs/dbraw/zinc/20/94/17/1187209417.db2.gz KYVYEQWHMGIROA-QGZVFWFLSA-N 0 1 318.380 3.001 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001525462852 1187315739 /nfs/dbraw/zinc/31/57/39/1187315739.db2.gz VAJHXXDFFPCONE-MHORFTMASA-N 0 1 324.424 3.146 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cc(Cl)sc2Cl)CC1 ZINC001526630632 1187379955 /nfs/dbraw/zinc/37/99/55/1187379955.db2.gz UDLQEQQBVLHDFY-UHFFFAOYSA-N 0 1 318.225 3.309 20 30 DGEDMN C=C1CCN(C(=O)c2cc(-c3c[nH]c4ccccc34)[nH]n2)CC1 ZINC001615209982 1193517340 /nfs/dbraw/zinc/51/73/40/1193517340.db2.gz YUBWZXFFMMABOO-UHFFFAOYSA-N 0 1 306.369 3.350 20 30 DGEDMN C=CC1(CC(=O)Nc2[nH]c(C)nc2C(=O)OCC)CCCCC1 ZINC001537385062 1188070361 /nfs/dbraw/zinc/07/03/61/1188070361.db2.gz SOGOFXGGEIQVBJ-UHFFFAOYSA-N 0 1 319.405 3.360 20 30 DGEDMN CCCCCCCCOCC(=O)N1C[C@H](C)N(CC)C[C@@H]1C ZINC001537409142 1188071662 /nfs/dbraw/zinc/07/16/62/1188071662.db2.gz XWHRSNSRLRPEJW-IRXDYDNUSA-N 0 1 312.498 3.305 20 30 DGEDMN CN(Cc1cccc(C#N)c1)C(=O)c1ccc(CN2CCCC2)o1 ZINC001540803733 1188262701 /nfs/dbraw/zinc/26/27/01/1188262701.db2.gz UHKWTWANCSGXQX-UHFFFAOYSA-N 0 1 323.396 3.019 20 30 DGEDMN CC(C)(C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001543403511 1188330911 /nfs/dbraw/zinc/33/09/11/1188330911.db2.gz AHDFOPGNMXVDCG-UHFFFAOYSA-N 0 1 304.353 3.351 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3C[C@]3(F)c3ccccc3)[nH]c2c1 ZINC001543407647 1188331878 /nfs/dbraw/zinc/33/18/78/1188331878.db2.gz JASAOVXSPBBVKI-ACJLOTCBSA-N 0 1 320.327 3.258 20 30 DGEDMN N#CC[C@H](C(=O)NCc1nc2ccc(F)cc2[nH]1)c1ccccc1 ZINC001544646099 1188402703 /nfs/dbraw/zinc/40/27/03/1188402703.db2.gz WOOYDGBJPWUUBT-AWEZNQCLSA-N 0 1 322.343 3.016 20 30 DGEDMN Nc1cccnc1NN=C(C[C@@H]1CCOC1)c1ccc(F)cc1 ZINC001552218388 1188825331 /nfs/dbraw/zinc/82/53/31/1188825331.db2.gz MBYRERIVMUMCGF-LBPRGKRZSA-N 0 1 314.364 3.046 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(Cl)cc1Cl ZINC001567073639 1188976804 /nfs/dbraw/zinc/97/68/04/1188976804.db2.gz YKSIHBGZMRYDDM-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1[C@@H](C)c1ccccc1 ZINC001565822890 1188978799 /nfs/dbraw/zinc/97/87/99/1188978799.db2.gz OCKHNBVHJWTHNH-RDJZCZTQSA-N 0 1 300.446 3.493 20 30 DGEDMN COc1nccc2c(NC(=O)c3ccc(C#N)c(O)c3)cccc12 ZINC001556949391 1189030884 /nfs/dbraw/zinc/03/08/84/1189030884.db2.gz HYZJWJFRDBKLRP-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H]1CN(Cc2ccccc2)CC[C@H]1C ZINC001557791816 1189086854 /nfs/dbraw/zinc/08/68/54/1189086854.db2.gz XMMRMKWULWMNKB-ZBFHGGJFSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H]2CCc3ccccc32)C1 ZINC001567810392 1189122543 /nfs/dbraw/zinc/12/25/43/1189122543.db2.gz OFJHEIAWYFYHRX-NVXWUHKLSA-N 0 1 312.457 3.262 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001567810453 1189122615 /nfs/dbraw/zinc/12/26/15/1189122615.db2.gz QMYXCCDBXVGNHJ-GFCCVEGCSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C[C@@H](CC(=O)NC1CN(C[C@H](C)CC)C1)c1ccccc1 ZINC001567818179 1189129811 /nfs/dbraw/zinc/12/98/11/1189129811.db2.gz DMNHBSJTVHXTOU-CVEARBPZSA-N 0 1 300.446 3.193 20 30 DGEDMN Cc1ccc(CSc2nc(-c3ccccn3)n[nH]2)cc1C#N ZINC001559318730 1189195741 /nfs/dbraw/zinc/19/57/41/1189195741.db2.gz BXOKCIGISPXPMI-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN C#CC1CCN(CC(=O)N[C@H](CC)c2ccc(Cl)cc2)CC1 ZINC001559938760 1189259083 /nfs/dbraw/zinc/25/90/83/1189259083.db2.gz WDCMJABVAONOTG-QGZVFWFLSA-N 0 1 318.848 3.253 20 30 DGEDMN N#Cc1ccc(CNCc2cc(-c3ccccn3)n[nH]2)cc1Cl ZINC001560451878 1189330431 /nfs/dbraw/zinc/33/04/31/1189330431.db2.gz FGVDKUWHYWAUEF-UHFFFAOYSA-N 0 1 323.787 3.287 20 30 DGEDMN C#Cc1ccc(CNCc2c(F)cccc2-n2cccn2)cc1 ZINC001560475240 1189332069 /nfs/dbraw/zinc/33/20/69/1189332069.db2.gz UIOJTAAWKJPDHU-UHFFFAOYSA-N 0 1 305.356 3.283 20 30 DGEDMN Cc1ccc(CNCc2cnc3ccc(Cl)cn23)cc1C#N ZINC001560585942 1189345134 /nfs/dbraw/zinc/34/51/34/1189345134.db2.gz TXHJYXJXQAOFKK-UHFFFAOYSA-N 0 1 310.788 3.458 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCc1cc(Cl)cs1 ZINC001565828156 1189680139 /nfs/dbraw/zinc/68/01/39/1189680139.db2.gz KYHGVEXGVJQHLF-ZDUSSCGKSA-N 0 1 312.866 3.053 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001565872024 1189697137 /nfs/dbraw/zinc/69/71/37/1189697137.db2.gz WPDDTQTZICZUPQ-SHTZXODSSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H](F)CC)C[C@H]1c1ccccc1 ZINC001565880976 1189699287 /nfs/dbraw/zinc/69/92/87/1189699287.db2.gz JTWJNTHXNUUWFT-OKZBNKHCSA-N 0 1 318.436 3.285 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[C@@H](N[C@@H](C)c2ncc(C)o2)C1 ZINC001565896708 1189701495 /nfs/dbraw/zinc/70/14/95/1189701495.db2.gz NUWQDGSNBGTNQD-HRCADAONSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CC(C)(F)F ZINC001565971986 1189708828 /nfs/dbraw/zinc/70/88/28/1189708828.db2.gz UWZQLCRQDKZITQ-PWSUYJOCSA-N 0 1 308.800 3.001 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccccc1C#Cc1ccccc1 ZINC001566247006 1189757260 /nfs/dbraw/zinc/75/72/60/1189757260.db2.gz WKCFZILUHHTJNX-UHFFFAOYSA-N 0 1 306.409 3.365 20 30 DGEDMN C/C=C(\C)C(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001566443958 1189772546 /nfs/dbraw/zinc/77/25/46/1189772546.db2.gz DWESNVBWWXAFJE-SYZQJQIISA-N 0 1 318.848 3.096 20 30 DGEDMN CCCCC(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001566581148 1189810807 /nfs/dbraw/zinc/81/08/07/1189810807.db2.gz GNYMXMVHOIJOBJ-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001566633844 1189840723 /nfs/dbraw/zinc/84/07/23/1189840723.db2.gz ZSZKQRTYUZGIEB-YOEHRIQHSA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001566671149 1189852177 /nfs/dbraw/zinc/85/21/77/1189852177.db2.gz OKMFHUZLOXBBPL-XEDBTPMOSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC23CCN(CCF)CC3)CCCCC1 ZINC001566694579 1189862244 /nfs/dbraw/zinc/86/22/44/1189862244.db2.gz KANNESXLIVFFCB-MRXNPFEDSA-N 0 1 322.468 3.453 20 30 DGEDMN CCCN(C(=O)CC)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001566695669 1189862269 /nfs/dbraw/zinc/86/22/69/1189862269.db2.gz DXLWPBJCDHZCPK-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cc(C)oc2C)C1 ZINC001566731602 1189879871 /nfs/dbraw/zinc/87/98/71/1189879871.db2.gz FWGGRERMYMIPGC-OAHLLOKOSA-N 0 1 324.852 3.433 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2CCCCC2)C1 ZINC001566732986 1189881112 /nfs/dbraw/zinc/88/11/12/1189881112.db2.gz YSESDKWHSBFCSB-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)/C=C(/C)C2CC2)C1 ZINC001566736139 1189882359 /nfs/dbraw/zinc/88/23/59/1189882359.db2.gz MZMJYLUYVFOYTL-UZGISAJGSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)/C=C(/C)C2CC2)C1 ZINC001566736137 1189882951 /nfs/dbraw/zinc/88/29/51/1189882951.db2.gz MZMJYLUYVFOYTL-CTXBLAANSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCO[C@@H](C)CC(C)C ZINC001566751114 1189890985 /nfs/dbraw/zinc/89/09/85/1189890985.db2.gz JRECXPXLHXYRGI-OKZBNKHCSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1c(C)noc1C ZINC001566920817 1189952073 /nfs/dbraw/zinc/95/20/73/1189952073.db2.gz ANIJEZJCJRYXOE-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C(C1CCC1)C1CCC1 ZINC001566926242 1189955249 /nfs/dbraw/zinc/95/52/49/1189955249.db2.gz ZFJMFFPPVZGYEW-GOSISDBHSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CCCc1cccs1 ZINC001566929337 1189958081 /nfs/dbraw/zinc/95/80/81/1189958081.db2.gz YFPWANSINCXCAX-INIZCTEOSA-N 0 1 318.486 3.065 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(NCc2csc(C)n2)CC1 ZINC001566984017 1189980543 /nfs/dbraw/zinc/98/05/43/1189980543.db2.gz FNKINBDTVDQJNX-UHFFFAOYSA-N 0 1 321.490 3.325 20 30 DGEDMN C[C@H](CNC(=O)C1=CCCCCC1)NCC#Cc1ccccc1 ZINC001567011914 1189993938 /nfs/dbraw/zinc/99/39/38/1189993938.db2.gz NKBDWCCNRXTNAV-QGZVFWFLSA-N 0 1 310.441 3.023 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C)c(Cl)c1 ZINC001567051078 1190009409 /nfs/dbraw/zinc/00/94/09/1190009409.db2.gz NESGMQAKBQCWJZ-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN CCCCC1(C(=O)NC[C@@H](C)NCc2ccccc2C#N)CC1 ZINC001567050501 1190009899 /nfs/dbraw/zinc/00/98/99/1190009899.db2.gz AZBMQRYNCVYETL-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(Cl)cc1C ZINC001567050559 1190010289 /nfs/dbraw/zinc/01/02/89/1190010289.db2.gz CEDIECVBZDJAAY-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)c1ccccc1OC ZINC001567071509 1190020116 /nfs/dbraw/zinc/02/01/16/1190020116.db2.gz RPOYQIPBXGBJDK-TZMCWYRMSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H](N(C)C[C@@H](F)CC)C2)CC1 ZINC001567198835 1190064275 /nfs/dbraw/zinc/06/42/75/1190064275.db2.gz POXVUUVOVMYROM-DLBZAZTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NCc1coc(C2CC2)n1 ZINC001567225616 1190079005 /nfs/dbraw/zinc/07/90/05/1190079005.db2.gz SYYJAQTUBPBICA-CYBMUJFWSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)C/C=C/c1ccccc1 ZINC001567283410 1190110054 /nfs/dbraw/zinc/11/00/54/1190110054.db2.gz DILMPSZYUPJZSC-FMIVXFBMSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001567297288 1190117427 /nfs/dbraw/zinc/11/74/27/1190117427.db2.gz QMFJMRAUTPKYTB-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001567373439 1190157472 /nfs/dbraw/zinc/15/74/72/1190157472.db2.gz IAWJZPYXYQZWGZ-ZBFHGGJFSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2ccc(F)cc2Cl)C1 ZINC001567395031 1190170109 /nfs/dbraw/zinc/17/01/09/1190170109.db2.gz DRIOCEZSPOHHHZ-ZDUSSCGKSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001567404014 1190174500 /nfs/dbraw/zinc/17/45/00/1190174500.db2.gz VZUCRFDUUBEXJK-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C1(CC)CC1 ZINC001567429853 1190187922 /nfs/dbraw/zinc/18/79/22/1190187922.db2.gz FLUSCABARONPCL-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001567587410 1190221604 /nfs/dbraw/zinc/22/16/04/1190221604.db2.gz NWXCGILMLJWCRO-IBGZPJMESA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001567751908 1190287858 /nfs/dbraw/zinc/28/78/58/1190287858.db2.gz XGCIEVAGEXXKLC-XJKSGUPXSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001567801169 1190301805 /nfs/dbraw/zinc/30/18/05/1190301805.db2.gz WQFRFTJZVOMPFE-QWHCGFSZSA-N 0 1 322.399 3.223 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccn(C(C)C)c2C)C1 ZINC001567804363 1190303055 /nfs/dbraw/zinc/30/30/55/1190303055.db2.gz WRGBGTBEMSOGAE-AWEZNQCLSA-N 0 1 303.450 3.146 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001567815772 1190307886 /nfs/dbraw/zinc/30/78/86/1190307886.db2.gz WZJFPCYSVXQWKZ-OOHWJJMZSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1occc1C ZINC001567850600 1190321300 /nfs/dbraw/zinc/32/13/00/1190321300.db2.gz NJAAALOEFIGAJY-HOTGVXAUSA-N 0 1 302.418 3.233 20 30 DGEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C/CCC)cc1 ZINC001567860790 1190325082 /nfs/dbraw/zinc/32/50/82/1190325082.db2.gz WSSVWZSHPIXIDO-ZBWOGFRMSA-N 0 1 322.452 3.313 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001567895449 1190337912 /nfs/dbraw/zinc/33/79/12/1190337912.db2.gz ZRJPRWMZRFGUPD-NVXWUHKLSA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC001567912874 1190349860 /nfs/dbraw/zinc/34/98/60/1190349860.db2.gz ZPJWRUCIEJFLHG-KRWDZBQOSA-N 0 1 318.848 3.176 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001567922729 1190354655 /nfs/dbraw/zinc/35/46/55/1190354655.db2.gz YFHQVPSANVKXBD-ZBFHGGJFSA-N 0 1 320.864 3.237 20 30 DGEDMN CCN(C(=O)CC(C)C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001567930679 1190360987 /nfs/dbraw/zinc/36/09/87/1190360987.db2.gz NTJGFXMJHNSGHQ-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)[C@@H](F)c2ccccc2)C1 ZINC001567943211 1190367992 /nfs/dbraw/zinc/36/79/92/1190367992.db2.gz ZNPGJMHXPWOKMY-IRXDYDNUSA-N 0 1 304.409 3.196 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(F)cc(C)cc1F ZINC001567967687 1190380026 /nfs/dbraw/zinc/38/00/26/1190380026.db2.gz LXJQNLFKXBQEJV-LLVKDONJSA-N 0 1 316.779 3.124 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(F)ccc1Cl ZINC001567978339 1190385266 /nfs/dbraw/zinc/38/52/66/1190385266.db2.gz PCBYBQCHESXMJJ-LLVKDONJSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1csc(C(C)C)n1 ZINC001567980869 1190386514 /nfs/dbraw/zinc/38/65/14/1190386514.db2.gz FBCWUWUOCKXULI-LLVKDONJSA-N 0 1 315.870 3.117 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccccc1OC(C)C ZINC001567985956 1190388259 /nfs/dbraw/zinc/38/82/59/1190388259.db2.gz UVKOANMFMHAMGO-CQSZACIVSA-N 0 1 324.852 3.324 20 30 DGEDMN C#CCCCC(=O)NC1(CNC/C(Cl)=C/Cl)CCCC1 ZINC001567997814 1190393434 /nfs/dbraw/zinc/39/34/34/1190393434.db2.gz QLAGTZDMUZMPHI-RAXLEYEMSA-N 0 1 317.260 3.128 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)/C=C\C(C)(C)C)C1 ZINC001568214254 1190454022 /nfs/dbraw/zinc/45/40/22/1190454022.db2.gz IPTYDFUUDIYCDF-QXPKXGMISA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCCC[C@H](C)CC)CC2 ZINC001568250879 1190462252 /nfs/dbraw/zinc/46/22/52/1190462252.db2.gz WQIRGTDIHLCPIS-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCCc1cccs1 ZINC001568317912 1190488466 /nfs/dbraw/zinc/48/84/66/1190488466.db2.gz YGWABQUPPNWGLK-ZDUSSCGKSA-N 0 1 314.882 3.260 20 30 DGEDMN CC(C)CC(=O)N[C@@H](CNCc1ccccc1C#N)CC(C)C ZINC001568323739 1190490421 /nfs/dbraw/zinc/49/04/21/1190490421.db2.gz AOSFAEDTYSKQDK-GOSISDBHSA-N 0 1 315.461 3.225 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CC(C)(C)CC ZINC001568745053 1190584691 /nfs/dbraw/zinc/58/46/91/1190584691.db2.gz FJAKDWNONQAGOC-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001568934421 1190601577 /nfs/dbraw/zinc/60/15/77/1190601577.db2.gz RADXIGMTTQDXOL-BYTACQFHSA-N 0 1 300.874 3.319 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001568984201 1190604357 /nfs/dbraw/zinc/60/43/57/1190604357.db2.gz DVIHVQWGBLGTSY-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccc(C)cc1Cl ZINC001569002333 1190606531 /nfs/dbraw/zinc/60/65/31/1190606531.db2.gz CLKUMBCYDONYKK-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN O=C(/C=C/c1ccc(F)cc1)C(=O)Nc1ccc(O)c(F)c1F ZINC001569010957 1190607841 /nfs/dbraw/zinc/60/78/41/1190607841.db2.gz UGGLCBLUZMFCCP-XVNBXDOJSA-N 0 1 321.254 3.031 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001569115760 1190628437 /nfs/dbraw/zinc/62/84/37/1190628437.db2.gz TXEMRADUXUBPFU-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001569134777 1190636863 /nfs/dbraw/zinc/63/68/63/1190636863.db2.gz KPPSDIAZZSIZTJ-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCCC2(CC2)C1)C1CC1 ZINC001569303485 1190662834 /nfs/dbraw/zinc/66/28/34/1190662834.db2.gz QGACPFJHNROTAR-HUUCEWRRSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1cccc2cccnc21 ZINC001569360196 1190676421 /nfs/dbraw/zinc/67/64/21/1190676421.db2.gz VFNMDVRMJXLYGA-GOSISDBHSA-N 0 1 323.440 3.282 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1sc(CCC)nc1C ZINC001569383410 1190686610 /nfs/dbraw/zinc/68/66/10/1190686610.db2.gz PXVNVBJPKFNOTG-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2ccccc2C)CC1 ZINC001569432210 1190697235 /nfs/dbraw/zinc/69/72/35/1190697235.db2.gz OEVGNLYBWKVPMQ-CQSZACIVSA-N 0 1 306.837 3.089 20 30 DGEDMN C=C(Cl)CN(CCNC(=O)[C@H](C)C#N)C1CCCCCC1 ZINC001569448634 1190700136 /nfs/dbraw/zinc/70/01/36/1190700136.db2.gz XNFNKVSUABJZES-CYBMUJFWSA-N 0 1 311.857 3.040 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C1CN(CCC(=C)C)C1)c1ccccc1 ZINC001569507536 1190710300 /nfs/dbraw/zinc/71/03/00/1190710300.db2.gz VGDPHKZVUOEXFZ-KRWDZBQOSA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@H]1CCC(F)(F)C1 ZINC001569543501 1190714697 /nfs/dbraw/zinc/71/46/97/1190714697.db2.gz GUYIGNBMJJIHBX-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569586191 1190720325 /nfs/dbraw/zinc/72/03/25/1190720325.db2.gz AHWHSMJAXKNSJQ-SJORKVTESA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)CC[C@H](C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001569593756 1190720774 /nfs/dbraw/zinc/72/07/74/1190720774.db2.gz KHWLULGRHXTXIN-BDJZEXMMSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccoc2C)CC1 ZINC001569697245 1190742318 /nfs/dbraw/zinc/74/23/18/1190742318.db2.gz XRHOSGBORTWPHQ-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](CC)CCC)C1 ZINC001569740394 1190753003 /nfs/dbraw/zinc/75/30/03/1190753003.db2.gz BQUJLSHCSUMDMR-KBPBESRZSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1ccnc(Cl)c1 ZINC001570197324 1190817568 /nfs/dbraw/zinc/81/75/68/1190817568.db2.gz DPXRXNQECSLFFP-UHFFFAOYSA-N 0 1 323.868 3.372 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001570281037 1190836304 /nfs/dbraw/zinc/83/63/04/1190836304.db2.gz NFPLMOCHRIHGOC-ZBFHGGJFSA-N 0 1 323.440 3.452 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001570391301 1190850523 /nfs/dbraw/zinc/85/05/23/1190850523.db2.gz JYCMIMQWDOZURK-BRHWKXANSA-N 0 1 324.468 3.221 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](C)C(CC)CC ZINC001570520583 1190873801 /nfs/dbraw/zinc/87/38/01/1190873801.db2.gz INKYABGPJACLBR-GDIGMMSISA-N 0 1 312.457 3.064 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001570539247 1190879951 /nfs/dbraw/zinc/87/99/51/1190879951.db2.gz ZBOMKZPESOHHHO-KGLIPLIRSA-N 0 1 320.399 3.464 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1ccc(Cl)c(C)c1 ZINC001570539810 1190881222 /nfs/dbraw/zinc/88/12/22/1190881222.db2.gz GBUAQCCTWMVFFJ-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(Cl)c(C)c1 ZINC001570539810 1190881223 /nfs/dbraw/zinc/88/12/23/1190881223.db2.gz GBUAQCCTWMVFFJ-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C)c2ccccc12 ZINC001570541364 1190882369 /nfs/dbraw/zinc/88/23/69/1190882369.db2.gz DHOAJZVYTFKRMT-QGZVFWFLSA-N 0 1 320.436 3.318 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001570590074 1190894916 /nfs/dbraw/zinc/89/49/16/1190894916.db2.gz MBZYWEYZBPXZSO-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001570607836 1190900163 /nfs/dbraw/zinc/90/01/63/1190900163.db2.gz KRQCGXSJBNBBGU-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2[nH]ccc2c1 ZINC001570630716 1190905742 /nfs/dbraw/zinc/90/57/42/1190905742.db2.gz LADQZJLRAVQJDV-NWDGAFQWSA-N 0 1 305.809 3.017 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC(C)(C)CN[C@@H](C)c1ncc(C)o1 ZINC001570680326 1190916461 /nfs/dbraw/zinc/91/64/61/1190916461.db2.gz AMQLKWXBPJDKLD-AWEZNQCLSA-N 0 1 321.465 3.330 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1ccc(C)c(Cl)c1 ZINC001570725968 1190930907 /nfs/dbraw/zinc/93/09/07/1190930907.db2.gz HODQGFJQHTVZMY-OAHLLOKOSA-N 0 1 320.864 3.041 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)C1C=CC=CC=C1 ZINC001570809974 1190953597 /nfs/dbraw/zinc/95/35/97/1190953597.db2.gz GCOZEURXVHTLOO-INIZCTEOSA-N 0 1 308.853 3.302 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(C2CCCCC2)CC1 ZINC001570827178 1190960707 /nfs/dbraw/zinc/96/07/07/1190960707.db2.gz LNOYEXIUOCNARB-GOSISDBHSA-N 0 1 316.489 3.341 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001570832017 1190962141 /nfs/dbraw/zinc/96/21/41/1190962141.db2.gz BIFXNKMWQIYPJO-CQSZACIVSA-N 0 1 304.409 3.059 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001570855548 1190966998 /nfs/dbraw/zinc/96/69/98/1190966998.db2.gz DIUYVHSOEXDGHU-CYBMUJFWSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2sc(C)nc2C)CC1(C)C ZINC001570891398 1190970886 /nfs/dbraw/zinc/97/08/86/1190970886.db2.gz QNTBFYNFUQNZHW-OAHLLOKOSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(C)[C@H](C(C)(C)C)C1)c1ccccc1 ZINC001570914474 1190976020 /nfs/dbraw/zinc/97/60/20/1190976020.db2.gz FIDHQXDLIXPQSX-SJORKVTESA-N 0 1 300.446 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCNCc1csc(C)n1 ZINC001571297345 1190990308 /nfs/dbraw/zinc/99/03/08/1190990308.db2.gz SAUSJFWECCSPPY-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001571364753 1191002556 /nfs/dbraw/zinc/00/25/56/1191002556.db2.gz HLSBHYDYPVHQAR-MWDXBVQZSA-N 0 1 324.896 3.392 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC001571384265 1191009549 /nfs/dbraw/zinc/00/95/49/1191009549.db2.gz LNIFNYZHXQIIOX-KBPBESRZSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(Cl)ccc1F ZINC001571395790 1191013469 /nfs/dbraw/zinc/01/34/69/1191013469.db2.gz NYUGMHFWMMUKOX-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl)CC1 ZINC001571404005 1191015857 /nfs/dbraw/zinc/01/58/57/1191015857.db2.gz CQJQPBTUPJBRPI-GFCCVEGCSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CC(C)(C)CC(F)F)C1 ZINC001571482667 1191027937 /nfs/dbraw/zinc/02/79/37/1191027937.db2.gz GYTJYMOGTJVRIN-NWDGAFQWSA-N 0 1 322.827 3.389 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1C[C@H]1C1CCCC1)C(C)C ZINC001571615162 1191062414 /nfs/dbraw/zinc/06/24/14/1191062414.db2.gz HRKZYCPEJOZQTK-ZWKOTPCHSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1sccc1F)C(C)(C)C ZINC001571623652 1191066872 /nfs/dbraw/zinc/06/68/72/1191066872.db2.gz URQVXEQVBOPVDZ-NSHDSACASA-N 0 1 318.845 3.374 20 30 DGEDMN C=C(Cl)C[C@@H]([NH3+])C(=O)N([O-])Cc1cccc2ccccc21 ZINC001574852130 1191453461 /nfs/dbraw/zinc/45/34/61/1191453461.db2.gz PQEAKLSMTSFLLS-OAHLLOKOSA-N 0 1 304.777 3.028 20 30 DGEDMN C=CCCC[C@@H](NC(=O)N1CCN[C@@H](C)C1)c1ccccc1 ZINC001574907179 1191457893 /nfs/dbraw/zinc/45/78/93/1191457893.db2.gz AZRKBOQNWKEJOS-DOTOQJQBSA-N 0 1 301.434 3.087 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(N(C)Cc2ccccc2)cc1 ZINC001574970335 1191460853 /nfs/dbraw/zinc/46/08/53/1191460853.db2.gz SXZFKJIPLGCZQV-LJQANCHMSA-N 0 1 321.424 3.002 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1ccc(-c2cn[nH]n2)cc1 ZINC001575100237 1191466161 /nfs/dbraw/zinc/46/61/61/1191466161.db2.gz MSMNRJIQJWYGQN-UHFFFAOYSA-N 0 1 318.380 3.300 20 30 DGEDMN CCCCCCCN1CCN(c2snc(C)c2C#N)CC1 ZINC001618257450 1193731987 /nfs/dbraw/zinc/73/19/87/1193731987.db2.gz HPMDUWHNILYSGG-UHFFFAOYSA-N 0 1 306.479 3.416 20 30 DGEDMN C#CC1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC001618790621 1193752475 /nfs/dbraw/zinc/75/24/75/1193752475.db2.gz AUCXJKGEMNPXLE-UHFFFAOYSA-N 0 1 307.315 3.282 20 30 DGEDMN C=CCC[C@H](O)CN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001620555331 1193779129 /nfs/dbraw/zinc/77/91/29/1193779129.db2.gz CPDHWFOVGZCJTA-RBUKOAKNSA-N 0 1 320.452 3.222 20 30 DGEDMN CCC(CC)(CNC(=O)OC(C)(C)C)CN(C)C[C@@H](C)C#N ZINC001621183455 1193813678 /nfs/dbraw/zinc/81/36/78/1193813678.db2.gz FKQMKQGHOUXGEI-AWEZNQCLSA-N 0 1 311.470 3.409 20 30 DGEDMN Cc1cccc(CN2CCN(CC#Cc3ccccc3)CC2)c1 ZINC001621211943 1193818037 /nfs/dbraw/zinc/81/80/37/1193818037.db2.gz UVQFGMWZOFZQHS-UHFFFAOYSA-N 0 1 304.437 3.164 20 30 DGEDMN N#CCCC[C@H](NC(=O)c1cncc2nc[nH]c21)c1ccccc1 ZINC001625077694 1194031428 /nfs/dbraw/zinc/03/14/28/1194031428.db2.gz FYBDAHQBODZGHY-HNNXBMFYSA-N 0 1 319.368 3.123 20 30 DGEDMN C[C@H](NC(=O)c1ccc(CN2CCCC2)o1)c1ccc(C#N)cc1 ZINC001625328950 1194044521 /nfs/dbraw/zinc/04/45/21/1194044521.db2.gz SEMXVDWQINFVFY-AWEZNQCLSA-N 0 1 323.396 3.238 20 30 DGEDMN Cc1ccc(-c2cc(NC(=O)Nc3cc(CC#N)ccn3)[nH]n2)o1 ZINC001626732378 1194091939 /nfs/dbraw/zinc/09/19/39/1194091939.db2.gz VYXLCAJBJNXYFH-UHFFFAOYSA-N 0 1 322.328 3.083 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001628294676 1194171581 /nfs/dbraw/zinc/17/15/81/1194171581.db2.gz UNARJUMAQLWSIN-CQSZACIVSA-N 0 1 311.385 3.167 20 30 DGEDMN Cc1cc(CCC(=O)N[C@@H](C#N)c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC001628360823 1194174389 /nfs/dbraw/zinc/17/43/89/1194174389.db2.gz LBAAAOBUFZMTOI-KRWDZBQOSA-N 0 1 324.428 3.329 20 30 DGEDMN CC[C@@H](C#N)C(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001629099830 1194214182 /nfs/dbraw/zinc/21/41/82/1194214182.db2.gz OOKCAFFQMWIURN-UGSOOPFHSA-N 0 1 318.380 3.318 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1cc(Cl)ccc1O ZINC001630314366 1194280423 /nfs/dbraw/zinc/28/04/23/1194280423.db2.gz NDODRMRAWACKHG-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN C=CC1(CC(=O)N2C[C@@H](C)N(CCOC)[C@@H](C)C2)CCCCC1 ZINC001630974262 1194312744 /nfs/dbraw/zinc/31/27/44/1194312744.db2.gz DUNPJPBZRYDMDT-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)[C@@H]2CCCC[N@@H+]2Cc2cccc(C)c2)C1 ZINC001631298661 1194328360 /nfs/dbraw/zinc/32/83/60/1194328360.db2.gz NMUGZDKSHFULMT-QUCCMNQESA-N 0 1 324.468 3.221 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)[C@@H]2CCCCN2Cc2cccc(C)c2)C1 ZINC001631298661 1194328364 /nfs/dbraw/zinc/32/83/64/1194328364.db2.gz NMUGZDKSHFULMT-QUCCMNQESA-N 0 1 324.468 3.221 20 30 DGEDMN O=C(C#Cc1ccccc1)Nc1ccc(Nc2ccncc2)cc1 ZINC001631878896 1194360070 /nfs/dbraw/zinc/36/00/70/1194360070.db2.gz HGCZYHRXPHPAKV-UHFFFAOYSA-N 0 1 313.360 3.237 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccnc(Cl)c2Cl)c1 ZINC001631961982 1194364009 /nfs/dbraw/zinc/36/40/09/1194364009.db2.gz CKOPVQVDAULVPM-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN CCOc1cccc(CCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC001633077510 1194420107 /nfs/dbraw/zinc/42/01/07/1194420107.db2.gz VZWNAXWMKUSVGM-UHFFFAOYSA-N 0 1 310.353 3.234 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)Cc1n[nH]c2ccccc12 ZINC001633861839 1194460152 /nfs/dbraw/zinc/46/01/52/1194460152.db2.gz NANLWQGPSMYUBE-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(-c3nncs3)cc2)cc1O ZINC001634411392 1194493228 /nfs/dbraw/zinc/49/32/28/1194493228.db2.gz IEYLPGZXSZBWBX-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)Nc1ccc2nc(CCCC)[nH]c2c1 ZINC001635455356 1194553399 /nfs/dbraw/zinc/55/33/99/1194553399.db2.gz IFGPTPFDYJFZHD-QGZVFWFLSA-N 0 1 301.390 3.171 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(C)C[C@H]1CC(C)C)c1ccccc1 ZINC001635697114 1194566422 /nfs/dbraw/zinc/56/64/22/1194566422.db2.gz IPLBVFYKPBXDPO-MSOLQXFVSA-N 0 1 300.446 3.145 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC001637057309 1194647646 /nfs/dbraw/zinc/64/76/46/1194647646.db2.gz LWOJNIHKZBKKOR-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001671179711 1194683271 /nfs/dbraw/zinc/68/32/71/1194683271.db2.gz UDTDZMPUOSNWMM-LSDHHAIUSA-N 0 1 318.436 3.427 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(F)cc1O)c1ccc(Cl)cc1F ZINC001638680042 1194731476 /nfs/dbraw/zinc/73/14/76/1194731476.db2.gz JXBCVWNYRGCEIO-CQSZACIVSA-N 0 1 321.710 3.428 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C[C@@H]3C=CCCC3)n2)c1 ZINC001639135707 1194752549 /nfs/dbraw/zinc/75/25/49/1194752549.db2.gz OXSUSGRIZAJTCU-GFCCVEGCSA-N 0 1 307.357 3.028 20 30 DGEDMN N#Cc1c(F)cc(CNc2ccncc2C(F)(F)F)cc1F ZINC001640105039 1194797077 /nfs/dbraw/zinc/79/70/77/1194797077.db2.gz DHVANYXVKPTLFA-UHFFFAOYSA-N 0 1 313.229 3.284 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C(C)(C)C(C)(F)F)C1 ZINC001671192344 1194803846 /nfs/dbraw/zinc/80/38/46/1194803846.db2.gz BFJKNAHMTYOHRK-LBPRGKRZSA-N 0 1 302.409 3.165 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC001641111629 1194839953 /nfs/dbraw/zinc/83/99/53/1194839953.db2.gz NZIXDUYVSQDHGO-UHFFFAOYSA-N 0 1 317.711 3.116 20 30 DGEDMN CCC[C@@H]1CCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001641930568 1194879361 /nfs/dbraw/zinc/87/93/61/1194879361.db2.gz YTNLTMZCCNKXDN-UKRRQHHQSA-N 0 1 323.400 3.498 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccccc3CC#N)CC2)c1 ZINC000057660860 1194907914 /nfs/dbraw/zinc/90/79/14/1194907914.db2.gz VXSFBSVJJMZSIL-UHFFFAOYSA-N 0 1 305.425 3.383 20 30 DGEDMN COC(=Cc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001642732585 1194933319 /nfs/dbraw/zinc/93/33/19/1194933319.db2.gz CEFHYVQDCUISJM-MHWRWJLKSA-N 0 1 318.336 3.061 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2nsc3ccccc32)CC1 ZINC001642860140 1194948402 /nfs/dbraw/zinc/94/84/02/1194948402.db2.gz XTOBUORQHLPTLQ-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CC[C@](F)(c3ccccc3)C2)c1 ZINC001642884387 1194951276 /nfs/dbraw/zinc/95/12/76/1194951276.db2.gz WWVOTJSQHYULMJ-RBUKOAKNSA-N 0 1 310.372 3.162 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cc(F)cc(F)c2O)ccc1Cl ZINC001643110072 1194995933 /nfs/dbraw/zinc/99/59/33/1194995933.db2.gz AXIIANIFTNTDDX-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2cccc(C(F)(F)F)c2)C1 ZINC001643559658 1195034816 /nfs/dbraw/zinc/03/48/16/1195034816.db2.gz MAADVPASLZNSFZ-CYBMUJFWSA-N 0 1 324.346 3.379 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC2(CCc3ccccc3C2)CC1 ZINC001643680372 1195045159 /nfs/dbraw/zinc/04/51/59/1195045159.db2.gz GVAQXDSYDTXCHW-GOSISDBHSA-N 0 1 313.441 3.375 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cn2)C1 ZINC001643758165 1195052855 /nfs/dbraw/zinc/05/28/55/1195052855.db2.gz FVMRCKKCYRVRDO-CHWSQXEVSA-N 0 1 307.825 3.350 20 30 DGEDMN N#C[C@@H](CCc1ccccc1)C(=O)Nc1ccc2cncn2c1 ZINC001645633349 1195196097 /nfs/dbraw/zinc/19/60/97/1195196097.db2.gz VZPCWEBJQHGMMV-OAHLLOKOSA-N 0 1 304.353 3.045 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@H](C)C1=NN(C)CC1=O)c1ccccc1 ZINC001646316773 1195222194 /nfs/dbraw/zinc/22/21/94/1195222194.db2.gz GXWQJKAIULIRKJ-HIFRSBDPSA-N 0 1 313.401 3.053 20 30 DGEDMN COc1ccc([C@@H]2CCN(Cc3cc(C#N)ccn3)C2)cc1F ZINC001647924219 1195265311 /nfs/dbraw/zinc/26/53/11/1195265311.db2.gz SZWIDXBKUSHBNX-OAHLLOKOSA-N 0 1 311.360 3.090 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2CC[C@H]2c2ccc(F)cc2)c1 ZINC001648732115 1195326457 /nfs/dbraw/zinc/32/64/57/1195326457.db2.gz YUOHVWWPHSGPMI-DLBZAZTESA-N 0 1 310.328 3.301 20 30 DGEDMN O=C(c1cccc2c[nH]nc21)N(O)CCCCc1ccccc1 ZINC001651225841 1195429319 /nfs/dbraw/zinc/42/93/19/1195429319.db2.gz ADACTQLTXLMXHE-UHFFFAOYSA-N 0 1 309.369 3.417 20 30 DGEDMN COc1cccc2c(C(=O)Nc3cc(C#N)c(F)cc3C)n[nH]c21 ZINC001651372535 1195451140 /nfs/dbraw/zinc/45/11/40/1195451140.db2.gz MMINKYFDJMIDMX-UHFFFAOYSA-N 0 1 324.315 3.143 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(CCO)CC(C)(C)C ZINC001651819716 1195512780 /nfs/dbraw/zinc/51/27/80/1195512780.db2.gz BBQNTKHGYZUQCD-UHFFFAOYSA-N 0 1 309.837 3.192 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@]2(CCCc3ccccc32)C1 ZINC001652646051 1195631526 /nfs/dbraw/zinc/63/15/26/1195631526.db2.gz KEAIZRJXLAUKER-QUCCMNQESA-N 0 1 313.441 3.474 20 30 DGEDMN COc1ccc(C#N)cc1CN(CCO)[C@@H](C)c1ccccc1 ZINC001652954282 1195674976 /nfs/dbraw/zinc/67/49/76/1195674976.db2.gz HHEHUSGCECEBSB-HNNXBMFYSA-N 0 1 310.397 3.122 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@H](CO)c2cccc(F)c2)c1 ZINC001652983106 1195677643 /nfs/dbraw/zinc/67/76/43/1195677643.db2.gz WMJJSQBYSQXPLN-INIZCTEOSA-N 0 1 304.752 3.174 20 30 DGEDMN CCOc1cc(CNCc2cc(C#N)ccc2F)ccc1O ZINC001653106864 1195688221 /nfs/dbraw/zinc/68/82/21/1195688221.db2.gz BVRBJFDUCNGBQH-UHFFFAOYSA-N 0 1 300.333 3.091 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)c1c[nH]c2cc(OC)ccc2c1=O ZINC001654448094 1195804711 /nfs/dbraw/zinc/80/47/11/1195804711.db2.gz WZAPXNDJGNERIZ-LBPRGKRZSA-N 0 1 314.385 3.011 20 30 DGEDMN CCC[C@@H](C)CCCN1CCN(c2cnccc2C#N)CC1 ZINC001654591673 1195820006 /nfs/dbraw/zinc/82/00/06/1195820006.db2.gz QPPMGTVUGXCPNQ-MRXNPFEDSA-N 0 1 300.450 3.292 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1C(=O)OC(C)(C)C ZINC001654847179 1195846988 /nfs/dbraw/zinc/84/69/88/1195846988.db2.gz DVEITTXIEZCXJH-PBHICJAKSA-N 0 1 314.429 3.358 20 30 DGEDMN COCC#CCN1CCC[C@@]1(C)Cc1cc(F)c(F)c(F)c1 ZINC001655460280 1195920059 /nfs/dbraw/zinc/92/00/59/1195920059.db2.gz GRKBVIPFZGHHPL-KRWDZBQOSA-N 0 1 311.347 3.151 20 30 DGEDMN COc1ccc(CNCc2ccc(OCC#N)cc2)c(F)c1 ZINC001655574555 1195934172 /nfs/dbraw/zinc/93/41/72/1195934172.db2.gz SZLPEXOYWUCQCP-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN C=CCOc1cccc(CN[C@@H](CO)c2cccc(F)c2)c1 ZINC001655609573 1195940780 /nfs/dbraw/zinc/94/07/80/1195940780.db2.gz XDRIYVUMTDPUEU-SFHVURJKSA-N 0 1 301.361 3.214 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(C(F)(F)F)CCCC1 ZINC001656112599 1196004520 /nfs/dbraw/zinc/00/45/20/1196004520.db2.gz FUJFDFXFYRWBDG-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001656113253 1196005828 /nfs/dbraw/zinc/00/58/28/1196005828.db2.gz UHYKCEROMTUYDW-MJGOQNOKSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1c(C)cccc1[N+](=O)[O-] ZINC001656377657 1196034384 /nfs/dbraw/zinc/03/43/84/1196034384.db2.gz ZLPBJIGNHLVSMP-AWEZNQCLSA-N 0 1 317.389 3.272 20 30 DGEDMN CN(C)c1c(F)c(F)ccc1CNCc1cccc(C#N)c1 ZINC001656737355 1196066862 /nfs/dbraw/zinc/06/68/62/1196066862.db2.gz QPZTWQCVPRWGJF-UHFFFAOYSA-N 0 1 301.340 3.192 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(CCc2ccc(O)cc2)CC1 ZINC001656981318 1196089434 /nfs/dbraw/zinc/08/94/34/1196089434.db2.gz ZRDPABWWDZLDQP-SFHVURJKSA-N 0 1 317.429 3.155 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)CC2CCCCC2)[C@H]1C ZINC001657029154 1196094789 /nfs/dbraw/zinc/09/47/89/1196094789.db2.gz DKGQDTHWTCQQAS-NUJGCVRESA-N 0 1 304.478 3.195 20 30 DGEDMN CC(C)(CC#N)CNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001657511744 1196150641 /nfs/dbraw/zinc/15/06/41/1196150641.db2.gz NIFJPWZEYGCOQQ-UHFFFAOYSA-N 0 1 314.355 3.268 20 30 DGEDMN C#Cc1cccc(CNCc2cncc(Br)c2)c1 ZINC001657532254 1196152269 /nfs/dbraw/zinc/15/22/69/1196152269.db2.gz NIWKPRNIUCOUFD-UHFFFAOYSA-N 0 1 301.187 3.115 20 30 DGEDMN CNc1ccc(C)cc1CN1CCN(c2ccccc2C#N)CC1 ZINC001657642577 1196165065 /nfs/dbraw/zinc/16/50/65/1196165065.db2.gz YWALEEXXZCBCMW-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H](NCCC2CCCCC2)C1 ZINC001657689617 1196169943 /nfs/dbraw/zinc/16/99/43/1196169943.db2.gz ILVGZVNTHMOGKH-JKSUJKDBSA-N 0 1 321.465 3.305 20 30 DGEDMN COc1ccc2c(c1)[C@H](NCc1ccc(C#N)c(OC)c1)CCO2 ZINC001657751983 1196175069 /nfs/dbraw/zinc/17/50/69/1196175069.db2.gz DKDITUSUCCPQOB-QGZVFWFLSA-N 0 1 324.380 3.189 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(C)(C)OC(C)(C)C1 ZINC001657794316 1196178962 /nfs/dbraw/zinc/17/89/62/1196178962.db2.gz WPWUKFIFMKRHSE-UHFFFAOYSA-N 0 1 301.434 3.014 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(C#N)cc2[N+](=O)[O-])cc1 ZINC001658008258 1196201775 /nfs/dbraw/zinc/20/17/75/1196201775.db2.gz CEMCBQNBOZXOCW-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN C=CC[C@H]1CCN(Cc2cn(-c3ccc(F)c(Cl)c3)nn2)C1 ZINC001658628705 1196282206 /nfs/dbraw/zinc/28/22/06/1196282206.db2.gz ZSRQXNINCHZFHL-LBPRGKRZSA-N 0 1 320.799 3.458 20 30 DGEDMN C=CCC[C@H](CC)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC001658939404 1196322458 /nfs/dbraw/zinc/32/24/58/1196322458.db2.gz RESSGGHXBXGKMQ-LBPRGKRZSA-N 0 1 306.397 3.481 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(OCCC)c(C)c2)C1=O ZINC001659014462 1196332360 /nfs/dbraw/zinc/33/23/60/1196332360.db2.gz OYYJWRUGZXGTMH-KRWDZBQOSA-N 0 1 316.445 3.003 20 30 DGEDMN C#CCN(C/C(C)=C\c1ccccc1COC)C1CSC1 ZINC001659870188 1196423597 /nfs/dbraw/zinc/42/35/97/1196423597.db2.gz FJAVAFOEWWPIKZ-GDNBJRDFSA-N 0 1 301.455 3.287 20 30 DGEDMN COc1nc(CNC2(c3ccc(C#N)cc3)CCC2)ccc1C ZINC001660132277 1196449987 /nfs/dbraw/zinc/44/99/87/1196449987.db2.gz SRADHNIZTRVPAK-UHFFFAOYSA-N 0 1 307.397 3.439 20 30 DGEDMN COc1ccc(N(C)CCN(C)Cc2csc(C#N)c2)cc1 ZINC001660190863 1196455633 /nfs/dbraw/zinc/45/56/33/1196455633.db2.gz ZJSVQGMSOFWDPE-UHFFFAOYSA-N 0 1 315.442 3.197 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCc3c2cccc3F)c([N+](=O)[O-])c1 ZINC001660212626 1196457093 /nfs/dbraw/zinc/45/70/93/1196457093.db2.gz BJHFMMIWNIVXMF-MRXNPFEDSA-N 0 1 311.316 3.383 20 30 DGEDMN CC(C)O[C@@H](CNCC1(C#N)CCOCC1)c1ccccc1 ZINC001660204886 1196457288 /nfs/dbraw/zinc/45/72/88/1196457288.db2.gz DXJZRYQCVOMJNX-KRWDZBQOSA-N 0 1 302.418 3.063 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1cc(C)nn1C(C)(C)C ZINC001660484445 1196489592 /nfs/dbraw/zinc/48/95/92/1196489592.db2.gz PYLQGASBDXULBU-HNNXBMFYSA-N 0 1 318.465 3.316 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(CC)Cc1ccc(C#N)cc1F ZINC001660494197 1196490583 /nfs/dbraw/zinc/49/05/83/1196490583.db2.gz UFFLGGSTUSIQAZ-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CCC[C@H]1CCCN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC001660494636 1196490996 /nfs/dbraw/zinc/49/09/96/1196490996.db2.gz AQUIZYQMMYVQDE-ZDUSSCGKSA-N 0 1 305.447 3.440 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001660787241 1196523855 /nfs/dbraw/zinc/52/38/55/1196523855.db2.gz HJHVAPGPWBDDQG-GOSISDBHSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001660991120 1196550950 /nfs/dbraw/zinc/55/09/50/1196550950.db2.gz BLHYPVMFBISUMU-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@H]2C2CCCC2)CC1 ZINC001661096737 1196565690 /nfs/dbraw/zinc/56/56/90/1196565690.db2.gz BMBTXUKOVFBLEA-OALUTQOASA-N 0 1 316.489 3.149 20 30 DGEDMN N#CC1(c2ccc(CNc3cnn(-c4ccncc4)c3)cc2)CC1 ZINC001661273211 1196590563 /nfs/dbraw/zinc/59/05/63/1196590563.db2.gz NLYZRTJIHFAYGJ-UHFFFAOYSA-N 0 1 315.380 3.435 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001661459684 1196607784 /nfs/dbraw/zinc/60/77/84/1196607784.db2.gz INBCPCSZYUIGKT-IYARVYRRSA-N 0 1 311.429 3.041 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1cccc(Cl)c1F ZINC001662220070 1196696451 /nfs/dbraw/zinc/69/64/51/1196696451.db2.gz UAYLDBGKKRYVLP-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(OCC(C)C)c1 ZINC001662409943 1196718475 /nfs/dbraw/zinc/71/84/75/1196718475.db2.gz IIFRLQDTZCNUPL-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN C#Cc1ccc(CNCc2cc(OC)cc3c2O[C@H](C)C3)cc1 ZINC001662488443 1196725124 /nfs/dbraw/zinc/72/51/24/1196725124.db2.gz YRKCLQABZJPKGX-CQSZACIVSA-N 0 1 307.393 3.290 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001673397751 1196736853 /nfs/dbraw/zinc/73/68/53/1196736853.db2.gz VQIWTFPOVKOCHP-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NC/C(Cl)=C\Cl ZINC001662959925 1196776818 /nfs/dbraw/zinc/77/68/18/1196776818.db2.gz QFNHXDUVSMQCKI-OYGDSYQHSA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001663532953 1196898738 /nfs/dbraw/zinc/89/87/38/1196898738.db2.gz TXILWWWIVBKICI-BXWFABGCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001663537284 1196900261 /nfs/dbraw/zinc/90/02/61/1196900261.db2.gz LPEASRCJKBAFPS-OLZOCXBDSA-N 0 1 324.877 3.109 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1scc(C)c1Cl ZINC001663953109 1196959941 /nfs/dbraw/zinc/95/99/41/1196959941.db2.gz JTZXEORKKSEAOD-UHFFFAOYSA-N 0 1 307.246 3.124 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cc(F)ccc1Cl ZINC001663960580 1196961304 /nfs/dbraw/zinc/96/13/04/1196961304.db2.gz OCQOVUNCOPURIA-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN O=C(C#CC1CC1)NC[C@@H](NCc1ccccc1)c1ccccc1 ZINC001664579236 1197017349 /nfs/dbraw/zinc/01/73/49/1197017349.db2.gz ZINRHCKQLNKKGC-HXUWFJFHSA-N 0 1 318.420 3.047 20 30 DGEDMN O=C(C#CC1CC1)NC[C@H](NCc1ccccc1)c1ccccc1 ZINC001664579235 1197017518 /nfs/dbraw/zinc/01/75/18/1197017518.db2.gz ZINRHCKQLNKKGC-FQEVSTJZSA-N 0 1 318.420 3.047 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2scnc2CC)C1 ZINC001664667371 1197030328 /nfs/dbraw/zinc/03/03/28/1197030328.db2.gz IZDDXKSPDYLMIF-AWEZNQCLSA-N 0 1 321.490 3.208 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2scnc2CC)C1 ZINC001664667372 1197030606 /nfs/dbraw/zinc/03/06/06/1197030606.db2.gz IZDDXKSPDYLMIF-CQSZACIVSA-N 0 1 321.490 3.208 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2cccs2)C1 ZINC001664678049 1197031969 /nfs/dbraw/zinc/03/19/69/1197031969.db2.gz KRJYKCOWUUOCJU-CVEARBPZSA-N 0 1 318.486 3.188 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2cccs2)C1 ZINC001664678052 1197033223 /nfs/dbraw/zinc/03/32/23/1197033223.db2.gz KRJYKCOWUUOCJU-JKSUJKDBSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@H](CCNC(=O)c2ccoc2C2CC2)C1 ZINC001664734095 1197045649 /nfs/dbraw/zinc/04/56/49/1197045649.db2.gz AUZCQZACZHABPX-ZDUSSCGKSA-N 0 1 322.836 3.351 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccoc2C2CC2)C1 ZINC001664734095 1197045654 /nfs/dbraw/zinc/04/56/54/1197045654.db2.gz AUZCQZACZHABPX-ZDUSSCGKSA-N 0 1 322.836 3.351 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](F)c2ccccc2)C1 ZINC001664745028 1197048486 /nfs/dbraw/zinc/04/84/86/1197048486.db2.gz DEEQBUJOIUYMQH-ZBFHGGJFSA-N 0 1 324.827 3.278 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cccn2C(C)C)C1 ZINC001664853536 1197069498 /nfs/dbraw/zinc/06/94/98/1197069498.db2.gz ICXZUIIRLGFGGF-OAHLLOKOSA-N 0 1 323.868 3.215 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)CC2CC2)C1 ZINC001664859418 1197070402 /nfs/dbraw/zinc/07/04/02/1197070402.db2.gz SJGUGDREOXBFEA-LJQANCHMSA-N 0 1 312.457 3.466 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001665216456 1197110575 /nfs/dbraw/zinc/11/05/75/1197110575.db2.gz IBCXFHCHTPKCLX-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001665284134 1197118675 /nfs/dbraw/zinc/11/86/75/1197118675.db2.gz QAFNRZDMOFKHFH-UHFFFAOYSA-N 0 1 318.436 3.306 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001665332685 1197124530 /nfs/dbraw/zinc/12/45/30/1197124530.db2.gz WVJOEEIHLHTTTE-SFHVURJKSA-N 0 1 300.446 3.050 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001665567163 1197151493 /nfs/dbraw/zinc/15/14/93/1197151493.db2.gz LHPUMAQLSCGXQC-ZNOOQZCJSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC001665616507 1197159665 /nfs/dbraw/zinc/15/96/65/1197159665.db2.gz FFECYYQBGVFZNO-MRXNPFEDSA-N 0 1 306.837 3.339 20 30 DGEDMN CCN(C(=O)C=C1CCC1)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001665708808 1197179052 /nfs/dbraw/zinc/17/90/52/1197179052.db2.gz CRMMDYFLWNEFDY-IBGZPJMESA-N 0 1 323.440 3.091 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001665748545 1197186141 /nfs/dbraw/zinc/18/61/41/1197186141.db2.gz QTAGKSHZZBXYJJ-AQKVLALTSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NCc1nc(C)cs1 ZINC001665839424 1197205270 /nfs/dbraw/zinc/20/52/70/1197205270.db2.gz OMNLGPSBLOIVNF-CZUORRHYSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)c1cccc(OC)c1 ZINC001665892912 1197212661 /nfs/dbraw/zinc/21/26/61/1197212661.db2.gz JMHFQVPVOATGCY-UKRRQHHQSA-N 0 1 324.852 3.036 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1Cl ZINC001666886570 1197295018 /nfs/dbraw/zinc/29/50/18/1197295018.db2.gz CGXADUCVCGJDAE-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1cccc(F)c1F ZINC001666967647 1197302137 /nfs/dbraw/zinc/30/21/37/1197302137.db2.gz SMEVZABHFUJMNW-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cccc(F)c1F ZINC001666967648 1197302780 /nfs/dbraw/zinc/30/27/80/1197302780.db2.gz SMEVZABHFUJMNW-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001666972323 1197303325 /nfs/dbraw/zinc/30/33/25/1197303325.db2.gz MZZKIMFIMKDDKC-CVEARBPZSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001667060011 1197313129 /nfs/dbraw/zinc/31/31/29/1197313129.db2.gz YRTUYTUENOSTHI-GOEBONIOSA-N 0 1 322.880 3.363 20 30 DGEDMN CC(C)=CC(=O)N[C@@H](CNCc1ccccc1C#N)CC(C)C ZINC001667070558 1197314427 /nfs/dbraw/zinc/31/44/27/1197314427.db2.gz ULCGOOWUXJKHSF-GOSISDBHSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C1(F)CCCC1 ZINC001667380706 1197342583 /nfs/dbraw/zinc/34/25/83/1197342583.db2.gz KLIOIRYLSSNKNU-TZMCWYRMSA-N 0 1 316.848 3.238 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCN[C@@H](C)c2ncc(C)o2)CCC1 ZINC001668204305 1197404595 /nfs/dbraw/zinc/40/45/95/1197404595.db2.gz WTAAMHIYPHSYLT-HIFRSBDPSA-N 0 1 319.449 3.275 20 30 DGEDMN CCN(CCN[C@H](C)c1ccc(F)cc1F)C(=O)C#CC(C)C ZINC001668423039 1197414331 /nfs/dbraw/zinc/41/43/31/1197414331.db2.gz PLEZTQLQTZMLAW-CQSZACIVSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2c(s1)CCC2 ZINC001668633729 1197422561 /nfs/dbraw/zinc/42/25/61/1197422561.db2.gz KZOYHWHPUVCBPK-UHFFFAOYSA-N 0 1 312.866 3.041 20 30 DGEDMN O=C(NC/C=C/CNCC#Cc1ccccc1)C1CCCCCC1 ZINC001668737673 1197427332 /nfs/dbraw/zinc/42/73/32/1197427332.db2.gz AYJHOWDPHSRXHG-CMDGGOBGSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccn(C(C)C)c1C ZINC001668994946 1197436706 /nfs/dbraw/zinc/43/67/06/1197436706.db2.gz DOFMUPKMNZKJIY-WAYWQWQTSA-N 0 1 309.841 3.006 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(C)c1Cl ZINC001669095756 1197440175 /nfs/dbraw/zinc/44/01/75/1197440175.db2.gz GHAXITQWWQJZQM-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001669156690 1197442104 /nfs/dbraw/zinc/44/21/04/1197442104.db2.gz HFWPNBBQDFPLOH-IHWYPQMZSA-N 0 1 322.880 3.217 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(F)F)cc1 ZINC001669690148 1197470846 /nfs/dbraw/zinc/47/08/46/1197470846.db2.gz ILHAEASVXZHTDE-JTQLQIEISA-N 0 1 302.752 3.085 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001669866971 1197494831 /nfs/dbraw/zinc/49/48/31/1197494831.db2.gz AUQKLYFLTUDGBA-WBVHZDCISA-N 0 1 317.477 3.237 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCNCc1csc(C2CC2)n1 ZINC001670205360 1197526049 /nfs/dbraw/zinc/52/60/49/1197526049.db2.gz XSTUWFUWDXHMHC-UHFFFAOYSA-N 0 1 321.490 3.171 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccn1CC)C1CCCC1 ZINC001671569764 1197549486 /nfs/dbraw/zinc/54/94/86/1197549486.db2.gz NIKGMKSBCHBVLL-OAHLLOKOSA-N 0 1 323.868 3.139 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2occc2C)CC1 ZINC001671826218 1197573006 /nfs/dbraw/zinc/57/30/06/1197573006.db2.gz RFLLWXPHIGFHAU-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C#CCC1(C(=O)NC[C@@]2(C)CCCN(CCF)C2)CCCCC1 ZINC001671916177 1197585104 /nfs/dbraw/zinc/58/51/04/1197585104.db2.gz GEFFWRSTIOTYHJ-GOSISDBHSA-N 0 1 322.468 3.148 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CC2CCC(C)(C)CC2)C1 ZINC001671921811 1197585667 /nfs/dbraw/zinc/58/56/67/1197585667.db2.gz OYMSOMBIRIAGCT-HXUWFJFHSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccccc1F)c1ccccc1CC ZINC001672176017 1197594859 /nfs/dbraw/zinc/59/48/59/1197594859.db2.gz CZGLGDIAAWUXNL-IBGZPJMESA-N 0 1 324.399 3.082 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(CCC)CCC)C[C@@H]2C1 ZINC001672429673 1197611572 /nfs/dbraw/zinc/61/15/72/1197611572.db2.gz LGBCJPPAEKQTSP-IYBDPMFKSA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@]3(C)C=CCC3)cccc2C1 ZINC001673079544 1197636700 /nfs/dbraw/zinc/63/67/00/1197636700.db2.gz FKPBWYBQXJKCBL-NRFANRHFSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001673201920 1197643336 /nfs/dbraw/zinc/64/33/36/1197643336.db2.gz OFQRFNKUJLPEDL-HNNXBMFYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)cc(C)c2)CCC1 ZINC001673230157 1197645525 /nfs/dbraw/zinc/64/55/25/1197645525.db2.gz KDNQEUKSKRPQTQ-UHFFFAOYSA-N 0 1 306.837 3.298 20 30 DGEDMN C=CCCC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ccc[nH]1 ZINC001673628716 1197679958 /nfs/dbraw/zinc/67/99/58/1197679958.db2.gz BTFFZGSGFLUFME-SFHVURJKSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)CC(C)(C)C)C(C)(C)C1 ZINC001674033327 1197725553 /nfs/dbraw/zinc/72/55/53/1197725553.db2.gz HGGPEIGKIMSJSU-HNNXBMFYSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CCCC2CCCC2)C(C)(C)C1 ZINC001674034235 1197726460 /nfs/dbraw/zinc/72/64/60/1197726460.db2.gz QWIRFKXGBNZTIJ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)C[C@H]1C ZINC001674155981 1197740804 /nfs/dbraw/zinc/74/08/04/1197740804.db2.gz RYKVPGOVCJFLCC-PVTFFILZSA-N 0 1 324.468 3.391 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1c2ccccc2CCN1CC(=C)C ZINC001674266286 1197753927 /nfs/dbraw/zinc/75/39/27/1197753927.db2.gz CZAQRXAESDTIOF-FQEVSTJZSA-N 0 1 324.468 3.472 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(CC3CC3)CC2)CCCC1 ZINC001674372635 1197765680 /nfs/dbraw/zinc/76/56/80/1197765680.db2.gz SDWFUFBQYNDVIA-UHFFFAOYSA-N 0 1 319.493 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccn(C(C)C)c1C ZINC001674715562 1197845520 /nfs/dbraw/zinc/84/55/20/1197845520.db2.gz IMHUMTDBRRIZNE-OLZOCXBDSA-N 0 1 311.857 3.226 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2ccccc2n1C ZINC001674723201 1197849200 /nfs/dbraw/zinc/84/92/00/1197849200.db2.gz WLXREAXDKUNCCR-QWHCGFSZSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)nc1C ZINC001674728935 1197850415 /nfs/dbraw/zinc/85/04/15/1197850415.db2.gz KTJWBUPKWGFSIH-OLZOCXBDSA-N 0 1 323.868 3.362 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CC(C)(C)C(F)(F)F ZINC001674759834 1197861729 /nfs/dbraw/zinc/86/17/29/1197861729.db2.gz GVBXGYBFMWMRFH-UWVGGRQHSA-N 0 1 314.779 3.200 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2cc(C)no2)CCCC1 ZINC001674781402 1197871484 /nfs/dbraw/zinc/87/14/84/1197871484.db2.gz WWBRVDVNQWMUNJ-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2cncc(C)c2)CCC1 ZINC001674991443 1197950244 /nfs/dbraw/zinc/95/02/44/1197950244.db2.gz LHRHRLVOJGFLAY-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cccs1 ZINC001675213082 1198008359 /nfs/dbraw/zinc/00/83/59/1198008359.db2.gz FMESJORSMFYXMA-GFCCVEGCSA-N 0 1 300.855 3.379 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001675369535 1198056115 /nfs/dbraw/zinc/05/61/15/1198056115.db2.gz OCMFJYGMTVLQBW-INIZCTEOSA-N 0 1 312.457 3.022 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)CCCCCC)C(C)(C)C1 ZINC001675479137 1198075968 /nfs/dbraw/zinc/07/59/68/1198075968.db2.gz FYWGTMNNLHFCIC-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)CCCCCC)C(C)(C)C1 ZINC001675479138 1198076548 /nfs/dbraw/zinc/07/65/48/1198076548.db2.gz FYWGTMNNLHFCIC-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)Cc2ccccc2C)C(C)(C)C1 ZINC001675486772 1198078138 /nfs/dbraw/zinc/07/81/38/1198078138.db2.gz OZQXAZAYUPCZOJ-FUHWJXTLSA-N 0 1 314.473 3.186 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC)CCCCC2)C(C)(C)C1 ZINC001675486810 1198080045 /nfs/dbraw/zinc/08/00/45/1198080045.db2.gz PMKIWMJMYGSJOF-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001675777596 1198140064 /nfs/dbraw/zinc/14/00/64/1198140064.db2.gz WGISVRLSQUXGRR-CKJIXREFSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001675781934 1198140870 /nfs/dbraw/zinc/14/08/70/1198140870.db2.gz MXJGFXZDZNETHE-QKPAOTATSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1CCC=CCC1 ZINC001676272829 1198266785 /nfs/dbraw/zinc/26/67/85/1198266785.db2.gz DAHYBNZQMBBHIU-INIZCTEOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001676359284 1198300750 /nfs/dbraw/zinc/30/07/50/1198300750.db2.gz CLPAYFVRLUBJEV-CNSOWSRNSA-N 0 1 324.896 3.248 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(C)[nH]c2ccccc21 ZINC001676381051 1198305616 /nfs/dbraw/zinc/30/56/16/1198305616.db2.gz BVRIBRITZZWJSG-GFCCVEGCSA-N 0 1 319.836 3.279 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)[C@H]1C ZINC001676859926 1198412369 /nfs/dbraw/zinc/41/23/69/1198412369.db2.gz NOINOTVPRIPBKX-GOEBONIOSA-N 0 1 306.475 3.492 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)CCc2ccc(C)s2)[C@H]1C ZINC001676897842 1198428381 /nfs/dbraw/zinc/42/83/81/1198428381.db2.gz HEFVQWGWVRVKDP-ZBFHGGJFSA-N 0 1 306.475 3.144 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2ocnc2C)CC1 ZINC001677338198 1198517961 /nfs/dbraw/zinc/51/79/61/1198517961.db2.gz UZFBJPFONWRVAJ-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001680634697 1198901718 /nfs/dbraw/zinc/90/17/18/1198901718.db2.gz YJNXJJMUDQODKK-MRXNPFEDSA-N 0 1 324.428 3.401 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)c1ccccc1 ZINC001688184074 1199711444 /nfs/dbraw/zinc/71/14/44/1199711444.db2.gz OQOIGHQFWXVSJH-HXUWFJFHSA-N 0 1 318.420 3.039 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCN([C@@H](C)c2cccc3ccccc32)CC1 ZINC001688691822 1199834046 /nfs/dbraw/zinc/83/40/46/1199834046.db2.gz WUJGAJIYPRHCSD-CVEARBPZSA-N 0 1 321.424 3.205 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccccc1F ZINC001688890705 1199945292 /nfs/dbraw/zinc/94/52/92/1199945292.db2.gz BLEALQDRCMIAQS-LSDHHAIUSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C[C@@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688897478 1199950482 /nfs/dbraw/zinc/95/04/82/1199950482.db2.gz WYXFGUMZVPONMX-RBUKOAKNSA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(c2ccccc2C)CC1 ZINC001688898312 1199950648 /nfs/dbraw/zinc/95/06/48/1199950648.db2.gz PYRGUYGVOLBHLM-QGZVFWFLSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NCc2nc(C)cs2)C(C)C)C1 ZINC001753837136 1199987664 /nfs/dbraw/zinc/98/76/64/1199987664.db2.gz APWLETLXVYQDQD-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)/C=C(\C)C1CC1 ZINC001689081693 1200021741 /nfs/dbraw/zinc/02/17/41/1200021741.db2.gz CJSQHEQRFXUPCN-NGOVVIEOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001689174953 1200051847 /nfs/dbraw/zinc/05/18/47/1200051847.db2.gz BZJCPALURUDRKQ-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1csc(Cl)n1 ZINC001753969664 1200054470 /nfs/dbraw/zinc/05/44/70/1200054470.db2.gz NIFFXFXEJLGPLH-NSHDSACASA-N 0 1 315.870 3.137 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(c2cccc(C)c2)CC1 ZINC001754084896 1200098799 /nfs/dbraw/zinc/09/87/99/1200098799.db2.gz PFAAKTOIKHTEEU-UHFFFAOYSA-N 0 1 320.864 3.217 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2ccns2)CCCC1 ZINC001754085745 1200098841 /nfs/dbraw/zinc/09/88/41/1200098841.db2.gz JRYBZMGVFMSEOU-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(C(C)(C)C)c1 ZINC001754252510 1200155487 /nfs/dbraw/zinc/15/54/87/1200155487.db2.gz YNCFAVRLXCJXNQ-CYBMUJFWSA-N 0 1 308.853 3.445 20 30 DGEDMN CCC[C@@H](C(=O)N[C@@H](C)CNCc1ccccc1C#N)C(C)C ZINC001754286011 1200174001 /nfs/dbraw/zinc/17/40/01/1200174001.db2.gz UQNAHFQGIYNAMW-MAUKXSAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1ccncc1Cl ZINC001754495112 1200309036 /nfs/dbraw/zinc/30/90/36/1200309036.db2.gz FRJGSDCDCKAAST-CQSZACIVSA-N 0 1 321.852 3.286 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCCc1ccc(C)cc1 ZINC001754504586 1200321559 /nfs/dbraw/zinc/32/15/59/1200321559.db2.gz KFINEPSIMAOOED-LJQANCHMSA-N 0 1 314.473 3.474 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cnc(C(C)(C)C)s1 ZINC001754506234 1200323509 /nfs/dbraw/zinc/32/35/09/1200323509.db2.gz YRHKMHIEFIQVRA-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2CC[C@@H](C)F)CCCCC1 ZINC001754505580 1200323666 /nfs/dbraw/zinc/32/36/66/1200323666.db2.gz QCJALNTUZIRGLJ-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001754552559 1200359829 /nfs/dbraw/zinc/35/98/29/1200359829.db2.gz ILRGFHNQBHAXBJ-OKZBNKHCSA-N 0 1 322.468 3.384 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@]3(C2)CCCN(CCF)C3)CCC1 ZINC001754557111 1200361516 /nfs/dbraw/zinc/36/15/16/1200361516.db2.gz MKRVGISCXFZJNG-QGZVFWFLSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(C(C)C)n2)C1 ZINC001754577416 1200373744 /nfs/dbraw/zinc/37/37/44/1200373744.db2.gz UYCBXBJAZFFRES-HNNXBMFYSA-N 0 1 315.461 3.316 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001754579085 1200376925 /nfs/dbraw/zinc/37/69/25/1200376925.db2.gz DKAILYRSMHNFKI-NXOAAHMSSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CN(C[C@@H]2CCCC(F)(F)C2)C1 ZINC001754580036 1200376955 /nfs/dbraw/zinc/37/69/55/1200376955.db2.gz LRCMSZCNTJHDIE-CYBMUJFWSA-N 0 1 314.420 3.167 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc3[nH]ccc32)C1 ZINC001754582053 1200378841 /nfs/dbraw/zinc/37/88/41/1200378841.db2.gz REFNKASPTBHUIO-AWEZNQCLSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccc(C)s2)C1 ZINC001754588038 1200384809 /nfs/dbraw/zinc/38/48/09/1200384809.db2.gz IRTDPTDFVPEVDZ-UHFFFAOYSA-N 0 1 306.475 3.098 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001690052177 1200388010 /nfs/dbraw/zinc/38/80/10/1200388010.db2.gz JZQOWEWSBGTAGT-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN([C@H](CC)c2ccc(F)cc2)C1 ZINC001690085695 1200392190 /nfs/dbraw/zinc/39/21/90/1200392190.db2.gz YKBHLBSLLYBSRO-DLBZAZTESA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001690100637 1200400168 /nfs/dbraw/zinc/40/01/68/1200400168.db2.gz UUIWESHIFWBXME-KRWDZBQOSA-N 0 1 318.486 3.331 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCC1(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001754639965 1200409807 /nfs/dbraw/zinc/40/98/07/1200409807.db2.gz CJKNFZZPVGZYLA-MGDKSHQASA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001690129023 1200410779 /nfs/dbraw/zinc/41/07/79/1200410779.db2.gz WEEIDRAJFKXMME-RYUDHWBXSA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccsc2C)CC1 ZINC001690134040 1200414274 /nfs/dbraw/zinc/41/42/74/1200414274.db2.gz CNOUSLGNQQOOQN-UHFFFAOYSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1ocnc1C)C1CCCC1 ZINC001754650620 1200417732 /nfs/dbraw/zinc/41/77/32/1200417732.db2.gz QMKWOIZZLSFITB-MRXNPFEDSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001690153424 1200424125 /nfs/dbraw/zinc/42/41/25/1200424125.db2.gz LZQVBNLURHKPMV-YQCJOKCJSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCC=CCCC1)C1CC1 ZINC001690156205 1200425978 /nfs/dbraw/zinc/42/59/78/1200425978.db2.gz KYZSPLPGDMONLT-JKSUJKDBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC001690192299 1200446373 /nfs/dbraw/zinc/44/63/73/1200446373.db2.gz MCRILNXNYPLXOV-CABCVRRESA-N 0 1 312.885 3.346 20 30 DGEDMN CC[C@@H](c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001690205239 1200452780 /nfs/dbraw/zinc/45/27/80/1200452780.db2.gz GDCXESFDOUEXFZ-ZCNNSNEGSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](CC)SC)CC1 ZINC001754717167 1200460874 /nfs/dbraw/zinc/46/08/74/1200460874.db2.gz IVSZGJRWGYSLBX-ZDUSSCGKSA-N 0 1 318.914 3.099 20 30 DGEDMN CCOc1ncccc1CNC1CC(C#N)(c2ccccc2)C1 ZINC000125813343 1200461665 /nfs/dbraw/zinc/46/16/65/1200461665.db2.gz JLYPQJWYDLVPDB-UHFFFAOYSA-N 0 1 307.397 3.194 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@]2(C)CCCN(C[C@@H](F)CC)C2)C1 ZINC001754730919 1200475615 /nfs/dbraw/zinc/47/56/15/1200475615.db2.gz NQYMWRHSPUKPKI-RDJZCZTQSA-N 0 1 310.457 3.309 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc(C3CC3)c2)C1 ZINC001754733371 1200480020 /nfs/dbraw/zinc/48/00/20/1200480020.db2.gz CGQGNQXKWUUBNW-HXUWFJFHSA-N 0 1 310.441 3.029 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2CCC(C)(C)CC2)C1 ZINC001754735303 1200481847 /nfs/dbraw/zinc/48/18/47/1200481847.db2.gz YTXYNDMALIYHGW-LJQANCHMSA-N 0 1 304.478 3.054 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(C)(C)C)c1ccccc1CC ZINC001754786115 1200489354 /nfs/dbraw/zinc/48/93/54/1200489354.db2.gz VAFKZLIRDHATSC-GOSISDBHSA-N 0 1 314.473 3.455 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C(C)(C)C(C)C ZINC001690470291 1200578506 /nfs/dbraw/zinc/57/85/06/1200578506.db2.gz WWZYXSNARQYPEG-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C1CC(C)(C(=O)NCc2ccc([C@H](C)NCCF)cc2)C1 ZINC001754948054 1200550988 /nfs/dbraw/zinc/55/09/88/1200550988.db2.gz FNXDJHHQSREYOR-AWEZNQCLSA-N 0 1 304.409 3.279 20 30 DGEDMN C=CCCCn1c([C@@H](C)CC)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001690471277 1200579006 /nfs/dbraw/zinc/57/90/06/1200579006.db2.gz ZIGFLCNSDJNLPA-HOTGVXAUSA-N 0 1 319.497 3.288 20 30 DGEDMN C[C@@H](NC(=O)CC1CC1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001690490940 1200587836 /nfs/dbraw/zinc/58/78/36/1200587836.db2.gz GXRVZMOOCYPPKK-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)Cc2occc2C)C1 ZINC001690502515 1200597237 /nfs/dbraw/zinc/59/72/37/1200597237.db2.gz ZEMUVCPJYXGZML-LSDHHAIUSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)C(C)(CC)CC)O2 ZINC001755056584 1200619664 /nfs/dbraw/zinc/61/96/64/1200619664.db2.gz FQCFHFXEKRHMJD-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001690582227 1200635861 /nfs/dbraw/zinc/63/58/61/1200635861.db2.gz ILRAATSNSKKRIA-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NCc1cc(F)ccc1F ZINC001690614714 1200650017 /nfs/dbraw/zinc/65/00/17/1200650017.db2.gz OOOLAQGFMHZQOA-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001690618890 1200651747 /nfs/dbraw/zinc/65/17/47/1200651747.db2.gz JSLFEVUZAABGPE-DNVCBOLYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC(C1CCC1)C1CCC1 ZINC001690623819 1200654843 /nfs/dbraw/zinc/65/48/43/1200654843.db2.gz XMWYWXNGXWXAPX-ZDUSSCGKSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C/C=C/Cc2ccccc2)C(C)(C)C1 ZINC001755137915 1200656246 /nfs/dbraw/zinc/65/62/46/1200656246.db2.gz GSBVBJNKYRTKDP-CSHXORCISA-N 0 1 324.468 3.025 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cc(C)no2)CC1(C)C ZINC001755140594 1200657533 /nfs/dbraw/zinc/65/75/33/1200657533.db2.gz AYNPCMIAUFVEDP-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2ccccc2F)CCC1 ZINC001690638103 1200663915 /nfs/dbraw/zinc/66/39/15/1200663915.db2.gz BSMQAZYNQCXKHI-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)Cc1cccc(C2CC2)c1 ZINC001755197293 1200694611 /nfs/dbraw/zinc/69/46/11/1200694611.db2.gz WGPCAAWUGSAOPW-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C[C@@H](C)CCC=C(C)C ZINC001755199020 1200696795 /nfs/dbraw/zinc/69/67/95/1200696795.db2.gz NBOSVILNKAENCH-ROUUACIJSA-N 0 1 304.478 3.315 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](C)CCC=C(C)C ZINC001755199020 1200696798 /nfs/dbraw/zinc/69/67/98/1200696798.db2.gz NBOSVILNKAENCH-ROUUACIJSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001755248295 1200733296 /nfs/dbraw/zinc/73/32/96/1200733296.db2.gz HUSRXGLORQZVBN-LSDHHAIUSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C)cc1Cl ZINC001755256403 1200741342 /nfs/dbraw/zinc/74/13/42/1200741342.db2.gz JMYHOWQLUFJQFY-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1nc2ccccc2o1 ZINC001690844834 1200747327 /nfs/dbraw/zinc/74/73/27/1200747327.db2.gz PYNQUWJCHZAXFE-CQSZACIVSA-N 0 1 315.417 3.169 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1sccc1C1CC1 ZINC001755270581 1200752095 /nfs/dbraw/zinc/75/20/95/1200752095.db2.gz YHFPXZHHMPAYHG-MNOVXSKESA-N 0 1 312.866 3.474 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2nocc2C)CCCC1 ZINC001690881123 1200762194 /nfs/dbraw/zinc/76/21/94/1200762194.db2.gz JZFNQTPBUMOAQL-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(C)Cc2cnccc2C)CC1 ZINC001755314170 1200798983 /nfs/dbraw/zinc/79/89/83/1200798983.db2.gz BNVYYTARGGPXPF-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N(C)Cc2cnccc2C)CC1 ZINC001755314169 1200799104 /nfs/dbraw/zinc/79/91/04/1200799104.db2.gz BNVYYTARGGPXPF-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](NCC#CC)c2ccccc2)CC1 ZINC001691795940 1200809874 /nfs/dbraw/zinc/80/98/74/1200809874.db2.gz ATDIXFAZUFMMAK-LJQANCHMSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC(C)(F)F)C1 ZINC001691840400 1200830528 /nfs/dbraw/zinc/83/05/28/1200830528.db2.gz VSOGDKLLVMLVSF-JKSUJKDBSA-N 0 1 322.399 3.192 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2cccs2)C1 ZINC001691860866 1200843117 /nfs/dbraw/zinc/84/31/17/1200843117.db2.gz MNCUHTHXQUTAQT-LSDHHAIUSA-N 0 1 306.475 3.351 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C\Cl)[C@@H]2C1(C)C ZINC001691919442 1200871663 /nfs/dbraw/zinc/87/16/63/1200871663.db2.gz NUZMTQLIWKAMCM-CJSAETJUSA-N 0 1 322.880 3.148 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC2(C)CCCC2)C1 ZINC001691956960 1200886092 /nfs/dbraw/zinc/88/60/92/1200886092.db2.gz HQAPKMSVZYNKJJ-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)Cc2ccccc2F)C(C)(C)C1 ZINC001755411194 1200886766 /nfs/dbraw/zinc/88/67/66/1200886766.db2.gz IFCNNNYUAWSDHQ-WMLDXEAASA-N 0 1 318.436 3.017 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)C(C)(C)C)C1 ZINC001691969323 1200890671 /nfs/dbraw/zinc/89/06/71/1200890671.db2.gz XCQIHRRDOMZUAR-KGLIPLIRSA-N 0 1 300.874 3.201 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC(CC)CC)C1 ZINC001691990060 1200900819 /nfs/dbraw/zinc/90/08/19/1200900819.db2.gz UMJUAWRKWMDCFD-OAHLLOKOSA-N 0 1 300.874 3.346 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(CC)CCCCC2)[C@H]1CC ZINC001692027408 1200915286 /nfs/dbraw/zinc/91/52/86/1200915286.db2.gz UPOWAHCLEJKNEF-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2sc(C)nc2C)C1 ZINC001692148851 1200948722 /nfs/dbraw/zinc/94/87/22/1200948722.db2.gz LOHSXMWXBKIKDQ-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2cccc(Cl)c2)C1 ZINC001692216929 1200973761 /nfs/dbraw/zinc/97/37/61/1200973761.db2.gz BMXGJASADMZSHT-AWEZNQCLSA-N 0 1 320.864 3.428 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001755605248 1200982866 /nfs/dbraw/zinc/98/28/66/1200982866.db2.gz HXAMZHSDSPPKEA-PXAZEXFGSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001755606802 1200985267 /nfs/dbraw/zinc/98/52/67/1200985267.db2.gz MKTBVQNQWJVYSR-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(F)F)cc1 ZINC001755612323 1200990095 /nfs/dbraw/zinc/99/00/95/1200990095.db2.gz HFAVNAIXZOXRSH-LLVKDONJSA-N 0 1 316.779 3.427 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc2cc[nH]c21 ZINC001692257646 1200991770 /nfs/dbraw/zinc/99/17/70/1200991770.db2.gz LSLHONFOWFDMGH-KRWDZBQOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cc(O)ccc2Cl)C[C@@H]1C ZINC001755675248 1201009415 /nfs/dbraw/zinc/00/94/15/1201009415.db2.gz WKAUEGRESNVADE-JSGCOSHPSA-N 0 1 322.836 3.091 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001692324735 1201018065 /nfs/dbraw/zinc/01/80/65/1201018065.db2.gz ICCCDEJSXGGSKZ-MRXNPFEDSA-N 0 1 314.473 3.399 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2sc(C)nc2C)[C@@H]1C ZINC001755715448 1201037630 /nfs/dbraw/zinc/03/76/30/1201037630.db2.gz ZENVVNOMYHTSER-HIFRSBDPSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)CCCCCC ZINC001692373115 1201041004 /nfs/dbraw/zinc/04/10/04/1201041004.db2.gz QMHFRBWJFNKZBO-SQNIBIBYSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H](C)c1ccccc1 ZINC001692372725 1201041482 /nfs/dbraw/zinc/04/14/82/1201041482.db2.gz KOHYNTSRUDGSBL-WDSOQIARSA-N 0 1 310.441 3.226 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001692374611 1201043124 /nfs/dbraw/zinc/04/31/24/1201043124.db2.gz BLZUPSRIPLCMGZ-WDSOQIARSA-N 0 1 312.457 3.382 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)ccc1C ZINC001692374686 1201043145 /nfs/dbraw/zinc/04/31/45/1201043145.db2.gz FTMHGQVZYJVCTH-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN Cc1ccc(CN2CC[C@H](NC(=O)C#CC(C)(C)C)C2)cc1C ZINC001692398388 1201052090 /nfs/dbraw/zinc/05/20/90/1201052090.db2.gz COIWNYPXWOFVDD-SFHVURJKSA-N 0 1 312.457 3.043 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCCC[C@H]1CC)C(C)C ZINC001755817249 1201083161 /nfs/dbraw/zinc/08/31/61/1201083161.db2.gz FWINQACJYVGKBQ-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001698554146 1201224471 /nfs/dbraw/zinc/22/44/71/1201224471.db2.gz CZRPDUBRCPCWCG-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001698561430 1201231192 /nfs/dbraw/zinc/23/11/92/1201231192.db2.gz PQDHBARERVYVQE-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001698563265 1201232361 /nfs/dbraw/zinc/23/23/61/1201232361.db2.gz JHSQSXAMQZBYFH-KRWDZBQOSA-N 0 1 316.420 3.052 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001698563267 1201232971 /nfs/dbraw/zinc/23/29/71/1201232971.db2.gz JHSQSXAMQZBYFH-QGZVFWFLSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001698574297 1201246844 /nfs/dbraw/zinc/24/68/44/1201246844.db2.gz IJSRRUUVMBOZMY-WMLDXEAASA-N 0 1 320.864 3.237 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001698585869 1201258707 /nfs/dbraw/zinc/25/87/07/1201258707.db2.gz SQHFCOFUGGJTLV-KBPBESRZSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001698585872 1201259710 /nfs/dbraw/zinc/25/97/10/1201259710.db2.gz SQHFCOFUGGJTLV-ZIAGYGMSSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2ccncc2c1 ZINC001698616008 1201287739 /nfs/dbraw/zinc/28/77/39/1201287739.db2.gz IKKMGZRPKIELSZ-MRXNPFEDSA-N 0 1 317.820 3.085 20 30 DGEDMN CC[C@H](CNC(=O)c1ccc(C)cc1)NCc1ccccc1C#N ZINC001698617646 1201288670 /nfs/dbraw/zinc/28/86/70/1201288670.db2.gz FBJDEUXKLODZEY-LJQANCHMSA-N 0 1 321.424 3.165 20 30 DGEDMN C=C(C)CCC(=O)N[C@@](C)(CNCc1ncc(C)s1)C1CC1 ZINC001698642984 1201308455 /nfs/dbraw/zinc/30/84/55/1201308455.db2.gz LMEJCELINMKZPP-KRWDZBQOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(F)cc1C ZINC001698746261 1201387516 /nfs/dbraw/zinc/38/75/16/1201387516.db2.gz JMWNQDGROYDZQL-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001698787935 1201417220 /nfs/dbraw/zinc/41/72/20/1201417220.db2.gz BJTZPDVYKVDBPZ-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2oc(CC)nc2C)CCCC1 ZINC001698795343 1201424382 /nfs/dbraw/zinc/42/43/82/1201424382.db2.gz ZDOHSTRXZYTQQR-UHFFFAOYSA-N 0 1 319.449 3.030 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cscn2)CCCC1 ZINC001698800585 1201430053 /nfs/dbraw/zinc/43/00/53/1201430053.db2.gz MWQXWCWRGKFRMP-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001698828041 1201479259 /nfs/dbraw/zinc/47/92/59/1201479259.db2.gz KITBKEHSBJVHGS-XXXXFFMBSA-N 0 1 324.896 3.248 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccn(C(C)C)n1 ZINC001698843098 1201495584 /nfs/dbraw/zinc/49/55/84/1201495584.db2.gz LZYHTGHCGSEHRO-YJBOKZPZSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001698843468 1201499292 /nfs/dbraw/zinc/49/92/92/1201499292.db2.gz PDZYNOUKGIUOHY-PXAZEXFGSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)c(F)c(C)c1 ZINC001698861054 1201516401 /nfs/dbraw/zinc/51/64/01/1201516401.db2.gz RXJXVYWEGMXKOB-CYBMUJFWSA-N 0 1 312.816 3.245 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C)c2c1CCC2 ZINC001698864350 1201520466 /nfs/dbraw/zinc/52/04/66/1201520466.db2.gz SJTSJNGGIJZKLR-AWEZNQCLSA-N 0 1 320.864 3.286 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C1CC(C)(C)C1 ZINC001698937775 1201588439 /nfs/dbraw/zinc/58/84/39/1201588439.db2.gz FLEKMGLPBUAAFE-IUODEOHRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C(C)=C1CCC1 ZINC001698941186 1201589905 /nfs/dbraw/zinc/58/99/05/1201589905.db2.gz SPUYZYNCUOEKDX-BLLLJJGKSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C1CC2(CC2)C1 ZINC001698950099 1201596729 /nfs/dbraw/zinc/59/67/29/1201596729.db2.gz NZHBZZVFJNHOOV-IUODEOHRSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(CC)s1 ZINC001750927194 1201724186 /nfs/dbraw/zinc/72/41/86/1201724186.db2.gz KVPSNWUBIMWPGG-HNNXBMFYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=CCCCC(=O)N1CCc2sc(CNCCC=C)nc2C1 ZINC001750938678 1201730816 /nfs/dbraw/zinc/73/08/16/1201730816.db2.gz AEKUGRKJUPNNAC-UHFFFAOYSA-N 0 1 319.474 3.050 20 30 DGEDMN C[C@@H](c1ccccc1Cl)N(C)CCCNC(=O)C#CC1CC1 ZINC001751972462 1201762329 /nfs/dbraw/zinc/76/23/29/1201762329.db2.gz KZLTZPIPZRDLDU-AWEZNQCLSA-N 0 1 318.848 3.253 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H]1CCCc2ccccc21 ZINC001699274216 1201774824 /nfs/dbraw/zinc/77/48/24/1201774824.db2.gz IOPOKOFINJUVPQ-BDUNBXCCSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1nccs1 ZINC001752145790 1201785020 /nfs/dbraw/zinc/78/50/20/1201785020.db2.gz ICBXMJFBCFXJPH-HUUCEWRRSA-N 0 1 321.490 3.359 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)Cc1ccccc1F ZINC001699306205 1201785373 /nfs/dbraw/zinc/78/53/73/1201785373.db2.gz FCYRLHHDLGJGBI-SZZPACECSA-N 0 1 324.827 3.019 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2(CCC)CC2)CC1 ZINC001752425965 1201835415 /nfs/dbraw/zinc/83/54/15/1201835415.db2.gz TYEZLCBVUSTINN-CQSZACIVSA-N 0 1 310.869 3.290 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1cccc(F)c1Cl ZINC001699401759 1201839690 /nfs/dbraw/zinc/83/96/90/1201839690.db2.gz JNBWNRNBPUQHCG-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1cccc(F)c1F)C1CC1 ZINC001752460001 1201844398 /nfs/dbraw/zinc/84/43/98/1201844398.db2.gz NYDBYABBUBGXCT-MRXNPFEDSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1csc(C)n1)C1CC1 ZINC001752483260 1201850907 /nfs/dbraw/zinc/85/09/07/1201850907.db2.gz XDUGXWWIUDLQOJ-NVXWUHKLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1csc(C)n1)C1CC1 ZINC001752483262 1201851646 /nfs/dbraw/zinc/85/16/46/1201851646.db2.gz XDUGXWWIUDLQOJ-WBVHZDCISA-N 0 1 321.490 3.038 20 30 DGEDMN CC(C)CCCC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001699420049 1201854181 /nfs/dbraw/zinc/85/41/81/1201854181.db2.gz OXPJWUIEHCVEFZ-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001699462070 1201898745 /nfs/dbraw/zinc/89/87/45/1201898745.db2.gz OLQIBNNIKPGLMC-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001699462073 1201899202 /nfs/dbraw/zinc/89/92/02/1201899202.db2.gz OLQIBNNIKPGLMC-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2nc(C)cs2)C1 ZINC001752836363 1201961235 /nfs/dbraw/zinc/96/12/35/1201961235.db2.gz FOQOZTPTFGAHQZ-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(CCC)cc1)C1CC1 ZINC001699595131 1201964254 /nfs/dbraw/zinc/96/42/54/1201964254.db2.gz VPHLYHVNOOIGGH-QGZVFWFLSA-N 0 1 320.864 3.490 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001699617204 1201983392 /nfs/dbraw/zinc/98/33/92/1201983392.db2.gz LRDIYCDOELGLRO-IBGZPJMESA-N 0 1 312.457 3.133 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC001699629090 1201993750 /nfs/dbraw/zinc/99/37/50/1201993750.db2.gz CSMFVUKJNHGMTN-IBGZPJMESA-N 0 1 324.468 3.448 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cc(OC)ccc1Cl ZINC001752891463 1201996039 /nfs/dbraw/zinc/99/60/39/1201996039.db2.gz KXILTELVMNEZQM-GFCCVEGCSA-N 0 1 324.852 3.155 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001699639616 1202006783 /nfs/dbraw/zinc/00/67/83/1202006783.db2.gz PSHYUNMYCYUMJD-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@](C)(CC)CCC ZINC001699682434 1202031692 /nfs/dbraw/zinc/03/16/92/1202031692.db2.gz MVLLVILPTDQXTO-AOIWGVFYSA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2sccc2C)C1 ZINC001699725243 1202053816 /nfs/dbraw/zinc/05/38/16/1202053816.db2.gz YXCDMGUOYZRSLU-UHFFFAOYSA-N 0 1 320.502 3.488 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2csc(C3CC3)n2)C1 ZINC001699727156 1202055915 /nfs/dbraw/zinc/05/59/15/1202055915.db2.gz YMDDLQVIGRDUHQ-GFCCVEGCSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(F)c(C)c1 ZINC001699757765 1202067577 /nfs/dbraw/zinc/06/75/77/1202067577.db2.gz ZKYGRUFANMQAGP-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C#CCN(C(=O)c1cccs1)C1CCN(C/C=C/Cl)CC1 ZINC001699778744 1202076216 /nfs/dbraw/zinc/07/62/16/1202076216.db2.gz RKFNYKKUKLVWSP-XBXARRHUSA-N 0 1 322.861 3.040 20 30 DGEDMN C#CCN(C(=O)CC(CC)CC)C1CCN(C/C=C\Cl)CC1 ZINC001699785435 1202077257 /nfs/dbraw/zinc/07/72/57/1202077257.db2.gz OIZUXSLXQHGOFN-YFHOEESVSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C1CC1)C1CC1)C1CCCC1 ZINC001699799352 1202084040 /nfs/dbraw/zinc/08/40/40/1202084040.db2.gz HXRNQGZKFAURTM-INIZCTEOSA-N 0 1 324.896 3.440 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001753069854 1202099464 /nfs/dbraw/zinc/09/94/64/1202099464.db2.gz QPYPFJBPOKIABX-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN CC[C@@H](CC(=O)NCCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001753070837 1202100510 /nfs/dbraw/zinc/10/05/10/1202100510.db2.gz OVGUJFNPHCEEJH-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@]1(C)CCCN(C/C=C/Cl)C1 ZINC001699872453 1202128606 /nfs/dbraw/zinc/12/86/06/1202128606.db2.gz SFAAJXFVQMDSBT-DKISHCGFSA-N 0 1 310.869 3.007 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001699878752 1202134207 /nfs/dbraw/zinc/13/42/07/1202134207.db2.gz ASWVYKUAINYUQV-FXAWDEMLSA-N 0 1 318.505 3.444 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCC[N@H+]1Cc1cc2ccccc2o1 ZINC001753106381 1202138355 /nfs/dbraw/zinc/13/83/55/1202138355.db2.gz XEWLASUKJJFQJY-QGZVFWFLSA-N 0 1 324.424 3.173 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1Cc1cc2ccccc2o1 ZINC001753106381 1202138359 /nfs/dbraw/zinc/13/83/59/1202138359.db2.gz XEWLASUKJJFQJY-QGZVFWFLSA-N 0 1 324.424 3.173 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)CC1CCCCC1 ZINC001753112329 1202142611 /nfs/dbraw/zinc/14/26/11/1202142611.db2.gz AHVMMEGLTFNSFT-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H](CC)c1ccccc1 ZINC001753115515 1202145045 /nfs/dbraw/zinc/14/50/45/1202145045.db2.gz DQRCGAYPZHYPJJ-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](CC)CCCC)C[C@@H]2C1 ZINC001699986613 1202158935 /nfs/dbraw/zinc/15/89/35/1202158935.db2.gz VPYLSGMIVPMYSX-OAGGEKHMSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN[C@H](C)c1cc(F)ccc1F ZINC001753181399 1202181626 /nfs/dbraw/zinc/18/16/26/1202181626.db2.gz IFPSDOSPDLTOHG-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)[C@H]2CC=CCC2)CCC1 ZINC001700127407 1202186241 /nfs/dbraw/zinc/18/62/41/1202186241.db2.gz NKSVBYDKRHCXRK-INIZCTEOSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C(C)(C)C(F)F)CC1 ZINC001700180134 1202197742 /nfs/dbraw/zinc/19/77/42/1202197742.db2.gz BJMCYRJEPDRIOR-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN O=C(C#CC1CC1)NC[C@H](NCc1ccsc1)c1ccccc1 ZINC001753298656 1202199742 /nfs/dbraw/zinc/19/97/42/1202199742.db2.gz LWYHWJRVKIMDJC-SFHVURJKSA-N 0 1 324.449 3.109 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](F)c1ccccc1)c1ccccc1 ZINC001753311338 1202202889 /nfs/dbraw/zinc/20/28/89/1202202889.db2.gz LVXUBAMTMKQQGL-RTBURBONSA-N 0 1 324.399 3.168 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1[C@@H]2CCCCCC[C@@H]12)c1ccccc1 ZINC001753313849 1202204317 /nfs/dbraw/zinc/20/43/17/1202204317.db2.gz YMBZLSAXLCNOCW-GUDVDZBRSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccsc2COC)C1 ZINC001753316915 1202206350 /nfs/dbraw/zinc/20/63/50/1202206350.db2.gz DAMNKWCQWWHWRD-CQSZACIVSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccoc2CCC)C1 ZINC001753320231 1202208993 /nfs/dbraw/zinc/20/89/93/1202208993.db2.gz WHHLLDYFJVTEOK-OAHLLOKOSA-N 0 1 304.434 3.345 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccc(Cl)c2)C1 ZINC001753322526 1202210575 /nfs/dbraw/zinc/21/05/75/1202210575.db2.gz HZAKDWRNEHUPAS-KRWDZBQOSA-N 0 1 318.848 3.290 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCC2(CN(CCCCC)C2)O1 ZINC001700205322 1202210642 /nfs/dbraw/zinc/21/06/42/1202210642.db2.gz NUAHLFGULSRNRG-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCC2(CN(CCC(C)(C)C)C2)O1 ZINC001700207077 1202210965 /nfs/dbraw/zinc/21/09/65/1202210965.db2.gz YSWCWPQXISHEHX-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)CC1(C)CC1 ZINC001700228665 1202216282 /nfs/dbraw/zinc/21/62/82/1202216282.db2.gz VWUPCVXZRCGDIH-SFHVURJKSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@H](C)c2ccco2)C1 ZINC001753340266 1202220068 /nfs/dbraw/zinc/22/00/68/1202220068.db2.gz ZXRXVSCUDKXHIZ-DZGCQCFKSA-N 0 1 324.852 3.354 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001753390222 1202238842 /nfs/dbraw/zinc/23/88/42/1202238842.db2.gz RQINZQVDRHZFNC-BGTYHANMSA-N 0 1 304.478 3.358 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001753403951 1202242392 /nfs/dbraw/zinc/24/23/92/1202242392.db2.gz OTPCOAUHVBSVEX-UHFFFAOYSA-N 0 1 312.457 3.024 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2cc3ccccc3o2)C1 ZINC001753404415 1202242545 /nfs/dbraw/zinc/24/25/45/1202242545.db2.gz DQJBNMRZGKZTSM-UHFFFAOYSA-N 0 1 312.413 3.337 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](c2ccccc2)[C@@H](C)CC)C1 ZINC001753415103 1202246349 /nfs/dbraw/zinc/24/63/49/1202246349.db2.gz NDGKICKAHPEGTJ-LPHOPBHVSA-N 0 1 314.473 3.440 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1c(C)[nH]c2ccccc21 ZINC001700358687 1202247823 /nfs/dbraw/zinc/24/78/23/1202247823.db2.gz YAPXJUOBOUHTHZ-INIZCTEOSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c(C)[nH]c2ccccc21 ZINC001700358687 1202247826 /nfs/dbraw/zinc/24/78/26/1202247826.db2.gz YAPXJUOBOUHTHZ-INIZCTEOSA-N 0 1 323.440 3.036 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)c(C)c1 ZINC001753420322 1202248189 /nfs/dbraw/zinc/24/81/89/1202248189.db2.gz SPHBLYDFWOKHBO-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC001753435201 1202254123 /nfs/dbraw/zinc/25/41/23/1202254123.db2.gz NRAFSDUTUWORNY-QGZVFWFLSA-N 0 1 318.848 3.176 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001753437614 1202254730 /nfs/dbraw/zinc/25/47/30/1202254730.db2.gz XPVNSSXQLBWUCS-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001753443523 1202256366 /nfs/dbraw/zinc/25/63/66/1202256366.db2.gz XXENRPUUPDWTHY-CQSZACIVSA-N 0 1 321.490 3.005 20 30 DGEDMN C[C@@H](NC(=O)C1CCCCC1)[C@H](C)NCc1ccccc1C#N ZINC001700383973 1202256487 /nfs/dbraw/zinc/25/64/87/1202256487.db2.gz DVRAVFMYHJYWRP-LSDHHAIUSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1nsc2ccccc21 ZINC001700401725 1202263031 /nfs/dbraw/zinc/26/30/31/1202263031.db2.gz QICZMUZCIDRCHG-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001700403465 1202263529 /nfs/dbraw/zinc/26/35/29/1202263529.db2.gz YFEYJAUYYSLPPA-OLZOCXBDSA-N 0 1 309.841 3.074 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001753462234 1202264716 /nfs/dbraw/zinc/26/47/16/1202264716.db2.gz UBHXAXYVCXEKDO-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)N[C@H](C)c1c(F)cccc1F ZINC001753472089 1202268278 /nfs/dbraw/zinc/26/82/78/1202268278.db2.gz ZLZMYOPUVLGWKV-ZIAGYGMSSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@]1(C)CCc2ccccc21 ZINC001753498123 1202276106 /nfs/dbraw/zinc/27/61/06/1202276106.db2.gz PJLZBCPMSIGJKZ-YJBOKZPZSA-N 0 1 320.864 3.127 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N(C)Cc1csc(C)c1 ZINC001700456394 1202279415 /nfs/dbraw/zinc/27/94/15/1202279415.db2.gz JALBJTPPQMGTPZ-CQSZACIVSA-N 0 1 306.475 3.187 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc2c1CCCCC2 ZINC001700463092 1202281979 /nfs/dbraw/zinc/28/19/79/1202281979.db2.gz DJCNTCXQSZGWTM-INIZCTEOSA-N 0 1 312.457 3.029 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCc1ccc(Cl)cc1 ZINC001700466590 1202283722 /nfs/dbraw/zinc/28/37/22/1202283722.db2.gz JNAYLECPAVQSJE-OAHLLOKOSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001700519673 1202301949 /nfs/dbraw/zinc/30/19/49/1202301949.db2.gz XXNCFDPOMXUELS-OLZOCXBDSA-N 0 1 322.827 3.439 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C(C)=C2CCCC2)C1 ZINC001753650092 1202306805 /nfs/dbraw/zinc/30/68/05/1202306805.db2.gz MPRQSYZUWRIHFW-QAPCUYQASA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC001753651317 1202307178 /nfs/dbraw/zinc/30/71/78/1202307178.db2.gz KYGARIREYNHIKZ-SJORKVTESA-N 0 1 324.509 3.088 20 30 DGEDMN Cc1cc(CN2CC([C@@H](C)NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001700537338 1202308991 /nfs/dbraw/zinc/30/89/91/1202308991.db2.gz BQDZDFGGUDDEEM-CQSZACIVSA-N 0 1 318.486 3.043 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001700547814 1202311203 /nfs/dbraw/zinc/31/12/03/1202311203.db2.gz XUGQWBIVHZBYGH-ZIAGYGMSSA-N 0 1 320.864 3.141 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1c(F)cccc1Cl ZINC001753682169 1202312465 /nfs/dbraw/zinc/31/24/65/1202312465.db2.gz LFRYDXJXVZLDFC-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)C(C)(C)CCC)C2)C1 ZINC001700563366 1202313407 /nfs/dbraw/zinc/31/34/07/1202313407.db2.gz WJJXVKLWDXBAQZ-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)[C@H](C)CCCC ZINC001753689367 1202314384 /nfs/dbraw/zinc/31/43/84/1202314384.db2.gz JVXCERUJYKQKBF-YPMHNXCESA-N 0 1 319.287 3.158 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700576681 1202317602 /nfs/dbraw/zinc/31/76/02/1202317602.db2.gz RVGWMGXRRFBGCM-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC001700619964 1202323539 /nfs/dbraw/zinc/32/35/39/1202323539.db2.gz OUWBRRPQPNKWQC-FLIBITNWSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@]12C[C@@H]1CCCC2 ZINC001753741755 1202326692 /nfs/dbraw/zinc/32/66/92/1202326692.db2.gz CZGQFSUPBGQNJS-ZOBUZTSGSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)SC)C1CCCCC1 ZINC001753745122 1202327441 /nfs/dbraw/zinc/32/74/41/1202327441.db2.gz SQXFTIYJAPLXJN-GXTWGEPZSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCCNCc2nccs2)CC1 ZINC001700672310 1202332062 /nfs/dbraw/zinc/33/20/62/1202332062.db2.gz FLMFXSFQAORCNU-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@H](C)NCc2ncc(C)o2)C1 ZINC001753813106 1202338192 /nfs/dbraw/zinc/33/81/92/1202338192.db2.gz GBTZNQVKAQXMFP-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@@H](CN(C)C(=O)C1CCCCC1)NCc1ccccc1C#N ZINC001700736840 1202345444 /nfs/dbraw/zinc/34/54/44/1202345444.db2.gz LGQANRYVYKTBJC-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(C)C)nc1C ZINC001700770101 1202351875 /nfs/dbraw/zinc/35/18/75/1202351875.db2.gz JANPGUIHMYLMAU-CYBMUJFWSA-N 0 1 323.868 3.316 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1scnc1C(C)C ZINC001700770738 1202352419 /nfs/dbraw/zinc/35/24/19/1202352419.db2.gz ILLMDXDDRAARSX-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001701004988 1202379531 /nfs/dbraw/zinc/37/95/31/1202379531.db2.gz LLLGSAQZFBHBAF-LJRYMGMFSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001707053859 1202569995 /nfs/dbraw/zinc/56/99/95/1202569995.db2.gz NLNFGCOSKNNHNU-LPHOPBHVSA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001707053879 1202570227 /nfs/dbraw/zinc/57/02/27/1202570227.db2.gz NQWIWQFTTINHMO-KRWDZBQOSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001707086082 1202572525 /nfs/dbraw/zinc/57/25/25/1202572525.db2.gz IRFUBABLTZVLHZ-RBSFLKMASA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C/c2ccco2)C1 ZINC001707093826 1202573173 /nfs/dbraw/zinc/57/31/73/1202573173.db2.gz FBHDHMSHTYCJEZ-VIBWXPCQSA-N 0 1 322.408 3.063 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H]1CC=CCC1 ZINC001707151982 1202574694 /nfs/dbraw/zinc/57/46/94/1202574694.db2.gz DARLORVXNZWULW-BMFZPTHFSA-N 0 1 310.869 3.312 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001707397511 1202582513 /nfs/dbraw/zinc/58/25/13/1202582513.db2.gz PLFGJVXAJDGMNV-UHFFFAOYSA-N 0 1 319.474 3.304 20 30 DGEDMN C#C[C@@H](CC)NCc1ccc(OC(F)F)cc1OC(F)F ZINC000229878465 1202596915 /nfs/dbraw/zinc/59/69/15/1202596915.db2.gz KVPQLWSRKHVVQZ-JTQLQIEISA-N 0 1 305.271 3.391 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001713053012 1202612887 /nfs/dbraw/zinc/61/28/87/1202612887.db2.gz UNOMSRDFHLZQIJ-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2(CC(C)C)CCC2)CC1 ZINC001713054529 1202613048 /nfs/dbraw/zinc/61/30/48/1202613048.db2.gz JLUUOCAVKORRKA-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC[C@@H]2CCc3ccccc32)CC1 ZINC001713056456 1202613245 /nfs/dbraw/zinc/61/32/45/1202613245.db2.gz ATUIQEYLYGBMSZ-SFHVURJKSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cc(C3CC3)on2)CC1 ZINC001713101047 1202617262 /nfs/dbraw/zinc/61/72/62/1202617262.db2.gz LUXLXPXRYSKZPX-UHFFFAOYSA-N 0 1 317.433 3.055 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001713101652 1202617321 /nfs/dbraw/zinc/61/73/21/1202617321.db2.gz ZIOTWVWBOBYTJQ-QXAKKESOSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCC(C)=C(C)C1)C1CC1 ZINC001713112754 1202618252 /nfs/dbraw/zinc/61/82/52/1202618252.db2.gz YYVZUNNMDJPPRP-CVEARBPZSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CC2CCC1CC2)C1CC1 ZINC001713126305 1202619392 /nfs/dbraw/zinc/61/93/92/1202619392.db2.gz FDXMZNUARALNHE-BCAXMMLOSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CC2CCC1CC2)C1CC1 ZINC001713126307 1202619449 /nfs/dbraw/zinc/61/94/49/1202619449.db2.gz FDXMZNUARALNHE-FPCDFSMTSA-N 0 1 310.869 3.050 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](CC)C(C)(C)C ZINC001713143903 1202621630 /nfs/dbraw/zinc/62/16/30/1202621630.db2.gz GOSSQIUVIWQCKI-YESZJQIVSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)C(F)(F)F ZINC001713144094 1202621685 /nfs/dbraw/zinc/62/16/85/1202621685.db2.gz JGENCBGVHJVLLZ-BETUJISGSA-N 0 1 318.383 3.216 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(C)(C)CC(F)F)C1 ZINC001713148769 1202622184 /nfs/dbraw/zinc/62/21/84/1202622184.db2.gz LTTYQIQAASKCBF-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN CCC(=CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1)CC ZINC001713190321 1202625522 /nfs/dbraw/zinc/62/55/22/1202625522.db2.gz YWZXQWSIPARLNW-HDICACEKSA-N 0 1 311.429 3.041 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](CC)CC(F)(F)F ZINC001713257277 1202632368 /nfs/dbraw/zinc/63/23/68/1202632368.db2.gz YWMCGUYNSZZHKG-QWHCGFSZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2conc2C)C1 ZINC001713277680 1202634691 /nfs/dbraw/zinc/63/46/91/1202634691.db2.gz LHOVEAARUSLWAS-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1cc(F)ccc1Cl ZINC001713303701 1202637674 /nfs/dbraw/zinc/63/76/74/1202637674.db2.gz CUHPEULGWKRWFG-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(Cl)c1C ZINC001713312739 1202638267 /nfs/dbraw/zinc/63/82/67/1202638267.db2.gz QQBOSHJZRWIKAK-SNVBAGLBSA-N 0 1 301.217 3.109 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001713503218 1202661498 /nfs/dbraw/zinc/66/14/98/1202661498.db2.gz MZFPBSWMQCVCNT-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC001713512156 1202662780 /nfs/dbraw/zinc/66/27/80/1202662780.db2.gz IBBYRCWLKJTUHF-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN[C@H](C)c1cccc(Cl)c1F ZINC001713590273 1202672716 /nfs/dbraw/zinc/67/27/16/1202672716.db2.gz YKNOQEMBWSRACV-CYBMUJFWSA-N 0 1 324.827 3.248 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CC[C@@H]1c1ccc(F)cc1 ZINC001713618209 1202673493 /nfs/dbraw/zinc/67/34/93/1202673493.db2.gz QDMRKBONCLFAJS-HZPDHXFCSA-N 0 1 324.827 3.120 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)Cc1ccc(C)cc1)c1ccccc1 ZINC001713700038 1202678522 /nfs/dbraw/zinc/67/85/22/1202678522.db2.gz DJTDBMSWFMEMCZ-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc2occc2s1)c1ccccc1 ZINC001713700788 1202678590 /nfs/dbraw/zinc/67/85/90/1202678590.db2.gz HUDWOGOVNNZIQE-CQSZACIVSA-N 0 1 324.405 3.188 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1CC(C)C)c1ccccc1 ZINC001713701906 1202678692 /nfs/dbraw/zinc/67/86/92/1202678692.db2.gz QDQHJDSVBOKITN-QRVBRYPASA-N 0 1 312.457 3.139 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(Cl)cc1)c1ccccc1 ZINC001713703919 1202678887 /nfs/dbraw/zinc/67/88/87/1202678887.db2.gz OQKAPELZIARLCA-KRWDZBQOSA-N 0 1 312.800 3.034 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@]1(C)CC=CCC1)c1ccccc1 ZINC001713702926 1202678905 /nfs/dbraw/zinc/67/89/05/1202678905.db2.gz RTGPAYQFTJISMO-UYAOXDASSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(C)c2F)C1 ZINC001713715900 1202680691 /nfs/dbraw/zinc/68/06/91/1202680691.db2.gz GBAHBTOYARUBRF-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001713720718 1202681254 /nfs/dbraw/zinc/68/12/54/1202681254.db2.gz BPMUKXLNFQTEKG-UONOGXRCSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3[nH]ccc32)C1 ZINC001713720258 1202681260 /nfs/dbraw/zinc/68/12/60/1202681260.db2.gz RNBGORXXEAWTQU-HNNXBMFYSA-N 0 1 311.429 3.280 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(Cl)cc2)C1 ZINC001713721000 1202681699 /nfs/dbraw/zinc/68/16/99/1202681699.db2.gz HPPBOQPCHMQTJT-KRWDZBQOSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001713783642 1202689964 /nfs/dbraw/zinc/68/99/64/1202689964.db2.gz QTLOIHXVQKUEPI-LJQANCHMSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cccnc2C)C1 ZINC001713791007 1202691191 /nfs/dbraw/zinc/69/11/91/1202691191.db2.gz VCFVYQLSBIFMKE-FUHWJXTLSA-N 0 1 315.461 3.073 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001713843287 1202695125 /nfs/dbraw/zinc/69/51/25/1202695125.db2.gz IMGVCCRDGWPAFJ-PKOBYXMFSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2c(C)coc2C)CC1 ZINC001713853340 1202695927 /nfs/dbraw/zinc/69/59/27/1202695927.db2.gz OAURPDBYVMGIID-UHFFFAOYSA-N 0 1 316.445 3.236 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001713856480 1202696376 /nfs/dbraw/zinc/69/63/76/1202696376.db2.gz YZLZHXXAZDROCN-STQMWFEESA-N 0 1 322.399 3.223 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nocc1C ZINC001713912638 1202701693 /nfs/dbraw/zinc/70/16/93/1202701693.db2.gz FDWBTFCHWIIBAL-IYBDPMFKSA-N 0 1 317.433 3.018 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001713919255 1202702295 /nfs/dbraw/zinc/70/22/95/1202702295.db2.gz IICLKGDHVBWOHE-UHFFFAOYSA-N 0 1 318.436 3.019 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)s1 ZINC001713928303 1202703109 /nfs/dbraw/zinc/70/31/09/1202703109.db2.gz FDBNAMIZIOXZIC-GJZGRUSLSA-N 0 1 319.474 3.097 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H](C)C2CCCCC2)C1 ZINC001714017628 1202730033 /nfs/dbraw/zinc/73/00/33/1202730033.db2.gz CGBAWSJSSBVJFR-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2cnc(C)s2)C1 ZINC001714045977 1202748959 /nfs/dbraw/zinc/74/89/59/1202748959.db2.gz VJSBGDSIPMASQU-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1nsc2ccccc12 ZINC001714061591 1202758079 /nfs/dbraw/zinc/75/80/79/1202758079.db2.gz DRKXNQPQBUKRMO-CYBMUJFWSA-N 0 1 317.458 3.247 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(C3CC3)CC2)C1 ZINC001714150586 1202805276 /nfs/dbraw/zinc/80/52/76/1202805276.db2.gz MXXAZQWBMOHVBJ-KGLIPLIRSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1ccc(Cl)cc1F ZINC001714225511 1202838736 /nfs/dbraw/zinc/83/87/36/1202838736.db2.gz MDKIYWVZKLKDKI-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1ccc(Cl)cc1F ZINC001714232897 1202842207 /nfs/dbraw/zinc/84/22/07/1202842207.db2.gz OHSNWAIFDXYZRL-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1cc(F)ccc1OC ZINC001714269036 1202856165 /nfs/dbraw/zinc/85/61/65/1202856165.db2.gz CJYAFARCYKKPHW-SCLBCKFNSA-N 0 1 322.424 3.031 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC/C=C/c1ccccc1 ZINC001714274714 1202859908 /nfs/dbraw/zinc/85/99/08/1202859908.db2.gz VZXRMXLMOXCDAC-WCLQSUPFSA-N 0 1 306.837 3.327 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C1(CC=C)CCCCC1)CC2 ZINC001714523833 1202977208 /nfs/dbraw/zinc/97/72/08/1202977208.db2.gz RBTKUDJZONWBPU-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001714615477 1203049637 /nfs/dbraw/zinc/04/96/37/1203049637.db2.gz WVJMWXANWIEUSZ-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(Cl)c1C ZINC001714624161 1203059000 /nfs/dbraw/zinc/05/90/00/1203059000.db2.gz LPEMQISTECSFNX-LLVKDONJSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C/C=C/Cc1ccccc1 ZINC001714657888 1203081105 /nfs/dbraw/zinc/08/11/05/1203081105.db2.gz YDPQKEODMHTPAP-KXPUMZMLSA-N 0 1 320.864 3.364 20 30 DGEDMN C=C(C)CCC(=O)NCCN(Cc1cccc(F)c1)C1CC1 ZINC001714666212 1203090514 /nfs/dbraw/zinc/09/05/14/1203090514.db2.gz IDZWMPFIMQFKGO-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN(CCNC(=O)[C@]1(C)CCC(C)=C(C)C1)C1CC1 ZINC001714675812 1203095613 /nfs/dbraw/zinc/09/56/13/1203095613.db2.gz LVVOGDWOUGRDHR-LJQANCHMSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2ncc(C)s2)CCC1 ZINC001714744972 1203115745 /nfs/dbraw/zinc/11/57/45/1203115745.db2.gz GBGUZTUITWYAEZ-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1cccc(F)c1F ZINC001714764638 1203120900 /nfs/dbraw/zinc/12/09/00/1203120900.db2.gz KMIJYIJYGHCRQE-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CCC(CC)CC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001714773482 1203123191 /nfs/dbraw/zinc/12/31/91/1203123191.db2.gz MGFRYCYJEFDLOJ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)c1ccccc1)c1ccccc1 ZINC001714843649 1203148244 /nfs/dbraw/zinc/14/82/44/1203148244.db2.gz FBVCXFMYKDCIBJ-XLIONFOSSA-N 0 1 320.436 3.261 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H](C)c1ccccc1 ZINC001714845444 1203149180 /nfs/dbraw/zinc/14/91/80/1203149180.db2.gz MUNLBOCQLWMTPZ-QRQLOZEOSA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccoc1CCC)c1ccccc1 ZINC001714850262 1203150884 /nfs/dbraw/zinc/15/08/84/1203150884.db2.gz UGGYAAURYMMRHO-SFHVURJKSA-N 0 1 324.424 3.316 20 30 DGEDMN C#CCCCC(=O)N(CCC)[C@H]1CCN(Cc2ccsc2)C1 ZINC001714854901 1203155262 /nfs/dbraw/zinc/15/52/62/1203155262.db2.gz IDIAUAXBAYSIRN-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C2CCC3(CC3)CC2)C1 ZINC001714856760 1203156279 /nfs/dbraw/zinc/15/62/79/1203156279.db2.gz RVIMZMVDUDFYSG-SFHVURJKSA-N 0 1 316.489 3.293 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCCc2cccs2)C1 ZINC001714858764 1203158482 /nfs/dbraw/zinc/15/84/82/1203158482.db2.gz DRRZKQWRHVJGFV-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccc(F)s2)C1 ZINC001714859055 1203160009 /nfs/dbraw/zinc/16/00/09/1203160009.db2.gz BBLPNZVTBGDXHE-CYBMUJFWSA-N 0 1 310.438 3.390 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(CCCCC(F)(F)F)C1 ZINC001714920228 1203199987 /nfs/dbraw/zinc/19/99/87/1203199987.db2.gz MPUYZTGMRFRHTO-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2cc3ccccc3o2)C1 ZINC001714973190 1203222171 /nfs/dbraw/zinc/22/21/71/1203222171.db2.gz VIULXHOJBGHUTM-UHFFFAOYSA-N 0 1 312.413 3.337 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)ccc1C ZINC001714988771 1203227090 /nfs/dbraw/zinc/22/70/90/1203227090.db2.gz QHZCKPBMILHIJV-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001715038188 1203247805 /nfs/dbraw/zinc/24/78/05/1203247805.db2.gz JZFLSKMRIYPWNT-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001715046402 1203253103 /nfs/dbraw/zinc/25/31/03/1203253103.db2.gz RBOPVMQGFDKIOF-GGPKGHCWSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(Cl)c(F)c1 ZINC001715076397 1203265008 /nfs/dbraw/zinc/26/50/08/1203265008.db2.gz OCEHAIFYRPFFDG-NSHDSACASA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1c(F)cccc1F ZINC001715209125 1203296426 /nfs/dbraw/zinc/29/64/26/1203296426.db2.gz JRUHGCHHTBLQGF-CQSZACIVSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C(C)(C)CC(C)C)C1 ZINC001715289267 1203307557 /nfs/dbraw/zinc/30/75/57/1203307557.db2.gz CCBHKSUDLVLVAB-IAGOWNOFSA-N 0 1 324.509 3.230 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](CCCC)C(C)C)CC2 ZINC001715315586 1203313977 /nfs/dbraw/zinc/31/39/77/1203313977.db2.gz BQQKFCWSEPQIAV-GOSISDBHSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc2ncccc21 ZINC001715353025 1203322118 /nfs/dbraw/zinc/32/21/18/1203322118.db2.gz NVEIMXXORPUTAE-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(C(F)F)CCCC1 ZINC001715362420 1203325319 /nfs/dbraw/zinc/32/53/19/1203325319.db2.gz WQOUKVLYAJIPRF-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](F)CC1CCCCC1 ZINC001715374387 1203328217 /nfs/dbraw/zinc/32/82/17/1203328217.db2.gz WUFAEXUKPJOQEB-DZGCQCFKSA-N 0 1 318.864 3.484 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CCNCc1cscn1 ZINC001715445760 1203342049 /nfs/dbraw/zinc/34/20/49/1203342049.db2.gz OSXJZIFQECIWRI-INIZCTEOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001715465496 1203345377 /nfs/dbraw/zinc/34/53/77/1203345377.db2.gz VVOHLIIGWAYPIH-FVQHAEBGSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1cccc(F)c1 ZINC001715531281 1203363185 /nfs/dbraw/zinc/36/31/85/1203363185.db2.gz ZQGRNYVHGVKBSJ-GOSISDBHSA-N 0 1 306.425 3.318 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001715543597 1203368290 /nfs/dbraw/zinc/36/82/90/1203368290.db2.gz IJIURJALDONDKD-IRXDYDNUSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCN[C@@H](C)c2ncc(C)o2)CC1 ZINC001715675928 1203379917 /nfs/dbraw/zinc/37/99/17/1203379917.db2.gz DUNWDDLHDAXOGF-HIFRSBDPSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)C=C(CC)CC ZINC001715725326 1203384730 /nfs/dbraw/zinc/38/47/30/1203384730.db2.gz OTCUCXDTVTVWHL-UHFFFAOYSA-N 0 1 317.271 3.080 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(F)c(C)cc1F ZINC001715739821 1203386345 /nfs/dbraw/zinc/38/63/45/1203386345.db2.gz OOAANQHOTRTTBK-UHFFFAOYSA-N 0 1 316.779 3.077 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCC=C(Cl)Cl ZINC001715874566 1203397499 /nfs/dbraw/zinc/39/74/99/1203397499.db2.gz BZUBPAORNQKFIP-AATRIKPKSA-N 0 1 305.249 3.170 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(CCCC)cc1 ZINC001715887022 1203401025 /nfs/dbraw/zinc/40/10/25/1203401025.db2.gz GUAZIUJEIQMXEI-UHFFFAOYSA-N 0 1 300.446 3.056 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001715924752 1203405118 /nfs/dbraw/zinc/40/51/18/1203405118.db2.gz OJYKOBXNWSKDLD-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2cnc(C)o2)CCCCC1 ZINC001715963394 1203412160 /nfs/dbraw/zinc/41/21/60/1203412160.db2.gz ZSBVMXYCNGQOBG-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001715968261 1203413171 /nfs/dbraw/zinc/41/31/71/1203413171.db2.gz OWEWVKVBCCHRMP-HNNXBMFYSA-N 0 1 320.864 3.366 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001715979845 1203414990 /nfs/dbraw/zinc/41/49/90/1203414990.db2.gz XETQZXVVTIMHHL-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001715991489 1203417412 /nfs/dbraw/zinc/41/74/12/1203417412.db2.gz MXMVAXJEVBYITD-STQMWFEESA-N 0 1 324.852 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1cccc(F)c1F ZINC001716234054 1203452414 /nfs/dbraw/zinc/45/24/14/1203452414.db2.gz OTXIRSWFERDJKY-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1ccccc1F ZINC001716299052 1203457998 /nfs/dbraw/zinc/45/79/98/1203457998.db2.gz GPFMUGXTLINGRO-BQYQJAHWSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)c1ccccc1C ZINC001716414976 1203469262 /nfs/dbraw/zinc/46/92/62/1203469262.db2.gz ZDKCEMCWJRWHCC-DZGCQCFKSA-N 0 1 308.853 3.335 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)CC1=CCCCC1 ZINC001716431042 1203472344 /nfs/dbraw/zinc/47/23/44/1203472344.db2.gz BNHMGAZAQWWQEG-HNNXBMFYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1oc(CCC)nc1C ZINC001716447373 1203475435 /nfs/dbraw/zinc/47/54/35/1203475435.db2.gz DPOLIMOEGYROSS-ZDUSSCGKSA-N 0 1 321.465 3.132 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1cccc(C(C)C)n1 ZINC001716481621 1203485848 /nfs/dbraw/zinc/48/58/48/1203485848.db2.gz UJNMXDLIBSMMNO-INIZCTEOSA-N 0 1 317.477 3.498 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001716494861 1203490804 /nfs/dbraw/zinc/49/08/04/1203490804.db2.gz LACINKWQWTVNSS-BHIYHBOVSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccc(C)cc1)C1CC1 ZINC001716614851 1203506617 /nfs/dbraw/zinc/50/66/17/1203506617.db2.gz FKTHSYQCFRGVTI-KRWDZBQOSA-N 0 1 320.864 3.165 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@]1(C)CC=C(C)CC1)C1CC1 ZINC001716631298 1203509493 /nfs/dbraw/zinc/50/94/93/1203509493.db2.gz JDRKEQHEBKPQBE-NVXWUHKLSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1ncsc1C(C)C ZINC001716668499 1203519930 /nfs/dbraw/zinc/51/99/30/1203519930.db2.gz VCRDIEHTTZLTGY-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001716690536 1203525589 /nfs/dbraw/zinc/52/55/89/1203525589.db2.gz IKSIMLQDMILCAQ-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001716743521 1203535456 /nfs/dbraw/zinc/53/54/56/1203535456.db2.gz WSVXXRULAXTZCQ-IBGZPJMESA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001716744742 1203535769 /nfs/dbraw/zinc/53/57/69/1203535769.db2.gz NVKWFNUGFCJLJE-ZDUSSCGKSA-N 0 1 319.449 3.083 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001716778425 1203541351 /nfs/dbraw/zinc/54/13/51/1203541351.db2.gz SFTKULFEGKAGGX-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C(C)(C)CC(C)C ZINC001716788044 1203542711 /nfs/dbraw/zinc/54/27/11/1203542711.db2.gz TUKQQYGEWNNXJF-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCCCCCC(=O)NC1(C)CCN(C[C@@H](F)CC)CC1 ZINC001716796685 1203544352 /nfs/dbraw/zinc/54/43/52/1203544352.db2.gz DUVPMDGANJNVGB-INIZCTEOSA-N 0 1 310.457 3.289 20 30 DGEDMN C#CCN(C(=O)CCCC=C)C1CCN(C/C=C/Cl)CC1 ZINC001716809943 1203545665 /nfs/dbraw/zinc/54/56/65/1203545665.db2.gz XXJLBUFCTRICDW-YRNVUSSQSA-N 0 1 308.853 3.021 20 30 DGEDMN CC(C)CCC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001716835468 1203550527 /nfs/dbraw/zinc/55/05/27/1203550527.db2.gz WMNOJOHWBHSJQK-LJQANCHMSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001716889072 1203562143 /nfs/dbraw/zinc/56/21/43/1203562143.db2.gz VQTBKMSBLDMBJV-GJZGRUSLSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001716889073 1203562257 /nfs/dbraw/zinc/56/22/57/1203562257.db2.gz VQTBKMSBLDMBJV-HUUCEWRRSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CCC2CCC2)C1 ZINC001716910052 1203567504 /nfs/dbraw/zinc/56/75/04/1203567504.db2.gz CFIHXGAHSHZAIL-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)CCCC)C1 ZINC001716910976 1203567796 /nfs/dbraw/zinc/56/77/96/1203567796.db2.gz ONGCOUMYCUAJNK-LSDHHAIUSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCc1ccccc1CN(C)CC#CC ZINC001717113387 1203585766 /nfs/dbraw/zinc/58/57/66/1203585766.db2.gz LLHMRANVYVOJQK-ATVHPVEESA-N 0 1 310.441 3.280 20 30 DGEDMN CC#CCCCC(=O)NCc1ccc([C@@H](C)NCCF)cc1 ZINC001717116835 1203587053 /nfs/dbraw/zinc/58/70/53/1203587053.db2.gz YDAZKJFJIJSNMA-OAHLLOKOSA-N 0 1 304.409 3.117 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CC3CCCC3)cccc2C1 ZINC001717142770 1203589946 /nfs/dbraw/zinc/58/99/46/1203589946.db2.gz QSHLGQLEVVAJKV-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)Cc3ccccc3)cccc2C1 ZINC001717143859 1203590231 /nfs/dbraw/zinc/59/02/31/1203590231.db2.gz ZIHTWYQPEWHNTE-UHFFFAOYSA-N 0 1 320.436 3.090 20 30 DGEDMN Cc1ccoc1C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001717203971 1203599915 /nfs/dbraw/zinc/59/99/15/1203599915.db2.gz LIZPXUKVDKFWOD-UHFFFAOYSA-N 0 1 324.424 3.034 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@]2(CCN(CC(=C)Cl)C2)C1 ZINC001717246398 1203609422 /nfs/dbraw/zinc/60/94/22/1203609422.db2.gz LOSVTXDHFZDGSZ-SFHVURJKSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@]2(CCN(CCF)C2)C1 ZINC001717247167 1203609617 /nfs/dbraw/zinc/60/96/17/1203609617.db2.gz YDCYXTNBXWOFKT-GOSISDBHSA-N 0 1 308.441 3.039 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H]1CCCc2ccccc21 ZINC001717375127 1203630544 /nfs/dbraw/zinc/63/05/44/1203630544.db2.gz URQYPYDEMPHEOP-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CCC1CCC1 ZINC001717404590 1203637341 /nfs/dbraw/zinc/63/73/41/1203637341.db2.gz LOEATVCTEHOOBU-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001717474579 1203656100 /nfs/dbraw/zinc/65/61/00/1203656100.db2.gz PFRLPMHNMLSGCW-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001717489873 1203662472 /nfs/dbraw/zinc/66/24/72/1203662472.db2.gz BESWPUCGIOFZIL-AEFFLSMTSA-N 0 1 306.494 3.299 20 30 DGEDMN CC(C)C(=O)NC1(CNCc2ccccc2C#N)CCCCC1 ZINC001717520851 1203670310 /nfs/dbraw/zinc/67/03/10/1203670310.db2.gz DSVRHOXXEVWNJG-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cnccc1C ZINC001717528769 1203672581 /nfs/dbraw/zinc/67/25/81/1203672581.db2.gz FYXONCBEEGJGHM-AWEZNQCLSA-N 0 1 309.841 3.021 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001717551260 1203679897 /nfs/dbraw/zinc/67/98/97/1203679897.db2.gz PRIAWCOINVXYNF-CQSZACIVSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)C[C@@H](C)CCC)C2)C1 ZINC001717571465 1203683213 /nfs/dbraw/zinc/68/32/13/1203683213.db2.gz AZOJAPOLGFMZTP-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](NC(=O)c2ccccc2O)C(C)(C)C1 ZINC001717575536 1203683267 /nfs/dbraw/zinc/68/32/67/1203683267.db2.gz GJTIADOJWMHOKS-WMLDXEAASA-N 0 1 316.445 3.187 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC001717628783 1203691511 /nfs/dbraw/zinc/69/15/11/1203691511.db2.gz CUJHKHJJINELRR-HZPDHXFCSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)sc2C)[C@@H]1C ZINC001717793214 1203712933 /nfs/dbraw/zinc/71/29/33/1203712933.db2.gz FOPDWJOAEFKJGG-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001717808486 1203715035 /nfs/dbraw/zinc/71/50/35/1203715035.db2.gz JGHCFVIICMXSJZ-OLZOCXBDSA-N 0 1 317.260 3.078 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCCC(F)(F)F)C1 ZINC001717824900 1203716740 /nfs/dbraw/zinc/71/67/40/1203716740.db2.gz YBMVANYLBFZQMT-WDEREUQCSA-N 0 1 312.763 3.051 20 30 DGEDMN CC(C)=CC(=O)N[C@@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001717834374 1203718504 /nfs/dbraw/zinc/71/85/04/1203718504.db2.gz SCCPDYNQZRBONF-TZIWHRDSSA-N 0 1 322.452 3.118 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001718027146 1203744437 /nfs/dbraw/zinc/74/44/37/1203744437.db2.gz ANNHYTMXHCJUMZ-HNNXBMFYSA-N 0 1 318.383 3.289 20 30 DGEDMN Cc1ccc(CN2CC[C@H]2CNC(=O)C#CC(C)(C)C)c(C)c1 ZINC001723423973 1203970011 /nfs/dbraw/zinc/97/00/11/1203970011.db2.gz LRBVVSZJOQCBQL-SFHVURJKSA-N 0 1 312.457 3.043 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCN1CC1=CCCCC1 ZINC001723430027 1203970477 /nfs/dbraw/zinc/97/04/77/1203970477.db2.gz UPROJCINIXQLEV-SFHVURJKSA-N 0 1 302.462 3.446 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001723429174 1203970640 /nfs/dbraw/zinc/97/06/40/1203970640.db2.gz IORHOWYLOHQKJZ-IBGZPJMESA-N 0 1 312.457 3.454 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001723429235 1203970782 /nfs/dbraw/zinc/97/07/82/1203970782.db2.gz JIIPIHJPIDLFIK-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1cccc(CC)c1 ZINC001723446558 1203973124 /nfs/dbraw/zinc/97/31/24/1203973124.db2.gz LZRUABWYARFJPI-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1[C@H]1CCc2ccccc21 ZINC001723461625 1203975464 /nfs/dbraw/zinc/97/54/64/1203975464.db2.gz NLMRWMWIAXTUQV-WMZOPIPTSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2c(C)cc(C)cc2C)CC1 ZINC001723555219 1203982351 /nfs/dbraw/zinc/98/23/51/1203982351.db2.gz OTMUCPVYVJVEQN-UHFFFAOYSA-N 0 1 320.864 3.145 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)cc2Cl)CC1 ZINC001723561271 1203982764 /nfs/dbraw/zinc/98/27/64/1203982764.db2.gz KMOSKKTWLQLHIY-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C=CCCCC(=O)N1CC[C@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001723680850 1203988525 /nfs/dbraw/zinc/98/85/25/1203988525.db2.gz RKPNAMXHOMFFNE-XBMZPMPPSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001723741067 1203991566 /nfs/dbraw/zinc/99/15/66/1203991566.db2.gz ICQJLIDKBYJBHF-RLGIIYIFSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2nccc3ccccc32)C1 ZINC001723791168 1203993360 /nfs/dbraw/zinc/99/33/60/1203993360.db2.gz JRKMLVJCPINVJA-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001723802374 1203993557 /nfs/dbraw/zinc/99/35/57/1203993557.db2.gz LAHMBWYEHXUOLS-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc2[nH]ccc21 ZINC001723939634 1203999171 /nfs/dbraw/zinc/99/91/71/1203999171.db2.gz ICQCLSFXYWBYAG-ZDUSSCGKSA-N 0 1 317.820 3.115 20 30 DGEDMN C#CCN(C(=O)CCCCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001724029392 1204002463 /nfs/dbraw/zinc/00/24/63/1204002463.db2.gz LCGRDEPSXSREHY-INIZCTEOSA-N 0 1 310.457 3.241 20 30 DGEDMN C#CCN(C(=O)CC(C)=C(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001724053650 1204002655 /nfs/dbraw/zinc/00/26/55/1204002655.db2.gz QFDPTQPPEKACOY-RMKNXTFCSA-N 0 1 322.880 3.412 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NCC#CC)c2ccccc2CC)C1 ZINC001724511659 1204026765 /nfs/dbraw/zinc/02/67/65/1204026765.db2.gz KPUSVSHLXASJSH-IBGZPJMESA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@@H]3CC[C@@H](C)C3)cccc2C1 ZINC001724777004 1204045488 /nfs/dbraw/zinc/04/54/88/1204045488.db2.gz MPNZDHOLJIDZBS-IAGOWNOFSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)CCC(F)(F)F)CC1 ZINC001724832274 1204050180 /nfs/dbraw/zinc/05/01/80/1204050180.db2.gz FZYVHXBNRHWNNX-LLVKDONJSA-N 0 1 312.763 3.052 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1scnc1C ZINC001724857422 1204059108 /nfs/dbraw/zinc/05/91/08/1204059108.db2.gz OJZJQHAFLVXKNU-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C(C)=C/CC)C2 ZINC001724896508 1204064686 /nfs/dbraw/zinc/06/46/86/1204064686.db2.gz NBYBZHWSDFLLPF-VNOAQLAMSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NC/C(Cl)=C\Cl)[C@@H](C)C1 ZINC001724943772 1204068503 /nfs/dbraw/zinc/06/85/03/1204068503.db2.gz LPIAUYVWNWIVKB-HODSQTJBSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001724943778 1204068506 /nfs/dbraw/zinc/06/85/06/1204068506.db2.gz LPIAUYVWNWIVKB-RACGTCIUSA-N 0 1 305.249 3.098 20 30 DGEDMN CC(C)CCCC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001725105654 1204095691 /nfs/dbraw/zinc/09/56/91/1204095691.db2.gz HDQXLLGYZDUDAT-JKSUJKDBSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cnc2ccccc2c1 ZINC001725106883 1204096180 /nfs/dbraw/zinc/09/61/80/1204096180.db2.gz JQAFHDRAOGELSF-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1ccc(CC)cc1 ZINC001725123502 1204098797 /nfs/dbraw/zinc/09/87/97/1204098797.db2.gz CPJRDWRCAIGIPX-CABCVRRESA-N 0 1 322.880 3.417 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCC(NCc2cc(C)on2)CC1 ZINC001725129480 1204099922 /nfs/dbraw/zinc/09/99/22/1204099922.db2.gz CDHHNXIFGNVEFZ-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001725173220 1204110249 /nfs/dbraw/zinc/11/02/49/1204110249.db2.gz AUSAIQTYNALRQC-CVEARBPZSA-N 0 1 313.445 3.097 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cc1ccc(CCCC)cc1 ZINC001725175041 1204111564 /nfs/dbraw/zinc/11/15/64/1204111564.db2.gz UEKAYGQHWQRHOV-KRWDZBQOSA-N 0 1 314.473 3.032 20 30 DGEDMN CCCC[C@H](CNCc1cc(C#N)ccc1F)NC(=O)C(C)C ZINC001725227353 1204121987 /nfs/dbraw/zinc/12/19/87/1204121987.db2.gz GMLVOXPDPCCMPV-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725261831 1204129815 /nfs/dbraw/zinc/12/98/15/1204129815.db2.gz ZQLAJOBYODADHO-IFXJQAMLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)Cc2ccc(C)cc2)C(C)(C)C1 ZINC001725301599 1204135472 /nfs/dbraw/zinc/13/54/72/1204135472.db2.gz YBVHSGBFXOLNHL-SJLPKXTDSA-N 0 1 314.473 3.186 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC001725346702 1204138897 /nfs/dbraw/zinc/13/88/97/1204138897.db2.gz WZXYSMXWJDNCDH-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C1CC1)C1CC1 ZINC001725470976 1204154534 /nfs/dbraw/zinc/15/45/34/1204154534.db2.gz RBXHDMQGZQAXHB-OAHLLOKOSA-N 0 1 310.869 3.002 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1Cl ZINC001725475632 1204155446 /nfs/dbraw/zinc/15/54/46/1204155446.db2.gz FTLWMAYNOXMXDZ-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1nsc2ccccc21 ZINC001725491646 1204158177 /nfs/dbraw/zinc/15/81/77/1204158177.db2.gz UEGBOXOGOJHBPL-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1nsc2ccccc21 ZINC001725491647 1204158412 /nfs/dbraw/zinc/15/84/12/1204158412.db2.gz UEGBOXOGOJHBPL-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1ccn(C(C)C)n1 ZINC001725492273 1204158772 /nfs/dbraw/zinc/15/87/72/1204158772.db2.gz NGDGJEJHCLQLAJ-HNNXBMFYSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(F)ccc1CC ZINC001725495126 1204158995 /nfs/dbraw/zinc/15/89/95/1204158995.db2.gz NEKJYJKSFOWYKX-LBPRGKRZSA-N 0 1 312.816 3.191 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1cccc(C(C)C)n1 ZINC001725501215 1204160015 /nfs/dbraw/zinc/16/00/15/1204160015.db2.gz PXHYGAOGQWRKLX-HNNXBMFYSA-N 0 1 317.477 3.354 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(C)c1Cl ZINC001725515486 1204163768 /nfs/dbraw/zinc/16/37/68/1204163768.db2.gz HVRGBRFKMBVAJU-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN Cc1cc(CN2CCC[C@@H](NC(=O)C#CC(C)C)[C@@H]2C)cs1 ZINC001725614409 1204180102 /nfs/dbraw/zinc/18/01/02/1204180102.db2.gz AZLUBQMMNVMENK-DOTOQJQBSA-N 0 1 318.486 3.185 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC(F)(F)C1)C(C)(C)C ZINC001725741956 1204209148 /nfs/dbraw/zinc/20/91/48/1204209148.db2.gz TXEWAXCSYUGXRJ-VXGBXAGGSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725798854 1204224345 /nfs/dbraw/zinc/22/43/45/1204224345.db2.gz RAAZUQJIVZNTHT-GDBMZVCRSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H]1CCC(F)(F)C1 ZINC001731307679 1204368582 /nfs/dbraw/zinc/36/85/82/1204368582.db2.gz KWRCZMRRJFMIAI-CYBMUJFWSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H]1CCC(F)(F)C1 ZINC001731307680 1204368808 /nfs/dbraw/zinc/36/88/08/1204368808.db2.gz KWRCZMRRJFMIAI-ZDUSSCGKSA-N 0 1 322.827 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001731373755 1204386762 /nfs/dbraw/zinc/38/67/62/1204386762.db2.gz ONZQVCIFNHYQIO-CJNGLKHVSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001731382909 1204390319 /nfs/dbraw/zinc/39/03/19/1204390319.db2.gz NGJMPZYVNUTQIS-AWEZNQCLSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H](NCC(=C)Cl)C2CC2)CCCCC1 ZINC001731417059 1204405205 /nfs/dbraw/zinc/40/52/05/1204405205.db2.gz VLXSPABAPFWFDQ-MRXNPFEDSA-N 0 1 322.880 3.197 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C)(C)c1ccccc1C ZINC001731542924 1204453784 /nfs/dbraw/zinc/45/37/84/1204453784.db2.gz SDGUBSBUCWIAAA-QGZVFWFLSA-N 0 1 314.473 3.429 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1coc2ccc(C)cc12 ZINC001731594996 1204476400 /nfs/dbraw/zinc/47/64/00/1204476400.db2.gz KSBCNOMUUNDSOY-CYBMUJFWSA-N 0 1 320.820 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1nc2ccccc2cc1C ZINC001731605734 1204479929 /nfs/dbraw/zinc/47/99/29/1204479929.db2.gz HZLOVRFHMABRSK-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001731612812 1204481946 /nfs/dbraw/zinc/48/19/46/1204481946.db2.gz XSTQTAOSJKWBSI-NEPJUHHUSA-N 0 1 310.388 3.332 20 30 DGEDMN Cc1cccc(C(=O)NC[C@@H](C)NCc2ccccc2C#N)c1C ZINC001731636622 1204491983 /nfs/dbraw/zinc/49/19/83/1204491983.db2.gz QHJMJDPTFXQFHO-OAHLLOKOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2ccccn2)C1 ZINC001731715074 1204518140 /nfs/dbraw/zinc/51/81/40/1204518140.db2.gz SWJIPFFLGJWFBI-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1csc(Cl)n1 ZINC001731728138 1204525485 /nfs/dbraw/zinc/52/54/85/1204525485.db2.gz OFAKRLYVOFXLDR-LLVKDONJSA-N 0 1 315.870 3.137 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCC1CCCCCC1 ZINC001731828854 1204589219 /nfs/dbraw/zinc/58/92/19/1204589219.db2.gz YIGXQEQTYMLURJ-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001731853668 1204602514 /nfs/dbraw/zinc/60/25/14/1204602514.db2.gz ZIMRAOXEECUFLH-CYBMUJFWSA-N 0 1 322.399 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@H](C)CCC)C1 ZINC001732045752 1204665968 /nfs/dbraw/zinc/66/59/68/1204665968.db2.gz BPRDREOXACSWNW-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2ccccc2F)CCC1 ZINC001731972735 1204638836 /nfs/dbraw/zinc/63/88/36/1204638836.db2.gz AYUWBONSOJLRLA-UHFFFAOYSA-N 0 1 324.827 3.048 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001731970854 1204638847 /nfs/dbraw/zinc/63/88/47/1204638847.db2.gz RARSITWQKRHMRD-HXUWFJFHSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2ccc(C)cc2)CCC1 ZINC001731989613 1204641184 /nfs/dbraw/zinc/64/11/84/1204641184.db2.gz PDIJGJJQXZBREK-UHFFFAOYSA-N 0 1 320.864 3.217 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](NC/C=C\Cl)c1ccccc1 ZINC001732021814 1204652391 /nfs/dbraw/zinc/65/23/91/1204652391.db2.gz IVEROWULSFAXLZ-GQPJMJRUSA-N 0 1 318.848 3.380 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)c1ccccc1 ZINC001732024255 1204653429 /nfs/dbraw/zinc/65/34/29/1204653429.db2.gz WBQNMYMLNMDJOI-IYWMVGAKSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CCCCC1(C)C)c1ccccc1 ZINC001732026774 1204654058 /nfs/dbraw/zinc/65/40/58/1204654058.db2.gz MYNWBGVUVPTLEN-QZTJIDSGSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@@H](C)c1ccco1)c1ccccc1 ZINC001732026519 1204654117 /nfs/dbraw/zinc/65/41/17/1204654117.db2.gz WRLYBLQLAUJKRO-AEFFLSMTSA-N 0 1 324.424 3.244 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C2CCC3(CC3)CC2)C1 ZINC001732038077 1204659993 /nfs/dbraw/zinc/65/99/93/1204659993.db2.gz CFUDYGHSJLTEHZ-QGZVFWFLSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cccc(CC)c2)C1 ZINC001732038397 1204660045 /nfs/dbraw/zinc/66/00/45/1204660045.db2.gz FJHHMXKRIHZLQJ-LJQANCHMSA-N 0 1 314.473 3.290 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2cccc(C)c2)C1 ZINC001732038410 1204660713 /nfs/dbraw/zinc/66/07/13/1204660713.db2.gz GPRVJGXXUIOKDG-LJQANCHMSA-N 0 1 314.473 3.427 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CCc2ccsc2)C1 ZINC001732039154 1204661741 /nfs/dbraw/zinc/66/17/41/1204661741.db2.gz MZBISDRCJASJHW-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001732110178 1204696944 /nfs/dbraw/zinc/69/69/44/1204696944.db2.gz WQSGIGGZNVJZLC-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)Cc2cccc(C3CC3)c2)C1 ZINC001732188529 1204739781 /nfs/dbraw/zinc/73/97/81/1204739781.db2.gz ZHBHJDDYNSZHLC-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN CCC(=CC(=O)NC[C@H](CC)NCc1ccccc1C#N)CC ZINC001732194635 1204743920 /nfs/dbraw/zinc/74/39/20/1204743920.db2.gz DAZUHOWGIJFQHC-SFHVURJKSA-N 0 1 313.445 3.289 20 30 DGEDMN CCC(=CC(=O)NC[C@@H](CC)NCc1ccccc1C#N)CC ZINC001732194634 1204744315 /nfs/dbraw/zinc/74/43/15/1204744315.db2.gz DAZUHOWGIJFQHC-GOSISDBHSA-N 0 1 313.445 3.289 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2c(o1)CCCC2 ZINC001732201041 1204746251 /nfs/dbraw/zinc/74/62/51/1204746251.db2.gz FHXPXMNEZJEOLT-ZDUSSCGKSA-N 0 1 310.825 3.009 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1csc(Cl)c1 ZINC001732443300 1204844093 /nfs/dbraw/zinc/84/40/93/1204844093.db2.gz RDRGDULCBKELDI-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ncc(C)cc1C ZINC001732465578 1204857518 /nfs/dbraw/zinc/85/75/18/1204857518.db2.gz DLYDSDQTHGXJRL-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ncc(C)cc1C ZINC001732465577 1204858220 /nfs/dbraw/zinc/85/82/20/1204858220.db2.gz DLYDSDQTHGXJRL-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN CC(C)C[C@@H](CNCc1ccccc1C#N)NC(=O)C(C)(C)C ZINC001732468705 1204858851 /nfs/dbraw/zinc/85/88/51/1204858851.db2.gz SZIMLVDPVVHKGK-KRWDZBQOSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCCF)C1CCCCC1 ZINC001732476619 1204865053 /nfs/dbraw/zinc/86/50/53/1204865053.db2.gz GFNKLIOXXSFMFK-AWEZNQCLSA-N 0 1 304.837 3.143 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CC(C)(F)F ZINC001732542457 1204886259 /nfs/dbraw/zinc/88/62/59/1204886259.db2.gz GAUNYLZJPYYRGQ-JQWIXIFHSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1nc(C)cs1)C(C)C ZINC001732556666 1204894866 /nfs/dbraw/zinc/89/48/66/1204894866.db2.gz BONHFNAWJGXNKV-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001732575752 1204904308 /nfs/dbraw/zinc/90/43/08/1204904308.db2.gz JXMNRJCFUWCZKH-ZDUSSCGKSA-N 0 1 310.388 3.382 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732577711 1204904846 /nfs/dbraw/zinc/90/48/46/1204904846.db2.gz YJAUJOHLVIMDGQ-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)c1ccccc1F ZINC001732800835 1204945891 /nfs/dbraw/zinc/94/58/91/1204945891.db2.gz BNEYEPGBIXFNTJ-CYBMUJFWSA-N 0 1 312.816 3.120 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001732830848 1204949683 /nfs/dbraw/zinc/94/96/83/1204949683.db2.gz NSCNSYOHHBJBOL-LKGOPFMKSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001732848047 1204951163 /nfs/dbraw/zinc/95/11/63/1204951163.db2.gz QILNQLQGDRADDR-CNZFZVQHSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cccc(Cl)c1F ZINC001732887287 1204954704 /nfs/dbraw/zinc/95/47/04/1204954704.db2.gz WCIOSVMYAWEKPA-AATRIKPKSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1ccc(F)cc1Cl ZINC001732885791 1204954774 /nfs/dbraw/zinc/95/47/74/1204954774.db2.gz MPZDJBODPQORPZ-AATRIKPKSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001732967695 1204968034 /nfs/dbraw/zinc/96/80/34/1204968034.db2.gz HPRUYCMKJHNWLC-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC1C2CC3CC(C2)CC1C3 ZINC001732982585 1204973032 /nfs/dbraw/zinc/97/30/32/1204973032.db2.gz BDVSJXRNAIYMMC-XXXXFFMBSA-N 0 1 324.896 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC1CCC(C)(C)CC1 ZINC001732984314 1204973127 /nfs/dbraw/zinc/97/31/27/1204973127.db2.gz OZNKXQIKUMLMKW-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cc(C)on2)[C@H](CC)C1 ZINC001733200088 1205040485 /nfs/dbraw/zinc/04/04/85/1205040485.db2.gz VPLLCGLUSLHBHJ-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1occ2c1CCC2 ZINC001733219928 1205050562 /nfs/dbraw/zinc/05/05/62/1205050562.db2.gz BPGFZSQTQZLMRH-INIZCTEOSA-N 0 1 316.445 3.319 20 30 DGEDMN CCCCCC(=O)NCC1(NCc2cc(C#N)ccc2F)CC1 ZINC001733238375 1205064395 /nfs/dbraw/zinc/06/43/95/1205064395.db2.gz PFOQBYPYJLZZKH-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC(C)(C)CC(F)F)CC1 ZINC001733252252 1205069498 /nfs/dbraw/zinc/06/94/98/1205069498.db2.gz OEUFMGVCYUNKAX-UHFFFAOYSA-N 0 1 308.800 3.049 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001733256078 1205070755 /nfs/dbraw/zinc/07/07/55/1205070755.db2.gz BFKNVQPWYVWZEW-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC=C)CCCCC1 ZINC001733275325 1205078515 /nfs/dbraw/zinc/07/85/15/1205078515.db2.gz FKFGILIVMLPPDY-MSOLQXFVSA-N 0 1 314.473 3.212 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CCC(C)(C)C ZINC001733276351 1205079571 /nfs/dbraw/zinc/07/95/71/1205079571.db2.gz RVFVRZGGMQXWHK-ZACQAIPSSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001733305308 1205088303 /nfs/dbraw/zinc/08/83/03/1205088303.db2.gz DWGUIJHIKJEOCE-PLNGDYQASA-N 0 1 316.420 3.338 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001733306885 1205089346 /nfs/dbraw/zinc/08/93/46/1205089346.db2.gz JOKFECXGMDIQKA-LBPRGKRZSA-N 0 1 318.383 3.216 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2cccc(C)c2)C1 ZINC001733309778 1205090405 /nfs/dbraw/zinc/09/04/05/1205090405.db2.gz GNHUVFFLVQIZCV-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001733312426 1205091035 /nfs/dbraw/zinc/09/10/35/1205091035.db2.gz FCCGHPIGWNVJHQ-AWEZNQCLSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1(C)CCN(C/C=C\Cl)CC1 ZINC001733358363 1205105285 /nfs/dbraw/zinc/10/52/85/1205105285.db2.gz IUQSYZJOJRRZMY-WDZFZDKYSA-N 0 1 310.869 3.478 20 30 DGEDMN C#CCN(C(=O)CCCC(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001733371818 1205107924 /nfs/dbraw/zinc/10/79/24/1205107924.db2.gz RDDCBWLPDBCXKZ-WDZFZDKYSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1cnoc1C)C1CCCC1 ZINC001733378029 1205110090 /nfs/dbraw/zinc/11/00/90/1205110090.db2.gz AKTZLABWOBEVFY-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)C1CCCC1 ZINC001733385455 1205112244 /nfs/dbraw/zinc/11/22/44/1205112244.db2.gz OYHQHFFUMVBJBD-BBWFWOEESA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2cccc(Cl)c2)C1 ZINC001733400993 1205118806 /nfs/dbraw/zinc/11/88/06/1205118806.db2.gz YYGHAPTZAVTUKL-KRWDZBQOSA-N 0 1 306.837 3.387 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC/C=C/c2ccccc2)C1 ZINC001733409819 1205123087 /nfs/dbraw/zinc/12/30/87/1205123087.db2.gz FZCNYPBEMLWLHV-MEJUDOHFSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CCC)CC(C)C ZINC001733604656 1205172120 /nfs/dbraw/zinc/17/21/20/1205172120.db2.gz WBYKOUUDGAOJAP-OKZBNKHCSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CCC)c1ccccc1 ZINC001733604525 1205172147 /nfs/dbraw/zinc/17/21/47/1205172147.db2.gz XFTQFIIDSIKARH-VAMGGRTRSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCc3cncs3)CCC2)CCC1 ZINC001733722156 1205194337 /nfs/dbraw/zinc/19/43/37/1205194337.db2.gz YBLWROJFLSLYFZ-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001733762041 1205202497 /nfs/dbraw/zinc/20/24/97/1205202497.db2.gz FGQABVZADLALJX-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N1CCC(C)(NCc2ncc(C(C)C)o2)CC1 ZINC001733860169 1205232876 /nfs/dbraw/zinc/23/28/76/1205232876.db2.gz RVMCPVONKOUTHI-UHFFFAOYSA-N 0 1 319.449 3.235 20 30 DGEDMN CC(C)=CC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001733893916 1205243303 /nfs/dbraw/zinc/24/33/03/1205243303.db2.gz JWEWXWMPTRNVTH-KBPBESRZSA-N 0 1 317.458 3.055 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C)cc(Cl)c1 ZINC001733933704 1205263107 /nfs/dbraw/zinc/26/31/07/1205263107.db2.gz IYHFKEKHGMAXAO-QGZVFWFLSA-N 0 1 318.848 3.208 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001733989688 1205280273 /nfs/dbraw/zinc/28/02/73/1205280273.db2.gz KWCPTRGZKZEPBO-GJZGRUSLSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2cccc(F)c2F)C1 ZINC001733989299 1205280306 /nfs/dbraw/zinc/28/03/06/1205280306.db2.gz RBOOAUJXSHYHIM-CHWSQXEVSA-N 0 1 322.399 3.304 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001733992455 1205282044 /nfs/dbraw/zinc/28/20/44/1205282044.db2.gz WXBWQUKRRGANAD-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCC(NCc2ncc(C)o2)CC1 ZINC001734011661 1205288083 /nfs/dbraw/zinc/28/80/83/1205288083.db2.gz GUCBAINNMHJAQO-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN CCCCCC(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001734027503 1205293759 /nfs/dbraw/zinc/29/37/59/1205293759.db2.gz JGZMYDWEBYAXHR-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001734064677 1205313727 /nfs/dbraw/zinc/31/37/27/1205313727.db2.gz DOTGKZHNIWDNBN-CVEARBPZSA-N 0 1 300.446 3.274 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](CCCC)CNCc1ccccc1C#N ZINC001734094196 1205320514 /nfs/dbraw/zinc/32/05/14/1205320514.db2.gz GNQSDDQIARSVHR-LUFMRPCYSA-N 0 1 313.445 3.289 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001734104286 1205325162 /nfs/dbraw/zinc/32/51/62/1205325162.db2.gz RQWYFMSCBHFVTK-UXHICEINSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001734117931 1205329090 /nfs/dbraw/zinc/32/90/90/1205329090.db2.gz VHQJKZVFROWUHI-LSDHHAIUSA-N 0 1 318.436 3.017 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(CCC)CCC3)[C@@H]2C1 ZINC001734166024 1205339133 /nfs/dbraw/zinc/33/91/33/1205339133.db2.gz RLCXWKGMFKYWHQ-QKDCVEJESA-N 0 1 310.869 3.003 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H](C)CC(C)(C)C)C2)C1 ZINC001734197229 1205342293 /nfs/dbraw/zinc/34/22/93/1205342293.db2.gz NWMMOYIXKQDSTN-KRWDZBQOSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC001734271914 1205353843 /nfs/dbraw/zinc/35/38/43/1205353843.db2.gz JREBQDSOJYKHHV-AWEZNQCLSA-N 0 1 321.490 3.168 20 30 DGEDMN C=CCCCC(=O)N1CCC(N(C)Cc2nc(C)cs2)CC1 ZINC001734271091 1205354173 /nfs/dbraw/zinc/35/41/73/1205354173.db2.gz CGMSVEOMPCGONM-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](CNCc1nccs1)C1CC1 ZINC001734296241 1205358525 /nfs/dbraw/zinc/35/85/25/1205358525.db2.gz PYQUUFHVGGBTKT-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(c2cccc(F)c2)CC1 ZINC001734347456 1205368782 /nfs/dbraw/zinc/36/87/82/1205368782.db2.gz RYTPLNAAGRJUGN-CYBMUJFWSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2cnccc2c1 ZINC001734349416 1205369312 /nfs/dbraw/zinc/36/93/12/1205369312.db2.gz NAOCONYVHYXSSJ-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc2ccncc21 ZINC001734348894 1205369345 /nfs/dbraw/zinc/36/93/45/1205369345.db2.gz IPYKVTDJCUVJGS-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(O)ccc2Cl)[C@@H]1C ZINC001734387537 1205371491 /nfs/dbraw/zinc/37/14/91/1205371491.db2.gz IOHMMJFUXYSOCH-BLLLJJGKSA-N 0 1 322.836 3.091 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2)[C@H]1C ZINC001734449459 1205378843 /nfs/dbraw/zinc/37/88/43/1205378843.db2.gz FUYPQYIDUBJPGL-WMLDXEAASA-N 0 1 304.409 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001734457053 1205380440 /nfs/dbraw/zinc/38/04/40/1205380440.db2.gz IHOOHVYQRYJXLF-DZGCQCFKSA-N 0 1 306.475 3.429 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cccnc2C)[C@H]1C ZINC001734457428 1205380707 /nfs/dbraw/zinc/38/07/07/1205380707.db2.gz JIXVLVNMWKMVSE-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](CC)CCC)CC1(C)C ZINC001734502983 1205388099 /nfs/dbraw/zinc/38/80/99/1205388099.db2.gz RCTXXNVJBRRWAU-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](CC)CCC)CC1(C)C ZINC001734502982 1205388226 /nfs/dbraw/zinc/38/82/26/1205388226.db2.gz RCTXXNVJBRRWAU-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001734597273 1205403132 /nfs/dbraw/zinc/40/31/32/1205403132.db2.gz BMVSSXSENCQMOF-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCc1ccc(CNCC#CC)cc1F ZINC001734641162 1205408874 /nfs/dbraw/zinc/40/88/74/1205408874.db2.gz DXBDXTULSDXQEV-VIZOYTHASA-N 0 1 314.404 3.077 20 30 DGEDMN C[C@H]1CN(c2nc(-c3cccs3)ccc2C#N)[C@@H](C)CN1C ZINC001164636405 719239873 /nfs/dbraw/zinc/23/98/73/719239873.db2.gz SOCAPVXJOCZCPM-STQMWFEESA-N 0 1 312.442 3.211 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(F)F)cc1F ZINC001669697829 1197471188 /nfs/dbraw/zinc/47/11/88/1197471188.db2.gz CRZKFVKMRLHVAX-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN C[C@H]1CCC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@@H]1C(=O)[O-] ZINC001589334975 953986014 /nfs/dbraw/zinc/98/60/14/953986014.db2.gz WFQHVHMCUGRSBE-BBRMVZONSA-N 0 1 300.402 3.173 20 30 DGEDMN C#CC[N@H+](CC(=O)[O-])Cc1sc2c(cccc2Cl)c1C ZINC001573319571 958473831 /nfs/dbraw/zinc/47/38/31/958473831.db2.gz DPIDZXFYVGUGPZ-UHFFFAOYSA-N 0 1 307.802 3.383 20 30 DGEDMN C#CC[N@@H+](CC(=O)[O-])Cc1sc2c(cccc2Cl)c1C ZINC001573319571 958473835 /nfs/dbraw/zinc/47/38/35/958473835.db2.gz DPIDZXFYVGUGPZ-UHFFFAOYSA-N 0 1 307.802 3.383 20 30 DGEDMN O=C([O-])c1ccccc1N[NH+]=Cc1ccccc1N1CCCC1 ZINC001317773120 960912630 /nfs/dbraw/zinc/91/26/30/960912630.db2.gz SSMBZBRBNMFOHD-UHFFFAOYSA-N 0 1 309.369 3.431 20 30 DGEDMN CC[C@@]([NH2+]Cc1cc(C#N)cs1)(C(=O)[O-])c1ccccc1 ZINC001595298692 980420792 /nfs/dbraw/zinc/42/07/92/980420792.db2.gz UFKKWHSSLJRZOR-INIZCTEOSA-N 0 1 300.383 3.099 20 30 DGEDMN C#CC[C@H]1CC[N@H+](Cc2ccc(-c3ccccc3C(=O)[O-])o2)C1 ZINC001573303733 983040226 /nfs/dbraw/zinc/04/02/26/983040226.db2.gz RHOHAZWMMROGQX-AWEZNQCLSA-N 0 1 309.365 3.490 20 30 DGEDMN C=CC[N@H+](CCOC)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001588828608 984307561 /nfs/dbraw/zinc/30/75/61/984307561.db2.gz DGXWFLZEOZAUAM-ZDUSSCGKSA-N 0 1 318.200 3.254 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2C(C)(C)C#N)CC[C@H]1C(=O)[O-] ZINC001599930238 985300896 /nfs/dbraw/zinc/30/08/96/985300896.db2.gz KHZJVMAZUWSABN-DZGCQCFKSA-N 0 1 300.402 3.030 20 30 DGEDMN CC(C)(C#N)CC[N@@H+]1C[C@@H](c2ccc(F)cc2F)[C@H](C(=O)[O-])C1 ZINC001589554866 987303960 /nfs/dbraw/zinc/30/39/60/987303960.db2.gz RTQQXYRTZCPWJI-UONOGXRCSA-N 0 1 322.355 3.005 20 30 DGEDMN CC(C)([NH2+]CCC1(C#N)CCCCC1)c1nocc1C(=O)[O-] ZINC001590870568 990773158 /nfs/dbraw/zinc/77/31/58/990773158.db2.gz PMHSIEOHNYAWBP-UHFFFAOYSA-N 0 1 305.378 3.062 20 30 DGEDMN C[C@]1(C#N)CCC[N@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC001593742992 996364341 /nfs/dbraw/zinc/36/43/41/996364341.db2.gz LOACBNPXMCMYNM-GOSISDBHSA-N 0 1 309.369 3.059 20 30 DGEDMN C[C@]1(C#N)CCC[N@@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC001593742992 996364345 /nfs/dbraw/zinc/36/43/45/996364345.db2.gz LOACBNPXMCMYNM-GOSISDBHSA-N 0 1 309.369 3.059 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCCC(F)(F)C1 ZINC001267820332 1083378582 /nfs/dbraw/zinc/37/85/82/1083378582.db2.gz POXJUPBFZIYPPQ-NWDGAFQWSA-N 0 1 308.800 3.049 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001266270003 1081604747 /nfs/dbraw/zinc/60/47/47/1081604747.db2.gz IFRZKZBTNLKNDI-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(OC(F)F)cc3)CCC2S1 ZINC001204418319 1081609866 /nfs/dbraw/zinc/60/98/66/1081609866.db2.gz RWHSNZVMHXVSDW-CYBMUJFWSA-N 0 1 311.353 3.062 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC001282384895 1081620283 /nfs/dbraw/zinc/62/02/83/1081620283.db2.gz RKOUIQPPSUAXDA-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2cccc(C(C)(C)C)c2)C1=O ZINC001169780148 1081654027 /nfs/dbraw/zinc/65/40/27/1081654027.db2.gz IFAYKOYJRZBHHN-KRWDZBQOSA-N 0 1 300.446 3.203 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001266336621 1081677840 /nfs/dbraw/zinc/67/78/40/1081677840.db2.gz SHEXVVUIMUPSPH-YOEHRIQHSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(CC)Cc1ccc(Cl)nc1 ZINC001266393175 1081737451 /nfs/dbraw/zinc/73/74/51/1081737451.db2.gz XPWLTVUERDQODE-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CCCCCCCCN1CCN(c2ncc(OC)cn2)CC1 ZINC001209442386 1081754679 /nfs/dbraw/zinc/75/46/79/1081754679.db2.gz RBTSOWJFJRDIOB-UHFFFAOYSA-N 0 1 318.465 3.134 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1csc(C)c1 ZINC001109055024 1081759896 /nfs/dbraw/zinc/75/98/96/1081759896.db2.gz LBYMBUBEGGIQQK-PMPSAXMXSA-N 0 1 304.459 3.244 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCc1ccccc1F ZINC001109054923 1081760393 /nfs/dbraw/zinc/76/03/93/1081760393.db2.gz GMZVUWHQVOXLNK-NJAFHUGGSA-N 0 1 316.420 3.056 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001109238282 1081789086 /nfs/dbraw/zinc/78/90/86/1081789086.db2.gz UJGFVBFTDGDFLC-TUIRUOKQSA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCCCCCCC)C2 ZINC001109409823 1081826802 /nfs/dbraw/zinc/82/68/02/1081826802.db2.gz WQPTYKXBXODNBV-SQNIBIBYSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1CCc1ccccc1Cl ZINC001266450292 1081837058 /nfs/dbraw/zinc/83/70/58/1081837058.db2.gz LNLJOEQCKUXXAA-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1CCc1ccc(F)c(F)c1 ZINC001266450493 1081837715 /nfs/dbraw/zinc/83/77/15/1081837715.db2.gz ONSPIGZBJDEILA-HNNXBMFYSA-N 0 1 322.399 3.054 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001266451759 1081840451 /nfs/dbraw/zinc/84/04/51/1081840451.db2.gz GMRUYQJQNIMTNJ-MWDXBVQZSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2[nH]c(C)cc2C)C1 ZINC001266459859 1081856717 /nfs/dbraw/zinc/85/67/17/1081856717.db2.gz UOHFXTOJIADPRN-OAHLLOKOSA-N 0 1 323.868 3.168 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H]1C[C@H]2CCCC[C@H]2NC1=O ZINC001167273288 1081877108 /nfs/dbraw/zinc/87/71/08/1081877108.db2.gz AQHDADPIUOYNEH-GUDVDZBRSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001266486289 1081888456 /nfs/dbraw/zinc/88/84/56/1081888456.db2.gz JFUUVNIQLRUAFE-HDICACEKSA-N 0 1 316.489 3.458 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)C2 ZINC001109759412 1081910139 /nfs/dbraw/zinc/91/01/39/1081910139.db2.gz XVUVGODWONSILC-YVSFHVDLSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cc(Cl)cs1)C2 ZINC001109769468 1081911881 /nfs/dbraw/zinc/91/18/81/1081911881.db2.gz DVFKFHFEHKWLLN-SNPRPXQTSA-N 0 1 324.877 3.242 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)C1CCCCC1)C2 ZINC001109830233 1081921452 /nfs/dbraw/zinc/92/14/52/1081921452.db2.gz QTBNGVZSDNPUIQ-YSHGAJCASA-N 0 1 316.489 3.338 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@H]2CCCO2)CC1 ZINC001112620671 1081976090 /nfs/dbraw/zinc/97/60/90/1081976090.db2.gz KFUAHFHCBHYZNJ-QGZVFWFLSA-N 0 1 310.482 3.060 20 30 DGEDMN C=CCCC(=O)N1CCN([C@H](C)c2cc(C)ccc2C)CC1 ZINC001112688787 1081989963 /nfs/dbraw/zinc/98/99/63/1081989963.db2.gz YUQMBQWEYINQDG-QGZVFWFLSA-N 0 1 300.446 3.475 20 30 DGEDMN C#CCCCCC(=O)N1CCN(CCC2CCCCC2)CC1 ZINC001112774150 1082001659 /nfs/dbraw/zinc/00/16/59/1082001659.db2.gz IXLTUISZLUJPBR-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@@H](C)c2ccccc2C)CC1 ZINC001112773898 1082001719 /nfs/dbraw/zinc/00/17/19/1082001719.db2.gz AAUGAWHHVXNJIL-SFHVURJKSA-N 0 1 312.457 3.394 20 30 DGEDMN C#CCCCC(=O)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001112809529 1082008322 /nfs/dbraw/zinc/00/83/22/1082008322.db2.gz KBHNUABVAHYPQP-IBGZPJMESA-N 0 1 310.441 3.012 20 30 DGEDMN C=CCCCN1CCN(C(=O)CO[C@H]2CCCC[C@@H]2CC)CC1 ZINC001112837836 1082020181 /nfs/dbraw/zinc/02/01/81/1082020181.db2.gz XNKMOYWSTZNSFC-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCNCc1c(F)cccc1F ZINC001167363444 1082027475 /nfs/dbraw/zinc/02/74/75/1082027475.db2.gz YIXOQLXHHMJOHT-UHFFFAOYSA-N 0 1 310.388 3.163 20 30 DGEDMN C=C(C)C[N@H+](CCNC(=O)c1ccc(Cl)cc1OC)C1CC1 ZINC001266607288 1082058460 /nfs/dbraw/zinc/05/84/60/1082058460.db2.gz UMAALRNBYNXUGR-UHFFFAOYSA-N 0 1 322.836 3.119 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)[C@H](C)COC)CC1 ZINC001112954953 1082061289 /nfs/dbraw/zinc/06/12/89/1082061289.db2.gz MQOIABOYUPMDGM-SJORKVTESA-N 0 1 312.498 3.020 20 30 DGEDMN C=CCCCN1CCN(C(=O)C/C=C\c2cccc(F)c2)CC1 ZINC001112980128 1082074565 /nfs/dbraw/zinc/07/45/65/1082074565.db2.gz UERVPMHVLPFAHB-VURMDHGXSA-N 0 1 316.420 3.339 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001266615042 1082075667 /nfs/dbraw/zinc/07/56/67/1082075667.db2.gz XRSQBEURZHKOHC-KKUMJFAQSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(C)noc2C(C)C)CC1 ZINC001112985193 1082076525 /nfs/dbraw/zinc/07/65/25/1082076525.db2.gz CVPGHDFLWVKVCH-CQSZACIVSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccnc(CCC)c2)CC1 ZINC001112985692 1082077066 /nfs/dbraw/zinc/07/70/66/1082077066.db2.gz NCFZADRCFIGDNH-MRXNPFEDSA-N 0 1 315.461 3.147 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)c(F)cc2F)CC1 ZINC001113001382 1082081992 /nfs/dbraw/zinc/08/19/92/1082081992.db2.gz VJPQBOSKLVLIFB-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN CCCCCCCN1CCN(C(=O)COC2CCCCC2)CC1 ZINC001113054071 1082102022 /nfs/dbraw/zinc/10/20/22/1082102022.db2.gz WUDDQHONJLIOFL-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(C)[nH]c3ccccc32)CC1 ZINC001113081125 1082109404 /nfs/dbraw/zinc/10/94/04/1082109404.db2.gz QCIXWRAVYGIVKR-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cncc(CC)c2)CC1 ZINC001113102593 1082114667 /nfs/dbraw/zinc/11/46/67/1082114667.db2.gz RROMCQWTMWSSJA-UHFFFAOYSA-N 0 1 317.477 3.372 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(C)c(C)n2)CC1 ZINC001113111380 1082115660 /nfs/dbraw/zinc/11/56/60/1082115660.db2.gz VHLWKOFAAQDUGG-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN N#Cc1ccc(CN2CC3(CN(Cc4ccccc4)C3)C2)c(F)c1 ZINC000706821541 1082144550 /nfs/dbraw/zinc/14/45/50/1082144550.db2.gz MPABZJBAGNGEPP-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccn(CC)c2C)CC1 ZINC001113248406 1082147730 /nfs/dbraw/zinc/14/77/30/1082147730.db2.gz YMMHIFIGYHFACA-UHFFFAOYSA-N 0 1 317.477 3.321 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc[nH]c2C2CC2)CC1 ZINC001113263314 1082159412 /nfs/dbraw/zinc/15/94/12/1082159412.db2.gz PMTYHDJLHBOSBK-CQSZACIVSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCCCC(=O)N1CCN(CCCc2cccc(C)c2)CC1 ZINC001113282275 1082163604 /nfs/dbraw/zinc/16/36/04/1082163604.db2.gz UOAYYHKLWRAULX-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2ccc(C)cc2)CC1 ZINC001113295055 1082168998 /nfs/dbraw/zinc/16/89/98/1082168998.db2.gz DULOHXRIPJSWII-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2(C(F)(F)F)CC2)CC1 ZINC001113370175 1082185468 /nfs/dbraw/zinc/18/54/68/1082185468.db2.gz VNVLGRXPSMVANP-UHFFFAOYSA-N 0 1 320.399 3.444 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)cc(F)c2)CC1 ZINC001113372445 1082186207 /nfs/dbraw/zinc/18/62/07/1082186207.db2.gz FMMNVWKYRVOGCQ-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC001113386620 1082190174 /nfs/dbraw/zinc/19/01/74/1082190174.db2.gz OATUYOJATTUESQ-RDJZCZTQSA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(OC)c2C)CC1 ZINC001113383922 1082192992 /nfs/dbraw/zinc/19/29/92/1082192992.db2.gz ZIRYCOHFCYMVNA-OAHLLOKOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](CC)OC2CCCC2)CC1 ZINC001113392615 1082194714 /nfs/dbraw/zinc/19/47/14/1082194714.db2.gz LZXCIIQDNSHRSZ-AEFFLSMTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001113418612 1082206622 /nfs/dbraw/zinc/20/66/22/1082206622.db2.gz BELSUBOBPYDJMO-ZWKOTPCHSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@H]2CCCOC2)CC1 ZINC001113478184 1082228475 /nfs/dbraw/zinc/22/84/75/1082228475.db2.gz XPTJKKMMVVBJQW-GOSISDBHSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CC23CCCC3)CC1 ZINC001113494480 1082231355 /nfs/dbraw/zinc/23/13/55/1082231355.db2.gz YRCMZNKFGPHYGN-KRWDZBQOSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001113531605 1082242382 /nfs/dbraw/zinc/24/23/82/1082242382.db2.gz UVVYBALXASPJOZ-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2cccc(Cl)n2)CC1 ZINC001266827840 1082245830 /nfs/dbraw/zinc/24/58/30/1082245830.db2.gz CQVUYELCBCJFSA-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(C[C@H](CC)OC)CC1 ZINC001113575803 1082255452 /nfs/dbraw/zinc/25/54/52/1082255452.db2.gz PEAKCRDMXRQURJ-KRWDZBQOSA-N 0 1 312.498 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2cccc(F)c2)CC1 ZINC001113608769 1082263294 /nfs/dbraw/zinc/26/32/94/1082263294.db2.gz HUKHDXRIMCYOQA-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC001113656778 1082271799 /nfs/dbraw/zinc/27/17/99/1082271799.db2.gz UBUULOWZATWARO-CVEARBPZSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@H]2CC[C@@H](C)O2)CC1 ZINC001113660043 1082272738 /nfs/dbraw/zinc/27/27/38/1082272738.db2.gz STRXZEKJLOXLOB-QZTJIDSGSA-N 0 1 322.493 3.225 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(C)nc2C)CC1 ZINC001113668425 1082274481 /nfs/dbraw/zinc/27/44/81/1082274481.db2.gz HKVKSCAIBRAWPL-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)CC1 ZINC001113699963 1082287173 /nfs/dbraw/zinc/28/71/73/1082287173.db2.gz DJKTYZCGXHUOLT-ZWKOTPCHSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(C)cc1F ZINC001266876999 1082296296 /nfs/dbraw/zinc/29/62/96/1082296296.db2.gz AWYZLQDUBQIOES-CQSZACIVSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CCC(F)F)C1 ZINC001266892020 1082308992 /nfs/dbraw/zinc/30/89/92/1082308992.db2.gz SLJSTCYSYRCJPU-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN CCCCCC(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001266923558 1082345038 /nfs/dbraw/zinc/34/50/38/1082345038.db2.gz KGLMTKDZRWUOGT-HNNXBMFYSA-N 0 1 320.864 3.366 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1cc(Cl)ccc1F ZINC001266963559 1082382129 /nfs/dbraw/zinc/38/21/29/1082382129.db2.gz FWGATFCVLOQJIF-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cc(C)[nH]c2C)C1 ZINC001086611234 1082393289 /nfs/dbraw/zinc/39/32/89/1082393289.db2.gz IZDZFPCHSRSIJY-RBUKOAKNSA-N 0 1 323.440 3.015 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3ccccc3Cl)C[C@H]21 ZINC001114001673 1082395740 /nfs/dbraw/zinc/39/57/40/1082395740.db2.gz GRASNAJMJRIJLW-CTNYNGJSSA-N 0 1 318.848 3.414 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2cc(C)no2)CCCCC1 ZINC001266978390 1082397116 /nfs/dbraw/zinc/39/71/16/1082397116.db2.gz MTVQGFVNZSUVPZ-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN Cc1ccc(C)c(C(=O)NC[C@@H](C)NCc2ccccc2C#N)c1 ZINC001266990551 1082410967 /nfs/dbraw/zinc/41/09/67/1082410967.db2.gz QZUYBOXLBISLTF-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(OCC(C)C)cc1 ZINC001266990329 1082411622 /nfs/dbraw/zinc/41/16/22/1082411622.db2.gz ZFZVNNKZQNRDHV-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c(CC)oc2ccccc21 ZINC001266993813 1082415204 /nfs/dbraw/zinc/41/52/04/1082415204.db2.gz FZYQHNQCSHRMFM-GFCCVEGCSA-N 0 1 320.820 3.456 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(CCc2ccccc2)CC1 ZINC001266998518 1082419525 /nfs/dbraw/zinc/41/95/25/1082419525.db2.gz MAEZKOIMPQKZKB-OAHLLOKOSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2nc(C)cs2)CCCC1 ZINC001266999463 1082421555 /nfs/dbraw/zinc/42/15/55/1082421555.db2.gz WDDZCNGGOIKAMG-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCNCc2ccccc2C#N)cc1C ZINC001267027021 1082445292 /nfs/dbraw/zinc/44/52/92/1082445292.db2.gz BGJDYTPVWGMFOH-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cc(Cl)ccc2o1 ZINC001131002625 1082632229 /nfs/dbraw/zinc/63/22/29/1082632229.db2.gz JWZCPKQBENIZEU-UHFFFAOYSA-N 0 1 313.184 3.158 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)C[C@@H](OC)c1ccccc1 ZINC001267210108 1082642145 /nfs/dbraw/zinc/64/21/45/1082642145.db2.gz AHBGNFHJDZHEEH-QGZVFWFLSA-N 0 1 318.461 3.024 20 30 DGEDMN CCCC[C@@H](CC)CC(=O)NCCNCC#Cc1ccccc1 ZINC001131485391 1082679957 /nfs/dbraw/zinc/67/99/57/1082679957.db2.gz YENQHAOWVISLAZ-GOSISDBHSA-N 0 1 314.473 3.350 20 30 DGEDMN CCCC[C@H](CC)CC(=O)NCCNCC#Cc1ccccc1 ZINC001131485392 1082680142 /nfs/dbraw/zinc/68/01/42/1082680142.db2.gz YENQHAOWVISLAZ-SFHVURJKSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(C)cccc1Cl ZINC001496149351 1082682207 /nfs/dbraw/zinc/68/22/07/1082682207.db2.gz CXCOMNXBUXBOQU-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CCCC(C)(C)C)C[C@H](C)O2 ZINC001131642333 1082697442 /nfs/dbraw/zinc/69/74/42/1082697442.db2.gz OIORFQOMPMEUPP-QFBILLFUSA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CSc4ccccc43)[nH]c2c1 ZINC001131674778 1082704863 /nfs/dbraw/zinc/70/48/63/1082704863.db2.gz WNNLNMFHSHOCJQ-GFCCVEGCSA-N 0 1 320.377 3.263 20 30 DGEDMN C#CCN(CC)CCNC(=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC001267253523 1082707295 /nfs/dbraw/zinc/70/72/95/1082707295.db2.gz NSZIBQUYOSIBLA-VQTJNVASSA-N 0 1 320.436 3.015 20 30 DGEDMN CCN(C)c1ccc(/C=C\C(=O)c2ccc(OC)cc2O)cn1 ZINC000588578662 1082728958 /nfs/dbraw/zinc/72/89/58/1082728958.db2.gz HDUCHXOVPAAOFO-UITAMQMPSA-N 0 1 312.369 3.148 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001267271818 1082731968 /nfs/dbraw/zinc/73/19/68/1082731968.db2.gz CUFZSZVBORWSNJ-SJLPKXTDSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131855677 1082749122 /nfs/dbraw/zinc/74/91/22/1082749122.db2.gz AKWNSNFLDYNYGE-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131855861 1082749765 /nfs/dbraw/zinc/74/97/65/1082749765.db2.gz CZCXVPZYYXEPAU-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC001267292492 1082756574 /nfs/dbraw/zinc/75/65/74/1082756574.db2.gz XZMKHQZUPWGWTP-ZDUSSCGKSA-N 0 1 324.877 3.143 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)CN1Cc1ccsc1 ZINC001132037332 1082799650 /nfs/dbraw/zinc/79/96/50/1082799650.db2.gz AARNOOCYLXFWBH-GOEBONIOSA-N 0 1 318.486 3.267 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C#CC(C)(C)C)CN1Cc1ccsc1 ZINC001132037334 1082799791 /nfs/dbraw/zinc/79/97/91/1082799791.db2.gz AARNOOCYLXFWBH-ZBFHGGJFSA-N 0 1 318.486 3.267 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C#CC2CC2)CN1C/C=C/c1ccccc1 ZINC001132045760 1082806038 /nfs/dbraw/zinc/80/60/38/1082806038.db2.gz IJLQMYHQZRISOG-IFKDRHBRSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccccn2)C1 ZINC001132068058 1082808133 /nfs/dbraw/zinc/80/81/33/1082808133.db2.gz CKOKJHYJYHDYRM-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2(c3ccccc3)CC2)CC[C@H]1C ZINC001132091395 1082813491 /nfs/dbraw/zinc/81/34/91/1082813491.db2.gz XNBKHOVHEIADKQ-IEBWSBKVSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC(C)C)CCC2)CC[C@H]1C ZINC001132168442 1082829292 /nfs/dbraw/zinc/82/92/92/1082829292.db2.gz DDEZTHISAJOXEA-SJORKVTESA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCC2CCCCCC2)CC[C@H]1C ZINC001132195149 1082834379 /nfs/dbraw/zinc/83/43/79/1082834379.db2.gz KMQHVOUGZYGECC-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001132234541 1082843385 /nfs/dbraw/zinc/84/33/85/1082843385.db2.gz DFWNMTRVYACHBC-NVXWUHKLSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2scnc2C)C1 ZINC001132218318 1082844444 /nfs/dbraw/zinc/84/44/44/1082844444.db2.gz OYBKISRWVJAPCW-JSGCOSHPSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)c1ccccc1F)c1ccccc1 ZINC001267376153 1082844626 /nfs/dbraw/zinc/84/46/26/1082844626.db2.gz AMFUIXQSKIPSST-BEFAXECRSA-N 0 1 324.399 3.010 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCCCc2ccccc2)CC[C@@H]1C ZINC001132252683 1082854176 /nfs/dbraw/zinc/85/41/76/1082854176.db2.gz ILAAWRSMOYSXDO-PKOBYXMFSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132256704 1082859587 /nfs/dbraw/zinc/85/95/87/1082859587.db2.gz PILDXJPIEJGILH-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cccc(C(C)C)n1 ZINC001132301655 1082870847 /nfs/dbraw/zinc/87/08/47/1082870847.db2.gz DCSYYXRNZZUGPZ-UHFFFAOYSA-N 0 1 303.450 3.013 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1coc2cc(C)c(C)cc21 ZINC001267408427 1082872584 /nfs/dbraw/zinc/87/25/84/1082872584.db2.gz GWOOFLNIQBHUBU-UHFFFAOYSA-N 0 1 320.820 3.464 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001132446984 1082914302 /nfs/dbraw/zinc/91/43/02/1082914302.db2.gz OYUGDPXGKIJXOC-UHFFFAOYSA-N 0 1 318.848 3.144 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001132450056 1082915360 /nfs/dbraw/zinc/91/53/60/1082915360.db2.gz IYTNVVZZOVSRNA-UHFFFAOYSA-N 0 1 318.848 3.144 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)CC[C@H]1C ZINC001132541450 1082937245 /nfs/dbraw/zinc/93/72/45/1082937245.db2.gz FRAHAEZOHIFVIP-OLZOCXBDSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC[C@@H](C)N(CC#CC)C2)CCCC1 ZINC001132545336 1082938834 /nfs/dbraw/zinc/93/88/34/1082938834.db2.gz ABNDZTSMHMGIDV-IAGOWNOFSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccccc2OC)C1 ZINC001267488822 1082945603 /nfs/dbraw/zinc/94/56/03/1082945603.db2.gz QBKYKVMCHHVOPU-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132573466 1082950106 /nfs/dbraw/zinc/95/01/06/1082950106.db2.gz OEJPGLPZUOYNPT-RYUDHWBXSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CCC)C(=O)CCCn2cccc2)C1 ZINC001267495487 1082953850 /nfs/dbraw/zinc/95/38/50/1082953850.db2.gz CVECORMEDYYKLH-GOSISDBHSA-N 0 1 317.477 3.157 20 30 DGEDMN CC#CCCCC(=O)NCCN[C@H](C)c1cccc(Cl)c1F ZINC001132593225 1082955946 /nfs/dbraw/zinc/95/59/46/1082955946.db2.gz WRUJIFOWFYRPFX-CYBMUJFWSA-N 0 1 324.827 3.440 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132653608 1082968100 /nfs/dbraw/zinc/96/81/00/1082968100.db2.gz POXGKEOSDCYFMP-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132653729 1082968866 /nfs/dbraw/zinc/96/88/66/1082968866.db2.gz QYUFOQPRJDWCKV-CQSZACIVSA-N 0 1 308.853 3.457 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1ccc(C)cc1Cl ZINC001132660843 1082974546 /nfs/dbraw/zinc/97/45/46/1082974546.db2.gz VCWUBHPMWHHNLO-CQSZACIVSA-N 0 1 308.853 3.457 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cc(Cl)ccc1F ZINC001132667299 1082975696 /nfs/dbraw/zinc/97/56/96/1082975696.db2.gz IWXRVXYRJLSORP-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001132667467 1082975778 /nfs/dbraw/zinc/97/57/78/1082975778.db2.gz MFGNWUHTXNHKMN-OLZOCXBDSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@H]1CC=CCC1)c1ccccc1 ZINC001267521548 1082980842 /nfs/dbraw/zinc/98/08/42/1082980842.db2.gz ZYQFOGJJBPOMDO-HKUYNNGSSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccsc1Cl)c1ccccc1 ZINC001267523428 1082984518 /nfs/dbraw/zinc/98/45/18/1082984518.db2.gz TVCIGKCSLTWMEG-AWEZNQCLSA-N 0 1 318.829 3.095 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2c(F)cccc2F)C1 ZINC001267546902 1083015525 /nfs/dbraw/zinc/01/55/25/1083015525.db2.gz UBJWGHJNWNEUMN-AWEZNQCLSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](F)c2ccccc2)C1 ZINC001267547600 1083017730 /nfs/dbraw/zinc/01/77/30/1083017730.db2.gz PTGQDTXHBVAZHD-IRXDYDNUSA-N 0 1 304.409 3.196 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC001267562929 1083041887 /nfs/dbraw/zinc/04/18/87/1083041887.db2.gz OLAQVJQBFOEXSW-GJZGRUSLSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001267566049 1083046747 /nfs/dbraw/zinc/04/67/47/1083046747.db2.gz ZYWOVZTYBCOWPL-ZOBUZTSGSA-N 0 1 310.869 3.147 20 30 DGEDMN CC(C)CCC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001481024026 1083091966 /nfs/dbraw/zinc/09/19/66/1083091966.db2.gz AXXCDNHTQRGJEU-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001267583648 1083099661 /nfs/dbraw/zinc/09/96/61/1083099661.db2.gz QJOZVMVYYNPXJA-DZGCQCFKSA-N 0 1 324.852 3.039 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001133382886 1083111663 /nfs/dbraw/zinc/11/16/63/1083111663.db2.gz CJRITMPZIBJOKK-SJKOYZFVSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(CF)cc2)C1 ZINC001267595653 1083126926 /nfs/dbraw/zinc/12/69/26/1083126926.db2.gz JUQAWQNPGAXEOA-OAHLLOKOSA-N 0 1 324.827 3.303 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(F)s2)C1 ZINC001267597173 1083129316 /nfs/dbraw/zinc/12/93/16/1083129316.db2.gz YACGWWPDXCWUJF-LLVKDONJSA-N 0 1 316.829 3.034 20 30 DGEDMN O=C1C=C2CN([C@@H]3CCc4cc(F)cc(F)c4C3)CCC2S1 ZINC001170690214 1083136174 /nfs/dbraw/zinc/13/61/74/1083136174.db2.gz PWDJMUKPNDUNGX-CJNGLKHVSA-N 0 1 321.392 3.096 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2ccc(F)cc2Cl)C1 ZINC001133569991 1083152859 /nfs/dbraw/zinc/15/28/59/1083152859.db2.gz HPDXSOUWEKMFLD-UHFFFAOYSA-N 0 1 310.800 3.041 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CC[N@H+](Cc2cccc(C(C)C)n2)C1 ZINC001481083228 1083156081 /nfs/dbraw/zinc/15/60/81/1083156081.db2.gz LMHABJRRZKKDBH-INIZCTEOSA-N 0 1 315.461 3.109 20 30 DGEDMN CCCN(CC#Cc1ccc(F)cc1)CCNC(=O)[C@@H](C)CC ZINC001481130524 1083205716 /nfs/dbraw/zinc/20/57/16/1083205716.db2.gz LIKDNPAFYWSLGL-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1cncc(F)c1 ZINC001481143213 1083209286 /nfs/dbraw/zinc/20/92/86/1083209286.db2.gz AMKHXCAXFRCSRW-UHFFFAOYSA-N 0 1 321.440 3.151 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(CCC(F)(F)F)C1 ZINC001267630113 1083233263 /nfs/dbraw/zinc/23/32/63/1083233263.db2.gz ZAKNEUIRFOZGIA-OLZOCXBDSA-N 0 1 306.372 3.122 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001161444946 1083242010 /nfs/dbraw/zinc/24/20/10/1083242010.db2.gz NDYYHRLBEDEWNB-JKSUJKDBSA-N 0 1 304.478 3.076 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC[C@H]2CCC[C@H](C)C2)C1 ZINC001267656767 1083249669 /nfs/dbraw/zinc/24/96/69/1083249669.db2.gz XAXHQLJMDZEFLB-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001267658092 1083250191 /nfs/dbraw/zinc/25/01/91/1083250191.db2.gz BAPZOTCNWUIBQA-LSDHHAIUSA-N 0 1 318.436 3.474 20 30 DGEDMN CC(C)CCCC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001134196048 1083255489 /nfs/dbraw/zinc/25/54/89/1083255489.db2.gz XGZHSELNYMUGEZ-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001267686224 1083262975 /nfs/dbraw/zinc/26/29/75/1083262975.db2.gz MYPVRGOLJDZDGQ-UHFFFAOYSA-N 0 1 320.864 3.205 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001267691253 1083266704 /nfs/dbraw/zinc/26/67/04/1083266704.db2.gz MJQAMZVUSZLUHI-FQEVSTJZSA-N 0 1 314.473 3.399 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1 ZINC001267711523 1083277316 /nfs/dbraw/zinc/27/73/16/1083277316.db2.gz ZMRAOBGSAMJFMO-PMACEKPBSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C2(C)CC2)C1 ZINC001267714807 1083278858 /nfs/dbraw/zinc/27/88/58/1083278858.db2.gz RIRQDVLZULALOA-SFHVURJKSA-N 0 1 312.457 3.466 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001134409980 1083285139 /nfs/dbraw/zinc/28/51/39/1083285139.db2.gz BOWOAQKMUYIWHL-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@@H](C)NCc1nocc1C ZINC001134418004 1083286947 /nfs/dbraw/zinc/28/69/47/1083286947.db2.gz DCIQLJFVGKZCHC-CABCVRRESA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@H](C)NCc1cc(C)on1 ZINC001134419630 1083287186 /nfs/dbraw/zinc/28/71/86/1083287186.db2.gz YQMTWICXISAVIW-UONOGXRCSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@@H](C)NCc1coc(C)n1 ZINC001134419766 1083287965 /nfs/dbraw/zinc/28/79/65/1083287965.db2.gz ZVBDYPYNSFSMFM-ZIAGYGMSSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(CC)o1 ZINC001134453744 1083294801 /nfs/dbraw/zinc/29/48/01/1083294801.db2.gz KWZZJIMNWQJMQZ-KGLIPLIRSA-N 0 1 321.465 3.212 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001134457943 1083295987 /nfs/dbraw/zinc/29/59/87/1083295987.db2.gz WFGBZEUOUZZCAR-STQMWFEESA-N 0 1 323.506 3.427 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001267743113 1083309068 /nfs/dbraw/zinc/30/90/68/1083309068.db2.gz LFUVLCXSWSEYAQ-DOTOQJQBSA-N 0 1 316.445 3.303 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001267765083 1083329222 /nfs/dbraw/zinc/32/92/22/1083329222.db2.gz UTGCMBNPBPDRBC-KRWDZBQOSA-N 0 1 318.486 3.283 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001267771120 1083334267 /nfs/dbraw/zinc/33/42/67/1083334267.db2.gz ZCXVLZLJSHKCJL-QGZVFWFLSA-N 0 1 316.420 3.052 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001267783856 1083345473 /nfs/dbraw/zinc/34/54/73/1083345473.db2.gz MARPMYIAEDKZNN-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001267799520 1083354211 /nfs/dbraw/zinc/35/42/11/1083354211.db2.gz LXTGGNRIUQNYBV-DYVFJYSZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccnc2ccccc21 ZINC001267810841 1083360716 /nfs/dbraw/zinc/36/07/16/1083360716.db2.gz DJKPNOFNXGVECG-ZDUSSCGKSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccnc2ccccc21 ZINC001267810839 1083360925 /nfs/dbraw/zinc/36/09/25/1083360925.db2.gz DJKPNOFNXGVECG-CYBMUJFWSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C)nc1C1CC1 ZINC001267813718 1083364892 /nfs/dbraw/zinc/36/48/92/1083364892.db2.gz VDQVRCLUEJFIBH-CQSZACIVSA-N 0 1 321.852 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cnc(C(C)C)s1 ZINC001267830530 1083404446 /nfs/dbraw/zinc/40/44/46/1083404446.db2.gz SNJRSIADNSBZCA-NSHDSACASA-N 0 1 315.870 3.117 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(CNCc2cc(C)no2)CCCC1 ZINC001267842193 1083427954 /nfs/dbraw/zinc/42/79/54/1083427954.db2.gz MQHIOIGCKSZGQQ-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2csc(C)n2)CCCC1 ZINC001267842082 1083428421 /nfs/dbraw/zinc/42/84/21/1083428421.db2.gz IYEZUDIMRMLBAX-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2nc(C)c(C)o2)CC1 ZINC001267927850 1083583078 /nfs/dbraw/zinc/58/30/78/1083583078.db2.gz PNXXEQWMUYTXHR-SHTZXODSSA-N 0 1 319.449 3.021 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)Cc2n[nH]c3ccccc23)cc1 ZINC001182060702 1083618449 /nfs/dbraw/zinc/61/84/49/1083618449.db2.gz UYSFSBCBKYRPSS-LBPRGKRZSA-N 0 1 304.353 3.371 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001182221059 1083654058 /nfs/dbraw/zinc/65/40/58/1083654058.db2.gz WNFBRRNWNZVGFH-DZGCQCFKSA-N 0 1 320.383 3.020 20 30 DGEDMN CCC[C@H](C(=O)N(C)CCNCC#Cc1ccccc1)C(C)C ZINC001481287887 1083668526 /nfs/dbraw/zinc/66/85/26/1083668526.db2.gz OQKISFWGLGYKGK-IBGZPJMESA-N 0 1 314.473 3.158 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001481294118 1083707354 /nfs/dbraw/zinc/70/73/54/1083707354.db2.gz QMHAPBCDVPQKOU-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)CN[C@H](C)c2ncc(C)o2)CCC1 ZINC001268001412 1083723629 /nfs/dbraw/zinc/72/36/29/1083723629.db2.gz BGTWJVPDIWIHSV-UKRRQHHQSA-N 0 1 319.449 3.132 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2ccccc2)CCCC1 ZINC001481311431 1083749785 /nfs/dbraw/zinc/74/97/85/1083749785.db2.gz UCSJWOVUTVVWQB-UHFFFAOYSA-N 0 1 320.864 3.299 20 30 DGEDMN CC#CCCCCCCCC(=O)Nc1cc(C(=O)OCC)[nH]n1 ZINC001182763890 1083789253 /nfs/dbraw/zinc/78/92/53/1083789253.db2.gz CODCYGKFOWNGMV-UHFFFAOYSA-N 0 1 319.405 3.279 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)Cc1ccc3nc[nH]c3c1)CCC2 ZINC001182840476 1083820334 /nfs/dbraw/zinc/82/03/34/1083820334.db2.gz HUWWOHADIXANPX-UHFFFAOYSA-N 0 1 322.393 3.166 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cc(O)ccc2Cl)C1 ZINC001182940383 1083850347 /nfs/dbraw/zinc/85/03/47/1083850347.db2.gz OLCYXKKFOQFBOO-CQSZACIVSA-N 0 1 322.836 3.092 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC2(C)CCCC2)C1 ZINC001481395258 1083859008 /nfs/dbraw/zinc/85/90/08/1083859008.db2.gz DMSAZWJDIALTNG-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001481411057 1083881923 /nfs/dbraw/zinc/88/19/23/1083881923.db2.gz UIDLGWVKXVISLO-BZSNNMDCSA-N 0 1 304.478 3.149 20 30 DGEDMN CCc1cc(NC(=O)[C@@H](C(C)C)N(C)C)cc(CC)c1C#N ZINC001183362773 1083925962 /nfs/dbraw/zinc/92/59/62/1083925962.db2.gz NTUSBULGIUGTDK-QGZVFWFLSA-N 0 1 301.434 3.208 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2csc(C)n2)CCCC1 ZINC001268037822 1083934848 /nfs/dbraw/zinc/93/48/48/1083934848.db2.gz LWAONLYEGFYRMS-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C)(C)C(C)(F)F)C1 ZINC001481456419 1083947046 /nfs/dbraw/zinc/94/70/46/1083947046.db2.gz HOJJNIQIYVDHFL-GFCCVEGCSA-N 0 1 322.827 3.249 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CCC(C)(C)C)CC2 ZINC001268046930 1084002904 /nfs/dbraw/zinc/00/29/04/1084002904.db2.gz PGNBHSUPJGPFNR-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001481496629 1084011879 /nfs/dbraw/zinc/01/18/79/1084011879.db2.gz CTCIVICNGHYACV-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C(CC)CC)CC1 ZINC001481511913 1084043603 /nfs/dbraw/zinc/04/36/03/1084043603.db2.gz RQLODHRNLGOIFO-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001481525165 1084073546 /nfs/dbraw/zinc/07/35/46/1084073546.db2.gz AYPFYCLRYGGTJT-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001481537624 1084107188 /nfs/dbraw/zinc/10/71/88/1084107188.db2.gz NYPPONPULWJNEJ-MSOLQXFVSA-N 0 1 300.446 3.022 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)n2)c1 ZINC001184482226 1084196622 /nfs/dbraw/zinc/19/66/22/1084196622.db2.gz JOAYMZKNCRARRC-AEGPPILISA-N 0 1 321.384 3.108 20 30 DGEDMN CCCCC(=O)N(C)CCC[N@@H+](C)Cc1ccc(C#N)cc1F ZINC001273488804 1084227992 /nfs/dbraw/zinc/22/79/92/1084227992.db2.gz RLLSFEAXXJLWFE-UHFFFAOYSA-N 0 1 319.424 3.168 20 30 DGEDMN CCCCC(=O)N(C)CCCN(C)Cc1ccc(C#N)cc1F ZINC001273488804 1084227998 /nfs/dbraw/zinc/22/79/98/1084227998.db2.gz RLLSFEAXXJLWFE-UHFFFAOYSA-N 0 1 319.424 3.168 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(C)C[C@@H]2c2ccccc2)c1 ZINC001184644281 1084233976 /nfs/dbraw/zinc/23/39/76/1084233976.db2.gz KZBUURGBVROHTK-LJQANCHMSA-N 0 1 309.388 3.499 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001481607541 1084250962 /nfs/dbraw/zinc/25/09/62/1084250962.db2.gz REMZZRLYWLEAOJ-UHFFFAOYSA-N 0 1 314.473 3.474 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001184807050 1084259716 /nfs/dbraw/zinc/25/97/16/1084259716.db2.gz QTDMWRFOGAMIHB-LLVKDONJSA-N 0 1 305.249 3.098 20 30 DGEDMN C#CC1CCN(C(=O)c2cc([C@H](C)Cc3ccccc3)[nH]n2)CC1 ZINC001184995978 1084318499 /nfs/dbraw/zinc/31/84/99/1084318499.db2.gz DRNRGXHBUIMBDJ-OAHLLOKOSA-N 0 1 321.424 3.241 20 30 DGEDMN N#Cc1ccc(F)cc1NCCN1CCc2sccc2C1 ZINC001185027674 1084327916 /nfs/dbraw/zinc/32/79/16/1084327916.db2.gz DWCXTHSJXSQJKD-UHFFFAOYSA-N 0 1 301.390 3.229 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001481642583 1084338019 /nfs/dbraw/zinc/33/80/19/1084338019.db2.gz JCKQKBQVJRLAMU-UHFFFAOYSA-N 0 1 300.446 3.153 20 30 DGEDMN CC(C)[C@H](CNC(=O)[C@@H](C)C(C)C)NCc1ccccc1C#N ZINC001268223744 1084353728 /nfs/dbraw/zinc/35/37/28/1084353728.db2.gz LFLAJJSILMTZHJ-YJBOKZPZSA-N 0 1 315.461 3.081 20 30 DGEDMN CCCCC1(C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CC1 ZINC001185423770 1084434667 /nfs/dbraw/zinc/43/46/67/1084434667.db2.gz AFQQFTPAOBVFMW-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001481689105 1084479751 /nfs/dbraw/zinc/47/97/51/1084479751.db2.gz VLJALUIHLZZOOP-MZEUMTGBSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC/C=C/c2ccccc2)C1 ZINC001185616677 1084488396 /nfs/dbraw/zinc/48/83/96/1084488396.db2.gz RQSYVBNTWGKEEQ-HFTQHKIXSA-N 0 1 318.848 3.423 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001481746217 1084568768 /nfs/dbraw/zinc/56/87/68/1084568768.db2.gz BXRYPAQYQKUTSJ-SJORKVTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCc2ccsc2)C1 ZINC001481768663 1084621076 /nfs/dbraw/zinc/62/10/76/1084621076.db2.gz RAJUHJIIZPKKTP-MRXNPFEDSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001481768726 1084621586 /nfs/dbraw/zinc/62/15/86/1084621586.db2.gz LNPVCEQDAZZRJR-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN N#Cc1ccc(F)cc1NC1CCN(Cc2cccnc2)CC1 ZINC001186285707 1084626361 /nfs/dbraw/zinc/62/63/61/1084626361.db2.gz WXFGPRXICRRRMT-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2cc(C#N)sc2[N+](=O)[O-])n[nH]1 ZINC001186360101 1084633468 /nfs/dbraw/zinc/63/34/68/1084633468.db2.gz RGTSJENQJCXMJZ-YUMQZZPRSA-N 0 1 320.378 3.333 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)S(=O)(=O)c1ccccc1O ZINC001211744153 1084657651 /nfs/dbraw/zinc/65/76/51/1084657651.db2.gz PSAKNERWJZUKMQ-UHFFFAOYSA-N 0 1 317.410 3.159 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NCc1nc(C)sc1C ZINC001481847788 1084665549 /nfs/dbraw/zinc/66/55/49/1084665549.db2.gz HZNZOSKSNRRHPG-WMLDXEAASA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)CC2CC2)C1 ZINC001481858030 1084670727 /nfs/dbraw/zinc/67/07/27/1084670727.db2.gz ZQZLQTZAFTZSJJ-HOTGVXAUSA-N 0 1 310.869 3.242 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1NCc1ccc2cncn2c1 ZINC001186661569 1084687372 /nfs/dbraw/zinc/68/73/72/1084687372.db2.gz CMYHUEFSGOXXQL-UHFFFAOYSA-N 0 1 317.274 3.232 20 30 DGEDMN CCC1(C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CCCC1 ZINC001186691502 1084688404 /nfs/dbraw/zinc/68/84/04/1084688404.db2.gz RBICEEMKQZXXJI-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN [O-]c1ccc(Br)cc1C[NH+]1CCC(S)CC1 ZINC001203645430 1084701340 /nfs/dbraw/zinc/70/13/40/1084701340.db2.gz ISWHKFJUKRXTQW-UHFFFAOYSA-N 0 1 302.237 3.049 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CCC1(C)CC1 ZINC001268488792 1084713021 /nfs/dbraw/zinc/71/30/21/1084713021.db2.gz WKJCLPPMGSBZEJ-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001187107265 1084751724 /nfs/dbraw/zinc/75/17/24/1084751724.db2.gz ZJYIVYCPUPTZIS-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2C[N@H+]([C@@H](C)c3cccc(F)c3)C[C@H]21 ZINC001187208019 1084774448 /nfs/dbraw/zinc/77/44/48/1084774448.db2.gz CLWQSXVLAVYBAY-QILLFSRXSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001482057443 1084808564 /nfs/dbraw/zinc/80/85/64/1084808564.db2.gz FZYNUNHTXZHDRU-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(CC)s1 ZINC001482059530 1084809778 /nfs/dbraw/zinc/80/97/78/1084809778.db2.gz KRXYFPLMEOOALK-GFCCVEGCSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1ccncc1Cl ZINC001268588587 1084857712 /nfs/dbraw/zinc/85/77/12/1084857712.db2.gz SVUNXNCYMFQNHQ-JTGQJZMRSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(Cl)cc1Cl ZINC001268668974 1084931430 /nfs/dbraw/zinc/93/14/30/1084931430.db2.gz IXPNIRGMAWOAHM-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001269061555 1085108764 /nfs/dbraw/zinc/10/87/64/1085108764.db2.gz LOBGWMYTNRSGJD-RDJZCZTQSA-N 0 1 316.445 3.303 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001482203972 1085116712 /nfs/dbraw/zinc/11/67/12/1085116712.db2.gz XMRIGWRVMMQNCH-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001482203972 1085116714 /nfs/dbraw/zinc/11/67/14/1085116714.db2.gz XMRIGWRVMMQNCH-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001482203973 1085116803 /nfs/dbraw/zinc/11/68/03/1085116803.db2.gz XMRIGWRVMMQNCH-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001482203973 1085116804 /nfs/dbraw/zinc/11/68/04/1085116804.db2.gz XMRIGWRVMMQNCH-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001269159381 1085170936 /nfs/dbraw/zinc/17/09/36/1085170936.db2.gz BISWZFHKZWIEIL-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001269172344 1085178208 /nfs/dbraw/zinc/17/82/08/1085178208.db2.gz NPTXVOFCUGRSPY-VEGGFIAOSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001269271788 1085255585 /nfs/dbraw/zinc/25/55/85/1085255585.db2.gz DBTJHGIHYFJAFI-QGZVFWFLSA-N 0 1 300.446 3.206 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1ccc2ccccc2c1 ZINC001269342598 1085319349 /nfs/dbraw/zinc/31/93/49/1085319349.db2.gz AIQXXXQHTLDFIZ-LJQANCHMSA-N 0 1 308.425 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC(C)(C)c1ccccc1 ZINC001269350654 1085330057 /nfs/dbraw/zinc/33/00/57/1085330057.db2.gz JGIICJCGSRKRCE-QGZVFWFLSA-N 0 1 300.446 3.121 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCCc2cccs2)C1 ZINC001269356240 1085332392 /nfs/dbraw/zinc/33/23/92/1085332392.db2.gz PDMQNKVTYCLKJO-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001269395994 1085359237 /nfs/dbraw/zinc/35/92/37/1085359237.db2.gz AYQVGDLZFSXHNG-LPDYGMJQSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(F)ccc1CC ZINC001269432224 1085381832 /nfs/dbraw/zinc/38/18/32/1085381832.db2.gz KDVWAPIWVJVLEJ-AWEZNQCLSA-N 0 1 312.816 3.239 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269512966 1085433422 /nfs/dbraw/zinc/43/34/22/1085433422.db2.gz MYZBVQGPLQIPMI-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1CCC(C)(C)CC1 ZINC001269516062 1085439717 /nfs/dbraw/zinc/43/97/17/1085439717.db2.gz LCGAFGLLCWZXMI-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2cc(F)ccc2F)C1 ZINC001269893492 1085600687 /nfs/dbraw/zinc/60/06/87/1085600687.db2.gz ROMSDEJRRYEPPL-UHFFFAOYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001269900511 1085603447 /nfs/dbraw/zinc/60/34/47/1085603447.db2.gz YQUFLKJOTAIZQX-KRWDZBQOSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCOC(=O)[C@@H](Nc1cc2nc[nH]c2cc1F)[C@@H](C)CC ZINC001167957419 1085604040 /nfs/dbraw/zinc/60/40/40/1085604040.db2.gz JDTUWTNHBJINRI-BONVTDFDSA-N 0 1 305.353 3.258 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001270018385 1085654200 /nfs/dbraw/zinc/65/42/00/1085654200.db2.gz ZBSLQQJWIGSFNW-IIDMSEBBSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001270051609 1085672451 /nfs/dbraw/zinc/67/24/51/1085672451.db2.gz ZHVCSEWWWKCWHH-RRFJBIMHSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2[nH]c(C)cc2C)CC1 ZINC001270124471 1085700991 /nfs/dbraw/zinc/70/09/91/1085700991.db2.gz DOIUNAFWRAPKAP-UHFFFAOYSA-N 0 1 323.868 3.216 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCCC(F)(F)C1 ZINC001270129352 1085703752 /nfs/dbraw/zinc/70/37/52/1085703752.db2.gz OTVKTGFJGODNGU-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H](C)CNCc1ccc(F)cc1F ZINC001482274342 1085742608 /nfs/dbraw/zinc/74/26/08/1085742608.db2.gz VJOQAKCIHHDXPX-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1F)C1CCCC1 ZINC001270377911 1085789160 /nfs/dbraw/zinc/78/91/60/1085789160.db2.gz HWDFIQTZHOMUKX-INIZCTEOSA-N 0 1 324.827 3.456 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2ccc(C)c(F)c2)C1 ZINC001270577987 1085867223 /nfs/dbraw/zinc/86/72/23/1085867223.db2.gz VWBNDDCERSYMIF-LJQANCHMSA-N 0 1 316.420 3.018 20 30 DGEDMN CCCCCCCCC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC001625929757 1085898896 /nfs/dbraw/zinc/89/88/96/1085898896.db2.gz DSQINOMKGAJIDN-CVEARBPZSA-N 0 1 312.523 3.433 20 30 DGEDMN CCC(=O)N[C@H]1CCN(CC#Cc2cccc(Cl)c2)[C@@H]1CC ZINC001087479310 1085959911 /nfs/dbraw/zinc/95/99/11/1085959911.db2.gz HQCVLDGBDGQUCA-DLBZAZTESA-N 0 1 318.848 3.071 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1c(C)onc1CC ZINC001482326959 1086004265 /nfs/dbraw/zinc/00/42/65/1086004265.db2.gz HVJMPQXLFLPUCB-ACJLOTCBSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(Cl)o1 ZINC001482377281 1086098611 /nfs/dbraw/zinc/09/86/11/1086098611.db2.gz CXDFCDDKOIBUNM-LLVKDONJSA-N 0 1 319.232 3.420 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)/C=C(/C)C1CC1 ZINC001280316516 1086153549 /nfs/dbraw/zinc/15/35/49/1086153549.db2.gz ZPMAPMHFEVELCJ-SSZFMOIBSA-N 0 1 310.441 3.114 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)[C@H](C)C2CC2)CCN1CC#Cc1ccccc1 ZINC001087533089 1086187629 /nfs/dbraw/zinc/18/76/29/1086187629.db2.gz LCSLNYHXKSSWTE-LSTHTHJFSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCCCC(=O)NC[C@@]1(C)CCCN(C/C=C/Cl)C1 ZINC001270949328 1086211046 /nfs/dbraw/zinc/21/10/46/1086211046.db2.gz CLFJINSXLMWXOE-VGMNTSGFSA-N 0 1 310.869 3.151 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001271017676 1086253312 /nfs/dbraw/zinc/25/33/12/1086253312.db2.gz UOQKJSZQWXENEK-HXUWFJFHSA-N 0 1 324.424 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cncc2sccc21 ZINC001271075472 1086275221 /nfs/dbraw/zinc/27/52/21/1086275221.db2.gz NGSDNDPLCZYQRQ-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=CC[C@H](Oc1nc(=O)[nH]c(C)c1Br)C(C)C ZINC001226783976 1086281500 /nfs/dbraw/zinc/28/15/00/1086281500.db2.gz AGOYUTXSLZHEJT-VIFPVBQESA-N 0 1 301.184 3.233 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2coc3c2cccc3C)[C@H]1CC ZINC001087603456 1086324531 /nfs/dbraw/zinc/32/45/31/1086324531.db2.gz QLYGFDWQGQFMLQ-ZWKOTPCHSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)[C@H]1CC ZINC001087669800 1086348559 /nfs/dbraw/zinc/34/85/59/1086348559.db2.gz QQJPUWCTHHVMFS-UONOGXRCSA-N 0 1 322.811 3.003 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)cccc2Cl)[C@H]1CC ZINC001087713177 1086375234 /nfs/dbraw/zinc/37/52/34/1086375234.db2.gz XEOQGEJJTOQHDB-JKSUJKDBSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H]1CC ZINC001087711402 1086379185 /nfs/dbraw/zinc/37/91/85/1086379185.db2.gz BRDGGRMBKCYEKW-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)cc2Cl)[C@H]1CC ZINC001087735885 1086385762 /nfs/dbraw/zinc/38/57/62/1086385762.db2.gz LBEJAGVAUJVUFT-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(C3CC3)s2)[C@H]1CC ZINC001087804098 1086416530 /nfs/dbraw/zinc/41/65/30/1086416530.db2.gz HKWXGMSBVCBFSI-UONOGXRCSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3ccccc3c2)[C@H]1CC ZINC001087807830 1086419559 /nfs/dbraw/zinc/41/95/59/1086419559.db2.gz HUMRPYAJXCBXOL-RBUKOAKNSA-N 0 1 306.409 3.056 20 30 DGEDMN CCC(=O)N[C@@H](CNCc1ccccc1C#N)C1CCCCC1 ZINC001271317291 1086574980 /nfs/dbraw/zinc/57/49/80/1086574980.db2.gz KZVJADGQAHTHLH-SFHVURJKSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccco1)C1CCCCC1 ZINC001271353689 1086585332 /nfs/dbraw/zinc/58/53/32/1086585332.db2.gz IGGVODOHNAIEGW-INIZCTEOSA-N 0 1 324.852 3.229 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccc(F)cc1 ZINC001482484885 1086604893 /nfs/dbraw/zinc/60/48/93/1086604893.db2.gz YBKIBBOMBOIEQA-MLGOLLRUSA-N 0 1 324.827 3.409 20 30 DGEDMN Cc1cc(-c2noc([C@H](C)CC(F)(F)CN)n2)ccc1C#N ZINC001211895975 1086642407 /nfs/dbraw/zinc/64/24/07/1086642407.db2.gz RGYQKCFQMLHQSO-SNVBAGLBSA-N 0 1 306.316 3.004 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2ccoc2)CC1 ZINC001482497684 1086723838 /nfs/dbraw/zinc/72/38/38/1086723838.db2.gz AIOTYOYTJRDVKP-UHFFFAOYSA-N 0 1 310.825 3.206 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1ocnc1C ZINC001271728884 1086729796 /nfs/dbraw/zinc/72/97/96/1086729796.db2.gz VLAWJOAJECDSNL-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1nc(C)cs1)C(C)C ZINC001482534181 1086792087 /nfs/dbraw/zinc/79/20/87/1086792087.db2.gz UICNJGLJSXSNML-CQSZACIVSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(Cl)o1 ZINC001280431262 1086828454 /nfs/dbraw/zinc/82/84/54/1086828454.db2.gz AZMUKXPYWPKKHS-LLVKDONJSA-N 0 1 317.216 3.270 20 30 DGEDMN C[C@@H](C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1)C(C)(C)C ZINC001272050187 1087031366 /nfs/dbraw/zinc/03/13/66/1087031366.db2.gz YSEVOOZEOSHOGA-HNNXBMFYSA-N 0 1 315.461 3.131 20 30 DGEDMN C#CCN(CC1CC1)C[C@H]1CC(c2ccc(Cl)cc2)=NO1 ZINC001168233210 1087065449 /nfs/dbraw/zinc/06/54/49/1087065449.db2.gz FSUOVDMYQZTHAV-MRXNPFEDSA-N 0 1 302.805 3.178 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cscc2C(F)F)[C@H]1C ZINC001088572939 1087075173 /nfs/dbraw/zinc/07/51/73/1087075173.db2.gz QIZGHVJQOZCBCG-SKDRFNHKSA-N 0 1 300.374 3.064 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CCCCc1ccc(C)cc1 ZINC001482652722 1087101677 /nfs/dbraw/zinc/10/16/77/1087101677.db2.gz BPSQBVOJBFBAMZ-UHFFFAOYSA-N 0 1 314.473 3.121 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1ncc(C(C)C)o1 ZINC001482860104 1087171950 /nfs/dbraw/zinc/17/19/50/1087171950.db2.gz FNDVOGBMIXIRQE-CQSZACIVSA-N 0 1 321.465 3.385 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001482960079 1087200280 /nfs/dbraw/zinc/20/02/80/1087200280.db2.gz MWYHTZRUQYLDIT-ROUUACIJSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccccc1OC(C)C ZINC001482962504 1087201545 /nfs/dbraw/zinc/20/15/45/1087201545.db2.gz FHHMXRNKEDDEIF-UHFFFAOYSA-N 0 1 324.852 3.278 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(-c3ccco3)o2)[C@H]1C ZINC001088734047 1087326090 /nfs/dbraw/zinc/32/60/90/1087326090.db2.gz DCHRXOAUIMCBMG-KGLIPLIRSA-N 0 1 314.385 3.308 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@H]1C ZINC001088776737 1087349498 /nfs/dbraw/zinc/34/94/98/1087349498.db2.gz MXTXOIQIVDHELN-ZBFHGGJFSA-N 0 1 311.429 3.163 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc3ccccc23)[C@H]1C ZINC001088779482 1087350739 /nfs/dbraw/zinc/35/07/39/1087350739.db2.gz IJHSTINGMWGYBC-DOMZBBRYSA-N 0 1 300.427 3.280 20 30 DGEDMN C[C@@H](NCCNC(=O)C#CC(C)(C)C)c1cccc(Cl)c1F ZINC001126915968 1087398820 /nfs/dbraw/zinc/39/88/20/1087398820.db2.gz LFDDCKIMJKGLCU-GFCCVEGCSA-N 0 1 324.827 3.295 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1cc(F)ccc1OC ZINC001483163517 1087409130 /nfs/dbraw/zinc/40/91/30/1087409130.db2.gz CKWDWNMTXXNIRR-FZKQIMNGSA-N 0 1 322.424 3.031 20 30 DGEDMN C=CCCCCCN1CC(OC2CCN(C(=O)C(C)C)CC2)C1 ZINC001105687451 1087424348 /nfs/dbraw/zinc/42/43/48/1087424348.db2.gz GVSRIORZLAENBZ-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](Cc1ccccc1)C(C)C ZINC001483265390 1087453381 /nfs/dbraw/zinc/45/33/81/1087453381.db2.gz UFHTVXUTKRXDCN-DOTOQJQBSA-N 0 1 322.880 3.348 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CCCc2ccccc21 ZINC001483275191 1087455203 /nfs/dbraw/zinc/45/52/03/1087455203.db2.gz XBEPINSFFBQIAI-KBXCAEBGSA-N 0 1 320.864 3.127 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)/C=C(\C)CC)CC2)C1 ZINC001105707152 1087458855 /nfs/dbraw/zinc/45/88/55/1087458855.db2.gz ORFJCNWOHNTPAT-FOWTUZBSSA-N 0 1 320.477 3.001 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001483352164 1087477809 /nfs/dbraw/zinc/47/78/09/1087477809.db2.gz OQAQUMHVVPCYHE-MRXNPFEDSA-N 0 1 314.473 3.448 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001483485109 1087513468 /nfs/dbraw/zinc/51/34/68/1087513468.db2.gz YHVMIXXMXBGZTM-AWEZNQCLSA-N 0 1 307.438 3.084 20 30 DGEDMN C=CCCCC(=O)N1CCC(OC2CN(CCCCC)C2)CC1 ZINC001105722021 1087543317 /nfs/dbraw/zinc/54/33/17/1087543317.db2.gz RNCKDFWPIUEPCV-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)[C@H]1C ZINC001088908972 1087602393 /nfs/dbraw/zinc/60/23/93/1087602393.db2.gz COCMMYJDOPHYND-MDNKFWRPSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3ccccc3F)CCC2)[C@H]1C ZINC001088974391 1087612941 /nfs/dbraw/zinc/61/29/41/1087612941.db2.gz PLIQBERGRDZJBH-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)ccc2Cl)[C@H]1C ZINC001089001677 1087619102 /nfs/dbraw/zinc/61/91/02/1087619102.db2.gz VZNYXXLWMQKGEX-YGRLFVJLSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)ccc2Cl)[C@H]1C ZINC001089001677 1087619103 /nfs/dbraw/zinc/61/91/03/1087619103.db2.gz VZNYXXLWMQKGEX-YGRLFVJLSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2coc(C3CCCCC3)n2)[C@H]1C ZINC001089070272 1087631207 /nfs/dbraw/zinc/63/12/07/1087631207.db2.gz VTECQESYLYVOTJ-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)Cc1c(C)cc(C)cc1C ZINC001483676124 1087632635 /nfs/dbraw/zinc/63/26/35/1087632635.db2.gz ICWOTYJLJYAZPM-SFHVURJKSA-N 0 1 314.473 3.311 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)CCc1ccccc1F ZINC001483680081 1087641072 /nfs/dbraw/zinc/64/10/72/1087641072.db2.gz VGMYBWGMIDXQDD-WBVHZDCISA-N 0 1 318.436 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2[C@@H]2CCc3ccccc32)C1 ZINC001483680327 1087641738 /nfs/dbraw/zinc/64/17/38/1087641738.db2.gz ZUPKLVPXUCLUTD-FUHWJXTLSA-N 0 1 310.441 3.221 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H]1C=CCCC1 ZINC001099126637 1087662323 /nfs/dbraw/zinc/66/23/23/1087662323.db2.gz XIKHYAOVEGMXLB-ROUUACIJSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001089278381 1087713513 /nfs/dbraw/zinc/71/35/13/1087713513.db2.gz FLGTUFRXJKMKDI-KRWDZBQOSA-N 0 1 321.490 3.128 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)cc(OC)c1 ZINC001234020547 1087720780 /nfs/dbraw/zinc/72/07/80/1087720780.db2.gz ZECSYJOEMJTVKL-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN N#CCC[C@H](NC(=O)c1cccc2nc[nH]c21)c1ccccc1 ZINC001151671905 1087753614 /nfs/dbraw/zinc/75/36/14/1087753614.db2.gz VFMNKQOVRWHSDR-HNNXBMFYSA-N 0 1 304.353 3.338 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)c2ccoc2)CC(C)(C)C1 ZINC001089318076 1087927304 /nfs/dbraw/zinc/92/73/04/1087927304.db2.gz NPZMESGUCOYZSD-CQSZACIVSA-N 0 1 310.825 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2ccoc2)CC(C)(C)C1 ZINC001089318076 1087927315 /nfs/dbraw/zinc/92/73/15/1087927315.db2.gz NPZMESGUCOYZSD-CQSZACIVSA-N 0 1 310.825 3.253 20 30 DGEDMN C=CCCCNC(=O)c1n[nH]c2ccc(OC(F)(F)F)cc21 ZINC001152602050 1088018160 /nfs/dbraw/zinc/01/81/60/1088018160.db2.gz PEMJGQZEXVUYRI-UHFFFAOYSA-N 0 1 313.279 3.158 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)cc(C)c1 ZINC001158787331 1088028750 /nfs/dbraw/zinc/02/87/50/1088028750.db2.gz QIAGTJUKVHIPJH-LJQANCHMSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(C)CNC(=O)[C@H]1CC12CCN(Cc1ccccc1F)CC2 ZINC001272595554 1088101538 /nfs/dbraw/zinc/10/15/38/1088101538.db2.gz UJNCDIOUHWGNAK-MRXNPFEDSA-N 0 1 316.420 3.120 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CCC)CC1 ZINC001099225460 1088102412 /nfs/dbraw/zinc/10/24/12/1088102412.db2.gz VVFVUCDZTRMPQL-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2cccc(C)c2o1 ZINC001158927962 1088106067 /nfs/dbraw/zinc/10/60/67/1088106067.db2.gz JPJWIZRJIFQOLW-QGZVFWFLSA-N 0 1 324.424 3.301 20 30 DGEDMN CC1=C(C)C[C@](C)(C(=O)NCCNCC#Cc2ccccc2)CC1 ZINC001152937708 1088118738 /nfs/dbraw/zinc/11/87/38/1088118738.db2.gz YGLPGCARALFYIJ-OAQYLSRUSA-N 0 1 324.468 3.271 20 30 DGEDMN COc1cccc2[nH]c(C(=O)Nc3cc(C#N)ccc3O)cc21 ZINC001152999746 1088134520 /nfs/dbraw/zinc/13/45/20/1088134520.db2.gz HAXLONYQMTZBHU-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN Cc1[nH]c(NC(=O)c2cnc3[nH]ccc(Cl)c2-3)c(C#N)c1C ZINC001153095391 1088164574 /nfs/dbraw/zinc/16/45/74/1088164574.db2.gz VDOAPKQMRGERMR-UHFFFAOYSA-N 0 1 313.748 3.285 20 30 DGEDMN C#CC1(NC(=O)c2cnc3[nH]ccc(Cl)c2-3)CCCCC1 ZINC001153110715 1088170983 /nfs/dbraw/zinc/17/09/83/1088170983.db2.gz KTJIRIZSJGVUEY-UHFFFAOYSA-N 0 1 301.777 3.282 20 30 DGEDMN CCN(Cc1ccccc1Cl)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207376 1088186500 /nfs/dbraw/zinc/18/65/00/1088186500.db2.gz HFFSGXYIGHGKMS-OAHLLOKOSA-N 0 1 320.864 3.326 20 30 DGEDMN N#Cc1cc(Nc2c(Cl)ccc(Br)c2O)ccn1 ZINC001206647803 1088279815 /nfs/dbraw/zinc/27/98/15/1088279815.db2.gz CIELDSBMPXBYOH-UHFFFAOYSA-N 0 1 324.565 3.240 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001153593372 1088294799 /nfs/dbraw/zinc/29/47/99/1088294799.db2.gz DNBVTVPWHOEHMR-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1ccnc(Cl)c1 ZINC001153642314 1088317543 /nfs/dbraw/zinc/31/75/43/1088317543.db2.gz WVTAUKBJVSMJNH-CQSZACIVSA-N 0 1 323.868 3.418 20 30 DGEDMN C=CCC[C@H](C(=O)NCCNCc1ccccn1)c1ccccc1 ZINC001153818100 1088371420 /nfs/dbraw/zinc/37/14/20/1088371420.db2.gz WSTCHVDCZJAWCG-IBGZPJMESA-N 0 1 323.440 3.037 20 30 DGEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1cc(Cl)sc1Cl ZINC001153828195 1088377764 /nfs/dbraw/zinc/37/77/64/1088377764.db2.gz IGFREYWIZDLESX-SECBINFHSA-N 0 1 319.257 3.128 20 30 DGEDMN C[C@H](Cc1cccc(F)c1)N1CCN(c2cccc(C#N)c2)CC1 ZINC001168500776 1088380529 /nfs/dbraw/zinc/38/05/29/1088380529.db2.gz LZATUWWJBRMYJD-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H](C)C2CC2)CC(C)(C)C1 ZINC001089351229 1088397736 /nfs/dbraw/zinc/39/77/36/1088397736.db2.gz LVPCLYQVSWQIES-ZFWWWQNUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cccc(Cl)c2o1 ZINC001153897284 1088400263 /nfs/dbraw/zinc/40/02/63/1088400263.db2.gz DNJLTGPELRJMHH-UHFFFAOYSA-N 0 1 313.184 3.158 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1cccc(F)c1 ZINC001272653933 1088458416 /nfs/dbraw/zinc/45/84/16/1088458416.db2.gz RPVKZMUBAUXNIM-LJQANCHMSA-N 0 1 316.420 3.359 20 30 DGEDMN C/C=C(\C)C(=O)NCCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001159752523 1088472645 /nfs/dbraw/zinc/47/26/45/1088472645.db2.gz JCPPENXMUNHDNB-HQYXKAPLSA-N 0 1 323.440 3.163 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCc2ccccc2)CC1 ZINC001483763810 1088617948 /nfs/dbraw/zinc/61/79/48/1088617948.db2.gz UJDPOYDLJDYVKZ-UHFFFAOYSA-N 0 1 306.837 3.000 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2ccc(F)cc2C)C1=O ZINC001272667796 1088641013 /nfs/dbraw/zinc/64/10/13/1088641013.db2.gz WSLMQZWZBGRIDA-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccccc2CC)CC(C)(C)C1 ZINC001089472647 1088661283 /nfs/dbraw/zinc/66/12/83/1088661283.db2.gz LWXFVJGJQPVQBJ-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001154742563 1088735942 /nfs/dbraw/zinc/73/59/42/1088735942.db2.gz HTDRNFXNAFWUMQ-UONOGXRCSA-N 0 1 321.465 3.220 20 30 DGEDMN COC(=O)c1cc2c(cc(Cl)nc2N[C@@H](C#N)CC(C)C)[nH]1 ZINC001160363713 1088764483 /nfs/dbraw/zinc/76/44/83/1088764483.db2.gz UNILKEWIIQEDLU-SECBINFHSA-N 0 1 320.780 3.353 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2coc3c2cccc3C)CC1 ZINC001160360187 1088764780 /nfs/dbraw/zinc/76/47/80/1088764780.db2.gz LHYKIGIHTQFVEI-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CC(C)(C)C1 ZINC001089638401 1088869268 /nfs/dbraw/zinc/86/92/68/1088869268.db2.gz XFAHVWAOMSXNLK-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)cc1 ZINC001160566481 1088876306 /nfs/dbraw/zinc/87/63/06/1088876306.db2.gz XCYNPVBAJVORLS-UHFFFAOYSA-N 0 1 322.755 3.359 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H]1CCN(CC(=C)Cl)C1 ZINC001483801594 1088902244 /nfs/dbraw/zinc/90/22/44/1088902244.db2.gz JTMSMVFWBYPSSZ-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001155066100 1088928539 /nfs/dbraw/zinc/92/85/39/1088928539.db2.gz CVNUWULOBHSDNX-VQIMIIECSA-N 0 1 317.477 3.319 20 30 DGEDMN CCCCCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](C)O ZINC001483830029 1088932151 /nfs/dbraw/zinc/93/21/51/1088932151.db2.gz IGNSTZVTVGKTKT-KURKYZTESA-N 0 1 324.509 3.183 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001089651983 1088947019 /nfs/dbraw/zinc/94/70/19/1088947019.db2.gz SOYCJAVBRNGWDR-CQSZACIVSA-N 0 1 322.399 3.371 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1scnc1CC ZINC001089651936 1088949054 /nfs/dbraw/zinc/94/90/54/1088949054.db2.gz RMBDIKKOMLBNBS-CYBMUJFWSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)CC#Cc2ccccc2)C1 ZINC001155132763 1088967053 /nfs/dbraw/zinc/96/70/53/1088967053.db2.gz XQADFJALEHNKDM-GOSISDBHSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001483868381 1088967535 /nfs/dbraw/zinc/96/75/35/1088967535.db2.gz WNKUIMLFDDAXTH-RRQGHBQHSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccc(C)cc2)C1 ZINC001483870473 1088968667 /nfs/dbraw/zinc/96/86/67/1088968667.db2.gz AXEFVUBWOXICED-KRWDZBQOSA-N 0 1 314.473 3.425 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H](C)C(F)(F)F)C1 ZINC001483874809 1088973220 /nfs/dbraw/zinc/97/32/20/1088973220.db2.gz CHDCPXDKOOGXGN-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccccc2)C1 ZINC001483887948 1088990423 /nfs/dbraw/zinc/99/04/23/1088990423.db2.gz YLFJLWPTPAZXLA-HOTGVXAUSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CC(C)(C)c2ccccc2)C1 ZINC001483892392 1088994156 /nfs/dbraw/zinc/99/41/56/1088994156.db2.gz KDAWTDDNYVKSHP-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001483940850 1089022564 /nfs/dbraw/zinc/02/25/64/1089022564.db2.gz HKTUDTAVBOIHNL-LLBKUYECSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCCCCCC(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160927542 1089024378 /nfs/dbraw/zinc/02/43/78/1089024378.db2.gz JOFDFOYXCUOQSL-GHXNOFRVSA-N 0 1 322.880 3.461 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1nc(C)sc1C ZINC001483943551 1089027949 /nfs/dbraw/zinc/02/79/49/1089027949.db2.gz YEAVOKIKSWFIAN-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1cccc(C)c1 ZINC001483947327 1089031637 /nfs/dbraw/zinc/03/16/37/1089031637.db2.gz YTPINCZPKKWCRM-NVXWUHKLSA-N 0 1 320.864 3.432 20 30 DGEDMN C#CCN(C(=O)C(C)=C1CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001483984729 1089067953 /nfs/dbraw/zinc/06/79/53/1089067953.db2.gz WTUJJGFNHNYRJQ-YHYXMXQVSA-N 0 1 320.864 3.166 20 30 DGEDMN C#CCN(C(=O)C[C@@H](CC)C(C)C)C1CCN(CC#CC)CC1 ZINC001483989018 1089072114 /nfs/dbraw/zinc/07/21/14/1089072114.db2.gz UEWXBSABWJSNHM-GOSISDBHSA-N 0 1 316.489 3.008 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(Cl)cn1C ZINC001089668158 1089075287 /nfs/dbraw/zinc/07/52/87/1089075287.db2.gz RHMVVZLIYSEFRZ-CQSZACIVSA-N 0 1 323.868 3.085 20 30 DGEDMN C=CCCCC(=O)NCCC1=CCN(Cc2cccnc2)CC1 ZINC001161056993 1089093278 /nfs/dbraw/zinc/09/32/78/1089093278.db2.gz WJWQNBVKENEKHV-UHFFFAOYSA-N 0 1 313.445 3.076 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)c(C)cn1 ZINC001089672528 1089102412 /nfs/dbraw/zinc/10/24/12/1089102412.db2.gz KROVEENUHWSJQK-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2ccccc2Cl)C1 ZINC001484042302 1089128098 /nfs/dbraw/zinc/12/80/98/1089128098.db2.gz PPMMQQCUFCTWLU-SFHVURJKSA-N 0 1 318.848 3.224 20 30 DGEDMN C=C1CC(C)(C(=O)NCCC2=CCN(C[C@H](F)CC)CC2)C1 ZINC001161178584 1089141798 /nfs/dbraw/zinc/14/17/98/1089141798.db2.gz VUKYSNOJJBUMBN-MRXNPFEDSA-N 0 1 308.441 3.229 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC/C=C/c2ccccc2)CC1 ZINC001161195326 1089150187 /nfs/dbraw/zinc/15/01/87/1089150187.db2.gz UQQKCBJFZIIABA-UXBLZVDNSA-N 0 1 322.452 3.252 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1CC ZINC001089687061 1089164361 /nfs/dbraw/zinc/16/43/61/1089164361.db2.gz DJRKXGOCTXWYGU-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@@H](C)C(C)C ZINC001155581676 1089173409 /nfs/dbraw/zinc/17/34/09/1089173409.db2.gz WMSIJFFOVPUYTI-MSOLQXFVSA-N 0 1 314.473 3.157 20 30 DGEDMN C=CCCC(=O)NCCCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001155593314 1089178742 /nfs/dbraw/zinc/17/87/42/1089178742.db2.gz HOFAORXQKFWEIT-CYBMUJFWSA-N 0 1 307.438 3.095 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc2cc[nH]c2c1 ZINC001089705415 1089245486 /nfs/dbraw/zinc/24/54/86/1089245486.db2.gz FHDCDGFZXMSCFT-QGZVFWFLSA-N 0 1 323.440 3.022 20 30 DGEDMN N#Cc1cc(F)c(NC(=O)c2cccc3cncn32)c(Cl)c1 ZINC001155798467 1089248513 /nfs/dbraw/zinc/24/85/13/1089248513.db2.gz HAESPZQLKGYBGF-UHFFFAOYSA-N 0 1 314.707 3.251 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C(C)C)no1 ZINC001089707599 1089267642 /nfs/dbraw/zinc/26/76/42/1089267642.db2.gz OEPKHLUAETULHZ-CQSZACIVSA-N 0 1 319.449 3.204 20 30 DGEDMN CC(=O)CC(C)=Nc1ncc(C(=O)N2CCCCC2)cc1Cl ZINC001155890857 1089287497 /nfs/dbraw/zinc/28/74/97/1089287497.db2.gz FPDMZWNSEYRDAR-FLIBITNWSA-N 0 1 321.808 3.266 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc([C@H](C#N)c2ccc(C)cc2)nn1 ZINC001155887215 1089287885 /nfs/dbraw/zinc/28/78/85/1089287885.db2.gz JTSMYCZYAGVWJT-IVOILVROSA-N 0 1 306.369 3.345 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc(-c2ccc(C)c([N+](=O)[O-])c2)nn1 ZINC001155891091 1089290817 /nfs/dbraw/zinc/29/08/17/1089290817.db2.gz MLXWZCPTDZRYDS-FLIBITNWSA-N 0 1 312.329 3.265 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ncoc1C(C)C ZINC001089721661 1089297299 /nfs/dbraw/zinc/29/72/99/1089297299.db2.gz JKSGNBQTWQRZDM-CQSZACIVSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001089724439 1089303849 /nfs/dbraw/zinc/30/38/49/1089303849.db2.gz WCFMINSIEFFOSC-CQSZACIVSA-N 0 1 321.852 3.141 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc2ccccc2[nH]1 ZINC001089731241 1089309392 /nfs/dbraw/zinc/30/93/92/1089309392.db2.gz NVZVJTCMPPNFCJ-INIZCTEOSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](CC)C(C)(C)C)CC1 ZINC001161682982 1089345838 /nfs/dbraw/zinc/34/58/38/1089345838.db2.gz HBEFSGYTMKTQSJ-KRWDZBQOSA-N 0 1 304.478 3.220 20 30 DGEDMN CC(C)(C)c1nc2nc[nH]c2c(N[C@H](C#N)c2ccccc2)n1 ZINC001161713665 1089362102 /nfs/dbraw/zinc/36/21/02/1089362102.db2.gz AOTFONZNLLNGHN-GFCCVEGCSA-N 0 1 306.373 3.327 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc(-c4ccncc4)[nH]c3c2)CCC1 ZINC001161775183 1089395821 /nfs/dbraw/zinc/39/58/21/1089395821.db2.gz SGMXWYNEFDIGGK-UHFFFAOYSA-N 0 1 317.352 3.257 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2sccc2C(F)F)CC1 ZINC001161796742 1089406514 /nfs/dbraw/zinc/40/65/14/1089406514.db2.gz SNEBRHLBBXTCDG-UHFFFAOYSA-N 0 1 324.396 3.071 20 30 DGEDMN C[C@H]1CC(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@H](C)C1 ZINC001161914172 1089467302 /nfs/dbraw/zinc/46/73/02/1089467302.db2.gz NLLBOYJUUCUJBH-VXGBXAGGSA-N 0 1 323.400 3.354 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913598 1089467392 /nfs/dbraw/zinc/46/73/92/1089467392.db2.gz BYBCWCBIGCLFRC-LBPRGKRZSA-N 0 1 311.389 3.354 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C(C)(F)F ZINC001484557753 1089492718 /nfs/dbraw/zinc/49/27/18/1089492718.db2.gz RZKOIBXNQNHULD-CQSZACIVSA-N 0 1 322.399 3.049 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](F)c1ccccc1 ZINC001484557538 1089492791 /nfs/dbraw/zinc/49/27/91/1089492791.db2.gz MSITWMOQFLPHHX-LJQANCHMSA-N 0 1 324.399 3.079 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CCC)CC(C)C)CC1 ZINC001161968321 1089498246 /nfs/dbraw/zinc/49/82/46/1089498246.db2.gz OPMIBSNLMPANKU-SFHVURJKSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@]1(C)C=CCC1 ZINC001484556146 1089499371 /nfs/dbraw/zinc/49/93/71/1089499371.db2.gz LBCQKJFDGZPFRM-FQEVSTJZSA-N 0 1 310.441 3.114 20 30 DGEDMN C#CCC1(C(=O)NCCC2=CCN(CCF)CC2)CCCCC1 ZINC001161972558 1089502273 /nfs/dbraw/zinc/50/22/73/1089502273.db2.gz FXCIFTPRVYXLII-UHFFFAOYSA-N 0 1 320.452 3.068 20 30 DGEDMN Cc1ccnc(CNc2cc(/C=N/O)cc(C(F)(F)F)c2)n1 ZINC001162196367 1089603145 /nfs/dbraw/zinc/60/31/45/1089603145.db2.gz KTKUCKONMJPPQF-IFRROFPPSA-N 0 1 310.279 3.224 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001484721688 1089633524 /nfs/dbraw/zinc/63/35/24/1089633524.db2.gz LQTSDYWWIUXZQO-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@H]1CCCN(CCF)C1 ZINC001484772283 1089671371 /nfs/dbraw/zinc/67/13/71/1089671371.db2.gz QBUVADGGHUPJSK-DLBZAZTESA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)/C(C)=C/CC)O2 ZINC001484781244 1089674055 /nfs/dbraw/zinc/67/40/55/1089674055.db2.gz KGYCADATJGNNIC-UFWNIKGBSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)C[C@H](C)CCC)O2 ZINC001484785353 1089677155 /nfs/dbraw/zinc/67/71/55/1089677155.db2.gz SJKAMVXMTLLSPL-IAGOWNOFSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)C(C)(C)C)cc2C1 ZINC001484873297 1089716326 /nfs/dbraw/zinc/71/63/26/1089716326.db2.gz CGJBVSDMRUBBJO-HNNXBMFYSA-N 0 1 312.457 3.324 20 30 DGEDMN C[C@H](C#N)C(=O)NCc1ccc2c(c1)CN(CC1=CCCCC1)C2 ZINC001484873987 1089716815 /nfs/dbraw/zinc/71/68/15/1089716815.db2.gz STSNLIBKOREZNS-OAHLLOKOSA-N 0 1 323.440 3.278 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NCc2cc(F)ccc2Cl)C1 ZINC001484882532 1089725959 /nfs/dbraw/zinc/72/59/59/1089725959.db2.gz NQOCKCASHTWVHS-BLLLJJGKSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NCc2cc(F)ccc2Cl)C1 ZINC001484882534 1089726396 /nfs/dbraw/zinc/72/63/96/1089726396.db2.gz NQOCKCASHTWVHS-WBMJQRKESA-N 0 1 324.827 3.382 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001484941633 1089775603 /nfs/dbraw/zinc/77/56/03/1089775603.db2.gz JJWLHIRXJVZUFQ-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C=C1CCC1 ZINC001485003728 1089840305 /nfs/dbraw/zinc/84/03/05/1089840305.db2.gz GEPYECRDCCLOII-IBGZPJMESA-N 0 1 310.441 3.388 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001485003457 1089842089 /nfs/dbraw/zinc/84/20/89/1089842089.db2.gz AJDPBDFPPZJWCK-KRWDZBQOSA-N 0 1 300.446 3.324 20 30 DGEDMN Cc1cccc2nc(NC(=O)c3cccc4c(C#N)c[nH]c43)[nH]c21 ZINC001157160788 1089849541 /nfs/dbraw/zinc/84/95/41/1089849541.db2.gz VYZCPHCBNAKYOA-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccccc1OC ZINC001485041192 1089859099 /nfs/dbraw/zinc/85/90/99/1089859099.db2.gz XNSWMTQWRKWJAW-AWEZNQCLSA-N 0 1 322.836 3.032 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@](C)(CC)CCC ZINC001485045377 1089864316 /nfs/dbraw/zinc/86/43/16/1089864316.db2.gz VZWRCUSYLQOWDQ-GGPKGHCWSA-N 0 1 312.457 3.208 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(F)cc1 ZINC001485034529 1089867340 /nfs/dbraw/zinc/86/73/40/1089867340.db2.gz OAKCIWPEBVVBOP-HNNXBMFYSA-N 0 1 310.800 3.163 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001485065528 1089899583 /nfs/dbraw/zinc/89/95/83/1089899583.db2.gz LUHVZDRFUTVPQM-LJQANCHMSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]([C@H]2CCN(CC(=C)Cl)C2)C1 ZINC001485083020 1089942108 /nfs/dbraw/zinc/94/21/08/1089942108.db2.gz RLUREOMFAVTUIQ-HOTGVXAUSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C\Cl ZINC001485179940 1090005124 /nfs/dbraw/zinc/00/51/24/1090005124.db2.gz KXCZQTBQEQWMKQ-QHABWNLFSA-N 0 1 307.265 3.391 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC001485181307 1090006755 /nfs/dbraw/zinc/00/67/55/1090006755.db2.gz KTAXTMXECMLCDZ-GJZGRUSLSA-N 0 1 320.481 3.049 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1csc2cc(C)ccc12 ZINC001485306942 1090104724 /nfs/dbraw/zinc/10/47/24/1090104724.db2.gz PGQHRSBHSBPYEP-AWEZNQCLSA-N 0 1 314.454 3.283 20 30 DGEDMN C/C=C(/C)C(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001157784793 1090111156 /nfs/dbraw/zinc/11/11/56/1090111156.db2.gz SJRFPPRFCYHDAW-CNYUDZHQSA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001485359195 1090132948 /nfs/dbraw/zinc/13/29/48/1090132948.db2.gz WFELWDONPZFLMD-HKUYNNGSSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001157994868 1090167056 /nfs/dbraw/zinc/16/70/56/1090167056.db2.gz WVQFJPYSOFKEKC-RBUKOAKNSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)Cc2cccc3ccccc32)C1 ZINC001485534326 1090205469 /nfs/dbraw/zinc/20/54/69/1090205469.db2.gz ZMZAPSGQQDPNFV-HNNXBMFYSA-N 0 1 308.425 3.005 20 30 DGEDMN C=CCCC(=O)N1CC[C@@]2(C1)CN(CC/C=C\CCC)CCO2 ZINC001485552996 1090208052 /nfs/dbraw/zinc/20/80/52/1090208052.db2.gz DJHHAGJORJWJHA-FQQSSWHASA-N 0 1 320.477 3.002 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CN(Cc2cccnc2)CC1(C)C ZINC001485571445 1090211702 /nfs/dbraw/zinc/21/17/02/1090211702.db2.gz YRBQVEUUXMNRAZ-INIZCTEOSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CN(CC#CC)CC2(C)C)CCCCC1 ZINC001485584611 1090219770 /nfs/dbraw/zinc/21/97/70/1090219770.db2.gz IMCNIEBOGQHIKX-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](C)CCC(C)(C)C)C2)C1 ZINC001485665702 1090234961 /nfs/dbraw/zinc/23/49/61/1090234961.db2.gz DCYYDQXNBQAGLP-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CCCC12CC2 ZINC001485817929 1090347584 /nfs/dbraw/zinc/34/75/84/1090347584.db2.gz WXRZDKDCGQVRBW-CABCVRRESA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CCCC12CC2 ZINC001485817932 1090348062 /nfs/dbraw/zinc/34/80/62/1090348062.db2.gz WXRZDKDCGQVRBW-LSDHHAIUSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164224057 1090378109 /nfs/dbraw/zinc/37/81/09/1090378109.db2.gz ZWJSXTZOYIPZBF-UONOGXRCSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164323041 1090400560 /nfs/dbraw/zinc/40/05/60/1090400560.db2.gz ACZPLNAGYQLWJV-CYBMUJFWSA-N 0 1 321.490 3.219 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164346494 1090407492 /nfs/dbraw/zinc/40/74/92/1090407492.db2.gz RLSWGOFUQGKUGT-AWEZNQCLSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1nc2ccccc2o1 ZINC001164346300 1090407895 /nfs/dbraw/zinc/40/78/95/1090407895.db2.gz PDNBLXYEKICLDN-CQSZACIVSA-N 0 1 315.417 3.026 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C=C/C3CC3)CCC[C@@H]12 ZINC001107350359 1090425216 /nfs/dbraw/zinc/42/52/16/1090425216.db2.gz JWBKPITYVXJEGH-RUBBHVMPSA-N 0 1 308.853 3.066 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@@H]1CC[N@H+](Cc1ccc(CC)o1)C2 ZINC001204391211 1090454869 /nfs/dbraw/zinc/45/48/69/1090454869.db2.gz PFODVYCSXGZGOY-MOPGFXCFSA-N 0 1 319.445 3.416 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3(C)CC=CC3)CCC[C@@H]12 ZINC001107531924 1090455622 /nfs/dbraw/zinc/45/56/22/1090455622.db2.gz HGKUHLIMEMWKIS-CRAIPNDOSA-N 0 1 322.880 3.456 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1ncc(F)cc1C#N ZINC001165202643 1090480072 /nfs/dbraw/zinc/48/00/72/1090480072.db2.gz XGOHVYQGAWLOCP-LSDHHAIUSA-N 0 1 324.403 3.192 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C2CCCC2)C1 ZINC001107681269 1090484052 /nfs/dbraw/zinc/48/40/52/1090484052.db2.gz KWZXKDHUAKPCOE-IBGZPJMESA-N 0 1 324.509 3.354 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CC)C1 ZINC001107753540 1090498116 /nfs/dbraw/zinc/49/81/16/1090498116.db2.gz HLPZFUJNBNWTSJ-WMZOPIPTSA-N 0 1 312.498 3.210 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CN(CCCCCCC)CCO1 ZINC001107801803 1090506162 /nfs/dbraw/zinc/50/61/62/1090506162.db2.gz BDHLGHXHUKKTJR-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)CCC)C1 ZINC001107823159 1090509921 /nfs/dbraw/zinc/50/99/21/1090509921.db2.gz KJISARYQDHVACF-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)/C=C\C2CC2)C1 ZINC001107841216 1090514445 /nfs/dbraw/zinc/51/44/45/1090514445.db2.gz KBSPVYXFRKOTLZ-GDWUOILNSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)/C=C\C2CC2)C1 ZINC001107841216 1090514449 /nfs/dbraw/zinc/51/44/49/1090514449.db2.gz KBSPVYXFRKOTLZ-GDWUOILNSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C(C)=C2CCCC2)C1 ZINC001107860145 1090518740 /nfs/dbraw/zinc/51/87/40/1090518740.db2.gz LDLYOVKROTWVAX-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=CCCCC(=O)NCCCNCc1csc(CCCC)n1 ZINC001165535279 1090529064 /nfs/dbraw/zinc/52/90/64/1090529064.db2.gz IGESVKNBVAOWCV-UHFFFAOYSA-N 0 1 323.506 3.438 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C2=CCCCCC2)C1 ZINC001107895286 1090529977 /nfs/dbraw/zinc/52/99/77/1090529977.db2.gz LTNIWNCDUIAEDM-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CC2(C)CCCC2)C1 ZINC001107918538 1090536704 /nfs/dbraw/zinc/53/67/04/1090536704.db2.gz RDVOONUJHFHVJX-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2ncc(C(C)C)o2)CCC1 ZINC001165978078 1090566415 /nfs/dbraw/zinc/56/64/15/1090566415.db2.gz OUDGMRXENFOSRZ-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1(CNCc2csc(C)n2)CC1 ZINC001166589014 1090624493 /nfs/dbraw/zinc/62/44/93/1090624493.db2.gz NSCLAQOEVRKPME-UHFFFAOYSA-N 0 1 321.490 3.040 20 30 DGEDMN C=CCN1C(=O)CCC[C@]12CCCN(Cc1ccccc1F)C2 ZINC001203348569 1090724440 /nfs/dbraw/zinc/72/44/40/1090724440.db2.gz TWJIUUFFFSUXNH-LJQANCHMSA-N 0 1 316.420 3.359 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1cc(C2CC2)no1 ZINC001485928650 1090733767 /nfs/dbraw/zinc/73/37/67/1090733767.db2.gz XXIFRUMDHXJYOP-ZDUSSCGKSA-N 0 1 319.449 3.091 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2ocnc2C)CCCC1 ZINC001485965396 1090738558 /nfs/dbraw/zinc/73/85/58/1090738558.db2.gz OQMDKYHOWDFJKO-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2ocnc2C)CCCC1 ZINC001485965395 1090738871 /nfs/dbraw/zinc/73/88/71/1090738871.db2.gz OQMDKYHOWDFJKO-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccn1)c1cccc(OC(F)F)c1 ZINC001168685395 1090740296 /nfs/dbraw/zinc/74/02/96/1090740296.db2.gz JLUXRSNMPDGRAS-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2oc(C)cc2C)C1 ZINC001494121164 1090740365 /nfs/dbraw/zinc/74/03/65/1090740365.db2.gz CQPGNAOSSMUADS-AWEZNQCLSA-N 0 1 310.825 3.043 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)[C@H]1C ZINC001486173641 1090773945 /nfs/dbraw/zinc/77/39/45/1090773945.db2.gz KRGHKJKVWREIOZ-ZDPZVHOOSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)[C@@H]1C ZINC001486173639 1090774134 /nfs/dbraw/zinc/77/41/34/1090774134.db2.gz KRGHKJKVWREIOZ-QSTIEPAZSA-N 0 1 324.468 3.472 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2scnc2C)[C@@H]1C ZINC001486178806 1090777159 /nfs/dbraw/zinc/77/71/59/1090777159.db2.gz FHVKHFKSVFFUQU-HUUCEWRRSA-N 0 1 321.490 3.277 20 30 DGEDMN Cc1cccc(O[C@@H]2CCCN(Cc3ccc(C#N)cc3)C2)n1 ZINC001203905891 1090834757 /nfs/dbraw/zinc/83/47/57/1090834757.db2.gz GUFTUHQPQVPJPF-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C1CCN(C/C=C\Cl)CC1 ZINC001486436524 1090836050 /nfs/dbraw/zinc/83/60/50/1090836050.db2.gz GABGACCDCUTIEG-OCOPJHETSA-N 0 1 310.869 3.149 20 30 DGEDMN CCOc1ccc(CN2CCN(c3cccc(C#N)c3)CC2)cc1 ZINC001203969258 1090854526 /nfs/dbraw/zinc/85/45/26/1090854526.db2.gz BKHVTZCDVQFLJW-UHFFFAOYSA-N 0 1 321.424 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1C=CC=CC=C1 ZINC001272968329 1090939677 /nfs/dbraw/zinc/93/96/77/1090939677.db2.gz WMPATQFPBSXQAD-MRXNPFEDSA-N 0 1 306.837 3.008 20 30 DGEDMN C=CCOc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001204299781 1090942946 /nfs/dbraw/zinc/94/29/46/1090942946.db2.gz FGCOIGDOGBEMBM-INIZCTEOSA-N 0 1 301.411 3.026 20 30 DGEDMN CCCCCCCOc1ccc(CN2CCOC[C@@H](O)C2)cc1 ZINC001204369132 1090957882 /nfs/dbraw/zinc/95/78/82/1090957882.db2.gz OIPYUKFCOBLRFD-SFHVURJKSA-N 0 1 321.461 3.229 20 30 DGEDMN C=C(C)CCC(=O)NCCN[C@@H](CC)c1ccc(F)cc1F ZINC001128414174 1090958347 /nfs/dbraw/zinc/95/83/47/1090958347.db2.gz VUODRNHJSPHNRY-INIZCTEOSA-N 0 1 310.388 3.478 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001276469395 1090985941 /nfs/dbraw/zinc/98/59/41/1090985941.db2.gz WDYAMHDOUORTFM-KDOFPFPSSA-N 0 1 318.436 3.188 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)CCc1cc(C)[nH]n1 ZINC001138259033 1091127871 /nfs/dbraw/zinc/12/78/71/1091127871.db2.gz YCKGZFPURZKWDU-UHFFFAOYSA-N 0 1 313.401 3.187 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccc(C#N)c2)cc1OC ZINC001138346898 1091148121 /nfs/dbraw/zinc/14/81/21/1091148121.db2.gz PLFWPHHIYKWQJI-UHFFFAOYSA-N 0 1 320.392 3.211 20 30 DGEDMN C#CC1CCN(Cc2ncccc2NC(=O)OC(C)(C)C)CC1 ZINC001138408619 1091170884 /nfs/dbraw/zinc/17/08/84/1091170884.db2.gz QKFUEWXUEIVSRG-UHFFFAOYSA-N 0 1 315.417 3.274 20 30 DGEDMN C#CCOc1ccc(CN2CCc3cc(OC)ccc3C2)cc1 ZINC001138556208 1091227113 /nfs/dbraw/zinc/22/71/13/1091227113.db2.gz KJCONSBEXTVFEA-UHFFFAOYSA-N 0 1 307.393 3.266 20 30 DGEDMN C=CCc1cccc(CN2CC[C@@H](C)C[C@@H]2C(=O)OCC)c1O ZINC001138628545 1091261316 /nfs/dbraw/zinc/26/13/16/1091261316.db2.gz WPXWCGKQIBEMSJ-RHSMWYFYSA-N 0 1 317.429 3.284 20 30 DGEDMN C=C(C)COc1ccccc1CN(C)CCc1noc(C)n1 ZINC001138662279 1091278893 /nfs/dbraw/zinc/27/88/93/1091278893.db2.gz SGVOPRZRESHKFA-UHFFFAOYSA-N 0 1 301.390 3.007 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001494445491 1091289904 /nfs/dbraw/zinc/28/99/04/1091289904.db2.gz DVSKTXULGNQMKD-LLVKDONJSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C2(C)CCCCC2)C1 ZINC001108180264 1091337562 /nfs/dbraw/zinc/33/75/62/1091337562.db2.gz DFNIAJWKLCJDDK-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C2(C)CCCCC2)C1 ZINC001108180618 1091338852 /nfs/dbraw/zinc/33/88/52/1091338852.db2.gz KHBCRVZEJHSIEK-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108188646 1091374735 /nfs/dbraw/zinc/37/47/35/1091374735.db2.gz WJPBTEZUBMGNFL-RBUKOAKNSA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1cnccc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001205862662 1091384076 /nfs/dbraw/zinc/38/40/76/1091384076.db2.gz KTMUVFRMCYWKQI-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cnccc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001205862884 1091384471 /nfs/dbraw/zinc/38/44/71/1091384471.db2.gz QRGRDVOGRBRJQN-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CCOc1cc(CN2CCC(C)(C#N)CC2)ccc1OC(C)=O ZINC001139003993 1091414685 /nfs/dbraw/zinc/41/46/85/1091414685.db2.gz JXWZFAWFKHPVOM-UHFFFAOYSA-N 0 1 316.401 3.136 20 30 DGEDMN CCCn1cc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001139042712 1091428738 /nfs/dbraw/zinc/42/87/38/1091428738.db2.gz HPASCECQNLQYRC-IBGZPJMESA-N 0 1 324.428 3.208 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2ccc(O)cc2F)nc1C#N ZINC001206044314 1091467112 /nfs/dbraw/zinc/46/71/12/1091467112.db2.gz JLMAWHDXFSEPAX-UHFFFAOYSA-N 0 1 316.295 3.399 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc4c3CCCCC4)[C@@H]2C1 ZINC001084432169 1091491522 /nfs/dbraw/zinc/49/15/22/1091491522.db2.gz DRRWPJFEXCQOKZ-YLJYHZDGSA-N 0 1 324.468 3.288 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C)c(Cl)c3F)[C@@H]2C1 ZINC001084541913 1091500410 /nfs/dbraw/zinc/50/04/10/1091500410.db2.gz AMXUUBYUMNFGJK-TZMCWYRMSA-N 0 1 322.811 3.120 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](c3ccccc3)C(C)C)[C@@H]2C1 ZINC001084688194 1091537208 /nfs/dbraw/zinc/53/72/08/1091537208.db2.gz NFTKPZKLVZINIL-GUDVDZBRSA-N 0 1 312.457 3.145 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc4c(c3)C=CCC4)[C@@H]2C1 ZINC001084716602 1091546311 /nfs/dbraw/zinc/54/63/11/1091546311.db2.gz MMKAFWYPXSXFHL-WOJBJXKFSA-N 0 1 322.452 3.368 20 30 DGEDMN CC(C)[C@@H]1COCCN1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139405679 1091549171 /nfs/dbraw/zinc/54/91/71/1091549171.db2.gz XZJPHRQYXVZMTD-FQEVSTJZSA-N 0 1 321.424 3.477 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)c3cc4ccccc4o3)[C@@H]2C1 ZINC001084765395 1091557244 /nfs/dbraw/zinc/55/72/44/1091557244.db2.gz XHJICKMMVMEYFR-USXIJHARSA-N 0 1 324.424 3.255 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001084775216 1091559727 /nfs/dbraw/zinc/55/97/27/1091559727.db2.gz XQOKVKMEMIKFPD-KZNAEPCWSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C1CCC1)C2 ZINC001098299576 1091632686 /nfs/dbraw/zinc/63/26/86/1091632686.db2.gz OXIDHYUNQSXYQK-LZQZEXGQSA-N 0 1 310.441 3.475 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001085024446 1091641846 /nfs/dbraw/zinc/64/18/46/1091641846.db2.gz XKPCRADUDQRHSA-GZKHJMOKSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cc(F)ccc2C)C[C@H]1C ZINC001206489897 1091646793 /nfs/dbraw/zinc/64/67/93/1091646793.db2.gz BMJKCPYPGSZNPU-RHSMWYFYSA-N 0 1 304.409 3.037 20 30 DGEDMN Cc1ccc(CN2CCC(Oc3ccncc3)CC2)cc1C#N ZINC001139723216 1091654970 /nfs/dbraw/zinc/65/49/70/1091654970.db2.gz WMNZNSAMPZCACZ-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3nccnc3C)C2)cc1C#N ZINC001139727247 1091656581 /nfs/dbraw/zinc/65/65/81/1091656581.db2.gz KSHCRMNAZZAEML-GOSISDBHSA-N 0 1 322.412 3.009 20 30 DGEDMN C=CCn1cc(CN2CCC[C@@H](Sc3ccncc3)C2)cn1 ZINC001139770239 1091667176 /nfs/dbraw/zinc/66/71/76/1091667176.db2.gz ILWWLWUROHZDKA-QGZVFWFLSA-N 0 1 314.458 3.221 20 30 DGEDMN C=CCn1cc(CN(C)CCc2cccc(C(F)(F)F)n2)cn1 ZINC001139765834 1091667797 /nfs/dbraw/zinc/66/77/97/1091667797.db2.gz HZSARCWVPYZPIX-UHFFFAOYSA-N 0 1 324.350 3.157 20 30 DGEDMN C=CCn1cc(CN2CCC(Oc3cccc(C)c3)CC2)cn1 ZINC001139772295 1091669127 /nfs/dbraw/zinc/66/91/27/1091669127.db2.gz COHJVHRBNLSHCJ-UHFFFAOYSA-N 0 1 311.429 3.421 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@@H]1CCN(Cc1ncc(C)s1)C2 ZINC001139809064 1091676811 /nfs/dbraw/zinc/67/68/11/1091676811.db2.gz RGAJDYHHOKSFFS-WBVHZDCISA-N 0 1 322.474 3.025 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c3cc(C)ccc3[nH]c2C(=O)OCC)C1 ZINC001139866844 1091696375 /nfs/dbraw/zinc/69/63/75/1091696375.db2.gz KELORNQRZASHCU-HNNXBMFYSA-N 0 1 324.424 3.498 20 30 DGEDMN N#Cc1cc(F)cc(CN2CC[C@@H](Oc3ccc(F)cc3)C2)c1 ZINC001139874550 1091699018 /nfs/dbraw/zinc/69/90/18/1091699018.db2.gz CDHNJGRQFDOFFK-GOSISDBHSA-N 0 1 314.335 3.490 20 30 DGEDMN CCc1noc([C@@H]2CCCN(Cc3cc(F)cc(C#N)c3)C2)n1 ZINC001139876155 1091699406 /nfs/dbraw/zinc/69/94/06/1091699406.db2.gz NAUVWRNELUGPGG-CQSZACIVSA-N 0 1 314.364 3.022 20 30 DGEDMN COc1cccc(-c2ncc(CN3CCC[C@@H](CC#N)C3)cn2)c1 ZINC001140194706 1091796021 /nfs/dbraw/zinc/79/60/21/1091796021.db2.gz IYZCNYNVYBQQHO-HNNXBMFYSA-N 0 1 322.412 3.278 20 30 DGEDMN C=C(C)c1ccc(S(=O)(=O)Nc2ccc(F)c(F)c2)cn1 ZINC001240833188 1091798836 /nfs/dbraw/zinc/79/88/36/1091798836.db2.gz RGXCIXKJALKHFN-UHFFFAOYSA-N 0 1 310.325 3.194 20 30 DGEDMN Cc1ccc2n[nH]c(CN3CCc4ccc(C#N)cc4C3)c2c1 ZINC001140328482 1091827465 /nfs/dbraw/zinc/82/74/65/1091827465.db2.gz FBHHKNNYOTVGFZ-UHFFFAOYSA-N 0 1 302.381 3.301 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc2scnc21 ZINC001085594426 1091845654 /nfs/dbraw/zinc/84/56/54/1091845654.db2.gz GLHMCPCWRXPUHI-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN Cc1noc(C)c1CN1CCC[C@H](Oc2cccc(C#N)c2)C1 ZINC001207139860 1091859196 /nfs/dbraw/zinc/85/91/96/1091859196.db2.gz OLLXKIOGXCSDJF-KRWDZBQOSA-N 0 1 311.385 3.207 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001085642936 1091865693 /nfs/dbraw/zinc/86/56/93/1091865693.db2.gz ZXOSQHWBGKWWCJ-YXOSVLIBSA-N 0 1 316.489 3.168 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2ccncc2s1 ZINC001085640443 1091867402 /nfs/dbraw/zinc/86/74/02/1091867402.db2.gz LRGPOYGZEBZGCT-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN Cc1cncc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001207161490 1091869004 /nfs/dbraw/zinc/86/90/04/1091869004.db2.gz JJZVBVGUELQTHF-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C)cc(OC)c1C ZINC001085698292 1091888747 /nfs/dbraw/zinc/88/87/47/1091888747.db2.gz JCBABFGUBGTWBM-MRXNPFEDSA-N 0 1 316.445 3.034 20 30 DGEDMN Cc1ccc(F)cc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001140593224 1091926431 /nfs/dbraw/zinc/92/64/31/1091926431.db2.gz YCBFGNYPHGDOAS-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN CCCCCC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001207353998 1091935398 /nfs/dbraw/zinc/93/53/98/1091935398.db2.gz YMBFSBGFDAOAAH-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c(C)cccc1Cl ZINC001085800041 1091945022 /nfs/dbraw/zinc/94/50/22/1091945022.db2.gz JWYWJWLGVUHOHV-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN COC(=O)Cc1ccccc1CN(CCC#N)C1CCCC1 ZINC001140653341 1091946210 /nfs/dbraw/zinc/94/62/10/1091946210.db2.gz GKWVKGJXAWTGIS-UHFFFAOYSA-N 0 1 300.402 3.060 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(F)c2ccccc12 ZINC001085833936 1091963272 /nfs/dbraw/zinc/96/32/72/1091963272.db2.gz XZTBYBUCTWYGMT-HNNXBMFYSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C12CCC(CC1)C2(C)C ZINC001085861328 1091982484 /nfs/dbraw/zinc/98/24/84/1091982484.db2.gz VWCQAOFKWUDSHS-KOHRHEQBSA-N 0 1 304.478 3.312 20 30 DGEDMN N#C[C@H]1CN(CC(c2ccccc2)c2ccccc2)CCC1=O ZINC001207525437 1092005997 /nfs/dbraw/zinc/00/59/97/1092005997.db2.gz AJYDBHLQRSYIHM-SFHVURJKSA-N 0 1 304.393 3.233 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1sccc1COC ZINC001085924741 1092013595 /nfs/dbraw/zinc/01/35/95/1092013595.db2.gz FHLGDSNIQZNGHO-HNNXBMFYSA-N 0 1 322.474 3.007 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1sccc1COC ZINC001085924742 1092014409 /nfs/dbraw/zinc/01/44/09/1092014409.db2.gz FHLGDSNIQZNGHO-OAHLLOKOSA-N 0 1 322.474 3.007 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccccc1OC(C)C ZINC001085938103 1092024590 /nfs/dbraw/zinc/02/45/90/1092024590.db2.gz YDCKMMAPARKYQQ-MRXNPFEDSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001276541536 1092036704 /nfs/dbraw/zinc/03/67/04/1092036704.db2.gz ZXPMGYYKDVSJKS-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001207636929 1092054397 /nfs/dbraw/zinc/05/43/97/1092054397.db2.gz ZEZNFIVIWXYJIL-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc([C@H](C)CC)cc1 ZINC001085988561 1092072082 /nfs/dbraw/zinc/07/20/82/1092072082.db2.gz OYIUWCHMWFZOKV-APWZRJJASA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2c(cccc2C)o1 ZINC001085991685 1092078741 /nfs/dbraw/zinc/07/87/41/1092078741.db2.gz SRFWWCBGQLKYQS-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001207717441 1092079976 /nfs/dbraw/zinc/07/99/76/1092079976.db2.gz HWHYHFBDTRHCQL-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN COc1ccc(-c2cccc(CN3CCC(C#N)CC3)n2)cc1 ZINC001140954998 1092080000 /nfs/dbraw/zinc/08/00/00/1092080000.db2.gz OPUWKFOKHPBOFF-UHFFFAOYSA-N 0 1 307.397 3.493 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001085999740 1092091580 /nfs/dbraw/zinc/09/15/80/1092091580.db2.gz SCAODJNFRXMBCZ-KRWDZBQOSA-N 0 1 323.440 3.404 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@@H](c3ccccn3)C2)c1Cl ZINC001141112276 1092141100 /nfs/dbraw/zinc/14/11/00/1092141100.db2.gz WTQKGYYIMDONAX-SNVBAGLBSA-N 0 1 318.833 3.240 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001491632536 1092193626 /nfs/dbraw/zinc/19/36/26/1092193626.db2.gz LTMDJTBVERFOSK-HOTGVXAUSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@H](C)CC(C)(C)C)C1 ZINC001108260402 1092204858 /nfs/dbraw/zinc/20/48/58/1092204858.db2.gz MFTKCXKLQUHQDC-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC001491716517 1092210672 /nfs/dbraw/zinc/21/06/72/1092210672.db2.gz JHMLZBYDWGDFNI-OCCSQVGLSA-N 0 1 308.372 3.183 20 30 DGEDMN Cc1ccc([C@H](C)CCN2CCN(c3cc(C#N)ccn3)CC2)o1 ZINC001208152326 1092224833 /nfs/dbraw/zinc/22/48/33/1092224833.db2.gz AINLGZQQPRZUEI-OAHLLOKOSA-N 0 1 324.428 3.171 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCC(C#N)(C(=O)OC)CC2)CC1 ZINC001208179124 1092243618 /nfs/dbraw/zinc/24/36/18/1092243618.db2.gz KUPWPLBQMNZWRA-MRXNPFEDSA-N 0 1 302.418 3.068 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CC[C@@H](N3CCOCC3)[C@@H](F)C2)CC1 ZINC001208178387 1092244149 /nfs/dbraw/zinc/24/41/49/1092244149.db2.gz BGUZPVYTZIXWLA-CEXWTWQISA-N 0 1 322.468 3.034 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001208255331 1092294062 /nfs/dbraw/zinc/29/40/62/1092294062.db2.gz FYWLEOBFIZEJII-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208292210 1092301730 /nfs/dbraw/zinc/30/17/30/1092301730.db2.gz NJOKNFWEBUALLG-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208294444 1092302421 /nfs/dbraw/zinc/30/24/21/1092302421.db2.gz GGEMUCAXAZURSR-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208315126 1092309599 /nfs/dbraw/zinc/30/95/99/1092309599.db2.gz LMYFBAODGBLXHM-NVXWUHKLSA-N 0 1 318.486 3.268 20 30 DGEDMN CON(C(=O)c1ccc(C#N)c(O)c1)[C@@H](C)c1ccccc1C ZINC001141681281 1092315720 /nfs/dbraw/zinc/31/57/20/1092315720.db2.gz CYZKZLHQMPIZJO-ZDUSSCGKSA-N 0 1 310.353 3.337 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@](C)(CC)CCC)C1 ZINC001108307436 1092326167 /nfs/dbraw/zinc/32/61/67/1092326167.db2.gz ZJSHINCUHUVDNE-RTBURBONSA-N 0 1 324.509 3.376 20 30 DGEDMN N#CCCN1CCN(Cc2ccc3c(c2)Cc2ccccc2-3)CC1 ZINC001141738733 1092328497 /nfs/dbraw/zinc/32/84/97/1092328497.db2.gz ATLDEDOZGLICIW-UHFFFAOYSA-N 0 1 317.436 3.289 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208392896 1092337580 /nfs/dbraw/zinc/33/75/80/1092337580.db2.gz FFMYZFARUSMEJH-RVKKMQEKSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)CC(C)C)C1 ZINC001108337152 1092339263 /nfs/dbraw/zinc/33/92/63/1092339263.db2.gz UMLVOISJJCHATJ-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCN(CCOC)Cc1ccc(Br)cc1C#N ZINC001141807539 1092341800 /nfs/dbraw/zinc/34/18/00/1092341800.db2.gz NODMBBCNWQDFKI-UHFFFAOYSA-N 0 1 311.223 3.179 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208452572 1092356632 /nfs/dbraw/zinc/35/66/32/1092356632.db2.gz SJAVXESNDFLJLN-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208452300 1092358513 /nfs/dbraw/zinc/35/85/13/1092358513.db2.gz DWMLGLRPJIOTDN-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN CSc1nc(CN2CCC[C@H](c3ccccn3)C2)ccc1C#N ZINC001141939059 1092365014 /nfs/dbraw/zinc/36/50/14/1092365014.db2.gz LOVVQJIRNDEYRZ-HNNXBMFYSA-N 0 1 324.453 3.450 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NCc1csc(C)n1 ZINC001492067488 1092367300 /nfs/dbraw/zinc/36/73/00/1092367300.db2.gz IDXIJEQCSCBZSZ-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN OC[C@H]1CCCC[N@H+]1Cc1ccc(C#Cc2ccccc2)s1 ZINC001141972619 1092372259 /nfs/dbraw/zinc/37/22/59/1092372259.db2.gz NYBWFIXLTMSAEC-QGZVFWFLSA-N 0 1 311.450 3.495 20 30 DGEDMN C[C@H]1C[C@@H](NCC#Cc2ccccc2)CN1C(=O)OC(C)(C)C ZINC001168825956 1092383998 /nfs/dbraw/zinc/38/39/98/1092383998.db2.gz VBJXSTQYUFYPFB-DOTOQJQBSA-N 0 1 314.429 3.026 20 30 DGEDMN C[C@@H]1C[C@H](NCC#Cc2ccccc2)CN1C(=O)OC(C)(C)C ZINC001168825959 1092384362 /nfs/dbraw/zinc/38/43/62/1092384362.db2.gz VBJXSTQYUFYPFB-WBVHZDCISA-N 0 1 314.429 3.026 20 30 DGEDMN C=CCC1(C(=O)NC[C@]2(C)CN(C(C)C)CCO2)CCCCC1 ZINC001108416780 1092428092 /nfs/dbraw/zinc/42/80/92/1092428092.db2.gz WIKFEGAHYUKVCP-GOSISDBHSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCCC2CCCC2)C1 ZINC001108424643 1092443854 /nfs/dbraw/zinc/44/38/54/1092443854.db2.gz PJVYOKFARHFSHL-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CN(CCNC(=O)C1CCCCCC1)Cc1ccc(C#N)cc1 ZINC001492212990 1092445262 /nfs/dbraw/zinc/44/52/62/1092445262.db2.gz IRDMKOOLGGXYNP-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1cccc(OC)c1C ZINC001492261786 1092461860 /nfs/dbraw/zinc/46/18/60/1092461860.db2.gz HUCHMQXWQKPWEW-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001168963023 1092466451 /nfs/dbraw/zinc/46/64/51/1092466451.db2.gz SSLXNYCIOQMCPO-AWEZNQCLSA-N 0 1 319.424 3.120 20 30 DGEDMN COc1ccc(CC[N@H+]2CCc3ccc(C#N)cc3C2)cc1OC ZINC001208752896 1092490959 /nfs/dbraw/zinc/49/09/59/1092490959.db2.gz JOUZQHWHBAAWBQ-UHFFFAOYSA-N 0 1 322.408 3.176 20 30 DGEDMN C[C@]1(c2ccc(F)cc2)CN(CCc2ccc(C#N)cc2)CCO1 ZINC001208926784 1092590476 /nfs/dbraw/zinc/59/04/76/1092590476.db2.gz BLVHLGKNVNEAJZ-HXUWFJFHSA-N 0 1 324.399 3.487 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CN(Cc2cccc(F)c2)CC1(C)C ZINC001276601799 1092600473 /nfs/dbraw/zinc/60/04/73/1092600473.db2.gz SRVVAGQIDUQGRU-INIZCTEOSA-N 0 1 318.436 3.365 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)CC2CCCCC2)C1 ZINC001209125813 1092663804 /nfs/dbraw/zinc/66/38/04/1092663804.db2.gz QXGJWUBLMFSRPX-NZSAHSFTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001209146516 1092670890 /nfs/dbraw/zinc/67/08/90/1092670890.db2.gz PFTJDCVUHXFMHF-DZGCQCFKSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1ccc2cccnc2c1O ZINC001149322375 1092680181 /nfs/dbraw/zinc/68/01/81/1092680181.db2.gz JWTKNSLQPSYMPQ-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001209208543 1092696778 /nfs/dbraw/zinc/69/67/78/1092696778.db2.gz JHNUEBGWYAALCG-YQVWRLOYSA-N 0 1 312.457 3.030 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1cc2cccc(O)c2cc1O ZINC001149650292 1092718830 /nfs/dbraw/zinc/71/88/30/1092718830.db2.gz KFCYZHKLVSMGMP-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN N#Cc1ccc2[nH]c(NC(=O)c3ccc(Cl)c(O)c3)nc2c1 ZINC001149884688 1092739500 /nfs/dbraw/zinc/73/95/00/1092739500.db2.gz RZMVIYHXUMZQKK-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCCc2ccccc2)C1 ZINC001209399675 1092756666 /nfs/dbraw/zinc/75/66/66/1092756666.db2.gz YQNRTWAWMSIDEL-KRWDZBQOSA-N 0 1 320.864 3.342 20 30 DGEDMN C=CCCCCCCN1Cc2ccnn2C[C@H](COCC)C1 ZINC001209437084 1092774232 /nfs/dbraw/zinc/77/42/32/1092774232.db2.gz GGNFUUYPAXXZAX-QGZVFWFLSA-N 0 1 305.466 3.488 20 30 DGEDMN Cc1coc(NC(=O)c2cc3cc(Cl)c[nH]c-3n2)c1C#N ZINC001151294898 1092882388 /nfs/dbraw/zinc/88/23/88/1092882388.db2.gz CUDLBBQBSXUJKH-UHFFFAOYSA-N 0 1 300.705 3.242 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCCC(F)(F)F)C1 ZINC001209795005 1092924232 /nfs/dbraw/zinc/92/42/32/1092924232.db2.gz ASOBFVKWIGTSOV-NSHDSACASA-N 0 1 312.763 3.052 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4[nH]cnc4c3)sc2C1 ZINC001209842145 1092942322 /nfs/dbraw/zinc/94/23/22/1092942322.db2.gz DXAPUHHKSABMSX-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN Cc1cc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)ccc1O ZINC001209975764 1093002899 /nfs/dbraw/zinc/00/28/99/1093002899.db2.gz XEGYAVHAPJXPRI-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)CC(C)(C)C)C1 ZINC001209993356 1093007980 /nfs/dbraw/zinc/00/79/80/1093007980.db2.gz PVCFGHGYNKUETA-GXTWGEPZSA-N 0 1 300.874 3.392 20 30 DGEDMN C#Cc1ccc(Nc2c(F)cccc2N2CCN(C)CC2)cc1 ZINC001210118740 1093049285 /nfs/dbraw/zinc/04/92/85/1093049285.db2.gz KHZFGMOTCJPOAS-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1Nc1ccc(O)c([N+](=O)[O-])c1 ZINC001210392851 1093151520 /nfs/dbraw/zinc/15/15/20/1093151520.db2.gz KLWDUYSBQGIUCB-UHFFFAOYSA-N 0 1 300.318 3.472 20 30 DGEDMN N#Cc1cnn(C2CCOCC2)c1Nc1cccc(O)c1Cl ZINC001210854053 1093303795 /nfs/dbraw/zinc/30/37/95/1093303795.db2.gz XDYLIORQUQNUDT-UHFFFAOYSA-N 0 1 318.764 3.209 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3c[nH]c4ncccc34)sc2C1 ZINC001210939417 1093329892 /nfs/dbraw/zinc/32/98/92/1093329892.db2.gz ZJVMPFMLYZHTDE-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@](C)(CC)CCCCC)C1 ZINC001211399552 1093482096 /nfs/dbraw/zinc/48/20/96/1093482096.db2.gz SKWMAOAJTKCRIQ-ZHALLVOQSA-N 0 1 306.494 3.443 20 30 DGEDMN N#Cc1cc(Nc2ccncc2Oc2ccccc2)ccc1N ZINC001213427007 1093584841 /nfs/dbraw/zinc/58/48/41/1093584841.db2.gz IQUKVLUWUPGWDR-UHFFFAOYSA-N 0 1 302.337 3.493 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1Nc1ccc(C#N)cc1 ZINC001213545043 1093608796 /nfs/dbraw/zinc/60/87/96/1093608796.db2.gz DPQRRHYWQAQGIY-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)Cc1ccccc1)C1CC1 ZINC001276712332 1093792557 /nfs/dbraw/zinc/79/25/57/1093792557.db2.gz UEXPFBOQZILJLN-CXAGYDPISA-N 0 1 320.864 3.102 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001214741409 1093841958 /nfs/dbraw/zinc/84/19/58/1093841958.db2.gz JTCPBDVWTIQEAT-VWVDBLKVSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@](C)(F)CCCC)C[C@H]1C ZINC001215008735 1093901032 /nfs/dbraw/zinc/90/10/32/1093901032.db2.gz YUZRXICOIFOVTC-UXIGCNINSA-N 0 1 304.837 3.094 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215376116 1093971631 /nfs/dbraw/zinc/97/16/31/1093971631.db2.gz FAQQKBLTQGTWJQ-DWUVAEMWSA-N 0 1 319.276 3.344 20 30 DGEDMN COc1nccc(SC)c1Nc1cc(C#N)c(F)cc1O ZINC001215929007 1094088392 /nfs/dbraw/zinc/08/83/92/1094088392.db2.gz KURNAMOJPUCCJS-UHFFFAOYSA-N 0 1 305.334 3.272 20 30 DGEDMN Cc1c(C#N)cccc1Nc1ccccc1N1CCN(C)CC1 ZINC001216176069 1094138699 /nfs/dbraw/zinc/13/86/99/1094138699.db2.gz AGLNSHZGBIMNFC-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)c(F)c2)CCN1Cc1ccccc1 ZINC000404077469 1094216146 /nfs/dbraw/zinc/21/61/46/1094216146.db2.gz NTOVOGROKUCDOW-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(Cl)c(Cl)c(Cl)c1 ZINC000404183960 1094238766 /nfs/dbraw/zinc/23/87/66/1094238766.db2.gz IXHDDSXKCSPLOA-RXMQYKEDSA-N 0 1 313.593 3.301 20 30 DGEDMN CC(=O)c1ccccc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216969927 1094285626 /nfs/dbraw/zinc/28/56/26/1094285626.db2.gz UVLKJJCIWDYNGL-UHFFFAOYSA-N 0 1 305.293 3.183 20 30 DGEDMN COc1cc(F)ccc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216969739 1094285796 /nfs/dbraw/zinc/28/57/96/1094285796.db2.gz PBXVYOVQKSJSJX-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3ccc(F)c(O)c3F)n2)c1 ZINC001216986263 1094290139 /nfs/dbraw/zinc/29/01/39/1094290139.db2.gz MICSRBOIHHVNKV-UHFFFAOYSA-N 0 1 317.226 3.398 20 30 DGEDMN C#CCCCCCC(=O)N[C@H](C)C[C@H](C)NCc1cscn1 ZINC001135193338 1094428040 /nfs/dbraw/zinc/42/80/40/1094428040.db2.gz HPXTXTDTFCQGCD-LSDHHAIUSA-N 0 1 321.490 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135180889 1094432003 /nfs/dbraw/zinc/43/20/03/1094432003.db2.gz KMSSUKIMZODUJJ-QWHCGFSZSA-N 0 1 323.506 3.427 20 30 DGEDMN C=CC1(CC(=O)NCCNCc2c(C)noc2C)CCCCC1 ZINC001135187657 1094435964 /nfs/dbraw/zinc/43/59/64/1094435964.db2.gz YVUZQFHYPUWGME-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN N#CCC[C@@H](C#N)CNC[C@H](Cc1ccccc1)c1ccccn1 ZINC001120480418 1094439008 /nfs/dbraw/zinc/43/90/08/1094439008.db2.gz TUYHDLNMZVGXQQ-OALUTQOASA-N 0 1 318.424 3.441 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C2CC2)o1 ZINC001135230427 1094459230 /nfs/dbraw/zinc/45/92/30/1094459230.db2.gz NGDUMDRIIDDOID-STQMWFEESA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](c1ccccc1OC)N1CCCC1 ZINC001120603174 1094467623 /nfs/dbraw/zinc/46/76/23/1094467623.db2.gz NAZNHFCBNASGJZ-KRWDZBQOSA-N 0 1 316.445 3.305 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2cscn2)CCC1 ZINC001135395140 1094515149 /nfs/dbraw/zinc/51/51/49/1094515149.db2.gz NLCICOXUIRITRV-ZIAGYGMSSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@H](C)NCc2cc(C)on2)CC1 ZINC001135415920 1094528418 /nfs/dbraw/zinc/52/84/18/1094528418.db2.gz OEFIOWXURJXAFD-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCCC[C@H](C)CC)[C@H]2C1 ZINC001217998133 1094550653 /nfs/dbraw/zinc/55/06/53/1094550653.db2.gz RSRQEGXCZNRCGZ-FGTMMUONSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)(C)CCC(=O)NCCNCC#Cc1ccccc1Cl ZINC001135534198 1094567993 /nfs/dbraw/zinc/56/79/93/1094567993.db2.gz RWNYGTJSANINLS-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN CCCCCC[C@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001135548769 1094573273 /nfs/dbraw/zinc/57/32/73/1094573273.db2.gz WBGACRJTZHBNRG-INIZCTEOSA-N 0 1 315.461 3.371 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CN(Cc2cscn2)C[C@H]1C ZINC001103385414 1094603534 /nfs/dbraw/zinc/60/35/34/1094603534.db2.gz SGQPQVILUCTNNW-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN CC(C)(C)c1cc(O[C@H]2CNC[C@H]2C#N)c(C(C)(C)C)cc1O ZINC001218200168 1094655052 /nfs/dbraw/zinc/65/50/52/1094655052.db2.gz JYLVXHAOEGWQHH-PXAZEXFGSA-N 0 1 316.445 3.478 20 30 DGEDMN CC(C)(C)c1cc(O[C@@H]2CNC[C@@H]2C#N)c(C(C)(C)C)cc1O ZINC001218200177 1094655148 /nfs/dbraw/zinc/65/51/48/1094655148.db2.gz JYLVXHAOEGWQHH-YVEFUNNKSA-N 0 1 316.445 3.478 20 30 DGEDMN CCC(CC)(CC)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001135825971 1094697494 /nfs/dbraw/zinc/69/74/94/1094697494.db2.gz JOXSORHXHLZZMA-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN CC(C)C[C@@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135868423 1094717286 /nfs/dbraw/zinc/71/72/86/1094717286.db2.gz QGJWBTQPRQDQPL-OAHLLOKOSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)C[C@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135872410 1094724232 /nfs/dbraw/zinc/72/42/32/1094724232.db2.gz JLXDXDDDNLSGRU-HNNXBMFYSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)CC(C)(C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001649146287 1094749021 /nfs/dbraw/zinc/74/90/21/1094749021.db2.gz IQLHLMVWQVLBNE-UHFFFAOYSA-N 0 1 311.389 3.354 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](CCNC/C(Cl)=C\Cl)C1 ZINC001103978057 1094824507 /nfs/dbraw/zinc/82/45/07/1094824507.db2.gz RUKVTYLAHOGAAF-SHFBUDTHSA-N 0 1 319.276 3.346 20 30 DGEDMN N#Cc1sccc1NC(=O)[C@@H](N)Cc1cccc2ccccc21 ZINC001218625311 1094842077 /nfs/dbraw/zinc/84/20/77/1094842077.db2.gz XFJYWGBXMRCZBG-HNNXBMFYSA-N 0 1 321.405 3.281 20 30 DGEDMN C#CC[C@H](N)C(=O)Nc1ccc(Oc2ccccc2)c(Cl)c1 ZINC001218956888 1094914288 /nfs/dbraw/zinc/91/42/88/1094914288.db2.gz COSPUCTYWBZTNO-HNNXBMFYSA-N 0 1 314.772 3.421 20 30 DGEDMN C#CC[C@H](N)C(=O)Nc1cccc2ccc(-c3ccccc3)nc21 ZINC001219220589 1094952327 /nfs/dbraw/zinc/95/23/27/1094952327.db2.gz LDXCOEGRFBIOIL-INIZCTEOSA-N 0 1 315.376 3.191 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N(C)C1CCC1 ZINC001122398558 1094967645 /nfs/dbraw/zinc/96/76/45/1094967645.db2.gz VZIVNKVVAVUIGN-UHFFFAOYSA-N 0 1 322.416 3.386 20 30 DGEDMN C#CCOc1cccc(COC(=O)c2ccc3cncn3c2C)c1 ZINC001122415342 1094971892 /nfs/dbraw/zinc/97/18/92/1094971892.db2.gz SBUNZEUEARLPFB-UHFFFAOYSA-N 0 1 320.348 3.012 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)C[C@@H](C)CC ZINC001122532258 1095000846 /nfs/dbraw/zinc/00/08/46/1095000846.db2.gz WIXQPERENFZOGT-LBPRGKRZSA-N 0 1 302.426 3.035 20 30 DGEDMN N#CC(C(=O)c1ccc(Br)o1)c1cccc(F)n1 ZINC001122631178 1095020826 /nfs/dbraw/zinc/02/08/26/1095020826.db2.gz BPVXLHRNNDYKPU-SSDOTTSWSA-N 0 1 309.094 3.066 20 30 DGEDMN N#CC(C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707859 1095039526 /nfs/dbraw/zinc/03/95/26/1095039526.db2.gz ODYWHCHZKXVRHH-WOLCWHLNSA-N 0 1 314.348 3.102 20 30 DGEDMN N#C[C@@H](C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707859 1095039540 /nfs/dbraw/zinc/03/95/40/1095039540.db2.gz ODYWHCHZKXVRHH-WOLCWHLNSA-N 0 1 314.348 3.102 20 30 DGEDMN N#CC(C(=O)Cc1c[nH]c2ccc(F)cc12)c1cccc(F)n1 ZINC001122714305 1095043726 /nfs/dbraw/zinc/04/37/26/1095043726.db2.gz JCYFCJXJZGHYID-CYBMUJFWSA-N 0 1 311.291 3.260 20 30 DGEDMN N#C[C@@H](C(=O)C1C[C@H]2CC[C@@H](C1)S2)c1cnc2ccccc2n1 ZINC001122980734 1095117495 /nfs/dbraw/zinc/11/74/95/1095117495.db2.gz QCTPOXHFPZIENC-WTUNAVPPSA-N 0 1 323.421 3.480 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2c(C#N)cnc3c(C)cc(F)cc32)[nH]n1 ZINC001337901776 1095134058 /nfs/dbraw/zinc/13/40/58/1095134058.db2.gz HZVRVMCTAVIJNR-NSHDSACASA-N 0 1 323.375 3.051 20 30 DGEDMN C=CCC1(C(=O)NC2[C@H]3CN(C/C=C\Cl)C[C@@H]23)CCCCC1 ZINC001115278220 1095161034 /nfs/dbraw/zinc/16/10/34/1095161034.db2.gz OVUBOISNFGEJKG-BXYBUUHNSA-N 0 1 322.880 3.312 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@H](C)c1csnn1 ZINC001171042885 1095181214 /nfs/dbraw/zinc/18/12/14/1095181214.db2.gz HIEIYWWRKNEIRS-UONOGXRCSA-N 0 1 324.494 3.220 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(Cc2ccccc2)CC1 ZINC001123233026 1095195545 /nfs/dbraw/zinc/19/55/45/1095195545.db2.gz FEMZFQFZQSOCLQ-CYBMUJFWSA-N 0 1 313.788 3.273 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001123336795 1095245796 /nfs/dbraw/zinc/24/57/96/1095245796.db2.gz KYAMHTTVSATKRE-QWHCGFSZSA-N 0 1 317.751 3.119 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cccc(Cl)c2Cl)CC1 ZINC001123348667 1095253483 /nfs/dbraw/zinc/25/34/83/1095253483.db2.gz FGXUPJQIQLKZGO-UHFFFAOYSA-N 0 1 312.196 3.248 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(F)ccc3C)C[C@H]21 ZINC001221424987 1095260846 /nfs/dbraw/zinc/26/08/46/1095260846.db2.gz UEKZWYAKCURQEO-CRAIPNDOSA-N 0 1 316.420 3.133 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(Cc3cc(F)ccc3C)C[C@H]21 ZINC001221424987 1095260858 /nfs/dbraw/zinc/26/08/58/1095260858.db2.gz UEKZWYAKCURQEO-CRAIPNDOSA-N 0 1 316.420 3.133 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC(C)(C)CC(F)(F)F)[C@@H]2C1 ZINC001221980850 1095404905 /nfs/dbraw/zinc/40/49/05/1095404905.db2.gz GMDAVDDMVFEJMW-CHWSQXEVSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(CC=C)CCCCC3)[C@@H]2C1 ZINC001222039983 1095413531 /nfs/dbraw/zinc/41/35/31/1095413531.db2.gz IDRDHRZHJYPEDE-IAGOWNOFSA-N 0 1 302.462 3.232 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21 ZINC001222037026 1095414558 /nfs/dbraw/zinc/41/45/58/1095414558.db2.gz NSCHUELKAJMCLF-XUVXKRRUSA-N 0 1 324.468 3.140 20 30 DGEDMN C=CCN(C)Cc1cnc(-c2ccc(OC)c(OC)c2)s1 ZINC001144068525 1095493611 /nfs/dbraw/zinc/49/36/11/1095493611.db2.gz WFOMCTFNMHFUMH-UHFFFAOYSA-N 0 1 304.415 3.445 20 30 DGEDMN Cc1ccccc1O[C@@H]1CCCN(Cc2ccc(C#N)cn2)C1 ZINC001144160363 1095541441 /nfs/dbraw/zinc/54/14/41/1095541441.db2.gz HKIRZJSPEDFXBM-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C(=O)N1CCC(c2n[nH]c3ccccc32)CC1)C(F)(F)F ZINC001144209962 1095558728 /nfs/dbraw/zinc/55/87/28/1095558728.db2.gz VZFCGLXTCFFMSU-UHFFFAOYSA-N 0 1 323.318 3.387 20 30 DGEDMN C=C(Cc1ccccc1)C(=O)Nc1nc(Cl)cc2[nH]cnc21 ZINC001144388789 1095637308 /nfs/dbraw/zinc/63/73/08/1095637308.db2.gz NRYZWOUMLGJTHU-UHFFFAOYSA-N 0 1 312.760 3.349 20 30 DGEDMN C=CCOCCCC(=O)NCCN[C@H](C)c1ccccc1Cl ZINC001125259642 1095673496 /nfs/dbraw/zinc/67/34/96/1095673496.db2.gz AFZOEIMKQNVGTQ-CQSZACIVSA-N 0 1 324.852 3.090 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)c2ccc(C(C)(C)C#N)cc2)CC1 ZINC001116864853 1095684167 /nfs/dbraw/zinc/68/41/67/1095684167.db2.gz COXBJOWRVVQHFB-UHFFFAOYSA-N 0 1 313.445 3.044 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3cccc(OCC)c3)CC2)cc1 ZINC001144578736 1095714910 /nfs/dbraw/zinc/71/49/10/1095714910.db2.gz LJIFSBNZINSIJN-UHFFFAOYSA-N 0 1 320.436 3.389 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3ccc(Cl)cc3F)o2)CC1 ZINC001117241225 1095744397 /nfs/dbraw/zinc/74/43/97/1095744397.db2.gz NPIPPXUFQJYVNL-UHFFFAOYSA-N 0 1 319.767 3.342 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCC[N@H+](Cc2c(C)cccc2C)C1 ZINC001137854478 1095766858 /nfs/dbraw/zinc/76/68/58/1095766858.db2.gz YCTJMHASBSTDGI-INIZCTEOSA-N 0 1 302.418 3.180 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CCC[C@H](OCc2ccc(C#N)cn2)C1 ZINC001222980992 1095811182 /nfs/dbraw/zinc/81/11/82/1095811182.db2.gz DDSNHYLZVLKGDL-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2ccc(C#N)cn2)C1 ZINC001222980992 1095811200 /nfs/dbraw/zinc/81/12/00/1095811200.db2.gz DDSNHYLZVLKGDL-YJBOKZPZSA-N 0 1 322.412 3.090 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CCN(c2ccccc2F)CC1 ZINC001171221891 1095817536 /nfs/dbraw/zinc/81/75/36/1095817536.db2.gz WTNNSOCIHFPBFE-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2cccc(C#N)n2)C1 ZINC001223061746 1095850889 /nfs/dbraw/zinc/85/08/89/1095850889.db2.gz PDOMOULVXOYLHR-QAPCUYQASA-N 0 1 322.412 3.090 20 30 DGEDMN CC(C)(C#N)c1ccc(COC2CCN(CCF)CC2)cc1 ZINC001223157454 1095887934 /nfs/dbraw/zinc/88/79/34/1095887934.db2.gz WCLPPOJSCCJGJP-UHFFFAOYSA-N 0 1 304.409 3.438 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@H]2COCc3ccccc32)C1 ZINC001118027227 1095924565 /nfs/dbraw/zinc/92/45/65/1095924565.db2.gz VHFRLJVDESACFH-SYYJFZTOSA-N 0 1 304.393 3.471 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)CC(C)(C)C1 ZINC001340374117 1095942485 /nfs/dbraw/zinc/94/24/85/1095942485.db2.gz BAUKGQUQLLGEHO-LBPRGKRZSA-N 0 1 314.437 3.035 20 30 DGEDMN C#CC[C@H](C)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223401498 1095959185 /nfs/dbraw/zinc/95/91/85/1095959185.db2.gz AWWYXBYKEDWAFM-VFZGTOFNSA-N 0 1 324.739 3.097 20 30 DGEDMN C#CCCCCCCN1CCNC(=O)[C@@H]1CC1CCCCC1 ZINC001171268529 1095987661 /nfs/dbraw/zinc/98/76/61/1095987661.db2.gz XYDLCWXWZIPCNP-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2ccc(CN3CCCC3)o2)c1 ZINC001146478868 1096052455 /nfs/dbraw/zinc/05/24/55/1096052455.db2.gz YCRICESLAYTISS-UHFFFAOYSA-N 0 1 324.380 3.140 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2Cc2ccccc2C)CCC1 ZINC001276923629 1096073879 /nfs/dbraw/zinc/07/38/79/1096073879.db2.gz LFKWFGFKQOTAQQ-GOSISDBHSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2ccc3cc[nH]c3c2)C1 ZINC001276924785 1096077264 /nfs/dbraw/zinc/07/72/64/1096077264.db2.gz YXXUETGBPQWVEH-KRWDZBQOSA-N 0 1 323.440 3.215 20 30 DGEDMN CC(C)Oc1ccc(CO/C(=N\O)c2cc(F)ccc2F)cn1 ZINC001223890098 1096087113 /nfs/dbraw/zinc/08/71/13/1096087113.db2.gz NTPYMWIBORJSAU-SILNSSARSA-N 0 1 322.311 3.500 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C[C@H](CC)C(C)(C)C)C[C@@H]21 ZINC001223894250 1096089754 /nfs/dbraw/zinc/08/97/54/1096089754.db2.gz ASYDFYPEEAVRNE-SQNIBIBYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H](C)c1ccccc1C ZINC001276933802 1096103434 /nfs/dbraw/zinc/10/34/34/1096103434.db2.gz ZTCYGLLMOGSRJD-DLBZAZTESA-N 0 1 300.446 3.255 20 30 DGEDMN CCCCCCCC(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001146996068 1096145928 /nfs/dbraw/zinc/14/59/28/1096145928.db2.gz PFBDDOSQMVFJAD-UHFFFAOYSA-N 0 1 317.393 3.078 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCc1ccc([N+](=O)[O-])c2ccccc12 ZINC001224204568 1096162551 /nfs/dbraw/zinc/16/25/51/1096162551.db2.gz SDCXTWUCQFJYHW-SNVBAGLBSA-N 0 1 313.309 3.484 20 30 DGEDMN C=CCCCC(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001224273480 1096177767 /nfs/dbraw/zinc/17/77/67/1096177767.db2.gz ZUDIUJQJXDSSQU-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224385307 1096199170 /nfs/dbraw/zinc/19/91/70/1096199170.db2.gz BFECFZRKFQGBMK-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC1(C(=O)NCC2CCN(C/C=C\Cl)CC2)CCC1 ZINC001224409380 1096204885 /nfs/dbraw/zinc/20/48/85/1096204885.db2.gz FHGGQPCTYCFCTC-WMZJFQQLSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCC1(C(=O)NCC2CCN(C/C=C/Cl)CC2)CCC1 ZINC001224409379 1096205209 /nfs/dbraw/zinc/20/52/09/1096205209.db2.gz FHGGQPCTYCFCTC-ONNFQVAWSA-N 0 1 310.869 3.314 20 30 DGEDMN O=C(C#Cc1ccccc1)N(CCN1CCCC1)c1ccccc1 ZINC001147424187 1096215546 /nfs/dbraw/zinc/21/55/46/1096215546.db2.gz INIADJMMTIAXLT-UHFFFAOYSA-N 0 1 318.420 3.167 20 30 DGEDMN C=C[C@@H](COC)N[C@H]1CCCc2ncc(Br)cc21 ZINC001119632072 1096237971 /nfs/dbraw/zinc/23/79/71/1096237971.db2.gz LGKWENOKOAOLER-FZMZJTMJSA-N 0 1 311.223 3.012 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCCCP(=O)(OCC)OCC ZINC001224763048 1096280333 /nfs/dbraw/zinc/28/03/33/1096280333.db2.gz PAIVFOSARKVIRB-GFCCVEGCSA-N 0 1 320.322 3.269 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001147855447 1096282775 /nfs/dbraw/zinc/28/27/75/1096282775.db2.gz BIBIIWVSJABPEU-HNNXBMFYSA-N 0 1 308.853 3.022 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCCc1ccccc1C(=O)OCC ZINC001224848865 1096300035 /nfs/dbraw/zinc/30/00/35/1096300035.db2.gz JSHMIWGUSXIPFJ-OAHLLOKOSA-N 0 1 318.369 3.032 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001224860239 1096302506 /nfs/dbraw/zinc/30/25/06/1096302506.db2.gz HXDSTCGPUHNLEQ-ZTFGCOKTSA-N 0 1 313.445 3.121 20 30 DGEDMN N#Cc1ccc(F)c(N2CCC3(CN(Cc4ccc[nH]4)C3)CC2)c1 ZINC001277026679 1096370213 /nfs/dbraw/zinc/37/02/13/1096370213.db2.gz WVHFAMVLBRGEQH-UHFFFAOYSA-N 0 1 324.403 3.128 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001225275950 1096381338 /nfs/dbraw/zinc/38/13/38/1096381338.db2.gz WDKUZNPXSDUQBA-QZTJIDSGSA-N 0 1 311.429 3.041 20 30 DGEDMN Cc1ccc(C(=O)N=C(N)c2ccc3cc(O)ccc3c2)c(O)c1 ZINC001148507717 1096391000 /nfs/dbraw/zinc/39/10/00/1096391000.db2.gz UTXXLYVELIIEJQ-UHFFFAOYSA-N 0 1 320.348 3.105 20 30 DGEDMN CCCCCCCCC(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001148738263 1096432639 /nfs/dbraw/zinc/43/26/39/1096432639.db2.gz RAOCVQZZKSFSCR-MRXNPFEDSA-N 0 1 320.481 3.302 20 30 DGEDMN Cc1cc(CNC(=O)c2ccc(C(C)(C)CCC#N)cc2)n[nH]1 ZINC001148907711 1096464689 /nfs/dbraw/zinc/46/46/89/1096464689.db2.gz ABRORYQMWUILTD-UHFFFAOYSA-N 0 1 310.401 3.230 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Br)c(F)c1 ZINC001225579377 1096473042 /nfs/dbraw/zinc/47/30/42/1096473042.db2.gz XEIAMZRXTFGEJU-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@@H]3CC34CC4)C2)CC1 ZINC001086944679 1096517595 /nfs/dbraw/zinc/51/75/95/1096517595.db2.gz GLRYYUDBHYVRGA-CABCVRRESA-N 0 1 322.880 3.242 20 30 DGEDMN C=C[C@@H](CCCCCC)Oc1nc(NC(C)=O)nc2nc[nH]c21 ZINC001225828027 1096540888 /nfs/dbraw/zinc/54/08/88/1096540888.db2.gz RQYDXSJUKXTYFG-LBPRGKRZSA-N 0 1 317.393 3.215 20 30 DGEDMN O=c1ncc(F)c(O[C@@H](C#Cc2ccccc2)c2ccccc2)[nH]1 ZINC001225885950 1096553556 /nfs/dbraw/zinc/55/35/56/1096553556.db2.gz RGXGRRMGZOBFCV-KRWDZBQOSA-N 0 1 320.323 3.493 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001280850362 1096586676 /nfs/dbraw/zinc/58/66/76/1096586676.db2.gz PJADIUYLDMGQDX-UHFFFAOYSA-N 0 1 321.490 3.336 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)cnc1Cl ZINC001226104119 1096613138 /nfs/dbraw/zinc/61/31/38/1096613138.db2.gz IXUDCWYNBBGKNJ-RXMQYKEDSA-N 0 1 318.554 3.096 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C=C)c1ccccc1)C2 ZINC001110134362 1096619511 /nfs/dbraw/zinc/61/95/11/1096619511.db2.gz XVMNXYZBGWRFJR-YSHGAJCASA-N 0 1 310.441 3.254 20 30 DGEDMN C=C[C@H](CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001110135369 1096626701 /nfs/dbraw/zinc/62/67/01/1096626701.db2.gz ZIEMEXYEGCUOFD-LMCOJAPRSA-N 0 1 322.452 3.091 20 30 DGEDMN C[C@@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2ccc(F)cc21 ZINC001226406261 1096682058 /nfs/dbraw/zinc/68/20/58/1096682058.db2.gz DAXFOTIVRVICCF-JTQLQIEISA-N 0 1 304.397 3.123 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2cccc(C(C)C)n2)CC1 ZINC001226528102 1096714069 /nfs/dbraw/zinc/71/40/69/1096714069.db2.gz VAUQLWPTKZAOGH-UHFFFAOYSA-N 0 1 315.461 3.252 20 30 DGEDMN C=CCCCCCC[C@@H](C)Oc1[nH]c(=O)nc2cnncc21 ZINC001226689482 1096752142 /nfs/dbraw/zinc/75/21/42/1096752142.db2.gz AWOMKZNNPUEMNT-GFCCVEGCSA-N 0 1 302.378 3.419 20 30 DGEDMN C=C[C@H](CCCCC)Oc1nc[nH]c(=O)c1Br ZINC001226719732 1096759669 /nfs/dbraw/zinc/75/96/69/1096759669.db2.gz SWABSBPZEWZIAC-SECBINFHSA-N 0 1 301.184 3.458 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](C(C)(C)Oc2nc(=O)[nH]c(C)c2Cl)O1 ZINC001227111002 1096871331 /nfs/dbraw/zinc/87/13/31/1096871331.db2.gz GXPMOBKGKBTZQE-BMIGLBTASA-N 0 1 312.797 3.425 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1C[C@H]2CC[C@@H](C1)[N@H+]2C ZINC001227120273 1096874022 /nfs/dbraw/zinc/87/40/22/1096874022.db2.gz JEUFHTOGFNNUIP-QDMKHBRRSA-N 0 1 315.413 3.327 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2C ZINC001227120273 1096874030 /nfs/dbraw/zinc/87/40/30/1096874030.db2.gz JEUFHTOGFNNUIP-QDMKHBRRSA-N 0 1 315.413 3.327 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[N@@H+](CC)C1 ZINC001227120009 1096874074 /nfs/dbraw/zinc/87/40/74/1096874074.db2.gz CRTCGQCRKHOPDY-AWEZNQCLSA-N 0 1 303.402 3.186 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CCC[N@H+](CC)C1 ZINC001227120009 1096874081 /nfs/dbraw/zinc/87/40/81/1096874081.db2.gz CRTCGQCRKHOPDY-AWEZNQCLSA-N 0 1 303.402 3.186 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001171490047 1096897440 /nfs/dbraw/zinc/89/74/40/1096897440.db2.gz MZBURPVQRAVRDV-CYBMUJFWSA-N 0 1 305.805 3.347 20 30 DGEDMN C=CCOC(=O)N1CCN([C@@H](C)CCc2ccc(C)cc2)CC1 ZINC001171682479 1096947215 /nfs/dbraw/zinc/94/72/15/1096947215.db2.gz WLYGENCXOZZECA-KRWDZBQOSA-N 0 1 316.445 3.256 20 30 DGEDMN C=C(C)CCC(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001227588211 1096956095 /nfs/dbraw/zinc/95/60/95/1096956095.db2.gz JUHKJDLZQUMJOM-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(C)[C@H](CO)N1CCN(c2ccc(Cl)c(Cl)c2)CC1 ZINC001171841532 1096989222 /nfs/dbraw/zinc/98/92/22/1096989222.db2.gz PGNAANIFZVAMHD-HNNXBMFYSA-N 0 1 315.244 3.052 20 30 DGEDMN N#Cc1c(Cl)cccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001227787592 1096989378 /nfs/dbraw/zinc/98/93/78/1096989378.db2.gz FRCCOMCFTVUVAR-OKILXGFUSA-N 0 1 320.820 3.234 20 30 DGEDMN N#Cc1ccc([O-])cc1O[C@H]1CCC[N@H+](Cc2ccccc2)C1 ZINC001228005237 1097030725 /nfs/dbraw/zinc/03/07/25/1097030725.db2.gz JLHVLSSXLMUAJE-SFHVURJKSA-N 0 1 308.381 3.307 20 30 DGEDMN N#Cc1ccc([O-])cc1O[C@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC001228005237 1097030731 /nfs/dbraw/zinc/03/07/31/1097030731.db2.gz JLHVLSSXLMUAJE-SFHVURJKSA-N 0 1 308.381 3.307 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1[C@H]1CC[N@H+](CCF)C1 ZINC001277283838 1097086766 /nfs/dbraw/zinc/08/67/66/1097086766.db2.gz HHTAOAVJVVAIHR-ZWKOTPCHSA-N 0 1 322.468 3.243 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1[C@H]1CCN(CCF)C1 ZINC001277283838 1097086769 /nfs/dbraw/zinc/08/67/69/1097086769.db2.gz HHTAOAVJVVAIHR-ZWKOTPCHSA-N 0 1 322.468 3.243 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)NC1(c2cccc(F)c2)COC1 ZINC001172302963 1097094866 /nfs/dbraw/zinc/09/48/66/1097094866.db2.gz ULWTUGIQLCOBKM-CQSZACIVSA-N 0 1 310.372 3.144 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@@H](C)Cc1ccc(C#N)cc1 ZINC001172310162 1097097261 /nfs/dbraw/zinc/09/72/61/1097097261.db2.gz DWIHBRZYRVYEQY-ZQIUZPCESA-N 0 1 302.418 3.009 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](N[C@@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172290875 1097105640 /nfs/dbraw/zinc/10/56/40/1097105640.db2.gz UATBAGZDUPXUSB-SCLBCKFNSA-N 0 1 305.381 3.081 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)NC1(c2ccc(F)cc2)COC1 ZINC001172300151 1097109547 /nfs/dbraw/zinc/10/95/47/1097109547.db2.gz SEZJLTAHMHVCQS-CQSZACIVSA-N 0 1 310.372 3.144 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccccc1C(=O)OCC(C)C ZINC001228397354 1097112831 /nfs/dbraw/zinc/11/28/31/1097112831.db2.gz XOISLWDHQRBZSE-NSHDSACASA-N 0 1 304.342 3.098 20 30 DGEDMN CCCCCCC[C@H](CC)NCc1nnc(C(=O)OCC)o1 ZINC001172346660 1097120580 /nfs/dbraw/zinc/12/05/80/1097120580.db2.gz LFFLAIMEWVLXCD-ZDUSSCGKSA-N 0 1 311.426 3.475 20 30 DGEDMN CCCCCCC[C@H](CC)NCc1nc(C(=O)OCC)no1 ZINC001172351808 1097122603 /nfs/dbraw/zinc/12/26/03/1097122603.db2.gz VTPJVKPRWGHDDS-ZDUSSCGKSA-N 0 1 311.426 3.475 20 30 DGEDMN C=CC[C@H](CCCC)Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-] ZINC001228610755 1097151984 /nfs/dbraw/zinc/15/19/84/1097151984.db2.gz ZAYGECLRYULZMP-LLVKDONJSA-N 0 1 307.350 3.482 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001228810119 1097195848 /nfs/dbraw/zinc/19/58/48/1097195848.db2.gz QYQDBQFAWDZFNN-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CCc1nc(C)c(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)[nH]1 ZINC001203251671 1097207038 /nfs/dbraw/zinc/20/70/38/1097207038.db2.gz OGWFUJWSYCBYTG-KRWDZBQOSA-N 0 1 324.428 3.196 20 30 DGEDMN O=C1C=C2CN([C@H]3Cc4ccc(Cl)cc4C3)CCC2S1 ZINC001172715056 1097229560 /nfs/dbraw/zinc/22/95/60/1097229560.db2.gz SWYVJSISUBJIGL-LSDHHAIUSA-N 0 1 305.830 3.081 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC[C@@H](C)CC ZINC001277346784 1097230955 /nfs/dbraw/zinc/23/09/55/1097230955.db2.gz YDQVKNNUWNQTDP-KSZLIROESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001229048873 1097244730 /nfs/dbraw/zinc/24/47/30/1097244730.db2.gz NYTNSFYSEHDCBK-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC[C@H](Oc1[nH]c(=O)[nH]c(=O)c1F)c1ccc(Cl)cc1 ZINC001229124419 1097259522 /nfs/dbraw/zinc/25/95/22/1097259522.db2.gz LZVCWTMIMIMZMA-JTQLQIEISA-N 0 1 310.712 3.377 20 30 DGEDMN C[C@@H](CSc1ccc(Cl)cc1)N1CCN(CCC#N)CC1 ZINC001172880223 1097274780 /nfs/dbraw/zinc/27/47/80/1097274780.db2.gz SVAQIRHKGMUHIC-AWEZNQCLSA-N 0 1 323.893 3.352 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CC2CCCC2)C(C)C)CC1 ZINC001229461566 1097325979 /nfs/dbraw/zinc/32/59/79/1097325979.db2.gz AXADOGJIENETIF-SFHVURJKSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCOC1CCC(N2CCc3cnc(SC)nc3C2)CC1 ZINC001173322685 1097417076 /nfs/dbraw/zinc/41/70/76/1097417076.db2.gz IMKBRYMAPTXZPJ-UHFFFAOYSA-N 0 1 319.474 3.070 20 30 DGEDMN C=CCOC1CCC([N@@H+]2Cc3cccc(C(=O)OC)c3C2)CC1 ZINC001173328021 1097418181 /nfs/dbraw/zinc/41/81/81/1097418181.db2.gz XVGJFCNQOYTKJS-UHFFFAOYSA-N 0 1 315.413 3.303 20 30 DGEDMN COC(=O)C[N@@H+](Cc1ccccc1)C1CCC(CC#N)CC1 ZINC001173337373 1097422019 /nfs/dbraw/zinc/42/20/19/1097422019.db2.gz KAKGMDBIYZZSEZ-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN COC(=O)CN(Cc1ccccc1)C1CCC(CC#N)CC1 ZINC001173337373 1097422023 /nfs/dbraw/zinc/42/20/23/1097422023.db2.gz KAKGMDBIYZZSEZ-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN C#CC[C@H](C)Oc1nc(C)c(C(=O)c2ccc(SC)cc2)[nH]1 ZINC001230136324 1097450298 /nfs/dbraw/zinc/45/02/98/1097450298.db2.gz DSINQJGGYFPYPW-NSHDSACASA-N 0 1 314.410 3.462 20 30 DGEDMN C=CCC(CC=C)Oc1[nH]c(=O)nc2cc(C(=O)OC)ccc21 ZINC001230203458 1097457219 /nfs/dbraw/zinc/45/72/19/1097457219.db2.gz OZMJAAFTEPQSMC-UHFFFAOYSA-N 0 1 314.341 3.022 20 30 DGEDMN C=C[C@@H](CCCC)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230454127 1097493643 /nfs/dbraw/zinc/49/36/43/1097493643.db2.gz RGROMQVMVCSZRE-NSHDSACASA-N 0 1 317.393 3.071 20 30 DGEDMN C=CCN(CCCNC(=O)CC1CCCC1)Cc1cccnc1 ZINC001230656205 1097548297 /nfs/dbraw/zinc/54/82/97/1097548297.db2.gz XRTKIJROBZRJFW-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)CCCC(C)=O ZINC001230771147 1097580226 /nfs/dbraw/zinc/58/02/26/1097580226.db2.gz ZBXYEUFOISXGSH-QGZVFWFLSA-N 0 1 310.482 3.249 20 30 DGEDMN COCc1cccc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001174092819 1097600884 /nfs/dbraw/zinc/60/08/84/1097600884.db2.gz MQMGIIZHGWQYQE-UHFFFAOYSA-N 0 1 313.426 3.498 20 30 DGEDMN C[C@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2cc(F)ccc21 ZINC001230884551 1097613732 /nfs/dbraw/zinc/61/37/32/1097613732.db2.gz QLTXEPNJXVJRQA-SNVBAGLBSA-N 0 1 304.397 3.123 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1cncs1 ZINC001230915834 1097622220 /nfs/dbraw/zinc/62/22/20/1097622220.db2.gz IPPWMVGEQGBYGK-AWEZNQCLSA-N 0 1 307.463 3.036 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](C(C)(C)Oc2nc(Cl)nc3[nH]ncc32)O1 ZINC001231046874 1097654457 /nfs/dbraw/zinc/65/44/57/1097654457.db2.gz HEIFDOBACCVNOS-BMIGLBTASA-N 0 1 322.796 3.287 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001231123760 1097675066 /nfs/dbraw/zinc/67/50/66/1097675066.db2.gz WGKKKKCTSAZGAD-KRWDZBQOSA-N 0 1 304.409 3.155 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001231123764 1097675255 /nfs/dbraw/zinc/67/52/55/1097675255.db2.gz WGKKKKCTSAZGAD-QGZVFWFLSA-N 0 1 304.409 3.155 20 30 DGEDMN Cc1cnc(C#N)c(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174394107 1097689127 /nfs/dbraw/zinc/68/91/27/1097689127.db2.gz AYLJGFRWRWRBIZ-UHFFFAOYSA-N 0 1 304.397 3.463 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CC[N@H+]1CCOC1CCCCCC1 ZINC001231179331 1097690615 /nfs/dbraw/zinc/69/06/15/1097690615.db2.gz RVAAIWBLTDKRIR-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CCOC1CCCCCC1 ZINC001231179331 1097690618 /nfs/dbraw/zinc/69/06/18/1097690618.db2.gz RVAAIWBLTDKRIR-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN CC[C@H](C#Cc1ccccc1)Oc1nc(C)cc(O)c1[N+](=O)[O-] ZINC001231263023 1097706680 /nfs/dbraw/zinc/70/66/80/1097706680.db2.gz IAARGYOLINUHBR-CQSZACIVSA-N 0 1 312.325 3.213 20 30 DGEDMN C=C[C@]1(C)CC[C@H](Oc2nc(C)cc(O)c2[N+](=O)[O-])C(C)(C)O1 ZINC001231264895 1097708583 /nfs/dbraw/zinc/70/85/83/1097708583.db2.gz VRMKVPLCWICEFO-BLLLJJGKSA-N 0 1 322.361 3.285 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccnc4[nH]ccc43)sc2C1 ZINC001174409577 1097722075 /nfs/dbraw/zinc/72/20/75/1097722075.db2.gz SRGJZFDKLISZCO-UHFFFAOYSA-N 0 1 309.398 3.179 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)C(=O)C(C)(C)C ZINC001231332933 1097723949 /nfs/dbraw/zinc/72/39/49/1097723949.db2.gz BACXIGQKRBYCFF-HNNXBMFYSA-N 0 1 310.482 3.105 20 30 DGEDMN CCOc1ncccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001174456685 1097732740 /nfs/dbraw/zinc/73/27/40/1097732740.db2.gz ZQKARPXNDAQWMF-UHFFFAOYSA-N 0 1 314.414 3.145 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2cc(C)c(C)cc2C)CC1 ZINC001231486086 1097759764 /nfs/dbraw/zinc/75/97/64/1097759764.db2.gz RQSKZSQJHPAMNG-UHFFFAOYSA-N 0 1 314.429 3.281 20 30 DGEDMN N#CCN(Cc1ccccc1)C(=O)c1cc2c(Cl)[nH]ccc-2n1 ZINC001174570883 1097764396 /nfs/dbraw/zinc/76/43/96/1097764396.db2.gz OYYSQXUCNKQWQC-UHFFFAOYSA-N 0 1 324.771 3.382 20 30 DGEDMN N#Cc1cc(Nc2cnn([C@H]3CCCCO3)c2)c(O)cc1F ZINC001174604452 1097790946 /nfs/dbraw/zinc/79/09/46/1097790946.db2.gz KFTOPGKGKATRFR-OAHLLOKOSA-N 0 1 302.309 3.042 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@H](OCc2ccccc2)C1 ZINC001231618336 1097793130 /nfs/dbraw/zinc/79/31/30/1097793130.db2.gz HGPJCJSDNDWWHH-IBGZPJMESA-N 0 1 322.408 3.358 20 30 DGEDMN C=CCOc1cccc(CN2CCC3SC(=O)C=C3C2)c1 ZINC001231621697 1097793244 /nfs/dbraw/zinc/79/32/44/1097793244.db2.gz HRDIUJKDIFSKTD-MRXNPFEDSA-N 0 1 301.411 3.026 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCN[C@H](C)c1ncccn1 ZINC001174681992 1097799574 /nfs/dbraw/zinc/79/95/74/1097799574.db2.gz IFYYFKIAWPQUJS-HUUCEWRRSA-N 0 1 318.465 3.014 20 30 DGEDMN N#C[C@H]1CC[N@@H+](Cc2ccccc2-c2ccccc2C(=O)[O-])C1 ZINC001231679457 1097815939 /nfs/dbraw/zinc/81/59/39/1097815939.db2.gz KUDIOECSEVUGRL-CQSZACIVSA-N 0 1 306.365 3.397 20 30 DGEDMN N#C[C@H]1CC[N@H+](Cc2ccccc2-c2ccccc2C(=O)[O-])C1 ZINC001231679457 1097815948 /nfs/dbraw/zinc/81/59/48/1097815948.db2.gz KUDIOECSEVUGRL-CQSZACIVSA-N 0 1 306.365 3.397 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccccc2SC)C1 ZINC001231787205 1097843961 /nfs/dbraw/zinc/84/39/61/1097843961.db2.gz MHMVUQYUGGFVCV-OAHLLOKOSA-N 0 1 320.458 3.285 20 30 DGEDMN CN1CCN(c2ccc(Nc3cccc(C#N)c3F)cc2)CC1 ZINC001174815455 1097844733 /nfs/dbraw/zinc/84/47/33/1097844733.db2.gz OEOXKAJNDAVSHF-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccccc2-c2ccc(F)cc2)CCC1=O ZINC001231822610 1097854056 /nfs/dbraw/zinc/85/40/56/1097854056.db2.gz FPPHURQKJCMMGO-MRXNPFEDSA-N 0 1 308.356 3.407 20 30 DGEDMN N#Cc1ccccc1OC1CN(Cc2cccc(Cl)c2O)C1 ZINC001231913923 1097892173 /nfs/dbraw/zinc/89/21/73/1097892173.db2.gz UJEUKWJKWVGLNS-UHFFFAOYSA-N 0 1 314.772 3.180 20 30 DGEDMN COc1ccnc(CN2CCC(C#Cc3ccccc3)CC2)c1 ZINC001231970346 1097903754 /nfs/dbraw/zinc/90/37/54/1097903754.db2.gz ZUWYWONKWSJUKC-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001175082170 1097942018 /nfs/dbraw/zinc/94/20/18/1097942018.db2.gz LYQOHDWZRPZRPO-PBHICJAKSA-N 0 1 307.438 3.042 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](COCC3CC3)C2)cc1Cl ZINC001232115919 1097942396 /nfs/dbraw/zinc/94/23/96/1097942396.db2.gz DJUIMEHWTGDUIR-HNNXBMFYSA-N 0 1 304.821 3.460 20 30 DGEDMN CC(=O)Nc1ccc(CN2CCc3cc(C#N)ccc3C2)c(C)c1 ZINC001232182166 1097959562 /nfs/dbraw/zinc/95/95/62/1097959562.db2.gz QXYIGCCTRIAYSA-UHFFFAOYSA-N 0 1 319.408 3.383 20 30 DGEDMN CCOc1ccc(OC)cc1CN1[C@@H]2CC[C@H]1C[C@H](C#N)C2 ZINC001232385307 1098019427 /nfs/dbraw/zinc/01/94/27/1098019427.db2.gz MENGUEWKTWRFIL-MKZZRHPPSA-N 0 1 300.402 3.360 20 30 DGEDMN COc1cccc(CCC[N@@H+](C)Cc2cc(C#N)ccc2[O-])c1 ZINC001232677227 1098112092 /nfs/dbraw/zinc/11/20/92/1098112092.db2.gz DBZZLGMTSYBCPR-UHFFFAOYSA-N 0 1 310.397 3.337 20 30 DGEDMN Cc1[nH]nc2cc(Nc3c(C#N)cnn3C3CCOCC3)ccc12 ZINC001175660639 1098128987 /nfs/dbraw/zinc/12/89/87/1098128987.db2.gz TYBGCPWLFIQAPL-UHFFFAOYSA-N 0 1 322.372 3.035 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CC12CCCC2 ZINC001277716614 1098140353 /nfs/dbraw/zinc/14/03/53/1098140353.db2.gz ADLBHTRELYGVGF-LSDHHAIUSA-N 0 1 310.869 3.146 20 30 DGEDMN COCC[C@H]1CO[C@@]2(C1)CCCN(Cc1ccc(C#N)s1)C2 ZINC001232843157 1098150583 /nfs/dbraw/zinc/15/05/83/1098150583.db2.gz RZEFHNDAWKXYBD-RHSMWYFYSA-N 0 1 320.458 3.027 20 30 DGEDMN CCOC[C@@]12CCC[C@@H]1CN(Cc1cc(F)ccc1C#N)C2 ZINC001232889112 1098163990 /nfs/dbraw/zinc/16/39/90/1098163990.db2.gz BRMMBTOGSNQEMZ-AEFFLSMTSA-N 0 1 302.393 3.336 20 30 DGEDMN CN1CCN(Cc2cc(F)ccc2C#N)C[C@@H]1c1ccccc1 ZINC001232890940 1098165943 /nfs/dbraw/zinc/16/59/43/1098165943.db2.gz SBBFLXHURSGBFZ-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN Cc1ccc(CN2CCN(C)C[C@@H]2c2ccccc2)c(C#N)c1 ZINC001232961874 1098184359 /nfs/dbraw/zinc/18/43/59/1098184359.db2.gz HHRVBSIUGUJNQE-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN CC(C)CCC(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001277753814 1098211400 /nfs/dbraw/zinc/21/14/00/1098211400.db2.gz YMEKOVJUJHDTJP-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC[N@H+]1CCc2cc(C(=O)N(CC)C(C)C)[nH]c2C1 ZINC001277779804 1098248360 /nfs/dbraw/zinc/24/83/60/1098248360.db2.gz RWALULKROCQRTC-UHFFFAOYSA-N 0 1 303.450 3.210 20 30 DGEDMN C=CCCCN1CCc2cc(C(=O)N(CC)C(C)C)[nH]c2C1 ZINC001277779804 1098248364 /nfs/dbraw/zinc/24/83/64/1098248364.db2.gz RWALULKROCQRTC-UHFFFAOYSA-N 0 1 303.450 3.210 20 30 DGEDMN C#CCN(C)Cc1ccc(Cl)c(I)c1 ZINC001233355003 1098299628 /nfs/dbraw/zinc/29/96/28/1098299628.db2.gz CWIFEXDCNCCVGX-UHFFFAOYSA-N 0 1 319.573 3.010 20 30 DGEDMN COc1cccc(CCC[N@@H+](C)Cc2ccc(C#N)cc2[O-])c1 ZINC001233387090 1098310951 /nfs/dbraw/zinc/31/09/51/1098310951.db2.gz UOPGLNJQPRARIY-UHFFFAOYSA-N 0 1 310.397 3.337 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)c([O-])c1 ZINC001233387785 1098311297 /nfs/dbraw/zinc/31/12/97/1098311297.db2.gz YJWZZLXLFFLXOP-KRWDZBQOSA-N 0 1 300.402 3.045 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@H](Oc3cccc(F)c3)C2)c([O-])c1 ZINC001233388266 1098312341 /nfs/dbraw/zinc/31/23/41/1098312341.db2.gz RXVXAVUFPYUFTD-KRWDZBQOSA-N 0 1 312.344 3.056 20 30 DGEDMN Cc1ccc(C#N)c(Nc2ccc(CCN3CCOCC3)cc2)c1 ZINC001176382857 1098324973 /nfs/dbraw/zinc/32/49/73/1098324973.db2.gz SUXPEJXSEGVGOU-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN N#CCc1ccccc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176382345 1098325611 /nfs/dbraw/zinc/32/56/11/1098325611.db2.gz FUNBTVUIGMVDMP-UHFFFAOYSA-N 0 1 321.424 3.371 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Nc1ccc(N2CCN(C)CC2)nc1 ZINC001176656403 1098410130 /nfs/dbraw/zinc/41/01/30/1098410130.db2.gz SOPOKEHHDHLFPL-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(CCc1c(F)cccc1F)C2 ZINC001176770916 1098436498 /nfs/dbraw/zinc/43/64/98/1098436498.db2.gz BJXPNXADGIKDTE-UHFFFAOYSA-N 0 1 319.380 3.081 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001277809855 1098437534 /nfs/dbraw/zinc/43/75/34/1098437534.db2.gz JSLYJLKJQNDTHB-ZIAGYGMSSA-N 0 1 322.399 3.122 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1CCCc1ccsc1 ZINC001233947863 1098465057 /nfs/dbraw/zinc/46/50/57/1098465057.db2.gz ORNOLBVTONEXMM-KRWDZBQOSA-N 0 1 318.486 3.017 20 30 DGEDMN CSc1ccccc1NC(=S)N(CCC#N)CCC#N ZINC001249698081 1098465313 /nfs/dbraw/zinc/46/53/13/1098465313.db2.gz LVYFPQBQJIEGMA-UHFFFAOYSA-N 0 1 304.444 3.235 20 30 DGEDMN CCCCCC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001233979027 1098471056 /nfs/dbraw/zinc/47/10/56/1098471056.db2.gz XEGAYBWCIWTFBJ-UHFFFAOYSA-N 0 1 307.463 3.138 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H]2OCCN(CC(CC)CC)[C@H]2C1 ZINC001177088234 1098475743 /nfs/dbraw/zinc/47/57/43/1098475743.db2.gz IUQBXAILBCDYBW-ROUUACIJSA-N 0 1 322.493 3.081 20 30 DGEDMN COC(=O)c1cccc2nc(O[C@H](CC#N)c3ccccc3)[nH]c21 ZINC001234046135 1098484982 /nfs/dbraw/zinc/48/49/82/1098484982.db2.gz YLRHGKOIDBZICY-OAHLLOKOSA-N 0 1 321.336 3.383 20 30 DGEDMN CN(C[C@H]1CCN1[C@H]1CCc2ccccc21)C(=O)C#CC(C)(C)C ZINC001234207012 1098514960 /nfs/dbraw/zinc/51/49/60/1098514960.db2.gz XUYCPNUBDGHGMX-MJGOQNOKSA-N 0 1 324.468 3.256 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cncc(OCc2ccccc2)c1 ZINC001234293621 1098530954 /nfs/dbraw/zinc/53/09/54/1098530954.db2.gz IASSGGWPJDYWGN-GFCCVEGCSA-N 0 1 311.337 3.259 20 30 DGEDMN COc1cc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)ccc1C#N ZINC001234498193 1098570805 /nfs/dbraw/zinc/57/08/05/1098570805.db2.gz ZDFXYKNLFBOACL-RHSMWYFYSA-N 0 1 323.396 3.176 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001234554431 1098598169 /nfs/dbraw/zinc/59/81/69/1098598169.db2.gz ISOGXXAIOLRFOS-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C#C[C@@H](CCCCC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234572207 1098604994 /nfs/dbraw/zinc/60/49/94/1098604994.db2.gz KAJSHDNRZIFWFD-JTQLQIEISA-N 0 1 313.195 3.214 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H]1CCN1Cc1cccc(C)c1 ZINC001234594263 1098612903 /nfs/dbraw/zinc/61/29/03/1098612903.db2.gz LZWPJLZNNZHULU-GOSISDBHSA-N 0 1 300.446 3.384 20 30 DGEDMN Cn1ccc2cc(CNC(=O)C(C#N)Cc3cccs3)ccc21 ZINC001177905641 1098643862 /nfs/dbraw/zinc/64/38/62/1098643862.db2.gz XJJJBOUJUZIKGP-HNNXBMFYSA-N 0 1 323.421 3.238 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N1CCC[C@H]1c1nccs1 ZINC001177910217 1098644806 /nfs/dbraw/zinc/64/48/06/1098644806.db2.gz XIXLUWGMRZQQND-AAEUAGOBSA-N 0 1 317.439 3.251 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc(F)ccc1N(C)C ZINC001177880242 1098651858 /nfs/dbraw/zinc/65/18/58/1098651858.db2.gz GCJPTTQNDABZSB-UHFFFAOYSA-N 0 1 312.388 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1cccc(C)c1 ZINC001277894389 1098669144 /nfs/dbraw/zinc/66/91/44/1098669144.db2.gz ZKFJXFQHXCUBRZ-HNNXBMFYSA-N 0 1 308.853 3.117 20 30 DGEDMN C[C@H]1C[N@H+](Cc2ccccc2)C[C@@H]1Oc1ccccc1C=N[O-] ZINC001234825833 1098672931 /nfs/dbraw/zinc/67/29/31/1098672931.db2.gz QKFSMFAWIIDKTJ-KXBFYZLASA-N 0 1 310.397 3.394 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccccc2)C[C@@H]1Oc1ccccc1C=N[O-] ZINC001234825833 1098672943 /nfs/dbraw/zinc/67/29/43/1098672943.db2.gz QKFSMFAWIIDKTJ-KXBFYZLASA-N 0 1 310.397 3.394 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1c(C)onc1CC ZINC001277901419 1098686959 /nfs/dbraw/zinc/68/69/59/1098686959.db2.gz YDIDIDKZCQXLDN-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)[C@@H]1C ZINC001178320067 1098794909 /nfs/dbraw/zinc/79/49/09/1098794909.db2.gz DIVHNMBJNYJNNF-LRDDRELGSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl)CCC1 ZINC001277927957 1098847390 /nfs/dbraw/zinc/84/73/90/1098847390.db2.gz AFPIBNDIZLQYAY-GFCCVEGCSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@@H](C)c1csnn1 ZINC001178596726 1098881199 /nfs/dbraw/zinc/88/11/99/1098881199.db2.gz XLXMQDFPAUYGPK-AWEZNQCLSA-N 0 1 324.494 3.222 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2Cc2cccc(C)c2)CCC1 ZINC001235210055 1098882813 /nfs/dbraw/zinc/88/28/13/1098882813.db2.gz PKFZHAVTALIEMC-IBGZPJMESA-N 0 1 324.468 3.221 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1cc(F)cc(Br)c1O ZINC001235300936 1098949504 /nfs/dbraw/zinc/94/95/04/1098949504.db2.gz AVYINIHNBACKNT-NSHDSACASA-N 0 1 313.170 3.172 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ncc(C)s2)[C@@H]1C ZINC001178795402 1098932919 /nfs/dbraw/zinc/93/29/19/1098932919.db2.gz PRRADMXHSPTCNR-KBPBESRZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(Cc2ccccc2)CC1 ZINC001235438468 1099035696 /nfs/dbraw/zinc/03/56/96/1099035696.db2.gz OJZOZWYCEVSWKM-GOSISDBHSA-N 0 1 312.457 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCN[C@H](C)c1csnn1 ZINC001179235443 1099043559 /nfs/dbraw/zinc/04/35/59/1099043559.db2.gz QUVWUYWTUSTNNS-CYBMUJFWSA-N 0 1 324.494 3.078 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)C1(C)CCCCCC1 ZINC001235455230 1099046133 /nfs/dbraw/zinc/04/61/33/1099046133.db2.gz PKXRRRUIQNFDQO-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179307026 1099059412 /nfs/dbraw/zinc/05/94/12/1099059412.db2.gz PAHPIUNFGCXSCA-GDBMZVCRSA-N 0 1 321.465 3.207 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC[N@@H+]1Cc1ccccc1CC#N ZINC001235522368 1099071141 /nfs/dbraw/zinc/07/11/41/1099071141.db2.gz DWCOGFCCFAZBTI-SFHVURJKSA-N 0 1 319.452 3.459 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN(Cc1ccccc1CC#N)C2 ZINC001235514965 1099072076 /nfs/dbraw/zinc/07/20/76/1099072076.db2.gz NCLQGLMVQOCNGR-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN CC(C)[C@@H](C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001235523934 1099072663 /nfs/dbraw/zinc/07/26/63/1099072663.db2.gz BSJSLAPJYTWNMG-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN CC(C)[C@H](C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001235526196 1099076023 /nfs/dbraw/zinc/07/60/23/1099076023.db2.gz OFIBZKPBCLQUSI-CQSZACIVSA-N 0 1 321.490 3.240 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCCCCNCc1nocc1C ZINC001179339314 1099077841 /nfs/dbraw/zinc/07/78/41/1099077841.db2.gz CCMHUPQBIAIMRU-OAHLLOKOSA-N 0 1 321.465 3.352 20 30 DGEDMN N#CCc1ccccc1CN1CCC[C@H]1C(=O)Nc1ccccc1 ZINC001235529410 1099078501 /nfs/dbraw/zinc/07/85/01/1099078501.db2.gz ZTLWVCXEGLPWSO-IBGZPJMESA-N 0 1 319.408 3.356 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1nc(C)c(C)o1 ZINC001179421260 1099101557 /nfs/dbraw/zinc/10/15/57/1099101557.db2.gz IRZGQDWFMSCDBW-UHFFFAOYSA-N 0 1 321.465 3.414 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1ccsc1 ZINC001235632128 1099102794 /nfs/dbraw/zinc/10/27/94/1099102794.db2.gz FJOZGUSOZVNPKE-MRXNPFEDSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001179463465 1099107150 /nfs/dbraw/zinc/10/71/50/1099107150.db2.gz HQSYFTCHUOQMAD-ZSCUQQAQSA-N 0 1 305.249 3.241 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179481860 1099112956 /nfs/dbraw/zinc/11/29/56/1099112956.db2.gz NDCBQWDPVVOFSZ-GOSISDBHSA-N 0 1 321.465 3.352 20 30 DGEDMN N#C[C@H]1CCCN(Cc2ccc(O)c(OC(F)(F)F)c2)CC1 ZINC001235671690 1099116946 /nfs/dbraw/zinc/11/69/46/1099116946.db2.gz XIVGGPPYTXOZDM-NSHDSACASA-N 0 1 314.307 3.416 20 30 DGEDMN N#Cc1c(F)cccc1C(=O)Nc1cc(F)c(O)cc1Cl ZINC001179659798 1099156844 /nfs/dbraw/zinc/15/68/44/1099156844.db2.gz FBPAUIPOYDGOTJ-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN C#Cc1ccc(CN(CC)[C@@H]2CCN(c3ccccc3)C2=O)cc1 ZINC001179892782 1099226500 /nfs/dbraw/zinc/22/65/00/1099226500.db2.gz RQCJMCIJHAVHOG-HXUWFJFHSA-N 0 1 318.420 3.295 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)Cn1c2ccccc2c2ccccc21 ZINC001180075511 1099301352 /nfs/dbraw/zinc/30/13/52/1099301352.db2.gz OWUTWNCQEPNLKI-UHFFFAOYSA-N 0 1 315.336 3.028 20 30 DGEDMN COC(=O)c1ccc(-c2c(F)cc(F)c(O)c2F)c(C#N)c1 ZINC001235996891 1099320369 /nfs/dbraw/zinc/32/03/69/1099320369.db2.gz ZOOXWCPNJBMOFE-UHFFFAOYSA-N 0 1 307.227 3.135 20 30 DGEDMN C=CCSCC(=O)N1CCC(c2n[nH]c3ccccc32)CC1 ZINC001180559462 1099454891 /nfs/dbraw/zinc/45/48/91/1099454891.db2.gz BBECRHCQKUACTJ-UHFFFAOYSA-N 0 1 315.442 3.188 20 30 DGEDMN N#Cc1ccnnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236469766 1099629545 /nfs/dbraw/zinc/62/95/45/1099629545.db2.gz MRHHZGSWKHCKDB-MSOLQXFVSA-N 0 1 302.381 3.169 20 30 DGEDMN C=CCN(C(=O)c1ncc(C(F)(F)F)[nH]1)C1CCCCC1 ZINC001188288196 1099725378 /nfs/dbraw/zinc/72/53/78/1099725378.db2.gz NEEDPWQLSRCOMK-UHFFFAOYSA-N 0 1 301.312 3.389 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1CCC(C2CC2)CC1 ZINC001278003178 1099763486 /nfs/dbraw/zinc/76/34/86/1099763486.db2.gz QIUVXANINPENNT-IUDNXUCKSA-N 0 1 312.885 3.392 20 30 DGEDMN Cc1cccc(O)c1C(=O)N=C(N)c1ccc2cc(O)ccc2c1 ZINC001188659342 1099812212 /nfs/dbraw/zinc/81/22/12/1099812212.db2.gz LGOIXKALYUWHGR-UHFFFAOYSA-N 0 1 320.348 3.105 20 30 DGEDMN CCCC(=O)N1CC[C@H](N(C)CC#Cc2cccc(Cl)c2)C1 ZINC001188625886 1099816211 /nfs/dbraw/zinc/81/62/11/1099816211.db2.gz KLVZCBKHLRVIMW-KRWDZBQOSA-N 0 1 318.848 3.024 20 30 DGEDMN C[C@]1(O)CCCCN(Cc2ccc(C#N)c(Br)c2)C1 ZINC001236858852 1099839354 /nfs/dbraw/zinc/83/93/54/1099839354.db2.gz WUTLWPALQIFZBQ-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1)[C@H]1CCN(C(=O)/C=C/C(C)(C)C)C1 ZINC001189437167 1100057588 /nfs/dbraw/zinc/05/75/88/1100057588.db2.gz AHWUWSLUGBNEEX-XUDAUXSOSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)/C=C/C(C)(C)C)C1 ZINC001189437167 1100057592 /nfs/dbraw/zinc/05/75/92/1100057592.db2.gz AHWUWSLUGBNEEX-XUDAUXSOSA-N 0 1 324.468 3.173 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001189461844 1100066173 /nfs/dbraw/zinc/06/61/73/1100066173.db2.gz RLLIVECKVIQUKQ-MJGOQNOKSA-N 0 1 312.457 3.007 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](CC)C(C)(C)C)[C@@H]2C1 ZINC001189762786 1100128288 /nfs/dbraw/zinc/12/82/88/1100128288.db2.gz FVCHFMHOOTZSMM-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN COc1ccccc1C1CCN(Cc2ccnc(C#N)c2)CC1 ZINC001237467112 1100134493 /nfs/dbraw/zinc/13/44/93/1100134493.db2.gz RQKXVWQYLLKZTE-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN Cc1ccccc1O[C@H]1CCCN(Cc2ccnc(C#N)c2)C1 ZINC001237469916 1100135959 /nfs/dbraw/zinc/13/59/59/1100135959.db2.gz ICXNSIBUHXYGKU-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H](C)c2ccccc2F)C1 ZINC001189926050 1100151868 /nfs/dbraw/zinc/15/18/68/1100151868.db2.gz KBNGRPINLLKWIW-CVEARBPZSA-N 0 1 316.420 3.223 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)C2CC(C)(C)C2)C1 ZINC001189944270 1100166392 /nfs/dbraw/zinc/16/63/92/1100166392.db2.gz OZKOZHDFSBAFBI-LJQANCHMSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN(C(=O)C[C@H]1C=CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001278114889 1100173522 /nfs/dbraw/zinc/17/35/22/1100173522.db2.gz AXYBFQKNYZZSOI-YKXBDCQTSA-N 0 1 320.864 3.021 20 30 DGEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@@H](C)c2ccccc2F)C1 ZINC001190004175 1100184602 /nfs/dbraw/zinc/18/46/02/1100184602.db2.gz NPZVCXUJASAVFF-JKSUJKDBSA-N 0 1 316.420 3.079 20 30 DGEDMN CN(Cc1cn2cc(C#N)ccc2n1)[C@@H]1CCc2ccccc21 ZINC001237607937 1100184887 /nfs/dbraw/zinc/18/48/87/1100184887.db2.gz CSRKIBPYGWMLNV-GOSISDBHSA-N 0 1 302.381 3.325 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC3(C[C@H]3CCO)CC2)c1 ZINC001237747116 1100236521 /nfs/dbraw/zinc/23/65/21/1100236521.db2.gz RJDVWNMPRFHMBN-OAHLLOKOSA-N 0 1 304.821 3.196 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)CCCCC)[C@@H]2C1 ZINC001190289045 1100242879 /nfs/dbraw/zinc/24/28/79/1100242879.db2.gz BNQONDFXHAWPMW-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCN(CC[S@@](=O)C(F)(F)F)Cc1ccc(C#N)cc1 ZINC001190349081 1100252328 /nfs/dbraw/zinc/25/23/28/1100252328.db2.gz RGPLBZPLHPVEQZ-OAQYLSRUSA-N 0 1 318.364 3.039 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@@H](N(C)C[C@H](F)CC)C1 ZINC001190354497 1100253222 /nfs/dbraw/zinc/25/32/22/1100253222.db2.gz KNNRBGKHFVNELC-CHWSQXEVSA-N 0 1 304.837 3.046 20 30 DGEDMN CCCCCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001151880022 1100299101 /nfs/dbraw/zinc/29/91/01/1100299101.db2.gz LQHGNRBMFXKDFR-GOSISDBHSA-N 0 1 314.473 3.445 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001278194450 1100371344 /nfs/dbraw/zinc/37/13/44/1100371344.db2.gz NBJUFOAVYNZQOV-SJORKVTESA-N 0 1 324.484 3.487 20 30 DGEDMN CC(C)(C)CC(C)(C)C(=O)NCCNCc1ccccc1C#N ZINC001151938590 1100416080 /nfs/dbraw/zinc/41/60/80/1100416080.db2.gz NRHUVBGTTCCBFS-UHFFFAOYSA-N 0 1 315.461 3.226 20 30 DGEDMN COc1cc(CN2CCC[C@@H](Oc3ccncc3)C2)ccc1C#N ZINC001238417082 1100436516 /nfs/dbraw/zinc/43/65/16/1100436516.db2.gz VSFPIKAWRVJOPS-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ncccc1C)C1CCCC1 ZINC001278234155 1100446452 /nfs/dbraw/zinc/44/64/52/1100446452.db2.gz WJAWUVZRLUCBLF-OAHLLOKOSA-N 0 1 321.852 3.021 20 30 DGEDMN N#C[C@@H]1CCCCN1C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001191366783 1100458754 /nfs/dbraw/zinc/45/87/54/1100458754.db2.gz QPQDXBZJLKRONI-ZDUSSCGKSA-N 0 1 314.776 3.248 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CCc1ccccc1C ZINC001273621767 1100498316 /nfs/dbraw/zinc/49/83/16/1100498316.db2.gz BDPZAHUAVFPMOK-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN CCc1cc(CN2CCC[C@@H](c3noc(C)n3)C2)ccc1C#N ZINC001238766496 1100523618 /nfs/dbraw/zinc/52/36/18/1100523618.db2.gz MWALXAQVZZWTPG-QGZVFWFLSA-N 0 1 310.401 3.192 20 30 DGEDMN CCc1cc(CN(CCO)Cc2cccs2)ccc1C#N ZINC001238774021 1100526247 /nfs/dbraw/zinc/52/62/47/1100526247.db2.gz GFKCHEOAVWZRDX-UHFFFAOYSA-N 0 1 300.427 3.177 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001191867878 1100536641 /nfs/dbraw/zinc/53/66/41/1100536641.db2.gz KLLBQZMSMKCEBN-CRAIPNDOSA-N 0 1 315.461 3.025 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)C(C)(C)C2CC2)C1 ZINC001191889499 1100541393 /nfs/dbraw/zinc/54/13/93/1100541393.db2.gz HARBMMBWRCZTPK-IBGZPJMESA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC001191927670 1100544253 /nfs/dbraw/zinc/54/42/53/1100544253.db2.gz CSINOLKFRXXHEU-CRAIPNDOSA-N 0 1 312.413 3.465 20 30 DGEDMN CC[C@@H](C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccc(F)cc1 ZINC001152019312 1100613824 /nfs/dbraw/zinc/61/38/24/1100613824.db2.gz PWVNRYAXSDCPTL-HZPDHXFCSA-N 0 1 318.436 3.050 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001192471780 1100620499 /nfs/dbraw/zinc/62/04/99/1100620499.db2.gz HCALWJNXTKWTCL-ZWKOTPCHSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2ncccc2C)C1 ZINC001192585751 1100638597 /nfs/dbraw/zinc/63/85/97/1100638597.db2.gz BOUWYJWRORCAGR-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC001192585751 1100638602 /nfs/dbraw/zinc/63/86/02/1100638602.db2.gz BOUWYJWRORCAGR-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCCCC[C@H](C)NC(=O)NCCN1CCC(F)CC1 ZINC001192949640 1100679996 /nfs/dbraw/zinc/67/99/96/1100679996.db2.gz KOHBTWYDEFXIHX-HNNXBMFYSA-N 0 1 315.477 3.469 20 30 DGEDMN N#CCS(=O)(=O)Nc1ccc(Cl)cc1-c1ccccc1 ZINC001192985618 1100684343 /nfs/dbraw/zinc/68/43/43/1100684343.db2.gz JNLXWXYQRBKUMM-UHFFFAOYSA-N 0 1 306.774 3.272 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001192997856 1100685857 /nfs/dbraw/zinc/68/58/57/1100685857.db2.gz AKNCZLGKPNCVEI-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CCCCC)C(C)C)C1 ZINC001193340159 1100729479 /nfs/dbraw/zinc/72/94/79/1100729479.db2.gz ZNNXHTNNVOJBOI-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001193432039 1100744306 /nfs/dbraw/zinc/74/43/06/1100744306.db2.gz FNKZCMFTGJPNIC-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001193432039 1100744312 /nfs/dbraw/zinc/74/43/12/1100744312.db2.gz FNKZCMFTGJPNIC-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cnnc3ccc(Cl)cc32)ccc1O ZINC001193499423 1100753103 /nfs/dbraw/zinc/75/31/03/1100753103.db2.gz QSPYVBNCFUMGAO-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN Cc1ccc2[nH]cc(CN(C)C(=O)c3ccc(O)c(C#N)c3)c2c1 ZINC001193504277 1100757352 /nfs/dbraw/zinc/75/73/52/1100757352.db2.gz POMGSXDUPTTZNC-UHFFFAOYSA-N 0 1 319.364 3.326 20 30 DGEDMN Cc1ccc(NC(=O)c2ccc(O)c(C#N)c2)cc1OC(F)F ZINC001193506879 1100757506 /nfs/dbraw/zinc/75/75/06/1100757506.db2.gz ZZHDHUADJLLVLL-UHFFFAOYSA-N 0 1 318.279 3.426 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc3cc(O)ccc32)ccc1O ZINC001193506511 1100757544 /nfs/dbraw/zinc/75/75/44/1100757544.db2.gz SMRVYPWNQVSLMC-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN COc1ccc([C@H](C)N(C)C(=O)c2ccc(O)c(C#N)c2)cc1 ZINC001193505501 1100757649 /nfs/dbraw/zinc/75/76/49/1100757649.db2.gz ZGHIRAHVRSTFED-LBPRGKRZSA-N 0 1 310.353 3.106 20 30 DGEDMN Cc1ccc2cccnc2c1NC(=O)c1ccc(O)c(C#N)c1 ZINC001193504335 1100757683 /nfs/dbraw/zinc/75/76/83/1100757683.db2.gz BMTHQKSIFXZRHN-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN N#Cc1cc(C(=O)N2CC[C@H](c3ccccc3F)C2)ccc1O ZINC001193504373 1100757748 /nfs/dbraw/zinc/75/77/48/1100757748.db2.gz QTYJSIAZRWJVDY-ZDUSSCGKSA-N 0 1 310.328 3.033 20 30 DGEDMN COc1cc(NC(=O)c2ccc(O)c(C#N)c2)cc2cccnc21 ZINC001193511628 1100758540 /nfs/dbraw/zinc/75/85/40/1100758540.db2.gz DUUXXWVSSKDSKA-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN N#Cc1cc(C(=O)NCc2cccc(C(F)F)c2)ccc1O ZINC001193512016 1100758613 /nfs/dbraw/zinc/75/86/13/1100758613.db2.gz JDRPWPRRQGRLPR-UHFFFAOYSA-N 0 1 302.280 3.131 20 30 DGEDMN N#Cc1cc(Cl)cc(Cl)c1NS(=O)(=O)CC1CCC1 ZINC001193514251 1100759141 /nfs/dbraw/zinc/75/91/41/1100759141.db2.gz PSBOYTUGZGRUMI-UHFFFAOYSA-N 0 1 319.213 3.407 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2ccccc2C#N)cc1)[C@@H]1CCCO1 ZINC001193604804 1100781469 /nfs/dbraw/zinc/78/14/69/1100781469.db2.gz HIBMKFYDBSPXLJ-SFTDATJTSA-N 0 1 316.404 3.496 20 30 DGEDMN CC[C@H]1CCN(CC(=O)Nc2sc(C)c(C)c2C#N)[C@H]1C ZINC001193604792 1100781838 /nfs/dbraw/zinc/78/18/38/1100781838.db2.gz HFXPBDAHQBVFDX-AAEUAGOBSA-N 0 1 305.447 3.296 20 30 DGEDMN Cc1cc(C)cc(OC[C@@H](C)NC(=O)c2cccc(C#N)c2O)c1 ZINC001193652352 1100784587 /nfs/dbraw/zinc/78/45/87/1100784587.db2.gz FRHIGKXONPLUCX-CQSZACIVSA-N 0 1 324.380 3.078 20 30 DGEDMN CC(C)C[C@H](NC(=O)c1cccc(C#N)c1O)c1ccccn1 ZINC001193660309 1100785914 /nfs/dbraw/zinc/78/59/14/1100785914.db2.gz WTPZTPKSLKEOIY-INIZCTEOSA-N 0 1 309.369 3.176 20 30 DGEDMN CC(C)Oc1ccc(CCNC(=O)c2cccc(C#N)c2O)cc1 ZINC001193658250 1100786116 /nfs/dbraw/zinc/78/61/16/1100786116.db2.gz ZWOYJVXOBCFJIB-UHFFFAOYSA-N 0 1 324.380 3.024 20 30 DGEDMN N#Cc1cccc(C(=O)N[C@@H](c2ccccc2)C(F)(F)F)c1O ZINC001193658075 1100786222 /nfs/dbraw/zinc/78/62/22/1100786222.db2.gz RSOKSWJEPHSIBP-AWEZNQCLSA-N 0 1 320.270 3.297 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(C(=O)N4CCCC4)cc3)c12 ZINC001239897099 1100791158 /nfs/dbraw/zinc/79/11/58/1100791158.db2.gz RBTSQQHJSDSJHH-UHFFFAOYSA-N 0 1 316.364 3.338 20 30 DGEDMN N#Cc1c(F)cc(-c2nc[nH]c3nc(=S)sc2-3)cc1F ZINC001239921909 1100799304 /nfs/dbraw/zinc/79/93/04/1100799304.db2.gz YCMRBJGTDAHHTL-UHFFFAOYSA-N 0 1 306.322 3.192 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@@H](N(C)C/C=C/Cl)C2)CCCCC1 ZINC001194367963 1100920732 /nfs/dbraw/zinc/92/07/32/1100920732.db2.gz NNLQXOZLFLPKRU-NYUWMOHMSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCCCCCN1CCCN(C(=O)c2cncs2)CC1 ZINC001195008066 1101052571 /nfs/dbraw/zinc/05/25/71/1101052571.db2.gz LFNUITRIUOIBLR-UHFFFAOYSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCO[C@H]2CCCC[C@H]2C)CC1 ZINC001195182861 1101084750 /nfs/dbraw/zinc/08/47/50/1101084750.db2.gz XXZVUWHVOOKPSB-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2cccc(OC)c2C)CC1 ZINC001195224996 1101101936 /nfs/dbraw/zinc/10/19/36/1101101936.db2.gz ALTXFOUZRAUDTM-UHFFFAOYSA-N 0 1 316.445 3.004 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(F)cc3CC#N)cc2)CC1 ZINC001240854408 1101158644 /nfs/dbraw/zinc/15/86/44/1101158644.db2.gz IFYQBRGQVQFJSF-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN C/C(=N\O)c1ccc(-c2ccc(N3CCN(C)CC3)cc2)cc1 ZINC001240854261 1101158713 /nfs/dbraw/zinc/15/87/13/1101158713.db2.gz JBXZCXZUWRGKEH-HMMYKYKNSA-N 0 1 309.413 3.304 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(OCCC#N)cc3)cc2)CC1 ZINC001240853806 1101158981 /nfs/dbraw/zinc/15/89/81/1101158981.db2.gz AFNSZOWHMPQGSZ-UHFFFAOYSA-N 0 1 321.424 3.398 20 30 DGEDMN COc1ccc(-c2ccc(N3CCN(C)CC3)cc2)c(CC#N)c1 ZINC001240855500 1101159933 /nfs/dbraw/zinc/15/99/33/1101159933.db2.gz SUXSUUDDLOTYBF-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccnc(Cl)c2Cl)c(O)c1 ZINC001195733900 1101182292 /nfs/dbraw/zinc/18/22/92/1101182292.db2.gz YFNPQJIEORFYOT-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1ccc(C#N)cc1O ZINC001195765226 1101193667 /nfs/dbraw/zinc/19/36/67/1101193667.db2.gz YAZJBHLJFZFXNA-CYBMUJFWSA-N 0 1 322.364 3.320 20 30 DGEDMN Cc1cc(OC(F)F)ccc1NC(=O)c1ccc(C#N)cc1O ZINC001195751372 1101198879 /nfs/dbraw/zinc/19/88/79/1101198879.db2.gz TWJOBQZNGXCSKJ-UHFFFAOYSA-N 0 1 318.279 3.426 20 30 DGEDMN N#C[C@H](NC(=O)c1cc(Cl)c(F)cc1O)c1ccccc1 ZINC001196452739 1101318300 /nfs/dbraw/zinc/31/83/00/1101318300.db2.gz HPGUCHMFSVMRHN-ZDUSSCGKSA-N 0 1 304.708 3.179 20 30 DGEDMN N#Cc1cc(-c2cc([O-])cc(F)c2)ccc1OC1CC[NH2+]CC1 ZINC001241277935 1101344276 /nfs/dbraw/zinc/34/42/76/1101344276.db2.gz RXIOASAMFFRHGE-UHFFFAOYSA-N 0 1 312.344 3.201 20 30 DGEDMN Cc1cc(O)ccc1NS(=O)(=O)c1cc(Cl)ccc1C#N ZINC001196679730 1101357241 /nfs/dbraw/zinc/35/72/41/1101357241.db2.gz IJRFAIHMGBIISM-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN CC#CCCCC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196846234 1101405268 /nfs/dbraw/zinc/40/52/68/1101405268.db2.gz ARHGRVIRFLPOPE-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN CC#CCCCC(=O)N1CCCN(Cc2csc(C)c2)CC1 ZINC001196847202 1101406460 /nfs/dbraw/zinc/40/64/60/1101406460.db2.gz WXJCTQGNZIFLKB-UHFFFAOYSA-N 0 1 318.486 3.284 20 30 DGEDMN C=CCCC[N@@H+]1CCCN(C(=O)c2cccc(F)c2F)CC1 ZINC001196943253 1101439239 /nfs/dbraw/zinc/43/92/39/1101439239.db2.gz LYGYVHATTQINBW-UHFFFAOYSA-N 0 1 308.372 3.079 20 30 DGEDMN N#Cc1ccc(N2CCN(CCc3cccc(F)c3)CC2)cc1 ZINC001197031443 1101460983 /nfs/dbraw/zinc/46/09/83/1101460983.db2.gz KMLZQNHGZXQULP-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN COc1nc(C2=CCN(Cc3ccccc3)CC2)c(F)cc1C#N ZINC001241502624 1101517529 /nfs/dbraw/zinc/51/75/29/1101517529.db2.gz PMEWNDHFLSECOO-UHFFFAOYSA-N 0 1 323.371 3.390 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@@H](C)CCCCC)CC1 ZINC001197353921 1101538815 /nfs/dbraw/zinc/53/88/15/1101538815.db2.gz LSEZOZKXJYYGBU-SFHVURJKSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001197428278 1101566465 /nfs/dbraw/zinc/56/64/65/1101566465.db2.gz VOMYCDMJKHPNND-DLBZAZTESA-N 0 1 324.509 3.042 20 30 DGEDMN C#CCC1(C(=O)N2CCCN(CC(=C)C)CC2)CCCCC1 ZINC001198316149 1101816547 /nfs/dbraw/zinc/81/65/47/1101816547.db2.gz VHDIZHDWUYARDC-UHFFFAOYSA-N 0 1 302.462 3.071 20 30 DGEDMN C=CCC[C@H]1CCCN1Cc1ccc(S(=O)(=O)CC)cc1 ZINC001198414472 1101847999 /nfs/dbraw/zinc/84/79/99/1101847999.db2.gz SIKOMMLHRIGDDM-INIZCTEOSA-N 0 1 307.459 3.411 20 30 DGEDMN C=CCN[C@@H](CNC(=O)c1cccs1)c1ccccc1OC ZINC001198509468 1101902269 /nfs/dbraw/zinc/90/22/69/1101902269.db2.gz YHBSXNYWXLGZJK-AWEZNQCLSA-N 0 1 316.426 3.003 20 30 DGEDMN C=CCN[C@H](CNC(=O)/C=C/C(C)(C)C)c1ccccc1OC ZINC001198616118 1101929297 /nfs/dbraw/zinc/92/92/97/1101929297.db2.gz DUOKLIVLNURIQN-LPQFERQCSA-N 0 1 316.445 3.230 20 30 DGEDMN N#Cc1nc(-c2cc(C(F)(F)F)ccc2O)cc2[nH]cnc21 ZINC001242131294 1101929663 /nfs/dbraw/zinc/92/96/63/1101929663.db2.gz GSLDPBBFECHATA-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](C)C(C)(C)C)c1ccccc1OC ZINC001198777322 1101985806 /nfs/dbraw/zinc/98/58/06/1101985806.db2.gz YEVLEPQILBINLH-GOEBONIOSA-N 0 1 318.461 3.310 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CC1(C)CC1)c1ccccc1OC ZINC001198806588 1101994996 /nfs/dbraw/zinc/99/49/96/1101994996.db2.gz ZIJNTPUETPIKLQ-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H]1C[C@H]1CC)c1ccccc1OC ZINC001198908181 1102030848 /nfs/dbraw/zinc/03/08/48/1102030848.db2.gz BUSRIAZQOJVMFV-DJIMGWMZSA-N 0 1 316.445 3.064 20 30 DGEDMN O/N=C/c1cc(-c2cnc(N3CCC3)nc2)cc(C(F)(F)F)c1 ZINC001242705097 1102296864 /nfs/dbraw/zinc/29/68/64/1102296864.db2.gz WZXLHPUUAHFMDO-QPSGOUHRSA-N 0 1 322.290 3.181 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C/C=C(/C)C=C ZINC001273826353 1102345500 /nfs/dbraw/zinc/34/55/00/1102345500.db2.gz XYCLEQORSYPKBL-OWMRDYPQSA-N 0 1 322.452 3.075 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2csc(Cl)c2)cc1C#N ZINC001201295484 1102547027 /nfs/dbraw/zinc/54/70/27/1102547027.db2.gz SLXUVRPFMGQQGZ-UHFFFAOYSA-N 0 1 312.803 3.382 20 30 DGEDMN COC(=O)c1cncc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)c1 ZINC001243148090 1102553872 /nfs/dbraw/zinc/55/38/72/1102553872.db2.gz QXHZKCXQSRAKAX-CGOBSMCZSA-N 0 1 324.258 3.362 20 30 DGEDMN COc1ccc(C#N)cc1Nc1ccccc1N1CCN(C)CC1 ZINC001201585600 1102662777 /nfs/dbraw/zinc/66/27/77/1102662777.db2.gz PCCZPQPVZCWFDW-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CCOC(=O)c1cc(-c2ccc(O)c(F)c2F)ccc1C#N ZINC001243341306 1102671400 /nfs/dbraw/zinc/67/14/00/1102671400.db2.gz NHIICFFRSJJSQE-UHFFFAOYSA-N 0 1 303.264 3.386 20 30 DGEDMN CCCCCCCN1CCc2onc(Cn3ccnc3)c2C1 ZINC001201762348 1102722430 /nfs/dbraw/zinc/72/24/30/1102722430.db2.gz GZDFSUXEBFDCRW-UHFFFAOYSA-N 0 1 302.422 3.248 20 30 DGEDMN CN1CCN(c2cccc(-c3cccc(F)c3CC#N)c2)CC1 ZINC001243673167 1102908077 /nfs/dbraw/zinc/90/80/77/1102908077.db2.gz MIHLPHDNSOYGSM-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](c1ccccc1)C(C)C)C2 ZINC001111070309 1103034398 /nfs/dbraw/zinc/03/43/98/1103034398.db2.gz BGNWXOMEZYHVRB-YRXWBPOGSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)Cc1ccccc1)C2 ZINC001111079730 1103037860 /nfs/dbraw/zinc/03/78/60/1103037860.db2.gz UNBBGGUNOPDFCH-MKXGPGLRSA-N 0 1 312.457 3.163 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)OC1CCCC1)C2 ZINC001111084775 1103039837 /nfs/dbraw/zinc/03/98/37/1103039837.db2.gz QOINPUCERLKGSK-NRSFXHEJSA-N 0 1 320.477 3.022 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)c1ccccc1C)C2 ZINC001111101225 1103045289 /nfs/dbraw/zinc/04/52/89/1103045289.db2.gz PXBSRLZHMGJFKN-LFGUQSLTSA-N 0 1 324.468 3.233 20 30 DGEDMN N#Cc1cccc(NC(=O)Nc2cnccc2N2CCCC2)c1 ZINC001202779661 1103056997 /nfs/dbraw/zinc/05/69/97/1103056997.db2.gz UPDHKLUIALLUJW-UHFFFAOYSA-N 0 1 307.357 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1ccc(C)s1 ZINC001488703129 1103131938 /nfs/dbraw/zinc/13/19/38/1103131938.db2.gz NVNLQCYPSYGRFK-MRXNPFEDSA-N 0 1 320.502 3.488 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](CC)C(C)(C)C)C2 ZINC001111269026 1103150237 /nfs/dbraw/zinc/15/02/37/1103150237.db2.gz MLBOUXMXDWKYDI-HZMVEIRTSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2Cc1ccccc1C#N ZINC001325883935 1103150202 /nfs/dbraw/zinc/15/02/02/1103150202.db2.gz SXMWODIUTNHDBA-MOPGFXCFSA-N 0 1 323.440 3.090 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccc1C#N ZINC001325883935 1103150204 /nfs/dbraw/zinc/15/02/04/1103150204.db2.gz SXMWODIUTNHDBA-MOPGFXCFSA-N 0 1 323.440 3.090 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](F)CCCCCC)C2 ZINC001111278268 1103169017 /nfs/dbraw/zinc/16/90/17/1103169017.db2.gz DKHPGUVUAKFZHN-TWMKSMIVSA-N 0 1 308.441 3.040 20 30 DGEDMN COc1ccc2c(c1)C(N=Nc1ccn(C)n1)CCCS2 ZINC001326241234 1103244084 /nfs/dbraw/zinc/24/40/84/1103244084.db2.gz XLSBXPYRSCOEKP-UHFFFAOYSA-N 0 1 302.403 3.131 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1CC ZINC001489103212 1103269256 /nfs/dbraw/zinc/26/92/56/1103269256.db2.gz JMBACMZORTUXJD-FHLIZLRMSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(C)cc1Cl)C2 ZINC001095866800 1103273442 /nfs/dbraw/zinc/27/34/42/1103273442.db2.gz PPVBRNKSESJPCD-COXVUDFISA-N 0 1 318.848 3.478 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccccc1 ZINC001326444385 1103315681 /nfs/dbraw/zinc/31/56/81/1103315681.db2.gz BLBNQYORZZZHSK-UHFFFAOYSA-N 0 1 306.409 3.072 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)CCCF)cc1 ZINC001326481550 1103334130 /nfs/dbraw/zinc/33/41/30/1103334130.db2.gz RJGAZOKQXRQXJY-CYBMUJFWSA-N 0 1 312.816 3.456 20 30 DGEDMN C[C@H](O)[C@H](NC1CC(C#N)(c2ccccc2)C1)c1ccccc1F ZINC001326519592 1103353271 /nfs/dbraw/zinc/35/32/71/1103353271.db2.gz SGSJMLUVKHRYBD-RDGXXEPHSA-N 0 1 324.399 3.461 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)CCCCCC ZINC001114665393 1103361053 /nfs/dbraw/zinc/36/10/53/1103361053.db2.gz GUPNHHZXBWKOMV-BDXSIMOUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CCCC1CCCC1 ZINC001489334277 1103364844 /nfs/dbraw/zinc/36/48/44/1103364844.db2.gz PDXXTUYPPRLSPT-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(CCF)CC(C)(C)C1 ZINC001489347494 1103373704 /nfs/dbraw/zinc/37/37/04/1103373704.db2.gz ODXZLZKGPUZQRY-INIZCTEOSA-N 0 1 310.457 3.146 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCCCN1C/C=C/Cl ZINC001276318295 1103486022 /nfs/dbraw/zinc/48/60/22/1103486022.db2.gz AJDWBFIPICDIKV-ONOODXEBSA-N 0 1 310.869 3.293 20 30 DGEDMN CCOC(=O)c1ccc(-c2nccc3[nH]cc(C#N)c32)c(OC)c1 ZINC001244530098 1103502090 /nfs/dbraw/zinc/50/20/90/1103502090.db2.gz JNTORHZOZAKKJJ-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3cc(C#N)ccc3F)ccc-2n1 ZINC001244553744 1103518868 /nfs/dbraw/zinc/51/88/68/1103518868.db2.gz HXEBKPLQCUODBE-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)CC2CCCCC2)CC1 ZINC001490419801 1103617603 /nfs/dbraw/zinc/61/76/03/1103617603.db2.gz HWIPNXIIMAAKFL-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001490427559 1103622724 /nfs/dbraw/zinc/62/27/24/1103622724.db2.gz XBUHZYJFNQFVRM-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001490458318 1103633773 /nfs/dbraw/zinc/63/37/73/1103633773.db2.gz JPPZIXASUPYCPN-IAGOWNOFSA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CCC)CC1CCCCC1 ZINC001114922898 1103638152 /nfs/dbraw/zinc/63/81/52/1103638152.db2.gz ZOZDYFOGHSDQQP-INDMIFKZSA-N 0 1 316.489 3.053 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001490538458 1103639852 /nfs/dbraw/zinc/63/98/52/1103639852.db2.gz SNBMZZSMHLYALB-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001327480407 1103656889 /nfs/dbraw/zinc/65/68/89/1103656889.db2.gz YIOXNJBHWZIUMB-UHFFFAOYSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001490563537 1103659544 /nfs/dbraw/zinc/65/95/44/1103659544.db2.gz PSLCKHRBZHLLMB-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1cccc(F)c1Cl)C1CC1 ZINC001490640492 1103675912 /nfs/dbraw/zinc/67/59/12/1103675912.db2.gz GFZXOYMWFNKZKI-HNNXBMFYSA-N 0 1 324.827 3.430 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC[C@@H](CCF)C3)n2)cc1 ZINC001327659696 1103700918 /nfs/dbraw/zinc/70/09/18/1103700918.db2.gz CZRZBWQUNHKBGI-INIZCTEOSA-N 0 1 312.392 3.451 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@@H]2C=CCC2)C1 ZINC001490749753 1103721829 /nfs/dbraw/zinc/72/18/29/1103721829.db2.gz YUKZMPJXKPAUOC-HZPDHXFCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN(C)Cc2cncs2)C1 ZINC001490758973 1103727375 /nfs/dbraw/zinc/72/73/75/1103727375.db2.gz ODTRWWSBOOIIKY-OAHLLOKOSA-N 0 1 321.490 3.170 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(Cl)ccc1C)C2 ZINC001096198507 1103782867 /nfs/dbraw/zinc/78/28/67/1103782867.db2.gz SJKVBAJAGGUYAW-KBMXLJTQSA-N 0 1 304.821 3.170 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(CC)cc1C)C2 ZINC001096348718 1103806973 /nfs/dbraw/zinc/80/69/73/1103806973.db2.gz UMMFFNDJZZYBSM-KBMXLJTQSA-N 0 1 302.418 3.062 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(F)cc1Cl)C2 ZINC001096443280 1103835874 /nfs/dbraw/zinc/83/58/74/1103835874.db2.gz YZZJZNPQBAQKKV-RLCCDNCMSA-N 0 1 322.811 3.309 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1cccc(Cl)c1)C2 ZINC001096580926 1103871857 /nfs/dbraw/zinc/87/18/57/1103871857.db2.gz XIBMLFCXBVWFQM-VZEFYGNVSA-N 0 1 318.848 3.351 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ocnc2C)[C@H](C)C1 ZINC001328282096 1103903035 /nfs/dbraw/zinc/90/30/35/1103903035.db2.gz XSKRLJIDSFUVHX-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@H](C)C1 ZINC001328282094 1103903119 /nfs/dbraw/zinc/90/31/19/1103903119.db2.gz XSKRLJIDSFUVHX-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)CCCCC)C2 ZINC001111438493 1103945849 /nfs/dbraw/zinc/94/58/49/1103945849.db2.gz ANKYKSJGLHBZRK-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001493226994 1103946660 /nfs/dbraw/zinc/94/66/60/1103946660.db2.gz OSDCCWCSGHRORW-CQSZACIVSA-N 0 1 322.399 3.115 20 30 DGEDMN N#Cc1cc2c(nc1C1=CC[C@H](N3CCOCC3)CC1)CCCC2 ZINC001245417206 1104036017 /nfs/dbraw/zinc/03/60/17/1104036017.db2.gz DEEGPCRCTGFRPN-SFHVURJKSA-N 0 1 323.440 3.100 20 30 DGEDMN N#Cc1cc2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc2[nH]1 ZINC001245427304 1104048431 /nfs/dbraw/zinc/04/84/31/1104048431.db2.gz WRHVEXOMKQJTOX-SFHVURJKSA-N 0 1 307.397 3.308 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)CC1CCCCC1 ZINC001328764475 1104059546 /nfs/dbraw/zinc/05/95/46/1104059546.db2.gz ARTNTBXQGRJORM-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccccc1CCC ZINC001329083933 1104146812 /nfs/dbraw/zinc/14/68/12/1104146812.db2.gz AYPTYPSLNOTXCE-KBPBESRZSA-N 0 1 308.853 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C(C)(C)Cc1ccccc1 ZINC001329168046 1104176218 /nfs/dbraw/zinc/17/62/18/1104176218.db2.gz MFNRRIIVMIVCIW-CABCVRRESA-N 0 1 322.880 3.491 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H]3CCC[C@H]3C)cc2C1 ZINC001274027310 1104226889 /nfs/dbraw/zinc/22/68/89/1104226889.db2.gz FGVKAZALSIVDFV-SJLPKXTDSA-N 0 1 324.468 3.468 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2ccc3[nH]c(C)nc3c2)c1 ZINC001213076011 1104234254 /nfs/dbraw/zinc/23/42/54/1104234254.db2.gz AAKKNGUJYCWZIX-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN CCOC(=O)c1ccc(CC)cc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001245785252 1104236080 /nfs/dbraw/zinc/23/60/80/1104236080.db2.gz GTKSUHSAWGORKJ-UHFFFAOYSA-N 0 1 320.352 3.236 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001329518047 1104293808 /nfs/dbraw/zinc/29/38/08/1104293808.db2.gz VXJGAHNKPDSRBS-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001329538252 1104306229 /nfs/dbraw/zinc/30/62/29/1104306229.db2.gz AQEYECNHFUSQLB-MSOLQXFVSA-N 0 1 314.473 3.339 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001329550539 1104314051 /nfs/dbraw/zinc/31/40/51/1104314051.db2.gz WKKIBPSJHHFOLX-OAHLLOKOSA-N 0 1 310.397 3.020 20 30 DGEDMN COc1ccc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)c(C)c1 ZINC001245932151 1104319702 /nfs/dbraw/zinc/31/97/02/1104319702.db2.gz CNEXKACJVVVVKG-UHFFFAOYSA-N 0 1 305.337 3.434 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2ocnc2C)CCCCC1 ZINC001329825813 1104395182 /nfs/dbraw/zinc/39/51/82/1104395182.db2.gz SJYUYFOWCMHLAE-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN CC(C)CC(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001278431881 1104395393 /nfs/dbraw/zinc/39/53/93/1104395393.db2.gz SKEDWMULUUSQFF-FPOVZHCZSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(Cl)cs1 ZINC001274530905 1104406670 /nfs/dbraw/zinc/40/66/70/1104406670.db2.gz AEXMCMFWNMBNAU-ZIAGYGMSSA-N 0 1 310.850 3.153 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001274587505 1104412927 /nfs/dbraw/zinc/41/29/27/1104412927.db2.gz BKINXXMLKLLFRH-KBPBESRZSA-N 0 1 319.474 3.135 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@H](C)C2)CCC1 ZINC001274643671 1104433873 /nfs/dbraw/zinc/43/38/73/1104433873.db2.gz KYKCHQOMHYTMSM-VXQJABLNSA-N 0 1 310.869 3.454 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@H](C)c2cccc(OC)c2)C1 ZINC001329969877 1104441108 /nfs/dbraw/zinc/44/11/08/1104441108.db2.gz DLGFKWGWJABFJA-HUUCEWRRSA-N 0 1 316.445 3.159 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001274701206 1104463084 /nfs/dbraw/zinc/46/30/84/1104463084.db2.gz IBUICNYCTJPFCI-GFCCVEGCSA-N 0 1 309.479 3.001 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001330054046 1104466287 /nfs/dbraw/zinc/46/62/87/1104466287.db2.gz NQZLWSYUSHHLEZ-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@]2(C1)CN(CC/C=C/CC)CCO2 ZINC001330158581 1104491413 /nfs/dbraw/zinc/49/14/13/1104491413.db2.gz AXJWVSQKLGGIAE-ZMBJWTFHSA-N 0 1 320.477 3.002 20 30 DGEDMN CCc1nc([C@H](C)OC(=O)C[C@H](CC#N)c2ccccc2)n[nH]1 ZINC001330217646 1104510712 /nfs/dbraw/zinc/51/07/12/1104510712.db2.gz YTGZDSFDFPFAFD-JSGCOSHPSA-N 0 1 312.373 3.059 20 30 DGEDMN C/C=C(\C)C(=O)N[C@]12CCC[C@@H]1N(Cc1ccccc1C#N)CC2 ZINC001278444752 1104517545 /nfs/dbraw/zinc/51/75/45/1104517545.db2.gz FXGQGEPQNPFEHA-XPXKKXDWSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC(C)(C)C2CCCCC2)C(C)(C)C1 ZINC001330244959 1104520514 /nfs/dbraw/zinc/52/05/14/1104520514.db2.gz PLGGTCUEQJYJMV-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CC(c3ccc(F)cc3)C2)c1 ZINC001330712941 1104628353 /nfs/dbraw/zinc/62/83/53/1104628353.db2.gz FHFVIIJPZXTOHN-UHFFFAOYSA-N 0 1 323.371 3.125 20 30 DGEDMN CCCCCCCCCN1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275131941 1104642554 /nfs/dbraw/zinc/64/25/54/1104642554.db2.gz KKRJRSUAFYWWLW-UHFFFAOYSA-N 0 1 304.482 3.420 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC001330816373 1104652918 /nfs/dbraw/zinc/65/29/18/1104652918.db2.gz DOIBDIVMIIXFJB-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN C=C(C)CCNC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001330853036 1104662712 /nfs/dbraw/zinc/66/27/12/1104662712.db2.gz GZZAJBWKCUBXNE-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001330884080 1104669477 /nfs/dbraw/zinc/66/94/77/1104669477.db2.gz SYGPPELSFOSDFF-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCN[C@H](C)c1ncc(C)o1 ZINC001330884081 1104669609 /nfs/dbraw/zinc/66/96/09/1104669609.db2.gz SYGPPELSFOSDFF-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCN[C@H](C)c1ncc(C)o1 ZINC001330884078 1104669633 /nfs/dbraw/zinc/66/96/33/1104669633.db2.gz SYGPPELSFOSDFF-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCN[C@@H](C)c1ncccn1 ZINC001330884647 1104669790 /nfs/dbraw/zinc/66/97/90/1104669790.db2.gz ZCZIZYYIGBQWGP-CABCVRRESA-N 0 1 318.465 3.014 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN[C@H](C)c2cc(F)ccc2F)C1 ZINC001275303390 1104714524 /nfs/dbraw/zinc/71/45/24/1104714524.db2.gz IZXAYLSESSFPHR-QWHCGFSZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)C=C(CC)CC ZINC001275384671 1104743759 /nfs/dbraw/zinc/74/37/59/1104743759.db2.gz CDFZYPFEWUTCTE-RYUDHWBXSA-N 0 1 317.271 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001275403729 1104748424 /nfs/dbraw/zinc/74/84/24/1104748424.db2.gz LDVWFPCURNIMDY-RYUDHWBXSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(C(F)F)CCCC1 ZINC001275472444 1104769993 /nfs/dbraw/zinc/76/99/93/1104769993.db2.gz WTAHLPGCGFTSAY-QWRGUYRKSA-N 0 1 308.800 3.047 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(F)ccc1Cl ZINC001275493842 1104778102 /nfs/dbraw/zinc/77/81/02/1104778102.db2.gz VBYUFBVXOJBTCI-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN CCCCCCCCNC(=S)N1CCN(CC(C)C)CC1 ZINC001247142423 1104812937 /nfs/dbraw/zinc/81/29/37/1104812937.db2.gz QRFFGCIEIGHPEL-UHFFFAOYSA-N 0 1 313.555 3.495 20 30 DGEDMN CCCCCCCCNC(=S)Nc1cc(=O)[nH]c(Cl)n1 ZINC001247141741 1104813409 /nfs/dbraw/zinc/81/34/09/1104813409.db2.gz WLFMOYPTRQIPSY-UHFFFAOYSA-N 0 1 316.858 3.483 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[N@H+](C)Cc1cc(C)ccc1OC ZINC001275567895 1104813569 /nfs/dbraw/zinc/81/35/69/1104813569.db2.gz XSSAUBVXOCSDOM-MRXNPFEDSA-N 0 1 318.461 3.296 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1cc(C)ccc1OC ZINC001275567895 1104813577 /nfs/dbraw/zinc/81/35/77/1104813577.db2.gz XSSAUBVXOCSDOM-MRXNPFEDSA-N 0 1 318.461 3.296 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc(C(C)(C)C)c1 ZINC001275681438 1104862334 /nfs/dbraw/zinc/86/23/34/1104862334.db2.gz SCIVGSXMOZXZBK-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(-c2ccccc2)cc1 ZINC001275706377 1104872267 /nfs/dbraw/zinc/87/22/67/1104872267.db2.gz GCQQMHWKYXOFLJ-KRWDZBQOSA-N 0 1 320.436 3.427 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001275730394 1104885373 /nfs/dbraw/zinc/88/53/73/1104885373.db2.gz SOZUVAJLYLUDFH-YJBOKZPZSA-N 0 1 318.436 3.025 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC001275780618 1104905030 /nfs/dbraw/zinc/90/50/30/1104905030.db2.gz GSOXHOMPKJLNBO-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=CC[C@H](C)c1nc(-c2ccccc2N2CCN(C)CC2)no1 ZINC001247519609 1104917531 /nfs/dbraw/zinc/91/75/31/1104917531.db2.gz LZYJSNOPMBYJHZ-AWEZNQCLSA-N 0 1 312.417 3.168 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1ccc(C(C)C)cc1 ZINC001275883100 1104943732 /nfs/dbraw/zinc/94/37/32/1104943732.db2.gz XVPVKQNYEWLIDU-KRWDZBQOSA-N 0 1 314.473 3.202 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001275913595 1104954238 /nfs/dbraw/zinc/95/42/38/1104954238.db2.gz SYFBIJQDAYTDMA-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001275929004 1104959237 /nfs/dbraw/zinc/95/92/37/1104959237.db2.gz WGUBHSXNWSIWKW-HZPDHXFCSA-N 0 1 302.462 3.365 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001275970971 1104973064 /nfs/dbraw/zinc/97/30/64/1104973064.db2.gz UYFNLHQGMOYPLR-PKOBYXMFSA-N 0 1 314.473 3.276 20 30 DGEDMN CN[C@@H](Cc1ccccc1)c1nc(-c2ccc(C#N)c(C)c2)no1 ZINC001247825205 1104997345 /nfs/dbraw/zinc/99/73/45/1104997345.db2.gz LDGAIWQNKSWERZ-KRWDZBQOSA-N 0 1 318.380 3.420 20 30 DGEDMN C=CCCCCCCN1CC2(CCN2Cc2cccc(=O)[nH]2)C1 ZINC001276041211 1105003603 /nfs/dbraw/zinc/00/36/03/1105003603.db2.gz ISPPTOKVOASQAQ-UHFFFAOYSA-N 0 1 315.461 3.184 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)oc3ccccc31)C2 ZINC001095460800 1105016294 /nfs/dbraw/zinc/01/62/94/1105016294.db2.gz XGYMGINUFCOGFT-KBMXLJTQSA-N 0 1 310.397 3.262 20 30 DGEDMN CN[C@H](Cc1ccccc1)c1nc(-c2cc(C#N)ccc2F)no1 ZINC001248187895 1105064151 /nfs/dbraw/zinc/06/41/51/1105064151.db2.gz SZLHYPBZAWHMQN-MRXNPFEDSA-N 0 1 322.343 3.251 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)cc1F)C2 ZINC001095717227 1105100320 /nfs/dbraw/zinc/10/03/20/1105100320.db2.gz UOAQJHXEQHWUCX-KCXAZCMYSA-N 0 1 322.811 3.390 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(CCCC)cc1)C2 ZINC001095719476 1105101320 /nfs/dbraw/zinc/10/13/20/1105101320.db2.gz IRHLXGQCEOPJHO-AABGKKOBSA-N 0 1 324.468 3.388 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001110898484 1105105709 /nfs/dbraw/zinc/10/57/09/1105105709.db2.gz HJDAGSGXKMDPGC-KFWWJZLASA-N 0 1 304.459 3.182 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCc1ccc(C)cc1)C2 ZINC001110978048 1105132780 /nfs/dbraw/zinc/13/27/80/1105132780.db2.gz OPACNUICRHWIDF-AQNXPRMDSA-N 0 1 324.468 3.063 20 30 DGEDMN CCc1cc(C#N)ccc1CN(C)Cc1cnc2ccccn12 ZINC001248748208 1105154380 /nfs/dbraw/zinc/15/43/80/1105154380.db2.gz APBXKVIIUKMVDM-UHFFFAOYSA-N 0 1 304.397 3.400 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2cccc(C)n2)CC1 ZINC001248748620 1105154620 /nfs/dbraw/zinc/15/46/20/1105154620.db2.gz PUJXOPQPBYDJBP-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN CCCNC(=O)[C@H]1CCCCN1Cc1ccc(C#N)cc1CC ZINC001248750994 1105155714 /nfs/dbraw/zinc/15/57/14/1105155714.db2.gz FOJPNGUVJFUWMJ-GOSISDBHSA-N 0 1 313.445 3.001 20 30 DGEDMN N#C[C@H]1CCCN(Cc2n[nH]c3cc(C(F)(F)F)ccc32)C1 ZINC001248846841 1105178648 /nfs/dbraw/zinc/17/86/48/1105178648.db2.gz MVYVPRYUTCULBH-SNVBAGLBSA-N 0 1 308.307 3.317 20 30 DGEDMN N#C[C@H]1CCCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)CC1 ZINC001249470201 1105293714 /nfs/dbraw/zinc/29/37/14/1105293714.db2.gz LSALGGLWOYLDTC-VIFPVBQESA-N 0 1 324.215 3.342 20 30 DGEDMN CCCCN(CC#N)Cc1nc2ccc(Br)cc2[nH]1 ZINC001249519043 1105304926 /nfs/dbraw/zinc/30/49/26/1105304926.db2.gz HRSUZHMWRRFYMY-UHFFFAOYSA-N 0 1 321.222 3.451 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2oc(C)nc2C)[C@H]1C ZINC001278521441 1105331211 /nfs/dbraw/zinc/33/12/11/1105331211.db2.gz NSIAOWYAOGLMHU-GOEBONIOSA-N 0 1 319.449 3.117 20 30 DGEDMN CCN1CCc2ccc(CN3CCc4cc(C#N)ccc4C3)cc21 ZINC001249655540 1105345788 /nfs/dbraw/zinc/34/57/88/1105345788.db2.gz HTBUUOCRCCBGIK-UHFFFAOYSA-N 0 1 317.436 3.499 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C)c1F)C2 ZINC001097119122 1105350798 /nfs/dbraw/zinc/35/07/98/1105350798.db2.gz SPHUJBAHSIJMIZ-BMFZPTHFSA-N 0 1 302.393 3.045 20 30 DGEDMN CCc1noc([C@@H]2CCCN(Cc3cccc(C#N)c3F)C2)n1 ZINC001249687490 1105353401 /nfs/dbraw/zinc/35/34/01/1105353401.db2.gz FOIYYWDBDYTMDN-CQSZACIVSA-N 0 1 314.364 3.022 20 30 DGEDMN C=CCCC[C@H](C(=O)N(C)CC1CN(C)C1)c1ccccc1 ZINC001278531664 1105366441 /nfs/dbraw/zinc/36/64/41/1105366441.db2.gz NVRKPBOMPGZJCV-SFHVURJKSA-N 0 1 300.446 3.147 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001097434855 1105393889 /nfs/dbraw/zinc/39/38/89/1105393889.db2.gz BZASLSZVRJPERE-QRVBRYPASA-N 0 1 312.457 3.260 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc3ccccc13)C2 ZINC001097599306 1105411677 /nfs/dbraw/zinc/41/16/77/1105411677.db2.gz XODSBFADCUUGOP-WQVCFCJDSA-N 0 1 312.438 3.422 20 30 DGEDMN N#Cc1cc(N)c(Nc2c(F)ccc(O)c2Cl)cc1C#N ZINC001250232883 1105476682 /nfs/dbraw/zinc/47/66/82/1105476682.db2.gz XQXPLJPBIVWORT-UHFFFAOYSA-N 0 1 302.696 3.254 20 30 DGEDMN CCCCCCCN(CC)Cc1cc(C(=O)OCC)n(C)n1 ZINC001250547077 1105535344 /nfs/dbraw/zinc/53/53/44/1105535344.db2.gz VPIQOFXKJGJWMV-UHFFFAOYSA-N 0 1 309.454 3.389 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccc(C)s1)C2 ZINC001098212711 1105562349 /nfs/dbraw/zinc/56/23/49/1105562349.db2.gz HGICHHJKUQOWTF-TUVASFSCSA-N 0 1 304.459 3.068 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(F)cccc1Cl)C2 ZINC001098265409 1105565340 /nfs/dbraw/zinc/56/53/40/1105565340.db2.gz WIBRLKWNBNWZJX-DFBGVHRSSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)c3occc31)C2 ZINC001098439971 1105575625 /nfs/dbraw/zinc/57/56/25/1105575625.db2.gz KWOYGKBVCRQDMC-LYRGGWFBSA-N 0 1 314.360 3.093 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1CC(C)C)C2 ZINC001098479305 1105579628 /nfs/dbraw/zinc/57/96/28/1105579628.db2.gz AUTACVHORMKECT-QRQLOZEOSA-N 0 1 312.457 3.406 20 30 DGEDMN C#Cc1ccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)cc1 ZINC001251008032 1105618713 /nfs/dbraw/zinc/61/87/13/1105618713.db2.gz ZEIDLSQDNGIMLD-UHFFFAOYSA-N 0 1 303.365 3.048 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)C(C)C ZINC001098960026 1105619317 /nfs/dbraw/zinc/61/93/17/1105619317.db2.gz JWMWYNMYVSWNEK-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1ocnc1C ZINC001098965807 1105619376 /nfs/dbraw/zinc/61/93/76/1105619376.db2.gz BRPSTDQKZGNIGY-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)/C=C\C(C)(C)C ZINC001098976027 1105621941 /nfs/dbraw/zinc/62/19/41/1105621941.db2.gz OXNRJSFZZFVFTE-BLIJAFNYSA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC(C)(C)CN1C[C@@H](F)CC ZINC001099043285 1105634413 /nfs/dbraw/zinc/63/44/13/1105634413.db2.gz MEKGCTZDRSMVOY-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN COCCCn1c2ccccc2nc1-c1cc(C#N)ccc1O ZINC001251180355 1105635585 /nfs/dbraw/zinc/63/55/85/1105635585.db2.gz QHSLCMWFWUIXAR-UHFFFAOYSA-N 0 1 307.353 3.317 20 30 DGEDMN CC[C@H](F)CN1CC(C)(C)CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001099070271 1105639050 /nfs/dbraw/zinc/63/90/50/1105639050.db2.gz VBBTWOLTXWXWKS-HOTGVXAUSA-N 0 1 324.484 3.391 20 30 DGEDMN N#Cc1ccc(-c2nc3cc(F)c(-n4cccn4)cc3[nH]2)s1 ZINC001251218017 1105639935 /nfs/dbraw/zinc/63/99/35/1105639935.db2.gz JXKDMNOKVZPRFE-UHFFFAOYSA-N 0 1 309.329 3.488 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(C)(C)CC ZINC001099098038 1105642002 /nfs/dbraw/zinc/64/20/02/1105642002.db2.gz HXGJJMSQOAKJRB-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3sccc3c2)C1 ZINC001099101790 1105642701 /nfs/dbraw/zinc/64/27/01/1105642701.db2.gz HLOPFKQSMGQQMJ-LPHOPBHVSA-N 0 1 324.449 3.119 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)C(C)(C)C ZINC001099122368 1105644050 /nfs/dbraw/zinc/64/40/50/1105644050.db2.gz ZBWFBDSFPDWYSF-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C2CC2)CCC1 ZINC001099154300 1105650981 /nfs/dbraw/zinc/65/09/81/1105650981.db2.gz WKFYGUBOYKUNBS-KRWDZBQOSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C1CC1 ZINC001099189782 1105655697 /nfs/dbraw/zinc/65/56/97/1105655697.db2.gz WVRNJGHAMHRYLI-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC1CC(C)(C)C1 ZINC001099194982 1105656610 /nfs/dbraw/zinc/65/66/10/1105656610.db2.gz XBCPPJJUFSDSAD-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)CCC ZINC001099213278 1105657557 /nfs/dbraw/zinc/65/75/57/1105657557.db2.gz BMUATAZSYBIVEE-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCCC(C)C ZINC001099245947 1105661220 /nfs/dbraw/zinc/66/12/20/1105661220.db2.gz OUNWBDVPTMOTRO-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCC(C)(C)C ZINC001099269598 1105663491 /nfs/dbraw/zinc/66/34/91/1105663491.db2.gz BEHIVKWKYODYRA-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(CC)CC ZINC001099349981 1105671444 /nfs/dbraw/zinc/67/14/44/1105671444.db2.gz WPLYPQSXZXCQGD-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN N#Cc1cc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)ccc1Cl ZINC001251722357 1105686752 /nfs/dbraw/zinc/68/67/52/1105686752.db2.gz YZEWIMBFRCEBDZ-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC1CCCC1)C2 ZINC001111475924 1105701985 /nfs/dbraw/zinc/70/19/85/1105701985.db2.gz KMBAVEPGOAGVAD-KURKYZTESA-N 0 1 302.462 3.092 20 30 DGEDMN CCCC(=O)NC[C@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001278641673 1105728319 /nfs/dbraw/zinc/72/83/19/1105728319.db2.gz MZECQVGGDLPFFK-FQEVSTJZSA-N 0 1 312.457 3.057 20 30 DGEDMN CCCCCCCC[C@H](O)CN1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2 ZINC001252133244 1105746915 /nfs/dbraw/zinc/74/69/15/1105746915.db2.gz NIBLSAPIXQVHDE-LTIDMASMSA-N 0 1 311.466 3.124 20 30 DGEDMN Cc1cc(C[N@@H+]2CCC[C@H](CNC(=O)C#CC(C)C)C2)cs1 ZINC001491104501 1105753350 /nfs/dbraw/zinc/75/33/50/1105753350.db2.gz JWNXABGNOIPBAL-MRXNPFEDSA-N 0 1 318.486 3.044 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001491229956 1105778411 /nfs/dbraw/zinc/77/84/11/1105778411.db2.gz LQDYDTQLLLJHBA-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)CCC)CC1(C)C ZINC001278666827 1105779846 /nfs/dbraw/zinc/77/98/46/1105779846.db2.gz HIIGRWUMHMCXIP-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(c2cccc(F)c2)CC1 ZINC001491225021 1105780511 /nfs/dbraw/zinc/78/05/11/1105780511.db2.gz BWQFXHDBKORMOR-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CCOC[C@H](O)CN1CCC[C@H]1c1ccc(Cl)s1 ZINC001252465307 1105781577 /nfs/dbraw/zinc/78/15/77/1105781577.db2.gz FJTVZBMFRVTVTH-NEPJUHHUSA-N 0 1 301.839 3.102 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](CC)CCCC)C1 ZINC001278668075 1105782022 /nfs/dbraw/zinc/78/20/22/1105782022.db2.gz KRUJZTVBLXPEOE-HKUYNNGSSA-N 0 1 306.494 3.444 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@H](CC)C(C)(C)C ZINC001491229188 1105782096 /nfs/dbraw/zinc/78/20/96/1105782096.db2.gz CYWKCJYYDJWRRH-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@H](CC)C(C)(C)C ZINC001491229190 1105782402 /nfs/dbraw/zinc/78/24/02/1105782402.db2.gz CYWKCJYYDJWRRH-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1cc(F)ccc1F ZINC001491244220 1105786186 /nfs/dbraw/zinc/78/61/86/1105786186.db2.gz VNBLFYZGKCMGAI-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)/C=C\c2ccco2)C1 ZINC001491278039 1105793028 /nfs/dbraw/zinc/79/30/28/1105793028.db2.gz BBCYPXQJJQTKLJ-XAQJJABOSA-N 0 1 322.836 3.262 20 30 DGEDMN C=C[C@](C)(O)CN[C@@H]1CN(C(=O)OC(C)(C)C)c2ccccc21 ZINC001252578421 1105798017 /nfs/dbraw/zinc/79/80/17/1105798017.db2.gz DBDPCAKCQOOLOQ-KDOFPFPSSA-N 0 1 318.417 3.009 20 30 DGEDMN C=CCC[C@@H](O)CNc1[nH]nc2nc(C(F)(F)F)cc(C)c21 ZINC001252589790 1105798550 /nfs/dbraw/zinc/79/85/50/1105798550.db2.gz ZFABWGJYJCWMBJ-SECBINFHSA-N 0 1 314.311 3.024 20 30 DGEDMN C=CCC[C@@H](O)CN(Cc1cc(C)no1)Cc1ccccc1 ZINC001252602462 1105800044 /nfs/dbraw/zinc/80/00/44/1105800044.db2.gz SFHACNMQIYHNEK-QGZVFWFLSA-N 0 1 300.402 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CC(C)(F)F)C1 ZINC001491301477 1105802851 /nfs/dbraw/zinc/80/28/51/1105802851.db2.gz XMSHBTCYQZXEES-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1cccc(Cl)c1F ZINC001491436938 1105826956 /nfs/dbraw/zinc/82/69/56/1105826956.db2.gz YBWNVFROGQLLHU-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCO[C@H](COC)C1 ZINC001252983115 1105866221 /nfs/dbraw/zinc/86/62/21/1105866221.db2.gz RDWWGTYNNGJYGR-ROUUACIJSA-N 0 1 315.498 3.225 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C3CC3)cc2)C1 ZINC001278693862 1105880242 /nfs/dbraw/zinc/88/02/42/1105880242.db2.gz RNRBTHYEDLPUPR-FQEVSTJZSA-N 0 1 310.441 3.029 20 30 DGEDMN N#Cc1cc(F)cc(F)c1NS(=O)(=O)/C=C/c1ccccc1 ZINC001253047006 1105882678 /nfs/dbraw/zinc/88/26/78/1105882678.db2.gz IIJAFUCSGJHMMV-VOTSOKGWSA-N 0 1 320.320 3.249 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CN(C/C=C/Cl)C[C@@]3(C)C2)CCC1 ZINC001101356338 1105913464 /nfs/dbraw/zinc/91/34/64/1105913464.db2.gz QKHUOGLLCOPPNR-IZVQQQLMSA-N 0 1 322.880 3.266 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)Cc1ccc(F)cc1 ZINC001253256386 1105913951 /nfs/dbraw/zinc/91/39/51/1105913951.db2.gz XAVUDSITBWKFBT-GOSISDBHSA-N 0 1 321.436 3.414 20 30 DGEDMN CCCCCCCCCCCC[C@@H](O)CNCC(=O)CCO ZINC001253563365 1105959308 /nfs/dbraw/zinc/95/93/08/1105959308.db2.gz DITBLLPDMYAOKV-QGZVFWFLSA-N 0 1 315.498 3.199 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC1(c2ccccc2OC)CCC1 ZINC001254145711 1106024848 /nfs/dbraw/zinc/02/48/48/1106024848.db2.gz VXHAXIUELOSKEK-UHFFFAOYSA-N 0 1 316.445 3.089 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H](NCC2(C#N)CCC2)C1 ZINC001254187011 1106031040 /nfs/dbraw/zinc/03/10/40/1106031040.db2.gz BCWVUJBPHFANKE-AWEZNQCLSA-N 0 1 307.438 3.059 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N2Cc3cncnc3C2)CC1 ZINC001254353144 1106059537 /nfs/dbraw/zinc/05/95/37/1106059537.db2.gz RRDQSOCSKDLNRC-UHFFFAOYSA-N 0 1 322.387 3.335 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(CC)CCCC2)[C@H](C)C1 ZINC001102493109 1106063569 /nfs/dbraw/zinc/06/35/69/1106063569.db2.gz WXWLNVYMGQKEFO-UKRRQHHQSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](CC)CCC)[C@H](C)C1 ZINC001102486356 1106064367 /nfs/dbraw/zinc/06/43/67/1106064367.db2.gz ZQOAQLLZEBTDDL-BPLDGKMQSA-N 0 1 300.874 3.249 20 30 DGEDMN COc1cc(NC(=O)CN[C@@H]2CC[C@@H](C#N)C2)cc2ccccc21 ZINC001254654240 1106123460 /nfs/dbraw/zinc/12/34/60/1106123460.db2.gz SSEPESCFENQSLV-UKRRQHHQSA-N 0 1 323.396 3.069 20 30 DGEDMN CC[C@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@H](C#N)C1 ZINC001254674326 1106131559 /nfs/dbraw/zinc/13/15/59/1106131559.db2.gz XKRPFAKDQWTDDK-SOUVJXGZSA-N 0 1 307.438 3.010 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC(C(=O)c3ccccc3F)CC2)C1 ZINC001254677276 1106131735 /nfs/dbraw/zinc/13/17/35/1106131735.db2.gz RRKDSMWATDPADX-UKRRQHHQSA-N 0 1 300.377 3.413 20 30 DGEDMN Cc1ccc(C)c(S(=O)(=O)Nc2c(F)cc(F)cc2C#N)c1 ZINC001254794359 1106142537 /nfs/dbraw/zinc/14/25/37/1106142537.db2.gz PXSQGDSCUKVHEM-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN CC[C@@H](CC#N)NCCc1cc(Br)ccc1OC ZINC001255151989 1106192519 /nfs/dbraw/zinc/19/25/19/1106192519.db2.gz KHOHEUSBNROXQS-ZDUSSCGKSA-N 0 1 311.223 3.282 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@@]2(C1)c1ccccc1N(CC1CC1)C2=O ZINC001255172403 1106198502 /nfs/dbraw/zinc/19/85/02/1106198502.db2.gz OSBOHARXDXYVAZ-OXQOHEQNSA-N 0 1 323.440 3.079 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N(CCC#N)C2CCCC2)C1 ZINC001255603431 1106276799 /nfs/dbraw/zinc/27/67/99/1106276799.db2.gz DXTCCKKVSRUIKO-OAHLLOKOSA-N 0 1 307.438 3.154 20 30 DGEDMN C=CCOCc1nn([C@H](C)Cc2ccc(C)cc2)c2c1CNC2 ZINC001255790727 1106316885 /nfs/dbraw/zinc/31/68/85/1106316885.db2.gz ZMEXKOFKQPNPOR-OAHLLOKOSA-N 0 1 311.429 3.301 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](F)CC1CCCCC1)C(C)C ZINC001278926187 1106399959 /nfs/dbraw/zinc/39/99/59/1106399959.db2.gz CJKAEMZIDLVQHH-KRWDZBQOSA-N 0 1 310.457 3.097 20 30 DGEDMN Cc1cnc(CNC2CCC(c3ccc(C#N)cc3)CC2)nc1 ZINC001257010270 1106523303 /nfs/dbraw/zinc/52/33/03/1106523303.db2.gz WBBQISIYXVJITG-UHFFFAOYSA-N 0 1 306.413 3.473 20 30 DGEDMN CC(C)[C@@H]1C[C@H](N(C)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257186301 1106549934 /nfs/dbraw/zinc/54/99/34/1106549934.db2.gz RLUUZPRXRAYKEH-CABCVRRESA-N 0 1 309.454 3.256 20 30 DGEDMN C[C@@H]1C[C@@H](N2CC[C@@H](C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257591616 1106609996 /nfs/dbraw/zinc/60/99/96/1106609996.db2.gz QBOWTZAAIULXBR-XGUBFFRZSA-N 0 1 307.438 3.008 20 30 DGEDMN CCN(CCC#N)C1C[C@H]2C[C@@H](C1)CN(C(=O)OC(C)(C)C)C2 ZINC001257849685 1106634503 /nfs/dbraw/zinc/63/45/03/1106634503.db2.gz AGQYQLOTADFNTO-XYPWUTKMSA-N 0 1 321.465 3.258 20 30 DGEDMN CCCCCCC[C@H](C)N1Cc2nnn(C)c2[C@@H](COC)C1 ZINC001258044670 1106664004 /nfs/dbraw/zinc/66/40/04/1106664004.db2.gz SLTKSFXVUWQDLJ-LSDHHAIUSA-N 0 1 308.470 3.110 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN(C1C[C@@H](C)C[C@H](C)C1)CC2 ZINC001258165962 1106684253 /nfs/dbraw/zinc/68/42/53/1106684253.db2.gz RGOWSFLLUCGIHR-GJZGRUSLSA-N 0 1 317.477 3.306 20 30 DGEDMN C#CC[C@H](NC(=O)c1ccc2cncn2c1C)c1ccccc1 ZINC001319989271 1106765768 /nfs/dbraw/zinc/76/57/68/1106765768.db2.gz NQJAMWCMONWOCS-SFHVURJKSA-N 0 1 303.365 3.137 20 30 DGEDMN CCOC(=O)CC[C@@H](C)N1CCC(C#N)(c2ccccc2)CC1 ZINC001258489526 1106778090 /nfs/dbraw/zinc/77/80/90/1106778090.db2.gz QOAOHWSYSWCIIC-MRXNPFEDSA-N 0 1 314.429 3.276 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(C)c(OC)c2)C1 ZINC001316939043 1106792171 /nfs/dbraw/zinc/79/21/71/1106792171.db2.gz ACSFUQGDSVZBRI-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001320042193 1106811704 /nfs/dbraw/zinc/81/17/04/1106811704.db2.gz KJGUQOZFHRNDKV-GOSISDBHSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001316952459 1106818711 /nfs/dbraw/zinc/81/87/11/1106818711.db2.gz GAXXEYCUHBMJBE-PBHICJAKSA-N 0 1 320.864 3.285 20 30 DGEDMN CCN(CCC#N)[C@H]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC001258560807 1106823755 /nfs/dbraw/zinc/82/37/55/1106823755.db2.gz BDEATNWLZZRVLM-AWEZNQCLSA-N 0 1 309.454 3.400 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001316981272 1106844920 /nfs/dbraw/zinc/84/49/20/1106844920.db2.gz SGKPOZZOVQCLGK-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001317003746 1106886682 /nfs/dbraw/zinc/88/66/82/1106886682.db2.gz YMIJWWZTXVPGRU-HUUCEWRRSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(C(C)C)nc1)C1CC1 ZINC001317015540 1106902793 /nfs/dbraw/zinc/90/27/93/1106902793.db2.gz HTXSNONZCCGEIK-MRXNPFEDSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccoc1C(C)C)C1CC1 ZINC001317031636 1106923328 /nfs/dbraw/zinc/92/33/28/1106923328.db2.gz KWXSGIJNRZMFHO-CQSZACIVSA-N 0 1 310.825 3.254 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@]2(C)C=CCC2)C1 ZINC001317038077 1106933229 /nfs/dbraw/zinc/93/32/29/1106933229.db2.gz FUOJBSRXAIZRON-WBVHZDCISA-N 0 1 310.869 3.266 20 30 DGEDMN Cc1cn(CC(=O)Nc2cc(C#N)ccc2O)c2ccccc12 ZINC001320247900 1106938831 /nfs/dbraw/zinc/93/88/31/1106938831.db2.gz SHNSNTUBNHHMSR-UHFFFAOYSA-N 0 1 305.337 3.166 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)Cc2occc2C)C1 ZINC001317044737 1106943790 /nfs/dbraw/zinc/94/37/90/1106943790.db2.gz RQTHKOBFJHSFSL-OAHLLOKOSA-N 0 1 324.852 3.053 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)cc1F ZINC001317052520 1106953964 /nfs/dbraw/zinc/95/39/64/1106953964.db2.gz YMWCIMHDUPWNSC-GASCZTMLSA-N 0 1 320.383 3.220 20 30 DGEDMN C=CCCCCNC(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001320342822 1106969608 /nfs/dbraw/zinc/96/96/08/1106969608.db2.gz SXOIVHUHQLZLJZ-SJORKVTESA-N 0 1 319.424 3.226 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccc(Cl)cn1 ZINC001320396900 1106993423 /nfs/dbraw/zinc/99/34/23/1106993423.db2.gz FNDJAQKBGSWHKB-LBPRGKRZSA-N 0 1 301.777 3.488 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001317134833 1107040824 /nfs/dbraw/zinc/04/08/24/1107040824.db2.gz CYCBJRZIZZUQAJ-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CC1(C)CCCCC1 ZINC001317173742 1107086728 /nfs/dbraw/zinc/08/67/28/1107086728.db2.gz NXRYSTHUUHBMNC-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1C ZINC001317181632 1107103116 /nfs/dbraw/zinc/10/31/16/1107103116.db2.gz UOZMKROGUSMLQO-ZWKOTPCHSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1CCF ZINC001317210556 1107130345 /nfs/dbraw/zinc/13/03/45/1107130345.db2.gz YXAMUUNZOBMFDB-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001320811218 1107146808 /nfs/dbraw/zinc/14/68/08/1107146808.db2.gz KFBWQJPNXOTALG-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN CCC[C@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001317227669 1107151922 /nfs/dbraw/zinc/15/19/22/1107151922.db2.gz BHJVXBGSDVCWAW-HOTGVXAUSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(Cl)c(F)c1 ZINC001320853230 1107163170 /nfs/dbraw/zinc/16/31/70/1107163170.db2.gz XQVPOIVAGFSFDM-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001320864810 1107167906 /nfs/dbraw/zinc/16/79/06/1107167906.db2.gz REMPUJKBEAQOFJ-KBPBESRZSA-N 0 1 302.890 3.495 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001317263681 1107183973 /nfs/dbraw/zinc/18/39/73/1107183973.db2.gz HANVHMWBAFYGFX-CHWSQXEVSA-N 0 1 324.852 3.242 20 30 DGEDMN CC(C)CCCC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001317272139 1107194829 /nfs/dbraw/zinc/19/48/29/1107194829.db2.gz SKIOVZHLHGDSME-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)C[C@@H](CC#N)c2ccccc2)CC1 ZINC001321042781 1107231865 /nfs/dbraw/zinc/23/18/65/1107231865.db2.gz LDCOYBRHUSILCR-QGZVFWFLSA-N 0 1 313.445 3.017 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001321154941 1107267117 /nfs/dbraw/zinc/26/71/17/1107267117.db2.gz CFBHZEYMBVGWKK-FUTAKVPZSA-N 0 1 318.848 3.195 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2scnc2C)C1 ZINC001317375010 1107280313 /nfs/dbraw/zinc/28/03/13/1107280313.db2.gz GMZRIZYIHQOIMS-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](N(C)C[C@H](F)CC)C2)CCC1 ZINC001317383623 1107295397 /nfs/dbraw/zinc/29/53/97/1107295397.db2.gz NOLKFDFCMYMQFA-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCO[C@H]1CCN(c2ccnc3cc(F)c(OC)cc32)C1 ZINC001321231615 1107295519 /nfs/dbraw/zinc/29/55/19/1107295519.db2.gz BHJCXTLEQLDHJX-LBPRGKRZSA-N 0 1 302.349 3.164 20 30 DGEDMN CC/C=C(/C)C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001317389316 1107301386 /nfs/dbraw/zinc/30/13/86/1107301386.db2.gz QKDLNDHMOMPHKQ-UUSOHVMFSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(C(C)C)oc1C ZINC001321315006 1107321332 /nfs/dbraw/zinc/32/13/32/1107321332.db2.gz LMSBBUHBRDVCQE-AATRIKPKSA-N 0 1 310.825 3.340 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c(F)ccc(F)c1Cl ZINC001321655727 1107406351 /nfs/dbraw/zinc/40/63/51/1107406351.db2.gz ZABHAKLIRGRNPE-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN CN(C)c1cccc(Cl)c1C=NN[C@H]1CCOC(C)(C)C1 ZINC001321536456 1107377250 /nfs/dbraw/zinc/37/72/50/1107377250.db2.gz GGMYMRRYWWUWRY-LBPRGKRZSA-N 0 1 309.841 3.287 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001321756086 1107426712 /nfs/dbraw/zinc/42/67/12/1107426712.db2.gz IXQDCSVFXSCFSX-IUODEOHRSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001321756084 1107427446 /nfs/dbraw/zinc/42/74/46/1107427446.db2.gz IXQDCSVFXSCFSX-DOMZBBRYSA-N 0 1 312.816 3.166 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccccc1C(C)(C)C ZINC001317482373 1107436064 /nfs/dbraw/zinc/43/60/64/1107436064.db2.gz ROLFQGBADLJTRD-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN N#Cc1c(=O)[nH]cc2c1CCC(=Cc1ccc3c(c1)CCC3)C2=O ZINC001261504390 1107454378 /nfs/dbraw/zinc/45/43/78/1107454378.db2.gz GSSNQAWCIVTXJZ-DHDCSXOGSA-N 0 1 316.360 3.360 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001317512418 1107474202 /nfs/dbraw/zinc/47/42/02/1107474202.db2.gz KYBANFYYQKAWHU-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN[C@H](C)c2c(F)cccc2F)C1 ZINC001321977560 1107476035 /nfs/dbraw/zinc/47/60/35/1107476035.db2.gz GFCPSGHHTRZUFJ-QWHCGFSZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1ccccc1C ZINC001322004973 1107482823 /nfs/dbraw/zinc/48/28/23/1107482823.db2.gz ZDKCEMCWJRWHCC-HIFRSBDPSA-N 0 1 308.853 3.335 20 30 DGEDMN C[C@@H](NC[C@@H](O)CC(C)(C)C#N)c1nc(C(C)(C)C)cs1 ZINC001261762229 1107520686 /nfs/dbraw/zinc/52/06/86/1107520686.db2.gz LBFVDMWLZWCPJN-NEPJUHHUSA-N 0 1 309.479 3.392 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001317581547 1107552214 /nfs/dbraw/zinc/55/22/14/1107552214.db2.gz OVZQRDYIYSNZRR-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC(Cc3c(F)cccc3Cl)C2)CC1 ZINC001261890434 1107557366 /nfs/dbraw/zinc/55/73/66/1107557366.db2.gz DSMGGUNTWUMPKE-CYBMUJFWSA-N 0 1 322.811 3.008 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccccc2CC)C1 ZINC001317761097 1107646413 /nfs/dbraw/zinc/64/64/13/1107646413.db2.gz FCAQWXKBLFHPQT-IBGZPJMESA-N 0 1 314.473 3.290 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001317768011 1107652435 /nfs/dbraw/zinc/65/24/35/1107652435.db2.gz YEVXNOCABYWOQV-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001317797351 1107673711 /nfs/dbraw/zinc/67/37/11/1107673711.db2.gz NQXBZSIGZMKUPH-LSDHHAIUSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(C)cc2)C1 ZINC001317810021 1107685214 /nfs/dbraw/zinc/68/52/14/1107685214.db2.gz WQFWXDTUTVLDNJ-HNNXBMFYSA-N 0 1 306.837 3.189 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3ccc(OCC#N)cc3)[nH]n2)o1 ZINC001317884633 1107737018 /nfs/dbraw/zinc/73/70/18/1107737018.db2.gz BLTHKEDELMFBSS-UHFFFAOYSA-N 0 1 322.324 3.133 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1nc(Cl)c(C#N)s1 ZINC001322753889 1107751300 /nfs/dbraw/zinc/75/13/00/1107751300.db2.gz VBQYMQSZAUKUBC-UHFFFAOYSA-N 0 1 309.826 3.325 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(CC)s1)C1CC1 ZINC001323034638 1107811981 /nfs/dbraw/zinc/81/19/81/1107811981.db2.gz RTHYJDIAPVOTMT-ZDUSSCGKSA-N 0 1 312.866 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H]1CCCC1(F)F)C1CC1 ZINC001323065344 1107822028 /nfs/dbraw/zinc/82/20/28/1107822028.db2.gz KUYZYYROEYFDHX-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN C#CCCCCC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001318118308 1107848431 /nfs/dbraw/zinc/84/84/31/1107848431.db2.gz ULZKOTAGCYLQSF-UHFFFAOYSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001318159703 1107869808 /nfs/dbraw/zinc/86/98/08/1107869808.db2.gz WHDJHWYQKUDJBP-UHFFFAOYSA-N 0 1 318.436 3.284 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)cc(C)c1 ZINC001318192831 1107888097 /nfs/dbraw/zinc/88/80/97/1107888097.db2.gz KJWCUJFHLOPHIZ-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)o1 ZINC001318219170 1107902765 /nfs/dbraw/zinc/90/27/65/1107902765.db2.gz AREKIAZWEUUMGL-GJZGRUSLSA-N 0 1 320.433 3.157 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1cc(C)ccc1C ZINC001323276463 1107911950 /nfs/dbraw/zinc/91/19/50/1107911950.db2.gz FZEDQAGPQFDHKG-QGZVFWFLSA-N 0 1 300.446 3.206 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001323305218 1107928549 /nfs/dbraw/zinc/92/85/49/1107928549.db2.gz ZYUWUTPKMYZXKK-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001323434794 1107974054 /nfs/dbraw/zinc/97/40/54/1107974054.db2.gz GHIGKDFDHBKJTR-CYBMUJFWSA-N 0 1 319.474 3.184 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)c1 ZINC001263306291 1108008719 /nfs/dbraw/zinc/00/87/19/1108008719.db2.gz UIGNRCPLJYDXSA-LLLHUVSDSA-N 0 1 310.397 3.469 20 30 DGEDMN C[C@@H](NCc1cc(OCC(F)F)ccn1)c1cccc(C#N)c1 ZINC001323556984 1108012149 /nfs/dbraw/zinc/01/21/49/1108012149.db2.gz VPSXGSSIDRMBPR-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(OCCCC)cc2)C1 ZINC001318446878 1108012612 /nfs/dbraw/zinc/01/26/12/1108012612.db2.gz ZMAMNIWOEXVHCZ-QGZVFWFLSA-N 0 1 316.445 3.198 20 30 DGEDMN CCCCn1ncc(C(=O)[C@@H](C#N)c2cccc(F)n2)c1CC ZINC001263381295 1108034981 /nfs/dbraw/zinc/03/49/81/1108034981.db2.gz QIFMKDUCLYEIAJ-LBPRGKRZSA-N 0 1 314.364 3.270 20 30 DGEDMN CCCCn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1CC ZINC001263381295 1108034995 /nfs/dbraw/zinc/03/49/95/1108034995.db2.gz QIFMKDUCLYEIAJ-LBPRGKRZSA-N 0 1 314.364 3.270 20 30 DGEDMN C=CCO[C@@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC001323729657 1108067179 /nfs/dbraw/zinc/06/71/79/1108067179.db2.gz QBXOHDRLRPCMCO-MRXNPFEDSA-N 0 1 309.331 3.334 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C=C(/C)CC)C2)CC1 ZINC001323825088 1108096522 /nfs/dbraw/zinc/09/65/22/1108096522.db2.gz CKKSTICRUAVTFG-KAMYIIQDSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc3ccsc3[nH]2)C1 ZINC001323957922 1108129383 /nfs/dbraw/zinc/12/93/83/1108129383.db2.gz ITWJXKWUDMRCLK-GFCCVEGCSA-N 0 1 317.458 3.340 20 30 DGEDMN N#CC[C@@H](OC(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC001263658853 1108144059 /nfs/dbraw/zinc/14/40/59/1108144059.db2.gz AFPUVIRDOJZVDE-OAHLLOKOSA-N 0 1 302.374 3.115 20 30 DGEDMN C=C[C@@H](COC)N[C@H](C)c1ccc(Br)c(F)c1 ZINC001324022864 1108149773 /nfs/dbraw/zinc/14/97/73/1108149773.db2.gz SUMWNVCXTRVFCR-KOLCDFICSA-N 0 1 302.187 3.440 20 30 DGEDMN C=C[C@H](COC)N[C@H](C)c1cnn(-c2ccc(F)cc2)c1C ZINC001324021229 1108150241 /nfs/dbraw/zinc/15/02/41/1108150241.db2.gz HJYGPOZHXVCEIX-IUODEOHRSA-N 0 1 303.381 3.171 20 30 DGEDMN C#CCOc1ccc(CN2Cc3ccc(O)cc3C3(CC3)C2)cc1 ZINC001324038915 1108154771 /nfs/dbraw/zinc/15/47/71/1108154771.db2.gz GENIXUSEVJLRQV-UHFFFAOYSA-N 0 1 319.404 3.452 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncc(C(C)C)o2)C[C@@H]1C ZINC001324046036 1108157604 /nfs/dbraw/zinc/15/76/04/1108157604.db2.gz BCYPXKXQYWCFOB-LSDHHAIUSA-N 0 1 319.449 3.233 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001263809135 1108215095 /nfs/dbraw/zinc/21/50/95/1108215095.db2.gz HYNMKWUWMGKBHL-SFHVURJKSA-N 0 1 300.446 3.384 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCc1ccc(C)cc1 ZINC001263808797 1108216314 /nfs/dbraw/zinc/21/63/14/1108216314.db2.gz DLJIGBWVIHJNOU-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2CC(=C)C)CCCCC1 ZINC001263820502 1108234831 /nfs/dbraw/zinc/23/48/31/1108234831.db2.gz ACNQMCRYEPFXEJ-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001263839076 1108245926 /nfs/dbraw/zinc/24/59/26/1108245926.db2.gz NIOWHMZILOTEKO-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2ccccn2)CC1 ZINC001324329091 1108252291 /nfs/dbraw/zinc/25/22/91/1108252291.db2.gz MTCWVPCJVNKWRX-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001324387314 1108272191 /nfs/dbraw/zinc/27/21/91/1108272191.db2.gz SHEKNTMKFLRAEN-KGAUPUERSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC2(C)CC2)C1 ZINC001263887066 1108283530 /nfs/dbraw/zinc/28/35/30/1108283530.db2.gz HYFCUUMLDNJEDN-ZWKOTPCHSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccco1)C1CCCC1 ZINC001324453140 1108294762 /nfs/dbraw/zinc/29/47/62/1108294762.db2.gz IMCFDEYZJAMCPR-MRXNPFEDSA-N 0 1 324.852 3.229 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)/C(C)=C\C)C1 ZINC001264040491 1108348365 /nfs/dbraw/zinc/34/83/65/1108348365.db2.gz JWUDXOBSUDJLNH-OWSOAGIPSA-N 0 1 316.420 3.252 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001264094980 1108372127 /nfs/dbraw/zinc/37/21/27/1108372127.db2.gz YKSNPJFGJRJUCL-KBVIYHELSA-N 0 1 310.869 3.122 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)[C@@H]1C ZINC001264138457 1108396457 /nfs/dbraw/zinc/39/64/57/1108396457.db2.gz YURXTSJGSIQSSY-FDCNMECVSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CCCCc2ccccc2)[C@H]1C ZINC001264158775 1108405340 /nfs/dbraw/zinc/40/53/40/1108405340.db2.gz ADVHHMJQZYLSHA-MJGOQNOKSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)CC2CCCCC2)C1 ZINC001319295832 1108406256 /nfs/dbraw/zinc/40/62/56/1108406256.db2.gz RHQWQYBVPDJMTN-FUHWJXTLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C[C@H](C(=O)N[C@]1(C)CCN(C/C=C/Cl)C1)c1ccccc1 ZINC001324670920 1108416546 /nfs/dbraw/zinc/41/65/46/1108416546.db2.gz ACGLNFXRIYMCKJ-SOZFJFGGSA-N 0 1 318.848 3.289 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ccc([N+](=O)[O-])cc1C#N ZINC001324702115 1108433255 /nfs/dbraw/zinc/43/32/55/1108433255.db2.gz SOVXDTWRBLWXFS-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN CC(C)=CC(=O)NCC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001324840361 1108480972 /nfs/dbraw/zinc/48/09/72/1108480972.db2.gz KTPDBGYSNQJVMJ-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN CC(C(=O)NC[C@H]1C[C@H](NCc2ccccc2C#N)C1)=C1CCC1 ZINC001264419711 1108521722 /nfs/dbraw/zinc/52/17/22/1108521722.db2.gz BDXWUUBKIFNICR-RHDGDCLCSA-N 0 1 323.440 3.043 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CCCC[C@H](C)CC)C1 ZINC001324935121 1108521845 /nfs/dbraw/zinc/52/18/45/1108521845.db2.gz BEOYDDIWCODWCR-IEBWSBKVSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNCc2cnoc2C)CCCC1 ZINC001319598372 1108546201 /nfs/dbraw/zinc/54/62/01/1108546201.db2.gz YIMOSMZACVSZLS-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001325051644 1108571074 /nfs/dbraw/zinc/57/10/74/1108571074.db2.gz PQCQSXLHLKNCPD-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1c(C)coc1C)c1ccccc1CC ZINC001325360055 1108631321 /nfs/dbraw/zinc/63/13/21/1108631321.db2.gz BACRXZBIWCOMCY-SFHVURJKSA-N 0 1 324.424 3.153 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccccc1C)c1ccccc1CC ZINC001325361605 1108632649 /nfs/dbraw/zinc/63/26/49/1108632649.db2.gz IWNQMNKTBVTTFO-FQEVSTJZSA-N 0 1 320.436 3.251 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001264741610 1108640675 /nfs/dbraw/zinc/64/06/75/1108640675.db2.gz IZSXTRQJIIZNKX-CADBVGFASA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001264741610 1108640680 /nfs/dbraw/zinc/64/06/80/1108640680.db2.gz IZSXTRQJIIZNKX-CADBVGFASA-N 0 1 316.489 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1nc(C)c(C)s1)C(C)C ZINC001319934559 1108701747 /nfs/dbraw/zinc/70/17/47/1108701747.db2.gz DGVOKEHEZDETCC-AWEZNQCLSA-N 0 1 323.506 3.203 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC/C(Cl)=C\Cl)C(C)C ZINC001319937176 1108702764 /nfs/dbraw/zinc/70/27/64/1108702764.db2.gz UCVIKBXWRUHSHT-VNKGSWCUSA-N 0 1 307.265 3.248 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C2(CC(C)C)CCCC2)CC1 ZINC001265198681 1108769489 /nfs/dbraw/zinc/76/94/89/1108769489.db2.gz FYJOHDOUHCSZSA-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@@H](c1cccc(F)c1)N1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265284129 1108806233 /nfs/dbraw/zinc/80/62/33/1108806233.db2.gz MPDOUMLOIYFGEW-WMLDXEAASA-N 0 1 316.420 3.127 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001265301468 1108814336 /nfs/dbraw/zinc/81/43/36/1108814336.db2.gz LEJRRAYYOUXGGR-WBVHZDCISA-N 0 1 306.475 3.349 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001265340570 1108834122 /nfs/dbraw/zinc/83/41/22/1108834122.db2.gz WRHFFSMUDROFIP-AOIWGVFYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCCCC1(F)F)C1CC1 ZINC001265364333 1108844958 /nfs/dbraw/zinc/84/49/58/1108844958.db2.gz NBTTUBGYXZQNJR-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCCCC)C1 ZINC001265384415 1108854567 /nfs/dbraw/zinc/85/45/67/1108854567.db2.gz HVRVGTLLDFHTSH-OAHLLOKOSA-N 0 1 300.874 3.490 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(F)F ZINC001265401452 1108864198 /nfs/dbraw/zinc/86/41/98/1108864198.db2.gz VJEGTJVOEPZCMM-OKILXGFUSA-N 0 1 302.409 3.287 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405374 1108865357 /nfs/dbraw/zinc/86/53/57/1108865357.db2.gz XDKFVPMEHDDSDJ-HDICACEKSA-N 0 1 302.462 3.067 20 30 DGEDMN C/C=C(/C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001265512867 1108895705 /nfs/dbraw/zinc/89/57/05/1108895705.db2.gz MMPKNQJYLGGKTI-RTUBKVDUSA-N 0 1 322.452 3.116 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2[C@@H](C)c1ncc(C)o1 ZINC001265512914 1108896050 /nfs/dbraw/zinc/89/60/50/1108896050.db2.gz OCZLBOZAQNJSLH-HNSVSWJLSA-N 0 1 317.433 3.122 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@H]1CCCN(CCF)C1)c1ccccc1 ZINC001265596870 1108927244 /nfs/dbraw/zinc/92/72/44/1108927244.db2.gz LULRJAPPVMCAKN-SJLPKXTDSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1CCCC12CC2 ZINC001265673974 1108961251 /nfs/dbraw/zinc/96/12/51/1108961251.db2.gz PGPTUJWULGZTLH-HUUCEWRRSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](C)C(C)(F)F ZINC001265681628 1108963812 /nfs/dbraw/zinc/96/38/12/1108963812.db2.gz DNLDAVQALNDIOW-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](C)c1ccc(C)o1 ZINC001265684355 1108964870 /nfs/dbraw/zinc/96/48/70/1108964870.db2.gz UBKLEIXAKGYVNS-LSDHHAIUSA-N 0 1 324.852 3.415 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H]2CCCN(CCF)C2)CCCC1 ZINC001265707376 1108977761 /nfs/dbraw/zinc/97/77/61/1108977761.db2.gz AGLDXHQJBOUVNU-CVEARBPZSA-N 0 1 310.457 3.309 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](C)N[C@@H](C)c1cccc(Cl)c1F ZINC001265773198 1109001168 /nfs/dbraw/zinc/00/11/68/1109001168.db2.gz QTQNCYIRMFFRDR-STQMWFEESA-N 0 1 324.827 3.294 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)c(Cl)cc1C ZINC001265809404 1109010036 /nfs/dbraw/zinc/01/00/36/1109010036.db2.gz XNMFKMXUVSCSJT-LBPRGKRZSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001265835499 1109020402 /nfs/dbraw/zinc/02/04/02/1109020402.db2.gz PDBZHKQZGGMZMC-DOTOQJQBSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001266103780 1109091605 /nfs/dbraw/zinc/09/16/05/1109091605.db2.gz XOZVKBUKPNPDOZ-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2csc(C)c2)CC1 ZINC001279510720 1109153075 /nfs/dbraw/zinc/15/30/75/1109153075.db2.gz DAIRPBSZMQRRQJ-UHFFFAOYSA-N 0 1 304.459 3.271 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)C[C@@H](C)CCC)c(F)c1 ZINC001279704008 1109160911 /nfs/dbraw/zinc/16/09/11/1109160911.db2.gz OPSVLCJHWMGJGO-HNNXBMFYSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@]3(C)CC=CCC3)cccc2C1 ZINC001280426946 1109201759 /nfs/dbraw/zinc/20/17/59/1109201759.db2.gz MCVGYRUGLWTYPO-OAQYLSRUSA-N 0 1 322.452 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)c2cccs2)CCC1 ZINC001280505395 1109215175 /nfs/dbraw/zinc/21/51/75/1109215175.db2.gz OATMTIIXOUTNRS-GFCCVEGCSA-N 0 1 312.866 3.233 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccccc2C)CCC1 ZINC001280544787 1109222320 /nfs/dbraw/zinc/22/23/20/1109222320.db2.gz FRZLKUCNHHYJLQ-HNNXBMFYSA-N 0 1 320.864 3.480 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1cccc(F)c1 ZINC001316605399 1109240767 /nfs/dbraw/zinc/24/07/67/1109240767.db2.gz OOAIVADSERMWKC-YOEHRIQHSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001281663865 1109391062 /nfs/dbraw/zinc/39/10/62/1109391062.db2.gz GCVSVFKHFPPHCW-QUCCMNQESA-N 0 1 324.468 3.364 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1c2ccccc2CCN1CC ZINC001281776618 1109406989 /nfs/dbraw/zinc/40/69/89/1109406989.db2.gz OGSLZZHCKVGABZ-MJGOQNOKSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CC1(C)CC1 ZINC001281776386 1109407019 /nfs/dbraw/zinc/40/70/19/1109407019.db2.gz MXGWBUBABOOBLX-GOSISDBHSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)c(C)o1 ZINC001281860163 1109411568 /nfs/dbraw/zinc/41/15/68/1109411568.db2.gz VCFRVBFOJLJKMB-AWEZNQCLSA-N 0 1 310.825 3.233 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)Cc1scnc1C ZINC001281940225 1109417810 /nfs/dbraw/zinc/41/78/10/1109417810.db2.gz QOTGUNRMBQOELP-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](C)c1ccccc1C ZINC001281954997 1109420819 /nfs/dbraw/zinc/42/08/19/1109420819.db2.gz HZPRTGAJULTVMZ-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H](C)c1ccccc1C ZINC001281954992 1109420979 /nfs/dbraw/zinc/42/09/79/1109420979.db2.gz HZPRTGAJULTVMZ-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001282042536 1109435381 /nfs/dbraw/zinc/43/53/81/1109435381.db2.gz WGISVRLSQUXGRR-YRNVUSSQSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCCc1ccsc1 ZINC001282146347 1109457599 /nfs/dbraw/zinc/45/75/99/1109457599.db2.gz KIPYUQMZWGYRCP-QWHCGFSZSA-N 0 1 314.882 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(CCC)cc1 ZINC001282182350 1109463837 /nfs/dbraw/zinc/46/38/37/1109463837.db2.gz BRUDZFWMZLESEI-UONOGXRCSA-N 0 1 308.853 3.488 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(CCC)cc1 ZINC001282182346 1109463928 /nfs/dbraw/zinc/46/39/28/1109463928.db2.gz BRUDZFWMZLESEI-KBPBESRZSA-N 0 1 308.853 3.488 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2ccccc2[nH]1 ZINC001282225649 1109470890 /nfs/dbraw/zinc/47/08/90/1109470890.db2.gz BEARUYPNKKQXEO-RYUDHWBXSA-N 0 1 305.809 3.017 20 30 DGEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)[C@@H](C)c1ccccc1Cl ZINC001282385377 1109499305 /nfs/dbraw/zinc/49/93/05/1109499305.db2.gz WRHLGEIBEOTKDL-KGLIPLIRSA-N 0 1 318.848 3.251 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2cscc2s1 ZINC001282437163 1109510053 /nfs/dbraw/zinc/51/00/53/1109510053.db2.gz GWTNIMWCIIKHFA-LLVKDONJSA-N 0 1 306.456 3.036 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CCCC)CNCc2cc(C)no2)C1 ZINC001282648054 1109542291 /nfs/dbraw/zinc/54/22/91/1109542291.db2.gz OIADODCYEWEKFX-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](CCCC)C(C)C ZINC001282680395 1109551212 /nfs/dbraw/zinc/55/12/12/1109551212.db2.gz ARFGGOBJWRDKCT-QZTJIDSGSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(CC)CCCCC1 ZINC001282678606 1109551255 /nfs/dbraw/zinc/55/12/55/1109551255.db2.gz WXTSZDAUUMFSKO-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC001282819436 1109570025 /nfs/dbraw/zinc/57/00/25/1109570025.db2.gz AQPRHTLQBRODGO-AEFFLSMTSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCC2CCCCC2)C(C)(C)C1 ZINC001282834223 1109573059 /nfs/dbraw/zinc/57/30/59/1109573059.db2.gz PYXPXVMGZXZSLC-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CC3(C)CCCCC3)[C@@H]2C1 ZINC001282872090 1109577366 /nfs/dbraw/zinc/57/73/66/1109577366.db2.gz PIIFXBHCJVQVTN-PHZGNYQRSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCCCC1(F)F ZINC001283649195 1109665866 /nfs/dbraw/zinc/66/58/66/1109665866.db2.gz NJKGNMXINIPVIV-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1CCC(C)(C)CC1 ZINC001283721524 1109679640 /nfs/dbraw/zinc/67/96/40/1109679640.db2.gz IPHWKKVVMPWQCV-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cccc(F)c2F)[C@H]1C ZINC001283881732 1109693248 /nfs/dbraw/zinc/69/32/48/1109693248.db2.gz OHVQEPJNECYTCH-CJNGLKHVSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2(c3ccccc3)CC2)[C@@H]1C ZINC001284127900 1109742643 /nfs/dbraw/zinc/74/26/43/1109742643.db2.gz GSAXIBCVZWXHJV-PKOBYXMFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)C(C)(C)C ZINC001284609781 1109824585 /nfs/dbraw/zinc/82/45/85/1109824585.db2.gz NKMBBOUENMRXEP-KKUMJFAQSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](CNCc1ocnc1C)C(C)(C)C ZINC001284616630 1109825844 /nfs/dbraw/zinc/82/58/44/1109825844.db2.gz CQDKUJCNSWKPHB-GDBMZVCRSA-N 0 1 321.465 3.206 20 30 DGEDMN CCCCCCCC[C@H](C)CC(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001302936569 1111129453 /nfs/dbraw/zinc/12/94/53/1111129453.db2.gz IZXXISYXJFOBDP-GJZGRUSLSA-N 0 1 321.469 3.292 20 30 DGEDMN C#CCC1(NC(=O)CN(C)CCc2ccccc2)CCCCC1 ZINC001304006983 1111161853 /nfs/dbraw/zinc/16/18/53/1111161853.db2.gz OBIJJNZZGUCBPN-UHFFFAOYSA-N 0 1 312.457 3.003 20 30 DGEDMN C[C@@H]1CCCC[C@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001306906836 1111249331 /nfs/dbraw/zinc/24/93/31/1111249331.db2.gz HFKXDVFWRHJJSP-OCCSQVGLSA-N 0 1 323.400 3.498 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2cc(C#N)nc(C3CC3)n2)n[nH]1 ZINC001307110458 1111267074 /nfs/dbraw/zinc/26/70/74/1111267074.db2.gz CTEUUODEDMSOOT-NXEZZACHSA-N 0 1 311.393 3.030 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@H](C(=O)OC)C1(OC)CCC1 ZINC001307471393 1111303432 /nfs/dbraw/zinc/30/34/32/1111303432.db2.gz PHFKYDQEOWHOMO-MRXNPFEDSA-N 0 1 309.450 3.165 20 30 DGEDMN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1cc(C#N)ccn1 ZINC001307631566 1111321903 /nfs/dbraw/zinc/32/19/03/1111321903.db2.gz WQIKIAJBJGQDKP-SJCJKPOMSA-N 0 1 315.804 3.472 20 30 DGEDMN C[C@@H](NCc1c[nH]nn1)c1ccc(-c2cccc(C#N)c2)cc1 ZINC001307675287 1111326566 /nfs/dbraw/zinc/32/65/66/1111326566.db2.gz PTHXDMCQKWATLK-CYBMUJFWSA-N 0 1 303.369 3.194 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1C[C@H]2CCCC[C@H]21 ZINC001307901167 1111353333 /nfs/dbraw/zinc/35/33/33/1111353333.db2.gz NXFQEJWVWXICHN-RVKOJVRFSA-N 0 1 317.477 3.180 20 30 DGEDMN N#Cc1cc(CN[C@@H](Cn2ccnc2)c2ccccc2)ccc1F ZINC001308036010 1111369298 /nfs/dbraw/zinc/36/92/98/1111369298.db2.gz ZJUBCOWKUUNKCP-IBGZPJMESA-N 0 1 320.371 3.425 20 30 DGEDMN C=C(Br)CNCc1c[nH]nc1-c1cccc(OC)c1 ZINC001308254725 1111405449 /nfs/dbraw/zinc/40/54/49/1111405449.db2.gz VWMQTRLZBFOYKO-UHFFFAOYSA-N 0 1 322.206 3.084 20 30 DGEDMN COc1cc(F)cc(CNCc2cccc(OCC#N)c2)c1 ZINC001308266718 1111407918 /nfs/dbraw/zinc/40/79/18/1111407918.db2.gz WDEGDJDASLHRPM-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN CCCCCC(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001317518744 1111629254 /nfs/dbraw/zinc/62/92/54/1111629254.db2.gz SRPMKIUVZGMBTO-LJQANCHMSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCNC(=O)N[C@@H](CN(C)C)c1ccc(Cl)c(Cl)c1 ZINC001313121805 1111696212 /nfs/dbraw/zinc/69/62/12/1111696212.db2.gz FCEVBGFIFITWGI-ZDUSSCGKSA-N 0 1 316.232 3.081 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1nc(C)c(C)s1)C1CC1 ZINC001317018904 1111737444 /nfs/dbraw/zinc/73/74/44/1111737444.db2.gz MSKRAPRSQWPAMR-HNNXBMFYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@H](NCc2cnc(C)o2)C1 ZINC001316775662 1111762593 /nfs/dbraw/zinc/76/25/93/1111762593.db2.gz SSEYMWBXLAFJLP-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)[C@@H](C)CCC)C2 ZINC001316809313 1111775126 /nfs/dbraw/zinc/77/51/26/1111775126.db2.gz KPFLCIOUJGJHEP-ZDUSSCGKSA-N 0 1 321.490 3.130 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc(-n2cncn2)cc1 ZINC000090117503 185282854 /nfs/dbraw/zinc/28/28/54/185282854.db2.gz FKICGDXTZJIDRL-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN CN(C)c1ccc(C(=O)C(C#N)c2nccc3ccccc32)nc1 ZINC000342390099 529778512 /nfs/dbraw/zinc/77/85/12/529778512.db2.gz RXVFLBAIPIJBHJ-INIZCTEOSA-N 0 1 316.364 3.186 20 30 DGEDMN CN(CCC(=O)Nc1ccc(Cl)cc1F)CC(C)(C)C#N ZINC000433307824 529871486 /nfs/dbraw/zinc/87/14/86/529871486.db2.gz WLLOOEQFTIJHLO-UHFFFAOYSA-N 0 1 311.788 3.289 20 30 DGEDMN CNC(=O)c1ccc(CN[C@@H](CCC#N)c2ccccc2)cc1 ZINC000130450334 529938933 /nfs/dbraw/zinc/93/89/33/529938933.db2.gz GHUWUGATTAXPQQ-SFHVURJKSA-N 0 1 307.397 3.181 20 30 DGEDMN C[C@@H](CC#N)N(C)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000103442541 432010555 /nfs/dbraw/zinc/01/05/55/432010555.db2.gz BKNSRTFDZLRBGI-JTQLQIEISA-N 0 1 302.765 3.104 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1nc2c(cc1C#N)CCCC2 ZINC000444867163 529977134 /nfs/dbraw/zinc/97/71/34/529977134.db2.gz CZTLYCBGJDKEMN-UHFFFAOYSA-N 0 1 323.444 3.489 20 30 DGEDMN C=CCNC(=O)NCC1CCN(Cc2ccccc2Cl)CC1 ZINC000106512218 432021196 /nfs/dbraw/zinc/02/11/96/432021196.db2.gz JKODATJUEMOQLJ-UHFFFAOYSA-N 0 1 321.852 3.037 20 30 DGEDMN C[C@@]1(O)CCCN(Cc2ccc(C#N)cc2Br)CC1 ZINC000279885709 215206264 /nfs/dbraw/zinc/20/62/64/215206264.db2.gz CTRWZOZGGXBTRZ-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000066855916 432023228 /nfs/dbraw/zinc/02/32/28/432023228.db2.gz QBVMHYWBZZNUNT-CYBMUJFWSA-N 0 1 305.447 3.360 20 30 DGEDMN CCN(CC)[C@H](C/N=C/c1cccc(OC)c1O)c1ccco1 ZINC000067005690 432024778 /nfs/dbraw/zinc/02/47/78/432024778.db2.gz XURREMNEQNCFDM-IYSPOMMRSA-N 0 1 316.401 3.496 20 30 DGEDMN CSCC[C@H](C)N(C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000072666046 191212046 /nfs/dbraw/zinc/21/20/46/191212046.db2.gz ORZNNLVPRPHZDV-LBPRGKRZSA-N 0 1 316.430 3.182 20 30 DGEDMN N#Cc1ccccc1CN(Cc1ccccc1)[C@@H](CO)C1CC1 ZINC000179440026 432058760 /nfs/dbraw/zinc/05/87/60/432058760.db2.gz CADKQVIKKGDTGF-FQEVSTJZSA-N 0 1 306.409 3.331 20 30 DGEDMN N#Cc1ccc(CN2CCC(OC[C@H]3CCCCO3)CC2)s1 ZINC000180170790 199125894 /nfs/dbraw/zinc/12/58/94/199125894.db2.gz HAROHWLUVJTEDK-OAHLLOKOSA-N 0 1 320.458 3.170 20 30 DGEDMN Cc1ccc([C@H](C)N[C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)o1 ZINC000180234916 432081644 /nfs/dbraw/zinc/08/16/44/432081644.db2.gz CAFHBPKUOJJUAI-WMLDXEAASA-N 0 1 323.396 3.306 20 30 DGEDMN N#Cc1c(CN2CCC([C@@H]3CCCO3)CC2)cn2ccccc12 ZINC000189125351 200352749 /nfs/dbraw/zinc/35/27/49/200352749.db2.gz WADXTNNIHIDTGZ-IBGZPJMESA-N 0 1 309.413 3.202 20 30 DGEDMN C[C@@H]1CN(c2cccc(F)c2)CCN1Cc1ccc(C#N)cc1 ZINC000429240533 238044209 /nfs/dbraw/zinc/04/42/09/238044209.db2.gz JGPBQVNPBQMBLY-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN Cc1cc(F)ccc1CN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000428784850 238031285 /nfs/dbraw/zinc/03/12/85/238031285.db2.gz LKUWWXXHIDELNO-KRWDZBQOSA-N 0 1 311.360 3.054 20 30 DGEDMN C[C@@H]1CN(CCOc2cccc(C#N)c2)Cc2ccccc2O1 ZINC000429141084 238041646 /nfs/dbraw/zinc/04/16/46/238041646.db2.gz KAIWXXPIINTEPP-OAHLLOKOSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1ccc(F)c(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)c1 ZINC000430186253 238070186 /nfs/dbraw/zinc/07/01/86/238070186.db2.gz MLRYKXWHIICPSN-MRXNPFEDSA-N 0 1 311.360 3.054 20 30 DGEDMN CC(C)c1nc([C@@H](C)N[C@H](C)c2ccc(C#N)c(F)c2)n[nH]1 ZINC000271983629 209358868 /nfs/dbraw/zinc/35/88/68/209358868.db2.gz XOFODHQOMCBXBO-GHMZBOCLSA-N 0 1 301.369 3.351 20 30 DGEDMN CCc1nn(C)c(CC)c1CN[C@@H](C)c1ccc(C#N)c(F)c1 ZINC000271957458 209340199 /nfs/dbraw/zinc/34/01/99/209340199.db2.gz QGMOBHVBDBYCOX-LBPRGKRZSA-N 0 1 314.408 3.406 20 30 DGEDMN Cc1cc(C)c(C#N)c(SCc2n[nH]c(C(C)(C)C)n2)n1 ZINC000292463296 223098153 /nfs/dbraw/zinc/09/81/53/223098153.db2.gz PGGGQSCDOZQZJS-UHFFFAOYSA-N 0 1 301.419 3.278 20 30 DGEDMN N#Cc1cccc(C2(NCC(=O)NCCC3=CCCCC3)CC2)c1 ZINC000271863353 209276997 /nfs/dbraw/zinc/27/69/97/209276997.db2.gz ZVBXYTUNXIHJDE-UHFFFAOYSA-N 0 1 323.440 3.144 20 30 DGEDMN Cc1cc(CNCc2cccc(N3CCCC3=O)c2)ccc1C#N ZINC000443979840 239244958 /nfs/dbraw/zinc/24/49/58/239244958.db2.gz PHOBAYBCHKRJFG-UHFFFAOYSA-N 0 1 319.408 3.283 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(Cc2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000271832323 209252992 /nfs/dbraw/zinc/25/29/92/209252992.db2.gz RAQUHWTUKURQDS-DNVCBOLYSA-N 0 1 319.408 3.010 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000275358554 212290902 /nfs/dbraw/zinc/29/09/02/212290902.db2.gz SENOLMYTAKRFMW-ZFWWWQNUSA-N 0 1 312.417 3.465 20 30 DGEDMN C[C@]1(NC(=O)[C@H]2CCc3[nH]nnc3C2)CCCc2ccccc21 ZINC000329037474 291346939 /nfs/dbraw/zinc/34/69/39/291346939.db2.gz ZIHGWSKQNLWQBM-UGSOOPFHSA-N 0 1 310.401 3.118 20 30 DGEDMN C[C@]1(NC(=O)[C@H]2CCc3nn[nH]c3C2)CCCc2ccccc21 ZINC000329037474 291346941 /nfs/dbraw/zinc/34/69/41/291346941.db2.gz ZIHGWSKQNLWQBM-UGSOOPFHSA-N 0 1 310.401 3.118 20 30 DGEDMN COc1ncc(CN[C@@H](C)c2cccc(C#N)c2)cc1Cl ZINC000271794845 209221188 /nfs/dbraw/zinc/22/11/88/209221188.db2.gz IWOGUTFSFGIKOQ-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN CCc1nn(C)c(CC)c1CNC1(c2cccc(C#N)c2)CC1 ZINC000271791492 209219853 /nfs/dbraw/zinc/21/98/53/209219853.db2.gz GYQMXPVDSZQAFP-UHFFFAOYSA-N 0 1 308.429 3.195 20 30 DGEDMN COC(=O)c1cccc(CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000271781695 209209011 /nfs/dbraw/zinc/20/90/11/209209011.db2.gz LTMZGSXMNKEQAX-UHFFFAOYSA-N 0 1 306.365 3.124 20 30 DGEDMN COc1ccc(N(CC2CC2)C(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000456179634 242371642 /nfs/dbraw/zinc/37/16/42/242371642.db2.gz OOJOWFVVCNDAJL-UHFFFAOYSA-N 0 1 322.364 3.329 20 30 DGEDMN CC[C@@H]1CCN([C@H](C)C(=O)Nc2sc3c(c2C#N)CCC3)C1 ZINC000246277757 432114494 /nfs/dbraw/zinc/11/44/94/432114494.db2.gz SOTKTFAMCPAZKZ-VXGBXAGGSA-N 0 1 317.458 3.167 20 30 DGEDMN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)Nc1sccc1C#N ZINC000135354082 432114518 /nfs/dbraw/zinc/11/45/18/432114518.db2.gz VJLNTOIIGJBIOA-OCCSQVGLSA-N 0 1 305.447 3.459 20 30 DGEDMN Cc1ccc(NC(=O)c2ccc(C#N)c(O)c2)c2cccnc12 ZINC000190038608 432115739 /nfs/dbraw/zinc/11/57/39/432115739.db2.gz ZFFSXEBYBKRSHA-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@H](C)c1n[nH]c(CC)n1)c1ccccc1 ZINC000275158448 212159713 /nfs/dbraw/zinc/15/97/13/212159713.db2.gz ACNKQTWMHSHTNF-UKRRQHHQSA-N 0 1 312.417 3.294 20 30 DGEDMN C[C@@H]1C[C@@H](Nc2ccc(C#N)cc2Br)CN1C1CC1 ZINC000122869234 284227549 /nfs/dbraw/zinc/22/75/49/284227549.db2.gz IEUAQEYGAOPRLU-ZYHUDNBSSA-N 0 1 320.234 3.358 20 30 DGEDMN Cc1cc(CN[C@@H](C[S@](C)=O)c2ccccc2)ccc1C#N ZINC000488134343 245151735 /nfs/dbraw/zinc/15/17/35/245151735.db2.gz DLDABCXKFSDMFN-AVRDEDQJSA-N 0 1 312.438 3.076 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(OCC)cc1)c1ccccc1 ZINC000271733428 209165986 /nfs/dbraw/zinc/16/59/86/209165986.db2.gz SXWLLXYTKQCDSD-IBGZPJMESA-N 0 1 322.408 3.378 20 30 DGEDMN C[C@@H](NCC(=O)N(C)C1CCC(C)CC1)c1ccc(C#N)cc1 ZINC000271669668 209113761 /nfs/dbraw/zinc/11/37/61/209113761.db2.gz MVOKUDKKBBWEDN-SWKXRBFHSA-N 0 1 313.445 3.246 20 30 DGEDMN CCc1ccccc1NC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000266478176 205375344 /nfs/dbraw/zinc/37/53/44/205375344.db2.gz POHDBVAQCWOAAX-AWEZNQCLSA-N 0 1 307.397 3.410 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C=Cc1cccc(C#N)c1 ZINC000493747244 246057106 /nfs/dbraw/zinc/05/71/06/246057106.db2.gz DCNBMQPBXAVGTM-WAYWQWQTSA-N 0 1 302.337 3.395 20 30 DGEDMN C[C@H](C#N)CN(C)CC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000496411718 246392539 /nfs/dbraw/zinc/39/25/39/246392539.db2.gz RAHHHHHJBBYZJI-CABCVRRESA-N 0 1 309.454 3.115 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cccc2cn[nH]c21 ZINC000056478245 184013295 /nfs/dbraw/zinc/01/32/95/184013295.db2.gz PQONRGYNFVABDJ-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN CC(C)(C)c1ccc(NS(=O)(=O)c2cncc(C#N)c2)cc1 ZINC000336867908 253013949 /nfs/dbraw/zinc/01/39/49/253013949.db2.gz UGGTZOZSXMAOQV-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OC(F)F)cc2F)cc1O ZINC000338302297 253261895 /nfs/dbraw/zinc/26/18/95/253261895.db2.gz LYPDRESROQSWRI-UHFFFAOYSA-N 0 1 322.242 3.257 20 30 DGEDMN COC(=O)c1ccc(F)c(CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000338362835 253274272 /nfs/dbraw/zinc/27/42/72/253274272.db2.gz OKSVQRWQIKPFDL-LBPRGKRZSA-N 0 1 312.344 3.335 20 30 DGEDMN COCC1(C#N)CCN(Cc2cc(C)c(OC)c(C)c2)CC1 ZINC000342820409 533111149 /nfs/dbraw/zinc/11/11/49/533111149.db2.gz IGDXGZXTCJVJHG-UHFFFAOYSA-N 0 1 302.418 3.064 20 30 DGEDMN C[C@@H]1CCC[C@H](C)N1CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000342684496 254031844 /nfs/dbraw/zinc/03/18/44/254031844.db2.gz GCFASBOFHCIMBZ-TXEJJXNPSA-N 0 1 305.809 3.413 20 30 DGEDMN N#Cc1csc(CN(Cc2ccccc2)CC2(CO)CC2)c1 ZINC000342838358 254062683 /nfs/dbraw/zinc/06/26/83/254062683.db2.gz CTELQSXAXYPXPU-UHFFFAOYSA-N 0 1 312.438 3.394 20 30 DGEDMN Cc1cc(C2CCN(c3nccc(C#N)c3Cl)CC2)[nH]n1 ZINC000342858927 254066807 /nfs/dbraw/zinc/06/68/07/254066807.db2.gz ZICJVSDNKFGIJL-UHFFFAOYSA-N 0 1 301.781 3.022 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(Cn3ccnc3)c2)c(F)c1 ZINC000343268729 254122360 /nfs/dbraw/zinc/12/23/60/254122360.db2.gz WSDUZDXAEWFZNK-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN Cc1c(Cl)cnc(N[C@H](C)c2n[nH]c(C(C)C)n2)c1C#N ZINC000343525452 254156150 /nfs/dbraw/zinc/15/61/50/254156150.db2.gz IRAANVNSJKIDFE-SECBINFHSA-N 0 1 304.785 3.330 20 30 DGEDMN CN(Cc1nnc(-c2ccc(C#N)cc2)o1)C[C@@H]1CC=CCC1 ZINC000344066336 254219915 /nfs/dbraw/zinc/21/99/15/254219915.db2.gz OXKUIMDZLRFVKX-OAHLLOKOSA-N 0 1 308.385 3.396 20 30 DGEDMN C[C@@H]1CN(Cc2nnc(-c3ccc(C#N)cc3)o2)CC(C)(C)C1 ZINC000344082713 254222902 /nfs/dbraw/zinc/22/29/02/254222902.db2.gz RXBMVQUDJKBKCR-ZDUSSCGKSA-N 0 1 310.401 3.476 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2ccc(F)c(C#N)c2)CC1 ZINC000344374693 254263532 /nfs/dbraw/zinc/26/35/32/254263532.db2.gz WXJJYWRDPZMEOH-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN Cc1noc(C)c1NC(=O)c1cc(-c2cccc(C#N)c2)no1 ZINC000345121594 254332343 /nfs/dbraw/zinc/33/23/43/254332343.db2.gz CHBDXVGYSFBPDP-UHFFFAOYSA-N 0 1 308.297 3.070 20 30 DGEDMN COc1cccc2c(C(=O)Nc3cccc(C#N)c3C)n[nH]c21 ZINC000345283458 254349237 /nfs/dbraw/zinc/34/92/37/254349237.db2.gz QKQOKBBODMMRLO-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@H](C)c2n[nH]c(C(C)C)n2)cc1 ZINC000271580928 209030706 /nfs/dbraw/zinc/03/07/06/209030706.db2.gz WWICFPNOAJQPAT-UONOGXRCSA-N 0 1 312.417 3.352 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC000305122687 285291150 /nfs/dbraw/zinc/29/11/50/285291150.db2.gz HNMYIGXWBOOXDG-ABAIWWIYSA-N 0 1 312.335 3.254 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1)C1CC1 ZINC000271612963 209059413 /nfs/dbraw/zinc/05/94/13/209059413.db2.gz XEWLEGBTTNIDMW-MRXNPFEDSA-N 0 1 317.433 3.085 20 30 DGEDMN C=C[C@H](CO)NCc1cc(Br)cc(C(F)(F)F)c1 ZINC000289865251 335066583 /nfs/dbraw/zinc/06/65/83/335066583.db2.gz OSHNTUIEHFOPMS-LLVKDONJSA-N 0 1 324.140 3.104 20 30 DGEDMN CC(C)c1cccc(CN2CCN(c3ccc(C#N)cn3)CC2)c1 ZINC000595295842 400120595 /nfs/dbraw/zinc/12/05/95/400120595.db2.gz UEUDVLZAGYHBQM-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C=CCN(Cc1cccs1)Cc1ccc(C(=O)NC)cc1 ZINC000066870579 400126237 /nfs/dbraw/zinc/12/62/37/400126237.db2.gz PIZKZZCZNZADBM-UHFFFAOYSA-N 0 1 300.427 3.296 20 30 DGEDMN C=CC1CCN(Cc2cn3cc(Br)ccc3n2)CC1 ZINC000600683382 400129339 /nfs/dbraw/zinc/12/93/39/400129339.db2.gz VQYWZSNHNDXCTM-UHFFFAOYSA-N 0 1 320.234 3.495 20 30 DGEDMN COc1cc([C@H](C)NCc2ccc(C#N)c(Cl)c2)ccn1 ZINC000585726596 400134443 /nfs/dbraw/zinc/13/44/43/400134443.db2.gz XZOQGLHPDVYEAY-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN CC(C)(CCC#N)CN1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000092038702 400147412 /nfs/dbraw/zinc/14/74/12/400147412.db2.gz VJTYNNWYVWHUGT-ROUUACIJSA-N 0 1 316.445 3.141 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCCCCC1 ZINC000014914432 400158835 /nfs/dbraw/zinc/15/88/35/400158835.db2.gz KZNMDDMFCQGOHY-GFCCVEGCSA-N 0 1 305.809 3.415 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2nc3cc([N+](=O)[O-])ccc3[nH]2)C1 ZINC000595658060 400221179 /nfs/dbraw/zinc/22/11/79/400221179.db2.gz HAFFBHKNTVNJMO-GFCCVEGCSA-N 0 1 300.362 3.259 20 30 DGEDMN Cc1cccc2c1ncc(C#N)c2NC[C@@H](c1ccco1)N(C)C ZINC000610246547 400228402 /nfs/dbraw/zinc/22/84/02/400228402.db2.gz JCZPLUZDVJLJDB-INIZCTEOSA-N 0 1 320.396 3.145 20 30 DGEDMN CC(C)[C@H](N[C@H](C)C(=O)NC1(C#N)CCC1)c1ccccc1F ZINC000595694921 400230389 /nfs/dbraw/zinc/23/03/89/400230389.db2.gz WQELUEUIQVZBLS-CJNGLKHVSA-N 0 1 317.408 3.063 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@H]2Cc2ccccc2)c1 ZINC000025439281 400237127 /nfs/dbraw/zinc/23/71/27/400237127.db2.gz FEIVTFNQIQASSJ-IBGZPJMESA-N 0 1 319.408 3.204 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000610343246 400244287 /nfs/dbraw/zinc/24/42/87/400244287.db2.gz CDLSAVUZWROGID-FZKCQIBNSA-N 0 1 313.445 3.387 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)Nc1ccccc1C#N ZINC000610346444 400245956 /nfs/dbraw/zinc/24/59/56/400245956.db2.gz UTSZXNVPLPJLEV-UONOGXRCSA-N 0 1 318.380 3.108 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC[C@H](c3ccccc3)[C@H](CO)C2)c1 ZINC000595746591 400248986 /nfs/dbraw/zinc/24/89/86/400248986.db2.gz DVOKFFAGMVNMMS-RBUKOAKNSA-N 0 1 324.399 3.295 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2nnc[nH]2)c2ccccc2)cc1Cl ZINC000588959845 400250270 /nfs/dbraw/zinc/25/02/70/400250270.db2.gz VKXOGPSQXCGRRF-MRXNPFEDSA-N 0 1 323.787 3.209 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc(C#N)c(Cl)c1)C(C)(C)C ZINC000595765804 400252499 /nfs/dbraw/zinc/25/24/99/400252499.db2.gz OWTVOKYVLYXMKD-UHFFFAOYSA-N 0 1 305.809 3.437 20 30 DGEDMN N#CCCCCCC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000588148011 400190946 /nfs/dbraw/zinc/19/09/46/400190946.db2.gz FWLCGLDEVAMJKL-INIZCTEOSA-N 0 1 317.433 3.397 20 30 DGEDMN CN(C)[C@H](CNC(=O)CCCCCC#N)c1cccc(F)c1 ZINC000588250375 400205347 /nfs/dbraw/zinc/20/53/47/400205347.db2.gz HGFXHULUXYFSBZ-MRXNPFEDSA-N 0 1 305.397 3.019 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CCC[C@@H]1c1cccs1 ZINC000023220118 400207494 /nfs/dbraw/zinc/20/74/94/400207494.db2.gz CEIUESVUHMVFRI-CKEIUWERSA-N 0 1 319.474 3.328 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)[C@@H](C)N1CCC[C@H](CC#N)C1 ZINC000595619208 400209423 /nfs/dbraw/zinc/20/94/23/400209423.db2.gz VEJAWGILGZMVQZ-SJLPKXTDSA-N 0 1 313.445 3.049 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN(CCCc2ccc(Cl)cc2)CC1 ZINC000610133236 400216260 /nfs/dbraw/zinc/21/62/60/400216260.db2.gz XBNMMQWIHZNTCC-SFHVURJKSA-N 0 1 319.880 3.438 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1ccc(C(=O)NC2CC2)cc1 ZINC000027287229 400270885 /nfs/dbraw/zinc/27/08/85/400270885.db2.gz PWPYQYNTVIMNLM-UHFFFAOYSA-N 0 1 319.408 3.082 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)N(CCC#N)c1ccccc1 ZINC000595842595 400279164 /nfs/dbraw/zinc/27/91/64/400279164.db2.gz TWUILQRTLRXSMC-HDICACEKSA-N 0 1 309.413 3.116 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000595845522 400281310 /nfs/dbraw/zinc/28/13/10/400281310.db2.gz PKBOWNYTSKVCFZ-IYBDPMFKSA-N 0 1 306.369 3.291 20 30 DGEDMN Cc1nc(C)c(CN2CCC(Oc3cccc(C#N)c3)CC2)o1 ZINC000589253183 400281538 /nfs/dbraw/zinc/28/15/38/400281538.db2.gz JVEHPIBDZQGLSH-UHFFFAOYSA-N 0 1 311.385 3.207 20 30 DGEDMN N#Cc1csc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)c1 ZINC000610628301 400283204 /nfs/dbraw/zinc/28/32/04/400283204.db2.gz SCDPRRPHEAYOAZ-UHFFFAOYSA-N 0 1 316.773 3.079 20 30 DGEDMN COc1cc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1C#N ZINC000589344531 400290740 /nfs/dbraw/zinc/29/07/40/400290740.db2.gz ZMXNZOVKMAUFMT-LLVKDONJSA-N 0 1 323.352 3.264 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)Nc1cc(CC#N)ccn1 ZINC000610792934 400308415 /nfs/dbraw/zinc/30/84/15/400308415.db2.gz HHEXFRLFFCXJHO-UHFFFAOYSA-N 0 1 317.352 3.099 20 30 DGEDMN CC(C)(NCc1ccc(F)c(C#N)c1)C(=O)Nc1ccccc1 ZINC000610844538 400312352 /nfs/dbraw/zinc/31/23/52/400312352.db2.gz WKWAFJHZZXBRRW-UHFFFAOYSA-N 0 1 311.360 3.204 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCN(Cc3ccccc3)CC2)c1 ZINC000029630416 400313655 /nfs/dbraw/zinc/31/36/55/400313655.db2.gz AXDJBZULFSYMQF-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN Cc1ncc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C#N)c2)s1 ZINC000601930848 400379372 /nfs/dbraw/zinc/37/93/72/400379372.db2.gz AGOYHKLSFAAUTH-MNOVXSKESA-N 0 1 314.414 3.001 20 30 DGEDMN N#Cc1c(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)cn2ccccc12 ZINC000611350224 400382665 /nfs/dbraw/zinc/38/26/65/400382665.db2.gz FPTOVRGGPDYMDC-IRXDYDNUSA-N 0 1 323.440 3.450 20 30 DGEDMN Cc1[nH]n(-c2cc(C#N)ccc2Br)c(=O)c1C1CC1 ZINC000601947674 400383723 /nfs/dbraw/zinc/38/37/23/400383723.db2.gz KNEMIDWMJBPJKA-ZDUSSCGKSA-N 0 1 318.174 3.069 20 30 DGEDMN CCCc1cc(=O)n(-c2cc(C#N)ccc2Br)[nH]1 ZINC000601947023 400383838 /nfs/dbraw/zinc/38/38/38/400383838.db2.gz HSNGSBPCBSJMPK-UHFFFAOYSA-N 0 1 306.163 3.214 20 30 DGEDMN C[C@H]1CCc2[nH]n(-c3cc(C#N)ccc3Br)c(=O)c21 ZINC000601948590 400385323 /nfs/dbraw/zinc/38/53/23/400385323.db2.gz PRLBTYFMEJJSPO-SDBXPKJASA-N 0 1 318.174 3.069 20 30 DGEDMN C[C@H](NCc1ccnc(C#N)c1)c1cccc(OC(F)F)c1 ZINC000611379624 400387702 /nfs/dbraw/zinc/38/77/02/400387702.db2.gz GNOIJHHUMLXYOK-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NCC1CCCCC1)c1cccc(C#N)c1 ZINC000611387481 400389583 /nfs/dbraw/zinc/38/95/83/400389583.db2.gz ANVKYVDCCOGPDO-CABCVRRESA-N 0 1 313.445 3.294 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CC[N@H+](C)C[C@@H]2CC)c1 ZINC000578718482 400331832 /nfs/dbraw/zinc/33/18/32/400331832.db2.gz SANAUMIQXOAMIG-INIZCTEOSA-N 0 1 317.433 3.199 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)C[C@@H]2CC)c1 ZINC000578718482 400331834 /nfs/dbraw/zinc/33/18/34/400331834.db2.gz SANAUMIQXOAMIG-INIZCTEOSA-N 0 1 317.433 3.199 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(C)c2ccc(C#N)cc2)o1 ZINC000610984518 400333165 /nfs/dbraw/zinc/33/31/65/400333165.db2.gz BQSUCHZJNCHEOM-UHFFFAOYSA-N 0 1 311.385 3.270 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000610996222 400335588 /nfs/dbraw/zinc/33/55/88/400335588.db2.gz RZODRMAAFSJGRS-UHFFFAOYSA-N 0 1 318.392 3.253 20 30 DGEDMN C=CCN(CC(=O)N(C)c1nccs1)CC1CCCCC1 ZINC000069859978 400347819 /nfs/dbraw/zinc/34/78/19/400347819.db2.gz AYHUTQZNYOOBAE-UHFFFAOYSA-N 0 1 307.463 3.174 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@@H](CC#N)C2)c(O)c(=O)c1 ZINC000596207453 400350798 /nfs/dbraw/zinc/35/07/98/400350798.db2.gz QTMBSMFMGYDZLS-AWEZNQCLSA-N 0 1 300.402 3.001 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C2)C1 ZINC000590160809 400364384 /nfs/dbraw/zinc/36/43/84/400364384.db2.gz JZQHMWXODHGMFG-KYXWUPHJSA-N 0 1 300.246 3.351 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@@H](c2ccco2)N(CC)CC)CC1 ZINC000111843376 400369637 /nfs/dbraw/zinc/36/96/37/400369637.db2.gz NERWLXMQZUDWDN-INIZCTEOSA-N 0 1 319.449 3.270 20 30 DGEDMN Cc1ccc(C#N)nc1NC[C@H](c1ccco1)N1CCCCC1 ZINC000611399185 400393723 /nfs/dbraw/zinc/39/37/23/400393723.db2.gz XEQMRZYMUUOUJK-MRXNPFEDSA-N 0 1 310.401 3.494 20 30 DGEDMN N#Cc1cc(Cl)ccc1SCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000601912959 400372946 /nfs/dbraw/zinc/37/29/46/400372946.db2.gz NYXDKESZTARNTA-OKILXGFUSA-N 0 1 308.834 3.167 20 30 DGEDMN COc1ccccc1[C@@H](CNc1nc(C)cc(C)c1C#N)N(C)C ZINC000072672763 400397152 /nfs/dbraw/zinc/39/71/52/400397152.db2.gz OTFMNGCFMQAHNM-QGZVFWFLSA-N 0 1 324.428 3.294 20 30 DGEDMN CCN1C[C@H](CN(C)CCC(C)(C)C#N)Oc2ccccc21 ZINC000611462435 400404474 /nfs/dbraw/zinc/40/44/74/400404474.db2.gz USXYHJDJIIKZIM-HNNXBMFYSA-N 0 1 301.434 3.146 20 30 DGEDMN C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1C[C@H](C)[C@H](C)C1 ZINC000072872908 400410579 /nfs/dbraw/zinc/41/05/79/400410579.db2.gz NRMLBKFCGNXVFC-TUAOUCFPSA-N 0 1 317.458 3.023 20 30 DGEDMN C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1C[C@@H](C)[C@H](C)C1 ZINC000072872913 400410878 /nfs/dbraw/zinc/41/08/78/400410878.db2.gz NRMLBKFCGNXVFC-UTUOFQBUSA-N 0 1 317.458 3.023 20 30 DGEDMN C=CCCNC(=O)CSc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000617612591 400426340 /nfs/dbraw/zinc/42/63/40/400426340.db2.gz DXUFXFBPGBOVKR-UHFFFAOYSA-N 0 1 315.442 3.093 20 30 DGEDMN COC(=O)c1ccc(F)c(CN[C@@H](C)c2cccc(C#N)c2)c1 ZINC000611574949 400425699 /nfs/dbraw/zinc/42/56/99/400425699.db2.gz ZAHWJTUESXMYPP-LBPRGKRZSA-N 0 1 312.344 3.335 20 30 DGEDMN N#CC(C(=O)c1coc2ccccc12)c1nnc2n1CCCCC2 ZINC000073089406 400430633 /nfs/dbraw/zinc/43/06/33/400430633.db2.gz DLJQQAUEJOXLND-CYBMUJFWSA-N 0 1 320.352 3.241 20 30 DGEDMN COc1cccc2c1OCC[C@H]2NCc1ccc(C#N)c(F)c1 ZINC000590780138 400434049 /nfs/dbraw/zinc/43/40/49/400434049.db2.gz NIKCDYDYTXEWKH-MRXNPFEDSA-N 0 1 312.344 3.319 20 30 DGEDMN Cc1ccc(CNCc2cccc(C#N)c2)c(N2CCCC2)n1 ZINC000611584795 400427106 /nfs/dbraw/zinc/42/71/06/400427106.db2.gz MWDZKZWHJNSTFU-UHFFFAOYSA-N 0 1 306.413 3.152 20 30 DGEDMN CCc1ccc([C@@H](C)NC(=O)CN2CCC(CC#N)CC2)cc1 ZINC000611688965 400437188 /nfs/dbraw/zinc/43/71/88/400437188.db2.gz LRFGSQMFHQLUJP-OAHLLOKOSA-N 0 1 313.445 3.052 20 30 DGEDMN N#Cc1ccc(NC[C@H]2CCN2Cc2ccccc2)c([N+](=O)[O-])c1 ZINC000596553348 400443401 /nfs/dbraw/zinc/44/34/01/400443401.db2.gz QOYNGFMCYACZIX-MRXNPFEDSA-N 0 1 322.368 3.153 20 30 DGEDMN N#CC1(Cc2nc(-c3ccn4cncc4c3)no2)CCCCC1 ZINC000596596526 400454772 /nfs/dbraw/zinc/45/47/72/400454772.db2.gz CBHLCVPBNZCUOA-UHFFFAOYSA-N 0 1 307.357 3.401 20 30 DGEDMN CN(CCOc1ccccc1Cl)Cc1cccc(C#N)n1 ZINC000602435598 400461164 /nfs/dbraw/zinc/46/11/64/400461164.db2.gz HYKCPNWNPGVBIL-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(C(=O)CC2CCCCC2)CC1 ZINC000602461856 400468352 /nfs/dbraw/zinc/46/83/52/400468352.db2.gz FPHAFDBUAFJBPC-INIZCTEOSA-N 0 1 305.466 3.041 20 30 DGEDMN C[C@H](N[C@@H]1CCc2ccccc2NC1=O)c1ccc(C#N)cc1F ZINC000591026534 400478033 /nfs/dbraw/zinc/47/80/33/400478033.db2.gz KXGHWINPIHSFHP-KPZWWZAWSA-N 0 1 323.371 3.301 20 30 DGEDMN CC(C)[C@@H](NCc1cccc(C#N)n1)c1ccc2c(c1)OCCO2 ZINC000602504134 400481217 /nfs/dbraw/zinc/48/12/17/400481217.db2.gz SRINTQCNFMPSCF-LJQANCHMSA-N 0 1 323.396 3.211 20 30 DGEDMN N#Cc1cccc(CNCc2ccc(OCC(F)(F)F)cc2)n1 ZINC000602508617 400482537 /nfs/dbraw/zinc/48/25/37/400482537.db2.gz VGWWVFJOWJWKNN-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)n1)c1ccc(OC(F)F)cc1 ZINC000602510978 400483494 /nfs/dbraw/zinc/48/34/94/400483494.db2.gz KQGAUNBWEHLBTE-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN CCCOc1ncccc1CN[C@H](C)CC1(C#N)CCOCC1 ZINC000602533337 400489158 /nfs/dbraw/zinc/48/91/58/400489158.db2.gz WWMLDICIWCOTIB-OAHLLOKOSA-N 0 1 317.433 3.059 20 30 DGEDMN CCCCCc1cc(C(=O)N(C)Cc2ccc(C#N)cc2)n[nH]1 ZINC000596742519 400490739 /nfs/dbraw/zinc/49/07/39/400490739.db2.gz BUJYRDXKDKGDNN-UHFFFAOYSA-N 0 1 310.401 3.286 20 30 DGEDMN COc1cc(C#N)ccc1NS(=O)(=O)c1cccc(Cl)c1 ZINC000127021864 400498132 /nfs/dbraw/zinc/49/81/32/400498132.db2.gz SDKZMZKBUUIZIG-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN CCCc1cc(C(=O)N2CCC[C@@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000075300220 400572089 /nfs/dbraw/zinc/57/20/89/400572089.db2.gz LLPIJLBNAYQXCN-SJLPKXTDSA-N 0 1 322.412 3.274 20 30 DGEDMN COCCc1ccc(OC[C@@H](C)NCC2(C#N)CCCC2)cc1 ZINC000597158092 400572312 /nfs/dbraw/zinc/57/23/12/400572312.db2.gz MTPNODUNSCNCNR-MRXNPFEDSA-N 0 1 316.445 3.316 20 30 DGEDMN CCCc1cc(C(=O)N2CCC[C@@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000075300218 400572470 /nfs/dbraw/zinc/57/24/70/400572470.db2.gz LLPIJLBNAYQXCN-FUHWJXTLSA-N 0 1 322.412 3.274 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CN2CCC[C@H](CC#N)C2)cc1 ZINC000597175060 400577149 /nfs/dbraw/zinc/57/71/49/400577149.db2.gz VEWMVHXTRCRVHO-CQSZACIVSA-N 0 1 310.401 3.211 20 30 DGEDMN Cc1nn(-c2ccccc2F)cc1CN1CCC[C@@H](CC#N)C1 ZINC000597177832 400577854 /nfs/dbraw/zinc/57/78/54/400577854.db2.gz RCTUKVOWBCYWLC-HNNXBMFYSA-N 0 1 312.392 3.446 20 30 DGEDMN Cc1nn(-c2cccc(F)c2)cc1CN1CCC[C@H](CC#N)C1 ZINC000597177039 400577905 /nfs/dbraw/zinc/57/79/05/400577905.db2.gz LYXSFJBJFVBELS-OAHLLOKOSA-N 0 1 312.392 3.446 20 30 DGEDMN N#CCC1(CNCc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CC1 ZINC000602644655 400522702 /nfs/dbraw/zinc/52/27/02/400522702.db2.gz ZWEPYXCQIQLLAZ-UHFFFAOYSA-N 0 1 313.279 3.397 20 30 DGEDMN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1cccc(C#N)n1 ZINC000602663809 400530102 /nfs/dbraw/zinc/53/01/02/400530102.db2.gz CNGHTRRQIOTVSJ-KRWDZBQOSA-N 0 1 309.417 3.411 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](NCC1(C#N)CCCC1)CC2 ZINC000602697564 400543059 /nfs/dbraw/zinc/54/30/59/400543059.db2.gz BHRBFPFYUMDGMI-HNNXBMFYSA-N 0 1 300.402 3.365 20 30 DGEDMN N#CCSCCCN1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 ZINC000074991991 400551410 /nfs/dbraw/zinc/55/14/10/400551410.db2.gz JTYNSPVJZHRUER-IUCAKERBSA-N 0 1 320.302 3.306 20 30 DGEDMN CC(C)(C#N)CNC[C@@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000591759390 400553807 /nfs/dbraw/zinc/55/38/07/400553807.db2.gz LOJFNMVNFSNRBQ-GOSISDBHSA-N 0 1 324.424 3.233 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000618313846 400564929 /nfs/dbraw/zinc/56/49/29/400564929.db2.gz SXGJJIWCDVDBTH-HUUCEWRRSA-N 0 1 312.335 3.002 20 30 DGEDMN COc1cccc(CN(C[C@@H]2CCCO2)CC2(CC#N)CC2)c1 ZINC000602762925 400566299 /nfs/dbraw/zinc/56/62/99/400566299.db2.gz HIQYDPRXQRBWHB-SFHVURJKSA-N 0 1 314.429 3.370 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(-n3cccn3)c2)c(F)c1 ZINC000133028116 400566795 /nfs/dbraw/zinc/56/67/95/400566795.db2.gz NTZDLVLISYIJNQ-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(C2CC2)s1)c1nc2ccccc2[nH]1 ZINC000591862695 400567006 /nfs/dbraw/zinc/56/70/06/400567006.db2.gz YZMCQIHGDJYIPA-JTQLQIEISA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1cnc(C2CC2)s1)c1nc2ccccc2[nH]1 ZINC000591862695 400567014 /nfs/dbraw/zinc/56/70/14/400567014.db2.gz YZMCQIHGDJYIPA-JTQLQIEISA-N 0 1 308.366 3.387 20 30 DGEDMN CC[C@]1(O)CCCN(Cc2ccc(C#N)cc2Br)C1 ZINC000602983769 400620846 /nfs/dbraw/zinc/62/08/46/400620846.db2.gz SUMGVFNNUOQJOY-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN CCN(CCSC)Cc1ccc(C#N)cc1OC(F)F ZINC000592202842 400623770 /nfs/dbraw/zinc/62/37/70/400623770.db2.gz ZVYJMLPOOQTHFH-UHFFFAOYSA-N 0 1 300.374 3.345 20 30 DGEDMN CCOC(=O)CCCCN1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000076153010 400639147 /nfs/dbraw/zinc/63/91/47/400639147.db2.gz BODMDTIYTQNRAQ-ROUUACIJSA-N 0 1 314.429 3.492 20 30 DGEDMN C[C@@H](CC#N)NC[C@@H](O)Cn1c2ccccc2c2ccccc21 ZINC000602864481 400593815 /nfs/dbraw/zinc/59/38/15/400593815.db2.gz ROVZKVZJWLQIOW-LSDHHAIUSA-N 0 1 307.397 3.047 20 30 DGEDMN CCN(CCOc1ccccc1OC)Cc1ccc(C#N)o1 ZINC000597237577 400595981 /nfs/dbraw/zinc/59/59/81/400595981.db2.gz FUIFBNOBZIDRDL-UHFFFAOYSA-N 0 1 300.358 3.061 20 30 DGEDMN C[C@@](C#N)(NC(=O)c1cc2[nH]cnc2cc1F)C1CCCCC1 ZINC000597249717 400599202 /nfs/dbraw/zinc/59/92/02/400599202.db2.gz YOQBKNCBBGCBDQ-KRWDZBQOSA-N 0 1 314.364 3.294 20 30 DGEDMN CCN(C(=O)c1cc(C(F)F)[nH]n1)[C@H](C)c1ccc(C#N)cc1 ZINC000602894823 400600639 /nfs/dbraw/zinc/60/06/39/400600639.db2.gz DLHLSEVMNDHEDP-SNVBAGLBSA-N 0 1 318.327 3.442 20 30 DGEDMN CCN(C(=O)CN1CCC(CCC#N)CC1)C1CCCCC1 ZINC000592135771 400610919 /nfs/dbraw/zinc/61/09/19/400610919.db2.gz KPEWXLDHBRWYIN-UHFFFAOYSA-N 0 1 305.466 3.183 20 30 DGEDMN COc1cc(-c2ccccc2)ccc1CN1CC[C@@](O)(CC#N)C1 ZINC000592522679 400663329 /nfs/dbraw/zinc/66/33/29/400663329.db2.gz PPVKEUDWPOJYKW-FQEVSTJZSA-N 0 1 322.408 3.213 20 30 DGEDMN Cc1cc(CN2CC[C@](O)(CC#N)C2)ccc1Oc1cccnc1 ZINC000592522248 400664099 /nfs/dbraw/zinc/66/40/99/400664099.db2.gz JVLRJAPUOVZILJ-LJQANCHMSA-N 0 1 323.396 3.033 20 30 DGEDMN CCCCCOc1ccc(CN2CC[C@@](O)(CC#N)C2)cc1 ZINC000592523223 400664233 /nfs/dbraw/zinc/66/42/33/400664233.db2.gz JHSRSUSJTCUAOA-SFHVURJKSA-N 0 1 302.418 3.106 20 30 DGEDMN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)C1(C#N)CCC1 ZINC000597725255 400665695 /nfs/dbraw/zinc/66/56/95/400665695.db2.gz MDVDWRHUKFFBDQ-JTQLQIEISA-N 0 1 316.792 3.430 20 30 DGEDMN COc1cc2c(cc1CN[C@@H]1CCc3cc(C#N)ccc31)OCO2 ZINC000618741599 400679003 /nfs/dbraw/zinc/67/90/03/400679003.db2.gz HJLNZIBFGLXUMM-MRXNPFEDSA-N 0 1 322.364 3.073 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000597863774 400682480 /nfs/dbraw/zinc/68/24/80/400682480.db2.gz IGHHLIJWNVSWQS-IAQYHMDHSA-N 0 1 304.346 3.165 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCCc2sccc2C1 ZINC000592983362 400725259 /nfs/dbraw/zinc/72/52/59/400725259.db2.gz IERHRDYCGZNJIQ-CQSZACIVSA-N 0 1 306.475 3.309 20 30 DGEDMN Cc1occc1CNCc1cn(CCC#N)nc1-c1ccncc1 ZINC000618965753 400729443 /nfs/dbraw/zinc/72/94/43/400729443.db2.gz MWNGDMJBSUOJBS-UHFFFAOYSA-N 0 1 321.384 3.050 20 30 DGEDMN Cc1[nH]n(-c2ccc(OC(F)(F)F)cc2)c(=O)c1CCC#N ZINC000603920659 400732743 /nfs/dbraw/zinc/73/27/43/400732743.db2.gz ANLGRSSJLFKSFQ-GFCCVEGCSA-N 0 1 311.263 3.228 20 30 DGEDMN CN(C)[C@H](CNc1c(C#N)nnc2ccccc21)c1ccsc1 ZINC000077188945 400693100 /nfs/dbraw/zinc/69/31/00/400693100.db2.gz RLWRLRGGKRYWDO-MRXNPFEDSA-N 0 1 323.425 3.278 20 30 DGEDMN C[C@@]1(C#N)CCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000598415913 400809347 /nfs/dbraw/zinc/80/93/47/400809347.db2.gz XIBAPYLVFAAPQD-AWEZNQCLSA-N 0 1 320.805 3.167 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000078587585 400812759 /nfs/dbraw/zinc/81/27/59/400812759.db2.gz QXVXGQNYLVMNJG-DNVCBOLYSA-N 0 1 324.424 3.065 20 30 DGEDMN Cc1ccc(C)c([C@H](C)N(C)CC(=O)N[C@](C)(C#N)C(C)C)c1 ZINC000604492277 400780120 /nfs/dbraw/zinc/78/01/20/400780120.db2.gz NVVZVRBEJLCSTB-QFBILLFUSA-N 0 1 315.461 3.351 20 30 DGEDMN C[C@@H]1CN(C)[C@H](C)[C@H](C)N1C(=O)C[C@@H](CC#N)c1ccccc1 ZINC000593189595 400786043 /nfs/dbraw/zinc/78/60/43/400786043.db2.gz VFJMZSFWDATQHH-XLMAVXFVSA-N 0 1 313.445 3.013 20 30 DGEDMN CCCCC[N@@H+](CCNC(=O)C(C)(C)C#N)Cc1ccccc1 ZINC000593249726 400801085 /nfs/dbraw/zinc/80/10/85/400801085.db2.gz KETVWPZUUHVSKM-UHFFFAOYSA-N 0 1 315.461 3.345 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC000180255697 400882678 /nfs/dbraw/zinc/88/26/78/400882678.db2.gz IPYZNARQJGJZKI-XHDPSFHLSA-N 0 1 312.816 3.457 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(Oc2ccc(C#N)cc2)c1)N(C)C ZINC000078733203 400822971 /nfs/dbraw/zinc/82/29/71/400822971.db2.gz QCLQPLSLVWPALN-ZDUSSCGKSA-N 0 1 309.369 3.239 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(C)C[C@H]2C(C)C)c1 ZINC000180658357 400885659 /nfs/dbraw/zinc/88/56/59/400885659.db2.gz ZLHQIBAMCUCLFA-KRWDZBQOSA-N 0 1 317.433 3.055 20 30 DGEDMN Cn1cc(CN2CCC(F)(F)CC2)c(-c2ccc(C#N)cc2)n1 ZINC000619434084 400848177 /nfs/dbraw/zinc/84/81/77/400848177.db2.gz FLOROGJTLJGCBO-UHFFFAOYSA-N 0 1 316.355 3.190 20 30 DGEDMN C[C@H]1c2ccccc2CN1C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000598650795 400849986 /nfs/dbraw/zinc/84/99/86/400849986.db2.gz ZXZDKMMRDLNKNB-MAUKXSAKSA-N 0 1 322.408 3.069 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000079204731 400851682 /nfs/dbraw/zinc/85/16/82/400851682.db2.gz CCJYJWVDVGPICV-OAHLLOKOSA-N 0 1 305.422 3.024 20 30 DGEDMN N#CCc1cccc(S(=O)(=O)Nc2cncc3ccccc32)c1 ZINC000619463450 400851779 /nfs/dbraw/zinc/85/17/79/400851779.db2.gz BRINKTSOCKHSKY-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000079309303 400858012 /nfs/dbraw/zinc/85/80/12/400858012.db2.gz RCBUITLGOGTWOK-UONOGXRCSA-N 0 1 319.405 3.059 20 30 DGEDMN Cc1cnc(OC2CCN([C@@H](C)c3ccc(C#N)cc3)CC2)nc1 ZINC000594021334 400950538 /nfs/dbraw/zinc/95/05/38/400950538.db2.gz RTLXKXQGHSAFAS-HNNXBMFYSA-N 0 1 322.412 3.261 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2sccc2C#N)C1=O)c1ccsc1 ZINC000619746193 400897613 /nfs/dbraw/zinc/89/76/13/400897613.db2.gz CHFBKYGWZFNCPT-ZWNOBZJWSA-N 0 1 317.439 3.137 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2sccc2C#N)C1=O)c1ccsc1 ZINC000619746191 400897684 /nfs/dbraw/zinc/89/76/84/400897684.db2.gz CHFBKYGWZFNCPT-GXFFZTMASA-N 0 1 317.439 3.137 20 30 DGEDMN CCn1nc(C)c(CNCc2ccc(C#N)c(Cl)c2)c1C ZINC000619748238 400898331 /nfs/dbraw/zinc/89/83/31/400898331.db2.gz CUABUFHYSDOJEE-UHFFFAOYSA-N 0 1 302.809 3.335 20 30 DGEDMN Cn1cc2c(n1)CCC[C@H]2NCc1ccc(C#N)c(Cl)c1 ZINC000619754696 400899670 /nfs/dbraw/zinc/89/96/70/400899670.db2.gz IFIKHLMEPRKRLL-OAHLLOKOSA-N 0 1 300.793 3.112 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@H]2CCC[C@@H]21 ZINC000614600977 400921409 /nfs/dbraw/zinc/92/14/09/400921409.db2.gz ZNQIWBCUAVTBLX-ABAIWWIYSA-N 0 1 303.793 3.025 20 30 DGEDMN C[C@H](C(=O)N(C)CCC#N)N(C)Cc1ccc(C(C)(C)C)cc1 ZINC000057597514 401013691 /nfs/dbraw/zinc/01/36/91/401013691.db2.gz AEHORKVZQFAOLM-OAHLLOKOSA-N 0 1 315.461 3.176 20 30 DGEDMN Cc1ccc(CN2C[C@@H](c3ccccc3)[C@](F)(CO)C2)cc1C#N ZINC000599432450 401049812 /nfs/dbraw/zinc/04/98/12/401049812.db2.gz SZOLUPZHOYFVJT-VQTJNVASSA-N 0 1 324.399 3.167 20 30 DGEDMN C[C@@H](NC(=O)CNC(C)(C)c1cccc(C#N)c1)c1ccccc1 ZINC000599463483 401055735 /nfs/dbraw/zinc/05/57/35/401055735.db2.gz WTSFFSAMQNLTAU-OAHLLOKOSA-N 0 1 321.424 3.260 20 30 DGEDMN N#Cc1ccc(CN2CCC([C@@H]3CCOC3)CC2)c(Cl)c1 ZINC000615231383 401035949 /nfs/dbraw/zinc/03/59/49/401035949.db2.gz TUTCCVQAIJCKIA-MRXNPFEDSA-N 0 1 304.821 3.460 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@H](C)Cc1ccc(C#N)cc1 ZINC000594335470 401047480 /nfs/dbraw/zinc/04/74/80/401047480.db2.gz PLXZOFGSXYCCPX-SECBINFHSA-N 0 1 318.327 3.345 20 30 DGEDMN COCc1cccc(CN2CCc3c(CC#N)cccc3C2)c1 ZINC000599678593 401113004 /nfs/dbraw/zinc/11/30/04/401113004.db2.gz WTYZCJGOOAHTJU-UHFFFAOYSA-N 0 1 306.409 3.457 20 30 DGEDMN COC(=O)C1C[C@@H](C)N(CC#Cc2ccc(Cl)cc2)[C@H](C)C1 ZINC000599689956 401115995 /nfs/dbraw/zinc/11/59/95/401115995.db2.gz UQYQNWFEJCGLGK-ZIAGYGMSSA-N 0 1 319.832 3.354 20 30 DGEDMN COc1ccc([C@H](NCc2cc(C#N)ccc2F)C2CC2)cn1 ZINC000599702761 401121084 /nfs/dbraw/zinc/12/10/84/401121084.db2.gz ZAAWPTBMQICNPW-GOSISDBHSA-N 0 1 311.360 3.342 20 30 DGEDMN COc1ccccc1[C@@H](CNc1cccc(F)c1C#N)N(C)C ZINC000060733856 401133904 /nfs/dbraw/zinc/13/39/04/401133904.db2.gz TVVJPGXRTFHSHO-QGZVFWFLSA-N 0 1 313.376 3.421 20 30 DGEDMN C=CCCC(=O)N[C@@H](CN(C)C)c1cccc(C(F)(F)F)c1 ZINC000633766516 401093168 /nfs/dbraw/zinc/09/31/68/401093168.db2.gz PXOQAFAFTDXEJA-AWEZNQCLSA-N 0 1 314.351 3.391 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)c1ccc2ccccc2c1 ZINC000084600027 401150105 /nfs/dbraw/zinc/15/01/05/401150105.db2.gz OSZNWTSWEOSIKM-OAHLLOKOSA-N 0 1 321.424 3.047 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H]1c1ccc(OC)cc1 ZINC000061780797 401162996 /nfs/dbraw/zinc/16/29/96/401162996.db2.gz VBHXPVGRSXPWPF-SFHVURJKSA-N 0 1 314.429 3.033 20 30 DGEDMN COC[C@@H](NCc1ccc(C#N)s1)c1cccc(OC)c1 ZINC000594969059 401212832 /nfs/dbraw/zinc/21/28/32/401212832.db2.gz LYZFJGDBYZYXHL-MRXNPFEDSA-N 0 1 302.399 3.106 20 30 DGEDMN C[C@H]1CN(Cc2cc(C#N)cs2)CC[C@@H]1C(=O)OC(C)(C)C ZINC000600194235 401216704 /nfs/dbraw/zinc/21/67/04/401216704.db2.gz BSSSTUZVECOANW-WFASDCNBSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCN(CCOc1ccc(C#N)cc1)Cc1cccc(C#N)c1 ZINC000063300840 401187784 /nfs/dbraw/zinc/18/77/84/401187784.db2.gz LHJYABJFKRUZFX-UHFFFAOYSA-N 0 1 317.392 3.497 20 30 DGEDMN C[C@@H](O)[C@H]1CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000089933021 401244713 /nfs/dbraw/zinc/24/47/13/401244713.db2.gz GVJKQEULXNBHEG-HIFRSBDPSA-N 0 1 311.347 3.150 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCNC[C@H]1C(C)C)c1ccccc1 ZINC000627003380 401432166 /nfs/dbraw/zinc/43/21/66/401432166.db2.gz NVVAUVWGKULVKP-MSOLQXFVSA-N 0 1 315.461 3.333 20 30 DGEDMN C=CCOc1c(Cl)cc(CNCc2cc(C)[nH]n2)cc1OC ZINC000623426533 401515272 /nfs/dbraw/zinc/51/52/72/401515272.db2.gz PVTTYLBYKQOBCA-UHFFFAOYSA-N 0 1 321.808 3.235 20 30 DGEDMN C=CCOc1c(Cl)cc(CNCc2cc(C)n[nH]2)cc1OC ZINC000623426533 401515273 /nfs/dbraw/zinc/51/52/73/401515273.db2.gz PVTTYLBYKQOBCA-UHFFFAOYSA-N 0 1 321.808 3.235 20 30 DGEDMN C=C(C)COc1cc(CNCc2ccc[nH]c2=O)ccc1OC ZINC000623777288 401578744 /nfs/dbraw/zinc/57/87/44/401578744.db2.gz YLYDEIRSKMBTJM-UHFFFAOYSA-N 0 1 314.385 3.041 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2C([O-])=[NH+][C@H]2CCn3ccnc3C2)cc1 ZINC000330200888 292211706 /nfs/dbraw/zinc/21/17/06/292211706.db2.gz XCGNRTYXFNFYTB-IRXDYDNUSA-N 0 1 324.428 3.257 20 30 DGEDMN COc1cc(C#N)ccc1CN(Cc1ccccc1)[C@@H](C)CCO ZINC000093304266 286191895 /nfs/dbraw/zinc/19/18/95/286191895.db2.gz VMRKHPOXIFDXSQ-INIZCTEOSA-N 0 1 324.424 3.340 20 30 DGEDMN COc1ccc2ccccc2c1CNCc1cc(C#N)n(C)c1 ZINC000190720526 165213604 /nfs/dbraw/zinc/21/36/04/165213604.db2.gz KYLLLCLMXVVFEP-UHFFFAOYSA-N 0 1 305.381 3.348 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000190456088 432158945 /nfs/dbraw/zinc/15/89/45/432158945.db2.gz IVISJNXQEVZJIR-HKUYNNGSSA-N 0 1 300.446 3.370 20 30 DGEDMN CCSCC[C@@H](C)N(C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000269644776 432191846 /nfs/dbraw/zinc/19/18/46/432191846.db2.gz OTRVKRJRVMUEAX-LLVKDONJSA-N 0 1 323.462 3.170 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2sccc2C#N)[C@H]2CCCC[C@H]12 ZINC000182470462 432193386 /nfs/dbraw/zinc/19/33/86/432193386.db2.gz MRHGFLWUFJKVMU-BNOWGMLFSA-N 0 1 303.431 3.069 20 30 DGEDMN COc1cccc(C2(NCc3ccc(OC)c(C#N)c3)CC2)c1 ZINC000150911318 432202229 /nfs/dbraw/zinc/20/22/29/432202229.db2.gz PUBDXMVTOGJWDN-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN N#CC1CCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)CC1 ZINC000061584701 226810862 /nfs/dbraw/zinc/81/08/62/226810862.db2.gz LNZZEPHNFGBDQI-UHFFFAOYSA-N 0 1 314.776 3.106 20 30 DGEDMN N#Cc1ccccc1CN1CCC[C@@](CO)(Cc2ccccc2)C1 ZINC000291165704 222186717 /nfs/dbraw/zinc/18/67/17/222186717.db2.gz DBEVPYRBORSPHH-OAQYLSRUSA-N 0 1 320.436 3.375 20 30 DGEDMN Cn1cc(/C=C/C(=O)c2ccccc2O)c(-c2ccncc2)n1 ZINC000156607464 227127981 /nfs/dbraw/zinc/12/79/81/227127981.db2.gz TUIUKNIEWOVWAV-VOTSOKGWSA-N 0 1 305.337 3.084 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN2CCC[C@@H]2C)ccc1Cl ZINC000173991706 228286270 /nfs/dbraw/zinc/28/62/70/228286270.db2.gz NGJCZFOLIKKCQE-AWEZNQCLSA-N 0 1 319.836 3.379 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000182160290 228366976 /nfs/dbraw/zinc/36/69/76/228366976.db2.gz YXFKUZHILNPJEZ-HUUCEWRRSA-N 0 1 315.417 3.180 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccccc2OC(C)C)CC1 ZINC000341992510 229105326 /nfs/dbraw/zinc/10/53/26/229105326.db2.gz MVQTUABZJLAINY-UHFFFAOYSA-N 0 1 302.418 3.226 20 30 DGEDMN COCC1(C#N)CCN(Cc2cccc(OC(C)C)c2)CC1 ZINC000341978643 229105471 /nfs/dbraw/zinc/10/54/71/229105471.db2.gz AOUZTBNFUXYNBX-UHFFFAOYSA-N 0 1 302.418 3.226 20 30 DGEDMN COCC1(C#N)CCN([C@@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000341991621 229105939 /nfs/dbraw/zinc/10/59/39/229105939.db2.gz OBACBOBGYZOIIL-AWEZNQCLSA-N 0 1 317.389 3.216 20 30 DGEDMN N#CCc1ccccc1NS(=O)(=O)c1cc(F)cc(Cl)c1 ZINC000337866327 229055616 /nfs/dbraw/zinc/05/56/16/229055616.db2.gz OHAXPBSLFYXNNE-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN COc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C#N)cc1 ZINC000299339970 229060305 /nfs/dbraw/zinc/06/03/05/229060305.db2.gz AECJZNAMAKKPHJ-KBPBESRZSA-N 0 1 323.396 3.245 20 30 DGEDMN OC[C@H](CC(F)(F)F)NCc1cc(F)cc(C(F)(F)F)c1 ZINC000339791543 229082294 /nfs/dbraw/zinc/08/22/94/229082294.db2.gz YFAHMSHVXCJPGG-JTQLQIEISA-N 0 1 319.220 3.247 20 30 DGEDMN OC[C@@H](CC(F)(F)F)NCc1cc(F)cc(C(F)(F)F)c1 ZINC000339791544 229082912 /nfs/dbraw/zinc/08/29/12/229082912.db2.gz YFAHMSHVXCJPGG-SNVBAGLBSA-N 0 1 319.220 3.247 20 30 DGEDMN Cc1nc(-c2ccccc2)ccc1C(=O)C(C#N)c1ccncn1 ZINC000354476094 229296026 /nfs/dbraw/zinc/29/60/26/229296026.db2.gz SCZGCDVNUFSMKD-MRXNPFEDSA-N 0 1 314.348 3.337 20 30 DGEDMN Cc1nc(-c2ccccc2)ccc1C(=O)[C@H](C#N)c1ccncn1 ZINC000354476094 229296031 /nfs/dbraw/zinc/29/60/31/229296031.db2.gz SCZGCDVNUFSMKD-MRXNPFEDSA-N 0 1 314.348 3.337 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ncccc2F)n1)c1ccccn1 ZINC000352117744 229243782 /nfs/dbraw/zinc/24/37/82/229243782.db2.gz LHHIHHUTQSIPNU-SNVBAGLBSA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(-c2ncccc2F)n1)c1ccccn1 ZINC000352117744 229243788 /nfs/dbraw/zinc/24/37/88/229243788.db2.gz LHHIHHUTQSIPNU-SNVBAGLBSA-N 0 1 324.340 3.229 20 30 DGEDMN N#CC(C(=O)c1ccc2ccc(Cl)cc2n1)c1ccncn1 ZINC000354467159 229296336 /nfs/dbraw/zinc/29/63/36/229296336.db2.gz KVMCIFUDFLRJBY-LBPRGKRZSA-N 0 1 308.728 3.168 20 30 DGEDMN N#C[C@H](C(=O)c1ccc2ccc(Cl)cc2n1)c1ccncn1 ZINC000354467159 229296341 /nfs/dbraw/zinc/29/63/41/229296341.db2.gz KVMCIFUDFLRJBY-LBPRGKRZSA-N 0 1 308.728 3.168 20 30 DGEDMN N#CC(=C([O-])c1cc(Oc2ccccc2)cc[nH+]1)c1ccncn1 ZINC000354462496 229296458 /nfs/dbraw/zinc/29/64/58/229296458.db2.gz HVNDOGYZXRQJFF-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1cc(Oc2ccccc2)ccn1)c1ccncn1 ZINC000354462496 229296461 /nfs/dbraw/zinc/29/64/61/229296461.db2.gz HVNDOGYZXRQJFF-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN C[C@H]1CCN(CC(=O)N(CCC#N)c2ccccc2)CC1(C)C ZINC000354014935 229288298 /nfs/dbraw/zinc/28/82/98/229288298.db2.gz OWVXIECZMHNIAM-INIZCTEOSA-N 0 1 313.445 3.301 20 30 DGEDMN Cc1c(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cnn1C(C)C ZINC000356885469 229341241 /nfs/dbraw/zinc/34/12/41/229341241.db2.gz XKSCXGPOZWXREI-CYBMUJFWSA-N 0 1 321.384 3.447 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Nc3ccccc3C)[nH]c21 ZINC000358036929 229356244 /nfs/dbraw/zinc/35/62/44/229356244.db2.gz ACSHBTIATYABAZ-ZDUSSCGKSA-N 0 1 304.353 3.426 20 30 DGEDMN N#CCCCC(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000359855021 229386013 /nfs/dbraw/zinc/38/60/13/229386013.db2.gz WZJHVRWQFHNPFI-UHFFFAOYSA-N 0 1 321.424 3.178 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2sccc2C#N)[C@H]2CCCC[C@H]12 ZINC000532471723 269855046 /nfs/dbraw/zinc/85/50/46/269855046.db2.gz ULNHETVOCPILSH-YUELXQCFSA-N 0 1 317.458 3.459 20 30 DGEDMN Cc1cccc(CN2CCC(Oc3cccc(C#N)c3)CC2)n1 ZINC000346635481 264070564 /nfs/dbraw/zinc/07/05/64/264070564.db2.gz APDUFCBCZNFLML-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)Cc1n[nH]c2ccccc12 ZINC000346908154 264119567 /nfs/dbraw/zinc/11/95/67/264119567.db2.gz YMXBXRASAPKEOV-UHFFFAOYSA-N 0 1 319.368 3.048 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@@H]1c1cccnc1 ZINC000346966980 264129150 /nfs/dbraw/zinc/12/91/50/264129150.db2.gz CYNBRIYSRSPPRR-QGZVFWFLSA-N 0 1 323.396 3.178 20 30 DGEDMN CC[C@@H](NCc1ccc(Br)cc1C#N)[C@@H]1CCCO1 ZINC000347253924 264183896 /nfs/dbraw/zinc/18/38/96/264183896.db2.gz SKEHAHCDIWEKBF-CABCVRRESA-N 0 1 323.234 3.368 20 30 DGEDMN N#Cc1cccc(CN[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347447564 264223512 /nfs/dbraw/zinc/22/35/12/264223512.db2.gz QCPAQNBQGBFVPC-QGZVFWFLSA-N 0 1 300.789 3.425 20 30 DGEDMN Cc1cc(C2CCN(C(=O)[C@@H](C)c3cccc(C#N)c3)CC2)n[nH]1 ZINC000360778472 270004566 /nfs/dbraw/zinc/00/45/66/270004566.db2.gz BKLJRUZGQHTRKH-AWEZNQCLSA-N 0 1 322.412 3.100 20 30 DGEDMN COC(=O)c1cccc(CN(C)CCc2ccccc2)c1C#N ZINC000533778241 270005212 /nfs/dbraw/zinc/00/52/12/270005212.db2.gz UFCQHSMVGWQOOM-UHFFFAOYSA-N 0 1 308.381 3.019 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)c(OC)c2)cc1F ZINC000353222502 265348449 /nfs/dbraw/zinc/34/84/49/265348449.db2.gz HFLUNHJZAXGKNZ-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN CCn1nccc1C1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000369306050 266474577 /nfs/dbraw/zinc/47/45/77/266474577.db2.gz NUMGWHBMIMSGTH-UHFFFAOYSA-N 0 1 312.392 3.293 20 30 DGEDMN CN(CCc1ccccc1[N+](=O)[O-])Cc1cc(C#N)ccc1F ZINC000352545785 266550040 /nfs/dbraw/zinc/55/00/40/266550040.db2.gz ZQFRZSVBFXBXQJ-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000151266030 432233364 /nfs/dbraw/zinc/23/33/64/432233364.db2.gz RYGCHSPMNXOUFW-UHFFFAOYSA-N 0 1 304.409 3.142 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C1(c2cccc(C#N)c2)CC1 ZINC000360432780 267366873 /nfs/dbraw/zinc/36/68/73/267366873.db2.gz AGIXYRYKYNCYIJ-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]1C1CC1 ZINC000377542260 268100711 /nfs/dbraw/zinc/10/07/11/268100711.db2.gz GGVQFNFMDUDATI-OAHLLOKOSA-N 0 1 303.793 3.025 20 30 DGEDMN C[C@H](CC(=O)Nc1cccc(Cl)c1)NCC1(C#N)CCC1 ZINC000418145929 533687796 /nfs/dbraw/zinc/68/77/96/533687796.db2.gz ZODOVVAAZIAVGX-GFCCVEGCSA-N 0 1 305.809 3.341 20 30 DGEDMN C=C[C@@H](CO)NCc1ccc(Br)cc1C(F)(F)F ZINC000356257269 273487454 /nfs/dbraw/zinc/48/74/54/273487454.db2.gz KPNAEQPNJFZZMY-JTQLQIEISA-N 0 1 324.140 3.104 20 30 DGEDMN COCCN(CC#Cc1ccc(F)cc1)[C@H]1CCCSC1 ZINC000433187611 274023604 /nfs/dbraw/zinc/02/36/04/274023604.db2.gz OSZWWVKMKZMNCE-KRWDZBQOSA-N 0 1 307.434 3.021 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CC[C@@H](c2ccccc2)C1 ZINC000253356620 274203586 /nfs/dbraw/zinc/20/35/86/274203586.db2.gz ZBSIUCGULPQLQX-MAUKXSAKSA-N 0 1 319.408 3.375 20 30 DGEDMN C[C@@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C#N)cc1 ZINC000344083089 274260847 /nfs/dbraw/zinc/26/08/47/274260847.db2.gz AKEARAQHYWGRSC-DNVCBOLYSA-N 0 1 321.424 3.428 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCN(c2ccc(O)cc2)CC1 ZINC000118390289 274276407 /nfs/dbraw/zinc/27/64/07/274276407.db2.gz OFZZTTFDXDWXKK-HNNXBMFYSA-N 0 1 307.397 3.147 20 30 DGEDMN C[C@@H]1CN(c2c(C#N)cnc3ccc(Cl)cc32)C[C@@H](C)N1C ZINC000338795346 274360389 /nfs/dbraw/zinc/36/03/89/274360389.db2.gz OQLCWYYTNJHWRA-VXGBXAGGSA-N 0 1 314.820 3.289 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(c2ccncc2)CC1 ZINC000191662828 275416555 /nfs/dbraw/zinc/41/65/55/275416555.db2.gz FJJKZCCQWNGJTP-MRXNPFEDSA-N 0 1 315.461 3.074 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000491963333 275438527 /nfs/dbraw/zinc/43/85/27/275438527.db2.gz YCUCEDBQZFIUKJ-KBPBESRZSA-N 0 1 307.463 3.101 20 30 DGEDMN CC(C)(C)c1nc(CNc2ccc3cc(C#N)ccc3n2)n[nH]1 ZINC000514846133 429371603 /nfs/dbraw/zinc/37/16/03/429371603.db2.gz TUUOMACQXMGFNB-UHFFFAOYSA-N 0 1 306.373 3.134 20 30 DGEDMN C=CC[C@H](CO)CN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000346615937 275749174 /nfs/dbraw/zinc/74/91/74/275749174.db2.gz AJOLVUMOBJEUHV-KBXCAEBGSA-N 0 1 300.377 3.084 20 30 DGEDMN Cc1[nH]nc(NC(=O)c2ccc(C#N)c(O)c2)c1-c1ccccc1 ZINC000526941565 429710131 /nfs/dbraw/zinc/71/01/31/429710131.db2.gz OCZBHHYGSPDWIO-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN([C@@H]2CC[C@H](C#N)C2)CC1(C)C ZINC000496084023 277188399 /nfs/dbraw/zinc/18/83/99/277188399.db2.gz OTPVXYVAFVEXKX-UONOGXRCSA-N 0 1 307.438 3.010 20 30 DGEDMN CC(C)CN(CC(C)C)C(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000338361292 277376977 /nfs/dbraw/zinc/37/69/77/277376977.db2.gz QWLUMSKHOIYCFW-INIZCTEOSA-N 0 1 315.461 3.349 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)N1CC[C@H](n2cccn2)C1 ZINC000329839547 278182101 /nfs/dbraw/zinc/18/21/01/278182101.db2.gz WAVNJVWQNJJZOZ-XAJHFOFHSA-N 0 1 318.465 3.300 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1ccc(C#N)cc1 ZINC000109811852 431179688 /nfs/dbraw/zinc/17/96/88/431179688.db2.gz VUVRLZQNDWWQSG-UHFFFAOYSA-N 0 1 312.417 3.149 20 30 DGEDMN Cc1ccsc1CN(C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000069598172 431203841 /nfs/dbraw/zinc/20/38/41/431203841.db2.gz ZQFOTZGQPSGHLK-AWEZNQCLSA-N 0 1 319.474 3.220 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)Nc2ccccc2OCC)CC1 ZINC000111259691 431204606 /nfs/dbraw/zinc/20/46/06/431204606.db2.gz IWWNQFVQYLQRLW-CQSZACIVSA-N 0 1 302.418 3.310 20 30 DGEDMN C=CC1CCN(Cc2nnc(-c3ccc([N+](=O)[O-])cc3)o2)CC1 ZINC000111260512 431206097 /nfs/dbraw/zinc/20/60/97/431206097.db2.gz GQSNUIWTUAPNTB-UHFFFAOYSA-N 0 1 314.345 3.043 20 30 DGEDMN C#CCOc1ccc(CNCc2ccc(OC(C)C)nc2)cc1 ZINC000113323136 431342782 /nfs/dbraw/zinc/34/27/82/431342782.db2.gz QDNUYMPOHINOLR-UHFFFAOYSA-N 0 1 310.397 3.171 20 30 DGEDMN CC(C)C[C@H]1CCN(Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000074994070 431447440 /nfs/dbraw/zinc/44/74/40/431447440.db2.gz CTQUVQZPEIZDQI-OAHLLOKOSA-N 0 1 310.401 3.476 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CSc2nc(C3CC3)n[nH]2)c1C ZINC000011471809 431474651 /nfs/dbraw/zinc/47/46/51/431474651.db2.gz HFAXEYSNHKLMRY-UHFFFAOYSA-N 0 1 316.430 3.261 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CSc2n[nH]c(C3CC3)n2)c1C ZINC000011471809 431474656 /nfs/dbraw/zinc/47/46/56/431474656.db2.gz HFAXEYSNHKLMRY-UHFFFAOYSA-N 0 1 316.430 3.261 20 30 DGEDMN COc1cc2c(cc1CNCc1cccc(C#N)c1)O[C@H](C)C2 ZINC000075762608 431496533 /nfs/dbraw/zinc/49/65/33/431496533.db2.gz GXTUVNVVTROLPZ-CYBMUJFWSA-N 0 1 308.381 3.180 20 30 DGEDMN C=CCCC(=O)Nc1nc(-c2ccc(Br)cc2)n[nH]1 ZINC000076205052 431534539 /nfs/dbraw/zinc/53/45/39/431534539.db2.gz BFXGCMWQRAWQKP-UHFFFAOYSA-N 0 1 321.178 3.139 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CC)[C@@H](C)c1ccc(F)cc1 ZINC000077180930 431573737 /nfs/dbraw/zinc/57/37/37/431573737.db2.gz PGLNQBGNUILJKD-GJZGRUSLSA-N 0 1 319.424 3.217 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(F)cccc2Cl)CC1 ZINC000117878719 431582675 /nfs/dbraw/zinc/58/26/75/431582675.db2.gz WSHOAZWFNSTOOB-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN CCN(CC)CC(=O)N(CCC#N)c1ccc(Cl)c(C)c1 ZINC000078275973 431652006 /nfs/dbraw/zinc/65/20/06/431652006.db2.gz UVANBMDMRPRQKH-UHFFFAOYSA-N 0 1 307.825 3.237 20 30 DGEDMN C=CCc1ccccc1OC[C@@H](O)CN(C)Cc1ccc(C)o1 ZINC000078254569 431654250 /nfs/dbraw/zinc/65/42/50/431654250.db2.gz NWLMEUWSWHSGIX-KRWDZBQOSA-N 0 1 315.413 3.188 20 30 DGEDMN COc1ccc2nc(SCC(=O)c3ccc(C#N)cc3)[nH]c2c1 ZINC000018475572 431619505 /nfs/dbraw/zinc/61/95/05/431619505.db2.gz IVGDCGHKBYVCKX-UHFFFAOYSA-N 0 1 323.377 3.418 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)c1c(O)cccc1F ZINC000120490706 431730292 /nfs/dbraw/zinc/73/02/92/431730292.db2.gz LUBMEAOBWRAVNW-UHFFFAOYSA-N 0 1 303.308 3.499 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCS[C@@H]2CCCC[C@@H]21 ZINC000121182934 431750013 /nfs/dbraw/zinc/75/00/13/431750013.db2.gz SVSUYVSHPXFONF-DOTOQJQBSA-N 0 1 302.443 3.427 20 30 DGEDMN C#CCCOc1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)cc1 ZINC000121242001 431759398 /nfs/dbraw/zinc/75/93/98/431759398.db2.gz DWTUMNSOQJUTCG-QGZVFWFLSA-N 0 1 309.413 3.191 20 30 DGEDMN O=C(Cc1c(F)cccc1F)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279585 431852932 /nfs/dbraw/zinc/85/29/32/431852932.db2.gz NSHKLTXAEDIDKC-UHFFFAOYSA-N 0 1 304.256 3.174 20 30 DGEDMN COc1cc(-c2nc3ccc(C#N)cc3[nH]2)cc(OC)c1OC ZINC000123218218 431858538 /nfs/dbraw/zinc/85/85/38/431858538.db2.gz ZARYNZJWQVQCAW-UHFFFAOYSA-N 0 1 309.325 3.127 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(Cc3ccccc3)c2)n1 ZINC000041518608 431859371 /nfs/dbraw/zinc/85/93/71/431859371.db2.gz QNEOJPNTBYQXGJ-OAHLLOKOSA-N 0 1 322.393 3.186 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1ccccn1 ZINC000041480709 431859575 /nfs/dbraw/zinc/85/95/75/431859575.db2.gz RJMDMDLHUHJHDG-CYBMUJFWSA-N 0 1 306.300 3.101 20 30 DGEDMN N#CC(C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)c1ccccn1 ZINC000041480709 431859577 /nfs/dbraw/zinc/85/95/77/431859577.db2.gz RJMDMDLHUHJHDG-CYBMUJFWSA-N 0 1 306.300 3.101 20 30 DGEDMN C[C@H](CC#N)N(C)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093353007 431914076 /nfs/dbraw/zinc/91/40/76/431914076.db2.gz RWACCCRMJVUBIV-MRVPVSSYSA-N 0 1 307.304 3.266 20 30 DGEDMN CC(C)(CCC#N)CN1CCN(c2cc(F)ccc2F)CC1 ZINC000093393683 431914962 /nfs/dbraw/zinc/91/49/62/431914962.db2.gz SLTHNNYAXOSICG-UHFFFAOYSA-N 0 1 307.388 3.417 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCOC3(CCCC3)C2)cc1 ZINC000093589167 431916128 /nfs/dbraw/zinc/91/61/28/431916128.db2.gz PNQVHUFCFKKHFH-UHFFFAOYSA-N 0 1 313.445 3.181 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN(C)[C@@H]2CCCc3c2cnn3C)cc1 ZINC000093863922 431919030 /nfs/dbraw/zinc/91/90/30/431919030.db2.gz IRQBEJYXHZKAKY-RDTXWAMCSA-N 0 1 324.428 3.220 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)Cc1cccc(N(C)C)c1 ZINC000089922047 431885988 /nfs/dbraw/zinc/88/59/88/431885988.db2.gz IZGRFTCXWPXGKB-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC(OC2CCCCC2)CC1 ZINC000125551484 431929100 /nfs/dbraw/zinc/92/91/00/431929100.db2.gz ZCMXDJOKJPNAER-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN CC(=O)c1cc(C(=O)[C@H](C#N)c2ccc3ccccc3n2)n(C)c1 ZINC000048852140 431932835 /nfs/dbraw/zinc/93/28/35/431932835.db2.gz DHPHEPUSZMEVND-OAHLLOKOSA-N 0 1 317.348 3.266 20 30 DGEDMN CCCCCN(CCCOC)CC(=O)Nc1sccc1C#N ZINC000183294207 432279743 /nfs/dbraw/zinc/27/97/43/432279743.db2.gz DTSTZAPSIGZIDH-UHFFFAOYSA-N 0 1 323.462 3.087 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1ccc(OCc2ccccn2)cc1 ZINC000191760613 432298939 /nfs/dbraw/zinc/29/89/39/432298939.db2.gz DBMNBNFWNUUFJH-UHFFFAOYSA-N 0 1 312.413 3.295 20 30 DGEDMN CC(C)N(CC(=O)N1CCCC[C@@H]1C)Cc1ccc(C#N)cc1 ZINC000058397051 431996548 /nfs/dbraw/zinc/99/65/48/431996548.db2.gz WYKVIDAYQSJMGE-INIZCTEOSA-N 0 1 313.445 3.170 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C[C@H]1CC2(CCC2)C(=O)O1 ZINC000191968255 432319753 /nfs/dbraw/zinc/31/97/53/432319753.db2.gz SCKGOMOVZWQDHB-QGZVFWFLSA-N 0 1 310.397 3.032 20 30 DGEDMN C=C(Br)CN[C@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000192132179 432335529 /nfs/dbraw/zinc/33/55/29/432335529.db2.gz AEACZCQMPBATGY-STQMWFEESA-N 0 1 318.161 3.293 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000192356024 432363689 /nfs/dbraw/zinc/36/36/89/432363689.db2.gz FQBAOVBNJLUOCR-RHSMWYFYSA-N 0 1 316.445 3.172 20 30 DGEDMN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC(C)(C)C#N ZINC000152918114 432368039 /nfs/dbraw/zinc/36/80/39/432368039.db2.gz PXDKFJNUPUZCFA-AWEZNQCLSA-N 0 1 309.454 3.258 20 30 DGEDMN Cc1c(NC(=O)c2ccc(C#N)c(O)c2)cnn1C1CCCC1 ZINC000192991246 432436879 /nfs/dbraw/zinc/43/68/79/432436879.db2.gz ACSKFMQMICQUPU-UHFFFAOYSA-N 0 1 310.357 3.136 20 30 DGEDMN COCCOCC[N@@H+](Cc1ccccc1)Cc1cccc(C#N)c1 ZINC000175609549 432452851 /nfs/dbraw/zinc/45/28/51/432452851.db2.gz GXGBOYJFRFWCNV-UHFFFAOYSA-N 0 1 324.424 3.223 20 30 DGEDMN CC#CCCCC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000185486981 432506107 /nfs/dbraw/zinc/50/61/07/432506107.db2.gz PJCMZBTVKJVXPR-UHFFFAOYSA-N 0 1 312.457 3.303 20 30 DGEDMN Cn1cc([C@@H](N[C@@H]2CCC[C@H](C#N)C2)c2ccc(F)cc2)cn1 ZINC000193305202 432462791 /nfs/dbraw/zinc/46/27/91/432462791.db2.gz JMDRBCIYOXMMPT-VHSSKADRSA-N 0 1 312.392 3.321 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(Oc3cnccn3)cc2)CC1 ZINC000272577827 432528291 /nfs/dbraw/zinc/52/82/91/432528291.db2.gz XHTNRWKTXAKZJG-UHFFFAOYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=C(C)CN1CCC(Nc2nnc(-c3ccccn3)s2)CC1 ZINC000273584747 432614101 /nfs/dbraw/zinc/61/41/01/432614101.db2.gz GHGCOKDZRGJTIR-UHFFFAOYSA-N 0 1 315.446 3.053 20 30 DGEDMN C=CCCOCC[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000186185722 432566565 /nfs/dbraw/zinc/56/65/65/432566565.db2.gz QBNCEFNOGKABEI-LLVKDONJSA-N 0 1 314.223 3.398 20 30 DGEDMN Cc1ccc([C@H](CNC(=O)c2ccc(C#N)cc2)N2CCCC2)o1 ZINC000263695571 432566721 /nfs/dbraw/zinc/56/67/21/432566721.db2.gz JHFUNMKOLKANBG-KRWDZBQOSA-N 0 1 323.396 3.027 20 30 DGEDMN Cc1sc(NC(=O)CN([C@H](C)C2CC2)C2CC2)c(C#N)c1C ZINC000177022074 432575203 /nfs/dbraw/zinc/57/52/03/432575203.db2.gz CGXNLWYZWLIEFI-LLVKDONJSA-N 0 1 317.458 3.438 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@@H](C[S@@](C)=O)c1ccccc1 ZINC000186322754 432581629 /nfs/dbraw/zinc/58/16/29/432581629.db2.gz BKXNDDNWGWDAQP-PGRDOPGGSA-N 0 1 312.438 3.076 20 30 DGEDMN C[C@H](N(C)CC(=O)Nc1cc(Cl)ccc1C#N)C1(C)CC1 ZINC000177218340 432598264 /nfs/dbraw/zinc/59/82/64/432598264.db2.gz YOYQCZPAEJHZKR-NSHDSACASA-N 0 1 305.809 3.271 20 30 DGEDMN CC[C@H](N[C@@H](C)C(=O)N(C)CCC#N)c1ccc(Cl)s1 ZINC000273483247 432603542 /nfs/dbraw/zinc/60/35/42/432603542.db2.gz IQASNAKELRXLGN-QWRGUYRKSA-N 0 1 313.854 3.203 20 30 DGEDMN N#Cc1csc(CNCc2cnn(Cc3ccccc3)c2)c1 ZINC000177684614 432649870 /nfs/dbraw/zinc/64/98/70/432649870.db2.gz WLBPSJCQMJIEEU-UHFFFAOYSA-N 0 1 308.410 3.154 20 30 DGEDMN C=C(C)COc1cc(CNCc2cnn(CC)c2)ccc1OC ZINC000265471159 432702849 /nfs/dbraw/zinc/70/28/49/432702849.db2.gz ITIHPTXEFNECMI-UHFFFAOYSA-N 0 1 315.417 3.156 20 30 DGEDMN CC(C)CCN(CCC#N)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000265701401 432723168 /nfs/dbraw/zinc/72/31/68/432723168.db2.gz YWEWAOCMMLYWJX-UHFFFAOYSA-N 0 1 310.401 3.479 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1 ZINC000187726279 432680558 /nfs/dbraw/zinc/68/05/58/432680558.db2.gz DKXJPEXUBIYYAV-NSHDSACASA-N 0 1 324.409 3.049 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CC)[C@@H](C)Cc1ccsc1 ZINC000187795050 432684616 /nfs/dbraw/zinc/68/46/16/432684616.db2.gz VABCFYQXSISNDM-GJZGRUSLSA-N 0 1 321.490 3.009 20 30 DGEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H](C)Cc1ccsc1 ZINC000187798332 432686355 /nfs/dbraw/zinc/68/63/55/432686355.db2.gz GPGQTLPSINOBBC-YOEHRIQHSA-N 0 1 321.490 3.055 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCN(C2CCCC2)CC1)c1ccccc1 ZINC000187890971 432691508 /nfs/dbraw/zinc/69/15/08/432691508.db2.gz RQCQNLBCUMURNY-LJQANCHMSA-N 0 1 312.457 3.433 20 30 DGEDMN C[N@@H+](CCOc1ccc(F)cc1)Cc1ccc(F)c(C#N)c1 ZINC000160590454 432730803 /nfs/dbraw/zinc/73/08/03/432730803.db2.gz IXGTYHHQOGMTMV-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2OCC2CC2)cc1O ZINC000188700852 432740249 /nfs/dbraw/zinc/74/02/49/432740249.db2.gz KKDOGRMXXCYHCT-UHFFFAOYSA-N 0 1 308.337 3.305 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2ccccc2SCC#N)C(C)(C)C1 ZINC000266907441 432797250 /nfs/dbraw/zinc/79/72/50/432797250.db2.gz BVKINCCXGNLNNF-ZDUSSCGKSA-N 0 1 317.458 3.361 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)CCCCC1 ZINC000276438178 432808056 /nfs/dbraw/zinc/80/80/56/432808056.db2.gz GXLTVYWUYXTKCB-CYBMUJFWSA-N 0 1 302.422 3.079 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[N@H+](Cc2ccc(C#N)cc2)CC1 ZINC000267079360 432811304 /nfs/dbraw/zinc/81/13/04/432811304.db2.gz QNENAMJYDRJFQS-QGZVFWFLSA-N 0 1 320.440 3.222 20 30 DGEDMN C=CCCN(C)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000276481656 432811453 /nfs/dbraw/zinc/81/14/53/432811453.db2.gz SXOHJBSJXYHQDG-UHFFFAOYSA-N 0 1 305.809 3.095 20 30 DGEDMN N#Cc1ccccc1CN1CCC(CO)(Cc2ccccc2)CC1 ZINC000277934839 432879026 /nfs/dbraw/zinc/87/90/26/432879026.db2.gz YWABCJJFSAHRCX-UHFFFAOYSA-N 0 1 320.436 3.375 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc(C(C)C)nc3)no2)CC1 ZINC000277959411 432879662 /nfs/dbraw/zinc/87/96/62/432879662.db2.gz MJMDAXSRNRPLEH-UHFFFAOYSA-N 0 1 310.401 3.068 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc4cc[nH]c4c3)no2)CC1 ZINC000277949018 432879673 /nfs/dbraw/zinc/87/96/73/432879673.db2.gz OMYCONJKAZAULH-UHFFFAOYSA-N 0 1 306.369 3.031 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CCc2c1cc(F)cc2F ZINC000330049297 432890728 /nfs/dbraw/zinc/89/07/28/432890728.db2.gz KQOKSIXBTVZVDR-CYBMUJFWSA-N 0 1 318.327 3.042 20 30 DGEDMN C[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCc3nc(C(C)(C)C)cn3C2)[C@H]1C ZINC000330092152 432892497 /nfs/dbraw/zinc/89/24/97/432892497.db2.gz YJOQGOZBHGNUBO-HZSPNIEDSA-N 0 1 318.465 3.140 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CC[C@H](Cc2ccccc2)C1 ZINC000330126344 432894677 /nfs/dbraw/zinc/89/46/77/432894677.db2.gz IQLKZBYQBDKVBM-NVXWUHKLSA-N 0 1 324.428 3.266 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)cc1 ZINC000245548663 432843220 /nfs/dbraw/zinc/84/32/20/432843220.db2.gz YCRZFCIMVUWWEU-GOEBONIOSA-N 0 1 310.401 3.080 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NCc2ccc(C#N)cc2F)n[nH]1 ZINC000277517626 432857214 /nfs/dbraw/zinc/85/72/14/432857214.db2.gz XDFKXULQGKHTJJ-MNOVXSKESA-N 0 1 301.369 3.180 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000296802870 432928187 /nfs/dbraw/zinc/92/81/87/432928187.db2.gz UHWIJLMGMJZIQC-UONOGXRCSA-N 0 1 319.405 3.059 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@](CO)(Cc2ccc(F)cc2)C1 ZINC000288794779 432898895 /nfs/dbraw/zinc/89/88/95/432898895.db2.gz LLCNLUGHNPAJTF-FQEVSTJZSA-N 0 1 324.399 3.124 20 30 DGEDMN Cc1ccc(Cl)cc1N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000278718799 432899012 /nfs/dbraw/zinc/89/90/12/432899012.db2.gz UYZSFTZRRZPISU-GOEBONIOSA-N 0 1 303.837 3.463 20 30 DGEDMN CCn1c2ccc(F)cc2nc1NC(=O)[C@@H]1CCCN1C1CC1 ZINC000330219655 432899087 /nfs/dbraw/zinc/89/90/87/432899087.db2.gz KGQIYXPMQBBSFA-HNNXBMFYSA-N 0 1 316.380 3.410 20 30 DGEDMN [O-]C(N[C@@H]1C[C@H]1c1ccccc1)=[NH+][C@@H]1COc2ccccc2C1 ZINC000330282686 432902475 /nfs/dbraw/zinc/90/24/75/432902475.db2.gz VNCUTKHBYJNQMK-YESZJQIVSA-N 0 1 308.381 3.050 20 30 DGEDMN [O-]C(N[C@@H]1COc2ccccc2C1)=[NH+][C@@H]1C[C@H]1c1ccccc1 ZINC000330282686 432902477 /nfs/dbraw/zinc/90/24/77/432902477.db2.gz VNCUTKHBYJNQMK-YESZJQIVSA-N 0 1 308.381 3.050 20 30 DGEDMN C=C[C@@H](CO)N[C@@H](C)c1ccc(OC(F)F)cc1OC(F)F ZINC000289618856 432969452 /nfs/dbraw/zinc/96/94/52/432969452.db2.gz DLETVLDFHVAHLY-IUCAKERBSA-N 0 1 323.286 3.087 20 30 DGEDMN C=C[C@H](CO)N[C@H](C)c1ccc(OC(F)F)cc1OC(F)F ZINC000289618859 432969773 /nfs/dbraw/zinc/96/97/73/432969773.db2.gz DLETVLDFHVAHLY-RKDXNWHRSA-N 0 1 323.286 3.087 20 30 DGEDMN C[C@@H](CCO)[N@@H+](Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000341140391 432972971 /nfs/dbraw/zinc/97/29/71/432972971.db2.gz USNUWUUSOJZAPN-HNNXBMFYSA-N 0 1 312.388 3.470 20 30 DGEDMN C[C@@H](CCO)N(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000341140391 432972974 /nfs/dbraw/zinc/97/29/74/432972974.db2.gz USNUWUUSOJZAPN-HNNXBMFYSA-N 0 1 312.388 3.470 20 30 DGEDMN N#CCCN(C[C@@H](O)Cc1ccc2ccccc2c1)CC1CC1 ZINC000279767602 432990717 /nfs/dbraw/zinc/99/07/17/432990717.db2.gz SFSXREHZXPYEQR-FQEVSTJZSA-N 0 1 308.425 3.369 20 30 DGEDMN C[S@](=O)c1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000341218526 432994945 /nfs/dbraw/zinc/99/49/45/432994945.db2.gz YCUYMRUTPHBSTP-QFIPXVFZSA-N 0 1 310.422 3.075 20 30 DGEDMN C#CCN1CCC(C(=O)N(C(C)C)[C@H](C)c2ccccc2)CC1 ZINC000297634263 433000350 /nfs/dbraw/zinc/00/03/50/433000350.db2.gz AIGRDCZCHZUPSB-QGZVFWFLSA-N 0 1 312.457 3.330 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)N1CCCCC1 ZINC000297790874 433017895 /nfs/dbraw/zinc/01/78/95/433017895.db2.gz HHSJXRCINJVDDG-MRXNPFEDSA-N 0 1 313.445 3.171 20 30 DGEDMN CC[C@@H](NCc1ccc(OC)nc1OC)c1ccc(C#N)cc1 ZINC000290273842 433024445 /nfs/dbraw/zinc/02/44/45/433024445.db2.gz WJVLPAFZIDPSPS-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1OC)[C@@H]1CC[C@H](C#N)C1 ZINC000297935537 433034080 /nfs/dbraw/zinc/03/40/80/433034080.db2.gz ROFZFDBMPQVCLX-LSDHHAIUSA-N 0 1 315.417 3.038 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)c1 ZINC000280343841 433036780 /nfs/dbraw/zinc/03/67/80/433036780.db2.gz BGXNMSMTWLSIAY-FCEWJHQRSA-N 0 1 317.429 3.020 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)c1 ZINC000280343869 433036893 /nfs/dbraw/zinc/03/68/93/433036893.db2.gz BGXNMSMTWLSIAY-TXPKVOOTSA-N 0 1 317.429 3.020 20 30 DGEDMN N#Cc1cccc(CCNCc2ncc(C(F)(F)F)s2)c1 ZINC000341470256 433078931 /nfs/dbraw/zinc/07/89/31/433078931.db2.gz SVVAKOVEMWQSHS-UHFFFAOYSA-N 0 1 311.332 3.366 20 30 DGEDMN C=CCN1CC[C@H]([N@@H+](CCC)[C@@H](C)c2ccccc2OC)C1=O ZINC000337140085 433207406 /nfs/dbraw/zinc/20/74/06/433207406.db2.gz QQRZUCWCKMZOHG-RDJZCZTQSA-N 0 1 316.445 3.255 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)[C@@H](C)c2ccccc2OC)C1=O ZINC000337140085 433207414 /nfs/dbraw/zinc/20/74/14/433207414.db2.gz QQRZUCWCKMZOHG-RDJZCZTQSA-N 0 1 316.445 3.255 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000298526899 433169254 /nfs/dbraw/zinc/16/92/54/433169254.db2.gz IVUAQLOFVMPAFD-LXTVHRRPSA-N 0 1 315.417 3.177 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](C)c2ccc(C(F)(F)F)cc2)C1=O ZINC000337185792 433210456 /nfs/dbraw/zinc/21/04/56/433210456.db2.gz OZGBRCWCYYSQBF-FZMZJTMJSA-N 0 1 312.335 3.143 20 30 DGEDMN C=CCn1cc(CNC2CC(c3ccccc3Cl)C2)nn1 ZINC000425349628 433189626 /nfs/dbraw/zinc/18/96/26/433189626.db2.gz GTHNMINOEDKHMO-UHFFFAOYSA-N 0 1 302.809 3.153 20 30 DGEDMN COc1ccc(CN2CC(CC(F)F)C2)cc1OCCCC#N ZINC000425363902 433202065 /nfs/dbraw/zinc/20/20/65/433202065.db2.gz DHEZNRWIYRQKPD-UHFFFAOYSA-N 0 1 324.371 3.465 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCC[C@H]2c2cc3ccccc3[nH]2)C1=O ZINC000337147671 433211454 /nfs/dbraw/zinc/21/14/54/433211454.db2.gz GQDVLQNKJOJEET-RBUKOAKNSA-N 0 1 323.440 3.482 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N[C@H](C#N)C(C)(C)C)c1ccccc1 ZINC000291359589 433235630 /nfs/dbraw/zinc/23/56/30/433235630.db2.gz BEJMFZKZJCCAFR-HZPDHXFCSA-N 0 1 301.434 3.124 20 30 DGEDMN CCCN1CCN(c2c(C#N)cnc3c(C)cc(F)cc32)CC1 ZINC000337904397 433269403 /nfs/dbraw/zinc/26/94/03/433269403.db2.gz AIGKYENEUUSLOT-UHFFFAOYSA-N 0 1 312.392 3.086 20 30 DGEDMN C[C@@H](NCc1cnnn1C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000374696082 433260657 /nfs/dbraw/zinc/26/06/57/433260657.db2.gz WDDQKZGTRGHEBV-CQSZACIVSA-N 0 1 317.396 3.205 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](C)c2cccc(C(F)(F)F)c2)C1=O ZINC000281271835 433277956 /nfs/dbraw/zinc/27/79/56/433277956.db2.gz RKGWOBLOEUKGRJ-RISCZKNCSA-N 0 1 312.335 3.143 20 30 DGEDMN C[C@H]1CN(c2c(C#N)cccc2C#N)CCN1Cc1ccccc1 ZINC000413527585 433286424 /nfs/dbraw/zinc/28/64/24/433286424.db2.gz BLHOJNFBWCXXMY-INIZCTEOSA-N 0 1 316.408 3.141 20 30 DGEDMN CSCCCN(C)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000281341016 433289823 /nfs/dbraw/zinc/28/98/23/433289823.db2.gz JZENYZBDSWKXDY-HNNXBMFYSA-N 0 1 319.474 3.007 20 30 DGEDMN CC[C@@H]1CCCC[N@H+]1[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447849888 433298652 /nfs/dbraw/zinc/29/86/52/433298652.db2.gz KCIRHDIFXKNITJ-AEFFLSMTSA-N 0 1 311.429 3.318 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(-c2ccccc2[N+](=O)[O-])o1 ZINC000361643229 433310946 /nfs/dbraw/zinc/31/09/46/433310946.db2.gz LZCBTOGRQWOXBH-UHFFFAOYSA-N 0 1 316.357 3.489 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000425698319 433346846 /nfs/dbraw/zinc/34/68/46/433346846.db2.gz FLILHRSMXZNCAR-LRDDRELGSA-N 0 1 323.400 3.061 20 30 DGEDMN COc1cc(CN[C@H]2CCOc3ccc(F)cc32)ccc1C#N ZINC000281674467 433346812 /nfs/dbraw/zinc/34/68/12/433346812.db2.gz LVRYXEJEVUMPNJ-INIZCTEOSA-N 0 1 312.344 3.319 20 30 DGEDMN CC(C)[C@H]1CCCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000299243949 433354126 /nfs/dbraw/zinc/35/41/26/433354126.db2.gz WBHVHODOXKQEDB-OAHLLOKOSA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@@H]1CN(CCCNc2ccc(Cl)cc2C#N)C[C@H](C)O1 ZINC000301176599 433441583 /nfs/dbraw/zinc/44/15/83/433441583.db2.gz GIPVDQLCCKCKFR-BETUJISGSA-N 0 1 307.825 3.123 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286645545 290245821 /nfs/dbraw/zinc/24/58/21/290245821.db2.gz TZZBSRDTTQLGIB-KCPJHIHWSA-N 0 1 312.335 3.112 20 30 DGEDMN C=C[C@@H](CC(=O)N1CC[C@@]2(C1)CCC[N@H+](C)C2)c1ccccc1 ZINC000356246044 433567302 /nfs/dbraw/zinc/56/73/02/433567302.db2.gz UVEOZUGRWJLXPH-PXNSSMCTSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCC1(NC(=O)c2cccc(-c3nnc[nH]3)c2)CCCCC1 ZINC000456375976 433628258 /nfs/dbraw/zinc/62/82/58/433628258.db2.gz YRLFMPVLBPXZGD-UHFFFAOYSA-N 0 1 310.401 3.481 20 30 DGEDMN C=CCOc1ccc(CNCc2cnn(CC(F)F)c2)cc1 ZINC000292844780 433600118 /nfs/dbraw/zinc/60/01/18/433600118.db2.gz YSHVZPOSYIJXCM-UHFFFAOYSA-N 0 1 307.344 3.003 20 30 DGEDMN C=CCOc1ccc(C[NH2+][C@@H](C(=O)[O-])c2cccs2)cc1 ZINC000426820241 433610750 /nfs/dbraw/zinc/61/07/50/433610750.db2.gz KNCQEASFIOAYLI-OAHLLOKOSA-N 0 1 303.383 3.228 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(C2CCC2)CC1)c1ccccc1 ZINC000426860001 433618424 /nfs/dbraw/zinc/61/84/24/433618424.db2.gz VUCSBLATKCVUGO-LJQANCHMSA-N 0 1 312.457 3.433 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1ccnn1CCCOC ZINC000282763361 433626612 /nfs/dbraw/zinc/62/66/12/433626612.db2.gz IMRQGAWIPIPNFI-UHFFFAOYSA-N 0 1 313.445 3.150 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CCC)CC1)c1ccccc1 ZINC000427081104 433651097 /nfs/dbraw/zinc/65/10/97/433651097.db2.gz QFEWNAGIDXLWCR-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN N#CCCCOc1cccc(CNc2cc3c(cn2)CCC3)c1 ZINC000339553254 433651119 /nfs/dbraw/zinc/65/11/19/433651119.db2.gz HFARDBSOQFUVCG-UHFFFAOYSA-N 0 1 307.397 3.865 20 30 DGEDMN C=CCSc1ccccc1C(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000362402214 433696040 /nfs/dbraw/zinc/69/60/40/433696040.db2.gz LTARDEFRISRGNA-LLVKDONJSA-N 0 1 316.430 3.136 20 30 DGEDMN CC[C@@H](C)N1CCN(C(=O)C[C@@H](CC#N)c2ccccc2)CC1 ZINC000456530646 433710895 /nfs/dbraw/zinc/71/08/95/433710895.db2.gz MYVGHGBFNZMNIX-SJLPKXTDSA-N 0 1 313.445 3.017 20 30 DGEDMN N#Cc1ccc2ncc(CN[C@H]3CCCc4c(O)cccc43)n2c1 ZINC000342709053 433747072 /nfs/dbraw/zinc/74/70/72/433747072.db2.gz IRNIIRGYSDIDHI-KRWDZBQOSA-N 0 1 318.380 3.079 20 30 DGEDMN Cc1cc(C#N)cc(NC2CCN(Cc3cccnc3)CC2)c1 ZINC000449761287 433770696 /nfs/dbraw/zinc/77/06/96/433770696.db2.gz WELKUUORSWQSMI-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(OCCCC)CC1 ZINC000362449638 433723688 /nfs/dbraw/zinc/72/36/88/433723688.db2.gz PMOBLGYYQGSZEB-MRXNPFEDSA-N 0 1 310.482 3.081 20 30 DGEDMN N#Cc1c(NC(=O)C23CCCN2CCC3)sc2c1CCCC2 ZINC000414675960 433783452 /nfs/dbraw/zinc/78/34/52/433783452.db2.gz MPZHDBVHYIOZSE-UHFFFAOYSA-N 0 1 315.442 3.065 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2nc3c(cccc3Br)[nH]2)C1 ZINC000449780026 433792442 /nfs/dbraw/zinc/79/24/42/433792442.db2.gz YDFLLRCIRCVHFW-UWVGGRQHSA-N 0 1 319.206 3.107 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2ccc3c[nH]nc3c2)CC1 ZINC000376647779 433795035 /nfs/dbraw/zinc/79/50/35/433795035.db2.gz AHOLYQIKDOIEBU-UHFFFAOYSA-N 0 1 317.396 3.015 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1ccc2cncn2c1 ZINC000339834145 433815337 /nfs/dbraw/zinc/81/53/37/433815337.db2.gz QVPASCGXXIGABC-UHFFFAOYSA-N 0 1 318.380 3.257 20 30 DGEDMN CN(C)[C@@H](CNc1cc(C#N)ccn1)c1ccccc1Cl ZINC000339969816 433876613 /nfs/dbraw/zinc/87/66/13/433876613.db2.gz UUCMDGSPMWLWJH-HNNXBMFYSA-N 0 1 300.793 3.321 20 30 DGEDMN C[C@H]1CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC12CCC2 ZINC000357036850 433839075 /nfs/dbraw/zinc/83/90/75/433839075.db2.gz KPCKEEXIFZLRNT-INIZCTEOSA-N 0 1 317.477 3.183 20 30 DGEDMN C[C@@H]1CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC12CCC2 ZINC000357036851 433841489 /nfs/dbraw/zinc/84/14/89/433841489.db2.gz KPCKEEXIFZLRNT-MRXNPFEDSA-N 0 1 317.477 3.183 20 30 DGEDMN CC(C)[C@H]1CN(C(=O)Nc2ccc(C#N)c(Cl)c2)CCN1C ZINC000362743213 433845459 /nfs/dbraw/zinc/84/54/59/433845459.db2.gz VYNFGIZSIIXTJD-OAHLLOKOSA-N 0 1 320.824 3.016 20 30 DGEDMN CCC[C@]1(NCc2cc(C#N)ccc2Br)CCOC1 ZINC000285453215 433952832 /nfs/dbraw/zinc/95/28/32/433952832.db2.gz GKSQUYRAFJWJFG-HNNXBMFYSA-N 0 1 323.234 3.370 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cccc(OCCC)c1 ZINC000436475282 433974244 /nfs/dbraw/zinc/97/42/44/433974244.db2.gz ODXGQPVZPXOUHI-UHFFFAOYSA-N 0 1 305.403 3.251 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cc(F)ccc1C#N ZINC000345886366 433977255 /nfs/dbraw/zinc/97/72/55/433977255.db2.gz IRWIWIBLUHOMIL-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000436501106 433985148 /nfs/dbraw/zinc/98/51/48/433985148.db2.gz BAQPLZNFCRSLCA-GASCZTMLSA-N 0 1 317.433 3.198 20 30 DGEDMN C=CC[C@@H](CO)CNC(=O)c1cc(Cl)c2ccccc2c1O ZINC000436620067 434041936 /nfs/dbraw/zinc/04/19/36/434041936.db2.gz ZFZMKYOWQCNIJI-LLVKDONJSA-N 0 1 319.788 3.113 20 30 DGEDMN CCc1nn(C)c(CC)c1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000353238139 434068917 /nfs/dbraw/zinc/06/89/17/434068917.db2.gz GLELCFNMLLSKRL-SFHVURJKSA-N 0 1 308.429 3.194 20 30 DGEDMN Cc1[nH]ncc1CNc1cc(C)c(C#N)c2nc3ccccc3n21 ZINC000352787683 434052802 /nfs/dbraw/zinc/05/28/02/434052802.db2.gz GVLSIVOFKAYWJU-UHFFFAOYSA-N 0 1 316.368 3.311 20 30 DGEDMN C[C@@H](N[C@@H]1CC(=O)N(c2ccccc2)C1)c1ccc(C#N)c(F)c1 ZINC000346149742 434151029 /nfs/dbraw/zinc/15/10/29/434151029.db2.gz DKPWUXVHNLTNDL-CZUORRHYSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1cccc(CN2CCO[C@@H](c3cccc(C#N)c3)C2)c1O ZINC000378442657 434180128 /nfs/dbraw/zinc/18/01/28/434180128.db2.gz LBDMWXTZYMGZNT-GOSISDBHSA-N 0 1 308.381 3.146 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2c(O)cccc2F)cc1C#N ZINC000436986137 434193249 /nfs/dbraw/zinc/19/32/49/434193249.db2.gz FJZMGLJIMGBTJD-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2ccc(C)cc2)CC1 ZINC000364013579 434207540 /nfs/dbraw/zinc/20/75/40/434207540.db2.gz FPNCMBQXDOHENX-IBGZPJMESA-N 0 1 310.441 3.004 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@@H]3[C@@H]4CCCO[C@H]4C3(C)C)c2[nH]1 ZINC000328701750 434301587 /nfs/dbraw/zinc/30/15/87/434301587.db2.gz NLCGOCKGTOEHKY-APHBMKBZSA-N 0 1 313.401 3.380 20 30 DGEDMN C[N@@H+](Cc1ccccc1)C1(CNCc2ccc(C#N)o2)CCC1 ZINC000387445102 434320814 /nfs/dbraw/zinc/32/08/14/434320814.db2.gz PXSNBMMCBBALDU-UHFFFAOYSA-N 0 1 309.413 3.296 20 30 DGEDMN CC(C)(CNC(=O)[C@H]1CCc2[nH]nnc2C1)C1=CCCCC1 ZINC000328949728 434331038 /nfs/dbraw/zinc/33/10/38/434331038.db2.gz CDFTXJZWJICCBP-LBPRGKRZSA-N 0 1 302.422 3.393 20 30 DGEDMN CC(C)(CNC(=O)[C@H]1CCc2nn[nH]c2C1)C1=CCCCC1 ZINC000328949728 434331047 /nfs/dbraw/zinc/33/10/47/434331047.db2.gz CDFTXJZWJICCBP-LBPRGKRZSA-N 0 1 302.422 3.393 20 30 DGEDMN O=C(NC[C@@H]1CCCCC(F)(F)C1)[C@H]1CCc2[nH]nnc2C1 ZINC000329136331 434361396 /nfs/dbraw/zinc/36/13/96/434361396.db2.gz CRXJVKPTXOIYSX-MNOVXSKESA-N 0 1 312.364 3.082 20 30 DGEDMN O=C(NC[C@@H]1CCCCC(F)(F)C1)[C@H]1CCc2nn[nH]c2C1 ZINC000329136331 434361405 /nfs/dbraw/zinc/36/14/05/434361405.db2.gz CRXJVKPTXOIYSX-MNOVXSKESA-N 0 1 312.364 3.082 20 30 DGEDMN CC1=CC[N@@H+](CCNC(=O)c2cc3c(c(Cl)c2)OCO3)CC1 ZINC000329125347 434363709 /nfs/dbraw/zinc/36/37/09/434363709.db2.gz YAYSTSHZJKNGAO-UHFFFAOYSA-N 0 1 322.792 3.025 20 30 DGEDMN CC1=CCN(CCNC(=O)c2cc3c(c(Cl)c2)OCO3)CC1 ZINC000329125347 434363711 /nfs/dbraw/zinc/36/37/11/434363711.db2.gz YAYSTSHZJKNGAO-UHFFFAOYSA-N 0 1 322.792 3.025 20 30 DGEDMN N#CCCN(Cc1ccccn1)Cc1cc(Cl)c(F)cc1O ZINC000295471667 434377688 /nfs/dbraw/zinc/37/76/88/434377688.db2.gz WOOLITVCSWPPAB-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN O=C(N[C@H](c1cccs1)C1CC1)[C@@H]1CCc2[nH]nnc2C1 ZINC000329002397 434340637 /nfs/dbraw/zinc/34/06/37/434340637.db2.gz LOTNKNWRRIZDQK-YGRLFVJLSA-N 0 1 302.403 3.079 20 30 DGEDMN O=C(N[C@H](c1cccs1)C1CC1)[C@@H]1CCc2nn[nH]c2C1 ZINC000329002397 434340647 /nfs/dbraw/zinc/34/06/47/434340647.db2.gz LOTNKNWRRIZDQK-YGRLFVJLSA-N 0 1 302.403 3.079 20 30 DGEDMN CCc1ccc2c(c1)[C@H](CCNC(=O)[C@@H]1CCCN1C)C(=O)N2 ZINC000329339447 434393469 /nfs/dbraw/zinc/39/34/69/434393469.db2.gz NRWPPWBQXOLYPL-BBRMVZONSA-N 0 1 315.417 3.375 20 30 DGEDMN C=CCCN1CCC(C(=O)OCC)(C(=O)c2ccccc2)CC1 ZINC000295786805 434434900 /nfs/dbraw/zinc/43/49/00/434434900.db2.gz ZJFAXDILJHYEEG-UHFFFAOYSA-N 0 1 315.413 3.091 20 30 DGEDMN C=CC[C@@H]1N(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCCC1(C)C ZINC000329729974 434467221 /nfs/dbraw/zinc/46/72/21/434467221.db2.gz HTZDSJJOFPRMRM-CJNGLKHVSA-N 0 1 316.449 3.248 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000329736411 434471505 /nfs/dbraw/zinc/47/15/05/434471505.db2.gz LKYUFYFDHGOMEY-ZENOOKHLSA-N 0 1 304.438 3.082 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ncn(C2CCCCC2)n1 ZINC000329751136 434471617 /nfs/dbraw/zinc/47/16/17/434471617.db2.gz IQXNKLVCKJWWEA-CHWSQXEVSA-N 0 1 305.426 3.244 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H]([NH+]=C([O-])N2CC[C@@H](n3cccn3)C2)C1 ZINC000329795195 434478762 /nfs/dbraw/zinc/47/87/62/434478762.db2.gz GKRCDANQYDGODF-OWCLPIDISA-N 0 1 304.438 3.259 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2nc(Cl)c(C#N)s2)n[nH]1 ZINC000287927234 434480627 /nfs/dbraw/zinc/48/06/27/434480627.db2.gz WXRNERZCVOSQHM-RQJHMYQMSA-N 0 1 310.814 3.473 20 30 DGEDMN N#CC1(CN[C@@]2(Cc3ccc(Cl)cc3)CCOC2)CCC1 ZINC000450920837 434482896 /nfs/dbraw/zinc/48/28/96/434482896.db2.gz BHELXURPHTYNCY-QGZVFWFLSA-N 0 1 304.821 3.325 20 30 DGEDMN CC1(C)CN(C(=O)N[C@H]2CCCc3cn[nH]c32)CC(C)(C)C1 ZINC000329807753 434483734 /nfs/dbraw/zinc/48/37/34/434483734.db2.gz AJUDJLQKFPVNDO-ZDUSSCGKSA-N 0 1 304.438 3.459 20 30 DGEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N[C@H]1CCCCC12CCCC2 ZINC000329818843 434487260 /nfs/dbraw/zinc/48/72/60/434487260.db2.gz OXSZDFXEZNFOSD-CABCVRRESA-N 0 1 304.438 3.278 20 30 DGEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@H]1CCCCC12CCCC2 ZINC000329818843 434487272 /nfs/dbraw/zinc/48/72/72/434487272.db2.gz OXSZDFXEZNFOSD-CABCVRRESA-N 0 1 304.438 3.278 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000329824665 434487847 /nfs/dbraw/zinc/48/78/47/434487847.db2.gz HWPLVPRSHNRNDR-PHIMTYICSA-N 0 1 303.362 3.128 20 30 DGEDMN COC(=O)CCN(Cc1ccc(Cl)cc1C#N)CC(C)C ZINC000360054474 434495927 /nfs/dbraw/zinc/49/59/27/434495927.db2.gz MUGHXUUYZUPCRZ-UHFFFAOYSA-N 0 1 308.809 3.233 20 30 DGEDMN CCC[C@]1(C)CCCN(C([O-])=[NH+][C@@H]2CCc3c[nH]nc3C2)C1 ZINC000329859089 434495923 /nfs/dbraw/zinc/49/59/23/434495923.db2.gz ONGZLQGUBLAINV-RHSMWYFYSA-N 0 1 304.438 3.083 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C3CCC4(CC4)CC3)C2)c1 ZINC000329656123 434451072 /nfs/dbraw/zinc/45/10/72/434451072.db2.gz MJUYMVQJRFBHGD-OAHLLOKOSA-N 0 1 302.422 3.075 20 30 DGEDMN Cc1nc2ccc(NC(=O)[C@H](C)c3cccc(C#N)c3)cc2[nH]1 ZINC000359919385 434452156 /nfs/dbraw/zinc/45/21/56/434452156.db2.gz ULJINESUYUWTFD-LLVKDONJSA-N 0 1 304.353 3.485 20 30 DGEDMN C=CCCC[C@H](C)N1C[C@@H](C#N)[C@]2(C1)c1ccccc1NC2=O ZINC000340955774 434454483 /nfs/dbraw/zinc/45/44/83/434454483.db2.gz ARJJEGSZCIKESL-QMTMVMCOSA-N 0 1 309.413 3.077 20 30 DGEDMN Cc1nc2ccc(NC(=O)[C@@H](C)c3cccc(C#N)c3)cc2[nH]1 ZINC000359919386 434454572 /nfs/dbraw/zinc/45/45/72/434454572.db2.gz ULJINESUYUWTFD-NSHDSACASA-N 0 1 304.353 3.485 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000360104882 434518180 /nfs/dbraw/zinc/51/81/80/434518180.db2.gz NYNKJLFRAITWAH-ZIAGYGMSSA-N 0 1 315.417 3.157 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000360104881 434519484 /nfs/dbraw/zinc/51/94/84/434519484.db2.gz NYNKJLFRAITWAH-OKILXGFUSA-N 0 1 315.417 3.157 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)c1cccc(C#N)c1 ZINC000360181948 434540318 /nfs/dbraw/zinc/54/03/18/434540318.db2.gz FSETUQROXSLIHM-GFCCVEGCSA-N 0 1 317.352 3.086 20 30 DGEDMN CCn1cncc1CNCC#Cc1ccc(C(F)(F)F)cc1 ZINC000417966342 434557172 /nfs/dbraw/zinc/55/71/72/434557172.db2.gz JEUZHCTYXQGUFD-UHFFFAOYSA-N 0 1 307.319 3.063 20 30 DGEDMN C/C(Cl)=C\CN1CCC(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000528409581 295210235 /nfs/dbraw/zinc/21/02/35/295210235.db2.gz MSSDYADMERASQR-WLRTZDKTSA-N 0 1 317.820 3.351 20 30 DGEDMN C[C@@H](CC1CCOCC1)CN1CC(Oc2ccc(C#N)cc2)C1 ZINC000459607384 434630713 /nfs/dbraw/zinc/63/07/13/434630713.db2.gz GLTSXJPNHBKZRT-HNNXBMFYSA-N 0 1 314.429 3.074 20 30 DGEDMN COc1ccc(C[C@H]2CCCN(C[C@@H](C#N)CCC#N)C2)cc1 ZINC000369612541 434599829 /nfs/dbraw/zinc/59/98/29/434599829.db2.gz AHWLCBLEFIXSEV-QZTJIDSGSA-N 0 1 311.429 3.393 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCNC[C@H]2c2ccccc2)cc1 ZINC000423995784 434600132 /nfs/dbraw/zinc/60/01/32/434600132.db2.gz JSNUFQYQYAFMQH-IBGZPJMESA-N 0 1 322.408 3.038 20 30 DGEDMN Cn1nccc1[C@@H]1CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000439437996 434601708 /nfs/dbraw/zinc/60/17/08/434601708.db2.gz KBTPDPWKKHUOMC-OAHLLOKOSA-N 0 1 314.820 3.325 20 30 DGEDMN CC(=O)NC1CCC([N@@H+](C)Cc2ccc(C#N)cc2Cl)CC1 ZINC000439447603 434603999 /nfs/dbraw/zinc/60/39/99/434603999.db2.gz RYPPAPPMXXFKFG-UHFFFAOYSA-N 0 1 319.836 3.091 20 30 DGEDMN C#CCCCCC(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC000370318523 434611414 /nfs/dbraw/zinc/61/14/14/434611414.db2.gz UMIYECKVXRHFEX-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN COc1ccc(CNCC(C)(C)CC#N)c(Br)c1 ZINC000459737129 434688660 /nfs/dbraw/zinc/68/86/60/434688660.db2.gz VTBPXQSLOUHEQP-UHFFFAOYSA-N 0 1 311.223 3.487 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000424569429 434745110 /nfs/dbraw/zinc/74/51/10/434745110.db2.gz CUBWTGSYIWVSIK-HNNXBMFYSA-N 0 1 300.446 3.152 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2cc(F)cc(Cl)c2)c1C#N ZINC000440819727 434747053 /nfs/dbraw/zinc/74/70/53/434747053.db2.gz DCBMJZTUKGVJIB-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN N#CC[C@@H](Cc1nc(C2(N)CCCCC2)no1)c1ccccc1 ZINC000424344499 434705687 /nfs/dbraw/zinc/70/56/87/434705687.db2.gz LFEAEBHUTGHUQH-HNNXBMFYSA-N 0 1 310.401 3.428 20 30 DGEDMN N#Cc1ccc(SCc2nc(C3(N)CCCCC3)no2)cc1 ZINC000424341063 434705890 /nfs/dbraw/zinc/70/58/90/434705890.db2.gz IBHIKBBZLRDDKM-UHFFFAOYSA-N 0 1 314.414 3.352 20 30 DGEDMN Cc1cc(C[N@H+](C)C[C@@H](C)C(=O)OC(C)(C)C)ccc1C#N ZINC000459775076 434712721 /nfs/dbraw/zinc/71/27/21/434712721.db2.gz QIVCJFJWTBWGCP-CQSZACIVSA-N 0 1 302.418 3.276 20 30 DGEDMN COc1cc(CNC2(c3ccc4c(c3)OCO4)CC2)ccc1C#N ZINC000440622540 434725699 /nfs/dbraw/zinc/72/56/99/434725699.db2.gz TYUSTTCUYKPSLS-UHFFFAOYSA-N 0 1 322.364 3.074 20 30 DGEDMN C[C@@H](CN(C)Cc1cc(C#N)ccc1F)C(=O)OC(C)(C)C ZINC000459817530 434729426 /nfs/dbraw/zinc/72/94/26/434729426.db2.gz XTACBYPPSQPMCR-LBPRGKRZSA-N 0 1 306.381 3.107 20 30 DGEDMN C[C@@H]1CN(CC(=O)Nc2ccccc2SCC#N)[C@@H](C)[C@H]1C ZINC000419374330 434780890 /nfs/dbraw/zinc/78/08/90/434780890.db2.gz PMUDLGBULARHQT-RDBSUJKOSA-N 0 1 317.458 3.217 20 30 DGEDMN C[C@@H](CCC#N)N[C@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000411546807 434798739 /nfs/dbraw/zinc/79/87/39/434798739.db2.gz SZQBNTFJVBQATF-GWCFXTLKSA-N 0 1 323.322 3.195 20 30 DGEDMN C#CC[C@H](NCc1ccc(-n2ccnc2)nc1)c1ccccc1 ZINC000372301598 434820347 /nfs/dbraw/zinc/82/03/47/434820347.db2.gz KLFFBHLDAPOHEK-SFHVURJKSA-N 0 1 302.381 3.122 20 30 DGEDMN CC1(C)CN(CC#Cc2ccc(C(F)(F)F)cc2)CC[C@H]1O ZINC000446066057 434845690 /nfs/dbraw/zinc/84/56/90/434845690.db2.gz HGWURAHPKJOYMT-OAHLLOKOSA-N 0 1 311.347 3.150 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)N1CCC(CCC#N)CC1 ZINC000574273568 434963448 /nfs/dbraw/zinc/96/34/48/434963448.db2.gz MKAMXZKHOMCUPY-CVYDXHPNSA-N 0 1 319.493 3.332 20 30 DGEDMN C=CCCC(=O)Nc1nc(-c2ccccc2Br)n[nH]1 ZINC000522483327 434924635 /nfs/dbraw/zinc/92/46/35/434924635.db2.gz GWHXQBOIEJZQOA-UHFFFAOYSA-N 0 1 321.178 3.139 20 30 DGEDMN C=CCCCN(C)C(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1 ZINC000638012459 434932485 /nfs/dbraw/zinc/93/24/85/434932485.db2.gz WWIOOZPEOSCXJV-ZDUSSCGKSA-N 0 1 300.324 3.130 20 30 DGEDMN CO[C@H](C(=O)[C@@H](C#N)c1nc(C)cs1)c1ccc(F)cc1 ZINC000528911265 435030762 /nfs/dbraw/zinc/03/07/62/435030762.db2.gz YNNXWTMBXVBWAV-OCCSQVGLSA-N 0 1 304.346 3.155 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3c3cccc(C#N)n3)[nH]c2c1 ZINC000555537969 434977372 /nfs/dbraw/zinc/97/73/72/434977372.db2.gz PAGYGYDFOFCEBJ-MRXNPFEDSA-N 0 1 303.369 3.480 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1C2CCC1CC2 ZINC000524486017 434979183 /nfs/dbraw/zinc/97/91/83/434979183.db2.gz ZDIGNQRQGBGWRJ-NVPAJSRCSA-N 0 1 315.392 3.088 20 30 DGEDMN C[C@@H]1C[C@@H](C)N(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000524620124 434983259 /nfs/dbraw/zinc/98/32/59/434983259.db2.gz VUGCJQDHNBKHTC-ZIAGYGMSSA-N 0 1 319.836 3.317 20 30 DGEDMN Cc1nn(C(C)C)cc1C(=O)C(C#N)c1nc2ccccc2n1C ZINC000574529547 434985735 /nfs/dbraw/zinc/98/57/35/434985735.db2.gz WJRATYFUJHBPAT-ZDUSSCGKSA-N 0 1 321.384 3.149 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)C[C@H]1c1ccccc1F ZINC000464728117 434995735 /nfs/dbraw/zinc/99/57/35/434995735.db2.gz XIAFSSVVJWDFSP-QAPCUYQASA-N 0 1 316.420 3.409 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC000527481997 435011601 /nfs/dbraw/zinc/01/16/01/435011601.db2.gz UTGUUXINJLYQIM-NHYWBVRUSA-N 0 1 312.335 3.254 20 30 DGEDMN C[C@H]1CCCN(c2cc(C(=O)C(C#N)c3ccccn3)ccn2)C1 ZINC000577796713 435062114 /nfs/dbraw/zinc/06/21/14/435062114.db2.gz WSHDUOVRAHDGJP-HOCLYGCPSA-N 0 1 320.396 3.203 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1 ZINC000638454544 435036295 /nfs/dbraw/zinc/03/62/95/435036295.db2.gz ILEJNLAREBGUSW-ZDUSSCGKSA-N 0 1 314.351 3.424 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@@H](c1cccs1)c1ccccc1 ZINC000467295879 435115647 /nfs/dbraw/zinc/11/56/47/435115647.db2.gz VTZDSJKYQVWCQV-GOSISDBHSA-N 0 1 314.454 3.462 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCOc1cccc(C)c1 ZINC000533001251 435205022 /nfs/dbraw/zinc/20/50/22/435205022.db2.gz AIRGQDIUAIELRL-UHFFFAOYSA-N 0 1 310.397 3.386 20 30 DGEDMN CN(Cc1ccc(F)c(C#N)c1)Cc1ccc2c(c1)OCCO2 ZINC000532344125 435159181 /nfs/dbraw/zinc/15/91/81/435159181.db2.gz CLPIYVDPKXYQIG-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc2nccnc2c1 ZINC000639162274 435177996 /nfs/dbraw/zinc/17/79/96/435177996.db2.gz RJNJUCLKIVYHKX-UHFFFAOYSA-N 0 1 323.396 3.293 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(C#N)cn2)c(C)c1OC ZINC000560550686 435179254 /nfs/dbraw/zinc/17/92/54/435179254.db2.gz WLZLRSIRNWWOKZ-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000496505554 435216450 /nfs/dbraw/zinc/21/64/50/435216450.db2.gz AZMBQJVSLDSKBS-KFWWJZLASA-N 0 1 309.454 3.113 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000496505555 435217431 /nfs/dbraw/zinc/21/74/31/435217431.db2.gz AZMBQJVSLDSKBS-QLFBSQMISA-N 0 1 309.454 3.113 20 30 DGEDMN CC(C)CN(C)CC(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000533247609 435219418 /nfs/dbraw/zinc/21/94/18/435219418.db2.gz FODKIENNOKWKGR-CQSZACIVSA-N 0 1 319.474 3.465 20 30 DGEDMN N#CC1(CNCc2ccnc3c(Br)cccc23)CC1 ZINC000583605358 435242224 /nfs/dbraw/zinc/24/22/24/435242224.db2.gz FPHKNRRNRYZUNT-UHFFFAOYSA-N 0 1 316.202 3.391 20 30 DGEDMN CC(C)C[C@H](CNCC1(C#N)CCC1)NC(=O)OC(C)(C)C ZINC000497129274 435299939 /nfs/dbraw/zinc/29/99/39/435299939.db2.gz KYEXONSAMCDFMP-CQSZACIVSA-N 0 1 309.454 3.209 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@@H](C)N(CC)C[C@@H]1C)c1ccccc1 ZINC000498569816 435302226 /nfs/dbraw/zinc/30/22/26/435302226.db2.gz KPILNVRBQIPZOH-IXDOHACOSA-N 0 1 300.446 3.287 20 30 DGEDMN N#CCC1(CN2CCC(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000639615056 435366859 /nfs/dbraw/zinc/36/68/59/435366859.db2.gz JNXYFNJVZFYTKO-UHFFFAOYSA-N 0 1 300.377 3.414 20 30 DGEDMN CCn1nc(C)cc1C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000643778751 435370471 /nfs/dbraw/zinc/37/04/71/435370471.db2.gz GARBZDUAQVKRLV-LLVKDONJSA-N 0 1 322.290 3.115 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc2c(n1)CCC2 ZINC000568363775 435429289 /nfs/dbraw/zinc/42/92/89/435429289.db2.gz DEBZXFVBCGWQCL-UHFFFAOYSA-N 0 1 321.424 3.091 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2ncc3c(c2C#N)CCC3)n[nH]1 ZINC000568386160 435432011 /nfs/dbraw/zinc/43/20/11/435432011.db2.gz YEJFVYVVDQRNBJ-WDEREUQCSA-N 0 1 310.405 3.247 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@H](c2ccc(F)cc2)C1 ZINC000483102273 435495744 /nfs/dbraw/zinc/49/57/44/435495744.db2.gz IUXOEJQGXAMVSS-INIZCTEOSA-N 0 1 304.409 3.040 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000490899832 435687845 /nfs/dbraw/zinc/68/78/45/435687845.db2.gz PUHDIQCBDLMADJ-ZDUSSCGKSA-N 0 1 305.805 3.289 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(C)(C)C)C[C@H]2C)CC1 ZINC000491264931 435723979 /nfs/dbraw/zinc/72/39/79/435723979.db2.gz IUBPOAOQNQBBIJ-WBVHZDCISA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCNC(=O)C1CCN([C@H](C)c2ccc(F)c(Cl)c2)CC1 ZINC000491101270 435704611 /nfs/dbraw/zinc/70/46/11/435704611.db2.gz JVDHNIHEBBPNHN-GFCCVEGCSA-N 0 1 322.811 3.002 20 30 DGEDMN CC(C)N(Cc1cccs1)Cc1cnc2c(C#N)cnn2c1 ZINC000571521928 435714830 /nfs/dbraw/zinc/71/48/30/435714830.db2.gz FBQMGUTXCKNPJB-UHFFFAOYSA-N 0 1 311.414 3.073 20 30 DGEDMN CN1CCN(CC#Cc2ccc(F)cc2)[C@@H](Cc2ccccc2)C1 ZINC000649828618 435717114 /nfs/dbraw/zinc/71/71/14/435717114.db2.gz NTSLHMWIZZDJDM-NRFANRHFSA-N 0 1 322.427 3.036 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCC[C@H]2C(C)(C)C)CC1 ZINC000491263728 435722940 /nfs/dbraw/zinc/72/29/40/435722940.db2.gz BJUOTPYQURIOGW-SJORKVTESA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CN(CCOCc1ccccc1)Cc1ccc(CO)o1 ZINC000660538298 435796475 /nfs/dbraw/zinc/79/64/75/435796475.db2.gz OIKNYAIMAICUGZ-UHFFFAOYSA-N 0 1 315.413 3.367 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1ncc(-c2ccc(F)cc2)o1 ZINC000650076636 435807809 /nfs/dbraw/zinc/80/78/09/435807809.db2.gz RGBRUNZDGWPBAX-CABCVRRESA-N 0 1 302.349 3.304 20 30 DGEDMN CC[C@@H](N[C@@H](CO)c1ccccc1OC)c1ccc(C#N)cc1 ZINC000572469008 435827977 /nfs/dbraw/zinc/82/79/77/435827977.db2.gz HDUOIORXVIECBS-MSOLQXFVSA-N 0 1 310.397 3.341 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@H](c2ccccn2)C1 ZINC000660633107 435834278 /nfs/dbraw/zinc/83/42/78/435834278.db2.gz ZNSBQTGIEXXSBT-IAGOWNOFSA-N 0 1 315.461 3.074 20 30 DGEDMN C[C@@H](CCO)N(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000572972173 435887239 /nfs/dbraw/zinc/88/72/39/435887239.db2.gz QVNWJAJXVSEXIO-AWEZNQCLSA-N 0 1 300.427 3.393 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2OC)C1 ZINC000661377482 436050090 /nfs/dbraw/zinc/05/00/90/436050090.db2.gz OFWLJCCXNBVJRV-GJZGRUSLSA-N 0 1 302.418 3.310 20 30 DGEDMN C=CC[C@H]1CCCN(CCCS(=O)(=O)c2ccccc2)C1 ZINC000661378202 436050371 /nfs/dbraw/zinc/05/03/71/436050371.db2.gz AHHAJMFBCYPJLF-INIZCTEOSA-N 0 1 307.459 3.139 20 30 DGEDMN C=CCCNC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000661569449 436109788 /nfs/dbraw/zinc/10/97/88/436109788.db2.gz ACIGNZHOAONNNK-MRXNPFEDSA-N 0 1 321.852 3.352 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)nn1 ZINC000653559517 436203229 /nfs/dbraw/zinc/20/32/29/436203229.db2.gz FJPMIROWDMBJEL-VQIMIIECSA-N 0 1 310.445 3.480 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@@](C)(c3ccccc3)C2)nn1 ZINC000653555956 436204107 /nfs/dbraw/zinc/20/41/07/436204107.db2.gz GCGKBYOIRMSILB-LJQANCHMSA-N 0 1 310.445 3.408 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc(OC)c(Cl)c1 ZINC000662086766 436270268 /nfs/dbraw/zinc/27/02/68/436270268.db2.gz XMBDBIPHEOGXNA-OKILXGFUSA-N 0 1 320.820 3.470 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(=O)Nc1ccc(Cl)cn1 ZINC000662086234 436270496 /nfs/dbraw/zinc/27/04/96/436270496.db2.gz QBNXYUASMGNIRN-FPMFFAJLSA-N 0 1 305.809 3.245 20 30 DGEDMN C=CCOCCCNCc1c(F)cccc1Br ZINC000657659460 436270651 /nfs/dbraw/zinc/27/06/51/436270651.db2.gz YBLWIRCQVAZLOQ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN C=CCCNC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000663456845 436462068 /nfs/dbraw/zinc/46/20/68/436462068.db2.gz DOACUIRVXPRDEQ-MRXNPFEDSA-N 0 1 321.852 3.352 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NC[C@H](c1cccs1)N(C)C ZINC000663236363 436427990 /nfs/dbraw/zinc/42/79/90/436427990.db2.gz SZZBIKBVUHHEDJ-QLFBSQMISA-N 0 1 319.474 3.243 20 30 DGEDMN C=CCCn1cc(CSc2nc3ccc(OC)cc3[nH]2)nn1 ZINC000655456023 436551606 /nfs/dbraw/zinc/55/16/06/436551606.db2.gz DUYHUFIAFAVZPT-UHFFFAOYSA-N 0 1 315.402 3.031 20 30 DGEDMN N#C[C@@H]1CC[C@H](N[C@@H](CCO)c2ccccc2Br)C1 ZINC000442834124 303160846 /nfs/dbraw/zinc/16/08/46/303160846.db2.gz AHAMTVGATQCGIN-XUJVJEKNSA-N 0 1 323.234 3.154 20 30 DGEDMN Cc1cccc([C@H]2CCN(CC(=O)Nc3ccc(C#N)cc3)C2)c1 ZINC000544191658 314180057 /nfs/dbraw/zinc/18/00/57/314180057.db2.gz JNPIHZANUWOJQE-SFHVURJKSA-N 0 1 319.408 3.295 20 30 DGEDMN CC(C)N1CCN(c2c(C#N)cnc3c(Cl)cccc32)CC1 ZINC000564892925 315209593 /nfs/dbraw/zinc/20/95/93/315209593.db2.gz OSTVCNBYVDTQRZ-UHFFFAOYSA-N 0 1 314.820 3.290 20 30 DGEDMN Cc1cc(CN2CCC([C@@H](O)C(F)(F)F)CC2)ccc1C#N ZINC000575732430 316057028 /nfs/dbraw/zinc/05/70/28/316057028.db2.gz NWTSNKVELDTCFE-OAHLLOKOSA-N 0 1 312.335 3.002 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1ccc(F)c(C#N)c1 ZINC000577932461 316285553 /nfs/dbraw/zinc/28/55/53/316285553.db2.gz DFRWEPFYDOUDLZ-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN N#Cc1cnc(CN2C[C@H](c3ccccc3)C3(CCC3)C2)nc1 ZINC000583074446 324310320 /nfs/dbraw/zinc/31/03/20/324310320.db2.gz XQIYMHHIHSTJRH-QGZVFWFLSA-N 0 1 304.397 3.118 20 30 DGEDMN Cc1cc(CN2CCN(c3ccccc3C#N)CC2)cc(C)c1O ZINC000583532091 324366021 /nfs/dbraw/zinc/36/60/21/324366021.db2.gz LMJXNUPEYFYAGI-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@H](c1ccc(OC)cc1)C(C)C ZINC000181328543 332191884 /nfs/dbraw/zinc/19/18/84/332191884.db2.gz CQWKUJXSZMAYSW-SFHVURJKSA-N 0 1 304.434 3.016 20 30 DGEDMN C=CCOCCN1CCC(C(=O)c2cc(F)ccc2F)CC1 ZINC000293539687 335111537 /nfs/dbraw/zinc/11/15/37/335111537.db2.gz QEVQQTFUDUJXGU-UHFFFAOYSA-N 0 1 309.356 3.062 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000562046879 332536480 /nfs/dbraw/zinc/53/64/80/332536480.db2.gz ZQQWGQQRCORFIS-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(C)CN(C)C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000182195146 333183312 /nfs/dbraw/zinc/18/33/12/333183312.db2.gz CYCVTRCMBKDMDI-OAHLLOKOSA-N 0 1 305.422 3.024 20 30 DGEDMN CC(C)C[C@@H](CN1CCC(C#N)CC1)NC(=O)OC(C)(C)C ZINC000497191661 333220155 /nfs/dbraw/zinc/22/01/55/333220155.db2.gz DEHMZQFKIMTVNR-HNNXBMFYSA-N 0 1 309.454 3.161 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@](C)(c2ccccc2)C1 ZINC000500240998 333236061 /nfs/dbraw/zinc/23/60/61/333236061.db2.gz ZUNVUZOZKPIOFY-HXUWFJFHSA-N 0 1 314.473 3.465 20 30 DGEDMN C[C@H](N[C@@H](C)c1cc2ccccc2o1)C(=O)NC1(C#N)CCC1 ZINC000526061710 333338035 /nfs/dbraw/zinc/33/80/35/333338035.db2.gz IHYBALNGZQIGPT-STQMWFEESA-N 0 1 311.385 3.034 20 30 DGEDMN N#CCC[C@@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000269583411 334002362 /nfs/dbraw/zinc/00/23/62/334002362.db2.gz AKVLMUPNMUTREQ-HZPDHXFCSA-N 0 1 323.400 3.131 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc4c(c3)OCO4)[nH]c21 ZINC000345388180 335322360 /nfs/dbraw/zinc/32/23/60/335322360.db2.gz ZAZJOOOBSRXEBU-GFCCVEGCSA-N 0 1 319.320 3.090 20 30 DGEDMN CC(C)[C@@H](NCC(=O)NC1(C#N)CCC1)c1ccccc1Cl ZINC000526295987 336243104 /nfs/dbraw/zinc/24/31/04/336243104.db2.gz JZZUNLNISYOJAP-MRXNPFEDSA-N 0 1 319.836 3.189 20 30 DGEDMN C=CCCC1(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000527003203 336279100 /nfs/dbraw/zinc/27/91/00/336279100.db2.gz MMBJUTIRBKPAMY-AWEZNQCLSA-N 0 1 314.433 3.135 20 30 DGEDMN C=CCCC[N@H+]1CCc2cc(OC)c(OC)cc2[C@H]1CC(=O)[O-] ZINC000584534991 337357921 /nfs/dbraw/zinc/35/79/21/337357921.db2.gz MVRNAEYTYHYDRF-OAHLLOKOSA-N 0 1 319.401 3.044 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1cccc2cc[nH]c21 ZINC000527247108 337740507 /nfs/dbraw/zinc/74/05/07/337740507.db2.gz SECPTUYNHJFDMR-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN C=CCOc1ccc(CN2CCN(c3cccc(O)c3)CC2)cc1 ZINC000121618336 337896074 /nfs/dbraw/zinc/89/60/74/337896074.db2.gz LYXAKABIAYYXHV-UHFFFAOYSA-N 0 1 324.424 3.279 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](c2ccccc2F)C2CCCC2)C1=O ZINC000499605475 340040780 /nfs/dbraw/zinc/04/07/80/340040780.db2.gz JAOLQQADTCHVNL-MSOLQXFVSA-N 0 1 316.420 3.434 20 30 DGEDMN C=CCN1CC[C@@H](NC2(c3ccc(F)cc3F)CCCC2)C1=O ZINC000499614104 340041011 /nfs/dbraw/zinc/04/10/11/340041011.db2.gz ZGLRAGOMKYLNCZ-MRXNPFEDSA-N 0 1 320.383 3.111 20 30 DGEDMN CCOC(=O)C(C)(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC000510073582 340287428 /nfs/dbraw/zinc/28/74/28/340287428.db2.gz OZCKTWXGKIRFBL-UHFFFAOYSA-N 0 1 313.441 3.339 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cccc(OC(F)F)c1 ZINC000073973437 341124195 /nfs/dbraw/zinc/12/41/95/341124195.db2.gz PFXMLERDWACXTL-UHFFFAOYSA-N 0 1 320.255 3.302 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN(C)CCC2CC2)c1C ZINC000135277161 341235207 /nfs/dbraw/zinc/23/52/07/341235207.db2.gz BCLFQYJLJATYFE-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(C)C[C@H]1C(C)C)c1ccccc1 ZINC000245613086 341305518 /nfs/dbraw/zinc/30/55/18/341305518.db2.gz CFERLCYOLMWTHS-WMZOPIPTSA-N 0 1 300.446 3.145 20 30 DGEDMN OC[C@H](NCC#Cc1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000305783955 341532822 /nfs/dbraw/zinc/53/28/22/341532822.db2.gz WPGQPTHSUVUAKF-KRWDZBQOSA-N 0 1 319.326 3.380 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001733260360 1177465736 /nfs/dbraw/zinc/46/57/36/1177465736.db2.gz NMHQLUJHIDGUET-STQMWFEESA-N 0 1 300.874 3.296 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC(C3CCOCC3)CC2)c1 ZINC000153616959 501031900 /nfs/dbraw/zinc/03/19/00/501031900.db2.gz JVPPLKUGSYLKMF-UHFFFAOYSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)o1 ZINC000430178292 533743036 /nfs/dbraw/zinc/74/30/36/533743036.db2.gz KVZQEUMXASRJQR-COXVUDFISA-N 0 1 323.396 3.323 20 30 DGEDMN C[C@@H]1C[C@H](C)CN1CC(=O)c1cn(CCC#N)c2ccccc12 ZINC000350085230 533806526 /nfs/dbraw/zinc/80/65/26/533806526.db2.gz RYFCBGXXMFGKQS-LSDHHAIUSA-N 0 1 309.413 3.468 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100711313 1180954971 /nfs/dbraw/zinc/95/49/71/1180954971.db2.gz IUEQDMVWOZNODR-WQLSENKSSA-N 0 1 319.276 3.392 20 30 DGEDMN C[C@H](NCCCC#N)c1ccccc1I ZINC000273172915 533841842 /nfs/dbraw/zinc/84/18/42/533841842.db2.gz CJYTYXDICMIUKM-JTQLQIEISA-N 0 1 314.170 3.246 20 30 DGEDMN C[C@H]1CN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C[C@H]1C ZINC000347383748 533907983 /nfs/dbraw/zinc/90/79/83/533907983.db2.gz WKXBSTMAYZFGFB-OKILXGFUSA-N 0 1 319.836 3.174 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](CC)NC/C(Cl)=C/Cl ZINC001495066224 1184990712 /nfs/dbraw/zinc/99/07/12/1184990712.db2.gz UPIZIHBQLVRHCP-KGTBHZDVSA-N 0 1 307.265 3.392 20 30 DGEDMN Cc1ccc(F)cc1CN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000428790387 534159337 /nfs/dbraw/zinc/15/93/37/534159337.db2.gz AZPLBWPQZJDBAR-QGZVFWFLSA-N 0 1 311.360 3.054 20 30 DGEDMN C[C@@H](F)CCN1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000352402529 534276098 /nfs/dbraw/zinc/27/60/98/534276098.db2.gz OHMFHHMFPLAMNR-CZUORRHYSA-N 0 1 309.816 3.270 20 30 DGEDMN C[C@@H](N(C)CC(=O)Nc1ccc(C#N)c(Cl)c1)C1(C)CC1 ZINC000177245841 534285273 /nfs/dbraw/zinc/28/52/73/534285273.db2.gz SFTPDDCMTHQTFJ-LLVKDONJSA-N 0 1 305.809 3.271 20 30 DGEDMN OC1(c2ccccc2)CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000185467024 534342639 /nfs/dbraw/zinc/34/26/39/534342639.db2.gz JZRYSTUHHKHMNY-UHFFFAOYSA-N 0 1 309.384 3.161 20 30 DGEDMN Cc1noc(C[C@@H](NCc2ccc(C#N)cc2)c2ccccc2)n1 ZINC000428639226 534423473 /nfs/dbraw/zinc/42/34/73/534423473.db2.gz HSQCQNCYDAZZPG-GOSISDBHSA-N 0 1 318.380 3.323 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(C)Cc1ccc(SC)cc1 ZINC000489495452 534558591 /nfs/dbraw/zinc/55/85/91/534558591.db2.gz JGWKFAVSGKQXBH-MRXNPFEDSA-N 0 1 318.486 3.407 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)NCCCSc1ccccc1 ZINC000489490491 534559682 /nfs/dbraw/zinc/55/96/82/534559682.db2.gz WVYPYPSXLFVPEI-MRXNPFEDSA-N 0 1 318.486 3.326 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1nc2ccccc2n1C(F)F ZINC000451676506 534575168 /nfs/dbraw/zinc/57/51/68/534575168.db2.gz SLDCHAJEMFWBIH-OCCSQVGLSA-N 0 1 307.344 3.255 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@H]3CCCC[C@@H]3C2)c(C#N)c1C ZINC000177519199 534609940 /nfs/dbraw/zinc/60/99/40/534609940.db2.gz VRILHOBLEPSHKO-ZIAGYGMSSA-N 0 1 317.458 3.297 20 30 DGEDMN C=C[C@H]1CCCCN1CCOc1ccc(OC)cc1[N+](=O)[O-] ZINC000489481159 534694601 /nfs/dbraw/zinc/69/46/01/534694601.db2.gz OIOGJCPCYWYFOV-ZDUSSCGKSA-N 0 1 306.362 3.023 20 30 DGEDMN Cc1cccc(CN2CCN([C@H](C#N)c3ccccc3)CC2)c1O ZINC000183376758 534709847 /nfs/dbraw/zinc/70/98/47/534709847.db2.gz CXICETFHOFVZII-LJQANCHMSA-N 0 1 321.424 3.083 20 30 DGEDMN Cn1cc([C@@H](NCCC(C)(C)C#N)c2ccc(F)cc2)cn1 ZINC000274834573 534753049 /nfs/dbraw/zinc/75/30/49/534753049.db2.gz BKUQIEWUYNYYOF-INIZCTEOSA-N 0 1 300.381 3.178 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](O)C23CCCC3)c(OC(F)F)c1 ZINC000433008467 534782938 /nfs/dbraw/zinc/78/29/38/534782938.db2.gz DCVZURXFZNGVHA-HNNXBMFYSA-N 0 1 322.355 3.039 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)c1ccc2cncn2c1 ZINC000339816514 526364281 /nfs/dbraw/zinc/36/42/81/526364281.db2.gz ZLRKIJQZHSGWOV-UHFFFAOYSA-N 0 1 309.344 3.302 20 30 DGEDMN C=C(C)CN(C)CC(=O)N1CCC[C@H]1c1ccc(OCC)cc1 ZINC000181266643 526503062 /nfs/dbraw/zinc/50/30/62/526503062.db2.gz APDZEFRFZYRTIP-SFHVURJKSA-N 0 1 316.445 3.257 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000347312646 526571728 /nfs/dbraw/zinc/57/17/28/526571728.db2.gz FEFKFDYLMJJXRE-JTQLQIEISA-N 0 1 321.471 3.133 20 30 DGEDMN C=C(C)CS(=O)(=O)Nc1nn([C@@H](C)c2ccccc2)cc1C ZINC000357068034 526690748 /nfs/dbraw/zinc/69/07/48/526690748.db2.gz FTVVMUVZHWPWJY-AWEZNQCLSA-N 0 1 319.430 3.119 20 30 DGEDMN C#CCCCNC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000173332519 526711200 /nfs/dbraw/zinc/71/12/00/526711200.db2.gz IICYOODCNWEMEO-MRXNPFEDSA-N 0 1 310.401 3.131 20 30 DGEDMN C=CCOCCCN(C)CC(=O)Nc1c(C)cc(C)cc1C ZINC000347971850 526745586 /nfs/dbraw/zinc/74/55/86/526745586.db2.gz ZLNGIAMQGYCKBO-UHFFFAOYSA-N 0 1 304.434 3.075 20 30 DGEDMN C=CCOCCCN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000348047145 526747639 /nfs/dbraw/zinc/74/76/39/526747639.db2.gz FLIZAUGEWMJQRG-GOSISDBHSA-N 0 1 317.408 3.173 20 30 DGEDMN C=CCOCCCN(Cc1nc2ccccc2c(=O)[nH]1)C(C)C ZINC000348047819 526748860 /nfs/dbraw/zinc/74/88/60/526748860.db2.gz LMRWTWLHONLCSC-UHFFFAOYSA-N 0 1 315.417 3.139 20 30 DGEDMN C=CCOCCN1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000339006702 526772891 /nfs/dbraw/zinc/77/28/91/526772891.db2.gz ZPZCSESRZTYGHI-HNNXBMFYSA-N 0 1 308.853 3.221 20 30 DGEDMN C=C(CC)CN1CCN(c2c(C#N)cnc3ccccc32)CC1 ZINC000358494400 526851924 /nfs/dbraw/zinc/85/19/24/526851924.db2.gz CERQQBQTQLTXCF-UHFFFAOYSA-N 0 1 306.413 3.195 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(C(F)(F)F)n[nH]2)cc1 ZINC000352922152 526887236 /nfs/dbraw/zinc/88/72/36/526887236.db2.gz OGOAMORXNDULMB-UHFFFAOYSA-N 0 1 311.307 3.283 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2ccc(/C=C\C)cc2OC)CC1 ZINC000341990603 526904939 /nfs/dbraw/zinc/90/49/39/526904939.db2.gz DEZDJYQXPQOPRY-CHYADLBTSA-N 0 1 317.429 3.120 20 30 DGEDMN C=CCOc1cccc(CNc2cnccc2-n2cccn2)c1 ZINC000348138966 526905123 /nfs/dbraw/zinc/90/51/23/526905123.db2.gz VNKFJKWUIDHQKE-UHFFFAOYSA-N 0 1 306.369 3.444 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(c2nnc(-c3ccccc3)[nH]2)CC1 ZINC000294450938 526941316 /nfs/dbraw/zinc/94/13/16/526941316.db2.gz GXMVTGNPAFOZTE-UHFFFAOYSA-N 0 1 324.428 3.390 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000348189330 526981944 /nfs/dbraw/zinc/98/19/44/526981944.db2.gz QMIGLDHMVAJFFI-LBPRGKRZSA-N 0 1 317.820 3.437 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(OCC2CC2)nc1 ZINC000159963506 527127369 /nfs/dbraw/zinc/12/73/69/527127369.db2.gz JXYHXVCELOVAKC-UHFFFAOYSA-N 0 1 322.408 3.172 20 30 DGEDMN C=CCCCCCN(C)[C@H]1CCN(c2sccc2C#N)C1=O ZINC000177123986 527130470 /nfs/dbraw/zinc/13/04/70/527130470.db2.gz WFMSFBQKVAFLOU-HNNXBMFYSA-N 0 1 317.458 3.403 20 30 DGEDMN C=CC[C@H](CO)CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000346622471 527189671 /nfs/dbraw/zinc/18/96/71/527189671.db2.gz LYOZJICZTNQONW-UGSOOPFHSA-N 0 1 318.367 3.223 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1cc(-c2ccccc2C)[nH]n1 ZINC000427756073 527245931 /nfs/dbraw/zinc/24/59/31/527245931.db2.gz JFNAUAWSZWALFD-UHFFFAOYSA-N 0 1 305.403 3.093 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1cc(-c2cc(C)ccc2F)[nH]n1 ZINC000427803415 527246016 /nfs/dbraw/zinc/24/60/16/527246016.db2.gz WICONGPQCNIBPR-UHFFFAOYSA-N 0 1 323.393 3.232 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@H](c1ccsc1)N(C)C ZINC000342794676 527266379 /nfs/dbraw/zinc/26/63/79/527266379.db2.gz YHTFBMMJCAZPJH-UKRRQHHQSA-N 0 1 309.479 3.395 20 30 DGEDMN CC(C)(NCCCSCC#N)c1cc(C(F)(F)F)on1 ZINC000429252231 527292205 /nfs/dbraw/zinc/29/22/05/527292205.db2.gz KLINWSIJZLUJCJ-UHFFFAOYSA-N 0 1 307.341 3.165 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1nc2ccc(F)cc2s1 ZINC000342735483 527324027 /nfs/dbraw/zinc/32/40/27/527324027.db2.gz HQMRJAOFYWQHPP-SNVBAGLBSA-N 0 1 307.394 3.270 20 30 DGEDMN C=CCC[C@@H](NC(=O)CN(C)C)c1ccc(C(F)(F)F)cc1 ZINC000441718479 527437258 /nfs/dbraw/zinc/43/72/58/527437258.db2.gz NPZHYWJAXKJRMX-CQSZACIVSA-N 0 1 314.351 3.391 20 30 DGEDMN C=CCC[C@@H](NC(=O)CCCCc1cn[nH]n1)c1ccccc1 ZINC000139591075 527437403 /nfs/dbraw/zinc/43/74/03/527437403.db2.gz VYZDYLGAFWAYGP-QGZVFWFLSA-N 0 1 312.417 3.341 20 30 DGEDMN C=CCC[C@@H](NCc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000341930427 527448643 /nfs/dbraw/zinc/44/86/43/527448643.db2.gz MSVTYCDGMBPUAN-CQSZACIVSA-N 0 1 300.362 3.125 20 30 DGEDMN CC(C)(C#N)CCN(Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000347349557 527465701 /nfs/dbraw/zinc/46/57/01/527465701.db2.gz WDTRRAGXLRJHBY-LSDHHAIUSA-N 0 1 304.459 3.427 20 30 DGEDMN CC(C)(C#N)CNC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000360266703 527483804 /nfs/dbraw/zinc/48/38/04/527483804.db2.gz RTPSHBIUVZPHCV-UHFFFAOYSA-N 0 1 308.794 3.071 20 30 DGEDMN C=CCN(C)C(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000161912153 527562924 /nfs/dbraw/zinc/56/29/24/527562924.db2.gz MBWMGNFFMOUQPD-INIZCTEOSA-N 0 1 321.852 3.304 20 30 DGEDMN CC(C)CCN(CCC#N)CCc1ccc2c(c1)OCCO2 ZINC000346941422 527715724 /nfs/dbraw/zinc/71/57/24/527715724.db2.gz MHZZPAZKIQMNMG-UHFFFAOYSA-N 0 1 302.418 3.262 20 30 DGEDMN CCC[C@@]1(C(=O)OCC)CCCN1Cc1ccc(CC#N)cc1 ZINC000444778463 527916808 /nfs/dbraw/zinc/91/68/08/527916808.db2.gz IUVJBCHWELLKTD-IBGZPJMESA-N 0 1 314.429 3.450 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)C[C@@H](CC#N)c2ccccc2)[C@@H](C)C1 ZINC000344415898 527966819 /nfs/dbraw/zinc/96/68/19/527966819.db2.gz FDSKZLPSUXZMJK-XYJFISCASA-N 0 1 313.445 3.015 20 30 DGEDMN CCC[C@H](NCc1ccc(CC#N)cc1)c1nnc2ccccn21 ZINC000347615605 528226697 /nfs/dbraw/zinc/22/66/97/528226697.db2.gz JOOOGBXKMBPWGE-KRWDZBQOSA-N 0 1 319.412 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(C)c2C2CC2)n1 ZINC000353859358 528241486 /nfs/dbraw/zinc/24/14/86/528241486.db2.gz RTUSAZPROAKXDY-LLVKDONJSA-N 0 1 314.414 3.367 20 30 DGEDMN CCN(CCC1CC1)CC(=O)Nc1ccccc1SCC#N ZINC000355040694 528425962 /nfs/dbraw/zinc/42/59/62/528425962.db2.gz PRDRJAOWLGSFTC-UHFFFAOYSA-N 0 1 317.458 3.363 20 30 DGEDMN CCOc1ccc(NC(=O)CCN(CCC#N)CC2CC2)cc1 ZINC000137580983 528502132 /nfs/dbraw/zinc/50/21/32/528502132.db2.gz JDVLLGUHEONSSG-UHFFFAOYSA-N 0 1 315.417 3.040 20 30 DGEDMN CC(C)[C@@H]1CCCN(Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000444751411 528668395 /nfs/dbraw/zinc/66/83/95/528668395.db2.gz OYBOLYUXFDCTPG-MRXNPFEDSA-N 0 1 310.401 3.476 20 30 DGEDMN CCCc1nc(C)c(NC(=O)c2ccc(C#N)c(O)c2)s1 ZINC000442797917 528741797 /nfs/dbraw/zinc/74/17/97/528741797.db2.gz IEBTZVKGTZAUMS-UHFFFAOYSA-N 0 1 301.371 3.234 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@H](C)c1cc2ccccc2o1 ZINC000181761473 528852354 /nfs/dbraw/zinc/85/23/54/528852354.db2.gz FQIAOCIRXUSATG-FZKQIMNGSA-N 0 1 313.401 3.138 20 30 DGEDMN CCN(Cc1cccs1)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343261764 528854547 /nfs/dbraw/zinc/85/45/47/528854547.db2.gz UOQXIQUWCRKKBK-BBRMVZONSA-N 0 1 307.463 3.013 20 30 DGEDMN CC1(C)CN(C[C@H](O)c2ccc(C#N)cc2)[C@@H]1c1ccncc1 ZINC000292445985 529123347 /nfs/dbraw/zinc/12/33/47/529123347.db2.gz NICDVTOYPPAGHB-ZWKOTPCHSA-N 0 1 307.397 3.070 20 30 DGEDMN Cc1nc(C(F)(F)F)ccc1C(=O)[C@H](C#N)c1ccccn1 ZINC000073970073 545719604 /nfs/dbraw/zinc/71/96/04/545719604.db2.gz YJTAFMDAOHZLLY-LLVKDONJSA-N 0 1 305.259 3.294 20 30 DGEDMN O=C(CSc1ccccc1)Nc1nc(-c2ccco2)n[nH]1 ZINC000073973094 545720204 /nfs/dbraw/zinc/72/02/04/545720204.db2.gz WIYUMASQYBPWBS-UHFFFAOYSA-N 0 1 300.343 3.445 20 30 DGEDMN CCc1nn(C)cc1C(=O)C(C#N)c1ccc2ccccc2n1 ZINC000092693977 545849342 /nfs/dbraw/zinc/84/93/42/545849342.db2.gz JRYDZKVQRDBYCH-ZDUSSCGKSA-N 0 1 304.353 3.021 20 30 DGEDMN Cc1ncc(NC(=O)CC2(N3CCOCC3)CCCC2)s1 ZINC000328696365 546480691 /nfs/dbraw/zinc/48/06/91/546480691.db2.gz DGPWQKOQCFYSLN-UHFFFAOYSA-N 0 1 309.435 3.075 20 30 DGEDMN N#CC(C(=O)c1cncc(F)c1)c1nc2cc(F)ccc2s1 ZINC000675270856 548180875 /nfs/dbraw/zinc/18/08/75/548180875.db2.gz QLBNBTCTHHNRCW-LLVKDONJSA-N 0 1 315.304 3.460 20 30 DGEDMN CC(=O)c1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)cc1 ZINC000678763508 548758771 /nfs/dbraw/zinc/75/87/71/548758771.db2.gz AOUOCQCLZKXDLK-ZDUSSCGKSA-N 0 1 321.380 3.050 20 30 DGEDMN C=CCOCCN[C@H]1CCc2c1c(Br)ccc2F ZINC000679575888 548920456 /nfs/dbraw/zinc/92/04/56/548920456.db2.gz DAQDVQJBUSLENP-ZDUSSCGKSA-N 0 1 314.198 3.368 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc2[nH]c(=O)[nH]c2c1 ZINC000680134648 549002956 /nfs/dbraw/zinc/00/29/56/549002956.db2.gz IPQJJQBMDVIHRH-UHFFFAOYSA-N 0 1 309.369 3.123 20 30 DGEDMN C=C(C)[C@H](NCc1ccn(C)c(=O)c1)c1ccc(F)c(F)c1 ZINC000681464822 549208023 /nfs/dbraw/zinc/20/80/23/549208023.db2.gz PWOFTIJOLAULSX-KRWDZBQOSA-N 0 1 304.340 3.071 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CC)[C@H](C)C1)c1ccccc1 ZINC000681738173 549247484 /nfs/dbraw/zinc/24/74/84/549247484.db2.gz HKNGLOVQXLLQTL-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001566847457 1189921030 /nfs/dbraw/zinc/92/10/30/1189921030.db2.gz FIMKPKNMEYITKW-IMKDSULZSA-N 0 1 305.249 3.145 20 30 DGEDMN C[C@@H](OCC1CC1)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422074 574529261 /nfs/dbraw/zinc/52/92/61/574529261.db2.gz SXBNGUUTSCFRLA-SKDRFNHKSA-N 0 1 318.373 3.427 20 30 DGEDMN COc1ccc(CN=Nc2ccc(F)cn2)cc1Br ZINC000731319680 574547146 /nfs/dbraw/zinc/54/71/46/574547146.db2.gz XMLFAVUJLFXUPW-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1ccc(C)c(F)c1 ZINC000731487160 574550473 /nfs/dbraw/zinc/55/04/73/574550473.db2.gz LZFRIXHWKRWBCH-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN Cc1cc(N=NCc2cc(Br)ccc2F)ncn1 ZINC000731935523 574562968 /nfs/dbraw/zinc/56/29/68/574562968.db2.gz DGBILUSDPSBSJK-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2cccc3c2OCCO3)cc1 ZINC000733331725 574617076 /nfs/dbraw/zinc/61/70/76/574617076.db2.gz RCHHWZHTARHGIG-AWEZNQCLSA-N 0 1 324.380 3.038 20 30 DGEDMN C#CCOc1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000733747438 574636392 /nfs/dbraw/zinc/63/63/92/574636392.db2.gz MOQMJWFFYYALCL-UHFFFAOYSA-N 0 1 302.337 3.088 20 30 DGEDMN C#CCN(CCNC(=O)OC(C)(C)C)[C@@H]1CCc2ccccc21 ZINC000733897351 574642075 /nfs/dbraw/zinc/64/20/75/574642075.db2.gz BSNYZZFHAWCDHS-QGZVFWFLSA-N 0 1 314.429 3.134 20 30 DGEDMN C=CC[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccncc1 ZINC000734455805 574661643 /nfs/dbraw/zinc/66/16/43/574661643.db2.gz MVFFGQUSLMVIGT-CQSZACIVSA-N 0 1 310.332 3.144 20 30 DGEDMN Cc1ccc(F)c(C=NNc2nnc(-c3ccccc3)n2C)c1 ZINC000734980058 574684399 /nfs/dbraw/zinc/68/43/99/574684399.db2.gz NKTZWTLPEPNSNS-UHFFFAOYSA-N 0 1 309.348 3.376 20 30 DGEDMN C=CC[C@H](C)C(C)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000735409339 574701100 /nfs/dbraw/zinc/70/11/00/574701100.db2.gz CPQVTWMLFACTHG-VIFPVBQESA-N 0 1 317.397 3.152 20 30 DGEDMN COc1ccc(CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000735793294 574715684 /nfs/dbraw/zinc/71/56/84/574715684.db2.gz SASKGNOQTDAWIF-OAHLLOKOSA-N 0 1 319.364 3.299 20 30 DGEDMN C[C@H](NC(=O)C1(C#N)CCC1)c1nc2ccc(Cl)cc2[nH]1 ZINC000736018218 574727380 /nfs/dbraw/zinc/72/73/80/574727380.db2.gz JZTLLBDOVIMZKC-VIFPVBQESA-N 0 1 302.765 3.087 20 30 DGEDMN C[C@H]1c2ccccc2OCC[N@H+]1[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000736839544 574808567 /nfs/dbraw/zinc/80/85/67/574808567.db2.gz BOERRMFEKDUZRO-SCLBCKFNSA-N 0 1 322.364 3.140 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)Cc2ccccc21 ZINC000736809689 574807086 /nfs/dbraw/zinc/80/70/86/574807086.db2.gz QYQRATLZBXMENI-FZKQIMNGSA-N 0 1 306.365 3.303 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1cnc2ccc(Cl)cn12 ZINC000737430091 574843196 /nfs/dbraw/zinc/84/31/96/574843196.db2.gz KNMNWOGAWAWINH-UHFFFAOYSA-N 0 1 324.775 3.320 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3)C2)cc1 ZINC000739106224 574892397 /nfs/dbraw/zinc/89/23/97/574892397.db2.gz QYBATQCSUIBEHE-ROUUACIJSA-N 0 1 306.365 3.173 20 30 DGEDMN CC(C)CN(CCC#N)CCCOc1ccc2c(c1)OCO2 ZINC000739915198 574915770 /nfs/dbraw/zinc/91/57/70/574915770.db2.gz WCXVMGWQSNBXKF-UHFFFAOYSA-N 0 1 304.390 3.056 20 30 DGEDMN CCCCCCCCN1CCN(C(=O)c2cccn2C)CC1 ZINC000740874857 574944448 /nfs/dbraw/zinc/94/44/48/574944448.db2.gz MZTAJIMNRMIPHV-UHFFFAOYSA-N 0 1 305.466 3.143 20 30 DGEDMN C=C(Cl)COC(=O)[C@@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000741331923 574956032 /nfs/dbraw/zinc/95/60/32/574956032.db2.gz VQYXHYZGAUVRIX-CQSZACIVSA-N 0 1 311.784 3.333 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000741729721 574968261 /nfs/dbraw/zinc/96/82/61/574968261.db2.gz DEVGISPGSAQGBH-UHFFFAOYSA-N 0 1 323.327 3.275 20 30 DGEDMN N#Cc1ccc(F)c(CNC/C=C/c2ccccc2[N+](=O)[O-])c1 ZINC000742381688 574994549 /nfs/dbraw/zinc/99/45/49/574994549.db2.gz CSBHASRDZWTWDU-HWKANZROSA-N 0 1 311.316 3.409 20 30 DGEDMN COc1cc(CN=Nc2cccc(F)c2F)ccc1OC(C)=O ZINC000743443435 575051904 /nfs/dbraw/zinc/05/19/04/575051904.db2.gz YLAMYBYMASVEKO-UHFFFAOYSA-N 0 1 320.295 3.345 20 30 DGEDMN COc1cc(C=NNc2cccc(F)c2F)cc([N+](=O)[O-])c1O ZINC000743443938 575052016 /nfs/dbraw/zinc/05/20/16/575052016.db2.gz YPSVPHBJKUWMRP-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2C[C@]23CCc2ccccc23)nc(C)n1 ZINC000744026253 575069223 /nfs/dbraw/zinc/06/92/23/575069223.db2.gz LRHQEPHSUWBTSD-VPWXQRGCSA-N 0 1 317.392 3.174 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3Cc4ccccc43)[nH]c21 ZINC000744159536 575075415 /nfs/dbraw/zinc/07/54/15/575075415.db2.gz DOYVYDCLZNPLAG-LSDHHAIUSA-N 0 1 301.349 3.388 20 30 DGEDMN C#CCN(CC(=O)N1c2ccccc2C[C@@H]1C)C1CCCCC1 ZINC000744212620 575078718 /nfs/dbraw/zinc/07/87/18/575078718.db2.gz XDKMBOUXCJNMLB-INIZCTEOSA-N 0 1 310.441 3.232 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000745947708 575423072 /nfs/dbraw/zinc/42/30/72/575423072.db2.gz JYWSVOGCGLDXJB-AWEZNQCLSA-N 0 1 302.374 3.169 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc([N+](=O)[O-])cc2)cc1C#N ZINC000746577549 575462787 /nfs/dbraw/zinc/46/27/87/575462787.db2.gz WLQMHVTYQZDMFS-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)c1csc(C)n1 ZINC000746682546 575469891 /nfs/dbraw/zinc/46/98/91/575469891.db2.gz YURNWTYEFOQLHD-UHFFFAOYSA-N 0 1 306.347 3.204 20 30 DGEDMN COc1ccc(CNCc2cc(F)c(F)c(F)c2)cc1C#N ZINC000746740124 575472992 /nfs/dbraw/zinc/47/29/92/575472992.db2.gz CWULQWXFPOCNMX-UHFFFAOYSA-N 0 1 306.287 3.274 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC23CCCC3)cc1 ZINC000747478212 575520101 /nfs/dbraw/zinc/52/01/01/575520101.db2.gz DXIIJCXXSPHMPO-CVEARBPZSA-N 0 1 310.397 3.477 20 30 DGEDMN COc1ccc(Br)c(C=NNc2cc(C)ncn2)c1 ZINC000747750404 575538553 /nfs/dbraw/zinc/53/85/53/575538553.db2.gz SXJSKHHOPUYAOG-UHFFFAOYSA-N 0 1 321.178 3.002 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@@]23CCc2ccccc23)c1 ZINC000748411698 575585316 /nfs/dbraw/zinc/58/53/16/575585316.db2.gz WUTNNHVBMOGPKR-HNAYVOBHSA-N 0 1 304.349 3.106 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@]23CCCc2ccccc23)c1 ZINC000748411912 575585366 /nfs/dbraw/zinc/58/53/66/575585366.db2.gz CQFHCPTZUZDMGI-JXFKEZNVSA-N 0 1 318.376 3.497 20 30 DGEDMN CC(=NN=c1cc[nH]c(N(C)C)n1)c1ccc2cc(O)ccc2c1 ZINC000748788134 575606717 /nfs/dbraw/zinc/60/67/17/575606717.db2.gz NSWUCLPFMPWNEW-UHFFFAOYSA-N 0 1 321.384 3.238 20 30 DGEDMN Cc1ccsc1[C@@H]1C[C@@H]1C(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000748834872 575610226 /nfs/dbraw/zinc/61/02/26/575610226.db2.gz SULGQLYWSYTRAN-MJBXVCDLSA-N 0 1 311.410 3.443 20 30 DGEDMN O=C(NCCc1ccccc1C(F)(F)F)C(F)(F)C(F)F ZINC000749356163 575647829 /nfs/dbraw/zinc/64/78/29/575647829.db2.gz YAIZHVCHHINXLQ-UHFFFAOYSA-N 0 1 317.204 3.265 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C1CCCC1 ZINC000750686435 575746137 /nfs/dbraw/zinc/74/61/37/575746137.db2.gz FECQKGHHAYSISV-JSGCOSHPSA-N 0 1 314.385 3.169 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1cccc2cnccc21 ZINC000751133799 575771385 /nfs/dbraw/zinc/77/13/85/575771385.db2.gz RTPBPDDSZVWRSP-UHFFFAOYSA-N 0 1 308.297 3.295 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2)CC1N=Nc1cccc(C(=O)[O-])c1 ZINC000751516847 575788154 /nfs/dbraw/zinc/78/81/54/575788154.db2.gz NEHRYGGRVUVAPJ-CQSZACIVSA-N 0 1 323.396 3.305 20 30 DGEDMN N#CCOc1ccc(CN2CCOC[C@H]2Cc2ccccc2)cc1 ZINC000752094171 575823064 /nfs/dbraw/zinc/82/30/64/575823064.db2.gz JWIDJBZIAIEEIA-LJQANCHMSA-N 0 1 322.408 3.032 20 30 DGEDMN CC(N=Nc1ccc(Cl)nc1)c1ccc(-n2cncn2)cc1 ZINC000743450665 575910674 /nfs/dbraw/zinc/91/06/74/575910674.db2.gz WGDZPJPZWLTDJL-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN C#CCN1CC[NH+](Cc2cc3oc4ccccc4c3cc2[O-])CC1 ZINC000726960910 576085585 /nfs/dbraw/zinc/08/55/85/576085585.db2.gz HZUIKSZYGGZDHH-UHFFFAOYSA-N 0 1 320.392 3.042 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN=Nc2nc3ccccc3[nH]2)cc1 ZINC000727684197 576139057 /nfs/dbraw/zinc/13/90/57/576139057.db2.gz RVCRDQKHPIKZST-GFCCVEGCSA-N 0 1 305.341 3.300 20 30 DGEDMN Cn1c2ccccc2[nH]c1=NN=Cc1cc(F)c(F)c(F)c1 ZINC000727970065 576156424 /nfs/dbraw/zinc/15/64/24/576156424.db2.gz PQODSHFJKUAABJ-UHFFFAOYSA-N 0 1 304.275 3.437 20 30 DGEDMN CCOc1cc(CN=Nc2nc3ccccc3n2C)ccc1OC ZINC000728776237 576201770 /nfs/dbraw/zinc/20/17/70/576201770.db2.gz NEWQIFUEALAMCE-UHFFFAOYSA-N 0 1 324.384 3.427 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](CCN2CC[C@](C)(C#N)C2)C1 ZINC000852686062 620641139 /nfs/dbraw/zinc/64/11/39/620641139.db2.gz FBFXWUYOADPVCR-CRAIPNDOSA-N 0 1 321.465 3.259 20 30 DGEDMN N#CC(C(=O)CC1CC1)C(=O)Nc1ccc(Cl)cc1Cl ZINC000916932431 620650392 /nfs/dbraw/zinc/65/03/92/620650392.db2.gz VDNXFMHEMQUKKZ-SNVBAGLBSA-N 0 1 311.168 3.441 20 30 DGEDMN N#Cc1cc(C[N@@H+](CCC(=O)[O-])Cc2ccccc2)ccc1F ZINC000692435705 602234506 /nfs/dbraw/zinc/23/45/06/602234506.db2.gz FRFGCFXRMQWJBW-UHFFFAOYSA-N 0 1 312.344 3.174 20 30 DGEDMN CCOc1ccc(O)c(/C=N/C[C@@H]2CCN2Cc2ccccc2)c1 ZINC000852998305 620658420 /nfs/dbraw/zinc/65/84/20/620658420.db2.gz KHMNEKSCVZRUPQ-LANLRWRYSA-N 0 1 324.424 3.484 20 30 DGEDMN C=CCN(CC(=O)NCCc1c(C)cc(C)cc1C)C(C)C ZINC000917167851 620659057 /nfs/dbraw/zinc/65/90/57/620659057.db2.gz DLZKPGOQSSNHEF-UHFFFAOYSA-N 0 1 302.462 3.167 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H]1[C@H](c2ccccc2)C1(F)F ZINC000917216969 620660173 /nfs/dbraw/zinc/66/01/73/620660173.db2.gz FPAVTQABNAODJG-RYUDHWBXSA-N 0 1 323.368 3.311 20 30 DGEDMN C(=NNc1ncnc2[nH]cnc21)c1ccccc1-c1ccccc1 ZINC000917556200 620675840 /nfs/dbraw/zinc/67/58/40/620675840.db2.gz VWDBIGWIVDMVNY-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cc(Cl)ccc1Cl ZINC000917555303 620675410 /nfs/dbraw/zinc/67/54/10/620675410.db2.gz JERAMFVOENTGKF-UHFFFAOYSA-N 0 1 321.171 3.496 20 30 DGEDMN C=CC1CCN(Cn2c(C)c(C)n(CCOC)c2=S)CC1 ZINC000111166511 620678117 /nfs/dbraw/zinc/67/81/17/620678117.db2.gz FGICYVOGLXHWBZ-UHFFFAOYSA-N 0 1 309.479 3.138 20 30 DGEDMN Clc1ccc(NN=Cc2ccc(O[C@H]3CCOC3)cc2)nc1 ZINC000853436531 620694293 /nfs/dbraw/zinc/69/42/93/620694293.db2.gz YUKRKVVGUDVEPO-HNNXBMFYSA-N 0 1 317.776 3.349 20 30 DGEDMN C#CCCCOc1cccnc1N[C@@H]1CN(C)Cc2ccccc21 ZINC000853459348 620696828 /nfs/dbraw/zinc/69/68/28/620696828.db2.gz LEYJLIHOZCNVCM-GOSISDBHSA-N 0 1 321.424 3.472 20 30 DGEDMN C#CCCCOc1cccnc1N[C@H]1CN(C)Cc2ccccc21 ZINC000853459349 620696903 /nfs/dbraw/zinc/69/69/03/620696903.db2.gz LEYJLIHOZCNVCM-SFHVURJKSA-N 0 1 321.424 3.472 20 30 DGEDMN CCCn1cccc1CN=Nc1nc2cc(F)c(F)cc2[nH]1 ZINC000918153043 620699764 /nfs/dbraw/zinc/69/97/64/620699764.db2.gz SOYKYNBMCZTLPK-UHFFFAOYSA-N 0 1 303.316 3.499 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2ccc(Cl)cc2)cc1 ZINC000918185414 620701175 /nfs/dbraw/zinc/70/11/75/620701175.db2.gz MKPXEPPKRDDIHL-UHFFFAOYSA-N 0 1 303.793 3.243 20 30 DGEDMN N#Cc1cc(CN2CCN(CCc3cccs3)CC2)cs1 ZINC000891630693 617857213 /nfs/dbraw/zinc/85/72/13/617857213.db2.gz IVJMIOYDRLDVNS-UHFFFAOYSA-N 0 1 317.483 3.042 20 30 DGEDMN CC[C@H](O)CN(Cc1csc(C#N)c1)Cc1ccccc1 ZINC000892119416 617966560 /nfs/dbraw/zinc/96/65/60/617966560.db2.gz CBWSOEOQFAYXSE-INIZCTEOSA-N 0 1 300.427 3.393 20 30 DGEDMN C#C[C@H](CC)NC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000854068340 620766651 /nfs/dbraw/zinc/76/66/51/620766651.db2.gz ABIBGHXTXNGJJH-CJNGLKHVSA-N 0 1 310.401 3.130 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(C(C)C)nc2C)n1 ZINC000892417671 618061056 /nfs/dbraw/zinc/06/10/56/618061056.db2.gz LKIWKELVOZVCMC-LLVKDONJSA-N 0 1 300.387 3.163 20 30 DGEDMN C=CCn1cc(CN[C@@H](C)Cc2ccccc2SCC)nn1 ZINC000926613360 618063315 /nfs/dbraw/zinc/06/33/15/618063315.db2.gz DZIVBPNXUXXOAC-AWEZNQCLSA-N 0 1 316.474 3.297 20 30 DGEDMN CCCCCNC(=O)[C@@H](C#N)C(=O)CC(C)(C)CC(F)F ZINC000892465864 618072744 /nfs/dbraw/zinc/07/27/44/618072744.db2.gz VCOQJYBUCYQTMJ-NSHDSACASA-N 0 1 302.365 3.073 20 30 DGEDMN N#C[C@H](C(=O)c1csc(N2CCCCC2)n1)c1ccccn1 ZINC000892482107 618078218 /nfs/dbraw/zinc/07/82/18/618078218.db2.gz VMMPZZCGAYOPNC-LBPRGKRZSA-N 0 1 312.398 3.018 20 30 DGEDMN N#CC(C(=O)c1csc(N2CCCCC2)n1)c1ccccn1 ZINC000892482107 618078223 /nfs/dbraw/zinc/07/82/23/618078223.db2.gz VMMPZZCGAYOPNC-LBPRGKRZSA-N 0 1 312.398 3.018 20 30 DGEDMN Cc1cc(C)c(CC(=O)C(C#N)C(=O)NC2CCCCC2)s1 ZINC000892491754 618081385 /nfs/dbraw/zinc/08/13/85/618081385.db2.gz MRDMXGUKJURCNS-AWEZNQCLSA-N 0 1 318.442 3.065 20 30 DGEDMN C[C@@H]1CO[C@H](C(=O)C(C#N)c2nc(-c3ccccc3)cs2)C1 ZINC000892523194 618098950 /nfs/dbraw/zinc/09/89/50/618098950.db2.gz XZHPFFOPZISJRR-WHOFXGATSA-N 0 1 312.394 3.411 20 30 DGEDMN COC(=O)c1cc(CN[C@H]2CCc3cc(C#N)ccc32)cs1 ZINC000894589239 618396083 /nfs/dbraw/zinc/39/60/83/618396083.db2.gz MOWJTWRQUNEBLK-HNNXBMFYSA-N 0 1 312.394 3.183 20 30 DGEDMN C#C[C@H](NCc1n[nH]c(C)c1C)c1ccc(Br)cc1 ZINC000894870037 618483915 /nfs/dbraw/zinc/48/39/15/618483915.db2.gz HHPMNZQLLOMQDI-AWEZNQCLSA-N 0 1 318.218 3.253 20 30 DGEDMN C(#Cc1ccccc1)CNCc1ccnc(N2CCCCC2)c1 ZINC000893327168 618516158 /nfs/dbraw/zinc/51/61/58/618516158.db2.gz HUMDFXWICFSKOT-UHFFFAOYSA-N 0 1 305.425 3.213 20 30 DGEDMN C#CC1CCN(Cc2ccc(C(F)(F)F)nc2N(C)C)CC1 ZINC000895116992 618541610 /nfs/dbraw/zinc/54/16/10/618541610.db2.gz YTGYVAMXYCDRMH-UHFFFAOYSA-N 0 1 311.351 3.012 20 30 DGEDMN Cc1ccn(CN(C)[C@H]2CCC[C@H]2C(C)(C)C)c(=O)c1C#N ZINC000841687873 618630526 /nfs/dbraw/zinc/63/05/26/618630526.db2.gz AFMULMAQHPQTEW-CVEARBPZSA-N 0 1 301.434 3.133 20 30 DGEDMN CCOC(=O)[C@@]1(C(C)C)CC[N@@H+](Cc2csc(C#N)c2)C1 ZINC000894068365 618704004 /nfs/dbraw/zinc/70/40/04/618704004.db2.gz VDQPRERUJYLPEN-INIZCTEOSA-N 0 1 306.431 3.031 20 30 DGEDMN CCc1[nH]c(CN[C@H](C)c2cccc(C#N)c2)cc1C(=O)OC ZINC000894307685 618759138 /nfs/dbraw/zinc/75/91/38/618759138.db2.gz KRVSYDRMOGDSGJ-GFCCVEGCSA-N 0 1 311.385 3.086 20 30 DGEDMN N#C[C@H]1CCC[C@@H](NCC(=O)Nc2cccc3ccccc32)C1 ZINC000895488636 618790854 /nfs/dbraw/zinc/79/08/54/618790854.db2.gz RSXGFRCGECXAKU-GOEBONIOSA-N 0 1 307.397 3.450 20 30 DGEDMN C=CCC1(O)CCN(Cc2cccc(OC)c2OC(C)C)CC1 ZINC000895836209 618840171 /nfs/dbraw/zinc/84/01/71/618840171.db2.gz YPGIUXMBFQCEAS-UHFFFAOYSA-N 0 1 319.445 3.385 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H](c2ccc(C)o2)N2CCCC2)cc1 ZINC000896064907 618869633 /nfs/dbraw/zinc/86/96/33/618869633.db2.gz KBZFNTZIWVNVML-SFHVURJKSA-N 0 1 322.408 3.136 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H](c3nc4c(s3)CCC4)C2)n1 ZINC000896517123 618923829 /nfs/dbraw/zinc/92/38/29/618923829.db2.gz JRQQKAPKUFATRL-CYBMUJFWSA-N 0 1 324.453 3.278 20 30 DGEDMN COC(=O)c1csc(CNCc2ccc(C)c(C#N)c2)c1 ZINC000896880422 618974271 /nfs/dbraw/zinc/97/42/71/618974271.db2.gz CWFHNCHUJVHXQY-UHFFFAOYSA-N 0 1 300.383 3.005 20 30 DGEDMN C[C@@]1(CN2CCO[C@H](c3ccc(C#N)cc3)C2)CCCS1 ZINC000897596416 619164609 /nfs/dbraw/zinc/16/46/09/619164609.db2.gz PRPURQLCWPIBIJ-IRXDYDNUSA-N 0 1 302.443 3.217 20 30 DGEDMN Cc1ccc(CN2CCO[C@H](c3ccc(C#N)cc3)C2)c(O)c1 ZINC000897596602 619164698 /nfs/dbraw/zinc/16/46/98/619164698.db2.gz RMAWUKACYBEWDO-IBGZPJMESA-N 0 1 308.381 3.146 20 30 DGEDMN C[C@]1(CN2CCO[C@H](c3ccc(C#N)cc3)C2)CCCS1 ZINC000897596414 619164742 /nfs/dbraw/zinc/16/47/42/619164742.db2.gz PRPURQLCWPIBIJ-DLBZAZTESA-N 0 1 302.443 3.217 20 30 DGEDMN O=C1C=COC2(CCN(Cc3cc4ccncc4s3)CC2)C1 ZINC000897599576 619165090 /nfs/dbraw/zinc/16/50/90/619165090.db2.gz QZRFKYWAENXROG-UHFFFAOYSA-N 0 1 314.410 3.134 20 30 DGEDMN COc1ccccc1/C=C/CN1CCC2(CC1)CC(=O)C=CO2 ZINC000897602199 619165759 /nfs/dbraw/zinc/16/57/59/619165759.db2.gz ISXPKGBMLNEYAK-GQCTYLIASA-N 0 1 313.397 3.046 20 30 DGEDMN COCCOc1ccc(CN(C)Cc2cc(C#N)cs2)cc1 ZINC000897625752 619168048 /nfs/dbraw/zinc/16/80/48/619168048.db2.gz NDWNTXOWOKCOPA-UHFFFAOYSA-N 0 1 316.426 3.277 20 30 DGEDMN C[C@H](CCc1ccccc1[N+](=O)[O-])NCc1nc(C#N)cs1 ZINC000897629141 619169173 /nfs/dbraw/zinc/16/91/73/619169173.db2.gz RBQGMFPGHZOTRO-LLVKDONJSA-N 0 1 316.386 3.034 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccnn1C1CCC1 ZINC000899397137 619335458 /nfs/dbraw/zinc/33/54/58/619335458.db2.gz LRSUAHUZLMYQEN-QPJJXVBHSA-N 0 1 313.313 3.118 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1ccnn1C1CCC1 ZINC000899397463 619335750 /nfs/dbraw/zinc/33/57/50/619335750.db2.gz QCFQAYRZUQBKCO-SNAWJCMRSA-N 0 1 304.296 3.488 20 30 DGEDMN O=C([C@H]1CCCC[N@@H+]1C1CCCC1)N([O-])Cc1ccc(F)cc1 ZINC000900095326 619404196 /nfs/dbraw/zinc/40/41/96/619404196.db2.gz YQMKJRINGGIKIU-QGZVFWFLSA-N 0 1 320.408 3.341 20 30 DGEDMN O=C([C@H]1CCCC[N@H+]1C1CCCC1)N([O-])Cc1ccc(F)cc1 ZINC000900095326 619404197 /nfs/dbraw/zinc/40/41/97/619404197.db2.gz YQMKJRINGGIKIU-QGZVFWFLSA-N 0 1 320.408 3.341 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)cc1 ZINC000900418957 619427862 /nfs/dbraw/zinc/42/78/62/619427862.db2.gz STFKYSCEOHHMTJ-KSSFIOAISA-N 0 1 322.412 3.331 20 30 DGEDMN CC(C)N(Cc1cn(CC2CCC(C#N)CC2)nn1)C(C)C ZINC000900581085 619438731 /nfs/dbraw/zinc/43/87/31/619438731.db2.gz OTNQWECPDHZCCV-UHFFFAOYSA-N 0 1 303.454 3.227 20 30 DGEDMN C(=[NH+][N-]c1nc2cccnc2s1)c1cnn(CC2CCC2)c1 ZINC000901265972 619482412 /nfs/dbraw/zinc/48/24/12/619482412.db2.gz IFUXUANCSBHGQU-UHFFFAOYSA-N 0 1 312.402 3.134 20 30 DGEDMN N#Cc1ccnc(CSc2n[nH]c(CCC3CCCC3)n2)c1 ZINC000901448612 619502642 /nfs/dbraw/zinc/50/26/42/619502642.db2.gz VWNOSJFUPKZUFS-UHFFFAOYSA-N 0 1 313.430 3.486 20 30 DGEDMN N#Cc1ccnc(CSc2nc(CCC3CCCC3)n[nH]2)c1 ZINC000901448612 619502643 /nfs/dbraw/zinc/50/26/43/619502643.db2.gz VWNOSJFUPKZUFS-UHFFFAOYSA-N 0 1 313.430 3.486 20 30 DGEDMN C=CCOc1ccccc1C[NH2+]Cc1cc(C(=O)[O-])c(CC)o1 ZINC000902249771 619610126 /nfs/dbraw/zinc/61/01/26/619610126.db2.gz NGOVTULHACBRBL-UHFFFAOYSA-N 0 1 315.369 3.395 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ccc(O[C@@H](C)C(=O)[O-])cc2)C1 ZINC000902280815 619610343 /nfs/dbraw/zinc/61/03/43/619610343.db2.gz FTVFBMXXWUOZAA-GJZGRUSLSA-N 0 1 303.402 3.327 20 30 DGEDMN C[C@@H](NC[C@H](c1ccco1)N1CCCCC1)c1cc(C#N)ccn1 ZINC000902723349 619665935 /nfs/dbraw/zinc/66/59/35/619665935.db2.gz NJPJKFBSJKEGAF-CRAIPNDOSA-N 0 1 324.428 3.424 20 30 DGEDMN CCc1nn(C)c(OC)c1CN[C@H](CC)c1cccc(C#N)c1 ZINC000902849043 619683662 /nfs/dbraw/zinc/68/36/62/619683662.db2.gz DWPWMNYNHGJWAK-MRXNPFEDSA-N 0 1 312.417 3.104 20 30 DGEDMN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1cc(C#N)ccn1 ZINC000902848832 619683827 /nfs/dbraw/zinc/68/38/27/619683827.db2.gz BBXGUDJRRDAURL-POZUXBRTSA-N 0 1 307.397 3.382 20 30 DGEDMN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1cc(C#N)ccn1 ZINC000902999871 619702907 /nfs/dbraw/zinc/70/29/07/619702907.db2.gz QYCCBJGTRYBLDW-NVGCLXPQSA-N 0 1 313.426 3.368 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C2CC2)[nH]1)[C@]1(C)CCCCO1 ZINC000903004475 619703678 /nfs/dbraw/zinc/70/36/78/619703678.db2.gz BVYADHALQUMVBG-PBHICJAKSA-N 0 1 304.438 3.066 20 30 DGEDMN CC(C)[C@H](O)[C@@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccccc1 ZINC000903055096 619707015 /nfs/dbraw/zinc/70/70/15/619707015.db2.gz XJCRJJDPCRZUPV-JVPBZIDWSA-N 0 1 309.413 3.362 20 30 DGEDMN CC(C)[C@H](N[C@@H]1CCCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066262 619709542 /nfs/dbraw/zinc/70/95/42/619709542.db2.gz ULBMUABOUSTNIT-MSOLQXFVSA-N 0 1 323.444 3.441 20 30 DGEDMN CC[C@H](NCC1(C#N)CC1)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000903106059 619717544 /nfs/dbraw/zinc/71/75/44/619717544.db2.gz MBIVWHBXZFNUJU-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](NCc1cnn(CC3CCC3)c1)CC2 ZINC000903240332 619742649 /nfs/dbraw/zinc/74/26/49/619742649.db2.gz RKDFMSAGZDPBPQ-LJQANCHMSA-N 0 1 306.413 3.332 20 30 DGEDMN C[C@@H](NCc1nc(C#N)cs1)c1cc2c(cc1O)CCCC2 ZINC000903263320 619747377 /nfs/dbraw/zinc/74/73/77/619747377.db2.gz LVVMRRQHEKWQKZ-LLVKDONJSA-N 0 1 313.426 3.450 20 30 DGEDMN O=C([C@H]1CCc2[nH]cnc2C1)N(O)Cc1cccc2ccccc21 ZINC000904172440 619892150 /nfs/dbraw/zinc/89/21/50/619892150.db2.gz AUNXCAXXSIKHSY-AWEZNQCLSA-N 0 1 321.380 3.086 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2c(C3CC3)cnn2C)c1 ZINC000905077887 619968018 /nfs/dbraw/zinc/96/80/18/619968018.db2.gz IJVVJMUOEIIBNJ-KTKRTIGZSA-N 0 1 309.413 3.255 20 30 DGEDMN C[C@@](O)(CNCc1cccc(F)c1F)C(F)(F)C(F)(F)F ZINC000905403335 619994285 /nfs/dbraw/zinc/99/42/85/619994285.db2.gz GGQIURSCADIYSI-SNVBAGLBSA-N 0 1 319.220 3.003 20 30 DGEDMN N#C[C@@H](OC(=O)c1[nH]nc2c1CCCCC2)C1CCCCC1 ZINC000789176341 625388391 /nfs/dbraw/zinc/38/83/91/625388391.db2.gz MGPJFGZZSJMBCY-OAHLLOKOSA-N 0 1 301.390 3.308 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(CN3CCCCC3)o2)ncc1C#N ZINC000906005342 620050024 /nfs/dbraw/zinc/05/00/24/620050024.db2.gz MWQUPEKAFUFHHV-UHFFFAOYSA-N 0 1 324.384 3.093 20 30 DGEDMN O=C1C=COC2(CCN([C@H]3C[C@@H]3c3cccc(F)c3)CC2)C1 ZINC000906598758 620110046 /nfs/dbraw/zinc/11/00/46/620110046.db2.gz ZHKVBKFAOXSBPW-SJORKVTESA-N 0 1 301.361 3.019 20 30 DGEDMN Cc1ccc2nc(CNC(=O)[C@]3(C#N)CC4CCC3CC4)[nH]c2c1 ZINC000906868226 620125517 /nfs/dbraw/zinc/12/55/17/620125517.db2.gz ILFSLUOXKIEFKU-MHVYXZCWSA-N 0 1 322.412 3.208 20 30 DGEDMN Cc1cc(C2CCN(C(=O)c3cc(C)c(C#N)c(C)c3)CC2)n[nH]1 ZINC000907205490 620142316 /nfs/dbraw/zinc/14/23/16/620142316.db2.gz GFOHTZLYHDBMTE-UHFFFAOYSA-N 0 1 322.412 3.226 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000907264097 620147809 /nfs/dbraw/zinc/14/78/09/620147809.db2.gz WWYHGJSWXPHDAP-BZPMIXESSA-N 0 1 324.384 3.005 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C[C@@H]2CC[C@@H](C)C2)c1 ZINC000151590885 620465552 /nfs/dbraw/zinc/46/55/52/620465552.db2.gz VTILRGZIGGIJQU-XJKCOSOUSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000151626830 620466722 /nfs/dbraw/zinc/46/67/22/620466722.db2.gz APYWOXXQBPAZMC-OAHLLOKOSA-N 0 1 302.374 3.169 20 30 DGEDMN CCc1noc(CC)c1CNCc1ccc(O[C@@H](C)C#N)cc1 ZINC000152791710 620523555 /nfs/dbraw/zinc/52/35/55/620523555.db2.gz NASCMEHHDYHCFB-ZDUSSCGKSA-N 0 1 313.401 3.380 20 30 DGEDMN CON=Cc1ccc(C(=O)Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC000914604127 620544757 /nfs/dbraw/zinc/54/47/57/620544757.db2.gz BXTAZRFSKOILKY-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN CCc1ccc(C(C)N=Nc2ccc(S(C)(=O)=O)cc2)cc1 ZINC000914836085 620556413 /nfs/dbraw/zinc/55/64/13/620556413.db2.gz YOMKPKHJBFJFAR-UHFFFAOYSA-N 0 1 316.426 3.489 20 30 DGEDMN COc1ccc(C=NNc2ccc(C(F)(F)F)cn2)cc1O ZINC000915917477 620606952 /nfs/dbraw/zinc/60/69/52/620606952.db2.gz CYXHZYDPHOWHRR-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN O=C(Nc1cccc(C=NNc2ccccn2)c1)C(F)(F)F ZINC000915945317 620607909 /nfs/dbraw/zinc/60/79/09/620607909.db2.gz ZQMSUCJTBWNTMS-UHFFFAOYSA-N 0 1 308.263 3.028 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNc1ccccc1Cl)O2 ZINC000915934905 620608634 /nfs/dbraw/zinc/60/86/34/620608634.db2.gz GPRXXCLJEFQKPS-UHFFFAOYSA-N 0 1 302.717 3.355 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1cnn(-c2ccccc2)c1 ZINC000915971211 620609995 /nfs/dbraw/zinc/60/99/95/620609995.db2.gz IYXCHGTWXCREQF-UHFFFAOYSA-N 0 1 316.368 3.205 20 30 DGEDMN CCCCOc1ccc(C=NNCCN2CCCCC2)cc1 ZINC000915973234 620610193 /nfs/dbraw/zinc/61/01/93/620610193.db2.gz DERGVFFAEGTSRF-UHFFFAOYSA-N 0 1 303.450 3.275 20 30 DGEDMN Cn1ncc2c1nc[nH+]c2[N-]N=Cc1c(Cl)cccc1Cl ZINC000915964427 620611458 /nfs/dbraw/zinc/61/14/58/620611458.db2.gz XCNKGPKVMRRBLD-UHFFFAOYSA-N 0 1 321.171 3.116 20 30 DGEDMN CC(=NNc1ccccn1)c1cc(Br)ccc1O ZINC000916276046 620623823 /nfs/dbraw/zinc/62/38/23/620623823.db2.gz IDJRMTZQGUGXKZ-UHFFFAOYSA-N 0 1 306.163 3.386 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCc3ccc(C(C)C)cc3C2)CC1 ZINC000855381656 620897204 /nfs/dbraw/zinc/89/72/04/620897204.db2.gz GDXNMSPBKXCNHB-UHFFFAOYSA-N 0 1 324.468 3.040 20 30 DGEDMN CN(C)c1ccc(CNCc2ccc(C#N)cc2F)cc1F ZINC000113338204 620904368 /nfs/dbraw/zinc/90/43/68/620904368.db2.gz UEZQRBKDFLHQPN-UHFFFAOYSA-N 0 1 301.340 3.192 20 30 DGEDMN CC[C@@H](C#N)Oc1cc(CNCc2cccnc2)ccc1OC ZINC000113347946 620905161 /nfs/dbraw/zinc/90/51/61/620905161.db2.gz PAVRWSDNWCUUHA-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN Cc1ccc2nc(CNCc3c(C)cc(C#N)cc3C)cn2c1 ZINC000921688466 620934365 /nfs/dbraw/zinc/93/43/65/620934365.db2.gz YPKMQKAYCUFZQZ-UHFFFAOYSA-N 0 1 304.397 3.421 20 30 DGEDMN C[C@]1(C(=O)[C@@H](C#N)c2nc3cc(F)ccc3s2)CCCO1 ZINC000756276824 620940159 /nfs/dbraw/zinc/94/01/59/620940159.db2.gz SBZQFYVAUFZFLP-MEBBXXQBSA-N 0 1 304.346 3.181 20 30 DGEDMN CN(C)C[C@H](NCc1csc(C#N)c1)c1ccc(F)cc1 ZINC000922129156 620992031 /nfs/dbraw/zinc/99/20/31/620992031.db2.gz KZMDVPPGHUUBRQ-INIZCTEOSA-N 0 1 303.406 3.151 20 30 DGEDMN Cn1cc(CNCc2ccc(CC#N)cc2)c(C2CCCC2)n1 ZINC000922206156 621005602 /nfs/dbraw/zinc/00/56/02/621005602.db2.gz AQPJWOKUFVJLFN-UHFFFAOYSA-N 0 1 308.429 3.434 20 30 DGEDMN C[C@@H]1CN(C(C)(C)C/N=C/c2cc(Cl)ccc2O)C[C@@H](C)O1 ZINC000011115524 621006644 /nfs/dbraw/zinc/00/66/44/621006644.db2.gz DBNWBSZCHRQYEP-VHCMUOAASA-N 0 1 324.852 3.352 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cnc(C(F)(F)F)nc1 ZINC000922235213 621010645 /nfs/dbraw/zinc/01/06/45/621010645.db2.gz ATGCSFCHKFBMKL-UHFFFAOYSA-N 0 1 320.318 3.274 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNC[C@H](n1cccn1)C(F)(F)F ZINC000922282176 621016787 /nfs/dbraw/zinc/01/67/87/621016787.db2.gz DXGKDNWZINRGIK-HNNXBMFYSA-N 0 1 322.334 3.265 20 30 DGEDMN Cn1cc(C=[NH+][N-]c2nc3cccnc3s2)c(C(C)(C)C)n1 ZINC000857219041 621069959 /nfs/dbraw/zinc/06/99/59/621069959.db2.gz VEAICBSVXWBOAW-UHFFFAOYSA-N 0 1 314.418 3.168 20 30 DGEDMN C=C1CCC(CNCc2csc(N(CC)C(C)=O)n2)CC1 ZINC000922713797 621088423 /nfs/dbraw/zinc/08/84/23/621088423.db2.gz LBYYQZZGOCROHQ-UHFFFAOYSA-N 0 1 307.463 3.352 20 30 DGEDMN COc1cc(OC)c(CNCc2ccc(C)c(C#N)c2)cc1F ZINC000922760243 621095664 /nfs/dbraw/zinc/09/56/64/621095664.db2.gz QEESITGQWIBIGP-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN COc1cc(F)c(CNCc2ccc(C)c(C#N)c2)cc1OC ZINC000922760669 621096077 /nfs/dbraw/zinc/09/60/77/621096077.db2.gz YBETUAZCZDEBTE-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN N#Cc1cccc(CNCC(=O)N2CCCCc3ccccc32)c1 ZINC000922832029 621105310 /nfs/dbraw/zinc/10/53/10/621105310.db2.gz WDWKSBXQUFVMMO-UHFFFAOYSA-N 0 1 319.408 3.017 20 30 DGEDMN CCc1ccc(NC(=O)[C@@H](C#N)C(=O)c2coc(C3CC3)n2)cc1 ZINC000788080627 621118132 /nfs/dbraw/zinc/11/81/32/621118132.db2.gz GEDSXOIHCSDBEX-AWEZNQCLSA-N 0 1 323.352 3.076 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2coc(C3CC3)n2)cc1 ZINC000788080627 621118135 /nfs/dbraw/zinc/11/81/35/621118135.db2.gz GEDSXOIHCSDBEX-AWEZNQCLSA-N 0 1 323.352 3.076 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3ccc(Cl)nc32)cc1C#N ZINC000857907845 621130419 /nfs/dbraw/zinc/13/04/19/621130419.db2.gz UKNKYRHRJMHIIK-AWEZNQCLSA-N 0 1 313.788 3.392 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1csc(-c2ccsc2)n1 ZINC000858334709 621177055 /nfs/dbraw/zinc/17/70/55/621177055.db2.gz XTPBUOSGSSGRHA-ZDUSSCGKSA-N 0 1 306.456 3.390 20 30 DGEDMN C#CC[C@H](COC)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000858334534 621177092 /nfs/dbraw/zinc/17/70/92/621177092.db2.gz BBRGRPVOJVTZKJ-GFCCVEGCSA-N 0 1 305.324 3.353 20 30 DGEDMN CC[C@@H](CC(F)F)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000838785676 621280551 /nfs/dbraw/zinc/28/05/51/621280551.db2.gz ANYWPOBMKJDZNS-QWRGUYRKSA-N 0 1 324.327 3.024 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C1CCC1 ZINC000838787582 621281069 /nfs/dbraw/zinc/28/10/69/621281069.db2.gz PFCRJECFCPECRJ-OCCSQVGLSA-N 0 1 314.385 3.169 20 30 DGEDMN C#CCNC(=O)[C@@H](C)N1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000839186067 621345355 /nfs/dbraw/zinc/34/53/55/621345355.db2.gz HZQQPYKHCIWOFW-SJLPKXTDSA-N 0 1 310.441 3.132 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)CC1CCC(F)(F)CC1 ZINC000860463778 621408141 /nfs/dbraw/zinc/40/81/41/621408141.db2.gz HFIANNICBHVCKG-ZDUSSCGKSA-N 0 1 314.376 3.217 20 30 DGEDMN N#CC(C(=O)C12CC(C1)C2)c1nc2ccccc2n1C(F)F ZINC000860478098 621409363 /nfs/dbraw/zinc/40/93/63/621409363.db2.gz PVLYZOMXZDQFCY-MAXPVNGDSA-N 0 1 301.296 3.408 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3C[C@H]3c3ccco3)[nH]c21 ZINC000860555209 621417100 /nfs/dbraw/zinc/41/71/00/621417100.db2.gz GIXIXLIXLGEBHK-JHJVBQTASA-N 0 1 305.337 3.444 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-n3cccc3)nc2)n1 ZINC000753390311 621438890 /nfs/dbraw/zinc/43/88/90/621438890.db2.gz MEQXOLLPKIVBQK-ZDUSSCGKSA-N 0 1 308.366 3.127 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC2CC(OC(C)(C)C)C2)nc(C)n1 ZINC000753905696 621470724 /nfs/dbraw/zinc/47/07/24/621470724.db2.gz LMCVELREAPUJLC-YMAMQOFZSA-N 0 1 315.417 3.254 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(OC(C)C)cc1 ZINC000753927195 621471801 /nfs/dbraw/zinc/47/18/01/621471801.db2.gz BMDOXJUVWWXXSL-CALCHBBNSA-N 0 1 314.433 3.058 20 30 DGEDMN CCC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(Cl)cc1 ZINC000753936955 621472648 /nfs/dbraw/zinc/47/26/48/621472648.db2.gz XKQPWXACKONQLP-GJZGRUSLSA-N 0 1 304.825 3.314 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N[C@@H]1CCN(Cc2ccccc2)C1 ZINC000753997906 621478020 /nfs/dbraw/zinc/47/80/20/621478020.db2.gz IECHIEZDSRWSSS-GOSISDBHSA-N 0 1 319.408 3.447 20 30 DGEDMN CC(=O)c1ccc(C#N)c(NCc2n[nH]c(-c3ccccc3)n2)c1 ZINC000754025350 621480671 /nfs/dbraw/zinc/48/06/71/621480671.db2.gz NVPCHOMFBBDBJP-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN CC(=O)c1ccc(C#N)c(NCc2nc(-c3ccccc3)n[nH]2)c1 ZINC000754025350 621480672 /nfs/dbraw/zinc/48/06/72/621480672.db2.gz NVPCHOMFBBDBJP-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN C[C@H]1CCN(Cn2ccc(-c3ccc(C#N)cc3)n2)CCS1 ZINC000754874527 621539282 /nfs/dbraw/zinc/53/92/82/621539282.db2.gz XSCPXPOZVYDVJZ-AWEZNQCLSA-N 0 1 312.442 3.207 20 30 DGEDMN CCc1[nH+]c(CN=Nc2ccccc2C(=O)[O-])c2ccccn21 ZINC000755600266 621580073 /nfs/dbraw/zinc/58/00/73/621580073.db2.gz HLKRVFWDWRVGJK-UHFFFAOYSA-N 0 1 308.341 3.041 20 30 DGEDMN COc1cccc(OC)c1CN=Nc1cnnc2ccccc12 ZINC000755734008 621589433 /nfs/dbraw/zinc/58/94/33/621589433.db2.gz OCIZMUJGTWRBTE-UHFFFAOYSA-N 0 1 308.341 3.093 20 30 DGEDMN Cc1cc(C)n2c(CN=Nc3cccc(F)c3F)cnc2n1 ZINC000755750847 621591110 /nfs/dbraw/zinc/59/11/10/621591110.db2.gz QMHYBZZWUNBZLF-UHFFFAOYSA-N 0 1 301.300 3.070 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cc(-c3ccc(C)c(C)c3)[nH]n2)C1 ZINC000862572979 621603189 /nfs/dbraw/zinc/60/31/89/621603189.db2.gz RKVHSZNQWPECNA-OAHLLOKOSA-N 0 1 307.397 3.179 20 30 DGEDMN CCCCCCCCC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862960778 621629598 /nfs/dbraw/zinc/62/95/98/621629598.db2.gz OJQGMYQJFABXOJ-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN COc1ccc(N=NCc2ccc(OC)c(OC3CC3)c2)nc1 ZINC000863108097 621639283 /nfs/dbraw/zinc/63/92/83/621639283.db2.gz GOBQXZDKNFAOFL-UHFFFAOYSA-N 0 1 313.357 3.086 20 30 DGEDMN Cc1cccc(NN=Cc2ccc(OC[C@@H]3CCCO3)cc2)n1 ZINC000863161737 621643796 /nfs/dbraw/zinc/64/37/96/621643796.db2.gz IXJMUPPFVLYODN-KRWDZBQOSA-N 0 1 311.385 3.394 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)c1cccc(Cl)c1 ZINC000756551536 621647167 /nfs/dbraw/zinc/64/71/67/621647167.db2.gz NSNKCZCNXWATCZ-CJNGLKHVSA-N 0 1 305.805 3.084 20 30 DGEDMN CC(=NNc1ccccn1)c1ccc(Br)cc1O ZINC000756823197 621666580 /nfs/dbraw/zinc/66/65/80/621666580.db2.gz HWPWHDXBKRXGBG-UHFFFAOYSA-N 0 1 306.163 3.386 20 30 DGEDMN Clc1cnccc1NN=Cc1ccc(N2CCCCC2)o1 ZINC000756842066 621667462 /nfs/dbraw/zinc/66/74/62/621667462.db2.gz KJPRWRFIJFSLOC-UHFFFAOYSA-N 0 1 304.781 3.186 20 30 DGEDMN Fc1ccc2c(c1)C(N=Nc1ccncc1Cl)CCCO2 ZINC000756839696 621667656 /nfs/dbraw/zinc/66/76/56/621667656.db2.gz SQANCHFVEJOMPH-UHFFFAOYSA-N 0 1 305.740 3.285 20 30 DGEDMN COc1cc(C)c(C(C)N=Nc2ccncc2Cl)cc1OC ZINC000756839199 621667692 /nfs/dbraw/zinc/66/76/92/621667692.db2.gz PQXQMPXFKZYQLD-UHFFFAOYSA-N 0 1 319.792 3.319 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@H]2CCCC[C@H]21)C1CCCC1 ZINC000756910915 621672174 /nfs/dbraw/zinc/67/21/74/621672174.db2.gz DWQNJFCKKOGGBW-SJLPKXTDSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#Cc1cccc(-c2cnn(C[C@H]3CN(C(C)C)CCO3)c2)c1 ZINC000863690587 621700997 /nfs/dbraw/zinc/70/09/97/621700997.db2.gz FEDSXAOJTWKBHC-HXUWFJFHSA-N 0 1 323.440 3.031 20 30 DGEDMN CC(=NNc1ccc(Br)cn1)c1ccc(O)cc1O ZINC000757461405 621713490 /nfs/dbraw/zinc/71/34/90/621713490.db2.gz NLNYEGAIVDTSSN-UHFFFAOYSA-N 0 1 322.162 3.091 20 30 DGEDMN O=C(/C=C\c1cc2c(c(Cl)c1)OCO2)c1ccc(O)cc1O ZINC000757472166 621714931 /nfs/dbraw/zinc/71/49/31/621714931.db2.gz LQUXDDHBFXJXNL-RJRFIUFISA-N 0 1 318.712 3.376 20 30 DGEDMN C[C@H]1CC(N=Nc2cccc(C(=O)[O-])c2)C[N@H+]1Cc1ccccc1 ZINC000757561097 621724837 /nfs/dbraw/zinc/72/48/37/621724837.db2.gz OEGBCTASNCFPMH-AWEZNQCLSA-N 0 1 323.396 3.447 20 30 DGEDMN C[C@H](CC(=O)N(C)Cc1cccc(Cl)c1)NCC(C)(C)C#N ZINC000757904721 621745817 /nfs/dbraw/zinc/74/58/17/621745817.db2.gz KUGKKIHDGZROFU-CYBMUJFWSA-N 0 1 321.852 3.216 20 30 DGEDMN CCC1N=NC(=S)N1N=Cc1cc(Cl)c(O)cc1Cl ZINC000758094321 621757975 /nfs/dbraw/zinc/75/79/75/621757975.db2.gz CPEMOGHOJWQOQI-UHFFFAOYSA-N 0 1 317.201 3.024 20 30 DGEDMN C=C(C)C[C@@H](/N=C\c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000864346967 621759403 /nfs/dbraw/zinc/75/94/03/621759403.db2.gz HOPGHFWUFWKERA-BKAYVGFPSA-N 0 1 318.417 3.165 20 30 DGEDMN C=C(C)C[C@H](/N=C\c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000864346968 621759433 /nfs/dbraw/zinc/75/94/33/621759433.db2.gz HOPGHFWUFWKERA-JJBLJPBOSA-N 0 1 318.417 3.165 20 30 DGEDMN Clc1cccc2c1CCCC2=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000758128090 621760072 /nfs/dbraw/zinc/76/00/72/621760072.db2.gz DCTXKPUODXPTNY-HZPDHXFCSA-N 0 1 316.836 3.240 20 30 DGEDMN CCCN(Cn1ccc(C)c(C#N)c1=O)[C@H]1CCc2ccccc21 ZINC000758203086 621765819 /nfs/dbraw/zinc/76/58/19/621765819.db2.gz KATHWMXOUUTADP-IBGZPJMESA-N 0 1 321.424 3.385 20 30 DGEDMN Cc1ccc(C)c([C@@H]2CCCN2Cn2cccc(C#N)c2=O)c1 ZINC000758233989 621768939 /nfs/dbraw/zinc/76/89/39/621768939.db2.gz ADVIRNDXLOXWLG-SFHVURJKSA-N 0 1 307.397 3.131 20 30 DGEDMN Cc1ccc(C)c([C@@H]2CCCN2Cn2ccc(C)c(C#N)c2=O)c1 ZINC000758237964 621769473 /nfs/dbraw/zinc/76/94/73/621769473.db2.gz RUDGYEYAGLADQY-IBGZPJMESA-N 0 1 321.424 3.440 20 30 DGEDMN COc1cc2c(cc1/C=C\C(=O)c1ccc(O)c(F)c1)OCO2 ZINC000758932149 621812364 /nfs/dbraw/zinc/81/23/64/621812364.db2.gz RNDBWWZJPCKNAH-ARJAWSKDSA-N 0 1 316.284 3.165 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccc(Cl)nc1 ZINC000759081196 621823578 /nfs/dbraw/zinc/82/35/78/621823578.db2.gz DJWXKZZYBLOLNF-ORCRQEGFSA-N 0 1 304.689 3.245 20 30 DGEDMN CC(=[NH+]Nc1ccc(C(=O)[O-])cc1)c1ccc(F)cc1N(C)C ZINC000759182477 621833130 /nfs/dbraw/zinc/83/31/30/621833130.db2.gz FWZQDXDHQKHYPD-UHFFFAOYSA-N 0 1 315.348 3.426 20 30 DGEDMN N#Cc1ccc(F)cc1COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000759352839 621848711 /nfs/dbraw/zinc/84/87/11/621848711.db2.gz YGKRAEYHRUKUCH-UHFFFAOYSA-N 0 1 311.272 3.037 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)c3coc(C#N)c3)[nH]c2c1 ZINC000865054536 621853559 /nfs/dbraw/zinc/85/35/59/621853559.db2.gz UVVJYESCOQKAOD-MRXNPFEDSA-N 0 1 320.352 3.313 20 30 DGEDMN Cn1nc2c(c1/C=C\C(=O)c1cc(F)ccc1O)CCCC2 ZINC000865220595 621874537 /nfs/dbraw/zinc/87/45/37/621874537.db2.gz NBLKUFKKGMXGRC-CLFYSBASSA-N 0 1 300.333 3.040 20 30 DGEDMN C[C@@]1(C(=O)Nc2cc(C#N)ccc2O)CCc2ccccc2C1 ZINC000759713256 621884990 /nfs/dbraw/zinc/88/49/90/621884990.db2.gz JUGFZEJWGDYQIL-LJQANCHMSA-N 0 1 306.365 3.398 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1CC12CCC2 ZINC000759836592 621894464 /nfs/dbraw/zinc/89/44/64/621894464.db2.gz ZAPJXBVJVHJXGI-CHWSQXEVSA-N 0 1 302.761 3.178 20 30 DGEDMN COCc1cccc(CNCc2cc(C#N)ccc2N(C)C)c1 ZINC000865415744 621915149 /nfs/dbraw/zinc/91/51/49/621915149.db2.gz NVAZCABIEZOTFM-UHFFFAOYSA-N 0 1 309.413 3.060 20 30 DGEDMN CCOC(=O)C[C@H](C)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000760213543 621921720 /nfs/dbraw/zinc/92/17/20/621921720.db2.gz QWSQFPODUAIAGX-RBYRDDOMSA-N 0 1 304.173 3.460 20 30 DGEDMN Cc1cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c(C)s1 ZINC000760231331 621923224 /nfs/dbraw/zinc/92/32/24/621923224.db2.gz KUJFOCGRGXELIA-UHFFFAOYSA-N 0 1 306.338 3.146 20 30 DGEDMN CCCOc1ccc(CNCc2cc(C#N)ccc2N(C)C)cn1 ZINC000865456138 621925896 /nfs/dbraw/zinc/92/58/96/621925896.db2.gz FYDNQKLSZKMEDW-UHFFFAOYSA-N 0 1 324.428 3.098 20 30 DGEDMN N#CC(C(=O)CCSc1ccc(Cl)cc1)c1ccncn1 ZINC000760342374 621933205 /nfs/dbraw/zinc/93/32/05/621933205.db2.gz XOIYSKWSQRAAFB-ZDUSSCGKSA-N 0 1 317.801 3.489 20 30 DGEDMN CCCCN(C)c1ccc(C(O)=C(C#N)c2ccncn2)cc1 ZINC000760339415 621933257 /nfs/dbraw/zinc/93/32/57/621933257.db2.gz JSGOQXILCORMBA-MRXNPFEDSA-N 0 1 308.385 3.203 20 30 DGEDMN CC(C)(C)O[C@@H](C(=O)C(C#N)c1ccncn1)c1ccccc1 ZINC000760336589 621933477 /nfs/dbraw/zinc/93/34/77/621933477.db2.gz ZTYIEJHHWGMYIE-RHSMWYFYSA-N 0 1 309.369 3.209 20 30 DGEDMN N#CC(C(=O)CCCOc1ccc2c(c1)CCC2)c1ccncn1 ZINC000760342790 621933791 /nfs/dbraw/zinc/93/37/91/621933791.db2.gz YAGCQUPVHZVQFD-KRWDZBQOSA-N 0 1 321.380 3.001 20 30 DGEDMN CC[C@H](Oc1ccccc1Cl)C(=O)C(C#N)c1ccncn1 ZINC000760355404 621934782 /nfs/dbraw/zinc/93/47/82/621934782.db2.gz CABHRWGJUPEODV-RISCZKNCSA-N 0 1 315.760 3.164 20 30 DGEDMN Cc1ccc(Cl)c(O[C@@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760357081 621935477 /nfs/dbraw/zinc/93/54/77/621935477.db2.gz JZGVJGOGWOMTJG-NWDGAFQWSA-N 0 1 315.760 3.082 20 30 DGEDMN CCCCn1ncc(C(=O)Nc2cc(C#N)ccc2O)c1CC ZINC000760472477 621943284 /nfs/dbraw/zinc/94/32/84/621943284.db2.gz QFMWFUNXFUBBGP-UHFFFAOYSA-N 0 1 312.373 3.075 20 30 DGEDMN Cc1cc(CNCc2ccc(OCC#N)cc2)cnc1Cl ZINC000760668681 621957113 /nfs/dbraw/zinc/95/71/13/621957113.db2.gz FFDTYABWLYBIPF-UHFFFAOYSA-N 0 1 301.777 3.236 20 30 DGEDMN Cc1nscc1C(=O)[C@@H](C#N)C(=O)Nc1ccccc1Cl ZINC000761092688 621985730 /nfs/dbraw/zinc/98/57/30/621985730.db2.gz HSHPRSSSRBJSOL-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1nscc1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000761092688 621985734 /nfs/dbraw/zinc/98/57/34/621985734.db2.gz HSHPRSSSRBJSOL-SECBINFHSA-N 0 1 319.773 3.066 20 30 DGEDMN CCO[C@@H](CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)C(C)C ZINC000761193173 621993405 /nfs/dbraw/zinc/99/34/05/621993405.db2.gz CCSNMVVLGVJFDA-BBRMVZONSA-N 0 1 313.401 3.499 20 30 DGEDMN Clc1ccc2c(c1)C(=NNC1=N[C@H]3CCCC[C@@H]3N1)CCC2 ZINC000761273331 621998768 /nfs/dbraw/zinc/99/87/68/621998768.db2.gz QAVHSQKTBHEURQ-HOTGVXAUSA-N 0 1 316.836 3.240 20 30 DGEDMN COc1ccc(N=NCc2nc3ccc(F)cc3s2)nc1 ZINC000761831744 622032159 /nfs/dbraw/zinc/03/21/59/622032159.db2.gz UMDBWXLEZZTADM-UHFFFAOYSA-N 0 1 302.334 3.285 20 30 DGEDMN CC(N=Nc1ccc(-n2ccnc2)nn1)c1coc2ccccc21 ZINC000761848426 622033475 /nfs/dbraw/zinc/03/34/75/622033475.db2.gz YFROCOCUAVECSJ-UHFFFAOYSA-N 0 1 318.340 3.245 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc([C@@H]3CCOC3)c2)c1 ZINC000762077760 622051300 /nfs/dbraw/zinc/05/13/00/622051300.db2.gz XWZSUSXIHJSRGL-OAHLLOKOSA-N 0 1 308.337 3.020 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1cccc(SC(F)(F)F)c1 ZINC000866325473 622094124 /nfs/dbraw/zinc/09/41/24/622094124.db2.gz CGOAIJSFLXNYKA-JTQLQIEISA-N 0 1 318.364 3.251 20 30 DGEDMN C[C@H]([NH2+]CC1(CN(C)C)CCCC1)c1cccc(C#N)c1[O-] ZINC000866339098 622097479 /nfs/dbraw/zinc/09/74/79/622097479.db2.gz DNYKWNPJXUGHGW-AWEZNQCLSA-N 0 1 301.434 3.036 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2sccc2CC#N)cc1F ZINC000866346321 622099051 /nfs/dbraw/zinc/09/90/51/622099051.db2.gz WONGUMKIBFQJLH-UHFFFAOYSA-N 0 1 317.389 3.267 20 30 DGEDMN C[C@@H]1c2c(F)cccc2CCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC000763411665 622143880 /nfs/dbraw/zinc/14/38/80/622143880.db2.gz SYZAZQUJJXUPOB-FZKQIMNGSA-N 0 1 310.372 3.350 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](N[C@H]1c3ccccc3OC[C@H]1F)C2 ZINC000866615645 622147716 /nfs/dbraw/zinc/14/77/16/622147716.db2.gz HHFXKOUFPPTDEV-SUMDDJOVSA-N 0 1 308.356 3.087 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CCC(C)(C)C ZINC000121744094 622176804 /nfs/dbraw/zinc/17/68/04/622176804.db2.gz VHCWWOWBIWTDNO-LBPRGKRZSA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@@H](CCC(C)(C)C)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867945383 622195993 /nfs/dbraw/zinc/19/59/93/622195993.db2.gz WFLVPRPZMUHCEA-GUYCJALGSA-N 0 1 313.445 3.076 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2cccc3c2CN(CC(C)C)C3)cn1 ZINC000764932722 622233955 /nfs/dbraw/zinc/23/39/55/622233955.db2.gz DRQCMSLTKHDLPX-UHFFFAOYSA-N 0 1 319.408 3.287 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C(C)=C2CCCC2)n1 ZINC000765938450 622295227 /nfs/dbraw/zinc/29/52/27/622295227.db2.gz XSNZQBDNYRSBND-LBPRGKRZSA-N 0 1 318.398 3.387 20 30 DGEDMN C#CCn1ccc(CN(CC)Cc2c(O)ccc(Cl)c2F)n1 ZINC000789757994 625562014 /nfs/dbraw/zinc/56/20/14/625562014.db2.gz FTAXUZJEDBUGLW-UHFFFAOYSA-N 0 1 321.783 3.037 20 30 DGEDMN C=CCCCOC(=O)CSc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000767421846 622397836 /nfs/dbraw/zinc/39/78/36/622397836.db2.gz ZZZBQDPSYWWAPP-UHFFFAOYSA-N 0 1 317.414 3.382 20 30 DGEDMN Fc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c(Cl)c1 ZINC000767753055 622420287 /nfs/dbraw/zinc/42/02/87/622420287.db2.gz HVRPKDCEKACEBE-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cc1 ZINC000870139089 622436969 /nfs/dbraw/zinc/43/69/69/622436969.db2.gz MUCRIBDTSXXUIS-XFPGOJQTSA-N 0 1 310.397 3.188 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000870136367 622437055 /nfs/dbraw/zinc/43/70/55/622437055.db2.gz MJTIDIUXLTXFBX-UTOMHMCBSA-N 0 1 316.788 3.279 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3C[C@H]3C3CCOCC3)[nH]c21 ZINC000870206864 622442451 /nfs/dbraw/zinc/44/24/51/622442451.db2.gz ACSHRNKTHKPZOR-ZNMIVQPWSA-N 0 1 323.396 3.110 20 30 DGEDMN CN(C)c1ccc(CN=Nc2cc(C#N)cc(Cl)n2)cc1F ZINC000768377259 622453288 /nfs/dbraw/zinc/45/32/88/622453288.db2.gz YGVLNGUIFNKXNK-UHFFFAOYSA-N 0 1 317.755 3.258 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C)c1ccccc1 ZINC000870310467 622454953 /nfs/dbraw/zinc/45/49/53/622454953.db2.gz YNTKIMRZXVFWLL-CLPCHHJGSA-N 0 1 324.424 3.255 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C1CCOCC1)c1nccc2ccccc21 ZINC000870314559 622455816 /nfs/dbraw/zinc/45/58/16/622455816.db2.gz NAUYDFYCHZBHFR-KSZLIROESA-N 0 1 320.392 3.474 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@@]23CCCc2ccccc23)c1 ZINC000870692871 622497058 /nfs/dbraw/zinc/49/70/58/622497058.db2.gz PVEUINCQSUVJKA-UYAOXDASSA-N 0 1 318.376 3.262 20 30 DGEDMN C#CCOc1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1 ZINC000769478963 622531762 /nfs/dbraw/zinc/53/17/62/622531762.db2.gz GCQDCTFJQAAPTD-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCCC(C)(C)C#N)c1 ZINC000769710272 622552617 /nfs/dbraw/zinc/55/26/17/622552617.db2.gz UKZCJCZWRCWUIA-UHFFFAOYSA-N 0 1 311.429 3.258 20 30 DGEDMN Cc1ccc(N=NCc2cc(O)ccc2Br)nc1 ZINC000769790331 622560483 /nfs/dbraw/zinc/56/04/83/622560483.db2.gz AHXXZHLELHVBLB-UHFFFAOYSA-N 0 1 306.163 3.304 20 30 DGEDMN Cc1cccc(F)c1N=NCc1ccc(-n2cncn2)c(C)c1 ZINC000769839803 622567104 /nfs/dbraw/zinc/56/71/04/622567104.db2.gz GNEZEQCPABOVKW-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN FC(F)(F)C(=NOCCN1CCCCC1)c1ccccc1 ZINC000770409958 622630948 /nfs/dbraw/zinc/63/09/48/622630948.db2.gz BQKCZBNCDQJZSH-UHFFFAOYSA-N 0 1 300.324 3.456 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NNC[C@H](C)C#N ZINC000771113948 622716268 /nfs/dbraw/zinc/71/62/68/622716268.db2.gz YORRSRBPWZSOCM-ZIAGYGMSSA-N 0 1 304.413 3.391 20 30 DGEDMN N#CCOc1ccccc1C(=O)Nc1cc(F)cc(Cl)c1O ZINC000771511443 622769410 /nfs/dbraw/zinc/76/94/10/622769410.db2.gz LZUGURHMWJUAAQ-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN CN1CCN(c2ccc(/C=C/C(=O)c3cccc(F)c3)cc2)CC1 ZINC000771513484 622770496 /nfs/dbraw/zinc/77/04/96/622770496.db2.gz MDWZUUZTMOCQQA-JXMROGBWSA-N 0 1 324.399 3.474 20 30 DGEDMN CCc1ccc(C(=O)/C=C/c2ccc(N3CCN(C)CC3)cc2)o1 ZINC000771523598 622771568 /nfs/dbraw/zinc/77/15/68/622771568.db2.gz BXWWPCZUEMBVDI-UXBLZVDNSA-N 0 1 324.424 3.490 20 30 DGEDMN c1cnc2cccc(N=NC3CCCN4CCSC[C@@H]34)c2c1 ZINC000872341462 622893392 /nfs/dbraw/zinc/89/33/92/622893392.db2.gz AYOFNXUQZVXKKO-KRWDZBQOSA-N 0 1 312.442 3.214 20 30 DGEDMN Fc1cc(C=NNc2nccnc2C2CCC2)cnc1Cl ZINC000872374346 622900069 /nfs/dbraw/zinc/90/00/69/622900069.db2.gz WNJSESVTOANMIW-UHFFFAOYSA-N 0 1 305.744 3.378 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1cc(F)ccc1F ZINC000772797752 622941404 /nfs/dbraw/zinc/94/14/04/622941404.db2.gz PNESQXCCIYBSSS-LZCJLJQNSA-N 0 1 305.236 3.475 20 30 DGEDMN Cc1cc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)c(C#N)cn1 ZINC000872648182 622948584 /nfs/dbraw/zinc/94/85/84/622948584.db2.gz KCTMWOBMSOWTTD-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2cn(C)nc2C)c1 ZINC000772983962 622960883 /nfs/dbraw/zinc/96/08/83/622960883.db2.gz LWRPCTHEEGVAKC-CJNGLKHVSA-N 0 1 312.417 3.260 20 30 DGEDMN C[C@@H](O)c1ncc(C=NNc2ncccc2C(F)(F)F)s1 ZINC000773035687 622970912 /nfs/dbraw/zinc/97/09/12/622970912.db2.gz WMULBAKAZOWHDQ-SSDOTTSWSA-N 0 1 316.308 3.056 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)NCCCCC#N)C2)n[nH]1 ZINC000872875927 622992472 /nfs/dbraw/zinc/99/24/72/622992472.db2.gz KLTIQSMRSWGRIN-AWEZNQCLSA-N 0 1 317.437 3.116 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872983093 623010372 /nfs/dbraw/zinc/01/03/72/623010372.db2.gz VFVHVGRBRLQXNO-CABCVRRESA-N 0 1 316.449 3.224 20 30 DGEDMN C=C(CC)CNC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000873037041 623022924 /nfs/dbraw/zinc/02/29/24/623022924.db2.gz KBXFQKGWWGTIBJ-AWEZNQCLSA-N 0 1 304.438 3.388 20 30 DGEDMN Cc1cccnc1N=NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000790188459 625614502 /nfs/dbraw/zinc/61/45/02/625614502.db2.gz ZEBIZENVUJDAHQ-UHFFFAOYSA-N 0 1 319.412 3.326 20 30 DGEDMN C[C@@H](C[C@@H](O)c1cccs1)NCc1cccc(F)c1C#N ZINC000873096942 623034893 /nfs/dbraw/zinc/03/48/93/623034893.db2.gz BHENNRWUHGYSLM-XHDPSFHLSA-N 0 1 304.390 3.361 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)c1nccs1 ZINC000774053105 623102738 /nfs/dbraw/zinc/10/27/38/623102738.db2.gz NUPUYBBYKICIHT-CYBMUJFWSA-N 0 1 321.361 3.257 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c(C)ccc([N+](=O)[O-])c2C)n1 ZINC000873495350 623159714 /nfs/dbraw/zinc/15/97/14/623159714.db2.gz KRLCUGJEFBKHPP-NSHDSACASA-N 0 1 315.354 3.467 20 30 DGEDMN COc1ccc(N2CCN(Cc3ccc(C#N)c(C)c3)CC2)cc1 ZINC000774820986 623196409 /nfs/dbraw/zinc/19/64/09/623196409.db2.gz RBURPRFXXLAYCR-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN CCOc1ccc(C(C)=NNc2nccn2C)cc1OCC ZINC000790299736 625630872 /nfs/dbraw/zinc/63/08/72/625630872.db2.gz IZAXXEYLAUXIQB-UHFFFAOYSA-N 0 1 302.378 3.054 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H](CC)c1ccccc1OC ZINC000775088057 623229870 /nfs/dbraw/zinc/22/98/70/623229870.db2.gz PQOXNYMEQATSJP-RDJZCZTQSA-N 0 1 316.445 3.325 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cccc2c1N(C(=O)OC(C)(C)C)CC2 ZINC000775183055 623238269 /nfs/dbraw/zinc/23/82/69/623238269.db2.gz HDSIEHCEXDQMJL-AWEZNQCLSA-N 0 1 314.429 3.438 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cc(Br)c2c(c1)OCCCO2 ZINC000775184481 623239272 /nfs/dbraw/zinc/23/92/72/623239272.db2.gz WTCRGDDHZFCMOM-NSHDSACASA-N 0 1 324.218 3.064 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1cc(Br)c2c(c1)OCCCO2 ZINC000775184480 623239508 /nfs/dbraw/zinc/23/95/08/623239508.db2.gz WTCRGDDHZFCMOM-LLVKDONJSA-N 0 1 324.218 3.064 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(OCCCC)c1)[C@H]1CCCO1 ZINC000775340607 623256645 /nfs/dbraw/zinc/25/66/45/623256645.db2.gz IEXOMIOMKFKDEU-DLBZAZTESA-N 0 1 317.429 3.145 20 30 DGEDMN C#C[C@@H](NCc1ccc(C)c(Br)c1)[C@H]1CCCO1 ZINC000775343945 623257233 /nfs/dbraw/zinc/25/72/33/623257233.db2.gz AQLRDFWJYQLQFS-HUUCEWRRSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@@H]1CCCO1 ZINC000775345898 623257368 /nfs/dbraw/zinc/25/73/68/623257368.db2.gz ACQRDOUHNNPWBF-KBPBESRZSA-N 0 1 301.283 3.115 20 30 DGEDMN C#CC[C@@H](NCC(=O)NCCCc1ccccc1)c1ccccc1 ZINC000775398536 623267431 /nfs/dbraw/zinc/26/74/31/623267431.db2.gz JGJKFZDQBFXHTR-HXUWFJFHSA-N 0 1 320.436 3.090 20 30 DGEDMN C#CC[C@H](NCC(=O)N(CC)Cc1ccccc1)c1ccccc1 ZINC000775398724 623267752 /nfs/dbraw/zinc/26/77/52/623267752.db2.gz LIPRDQIXOKIQPS-FQEVSTJZSA-N 0 1 320.436 3.389 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cnn(-c2ccccc2)c1C)[C@H]1CCCO1 ZINC000775572063 623291493 /nfs/dbraw/zinc/29/14/93/623291493.db2.gz OPKFQRQVRCOLRX-MDASCCDHSA-N 0 1 309.413 3.012 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(SC)c(OC)c1)[C@H]1CCCO1 ZINC000775575227 623292587 /nfs/dbraw/zinc/29/25/87/623292587.db2.gz VAPWJBWPZKKACE-VHDGCEQUSA-N 0 1 305.443 3.249 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc(Cl)c(N)c(Cl)c1)[C@H]1CCCO1 ZINC000775575511 623292605 /nfs/dbraw/zinc/29/26/05/623292605.db2.gz YCNUNDFMWZZDSZ-QCZZGDTMSA-N 0 1 313.228 3.407 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(OCC)c(OCC)c1)[C@@H]1CCCO1 ZINC000775575792 623292921 /nfs/dbraw/zinc/29/29/21/623292921.db2.gz YSZOOTBAXBWHBC-PVAVHDDUSA-N 0 1 317.429 3.315 20 30 DGEDMN C#C[C@@H](NCc1cccc(Br)c1C)[C@@H]1CCCO1 ZINC000775789989 623332493 /nfs/dbraw/zinc/33/24/93/623332493.db2.gz OJYXGJYCGBFYND-CABCVRRESA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@@H](NCc1ccccc1Oc1cccnc1)[C@H]1CCCO1 ZINC000775800125 623334143 /nfs/dbraw/zinc/33/41/43/623334143.db2.gz RDAPGFKJUKKPKY-IEBWSBKVSA-N 0 1 308.381 3.144 20 30 DGEDMN Cc1cc(N=NC2CN(Cc3ccccc3)CC[C@@H]2C)nc(C)n1 ZINC000776184964 623391174 /nfs/dbraw/zinc/39/11/74/623391174.db2.gz BUVBABZXBTYKHR-AWEZNQCLSA-N 0 1 323.444 3.403 20 30 DGEDMN CCC(N=Nc1cccc(S(C)(=O)=O)c1)c1ccc(F)cc1 ZINC000776261271 623403550 /nfs/dbraw/zinc/40/35/50/623403550.db2.gz JDORRQMAKFHDJB-UHFFFAOYSA-N 0 1 320.389 3.455 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1cccc(F)c1F ZINC000776268441 623404372 /nfs/dbraw/zinc/40/43/72/623404372.db2.gz OGFQITZMQKCKGW-DAXSKMNVSA-N 0 1 305.236 3.475 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C)C1 ZINC000776517328 623437046 /nfs/dbraw/zinc/43/70/46/623437046.db2.gz QHGJQNUJLVBXAO-MELADBBJSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@@H](O)C[C@@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000790438879 625653090 /nfs/dbraw/zinc/65/30/90/625653090.db2.gz XAKICFQKMYEBCH-CJNGLKHVSA-N 0 1 322.836 3.368 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@H](C(C)C)N1CCCCC1 ZINC000777057409 623491639 /nfs/dbraw/zinc/49/16/39/623491639.db2.gz VMUBNEMLAFSSDJ-SFHVURJKSA-N 0 1 314.429 3.420 20 30 DGEDMN Clc1ccnc(N=NC2CCN(Cc3ccccc3)C2)c1 ZINC000777907495 623583753 /nfs/dbraw/zinc/58/37/53/623583753.db2.gz WOXDKWWUQWPCNX-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCc1nccs1 ZINC000778161819 623617711 /nfs/dbraw/zinc/61/77/11/623617711.db2.gz NNUGUXIHGYAVGB-UHFFFAOYSA-N 0 1 306.818 3.141 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H](O)c1ccc(C)o1 ZINC000778172469 623619332 /nfs/dbraw/zinc/61/93/32/623619332.db2.gz LBPODQGSERASCD-HNNXBMFYSA-N 0 1 319.788 3.077 20 30 DGEDMN C=CCN(Cc1cnc(NC(=O)OC(C)(C)C)cn1)C(C)C ZINC000876600019 623656828 /nfs/dbraw/zinc/65/68/28/623656828.db2.gz MCMQRDUNGKPPJG-UHFFFAOYSA-N 0 1 306.410 3.220 20 30 DGEDMN CC(C)(C(=O)OCC#Cc1cccc(Cl)c1)N1CCCC1 ZINC000805610973 623672862 /nfs/dbraw/zinc/67/28/62/623672862.db2.gz LRRXBCFXXYZUDU-UHFFFAOYSA-N 0 1 305.805 3.109 20 30 DGEDMN CC[C@@H](C(=O)OC[C@H](C#N)Cc1ccc(F)cc1)N(CC)CC ZINC000778618881 623677640 /nfs/dbraw/zinc/67/76/40/623677640.db2.gz BWVFCQPHSGXZKS-RDJZCZTQSA-N 0 1 320.408 3.172 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCS[C@@H]3CCCC[C@@H]32)c1 ZINC000876770751 623702173 /nfs/dbraw/zinc/70/21/73/623702173.db2.gz JQQWYBGLQWVVSQ-UONOGXRCSA-N 0 1 307.850 3.467 20 30 DGEDMN C=C(Cl)CNC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000778878919 623708294 /nfs/dbraw/zinc/70/82/94/623708294.db2.gz LCEBJNKXQHFFIN-UHFFFAOYSA-N 0 1 302.186 3.274 20 30 DGEDMN C[C@H](CNCc1cc(C#N)cnc1Cl)N(C)c1ccccc1 ZINC000876931596 623746867 /nfs/dbraw/zinc/74/68/67/623746867.db2.gz QGIGDGRFPNKDKF-CYBMUJFWSA-N 0 1 314.820 3.221 20 30 DGEDMN COc1cccc(N=NC(C)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000779819915 623814238 /nfs/dbraw/zinc/81/42/38/623814238.db2.gz XNMPFAWKTXVHLB-UHFFFAOYSA-N 0 1 300.318 3.143 20 30 DGEDMN COc1cccc(NN=Cc2cnc(C)n2-c2ccccc2)n1 ZINC000779819789 623814367 /nfs/dbraw/zinc/81/43/67/623814367.db2.gz VTSZVUNZVSTWKK-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)[C@H](N)[C@H](C)OCc1ccccc1 ZINC000877303423 623845524 /nfs/dbraw/zinc/84/55/24/623845524.db2.gz GNGGLZUAZFVPNV-DOTOQJQBSA-N 0 1 318.461 3.028 20 30 DGEDMN CCOP(=O)(CCCN1CCC[C@](C)(C#N)C1)OCC ZINC000877312500 623849260 /nfs/dbraw/zinc/84/92/60/623849260.db2.gz JGTZNULQMUOAHW-CQSZACIVSA-N 0 1 302.355 3.268 20 30 DGEDMN CC(C)C[C@@H]1OCCC[C@H]1NCc1cc(C#N)cnc1Cl ZINC000877421226 623875476 /nfs/dbraw/zinc/87/54/76/623875476.db2.gz KIOFSIGQJJEOHW-CABCVRRESA-N 0 1 307.825 3.290 20 30 DGEDMN COc1ccc(N=NCc2cc(OC)ccc2OC(F)F)nc1 ZINC000780267402 623900539 /nfs/dbraw/zinc/90/05/39/623900539.db2.gz LIPQEEFZJRTXKF-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN COc1ccc(N=NCc2cn(C)nc2C2CCCCC2)nc1 ZINC000780267201 623900617 /nfs/dbraw/zinc/90/06/17/623900617.db2.gz HUIIFDXJQCZGQS-UHFFFAOYSA-N 0 1 313.405 3.317 20 30 DGEDMN COc1ccc(NN=Cc2nc(C(C)C)n3ccccc23)nc1 ZINC000780267243 623900690 /nfs/dbraw/zinc/90/06/90/623900690.db2.gz ILGRAMAIDLVRDM-UHFFFAOYSA-N 0 1 309.373 3.307 20 30 DGEDMN COc1c(C)cnc(CN[C@H]2CCc3cc(C#N)ccc32)c1C ZINC000877482339 623904794 /nfs/dbraw/zinc/90/47/94/623904794.db2.gz HFJDTPCDEGIGRD-KRWDZBQOSA-N 0 1 307.397 3.356 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000780386864 623920541 /nfs/dbraw/zinc/92/05/41/623920541.db2.gz DOEHTCFHVLRZRT-KRWDZBQOSA-N 0 1 304.409 3.128 20 30 DGEDMN C=CC1CCN(CN2CC[C@@](C)(Cc3ccccc3)C2=O)CC1 ZINC000780437295 623929054 /nfs/dbraw/zinc/92/90/54/623929054.db2.gz PSISEBVFSJWHMK-FQEVSTJZSA-N 0 1 312.457 3.323 20 30 DGEDMN C#C[C@H](NCc1c(C(F)(F)F)nc2n1CCCC2)C(C)(C)C ZINC000877534247 623930029 /nfs/dbraw/zinc/93/00/29/623930029.db2.gz CMISWNHDLCSKKM-LBPRGKRZSA-N 0 1 313.367 3.376 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCCC[C@@H]2[C@@H]2CCC[C@H]2O)c1 ZINC000877563471 623944102 /nfs/dbraw/zinc/94/41/02/623944102.db2.gz NVLVBABLUUUBRW-ARFHVFGLSA-N 0 1 319.836 3.122 20 30 DGEDMN C#CCCCCCCN1CC(C)(c2nnc(-c3cccnc3)o2)C1 ZINC000877644021 623975211 /nfs/dbraw/zinc/97/52/11/623975211.db2.gz OJZVTVKOQHOQME-UHFFFAOYSA-N 0 1 324.428 3.289 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000780750357 623978980 /nfs/dbraw/zinc/97/89/80/623978980.db2.gz WGQPELZIIBNRMJ-LLVKDONJSA-N 0 1 322.324 3.213 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1CN(CC(C)(C)CCC#N)C2 ZINC000877678436 623989334 /nfs/dbraw/zinc/98/93/34/623989334.db2.gz WATGALIFKIGKGN-GASCZTMLSA-N 0 1 321.465 3.400 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1cnc(Cl)c(F)c1 ZINC000877704588 623999045 /nfs/dbraw/zinc/99/90/45/623999045.db2.gz KIXTVIKVPODNPD-UHFFFAOYSA-N 0 1 303.768 3.420 20 30 DGEDMN CC(=NNc1cc(C#N)cc(Cl)n1)c1ccc(C#N)cc1F ZINC000781126754 624025843 /nfs/dbraw/zinc/02/58/43/624025843.db2.gz DHKCIJDLEVGPRJ-UHFFFAOYSA-N 0 1 313.723 3.454 20 30 DGEDMN COc1ccc(NC2CCN(Cc3ccncc3)CC2)cc1C#N ZINC000877769666 624029934 /nfs/dbraw/zinc/02/99/34/624029934.db2.gz HYULWWQVNGAIIT-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@@](C)(C(F)(F)F)C1 ZINC000877810817 624042480 /nfs/dbraw/zinc/04/24/80/624042480.db2.gz OJYLPWDVFWIUIE-OAHLLOKOSA-N 0 1 311.351 3.399 20 30 DGEDMN C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)Nc2ccc(C3CC3)c(C#N)c2)C1 ZINC000882309974 625694803 /nfs/dbraw/zinc/69/48/03/625694803.db2.gz CGKLUHHNSAGVET-DIFFPNOSSA-N 0 1 320.396 3.463 20 30 DGEDMN CC(C)(O)[C@H]1CCN(Cc2ccc(C#N)cc2C(F)(F)F)C1 ZINC000877835449 624063962 /nfs/dbraw/zinc/06/39/62/624063962.db2.gz BPPWILDWUUNXGM-ZDUSSCGKSA-N 0 1 312.335 3.170 20 30 DGEDMN C[C@@H](NCc1cccnc1-n1ccnc1)c1ccc(C#N)cc1F ZINC000781420988 624069107 /nfs/dbraw/zinc/06/91/07/624069107.db2.gz NMYPBWGDOUQTIL-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN C[C@H](NC[C@H](O)c1ccccc1F)c1ccc(C#N)cc1F ZINC000781420196 624069336 /nfs/dbraw/zinc/06/93/36/624069336.db2.gz IXXXXIFIMSNDGS-GTNSWQLSSA-N 0 1 302.324 3.221 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)CC1(O)CCCCC1 ZINC000877907009 624087049 /nfs/dbraw/zinc/08/70/49/624087049.db2.gz LSSNZVHDGVSSBP-HNNXBMFYSA-N 0 1 309.475 3.182 20 30 DGEDMN C#CCCCCC[N@H+](CC)CC(=O)Nc1c(F)cccc1F ZINC000877931666 624097582 /nfs/dbraw/zinc/09/75/82/624097582.db2.gz ULCTYYCWOAPMPS-UHFFFAOYSA-N 0 1 308.372 3.419 20 30 DGEDMN C#CCCCCCN(CC)CC(=O)Nc1c(F)cccc1F ZINC000877931666 624097585 /nfs/dbraw/zinc/09/75/85/624097585.db2.gz ULCTYYCWOAPMPS-UHFFFAOYSA-N 0 1 308.372 3.419 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCC[C@H](N2CCCCC2=O)C1 ZINC000782182569 624215627 /nfs/dbraw/zinc/21/56/27/624215627.db2.gz RALCJVMLIMTVAG-QAPCUYQASA-N 0 1 311.429 3.096 20 30 DGEDMN Brc1ccc(NN=C2COCc3ccccc32)nc1 ZINC000782220352 624222439 /nfs/dbraw/zinc/22/24/39/624222439.db2.gz DNQQCXSWTASJRN-UHFFFAOYSA-N 0 1 318.174 3.191 20 30 DGEDMN FC(F)(F)c1cccc(NN=C2COCc3ccccc32)n1 ZINC000782233365 624224570 /nfs/dbraw/zinc/22/45/70/624224570.db2.gz WSEOPCFSGGHIII-UHFFFAOYSA-N 0 1 307.275 3.447 20 30 DGEDMN CC1(C)CCC[C@@H]1NN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000782286388 624233113 /nfs/dbraw/zinc/23/31/13/624233113.db2.gz JIEHKCRAAXMYQA-KRWDZBQOSA-N 0 1 319.424 3.165 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NN[C@H]1CCCC1(C)C ZINC000782299723 624235931 /nfs/dbraw/zinc/23/59/31/624235931.db2.gz JCXBFZBNFDLNSA-YJBOKZPZSA-N 0 1 314.477 3.448 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2CC1(CC#N)CC1 ZINC000878399572 624266961 /nfs/dbraw/zinc/26/69/61/624266961.db2.gz OBELDKMLADZYDQ-CABCVRRESA-N 0 1 319.449 3.154 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OCCCC(C)(C)C#N)[nH]n2)o1 ZINC000783027201 624302135 /nfs/dbraw/zinc/30/21/35/624302135.db2.gz JPJZUQYTDRISBE-UHFFFAOYSA-N 0 1 301.346 3.465 20 30 DGEDMN CC1(C)CC[C@@H](CN2CCC(C#N)(c3ccccn3)CC2)OC1 ZINC000878558016 624307316 /nfs/dbraw/zinc/30/73/16/624307316.db2.gz PNFWLDFCGKXBII-INIZCTEOSA-N 0 1 313.445 3.144 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)C[C@H](C)c2ccc(F)cc2)c1 ZINC000783535919 624363617 /nfs/dbraw/zinc/36/36/17/624363617.db2.gz XSKDCDFWGIBWBD-HNNXBMFYSA-N 0 1 324.399 3.481 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CC[C@@]2(CC2(F)F)C1)c1ccccc1 ZINC000878813719 624365969 /nfs/dbraw/zinc/36/59/69/624365969.db2.gz ODLCAPFKORDCKO-SJORKVTESA-N 0 1 318.367 3.158 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000878829336 624368512 /nfs/dbraw/zinc/36/85/12/624368512.db2.gz HTQWLODBIOKKLL-UHFFFAOYSA-N 0 1 313.405 3.381 20 30 DGEDMN C#CCOc1ccccc1CN(CCO)Cc1ccc(C)cc1 ZINC000783602305 624373359 /nfs/dbraw/zinc/37/33/59/624373359.db2.gz CUCDZBYPQBBBCL-UHFFFAOYSA-N 0 1 309.409 3.002 20 30 DGEDMN CC(C)[C@H](C(=O)O[C@@H](C)c1ccc(C#N)cc1)N1CCCC1 ZINC000783775320 624395110 /nfs/dbraw/zinc/39/51/10/624395110.db2.gz DMARERIYKIEOFB-WMLDXEAASA-N 0 1 300.402 3.283 20 30 DGEDMN Cc1cc(COC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)ccc1C#N ZINC000783781584 624396404 /nfs/dbraw/zinc/39/64/04/624396404.db2.gz YSIPKSNQDSIBKG-KFWWJZLASA-N 0 1 300.402 3.171 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNc1ccc(Cl)nc1 ZINC000783850440 624400797 /nfs/dbraw/zinc/40/07/97/624400797.db2.gz WKMAMHAGMYKZHN-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000879110349 624428954 /nfs/dbraw/zinc/42/89/54/624428954.db2.gz VDRXHPGYHXZUJB-DYVFJYSZSA-N 0 1 316.449 3.254 20 30 DGEDMN C[C@@H](C#N)OCCNC(C)(C)c1nc2cc(Cl)ccc2o1 ZINC000879160350 624441487 /nfs/dbraw/zinc/44/14/87/624441487.db2.gz YBSOCDDFJBMUBH-JTQLQIEISA-N 0 1 307.781 3.235 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2CCCCC(C)(C)C#N ZINC000879218006 624453924 /nfs/dbraw/zinc/45/39/24/624453924.db2.gz HJAICYAGYIJMPF-GJZGRUSLSA-N 0 1 321.465 3.400 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC000879223705 624454612 /nfs/dbraw/zinc/45/46/12/624454612.db2.gz VXOFNQPCQYWDSZ-CZUORRHYSA-N 0 1 315.417 3.046 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H]1[C@@H](O)c1ccccc1 ZINC000879244141 624459154 /nfs/dbraw/zinc/45/91/54/624459154.db2.gz NYWXMOPGKRIMIF-OALUTQOASA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000879529805 624578434 /nfs/dbraw/zinc/57/84/34/624578434.db2.gz VFOTUXOATDBFGU-OKILXGFUSA-N 0 1 315.804 3.048 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3cnccc3C)[nH]c21 ZINC000784874328 624591041 /nfs/dbraw/zinc/59/10/41/624591041.db2.gz DQWIJMKCHYSGLC-IDTUSYRASA-N 0 1 316.364 3.464 20 30 DGEDMN Cc1nc(C2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2C#N)no1 ZINC000879680177 624638026 /nfs/dbraw/zinc/63/80/26/624638026.db2.gz FFVDKBKVWDUHFR-ALOPSCKCSA-N 0 1 308.385 3.160 20 30 DGEDMN CCCNC(=O)OC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC000805774009 624639487 /nfs/dbraw/zinc/63/94/87/624639487.db2.gz YPCGWVBKBYTPFI-QGZVFWFLSA-N 0 1 315.417 3.049 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000879713015 624648336 /nfs/dbraw/zinc/64/83/36/624648336.db2.gz NMHJLUDTTRYLHG-KGLIPLIRSA-N 0 1 313.401 3.068 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@@H]1CCC[N@H+]2CCC(C)(C)C#N ZINC000879756176 624667431 /nfs/dbraw/zinc/66/74/31/624667431.db2.gz ZSHOWUDUGXCGSY-GJZGRUSLSA-N 0 1 321.465 3.400 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@](C)(C(F)(F)F)C1 ZINC000880001240 624747632 /nfs/dbraw/zinc/74/76/32/624747632.db2.gz QUHUOHYKWKNIJZ-OCCSQVGLSA-N 0 1 306.372 3.074 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1cn2ccccc2c1C#N ZINC000786340104 624856952 /nfs/dbraw/zinc/85/69/52/624856952.db2.gz ORRSANPFFTZLDP-UHFFFAOYSA-N 0 1 322.368 3.323 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCC#Cc1ccc(F)cc1 ZINC000786345190 624858911 /nfs/dbraw/zinc/85/89/11/624858911.db2.gz UUSLLAQVVYPDLM-UHFFFAOYSA-N 0 1 300.333 3.189 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CCC[C@@H](CF)C1 ZINC000880234579 624859936 /nfs/dbraw/zinc/85/99/36/624859936.db2.gz GVKFOORHWUQQCL-CABCVRRESA-N 0 1 324.465 3.333 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@@H](C)c1c[nH]c2ccccc21 ZINC000786400013 624872000 /nfs/dbraw/zinc/87/20/00/624872000.db2.gz DSHWZUKKDGKMBD-HZPDHXFCSA-N 0 1 324.424 3.302 20 30 DGEDMN FC[C@@H]1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000880296744 624900171 /nfs/dbraw/zinc/90/01/71/624900171.db2.gz FYLCXGXGOMXNEZ-ZDUSSCGKSA-N 0 1 301.283 3.228 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@@H]2CCC[C@H]2C)c1 ZINC000786541090 624915197 /nfs/dbraw/zinc/91/51/97/624915197.db2.gz KBXHCIUZFNQKFD-HYVNUMGLSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H](C)C2CCCC2)c1 ZINC000786546003 624916441 /nfs/dbraw/zinc/91/64/41/624916441.db2.gz AYGXQZKJGJWRAG-PBHICJAKSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C2C(C)(C)C2(C)C)c1 ZINC000786551160 624920385 /nfs/dbraw/zinc/92/03/85/624920385.db2.gz VONRGOSJNNYHCA-CQSZACIVSA-N 0 1 312.413 3.002 20 30 DGEDMN C=CCCC[C@H](NCC(=O)Nc1nncs1)c1ccccc1 ZINC000880387830 624947391 /nfs/dbraw/zinc/94/73/91/624947391.db2.gz FIGIWPXHUWRODM-AWEZNQCLSA-N 0 1 316.430 3.164 20 30 DGEDMN O=C(OCC#Cc1ccc(F)cc1)[C@@H]1CCCN1C1CCCC1 ZINC000786877366 624960481 /nfs/dbraw/zinc/96/04/81/624960481.db2.gz BGPYVKCJLDGFGU-SFHVURJKSA-N 0 1 315.388 3.127 20 30 DGEDMN N#Cc1ccc(F)c(COC(=O)[C@@H]2CCCN2C2CCCC2)c1 ZINC000786885283 624961456 /nfs/dbraw/zinc/96/14/56/624961456.db2.gz VRFVETXXQSJWEV-KRWDZBQOSA-N 0 1 316.376 3.148 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc(Br)cs1)[C@H]1CCCO1 ZINC000786916695 624964366 /nfs/dbraw/zinc/96/43/66/624964366.db2.gz HIEAOENPJOOXOP-ADEWGFFLSA-N 0 1 314.248 3.342 20 30 DGEDMN CC(=NNc1cc(Cl)nc(N)n1)c1csc(Cl)c1 ZINC000787161822 624984718 /nfs/dbraw/zinc/98/47/18/624984718.db2.gz GTLMRXHEKLFDNP-UHFFFAOYSA-N 0 1 302.190 3.263 20 30 DGEDMN CCCCCCCCN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000788277154 625113775 /nfs/dbraw/zinc/11/37/75/625113775.db2.gz XRJARJOWORWYDZ-UHFFFAOYSA-N 0 1 317.481 3.083 20 30 DGEDMN CC(=NNC1=NC[C@H](C)N1)c1ccccc1SC(C)(C)C ZINC000788439471 625171668 /nfs/dbraw/zinc/17/16/68/625171668.db2.gz UJUVZGUEXPDQLS-NSHDSACASA-N 0 1 304.463 3.239 20 30 DGEDMN CC(N=Nc1ccc(F)c([N+](=O)[O-])c1)c1cn2ccccc2n1 ZINC000788440207 625172319 /nfs/dbraw/zinc/17/23/19/625172319.db2.gz FDTBTKPWYMXGQX-UHFFFAOYSA-N 0 1 313.292 3.218 20 30 DGEDMN N#Cc1ccc(COC(=O)c2cc(-c3ccco3)n[nH]2)cc1F ZINC000791315614 625775484 /nfs/dbraw/zinc/77/54/84/625775484.db2.gz SYNZBCHQXHBVCG-UHFFFAOYSA-N 0 1 311.272 3.037 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(C#N)c(F)c1)N1CCCCC1 ZINC000791393151 625790615 /nfs/dbraw/zinc/79/06/15/625790615.db2.gz UDGWXVBRYLEVNF-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN COC(=O)c1ccc(C(=O)/C=C/c2cc(F)c(O)c(F)c2)cc1 ZINC000130150479 625818864 /nfs/dbraw/zinc/81/88/64/625818864.db2.gz RMTDBDMIEZKAII-FARCUNLSSA-N 0 1 318.275 3.353 20 30 DGEDMN N#CC(C(=O)CCn1cc(Cl)cn1)c1nccc2ccccc21 ZINC000792107192 625910198 /nfs/dbraw/zinc/91/01/98/625910198.db2.gz VBPLAMITZIPPGT-OAHLLOKOSA-N 0 1 324.771 3.351 20 30 DGEDMN C=CCC[C@H](NCc1csc([C@@H](C)OC)n1)[C@@H]1CCCO1 ZINC000883195850 625965995 /nfs/dbraw/zinc/96/59/95/625965995.db2.gz DOBGTVXRXSWYAE-SNPRPXQTSA-N 0 1 310.463 3.454 20 30 DGEDMN CC(C[NH+]1Cc2ccccc2C1)N=Nc1ccccc1C(=O)[O-] ZINC000792627863 625967207 /nfs/dbraw/zinc/96/72/07/625967207.db2.gz HGRAIIGTCVYVTF-UHFFFAOYSA-N 0 1 309.369 3.188 20 30 DGEDMN Cc1ccccc1[C@H](O)C1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000796406681 626047463 /nfs/dbraw/zinc/04/74/63/626047463.db2.gz LYVZFTHBUZVYTE-HXUWFJFHSA-N 0 1 321.424 3.207 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccccc1CC(=O)OC ZINC000796639978 626068949 /nfs/dbraw/zinc/06/89/49/626068949.db2.gz RIFMMJCYHXWUBG-UHFFFAOYSA-N 0 1 307.393 3.038 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@@H](C)NC(=O)OC(C)(C)C ZINC000796639170 626069127 /nfs/dbraw/zinc/06/91/27/626069127.db2.gz ICDLGDDUXOBHRG-OAHLLOKOSA-N 0 1 302.418 3.035 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000796693457 626074124 /nfs/dbraw/zinc/07/41/24/626074124.db2.gz RHIIUNRFQKREEV-QMTHXVAHSA-N 0 1 304.346 3.037 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)[C@H]1CC[C@@H](C(F)(F)F)C1 ZINC000796787375 626082824 /nfs/dbraw/zinc/08/28/24/626082824.db2.gz GNLKOFXVPPGPHY-LOWVWBTDSA-N 0 1 324.302 3.313 20 30 DGEDMN C=C(C)COC(=O)[C@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000796819345 626086399 /nfs/dbraw/zinc/08/63/99/626086399.db2.gz SGJFDLRHOBMWPH-OAHLLOKOSA-N 0 1 309.356 3.263 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000798274660 626207403 /nfs/dbraw/zinc/20/74/03/626207403.db2.gz IFRMMSWSSDUQKN-KLHDSHLOSA-N 0 1 321.465 3.161 20 30 DGEDMN CSc1cccc(F)c1C(=O)Nc1cc(C#N)ccc1O ZINC000798984709 626264862 /nfs/dbraw/zinc/26/48/62/626264862.db2.gz BRXDITCOMISDIX-UHFFFAOYSA-N 0 1 302.330 3.377 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2cnc3ccccc3c2)cc1 ZINC000799175079 626277524 /nfs/dbraw/zinc/27/75/24/626277524.db2.gz SQSFKJZONGEOJJ-UHFFFAOYSA-N 0 1 320.396 3.138 20 30 DGEDMN CC(C)c1ncc(CN(C)CCCOc2ccc(C#N)cc2)cn1 ZINC000799334474 626291913 /nfs/dbraw/zinc/29/19/13/626291913.db2.gz NKBYJKUEFFPRLA-UHFFFAOYSA-N 0 1 324.428 3.373 20 30 DGEDMN CCc1cccnc1C(C)=NNc1cccc(F)c1C(=O)OC ZINC000800818041 626377060 /nfs/dbraw/zinc/37/70/60/626377060.db2.gz DPQGIVNRIJDOTF-UHFFFAOYSA-N 0 1 315.348 3.406 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C1CC(C)(C)C1)c1ccccc1 ZINC000802800405 626573982 /nfs/dbraw/zinc/57/39/82/626573982.db2.gz QWNCQBHOECRRGZ-CVEARBPZSA-N 0 1 312.413 3.399 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C)s1)[C@H]1Cc2ccccc2O1 ZINC000883215923 626611821 /nfs/dbraw/zinc/61/18/21/626611821.db2.gz IRTNWVMZSGUYDP-GOEBONIOSA-N 0 1 315.442 3.275 20 30 DGEDMN C#CC[C@@H](Cc1ccccc1)NC(=O)c1ccc(O)c(Cl)c1 ZINC000804455998 626682222 /nfs/dbraw/zinc/68/22/22/626682222.db2.gz PNVCCADMNOEPSB-HNNXBMFYSA-N 0 1 313.784 3.410 20 30 DGEDMN CC1(C)CCC(C(=O)C(C#N)C(=O)NCc2ccccc2)CC1 ZINC000131976346 626691810 /nfs/dbraw/zinc/69/18/10/626691810.db2.gz BZAWCTGSICVPCP-MRXNPFEDSA-N 0 1 312.413 3.228 20 30 DGEDMN C=CCNC(=O)C(C#N)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC000132518876 626723184 /nfs/dbraw/zinc/72/31/84/626723184.db2.gz JOKDHQDUKGFDPW-NRXISQOPSA-N 0 1 304.434 3.240 20 30 DGEDMN CC(=NNc1ccc(F)cc1F)c1cccc(-n2ccnn2)c1 ZINC000807943034 626779340 /nfs/dbraw/zinc/77/93/40/626779340.db2.gz HCYKGNLPMYUWNN-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN Nc1ccc(C=NNCc2ccc(-c3ccccc3)cc2)cn1 ZINC000807975243 626781482 /nfs/dbraw/zinc/78/14/82/626781482.db2.gz LPUBAEHQLADPFG-UHFFFAOYSA-N 0 1 302.381 3.455 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1N=NC(C)c1cn2c(n1)CCCC2 ZINC000807989112 626783146 /nfs/dbraw/zinc/78/31/46/626783146.db2.gz BYDPQHYYHWXBDZ-UHFFFAOYSA-N 0 1 313.361 3.272 20 30 DGEDMN C=C(Br)CNCc1ccc(OC)c(OC)c1C ZINC000808386752 626819700 /nfs/dbraw/zinc/81/97/00/626819700.db2.gz JGORPVPVZOPCNC-UHFFFAOYSA-N 0 1 300.196 3.010 20 30 DGEDMN N#CC(C(=O)Cc1cccc(OC(F)(F)F)c1)c1ccccn1 ZINC000134088772 626855190 /nfs/dbraw/zinc/85/51/90/626855190.db2.gz PXRREIOERQFYBV-ZDUSSCGKSA-N 0 1 320.270 3.399 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2(C)CCCC2)cc1 ZINC000808980108 626873006 /nfs/dbraw/zinc/87/30/06/626873006.db2.gz UCJBDEKEFDDDNW-HNNXBMFYSA-N 0 1 314.385 3.313 20 30 DGEDMN C=CC(C)(C)CCNC(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000884428901 626879625 /nfs/dbraw/zinc/87/96/25/626879625.db2.gz QLECBZGTTSKPKX-OAHLLOKOSA-N 0 1 300.402 3.021 20 30 DGEDMN C[C@@H](CC#N)NN=Cc1cc(Cl)cc(Br)c1O ZINC000884441749 626880816 /nfs/dbraw/zinc/88/08/16/626880816.db2.gz OFXSGXFEFXKGRZ-ZETCQYMHSA-N 0 1 316.586 3.034 20 30 DGEDMN C#Cc1ccc(CNCc2ccnc(OCC(F)(F)F)c2)cc1 ZINC000809605618 626925444 /nfs/dbraw/zinc/92/54/44/626925444.db2.gz LBTFLOOWWJXNMG-UHFFFAOYSA-N 0 1 320.314 3.294 20 30 DGEDMN Cc1cc(=O)[nH]c2cc(C(=O)Nc3cc(C#N)ccc3O)ccc12 ZINC000809706150 626939285 /nfs/dbraw/zinc/93/92/85/626939285.db2.gz MUUWIJMKNQMPPA-UHFFFAOYSA-N 0 1 319.320 3.078 20 30 DGEDMN N#C[C@H]1CCC[C@H]([NH2+]Cc2cc(Br)ccc2[O-])C1 ZINC000809713186 626940069 /nfs/dbraw/zinc/94/00/69/626940069.db2.gz LHPHJCSSFXXSEE-GWCFXTLKSA-N 0 1 309.207 3.327 20 30 DGEDMN N#Cc1csc(CN[C@H](c2ccccc2)C2CCOCC2)n1 ZINC000810146963 626980863 /nfs/dbraw/zinc/98/08/63/626980863.db2.gz ZVYHKFSIHHLXPC-QGZVFWFLSA-N 0 1 313.426 3.272 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)OCCCCC(C)(C)C#N ZINC000885261117 626995765 /nfs/dbraw/zinc/99/57/65/626995765.db2.gz SXPKPKCUZQBISH-DOMZBBRYSA-N 0 1 303.406 3.339 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2cccc(Cl)c2F)c1 ZINC000810467244 627008747 /nfs/dbraw/zinc/00/87/47/627008747.db2.gz ONXNYVWKRLLQBI-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)O[C@H](C#N)C2CCCCC2)C1 ZINC000810711371 627039714 /nfs/dbraw/zinc/03/97/14/627039714.db2.gz DNAKXULNNRUYKJ-PBHICJAKSA-N 0 1 310.413 3.215 20 30 DGEDMN C[C@H]1c2ccc(F)cc2CCN1C[C@H](O)CC1(C#N)CCC1 ZINC000886016366 627084031 /nfs/dbraw/zinc/08/40/31/627084031.db2.gz CTZMGRZGZOKYGM-XJKSGUPXSA-N 0 1 302.393 3.190 20 30 DGEDMN C[C@H]1c2c(F)cccc2CCN1C[C@H](O)CC1(C#N)CCC1 ZINC000886016985 627084760 /nfs/dbraw/zinc/08/47/60/627084760.db2.gz PVWAKBNKFBWQDP-DZGCQCFKSA-N 0 1 302.393 3.190 20 30 DGEDMN C#CCN1CCC[C@@H](NCc2csc(-c3ccsc3)n2)C1 ZINC000886213428 627121870 /nfs/dbraw/zinc/12/18/70/627121870.db2.gz SQGWGKQZEYKMRD-CQSZACIVSA-N 0 1 317.483 3.059 20 30 DGEDMN CSc1ccc(CNCc2ccc(F)nc2C)cc1C#N ZINC000886277080 627129614 /nfs/dbraw/zinc/12/96/14/627129614.db2.gz GJSIUABVIAXKHD-UHFFFAOYSA-N 0 1 301.390 3.413 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCC[C@](F)(c3ccccc3)C2)CCC1 ZINC000886309264 627134568 /nfs/dbraw/zinc/13/45/68/627134568.db2.gz YQVYVFNETYRHPT-PKOBYXMFSA-N 0 1 316.420 3.392 20 30 DGEDMN C#CC[C@@H]1CCN(CC(=O)N(Cc2ccco2)c2ccccc2)C1 ZINC000886353416 627141443 /nfs/dbraw/zinc/14/14/43/627141443.db2.gz IRGDUBNMRUIBHI-QGZVFWFLSA-N 0 1 322.408 3.158 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nc3cc(Br)ccc3[nH]2)C1 ZINC000886364440 627143770 /nfs/dbraw/zinc/14/37/70/627143770.db2.gz ZRRJMXAFRFIDHM-LLVKDONJSA-N 0 1 318.218 3.171 20 30 DGEDMN COC(=O)c1cncc([C@H](C)N[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000886603040 627171057 /nfs/dbraw/zinc/17/10/57/627171057.db2.gz FZIHSASUQOGBPY-KPZWWZAWSA-N 0 1 321.380 3.078 20 30 DGEDMN Cc1nc(C2CCN(Cc3ccc(F)cc3C#N)CC2)[nH]c1C ZINC000886665889 627184230 /nfs/dbraw/zinc/18/42/30/627184230.db2.gz JAPPSBPVHJZNBH-UHFFFAOYSA-N 0 1 312.392 3.417 20 30 DGEDMN C[C@@H](N[C@H]1CCc2c1cccc2F)C(=O)Nc1cccc(C#N)c1 ZINC000886921963 627214636 /nfs/dbraw/zinc/21/46/36/627214636.db2.gz LZPHIRSRGUQJGO-XIKOKIGWSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCC1(NCc2nc(-c3ccccc3)oc2C)CCOCC1 ZINC000887127211 627227240 /nfs/dbraw/zinc/22/72/40/627227240.db2.gz KUOHAVZYNQFNMF-UHFFFAOYSA-N 0 1 310.397 3.312 20 30 DGEDMN C#CCC1(NCc2ncc(-c3ccccc3C)o2)CCOCC1 ZINC000887124743 627227257 /nfs/dbraw/zinc/22/72/57/627227257.db2.gz REJZKEBEOGSKTO-UHFFFAOYSA-N 0 1 310.397 3.312 20 30 DGEDMN COc1cc(CNCc2cc(C)nc(Cl)c2)ccc1C#N ZINC000811513613 627286531 /nfs/dbraw/zinc/28/65/31/627286531.db2.gz LKCIAXWUCSDHIT-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NNC[C@@H](O)CC ZINC000811655915 627300541 /nfs/dbraw/zinc/30/05/41/627300541.db2.gz YBKAKOFPOBNBJQ-BBRMVZONSA-N 0 1 309.429 3.002 20 30 DGEDMN N#Cc1ccc(CN2CCC(=NOC/C=C\Cl)CC2)cc1 ZINC000811670574 627304725 /nfs/dbraw/zinc/30/47/25/627304725.db2.gz VZEWOCSXPIAFIB-QPIMQUGISA-N 0 1 303.793 3.279 20 30 DGEDMN N#Cc1ccc(C2CCN(C(=O)c3ccc(F)cc3O)CC2)cc1 ZINC000887703533 627309353 /nfs/dbraw/zinc/30/93/53/627309353.db2.gz WWACKPYYEKQXGW-UHFFFAOYSA-N 0 1 324.355 3.423 20 30 DGEDMN C#C[C@H](C)NC(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000811993328 627345742 /nfs/dbraw/zinc/34/57/42/627345742.db2.gz TVBZMIFGVUXOGQ-XJKSGUPXSA-N 0 1 321.852 3.044 20 30 DGEDMN COc1cccc(O)c1/C=N\C[C@@H](c1cccs1)N(C)C ZINC000812394011 627377505 /nfs/dbraw/zinc/37/75/05/627377505.db2.gz MYJDMLHPZUCXLF-NWRYFCBHSA-N 0 1 304.415 3.184 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H](c1ccccc1)N(C)CC)c1ccc(F)cc1 ZINC000812750191 627416470 /nfs/dbraw/zinc/41/64/70/627416470.db2.gz NQHHLXJDXOUKBA-MOPGFXCFSA-N 0 1 324.399 3.309 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000813060453 627443926 /nfs/dbraw/zinc/44/39/26/627443926.db2.gz ZUGRNIFIIBEQKS-LERXQTSPSA-N 0 1 304.777 3.424 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000889695207 627458584 /nfs/dbraw/zinc/45/85/84/627458584.db2.gz FNACJJAMPCZRIK-HUUCEWRRSA-N 0 1 321.465 3.057 20 30 DGEDMN N#CC(C(=O)CCc1cccnc1)c1cc(C(F)(F)F)ccn1 ZINC000813213347 627467602 /nfs/dbraw/zinc/46/76/02/627467602.db2.gz IIXKLMPVMSVGOM-ZDUSSCGKSA-N 0 1 319.286 3.304 20 30 DGEDMN N#CC(C(=O)CCc1ccncc1)c1cc(C(F)(F)F)ccn1 ZINC000813213488 627467622 /nfs/dbraw/zinc/46/76/22/627467622.db2.gz MZEQOEIFKJNNKY-CYBMUJFWSA-N 0 1 319.286 3.304 20 30 DGEDMN CCc1cc(C#N)ccc1OC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000813238805 627471402 /nfs/dbraw/zinc/47/14/02/627471402.db2.gz JIPXBDSRLXCFCM-SFHVURJKSA-N 0 1 318.392 3.239 20 30 DGEDMN FC(F)Cn1cc(C=NNc2nccc3sccc32)cn1 ZINC000814217543 627551874 /nfs/dbraw/zinc/55/18/74/627551874.db2.gz CEQWJPMWCRRRAY-UHFFFAOYSA-N 0 1 307.329 3.204 20 30 DGEDMN CC(N=Nc1cccc([N+](=O)[O-])c1)c1cccc(-n2cnnc2)c1 ZINC000814694472 627584015 /nfs/dbraw/zinc/58/40/15/627584015.db2.gz LZHTWOVINKXEFX-UHFFFAOYSA-N 0 1 322.328 3.012 20 30 DGEDMN CC(=NN=c1cccc[nH]1)c1nnn(-c2ccc(C)cc2)c1C ZINC000814731756 627587489 /nfs/dbraw/zinc/58/74/89/627587489.db2.gz HYMCHINJKGYRGJ-UHFFFAOYSA-N 0 1 306.373 3.115 20 30 DGEDMN C(=NN=c1nc[nH]c2sccc21)c1nc2c(s1)CCC2 ZINC000814765883 627588896 /nfs/dbraw/zinc/58/88/96/627588896.db2.gz VJKNSXTXDIJASU-UHFFFAOYSA-N 0 1 301.400 3.083 20 30 DGEDMN CCCC(N=Nc1ccc(S(C)(=O)=O)cc1)c1ccccn1 ZINC000814802324 627592945 /nfs/dbraw/zinc/59/29/45/627592945.db2.gz CDPKKXQUTIGXTF-UHFFFAOYSA-N 0 1 317.414 3.101 20 30 DGEDMN Cc1nc(C#N)c(N=NC2CCCN(Cc3ccccc3)[C@@H]2C)o1 ZINC000814813821 627594502 /nfs/dbraw/zinc/59/45/02/627594502.db2.gz JUPIXESPIXQZHB-CYBMUJFWSA-N 0 1 323.400 3.307 20 30 DGEDMN Fc1ccc2c(c1)OCC2N=Nc1ccc(Br)cn1 ZINC000814832852 627596721 /nfs/dbraw/zinc/59/67/21/627596721.db2.gz XDFLSTFHZZZPEO-UHFFFAOYSA-N 0 1 322.137 3.192 20 30 DGEDMN COc1cccc(N=NC2CC(C)(C)Oc3cc(O)ccc32)n1 ZINC000814900491 627604740 /nfs/dbraw/zinc/60/47/40/627604740.db2.gz WCBPMANLUOGGQI-UHFFFAOYSA-N 0 1 313.357 3.173 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1ccc(C)nc1C ZINC000814923555 627608303 /nfs/dbraw/zinc/60/83/03/627608303.db2.gz UGJSXYWFGPIUSG-UHFFFAOYSA-N 0 1 315.348 3.460 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1CN=Nc1cccc(Cl)n1 ZINC000814939748 627611500 /nfs/dbraw/zinc/61/15/00/627611500.db2.gz YZVPALZLUMLICP-UHFFFAOYSA-N 0 1 304.568 3.221 20 30 DGEDMN Cn1nncc1N=NCc1ccc(Sc2ccccc2)cc1 ZINC000814981742 627615266 /nfs/dbraw/zinc/61/52/66/627615266.db2.gz HHWUXHMEUMGDEV-UHFFFAOYSA-N 0 1 309.398 3.412 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000815740443 627701841 /nfs/dbraw/zinc/70/18/41/627701841.db2.gz LCKMDNTZWIBNSY-CYBMUJFWSA-N 0 1 316.779 3.270 20 30 DGEDMN CC(C)O[C@H](C(=O)Nc1cc(C#N)ccc1O)c1ccccc1 ZINC000815877980 627709129 /nfs/dbraw/zinc/70/91/29/627709129.db2.gz ODKKIOGFVNMWAH-KRWDZBQOSA-N 0 1 310.353 3.369 20 30 DGEDMN C#CCSCCN[C@@H](C)c1nc(Br)ccc1F ZINC000925453132 627737457 /nfs/dbraw/zinc/73/74/57/627737457.db2.gz GZHVYAUMOAUZAQ-VIFPVBQESA-N 0 1 317.227 3.000 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC000816397663 627781781 /nfs/dbraw/zinc/78/17/81/627781781.db2.gz OCXLFJZMSRGVGA-OAHLLOKOSA-N 0 1 313.445 3.234 20 30 DGEDMN C=CCC[C@@H](c1ccco1)N1CCc2sc(CN)nc2C1 ZINC000925751750 627787119 /nfs/dbraw/zinc/78/71/19/627787119.db2.gz OWNJTARZNLXFBO-ZDUSSCGKSA-N 0 1 303.431 3.260 20 30 DGEDMN C[C@H](NC[C@@]1(C(F)(F)F)CCCN1)c1ccc(C#N)c(F)c1 ZINC000925858651 627807084 /nfs/dbraw/zinc/80/70/84/627807084.db2.gz XWVKOQINUARPFB-IINYFYTJSA-N 0 1 315.314 3.032 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCOC[C@H]2CC2CCC2)cc1 ZINC000816667848 627823200 /nfs/dbraw/zinc/82/32/00/627823200.db2.gz YGENVVNGMMFUDH-MAUKXSAKSA-N 0 1 314.429 3.368 20 30 DGEDMN C#CCCCC(=O)Nc1ccc2oc(CN3CCCC3)nc2c1 ZINC000816766168 627828720 /nfs/dbraw/zinc/82/87/20/627828720.db2.gz LYFFVMZGVHPISP-UHFFFAOYSA-N 0 1 311.385 3.166 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)[C@@H]1CC12CC2 ZINC000817714612 627937698 /nfs/dbraw/zinc/93/76/98/627937698.db2.gz VHMSRSANDASARC-CABCVRRESA-N 0 1 304.349 3.287 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000817791647 627949297 /nfs/dbraw/zinc/94/92/97/627949297.db2.gz NDXDQIPOTJUPSN-AWEZNQCLSA-N 0 1 300.402 3.174 20 30 DGEDMN CC(C)c1nc([C@H](C)NCCNc2ccc(C#N)cn2)cs1 ZINC000927161839 628029493 /nfs/dbraw/zinc/02/94/93/628029493.db2.gz ATIOVHAABIUWTD-LBPRGKRZSA-N 0 1 315.446 3.296 20 30 DGEDMN C=CC[C@H](C(=O)NCc1cc(N(C)C)ccn1)c1ccccc1 ZINC000927699729 628092276 /nfs/dbraw/zinc/09/22/76/628092276.db2.gz FHZZFZQPMNJLKK-SFHVURJKSA-N 0 1 309.413 3.124 20 30 DGEDMN C#CCN1CCC(NC(=O)C2(c3cccc(C)c3)CCCC2)CC1 ZINC000928658218 628172848 /nfs/dbraw/zinc/17/28/48/628172848.db2.gz NAZCIOKYRKNJFP-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](C)c2ccc3ccccc3c2)CC1 ZINC000928656427 628173249 /nfs/dbraw/zinc/17/32/49/628173249.db2.gz UMPPTTDBJOTEFN-MRXNPFEDSA-N 0 1 320.436 3.157 20 30 DGEDMN C[C@H](NN=C1CCN(Cc2ccccn2)C[C@H]1C)c1ccncc1 ZINC000819814848 628192795 /nfs/dbraw/zinc/19/27/95/628192795.db2.gz GDQPYFPORFSHPM-CVEARBPZSA-N 0 1 323.444 3.025 20 30 DGEDMN Cc1cc(Br)cnc1N=NCc1ccnn1C(C)C ZINC000819828249 628193910 /nfs/dbraw/zinc/19/39/10/628193910.db2.gz WIPMXJKTISIFLO-UHFFFAOYSA-N 0 1 322.210 3.376 20 30 DGEDMN CC(=NNc1ncc(Br)cc1C)c1cc(C)nn1C ZINC000819828502 628194802 /nfs/dbraw/zinc/19/48/02/628194802.db2.gz FXRDPBWLLNIKRD-UHFFFAOYSA-N 0 1 322.210 3.031 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2ccc3c(c2)OCCO3)c1 ZINC000821021323 628327061 /nfs/dbraw/zinc/32/70/61/628327061.db2.gz FEFQSYSCIIFYNH-SOFGYWHQSA-N 0 1 323.392 3.416 20 30 DGEDMN CCN(C[C@@H](C)C#N)C[C@@H](CNC(=O)OC(C)(C)C)C(C)C ZINC000823409037 628558462 /nfs/dbraw/zinc/55/84/62/628558462.db2.gz TZMGGHSPCYJEHJ-LSDHHAIUSA-N 0 1 311.470 3.265 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000823736885 628591833 /nfs/dbraw/zinc/59/18/33/628591833.db2.gz CJTDPFNPTOBAAM-HUUCEWRRSA-N 0 1 307.438 3.313 20 30 DGEDMN N#CC1(CNC(=O)c2cccc3nc(C(F)F)[nH]c32)CCCC1 ZINC000823851936 628603083 /nfs/dbraw/zinc/60/30/83/628603083.db2.gz GELXQWSOXWDKFW-UHFFFAOYSA-N 0 1 318.327 3.314 20 30 DGEDMN C#CCn1ccc(CN(CC)C[C@@H](C)c2cc(F)cc(F)c2)n1 ZINC000824308323 628649018 /nfs/dbraw/zinc/64/90/18/628649018.db2.gz YNFJBJMNZPPSHM-CQSZACIVSA-N 0 1 317.383 3.420 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)C[C@H](C)CC(C)C)o1 ZINC000824390877 628657026 /nfs/dbraw/zinc/65/70/26/628657026.db2.gz FCDYGBWGGHXGPZ-SECBINFHSA-N 0 1 305.386 3.023 20 30 DGEDMN Cc1c(CN2CCSCC2)cccc1NC(=O)C#CC(C)C ZINC000824721035 628687150 /nfs/dbraw/zinc/68/71/50/628687150.db2.gz YKGIOCYYVOFPBR-UHFFFAOYSA-N 0 1 316.470 3.142 20 30 DGEDMN C=CCC[C@@H](NCc1cc(Cl)cc(F)c1O)C(=O)OCC ZINC000825118696 628729970 /nfs/dbraw/zinc/72/99/70/628729970.db2.gz WLGCYOLZXDIJKI-CYBMUJFWSA-N 0 1 315.772 3.172 20 30 DGEDMN O=C(N[C@@H]1C[C@H]1C(F)(F)F)C(F)(F)c1cc(F)cc(F)c1 ZINC000825355975 628753117 /nfs/dbraw/zinc/75/31/17/628753117.db2.gz FXPKJMZHLCPJQG-RKDXNWHRSA-N 0 1 315.188 3.124 20 30 DGEDMN C=CCC1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CCCC1 ZINC000825568597 628774204 /nfs/dbraw/zinc/77/42/04/628774204.db2.gz SZWYSQJXHFGFDG-UHFFFAOYSA-N 0 1 310.401 3.224 20 30 DGEDMN C=CCC1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CCCC1 ZINC000825568597 628774205 /nfs/dbraw/zinc/77/42/05/628774205.db2.gz SZWYSQJXHFGFDG-UHFFFAOYSA-N 0 1 310.401 3.224 20 30 DGEDMN C#CC[N@@H+](Cc1ccsc1C(=O)[O-])Cc1ccc(F)cc1 ZINC000825614144 628777868 /nfs/dbraw/zinc/77/78/68/628777868.db2.gz GUSFPUUJHQYCTL-UHFFFAOYSA-N 0 1 303.358 3.221 20 30 DGEDMN C#CC[N@H+](Cc1ccsc1C(=O)[O-])Cc1ccc(F)cc1 ZINC000825614144 628777870 /nfs/dbraw/zinc/77/78/70/628777870.db2.gz GUSFPUUJHQYCTL-UHFFFAOYSA-N 0 1 303.358 3.221 20 30 DGEDMN C#CC[N@H+](Cc1ccc(F)cc1)Cc1ccc(C(=O)[O-])c(F)c1 ZINC000825614614 628777975 /nfs/dbraw/zinc/77/79/75/628777975.db2.gz RIPBEZOCFGRWPZ-UHFFFAOYSA-N 0 1 315.319 3.298 20 30 DGEDMN C#CC[N@@H+](Cc1ccc(F)cc1)Cc1ccc(C(=O)[O-])c(F)c1 ZINC000825614614 628777978 /nfs/dbraw/zinc/77/79/78/628777978.db2.gz RIPBEZOCFGRWPZ-UHFFFAOYSA-N 0 1 315.319 3.298 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000826694229 628874177 /nfs/dbraw/zinc/87/41/77/628874177.db2.gz XJQUQOMQQYBITE-INIZCTEOSA-N 0 1 307.482 3.477 20 30 DGEDMN C[C@H]([NH2+][C@H](C(=O)[O-])c1cccc(C#N)c1)c1c(F)cccc1F ZINC000827717693 628985041 /nfs/dbraw/zinc/98/50/41/628985041.db2.gz KTUXTNPRPQXVER-QFYYESIMSA-N 0 1 316.307 3.313 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)[C@H]1c1ccccc1 ZINC000828412964 629051661 /nfs/dbraw/zinc/05/16/61/629051661.db2.gz PIQZFAJVQGLMCZ-XWIAVFTESA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)[C@H]1c1ccccc1 ZINC000828412964 629051663 /nfs/dbraw/zinc/05/16/63/629051663.db2.gz PIQZFAJVQGLMCZ-XWIAVFTESA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1c2ccccc2OCC[N@H+]1[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000828526807 629062672 /nfs/dbraw/zinc/06/26/72/629062672.db2.gz DPJZEBRIVJXWRX-ACJLOTCBSA-N 0 1 322.364 3.140 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(C)cc2F)C1 ZINC000829774680 629190785 /nfs/dbraw/zinc/19/07/85/629190785.db2.gz RYFIKEHVBRPWHU-HUUCEWRRSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2cc(Cl)ccc2C)C1 ZINC000829789569 629193034 /nfs/dbraw/zinc/19/30/34/629193034.db2.gz XVQBLEFIKLOZFE-CQSZACIVSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CC1CCN(Cc2cc([N+](=O)[O-])ccc2OC(C)C)CC1 ZINC000830321570 629252604 /nfs/dbraw/zinc/25/26/04/629252604.db2.gz RCPBOKJHQNSXEA-UHFFFAOYSA-N 0 1 302.374 3.227 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)N[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000830326128 629253194 /nfs/dbraw/zinc/25/31/94/629253194.db2.gz CPBBZTDRVYUCAD-LSDHHAIUSA-N 0 1 324.424 3.344 20 30 DGEDMN COc1ccc([C@@H]2C[C@H]2CC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000831271287 629356300 /nfs/dbraw/zinc/35/63/00/629356300.db2.gz DMLFNAWRPRZGMC-HOCLYGCPSA-N 0 1 322.364 3.405 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)C#Cc3ccccc3)C2)n[nH]1 ZINC000831905070 629426785 /nfs/dbraw/zinc/42/67/85/629426785.db2.gz QBAXHAUZWXWTDI-KRWDZBQOSA-N 0 1 321.424 3.291 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000832721406 629507006 /nfs/dbraw/zinc/50/70/06/629507006.db2.gz HECFOAPKLOTELE-UHFFFAOYSA-N 0 1 303.328 3.459 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H]2Cc2ccccc2)c1 ZINC000833074037 629555524 /nfs/dbraw/zinc/55/55/24/629555524.db2.gz MVCOHNWWDXUZKJ-QZTJIDSGSA-N 0 1 306.365 3.001 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3cccc(F)c3)C2)c1 ZINC000833074332 629555699 /nfs/dbraw/zinc/55/56/99/629555699.db2.gz VRWHKWCNDQXWRM-FUHWJXTLSA-N 0 1 324.355 3.313 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+](CC(=O)[O-])Cc2ccccc2)cc1 ZINC000833365289 629591968 /nfs/dbraw/zinc/59/19/68/629591968.db2.gz NQWURNOHUGNVSK-UHFFFAOYSA-N 0 1 323.392 3.176 20 30 DGEDMN C[C@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2C#N)Cc2ccccc21 ZINC000833629479 629632924 /nfs/dbraw/zinc/63/29/24/629632924.db2.gz JNSNUHXYMWKDSV-ZDUSSCGKSA-N 0 1 306.365 3.376 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)Cc2ccccc21 ZINC000833629479 629632928 /nfs/dbraw/zinc/63/29/28/629632928.db2.gz JNSNUHXYMWKDSV-ZDUSSCGKSA-N 0 1 306.365 3.376 20 30 DGEDMN CC[N@@H+](C[C@@H]1CC(C(=O)[O-])=C(C)O1)[C@@H](C)c1ccc(C#N)cc1 ZINC000833654112 629635988 /nfs/dbraw/zinc/63/59/88/629635988.db2.gz BNUQNCQNEFKWKP-LRDDRELGSA-N 0 1 314.385 3.089 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000834887149 629797745 /nfs/dbraw/zinc/79/77/45/629797745.db2.gz CXGQSUQXTGOUKM-BHYNMZESSA-N 0 1 312.207 3.149 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1c(C)oc2ccccc21 ZINC000834965874 629813810 /nfs/dbraw/zinc/81/38/10/629813810.db2.gz IMYRFEQDPLSHJN-GASCZTMLSA-N 0 1 310.401 3.325 20 30 DGEDMN C[C@H]1C(N=Nc2ncc(F)cc2F)CCN1Cc1ccccc1 ZINC000835024023 629826754 /nfs/dbraw/zinc/82/67/54/629826754.db2.gz VNDQWUHEHLLDMZ-LBPRGKRZSA-N 0 1 316.355 3.422 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000835063812 629841717 /nfs/dbraw/zinc/84/17/17/629841717.db2.gz AOQQBWYPCTWBLN-KCXAZCMYSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@H](CC(F)(F)F)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000835063362 629841731 /nfs/dbraw/zinc/84/17/31/629841731.db2.gz KJTCGRYWWKJIAV-ZYHUDNBSSA-N 0 1 318.339 3.123 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)C(=O)Nc1ccccc1Cl ZINC000835063674 629841785 /nfs/dbraw/zinc/84/17/85/629841785.db2.gz OZNTZUNQIGCKQM-MROQNXINSA-N 0 1 316.788 3.424 20 30 DGEDMN CCC[C@@H]1CCC[C@@H]1C(=O)[C@H](C#N)C(=O)NC1CCCCC1 ZINC000835064707 629842465 /nfs/dbraw/zinc/84/24/65/629842465.db2.gz YHFSXQKINVCQES-KBMXLJTQSA-N 0 1 304.434 3.361 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000835064054 629842796 /nfs/dbraw/zinc/84/27/96/629842796.db2.gz ARRFVPZCJSTOKU-JLLWLGSASA-N 0 1 320.776 3.042 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C2=CC[C@H](C)CC2)n1 ZINC000835111223 629855652 /nfs/dbraw/zinc/85/56/52/629855652.db2.gz YEMFLAMDFZHOJV-CMPLNLGQSA-N 0 1 318.398 3.242 20 30 DGEDMN CCC[C@@H](OC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000835145722 629863721 /nfs/dbraw/zinc/86/37/21/629863721.db2.gz VEGKOYJRGFZDFM-ZYHUDNBSSA-N 0 1 306.362 3.427 20 30 DGEDMN Cc1cnc(CCCC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)o1 ZINC000835171647 629869379 /nfs/dbraw/zinc/86/93/79/629869379.db2.gz GLXJWGJFGFYFDZ-ZDUSSCGKSA-N 0 1 322.368 3.367 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@@H]2CCOC2)s1 ZINC000835387879 629920654 /nfs/dbraw/zinc/92/06/54/629920654.db2.gz MNLBVPVLBZPPJH-XLMCQVRKSA-N 0 1 304.415 3.210 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)=C(O)C=C[C@@H]2CCOC2)s1 ZINC000835387879 629920657 /nfs/dbraw/zinc/92/06/57/629920657.db2.gz MNLBVPVLBZPPJH-XLMCQVRKSA-N 0 1 304.415 3.210 20 30 DGEDMN N#CC(C(=O)CCCC(=O)C1CC1)c1cc(C(F)(F)F)ccn1 ZINC000835455900 629936605 /nfs/dbraw/zinc/93/66/05/629936605.db2.gz POJDWZRXOJYLNW-LBPRGKRZSA-N 0 1 324.302 3.426 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C1CCCCC1 ZINC000102122883 629951497 /nfs/dbraw/zinc/95/14/97/629951497.db2.gz OTLJEJNCVOPTHQ-DZGCQCFKSA-N 0 1 313.401 3.335 20 30 DGEDMN C[C@@H](Cc1cnn(C)c1)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840708849 630350835 /nfs/dbraw/zinc/35/08/35/630350835.db2.gz JCCWUIFTIPUWMC-AYNSVGMASA-N 0 1 312.200 3.483 20 30 DGEDMN COc1ccc(C)cc1CN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000841492599 630442146 /nfs/dbraw/zinc/44/21/46/630442146.db2.gz NCZSMDRQAGGIKE-GOSISDBHSA-N 0 1 310.397 3.041 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CN(C)C[C@@H](O)c2cccc(C#N)c2)o1 ZINC000841492401 630442405 /nfs/dbraw/zinc/44/24/05/630442405.db2.gz JVCWAEZJUAMYOP-JEBQAFNWSA-N 0 1 310.397 3.440 20 30 DGEDMN COc1ccc(C)cc1CN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000841492600 630442475 /nfs/dbraw/zinc/44/24/75/630442475.db2.gz NCZSMDRQAGGIKE-SFHVURJKSA-N 0 1 310.397 3.041 20 30 DGEDMN CC[C@H](CC1CCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000842291801 630511823 /nfs/dbraw/zinc/51/18/23/630511823.db2.gz OTVWTRDAUDOEOM-GDBMZVCRSA-N 0 1 304.434 3.361 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C(=O)C(C)(C)[C@@H]2c2ccccc2)C1 ZINC000842630067 630555875 /nfs/dbraw/zinc/55/58/75/630555875.db2.gz WMZAOJOGDCCRMT-WMZOPIPTSA-N 0 1 310.441 3.289 20 30 DGEDMN C#CCOc1ccc(CNC2(c3ccccc3OC)CC2)cc1 ZINC000842739268 630569937 /nfs/dbraw/zinc/56/99/37/630569937.db2.gz OZCZLELJEJHUCB-UHFFFAOYSA-N 0 1 307.393 3.486 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCCN1Cc1ccccc1)c1ccccc1 ZINC000843640004 630682504 /nfs/dbraw/zinc/68/25/04/630682504.db2.gz WPAOGOZXJPNGOA-UXHICEINSA-N 0 1 318.420 3.142 20 30 DGEDMN C=C(C)[C@@H](NC[C@H]1CN(C2CC2)C(=O)O1)c1ccc(F)c(F)c1 ZINC000844322385 630719006 /nfs/dbraw/zinc/71/90/06/630719006.db2.gz MDLOJINQXAICKE-XJKSGUPXSA-N 0 1 322.355 3.155 20 30 DGEDMN CC(C)O[C@H]1CCCN(Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000844715761 630804638 /nfs/dbraw/zinc/80/46/38/630804638.db2.gz GBDSIZLIZJIYMG-SFHVURJKSA-N 0 1 324.428 3.269 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C2CCC2)cc1 ZINC000845441184 631174934 /nfs/dbraw/zinc/17/49/34/631174934.db2.gz CQJFCDYOUHPHMK-GVDBMIGSSA-N 0 1 310.397 3.333 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845442743 631175526 /nfs/dbraw/zinc/17/55/26/631175526.db2.gz PHJPEIHXADMKMP-XQQFMLRXSA-N 0 1 316.788 3.424 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC1(Cl)Cl)=C(O)C1(c2ccccc2)CC1 ZINC000845839450 631198360 /nfs/dbraw/zinc/19/83/60/631198360.db2.gz HNNKSJJPICGPPN-NEPJUHHUSA-N 0 1 322.191 3.190 20 30 DGEDMN N#CC(C(=O)C1CC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845841723 631198505 /nfs/dbraw/zinc/19/85/05/631198505.db2.gz PLFKQWFPGOJBSL-ZDUSSCGKSA-N 0 1 303.308 3.041 20 30 DGEDMN N#CC(C(=O)CCc1nccs1)=C(O)C1(c2ccccc2)CC1 ZINC000845840013 631198694 /nfs/dbraw/zinc/19/86/94/631198694.db2.gz YHLSKUVQVPLQIC-CQSZACIVSA-N 0 1 324.405 3.085 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845845224 631198885 /nfs/dbraw/zinc/19/88/85/631198885.db2.gz MWPMLMBEWXTEKQ-OCCSQVGLSA-N 0 1 317.335 3.432 20 30 DGEDMN COc1ccccc1NC(=O)C[C@H](C)NCC1(C#N)CCCC1 ZINC000846011033 631205810 /nfs/dbraw/zinc/20/58/10/631205810.db2.gz QSTUEAONJUXQHL-AWEZNQCLSA-N 0 1 315.417 3.086 20 30 DGEDMN C=C(C)CONC(=O)Cc1csc(-c2ccc(C)cc2)n1 ZINC000846540366 631256957 /nfs/dbraw/zinc/25/69/57/631256957.db2.gz RJRYRNFPGRUWLV-UHFFFAOYSA-N 0 1 302.399 3.285 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1sc(C)nc1-c1cccs1 ZINC000846545218 631257740 /nfs/dbraw/zinc/25/77/40/631257740.db2.gz UNZVBZZVRANLLM-VIFPVBQESA-N 0 1 308.428 3.345 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1csc(Cc2ccc(F)cc2)n1 ZINC000846551337 631259974 /nfs/dbraw/zinc/25/99/74/631259974.db2.gz RUKBWSWKYPRPPW-NSHDSACASA-N 0 1 320.389 3.038 20 30 DGEDMN CN(C)c1ccc(CN(Cc2ccc(C#N)cn2)C2CC2)cc1 ZINC000846776080 631302294 /nfs/dbraw/zinc/30/22/94/631302294.db2.gz IZVPHDMMUAQSMT-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN C[C@@H](NC(=O)CCCCC#N)c1nc2ccc(Cl)cc2[nH]1 ZINC000846872692 631335688 /nfs/dbraw/zinc/33/56/88/631335688.db2.gz ZBASRQZLEAVVCW-SNVBAGLBSA-N 0 1 304.781 3.477 20 30 DGEDMN C[C@@H]1CN(C[C@H](O)c2ccc(C#N)cc2)CC2(CCCCC2)O1 ZINC000847101678 631405086 /nfs/dbraw/zinc/40/50/86/631405086.db2.gz WITYJJTUTSGYHF-QAPCUYQASA-N 0 1 314.429 3.015 20 30 DGEDMN C[C@H]1CN(C[C@H](O)c2ccc(C#N)cc2)CC2(CCCCC2)O1 ZINC000847101679 631405542 /nfs/dbraw/zinc/40/55/42/631405542.db2.gz WITYJJTUTSGYHF-YJBOKZPZSA-N 0 1 314.429 3.015 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)OCc2cc(C3CC3)[nH]n2)cc1 ZINC000848011866 631596781 /nfs/dbraw/zinc/59/67/81/631596781.db2.gz UPIOSULRSZGUFD-UHFFFAOYSA-N 0 1 309.369 3.445 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2cc3n(n2)CCCC3)c(Cl)c1 ZINC000848405303 631655865 /nfs/dbraw/zinc/65/58/65/631655865.db2.gz FZEADTQBMABSGK-UHFFFAOYSA-N 0 1 319.752 3.227 20 30 DGEDMN CC(C)c1ccccc1CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000848881523 631769871 /nfs/dbraw/zinc/76/98/71/631769871.db2.gz QFSVDMHCWJRNHC-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000849344420 631897643 /nfs/dbraw/zinc/89/76/43/631897643.db2.gz LMQMVHFDRCRDFF-VGMNTSGFSA-N 0 1 315.413 3.451 20 30 DGEDMN CCCn1nccc1CNCc1cccc(O[C@H](C#N)CC)c1 ZINC000850136742 632063826 /nfs/dbraw/zinc/06/38/26/632063826.db2.gz BRNKXLJSIHDJML-KRWDZBQOSA-N 0 1 312.417 3.264 20 30 DGEDMN C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(OCC#N)cc1 ZINC000850534642 632185374 /nfs/dbraw/zinc/18/53/74/632185374.db2.gz LIHROVZDERUXBJ-CYBMUJFWSA-N 0 1 310.401 3.051 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@]23CCCC[C@H]2C3(F)F)nc(C)n1 ZINC000850755687 632215995 /nfs/dbraw/zinc/21/59/95/632215995.db2.gz KNDATLFQICRMEZ-APHBMKBZSA-N 0 1 319.355 3.485 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)C1CC2(CC2)C1 ZINC000850758975 632216729 /nfs/dbraw/zinc/21/67/29/632216729.db2.gz LBMQTTQMUTZKLV-NSHDSACASA-N 0 1 320.751 3.317 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)C1CCC(C2CC2)CC1 ZINC000850756434 632217095 /nfs/dbraw/zinc/21/70/95/632217095.db2.gz ADUSEOPWRRCYSF-VMBOVVBDSA-N 0 1 316.445 3.361 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CCC1 ZINC000850829186 632232915 /nfs/dbraw/zinc/23/29/15/632232915.db2.gz FXZWGVHRGCVIJT-IAQYHMDHSA-N 0 1 318.373 3.427 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H](C)[C@H]3CCCO3)[nH]c21 ZINC000850849813 632237219 /nfs/dbraw/zinc/23/72/19/632237219.db2.gz KDSUBIAEKTUQQU-XJKCOSOUSA-N 0 1 311.385 3.253 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC000850978073 632275446 /nfs/dbraw/zinc/27/54/46/632275446.db2.gz KYCZKUATIPTUQP-JZAWBGDQSA-N 0 1 310.397 3.009 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccccc1SC(F)F ZINC000852097064 632553158 /nfs/dbraw/zinc/55/31/58/632553158.db2.gz KHESOQISIVCJQT-HNNXBMFYSA-N 0 1 312.385 3.331 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccccc1Br ZINC000852098864 632553949 /nfs/dbraw/zinc/55/39/49/632553949.db2.gz YBLNTTGEZOTMMG-NHYWBVRUSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(OC(F)F)cc1 ZINC000852098794 632554147 /nfs/dbraw/zinc/55/41/47/632554147.db2.gz WCUZOSQHPMMYFH-MEDUHNTESA-N 0 1 310.344 3.007 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1cc(Cl)ccc1OC ZINC000852099482 632554414 /nfs/dbraw/zinc/55/44/14/632554414.db2.gz AGEHAHXVTDFCSR-ZBEGNZNMSA-N 0 1 308.809 3.067 20 30 DGEDMN N#CCCCCN1Cc2ccc(NC(=O)C(F)(F)F)cc2C1 ZINC000852334091 632590532 /nfs/dbraw/zinc/59/05/32/632590532.db2.gz DOODVBJRVJLXIN-UHFFFAOYSA-N 0 1 311.307 3.197 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2cc(C)ccc12)[C@@H](C)COC ZINC000852357049 632594366 /nfs/dbraw/zinc/59/43/66/632594366.db2.gz WHOZZZUOMYSFQF-AWEZNQCLSA-N 0 1 301.386 3.124 20 30 DGEDMN C=CC[N@H+](Cc1cc(Cl)cc(C(C)=O)c1[O-])[C@H](C)COC ZINC000852358098 632594847 /nfs/dbraw/zinc/59/48/47/632594847.db2.gz MKBJGIIQJVSOOL-LLVKDONJSA-N 0 1 311.809 3.271 20 30 DGEDMN C=CC[N@@H+](Cc1cc(Cl)cc(C(C)=O)c1[O-])[C@H](C)COC ZINC000852358098 632594848 /nfs/dbraw/zinc/59/48/48/632594848.db2.gz MKBJGIIQJVSOOL-LLVKDONJSA-N 0 1 311.809 3.271 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(F)c2)CC1(C)C ZINC000995386678 660200346 /nfs/dbraw/zinc/20/03/46/660200346.db2.gz SIQBTBCKANOHPD-CQSZACIVSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2oc3ccccc3c2Cl)C1 ZINC001032124222 660204207 /nfs/dbraw/zinc/20/42/07/660204207.db2.gz QPSIEQFZHYMSDQ-UHFFFAOYSA-N 0 1 318.804 3.324 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cc(F)ccc3s2)C1 ZINC001032180745 660211103 /nfs/dbraw/zinc/21/11/03/660211103.db2.gz MRTXREDNYIYLQQ-UHFFFAOYSA-N 0 1 318.417 3.278 20 30 DGEDMN N#CC(C(=O)CC1CCCCCC1)C(=O)NCc1ccccc1 ZINC000175101724 659915960 /nfs/dbraw/zinc/91/59/60/659915960.db2.gz CIVKAHYHZMTMIE-QGZVFWFLSA-N 0 1 312.413 3.372 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)c(C)c2Cl)C[C@H]1C ZINC000947915765 660236926 /nfs/dbraw/zinc/23/69/26/660236926.db2.gz FPTSFGKIOWSOHS-UKRRQHHQSA-N 0 1 318.848 3.173 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001032228790 660238387 /nfs/dbraw/zinc/23/83/87/660238387.db2.gz VYAHYIYKKSLSIO-UHFFFAOYSA-N 0 1 310.397 3.184 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CCC(F)(F)C2)CC1(C)C ZINC000995890730 660272432 /nfs/dbraw/zinc/27/24/32/660272432.db2.gz HRSBKRURJUALLN-NEPJUHHUSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CCCC23CC3)CC1(C)C ZINC000995959278 660273309 /nfs/dbraw/zinc/27/33/09/660273309.db2.gz PSZREJGJJBRDKQ-KBPBESRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2occc2Cl)CC1(C)C ZINC000996242849 660278243 /nfs/dbraw/zinc/27/82/43/660278243.db2.gz AKKRFQGYXUBSST-NSHDSACASA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C2CCC2)CC1(C)C ZINC000996089562 660275342 /nfs/dbraw/zinc/27/53/42/660275342.db2.gz JBHJNUXUNPGPSL-CQSZACIVSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2ccc(C)o2)CC1(C)C ZINC000996388692 660281768 /nfs/dbraw/zinc/28/17/68/660281768.db2.gz KWQPWHAVGCAGQZ-DZGCQCFKSA-N 0 1 324.852 3.271 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2csc(C)c2)CC1(C)C ZINC000996405262 660282021 /nfs/dbraw/zinc/28/20/21/660282021.db2.gz FCDNWGZWIQWPKQ-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CC3CCC2CC3)CC1(C)C ZINC000996679859 660290390 /nfs/dbraw/zinc/29/03/90/660290390.db2.gz NPYFMQPEBNFCOJ-CKUJCDMFSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(F)s2)CC1(C)C ZINC000996707477 660291375 /nfs/dbraw/zinc/29/13/75/660291375.db2.gz PTCPUNMNEQOPIC-NSHDSACASA-N 0 1 316.829 3.080 20 30 DGEDMN CCN(C(=O)C1CC1)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000997895860 660350097 /nfs/dbraw/zinc/35/00/97/660350097.db2.gz WYLGRVBYGDJYFJ-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@@H]2CCC(F)(F)C2)C1 ZINC001032614213 660378783 /nfs/dbraw/zinc/37/87/83/660378783.db2.gz KIFXMPXGSDWSNA-ILXRZTDVSA-N 0 1 324.415 3.063 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2c(C)cccc2C)C1 ZINC001032616403 660379494 /nfs/dbraw/zinc/37/94/94/660379494.db2.gz GIOCRULQCZDIEF-ROUUACIJSA-N 0 1 324.468 3.445 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC000999232484 660411402 /nfs/dbraw/zinc/41/14/02/660411402.db2.gz LZDCRGOZWMAQJK-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)sc2C)C1 ZINC000999631936 660423678 /nfs/dbraw/zinc/42/36/78/660423678.db2.gz PDXYCWHOKJEKRP-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC000999780931 660426802 /nfs/dbraw/zinc/42/68/02/660426802.db2.gz WNVXUCITFAMGAE-FZKCQIBNSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1CCC ZINC001032732878 660435367 /nfs/dbraw/zinc/43/53/67/660435367.db2.gz VUSMHMGOYWEJSN-GJZGRUSLSA-N 0 1 302.418 3.097 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCc2ccccc21 ZINC001032745127 660437348 /nfs/dbraw/zinc/43/73/48/660437348.db2.gz GTEJKEVLXCWKDY-FHWLQOOXSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCc2ccccc21 ZINC001032745127 660437351 /nfs/dbraw/zinc/43/73/51/660437351.db2.gz GTEJKEVLXCWKDY-FHWLQOOXSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccccc1Cl ZINC001032770185 660442278 /nfs/dbraw/zinc/44/22/78/660442278.db2.gz MOBHVMYXYJKDNF-HOTGVXAUSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CC(C2CC2)C2CC2)C1 ZINC001001003606 660450372 /nfs/dbraw/zinc/45/03/72/660450372.db2.gz BTHNIVZWADJSAI-OAHLLOKOSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001032806436 660451810 /nfs/dbraw/zinc/45/18/10/660451810.db2.gz QDXHCUUVRWLMLV-SNRMKQJTSA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1C(C)C ZINC001032811087 660453128 /nfs/dbraw/zinc/45/31/28/660453128.db2.gz RTMBCZUNHCQCIG-GJZGRUSLSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCc2ccccc2C1 ZINC001032824321 660459098 /nfs/dbraw/zinc/45/90/98/660459098.db2.gz JBFRJGPQYYLSCH-ZJOUEHCJSA-N 0 1 324.468 3.043 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001003550639 660496238 /nfs/dbraw/zinc/49/62/38/660496238.db2.gz RADIXRBRRYVKBR-AWEZNQCLSA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc3ccsc32)CC1 ZINC001003908616 660517141 /nfs/dbraw/zinc/51/71/41/660517141.db2.gz IDKMQXUGWVCLFL-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC001003930353 660517810 /nfs/dbraw/zinc/51/78/10/660517810.db2.gz LIKKTHMQYSDKRJ-HNNXBMFYSA-N 0 1 324.424 3.140 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C(F)F)ccc2F)C1 ZINC001033089864 660537652 /nfs/dbraw/zinc/53/76/52/660537652.db2.gz DUXBNSMCEQNZSZ-GFCCVEGCSA-N 0 1 312.335 3.096 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCCCC2(C)C)CC1 ZINC001004282442 660538777 /nfs/dbraw/zinc/53/87/77/660538777.db2.gz QABRAAVSAWFYDX-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@@H]1[C@@H](C)NCc1ccccc1C#N ZINC001004743901 660571842 /nfs/dbraw/zinc/57/18/42/660571842.db2.gz PEVQRWRKMVSDQS-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C(C)(C)C)CCN1CC#Cc1ccccc1 ZINC000947961374 660587377 /nfs/dbraw/zinc/58/73/77/660587377.db2.gz BLDYVZCMSWMMTE-SJLPKXTDSA-N 0 1 312.457 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001033208764 660589652 /nfs/dbraw/zinc/58/96/52/660589652.db2.gz VXAIKKRNTMAWLZ-UUKMXZOPSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc3ccccc3s2)C1 ZINC001033237392 660596099 /nfs/dbraw/zinc/59/60/99/660596099.db2.gz ONQPCPYYBKPMFZ-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033254093 660600192 /nfs/dbraw/zinc/60/01/92/660600192.db2.gz QIVSFWQZGOQAQA-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3c2cccc3Cl)C1 ZINC001033269946 660601886 /nfs/dbraw/zinc/60/18/86/660601886.db2.gz WLGBQXXGZQDXCX-XJKSGUPXSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2coc3cc(C)c(C)cc32)C1 ZINC001033299912 660605299 /nfs/dbraw/zinc/60/52/99/660605299.db2.gz WISCPXRXXYCLNZ-HNNXBMFYSA-N 0 1 312.413 3.382 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C(C)(C)C)CCN1Cc1ccc(C#N)s1 ZINC000947962417 660606258 /nfs/dbraw/zinc/60/62/58/660606258.db2.gz MRIVUZMGWZJRAX-QWHCGFSZSA-N 0 1 319.474 3.135 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(C(C)C)oc2C)C1 ZINC001033545360 660631833 /nfs/dbraw/zinc/63/18/33/660631833.db2.gz RHSYDAPQWMQTTO-OAHLLOKOSA-N 0 1 304.434 3.434 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001033568756 660634334 /nfs/dbraw/zinc/63/43/34/660634334.db2.gz OIAKGBBGILOTGP-IBGZPJMESA-N 0 1 322.408 3.116 20 30 DGEDMN CN(C(=O)C1=CCCC1)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001005247264 660642086 /nfs/dbraw/zinc/64/20/86/660642086.db2.gz ROWJOBCALTZYFD-UHFFFAOYSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001033633987 660642579 /nfs/dbraw/zinc/64/25/79/660642579.db2.gz HBXIURXKTRJIAC-KGLIPLIRSA-N 0 1 318.486 3.205 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001033640418 660644902 /nfs/dbraw/zinc/64/49/02/660644902.db2.gz UTXYFYHAUAWXJN-QGZVFWFLSA-N 0 1 316.445 3.054 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001033692514 660650020 /nfs/dbraw/zinc/65/00/20/660650020.db2.gz JCTLQSMWCACMKU-MJGOQNOKSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c(CC)oc3ccccc32)C1 ZINC001033687597 660650044 /nfs/dbraw/zinc/65/00/44/660650044.db2.gz AHVVTBGYCBBXRM-CQSZACIVSA-N 0 1 312.413 3.328 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001033689295 660651529 /nfs/dbraw/zinc/65/15/29/660651529.db2.gz BAIWQFRQNBMROA-VQIMIIECSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2coc(C3CCCCC3)n2)C1 ZINC001033705197 660652670 /nfs/dbraw/zinc/65/26/70/660652670.db2.gz QHYYYWXMFPNZAF-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2CC(c3cccc(F)c3)C2)C1 ZINC001033708106 660652926 /nfs/dbraw/zinc/65/29/26/660652926.db2.gz URFLFLAFFODFGO-HTWSVDAQSA-N 0 1 316.420 3.038 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033888270 660674476 /nfs/dbraw/zinc/67/44/76/660674476.db2.gz BGAUEOQKKLQCLZ-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033888270 660674478 /nfs/dbraw/zinc/67/44/78/660674478.db2.gz BGAUEOQKKLQCLZ-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(Cl)c2C)CC1 ZINC001005429437 660675457 /nfs/dbraw/zinc/67/54/57/660675457.db2.gz NODXRLUKJWNYHA-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c(C)oc3ccccc32)CC1 ZINC001005441331 660675839 /nfs/dbraw/zinc/67/58/39/660675839.db2.gz JJRYBTSNIKPAHA-UHFFFAOYSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cnc3ccccc3c2C)C1 ZINC001033905440 660677410 /nfs/dbraw/zinc/67/74/10/660677410.db2.gz LEUPREFMTTXZMF-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2nc3ccccc3cc2C)C1 ZINC001033906855 660678003 /nfs/dbraw/zinc/67/80/03/660678003.db2.gz QFGDIGAZPUUVJM-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(Cl)c(Cl)[nH]2)C1 ZINC001033917110 660680134 /nfs/dbraw/zinc/68/01/34/660680134.db2.gz QYDSTUDAPHEUQX-SNVBAGLBSA-N 0 1 316.232 3.044 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001033932473 660680986 /nfs/dbraw/zinc/68/09/86/660680986.db2.gz OJDYZMYYJWSHAX-MRXNPFEDSA-N 0 1 303.450 3.100 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc(C(C)C)c2)C1 ZINC001034005185 660695143 /nfs/dbraw/zinc/69/51/43/660695143.db2.gz HASOKNYSTGDUIV-IBGZPJMESA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001033964319 660689180 /nfs/dbraw/zinc/68/91/80/660689180.db2.gz BOTRHWHNDNFSBB-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001033999162 660692531 /nfs/dbraw/zinc/69/25/31/660692531.db2.gz LFRCFTDAFWPACJ-FHWLQOOXSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2oc(CC)cc2C)C1 ZINC001034014575 660693799 /nfs/dbraw/zinc/69/37/99/660693799.db2.gz YFGKCCAWOVFZJD-HNNXBMFYSA-N 0 1 304.434 3.263 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2csc(C3CC3)n2)C1 ZINC001034051475 660699496 /nfs/dbraw/zinc/69/94/96/660699496.db2.gz VILXDNOFDMYGKY-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001034056743 660700167 /nfs/dbraw/zinc/70/01/67/660700167.db2.gz OALDZUIQVGSDCW-KRWDZBQOSA-N 0 1 323.440 3.404 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001034043284 660700843 /nfs/dbraw/zinc/70/08/43/660700843.db2.gz CNJGHKQYNSFAJG-KRWDZBQOSA-N 0 1 316.420 3.002 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001034047922 660701178 /nfs/dbraw/zinc/70/11/78/660701178.db2.gz RBYNTXQHHZPCCS-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c3c2CCC3)C1 ZINC001034047933 660701534 /nfs/dbraw/zinc/70/15/34/660701534.db2.gz RVSSFEMYNBHZBN-INIZCTEOSA-N 0 1 312.457 3.206 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@H](NC(=O)C=C3CCC3)C2)c1 ZINC001034162817 660720761 /nfs/dbraw/zinc/72/07/61/660720761.db2.gz ZVXIJQFUCOOSTR-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001034252165 660729823 /nfs/dbraw/zinc/72/98/23/660729823.db2.gz JQMACRSMGWCGMG-FHWLQOOXSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001034252165 660729824 /nfs/dbraw/zinc/72/98/24/660729824.db2.gz JQMACRSMGWCGMG-FHWLQOOXSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001034279282 660732291 /nfs/dbraw/zinc/73/22/91/660732291.db2.gz QWSMUISDOGLQCB-UUKMXZOPSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001034300602 660734309 /nfs/dbraw/zinc/73/43/09/660734309.db2.gz WQAUZGOGINVBMK-QGZVFWFLSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(CCCC)cc2)C1 ZINC001034301892 660734331 /nfs/dbraw/zinc/73/43/31/660734331.db2.gz KDAZFBQIWYANDK-LJQANCHMSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC001034344284 660740815 /nfs/dbraw/zinc/74/08/15/660740815.db2.gz GTRQGLRIQHESBV-HNNXBMFYSA-N 0 1 318.486 3.020 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001034377335 660745096 /nfs/dbraw/zinc/74/50/96/660745096.db2.gz SIPNSSXUGOWNMT-AWEZNQCLSA-N 0 1 322.399 3.434 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001034382472 660746070 /nfs/dbraw/zinc/74/60/70/660746070.db2.gz QAKHZLXEZIEYRY-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC001034411547 660749831 /nfs/dbraw/zinc/74/98/31/660749831.db2.gz RYXTWIJKOMIINK-OAHLLOKOSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001034414508 660750561 /nfs/dbraw/zinc/75/05/61/660750561.db2.gz BQSFCISNPFCYRO-YRXWBPOGSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)CC2CCCCCC2)C1 ZINC001034414724 660750821 /nfs/dbraw/zinc/75/08/21/660750821.db2.gz BSITWGLGKRJXLY-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001034455391 660756662 /nfs/dbraw/zinc/75/66/62/660756662.db2.gz RJRYKRPUUUWBID-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001034476514 660756950 /nfs/dbraw/zinc/75/69/50/660756950.db2.gz DRFYSLOQPVNQFD-RDJZCZTQSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H](C)c2ccc(C)s2)C1 ZINC001034493878 660759785 /nfs/dbraw/zinc/75/97/85/660759785.db2.gz WARVAPUWWAETCE-CVEARBPZSA-N 0 1 318.486 3.154 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(C)C(C)(C)C2(C)C)C1 ZINC001034553853 660773585 /nfs/dbraw/zinc/77/35/85/660773585.db2.gz LTMDJXYPAZVVCD-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001034536510 660769471 /nfs/dbraw/zinc/76/94/71/660769471.db2.gz BSAKFZAXQBMNJP-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001034544666 660771611 /nfs/dbraw/zinc/77/16/11/660771611.db2.gz SJJYLQWFSAHVQC-KRWDZBQOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2coc3ccccc23)C1 ZINC001034548609 660772511 /nfs/dbraw/zinc/77/25/11/660772511.db2.gz DZQYSPNSSMRYPL-HNNXBMFYSA-N 0 1 310.397 3.040 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2CCCCCCC2)CC1 ZINC001005690997 660789667 /nfs/dbraw/zinc/78/96/67/660789667.db2.gz LFCSCSQOVCWMEJ-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(C)ccc2C2CC2)CC1 ZINC001005765993 660812905 /nfs/dbraw/zinc/81/29/05/660812905.db2.gz OCIUGWDCPLRYAR-UHFFFAOYSA-N 0 1 324.468 3.432 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1CCCC1)CC2 ZINC001035150971 660818719 /nfs/dbraw/zinc/81/87/19/660818719.db2.gz QHVJUFKFKKTHTA-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1(C3CC3)CC1)CC2 ZINC001035195858 660824072 /nfs/dbraw/zinc/82/40/72/660824072.db2.gz WWVBOLZNRCGWEH-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1CC13CCC3)CC2 ZINC001035231572 660835053 /nfs/dbraw/zinc/83/50/53/660835053.db2.gz OSIBBQLVFQMZRK-HNNXBMFYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC3(CC3)C1)CC2 ZINC001035704442 660845938 /nfs/dbraw/zinc/84/59/38/660845938.db2.gz AMGQPRQFEOTXPN-INIZCTEOSA-N 0 1 302.462 3.067 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(CCC)s1)CC2 ZINC001035717310 660847024 /nfs/dbraw/zinc/84/70/24/660847024.db2.gz WIPSMAAHMHHJNO-UHFFFAOYSA-N 0 1 318.486 3.425 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(C3CCC3)cc1)CC2 ZINC001035718976 660847324 /nfs/dbraw/zinc/84/73/24/660847324.db2.gz LKHGGRPDHAHIKF-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001035786285 660851862 /nfs/dbraw/zinc/85/18/62/660851862.db2.gz DQGRBSWENRZPJQ-RDJZCZTQSA-N 0 1 310.457 3.261 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@]1(CC)C(C)C)CC2 ZINC001035827338 660856190 /nfs/dbraw/zinc/85/61/90/660856190.db2.gz MWYCJJPTJZYKDH-XLIONFOSSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC[C@H]1CC)CC2 ZINC001035829205 660856642 /nfs/dbraw/zinc/85/66/42/660856642.db2.gz WXJGCVHYXOMUAN-SJORKVTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1ccc(C)cc1)CC2 ZINC001035838579 660857362 /nfs/dbraw/zinc/85/73/62/660857362.db2.gz UPECCRPCNIAJRW-KRWDZBQOSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C=C)c1ccccc1)CC2 ZINC001035855273 660859801 /nfs/dbraw/zinc/85/98/01/660859801.db2.gz GTHGMHLRKGUBOJ-GOSISDBHSA-N 0 1 310.441 3.067 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc([C@@H](C)CC)cc1)CC2 ZINC001035855968 660860053 /nfs/dbraw/zinc/86/00/53/660860053.db2.gz FPQJQUCAPJNBFO-KRWDZBQOSA-N 0 1 324.468 3.371 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H](C)C[C@H](C)C1)CC2 ZINC001035858591 660860440 /nfs/dbraw/zinc/86/04/40/660860440.db2.gz IETWLPFTWYIMLN-FVQHAEBGSA-N 0 1 304.478 3.169 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCc3ccccc31)CC2 ZINC001035860368 660860689 /nfs/dbraw/zinc/86/06/89/660860689.db2.gz LJAZZTYEZVDFFD-FQEVSTJZSA-N 0 1 324.468 3.001 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1C[C@@H](C)C[C@H](C)C1)CC2 ZINC001035859638 660860776 /nfs/dbraw/zinc/86/07/76/660860776.db2.gz XVIDCMKZHZXMHA-IRXDYDNUSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cccs3)CC[C@@H]21 ZINC001036633576 660934678 /nfs/dbraw/zinc/93/46/78/660934678.db2.gz FMGQMXUKBICZEL-OLZOCXBDSA-N 0 1 310.850 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(C)s3)CC[C@@H]21 ZINC001036629532 660937826 /nfs/dbraw/zinc/93/78/26/660937826.db2.gz SDDOOTWFXCOKMR-KGLIPLIRSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cscc3C)CC[C@@H]21 ZINC001036679080 660944511 /nfs/dbraw/zinc/94/45/11/660944511.db2.gz AZVLGJJUYVCOKN-HIFRSBDPSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3C(C)(C)C3(C)C)CC[C@@H]21 ZINC001036680435 660945260 /nfs/dbraw/zinc/94/52/60/660945260.db2.gz WJFPVVCFLDQSJF-KBPBESRZSA-N 0 1 324.896 3.344 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cc(C)c(C)o3)CC[C@H]21 ZINC001036692678 660952756 /nfs/dbraw/zinc/95/27/56/660952756.db2.gz KNFZKTNCCLOJGB-LSDHHAIUSA-N 0 1 322.836 3.185 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cc(C)cs3)CC[C@H]21 ZINC001036709533 660960707 /nfs/dbraw/zinc/96/07/07/660960707.db2.gz XCBOERYHHRSMLF-UONOGXRCSA-N 0 1 324.877 3.345 20 30 DGEDMN CCC(=O)N[C@H]1CCN(CC#Cc2cccc(Cl)c2)[C@@H](C)C1 ZINC000946936890 660970913 /nfs/dbraw/zinc/97/09/13/660970913.db2.gz MZMNNXYZIKKKJF-YOEHRIQHSA-N 0 1 318.848 3.071 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC001006277107 660971943 /nfs/dbraw/zinc/97/19/43/660971943.db2.gz ZVWKKLRLNCGUBJ-GFCCVEGCSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCOc1ccccc1[C@H](C)OC(=O)c1ccc2cncn2c1 ZINC000793148647 661007769 /nfs/dbraw/zinc/00/77/69/661007769.db2.gz UXVCNMFFXMOGGL-AWEZNQCLSA-N 0 1 320.348 3.264 20 30 DGEDMN Clc1cccc(N=NC2CCN(Cc3ccccc3)C2)n1 ZINC000793161861 661008637 /nfs/dbraw/zinc/00/86/37/661008637.db2.gz LYXXQLGQKVVSDR-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN COC(=O)c1csc(C(C)=NNc2cccc(Cl)n2)c1 ZINC000793173817 661009896 /nfs/dbraw/zinc/00/98/96/661009896.db2.gz DJZCAGMMWZSLPQ-UHFFFAOYSA-N 0 1 309.778 3.419 20 30 DGEDMN CCCCN(Cn1cc2c(c(C#N)c1=O)CCCC2)[C@@H](C)CC ZINC000793486452 661038155 /nfs/dbraw/zinc/03/81/55/661038155.db2.gz NJPIJHAFQXUXIX-HNNXBMFYSA-N 0 1 315.461 3.457 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000793610821 661049955 /nfs/dbraw/zinc/04/99/55/661049955.db2.gz YPEOWWKUUMCTBW-AEFFLSMTSA-N 0 1 319.832 3.495 20 30 DGEDMN COC(=O)c1csc(C(=O)/C=C\c2cc(F)c(O)c(F)c2)c1 ZINC000794724357 661101786 /nfs/dbraw/zinc/10/17/86/661101786.db2.gz PSEQRZAOUXGQHK-IHWYPQMZSA-N 0 1 324.304 3.415 20 30 DGEDMN CC(C)(C(=O)NOCc1ccccc1)c1cc(F)cc(C#N)c1 ZINC000794821218 661107242 /nfs/dbraw/zinc/10/72/42/661107242.db2.gz RUXSTBDECTYJMP-UHFFFAOYSA-N 0 1 312.344 3.223 20 30 DGEDMN Cc1ccccc1-n1nccc1/C=C/C(=O)c1ccc(O)cc1O ZINC000794941745 661115328 /nfs/dbraw/zinc/11/53/28/661115328.db2.gz XAGVJETXTQODNQ-RMKNXTFCSA-N 0 1 320.348 3.488 20 30 DGEDMN O=C1c2cc(O)ccc2CC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000794960631 661116962 /nfs/dbraw/zinc/11/69/62/661116962.db2.gz VATLBSLFNYKQCJ-YRNVUSSQSA-N 0 1 311.293 3.219 20 30 DGEDMN Fc1cccnc1N=NC1CCN(CCC(F)(F)F)CC1 ZINC000794986127 661119211 /nfs/dbraw/zinc/11/92/11/661119211.db2.gz XHRYCZCAPMCVOU-UHFFFAOYSA-N 0 1 304.291 3.037 20 30 DGEDMN CCOc1c(C=NNc2cccc(F)n2)c(C)nn1CC(C)C ZINC000795007496 661121231 /nfs/dbraw/zinc/12/12/31/661121231.db2.gz IAJVCFUXAIDTFL-UHFFFAOYSA-N 0 1 319.384 3.226 20 30 DGEDMN N#CCOc1ccc(CNc2cc[nH+]c3c([O-])cccc23)cc1 ZINC000795085418 661125575 /nfs/dbraw/zinc/12/55/75/661125575.db2.gz LKYDSFUKOXRNJI-UHFFFAOYSA-N 0 1 305.337 3.455 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc(C)cc1OC ZINC000969122189 655525767 /nfs/dbraw/zinc/52/57/67/655525767.db2.gz YYLYYSUBKXZCPA-INIZCTEOSA-N 0 1 316.445 3.164 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc(F)cc1Cl ZINC000969135510 655527510 /nfs/dbraw/zinc/52/75/10/655527510.db2.gz AKJOZECDDYICNV-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(F)cc1Cl ZINC000969135510 655527511 /nfs/dbraw/zinc/52/75/11/655527511.db2.gz AKJOZECDDYICNV-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1sccc1CC ZINC000969156422 655529300 /nfs/dbraw/zinc/52/93/00/655529300.db2.gz SGVGOKWLFFLQTO-HNNXBMFYSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1cccc2c1CCC2 ZINC000969164142 655530086 /nfs/dbraw/zinc/53/00/86/655530086.db2.gz KYCGIMSCZMEGPP-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2csc3cc(C)ccc23)C1 ZINC000969749173 655556705 /nfs/dbraw/zinc/55/67/05/655556705.db2.gz LIVYOTNDZHRBRY-CYBMUJFWSA-N 0 1 314.454 3.446 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC000969845846 655559821 /nfs/dbraw/zinc/55/98/21/655559821.db2.gz POSWQCFPRRAFCT-GFCCVEGCSA-N 0 1 316.376 3.116 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3sccc3s2)C1 ZINC000969970449 655565714 /nfs/dbraw/zinc/56/57/14/655565714.db2.gz TWCPLBYZURWOGM-SNVBAGLBSA-N 0 1 306.456 3.199 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3sccc3s2)C1 ZINC000969970445 655565810 /nfs/dbraw/zinc/56/58/10/655565810.db2.gz TWCPLBYZURWOGM-JTQLQIEISA-N 0 1 306.456 3.199 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(C)cc2O)cc(OC)c1O ZINC000255035944 655567144 /nfs/dbraw/zinc/56/71/44/655567144.db2.gz SYCKEXYUCHPBIZ-ALCCZGGFSA-N 0 1 314.337 3.320 20 30 DGEDMN CC(C)c1n[nH]c(COC(=O)C[C@H](CC#N)c2ccccc2)n1 ZINC000795574465 661160757 /nfs/dbraw/zinc/16/07/57/661160757.db2.gz GVPNLZUOMYSEGI-AWEZNQCLSA-N 0 1 312.373 3.059 20 30 DGEDMN CC(C)c1nc(COC(=O)C[C@H](CC#N)c2ccccc2)n[nH]1 ZINC000795574465 661160759 /nfs/dbraw/zinc/16/07/59/661160759.db2.gz GVPNLZUOMYSEGI-AWEZNQCLSA-N 0 1 312.373 3.059 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC000970091424 655573852 /nfs/dbraw/zinc/57/38/52/655573852.db2.gz ZTVHAFPTDMTUNE-NSHDSACASA-N 0 1 318.417 3.277 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC000970101752 655575208 /nfs/dbraw/zinc/57/52/08/655575208.db2.gz QQUCGOQVWBEKQL-AWEZNQCLSA-N 0 1 320.436 3.175 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(CC)c(CC)c1 ZINC001039099384 655575739 /nfs/dbraw/zinc/57/57/39/655575739.db2.gz XTFCEIZEAHQOTN-SFHVURJKSA-N 0 1 300.446 3.192 20 30 DGEDMN CC(=O)NC[C@@H]1CCCCCN1CC#Cc1cccc(Cl)c1 ZINC000968720003 655585597 /nfs/dbraw/zinc/58/55/97/655585597.db2.gz VCJLBYSOGASGJF-SFHVURJKSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)C1 ZINC000970215069 655588743 /nfs/dbraw/zinc/58/87/43/655588743.db2.gz LGGFEYUXGIPRDA-MGPQQGTHSA-N 0 1 324.877 3.103 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC000970269218 655591218 /nfs/dbraw/zinc/59/12/18/655591218.db2.gz VBYPFLCHHSLUDP-AWEZNQCLSA-N 0 1 318.848 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(F)F)cc2)C1 ZINC000970268889 655591391 /nfs/dbraw/zinc/59/13/91/655591391.db2.gz WJDQOZPOVCSRLJ-CYBMUJFWSA-N 0 1 314.763 3.181 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C2CCC2)C1 ZINC001039178249 655600811 /nfs/dbraw/zinc/60/08/11/655600811.db2.gz NDAUTKSFYYHSRK-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3ccccc3c2)C1 ZINC000970561426 655606262 /nfs/dbraw/zinc/60/62/62/655606262.db2.gz VBGIUJRHNMTODM-QGZVFWFLSA-N 0 1 314.816 3.396 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(OC(C)C)cc2)C1 ZINC000970633491 655610363 /nfs/dbraw/zinc/61/03/63/655610363.db2.gz RFCLKMJJMVZDGQ-OAHLLOKOSA-N 0 1 322.836 3.030 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc3sccc3c2)C1 ZINC000970672017 655611801 /nfs/dbraw/zinc/61/18/01/655611801.db2.gz BKWWVDNXCXARJI-LBPRGKRZSA-N 0 1 300.427 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(CC)c(C)s2)C1 ZINC000970671463 655612014 /nfs/dbraw/zinc/61/20/14/655612014.db2.gz YHQNXVHIMFNRNF-CYBMUJFWSA-N 0 1 312.866 3.176 20 30 DGEDMN COc1cccc(/C=N\C[C@@H](c2cccs2)N(C)C)c1O ZINC000255165170 655618884 /nfs/dbraw/zinc/61/88/84/655618884.db2.gz KUEZYIUURBMFLA-NWRYFCBHSA-N 0 1 304.415 3.184 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2c(F)cccc2Cl)C1 ZINC000970783643 655619996 /nfs/dbraw/zinc/61/99/96/655619996.db2.gz KLPVBHUZFZJKRS-LLVKDONJSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC000970791241 655620865 /nfs/dbraw/zinc/62/08/65/655620865.db2.gz NNFIPLIEYYVHED-SNVBAGLBSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2c(C)csc2Cl)C1 ZINC000970801214 655621843 /nfs/dbraw/zinc/62/18/43/655621843.db2.gz BTQAYLAJDKNIFJ-LLVKDONJSA-N 0 1 312.866 3.336 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000970810181 655623896 /nfs/dbraw/zinc/62/38/96/655623896.db2.gz LGMAEOAMDQEWHF-LLVKDONJSA-N 0 1 310.800 3.024 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C(\C)C2CC2)C1 ZINC001021529751 655625378 /nfs/dbraw/zinc/62/53/78/655625378.db2.gz PZUPYRRDQQVMEB-GABYWQGASA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C=C(/C)C2CC2)C1 ZINC001021529754 655625468 /nfs/dbraw/zinc/62/54/68/655625468.db2.gz PZUPYRRDQQVMEB-YVYLTUATSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C(F)(F)F)CCCC2)C1 ZINC000970868278 655631534 /nfs/dbraw/zinc/63/15/34/655631534.db2.gz YIADWJYFIFVXOW-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(F)c(C)cc2F)C[C@@H]1C ZINC000947417249 655637488 /nfs/dbraw/zinc/63/74/88/655637488.db2.gz NZMNYTVGTJKQNI-QWHCGFSZSA-N 0 1 308.372 3.042 20 30 DGEDMN CCOC(=O)c1ccc(/C=C\C(=O)c2cc(F)ccc2O)o1 ZINC000255354162 655705263 /nfs/dbraw/zinc/70/52/63/655705263.db2.gz LEWFAUQZMXYPLM-DAXSKMNVSA-N 0 1 304.273 3.197 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCOc2ccccc2[C@H]1CO ZINC000933384723 655710285 /nfs/dbraw/zinc/71/02/85/655710285.db2.gz PFOZVDOKASRVAP-LJQANCHMSA-N 0 1 322.408 3.103 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccccc2C(C)(C)CC)C1 ZINC000971756376 655724659 /nfs/dbraw/zinc/72/46/59/655724659.db2.gz LKRDCWVPCZVULB-INIZCTEOSA-N 0 1 312.457 3.154 20 30 DGEDMN N#CC1(CCN2CCOC[C@H]2C[C@@H]2CCCO2)CCCCC1 ZINC000933683064 655730069 /nfs/dbraw/zinc/73/00/69/655730069.db2.gz GRHKZWANRMYJEN-SJORKVTESA-N 0 1 306.450 3.120 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001039476107 655745698 /nfs/dbraw/zinc/74/56/98/655745698.db2.gz ZUPGQGFWSLEBGU-WSTZPKSXSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](c1ncccc1C)C(C)(C)C ZINC000934293166 655764499 /nfs/dbraw/zinc/76/44/99/655764499.db2.gz LNMHAYARZCHDCM-GOSISDBHSA-N 0 1 315.461 3.267 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)N(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000934466593 655777326 /nfs/dbraw/zinc/77/73/26/655777326.db2.gz TWLNHUUKKGMHJE-OCCSQVGLSA-N 0 1 308.372 3.135 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC000972247746 655782458 /nfs/dbraw/zinc/78/24/58/655782458.db2.gz VTRZWWIUNGUUAQ-LPHOPBHVSA-N 0 1 315.461 3.025 20 30 DGEDMN CCOC(=O)CCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000126762759 655812146 /nfs/dbraw/zinc/81/21/46/655812146.db2.gz LGYHVPOPEDLCJF-LZYBPNLTSA-N 0 1 304.173 3.461 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCCN(C/C=C/Cl)C2)CC1 ZINC001023572225 655845070 /nfs/dbraw/zinc/84/50/70/655845070.db2.gz YMPIVWKGATXEGS-RUFWOKOUSA-N 0 1 310.869 3.314 20 30 DGEDMN Cn1c(Cl)c(Cl)cc1C(=O)Nc1cc(C#N)ccc1O ZINC000176830686 655849816 /nfs/dbraw/zinc/84/98/16/655849816.db2.gz PKCHGLZDYUZVIT-UHFFFAOYSA-N 0 1 310.140 3.161 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(O)c(OC)c2)c(O)c1 ZINC000255695334 655890438 /nfs/dbraw/zinc/89/04/38/655890438.db2.gz LGNRDVBCONJYPE-CLTKARDFSA-N 0 1 300.310 3.011 20 30 DGEDMN CC(=Cc1ccccc1Cl)C(=O)[C@@H](C#N)C(=O)N1CCCC1 ZINC000179379680 655891259 /nfs/dbraw/zinc/89/12/59/655891259.db2.gz ROBJGCJMUXOGAH-IEZBTEQYSA-N 0 1 316.788 3.075 20 30 DGEDMN CC1(C)CCC[C@@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C1 ZINC000179382125 655891438 /nfs/dbraw/zinc/89/14/38/655891438.db2.gz DNERTLIYRIBXNS-UKRRQHHQSA-N 0 1 304.434 3.361 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001024452986 655906461 /nfs/dbraw/zinc/90/64/61/655906461.db2.gz SXCOSHVNQFRQND-XMTFNYHQSA-N 0 1 302.462 3.136 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(Cl)c1C ZINC001024450753 655906769 /nfs/dbraw/zinc/90/67/69/655906769.db2.gz QDPRGUJVYHVUPK-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(OC)c(C)c1 ZINC001024450248 655906978 /nfs/dbraw/zinc/90/69/78/655906978.db2.gz LFLFYURGWOPAAM-QGZVFWFLSA-N 0 1 316.445 3.082 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(OCCC)c1 ZINC001024467095 655908164 /nfs/dbraw/zinc/90/81/64/655908164.db2.gz UWGNZJOYGBULLS-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2ccccc2nc1C ZINC001024468408 655908211 /nfs/dbraw/zinc/90/82/11/655908211.db2.gz ORRHEHRQUDVWMC-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C(=C)C)CC[C@H]21 ZINC001036791922 661201978 /nfs/dbraw/zinc/20/19/78/661201978.db2.gz HEJRZEPMWJAWJO-LSDHHAIUSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccnn1C(CC)CC ZINC001024479242 655909097 /nfs/dbraw/zinc/90/90/97/655909097.db2.gz CTNZNLOYUCYRDB-MRXNPFEDSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1C2CC3CC(C2)CC1C3 ZINC001024480812 655909843 /nfs/dbraw/zinc/90/98/43/655909843.db2.gz PAEXDNCGOCBSQM-OIAUPDTQSA-N 0 1 316.489 3.215 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1c(C)noc1C(C)C ZINC001024490259 655910105 /nfs/dbraw/zinc/91/01/05/655910105.db2.gz KHSKDONYOKYDCE-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001024510359 655911786 /nfs/dbraw/zinc/91/17/86/655911786.db2.gz KFJRUPZLKGOOTP-OWCLPIDISA-N 0 1 304.459 3.008 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001042183308 655911957 /nfs/dbraw/zinc/91/19/57/655911957.db2.gz JAYGVWALMIDLQZ-PYMSSDGJSA-N 0 1 310.869 3.264 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001024506056 655912011 /nfs/dbraw/zinc/91/20/11/655912011.db2.gz INOWOWOUWKRGJW-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001024506056 655912013 /nfs/dbraw/zinc/91/20/13/655912013.db2.gz INOWOWOUWKRGJW-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN Cc1ccc(N2CCCC2)c(C(=O)Nc2cc(C#N)ccc2O)c1 ZINC000179731022 655913088 /nfs/dbraw/zinc/91/30/88/655913088.db2.gz YTOZLBZCBPFTLD-UHFFFAOYSA-N 0 1 321.380 3.425 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)cc(OC)c1C ZINC001024534036 655914078 /nfs/dbraw/zinc/91/40/78/655914078.db2.gz DAKNJAIESJADTG-INIZCTEOSA-N 0 1 316.445 3.082 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(Cl)ccc1F ZINC001024541319 655914880 /nfs/dbraw/zinc/91/48/80/655914880.db2.gz IJLHKMJMOFWFMW-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCc2cc(F)ccc21 ZINC001024557139 655916627 /nfs/dbraw/zinc/91/66/27/655916627.db2.gz MLTIDHIAWCSNIQ-AEFFLSMTSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cncc2sccc21 ZINC001024619907 655924892 /nfs/dbraw/zinc/92/48/92/655924892.db2.gz HSYJGUPAXGYRHL-ZDUSSCGKSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001024620951 655924894 /nfs/dbraw/zinc/92/48/94/655924894.db2.gz GMVSLVGDLPGPSB-FUHWJXTLSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(Cl)c1F ZINC001024628225 655925819 /nfs/dbraw/zinc/92/58/19/655925819.db2.gz PWXFDZWFZSRGSL-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC3CC(C)(C)C3)CC[C@@H]21 ZINC001036800463 661203786 /nfs/dbraw/zinc/20/37/86/661203786.db2.gz UAJLIXGPUNHKJK-CVEARBPZSA-N 0 1 324.896 3.488 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001024673590 655928635 /nfs/dbraw/zinc/92/86/35/655928635.db2.gz NDTQJSBHRCIWJB-KZNAEPCWSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)cc1Cl ZINC001024670193 655928968 /nfs/dbraw/zinc/92/89/68/655928968.db2.gz NODHPEPSRYUOBZ-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc(F)c1Cl ZINC001024677908 655929457 /nfs/dbraw/zinc/92/94/57/655929457.db2.gz LMHXXQLNECCPGU-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC001024676305 655929460 /nfs/dbraw/zinc/92/94/60/655929460.db2.gz UWSHQPPJUPEBSJ-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001024710774 655932935 /nfs/dbraw/zinc/93/29/35/655932935.db2.gz YURFCJWWMLHZQG-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc(C)c(CC)o1 ZINC001024754885 655935699 /nfs/dbraw/zinc/93/56/99/655935699.db2.gz ZNXLLBQZJKYFSP-OAHLLOKOSA-N 0 1 304.434 3.311 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001024792001 655939011 /nfs/dbraw/zinc/93/90/11/655939011.db2.gz GUKAOPXGLHHEHS-CQSZACIVSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001024790435 655939296 /nfs/dbraw/zinc/93/92/96/655939296.db2.gz IPQUGIIZRLTUHP-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC001024791279 655939829 /nfs/dbraw/zinc/93/98/29/655939829.db2.gz XOILHUXKHANALE-HNNXBMFYSA-N 0 1 316.470 3.233 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc2cc(C)ccc2o1 ZINC001024813429 655941621 /nfs/dbraw/zinc/94/16/21/655941621.db2.gz CJBXOSRVXFMJBW-KRWDZBQOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCCC1(C)C ZINC001024816564 655942561 /nfs/dbraw/zinc/94/25/61/655942561.db2.gz MJMUGVLKCYUFBS-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001025039320 655958340 /nfs/dbraw/zinc/95/83/40/655958340.db2.gz LUXJHYPFBJZKMD-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C)CC(=C)C3)CC[C@H]21 ZINC001036816153 661208253 /nfs/dbraw/zinc/20/82/53/661208253.db2.gz QLTFCXDVAFGBGT-HUUCEWRRSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)ccc1C ZINC001017880347 655970574 /nfs/dbraw/zinc/97/05/74/655970574.db2.gz MFVMDZNDMXFPRG-KDURUIRLSA-N 0 1 312.457 3.097 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001025185801 655973967 /nfs/dbraw/zinc/97/39/67/655973967.db2.gz UGWBWRYYVKVGLN-JKSUJKDBSA-N 0 1 316.470 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2ccc(F)cc2)C1 ZINC001025323613 655993707 /nfs/dbraw/zinc/99/37/07/655993707.db2.gz QYCHEOYBCFIHCX-UKRRQHHQSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2ccsc2)C1 ZINC001025327946 655994316 /nfs/dbraw/zinc/99/43/16/655994316.db2.gz FOEDPFHYKBPGMZ-OLZOCXBDSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2cc[nH]c2CC)C1 ZINC001025412842 656007313 /nfs/dbraw/zinc/00/73/13/656007313.db2.gz ZVOUHTFCBVVBPE-ZIAGYGMSSA-N 0 1 323.868 3.160 20 30 DGEDMN C=C(C)C[N@@H+](CC)Cc1cc(=O)oc2cc([O-])c(CC)cc12 ZINC000098068893 656011725 /nfs/dbraw/zinc/01/17/25/656011725.db2.gz UIWCBFGTQJDRAG-UHFFFAOYSA-N 0 1 301.386 3.459 20 30 DGEDMN C=C(C)C[N@H+](CC)Cc1cc(=O)oc2cc([O-])c(CC)cc12 ZINC000098068893 656011730 /nfs/dbraw/zinc/01/17/30/656011730.db2.gz UIWCBFGTQJDRAG-UHFFFAOYSA-N 0 1 301.386 3.459 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2[nH]c(C)cc2C)C1 ZINC001025459809 656016651 /nfs/dbraw/zinc/01/66/51/656016651.db2.gz SSRSESNAMBEISW-HUUCEWRRSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001025515471 656026848 /nfs/dbraw/zinc/02/68/48/656026848.db2.gz LLILBECNLMFHHO-LEOABGAYSA-N 0 1 310.869 3.002 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(F)c2ccccc2c1 ZINC001038333069 656056613 /nfs/dbraw/zinc/05/66/13/656056613.db2.gz JDLRBZOHFMZNLV-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(C)cc3)CC[C@H]21 ZINC001036878563 661220171 /nfs/dbraw/zinc/22/01/71/661220171.db2.gz YSIIXXMJHUFIAQ-DLBZAZTESA-N 0 1 318.848 3.284 20 30 DGEDMN CC(C)[C@]1(CO)CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC000930586818 656098047 /nfs/dbraw/zinc/09/80/47/656098047.db2.gz ZZEQRDOAJIUWMG-QGZVFWFLSA-N 0 1 306.837 3.442 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CCC=CCC3)CC[C@@H]21 ZINC001036899201 661225669 /nfs/dbraw/zinc/22/56/69/661225669.db2.gz JNQAMAVTYVKULG-IRXDYDNUSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@@H](C)C2)CC1 ZINC000947685116 656143908 /nfs/dbraw/zinc/14/39/08/656143908.db2.gz LMZJZEUMYKLCJO-MFOHWDLDSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001038374390 656180457 /nfs/dbraw/zinc/18/04/57/656180457.db2.gz QKPVXQUMCHVQFA-QGZVFWFLSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2nc(C(C)C)oc2C)C(C)(C)C1 ZINC000974575319 656214637 /nfs/dbraw/zinc/21/46/37/656214637.db2.gz DSPAOISWUNEMGP-AWEZNQCLSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H]2C[C@H]2c2sccc2C)C(C)(C)C1 ZINC000974578483 656215281 /nfs/dbraw/zinc/21/52/81/656215281.db2.gz PLVQKEFHBJTPTK-KFWWJZLASA-N 0 1 318.486 3.173 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCc3ccccc32)C(C)(C)C1 ZINC000974748605 656226356 /nfs/dbraw/zinc/22/63/56/656226356.db2.gz CBUCGCDPIZKKRI-QZTJIDSGSA-N 0 1 312.457 3.119 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](CCC(F)(F)F)CC2(C)C)C1 ZINC000975032923 656251964 /nfs/dbraw/zinc/25/19/64/656251964.db2.gz ZXCGERLDROJRDF-GFCCVEGCSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC(F)(F)F)CC2(C)C)C1 ZINC000975032923 656251966 /nfs/dbraw/zinc/25/19/66/656251966.db2.gz ZXCGERLDROJRDF-GFCCVEGCSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2cccc(C)c2)C(C)(C)C1 ZINC000975082076 656254679 /nfs/dbraw/zinc/25/46/79/656254679.db2.gz IKSKGJHTDPGLMH-NVXWUHKLSA-N 0 1 300.446 3.111 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)C(C)(C)C1 ZINC000975074683 656256269 /nfs/dbraw/zinc/25/62/69/656256269.db2.gz KOQCZKIGRQFOTL-ULQDDVLXSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001009053923 656265334 /nfs/dbraw/zinc/26/53/34/656265334.db2.gz WRDYJWKJBLIBHI-OAHLLOKOSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001009700462 656313538 /nfs/dbraw/zinc/31/35/38/656313538.db2.gz BAITVBCXVPYYTF-OKZBNKHCSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(CC)cc2)C(C)(C)C1 ZINC000977350532 656324020 /nfs/dbraw/zinc/32/40/20/656324020.db2.gz RFIXFDQYYYEYFQ-CRAIPNDOSA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C)c2Cl)C(C)(C)C1 ZINC000977358893 656324688 /nfs/dbraw/zinc/32/46/88/656324688.db2.gz WZPUXZFJZQIJAH-CQSZACIVSA-N 0 1 306.837 3.275 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C(C)(C)C1 ZINC000977398249 656326514 /nfs/dbraw/zinc/32/65/14/656326514.db2.gz XHFDSHCCFOQRTG-KZNAEPCWSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C)c(CC)o2)C(C)(C)C1 ZINC000977443998 656330264 /nfs/dbraw/zinc/33/02/64/656330264.db2.gz LZUQUJJPNDXKJQ-INIZCTEOSA-N 0 1 304.434 3.167 20 30 DGEDMN C#CCC[N@H+]1C[C@H](NC(=O)c2cccc(C3CCC3)c2)C(C)(C)C1 ZINC000977452058 656330480 /nfs/dbraw/zinc/33/04/80/656330480.db2.gz KJVNRZWGVOLWMI-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(CC)cc2C)C(C)(C)C1 ZINC000977455687 656331210 /nfs/dbraw/zinc/33/12/10/656331210.db2.gz STKZGCFFXPFKMB-OAHLLOKOSA-N 0 1 304.434 3.167 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc3ccccc32)C(C)(C)C1 ZINC000977550855 656341459 /nfs/dbraw/zinc/34/14/59/656341459.db2.gz BKMVOJJODXHXIL-LJQANCHMSA-N 0 1 320.436 3.303 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc3ccccc32)C(C)(C)C1 ZINC000977550852 656341550 /nfs/dbraw/zinc/34/15/50/656341550.db2.gz BKMVOJJODXHXIL-IBGZPJMESA-N 0 1 320.436 3.303 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(CC)s2)C(C)(C)C1 ZINC000977573633 656343772 /nfs/dbraw/zinc/34/37/72/656343772.db2.gz GNDKXJUNPDXCJL-HNNXBMFYSA-N 0 1 306.475 3.245 20 30 DGEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000977627696 656350815 /nfs/dbraw/zinc/35/08/15/656350815.db2.gz LJPAZHWXKSXDCW-SFHVURJKSA-N 0 1 310.441 3.028 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000977627696 656350817 /nfs/dbraw/zinc/35/08/17/656350817.db2.gz LJPAZHWXKSXDCW-SFHVURJKSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CC2)C(C)(C)C1 ZINC000977661507 656352377 /nfs/dbraw/zinc/35/23/77/656352377.db2.gz UUGSYHCPPKXMSI-QGZVFWFLSA-N 0 1 312.457 3.039 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C(C)C)s2)C(C)(C)C1 ZINC000977650326 656352443 /nfs/dbraw/zinc/35/24/43/656352443.db2.gz NPYZMCFAIYIPMX-MRXNPFEDSA-N 0 1 318.486 3.335 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cnc(C(C)C)s2)C(C)(C)C1 ZINC000977675287 656355320 /nfs/dbraw/zinc/35/53/20/656355320.db2.gz VUHQIXJTZDADJP-AWEZNQCLSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCC(C)=C(C)C3)[C@@H]2C1 ZINC000978221182 656370240 /nfs/dbraw/zinc/37/02/40/656370240.db2.gz PNJOYILFMYKMNJ-VQHPVUNQSA-N 0 1 322.880 3.169 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001052280428 656424978 /nfs/dbraw/zinc/42/49/78/656424978.db2.gz PAFMZUKSDRISRN-WCVJEAGWSA-N 0 1 310.869 3.146 20 30 DGEDMN C[C@H](C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001052282525 656425185 /nfs/dbraw/zinc/42/51/85/656425185.db2.gz OEMGQQDQKZLLOB-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2C(C)(C)C2(C)C)CC1 ZINC001052288546 656425790 /nfs/dbraw/zinc/42/57/90/656425790.db2.gz NHGNFESUCUCLOP-CYBMUJFWSA-N 0 1 312.885 3.392 20 30 DGEDMN O=C(N[C@H]1CCCN(CC#Cc2ccccc2)CC1)C1=CCCC1 ZINC001052304470 656426638 /nfs/dbraw/zinc/42/66/38/656426638.db2.gz LZGIPQCEYGXVSJ-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CCC(F)(F)C2)CC1 ZINC001052433510 656436213 /nfs/dbraw/zinc/43/62/13/656436213.db2.gz TZKIQAVNDZEAFY-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C23CCC(CC2)C3)CC1 ZINC001052499197 656439603 /nfs/dbraw/zinc/43/96/03/656439603.db2.gz PTEAXCHVJJVZRY-ISXOHVOVSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC001052589874 656447338 /nfs/dbraw/zinc/44/73/38/656447338.db2.gz QIKUNKQZIPASQP-IVSAIRAKSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)CCCCCC1 ZINC001039387539 656492233 /nfs/dbraw/zinc/49/22/33/656492233.db2.gz VUJBSQOQKKLYSO-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(C)cc1 ZINC001039402573 656494035 /nfs/dbraw/zinc/49/40/35/656494035.db2.gz DQFOGEAWBUKCGY-UXHICEINSA-N 0 1 324.468 3.016 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cccs1 ZINC001039416270 656496797 /nfs/dbraw/zinc/49/67/97/656496797.db2.gz QTPIAEFCDSJSQR-CABCVRRESA-N 0 1 318.486 3.277 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C(F)F)CCCC1 ZINC001039424703 656499156 /nfs/dbraw/zinc/49/91/56/656499156.db2.gz FGPOMUMRVIIASV-KGLIPLIRSA-N 0 1 312.404 3.063 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1oc2c(cccc2F)c1C ZINC001027931632 656502063 /nfs/dbraw/zinc/50/20/63/656502063.db2.gz DBPPGMQCOYQHGR-CYBMUJFWSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001039442689 656502357 /nfs/dbraw/zinc/50/23/57/656502357.db2.gz OJXFSWGFSLOTFD-OWSLCNJRSA-N 0 1 316.489 3.454 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](c1ccccc1)C(C)C ZINC001039444835 656503394 /nfs/dbraw/zinc/50/33/94/656503394.db2.gz UYYQUQMWOQMZAH-AABGKKOBSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1occc1C)C2 ZINC001048505486 656503620 /nfs/dbraw/zinc/50/36/20/656503620.db2.gz UCUAPNHTDHTTRX-QDMKHBRRSA-N 0 1 322.836 3.313 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1ccccc1C ZINC001039447528 656503648 /nfs/dbraw/zinc/50/36/48/656503648.db2.gz QTUMMQGBILEEPG-QRVBRYPASA-N 0 1 324.468 3.187 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CC1CCCC1 ZINC001039447705 656503826 /nfs/dbraw/zinc/50/38/26/656503826.db2.gz ZNBUHWHZHYQCCX-QRVBRYPASA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1oc(CC)cc1C ZINC001039460193 656506588 /nfs/dbraw/zinc/50/65/88/656506588.db2.gz DWNQRGFAXRHHNG-CVEARBPZSA-N 0 1 316.445 3.405 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1ccccc1 ZINC001039466163 656508861 /nfs/dbraw/zinc/50/88/61/656508861.db2.gz VUUQILATOIBTMA-SXLOBPIMSA-N 0 1 324.468 3.269 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCC1CCCCC1 ZINC001039466470 656509197 /nfs/dbraw/zinc/50/91/97/656509197.db2.gz MTPKLGJLTRQSMH-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(F)c(C)c1 ZINC001039472717 656511017 /nfs/dbraw/zinc/51/10/17/656511017.db2.gz GGNXGOCECAAWEK-SJORKVTESA-N 0 1 316.420 3.307 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCC1(C)C ZINC001039475752 656511472 /nfs/dbraw/zinc/51/14/72/656511472.db2.gz HSCZHJVDFITZQT-SQNIBIBYSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3CCCCCC3)C[C@@H]2C1 ZINC001048719264 656534087 /nfs/dbraw/zinc/53/40/87/656534087.db2.gz DTIKHSNTNHTELU-IYBDPMFKSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCCN1CCC[C@@H]1CNC(=O)c1cc2sccc2s1 ZINC001027969138 656557300 /nfs/dbraw/zinc/55/73/00/656557300.db2.gz BZDSEXSAMDGCTL-GFCCVEGCSA-N 0 1 318.467 3.180 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CCC3CCCCC3)C[C@@H]2C1 ZINC001049200618 656571816 /nfs/dbraw/zinc/57/18/16/656571816.db2.gz GHKLSGSZKFTLRI-CALCHBBNSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3CC[C@@H](C)C3)C2)CC1 ZINC001040875166 656612849 /nfs/dbraw/zinc/61/28/49/656612849.db2.gz UNJLSQAXWCQBJZ-ZBFHGGJFSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1csc(C)c1C ZINC001049497675 656630815 /nfs/dbraw/zinc/63/08/15/656630815.db2.gz IATHSVXKBXQHPL-SJORKVTESA-N 0 1 316.470 3.067 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc2c(cc(C)cc2C)[nH]1 ZINC001028054383 656653690 /nfs/dbraw/zinc/65/36/90/656653690.db2.gz XBSMCZADTQUHSF-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028070667 656661981 /nfs/dbraw/zinc/66/19/81/656661981.db2.gz IISZVRCQQLNVLR-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028070667 656661983 /nfs/dbraw/zinc/66/19/83/656661983.db2.gz IISZVRCQQLNVLR-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1C1(C)CC1 ZINC001049715092 656672824 /nfs/dbraw/zinc/67/28/24/656672824.db2.gz SLKBYEVPIPIYEZ-RTBURBONSA-N 0 1 322.452 3.050 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccsc1Cl ZINC001049761605 656681935 /nfs/dbraw/zinc/68/19/35/656681935.db2.gz KMMSILCIPKEQJK-UONOGXRCSA-N 0 1 322.861 3.104 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(Cc3ccccc3)CCC2)CC1 ZINC000981353258 656683727 /nfs/dbraw/zinc/68/37/27/656683727.db2.gz CHQUAFPYDSYVOX-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc([C@H](C)CC)no1 ZINC001049770335 656683946 /nfs/dbraw/zinc/68/39/46/656683946.db2.gz PVMMMJAKQKZMQT-VNQPRFMTSA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(CC)o1 ZINC001049844991 656696615 /nfs/dbraw/zinc/69/66/15/656696615.db2.gz UPTGPPXLEPTJBZ-LSDHHAIUSA-N 0 1 302.418 3.015 20 30 DGEDMN CC(C)CC(=O)N1CC[C@@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001041906773 656698068 /nfs/dbraw/zinc/69/80/68/656698068.db2.gz NXYRFPOQSJEUOL-PMACEKPBSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc(Cl)c1 ZINC001049855391 656699888 /nfs/dbraw/zinc/69/98/88/656699888.db2.gz CDKAVIKPQKBRIB-DLBZAZTESA-N 0 1 316.832 3.042 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1Cl ZINC001049855530 656700379 /nfs/dbraw/zinc/70/03/79/656700379.db2.gz VOXYTQKWHVGYRF-IRXDYDNUSA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1c(C)csc1Cl ZINC001049866015 656705604 /nfs/dbraw/zinc/70/56/04/656705604.db2.gz AYFTZYBLHMFHHK-CHWSQXEVSA-N 0 1 322.861 3.022 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(C(F)F)o1 ZINC001049870846 656707300 /nfs/dbraw/zinc/70/73/00/656707300.db2.gz OGWKGCSWJZFGQI-VXGBXAGGSA-N 0 1 310.344 3.082 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049872703 656707336 /nfs/dbraw/zinc/70/73/36/656707336.db2.gz UJJCSYXSKQMAPX-OLZOCXBDSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C4CCC4)cc3)C[C@H]21 ZINC001042083900 656720240 /nfs/dbraw/zinc/72/02/40/656720240.db2.gz NIDJZWRNYBYLDW-UYAOXDASSA-N 0 1 322.452 3.124 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(CCCC)cc2)CC1 ZINC000981579503 656727898 /nfs/dbraw/zinc/72/78/98/656727898.db2.gz JKVLJMLLMPRHEW-UHFFFAOYSA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(-c3ccco3)o2)CC1 ZINC000981596744 656733329 /nfs/dbraw/zinc/73/33/29/656733329.db2.gz FUABNEPEXQSMEP-UHFFFAOYSA-N 0 1 314.385 3.264 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc(C(C)C)cc2)CC1 ZINC000981604986 656733908 /nfs/dbraw/zinc/73/39/08/656733908.db2.gz GULJHBXWSXXHKR-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C)c3cccnc23)CC1 ZINC000981635605 656741653 /nfs/dbraw/zinc/74/16/53/656741653.db2.gz GHANFPDCNOXFPZ-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(C)c(C)s3)C[C@H]21 ZINC001042345243 656761354 /nfs/dbraw/zinc/76/13/54/656761354.db2.gz KHEXUTFAQYALNW-LSDHHAIUSA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001052781314 656767170 /nfs/dbraw/zinc/76/71/70/656767170.db2.gz MUKNUVTZTZMMRF-OWCLPIDISA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@H]3CC(C)(C)C)C2)C1 ZINC000981781010 656769047 /nfs/dbraw/zinc/76/90/47/656769047.db2.gz UVLPCPFPDATYQV-DLBZAZTESA-N 0 1 316.489 3.006 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C(C)(C)C3CCCC3)C2)C1 ZINC000981817302 656777631 /nfs/dbraw/zinc/77/76/31/656777631.db2.gz UTEYKLBZGFBEJE-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C2CCC2)C2CCC2)CC1 ZINC000981835017 656780103 /nfs/dbraw/zinc/78/01/03/656780103.db2.gz WQDJSGSYLFIHHV-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001052886577 656783648 /nfs/dbraw/zinc/78/36/48/656783648.db2.gz OMDGLHXVZPGQDE-ZDUSSCGKSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)c2cccc(F)c2)CC1 ZINC000981925912 656810178 /nfs/dbraw/zinc/81/01/78/656810178.db2.gz OWCYIZUHFBIQRX-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2cccc(C(C)C)c2)C1 ZINC001043223078 656819453 /nfs/dbraw/zinc/81/94/53/656819453.db2.gz FFXJKOSOBMPHDT-UHFFFAOYSA-N 0 1 300.446 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC001053027078 656822571 /nfs/dbraw/zinc/82/25/71/656822571.db2.gz LTOOIBMKBBMYDD-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001043347698 656825865 /nfs/dbraw/zinc/82/58/65/656825865.db2.gz MVGNCPDHBTUXOV-CYBMUJFWSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCCN2C(=O)C2CC2)C1 ZINC001053059716 656834222 /nfs/dbraw/zinc/83/42/22/656834222.db2.gz XFRFRXYQTYXLDV-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC[C@@H](C(F)(F)F)C2)C1 ZINC001043652987 656845456 /nfs/dbraw/zinc/84/54/56/656845456.db2.gz JOQIUDCGOXFYAD-QWHCGFSZSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(CCC)cc2)C1 ZINC001043695863 656849743 /nfs/dbraw/zinc/84/97/43/656849743.db2.gz QTTAHSIYJXWXAC-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001044039998 656875408 /nfs/dbraw/zinc/87/54/08/656875408.db2.gz XIIGETWNQKYASD-RBUKOAKNSA-N 0 1 312.457 3.207 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccccc2O[C@@H](C)CC)CC1 ZINC000982046786 656875515 /nfs/dbraw/zinc/87/55/15/656875515.db2.gz HBBDTBLMOVUWFL-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2cc(C)oc2C)C1 ZINC001044053453 656876921 /nfs/dbraw/zinc/87/69/21/656876921.db2.gz VLUWOIFSPPKNNP-CQSZACIVSA-N 0 1 304.434 3.109 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@H](C)CC3CCCCC3)C2)C1 ZINC000982046845 656881773 /nfs/dbraw/zinc/88/17/73/656881773.db2.gz RWCVBUGXGBQUIF-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C1CCCCC1 ZINC001054030494 656886191 /nfs/dbraw/zinc/88/61/91/656886191.db2.gz MWAYYENZLHZMSE-HXUWFJFHSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)c1cc[nH]c1C ZINC001054036212 656888201 /nfs/dbraw/zinc/88/82/01/656888201.db2.gz FBCFISARLOBVMP-SFHVURJKSA-N 0 1 323.440 3.056 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC001054053965 656894132 /nfs/dbraw/zinc/89/41/32/656894132.db2.gz GKLDELUXTNULEH-ATZDWAIDSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001044195779 656894568 /nfs/dbraw/zinc/89/45/68/656894568.db2.gz ILSXVSUYSKLTTN-UHFFFAOYSA-N 0 1 310.441 3.368 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC001044204458 656895469 /nfs/dbraw/zinc/89/54/69/656895469.db2.gz ZKDJQCRICLCYLZ-MRXNPFEDSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001044322560 656908248 /nfs/dbraw/zinc/90/82/48/656908248.db2.gz JOBQCVOBJXNASB-UHFFFAOYSA-N 0 1 316.489 3.168 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3cccc(C4CC4)c3)C2)C1 ZINC000982166567 656908625 /nfs/dbraw/zinc/90/86/25/656908625.db2.gz FIRABBBTVGDVFG-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H]1CC1(C)C)C2 ZINC001054117262 656914285 /nfs/dbraw/zinc/91/42/85/656914285.db2.gz JSBCNCKEVLTVIH-PBHICJAKSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CCC[C@]2(CCN(CCF)C2)C1 ZINC001054138584 656919025 /nfs/dbraw/zinc/91/90/25/656919025.db2.gz UCPORTNILIQOGU-MRXNPFEDSA-N 0 1 316.848 3.049 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1(CC)CC1)C2 ZINC001054191882 656932055 /nfs/dbraw/zinc/93/20/55/656932055.db2.gz OGAUIHOBSDVVTI-MRXNPFEDSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2cccnc2s1 ZINC001028243954 656939065 /nfs/dbraw/zinc/93/90/65/656939065.db2.gz UYBZFPRTSVRXHR-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cscc3C)cc2C1 ZINC001054260749 656954319 /nfs/dbraw/zinc/95/43/19/656954319.db2.gz WNAYZBNYVVAMLP-UHFFFAOYSA-N 0 1 324.449 3.325 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3CC(C)(C)C3)cc2C1 ZINC001054263902 656955228 /nfs/dbraw/zinc/95/52/28/656955228.db2.gz JTHKSQIUOICOAC-UHFFFAOYSA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3[nH]c(C)cc3C)cc2C1 ZINC001054270655 656957314 /nfs/dbraw/zinc/95/73/14/656957314.db2.gz WAIXJNIEUIOJKA-UHFFFAOYSA-N 0 1 323.440 3.453 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCCC[C@@H]3C)cc2C1 ZINC001054279147 656959157 /nfs/dbraw/zinc/95/91/57/656959157.db2.gz VOCGMSSOXQYHOZ-OXJNMPFZSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3CC4(CC4)C3)cc2C1 ZINC001054281092 656961236 /nfs/dbraw/zinc/96/12/36/656961236.db2.gz BBMXKTRRKKJWLH-UHFFFAOYSA-N 0 1 310.441 3.385 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CC[C@H](C)C3)cc2C1 ZINC001054285108 656962036 /nfs/dbraw/zinc/96/20/36/656962036.db2.gz SIABYVREONACPD-RDJZCZTQSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cccc(C(F)(F)F)c1 ZINC001028280989 656969364 /nfs/dbraw/zinc/96/93/64/656969364.db2.gz BQNFANSBDAIQJR-AWEZNQCLSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cccc(C(F)(F)F)c1 ZINC001028280989 656969365 /nfs/dbraw/zinc/96/93/65/656969365.db2.gz BQNFANSBDAIQJR-AWEZNQCLSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C)c(F)cc1Cl ZINC001028285588 656971287 /nfs/dbraw/zinc/97/12/87/656971287.db2.gz AUAZIIIPRFABIR-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001028299502 656984312 /nfs/dbraw/zinc/98/43/12/656984312.db2.gz GVXSUMLQHYWVIP-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(CC(C)C)cc1 ZINC001028306469 656988989 /nfs/dbraw/zinc/98/89/89/656988989.db2.gz HUKHHQWRKCAQKO-IBGZPJMESA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2c(cccc2F)s1 ZINC001028307728 656990232 /nfs/dbraw/zinc/99/02/32/656990232.db2.gz NSEDDUYYAGRXAW-LBPRGKRZSA-N 0 1 318.417 3.421 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNCc1cc(F)ccc1F ZINC001045149428 656995494 /nfs/dbraw/zinc/99/54/94/656995494.db2.gz CLTJAIKIDNKFTQ-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001028357259 657005966 /nfs/dbraw/zinc/00/59/66/657005966.db2.gz BWTVJCSFGDFZAS-HXUWFJFHSA-N 0 1 322.452 3.471 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(C(C)C)n2)C[C@@H]1C ZINC001054867386 657015950 /nfs/dbraw/zinc/01/59/50/657015950.db2.gz WLJIBFATJFXBCV-LRDDRELGSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)sc2C)C[C@@H]1C ZINC001054875734 657017336 /nfs/dbraw/zinc/01/73/36/657017336.db2.gz XDHXONZDPFVNTH-LKFCYVNXSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C3CCC3)CCC2)C[C@H]1C ZINC001054936075 657022815 /nfs/dbraw/zinc/02/28/15/657022815.db2.gz DNBJCYFPOFCWJI-IUODEOHRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C3CCC3)CCC2)C[C@@H]1C ZINC001054936076 657022920 /nfs/dbraw/zinc/02/29/20/657022920.db2.gz DNBJCYFPOFCWJI-SWLSCSKDSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C3CCC3)CCC2)C[C@@H]1C ZINC001054936077 657023261 /nfs/dbraw/zinc/02/32/61/657023261.db2.gz DNBJCYFPOFCWJI-WFASDCNBSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc([C@@H]3C[C@@H]3C)o2)CC1 ZINC001045436130 657023367 /nfs/dbraw/zinc/02/33/67/657023367.db2.gz IVXXEYKBBVCCHD-LSDHHAIUSA-N 0 1 314.429 3.011 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC1 ZINC001045465040 657026657 /nfs/dbraw/zinc/02/66/57/657026657.db2.gz GVKQSOUXUNYQSI-IYARVYRRSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(C(C)(C)C)oc2C)CC1 ZINC001045572536 657038420 /nfs/dbraw/zinc/03/84/20/657038420.db2.gz ZSNJKXHKKHTSGP-UHFFFAOYSA-N 0 1 316.445 3.103 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001045598399 657040787 /nfs/dbraw/zinc/04/07/87/657040787.db2.gz ROWLWOUXTHLXON-QAQDUYKDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc3ccccc3c2)CC1 ZINC001045628235 657045022 /nfs/dbraw/zinc/04/50/22/657045022.db2.gz YITLSDWMOBWNLC-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CC[N@@H+]1CC[C@]2(C1)CCCCN(C(=O)CC1CCCCC1)C2 ZINC001045911969 657088790 /nfs/dbraw/zinc/08/87/90/657088790.db2.gz VXASHRVFXLCLNB-FQEVSTJZSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2cc(C)cc(C)c2)C1 ZINC001000071106 657090745 /nfs/dbraw/zinc/09/07/45/657090745.db2.gz DTYSSBVQHASLBK-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@H](C)CC)C2 ZINC001045918749 657092042 /nfs/dbraw/zinc/09/20/42/657092042.db2.gz MKNWCPZHEGCSJO-RHSMWYFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001000090890 657092330 /nfs/dbraw/zinc/09/23/30/657092330.db2.gz ACBQFERFTBBACV-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C1CC=CC1)C2 ZINC001045923869 657093046 /nfs/dbraw/zinc/09/30/46/657093046.db2.gz FBMQMDQWVDFBOV-GOSISDBHSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)c1cc[nH]c1)C2 ZINC001045940046 657100452 /nfs/dbraw/zinc/10/04/52/657100452.db2.gz OPTBTUHDNQYONY-QGZVFWFLSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2cccs2)C1 ZINC001000171745 657100871 /nfs/dbraw/zinc/10/08/71/657100871.db2.gz QPALBQUPHOBRTN-STQMWFEESA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc(OCC)c2)C1 ZINC001000316828 657112876 /nfs/dbraw/zinc/11/28/76/657112876.db2.gz HIBJDSCNWSAECX-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccccc2C(C)C)CC1 ZINC001000754539 657148840 /nfs/dbraw/zinc/14/88/40/657148840.db2.gz IKTSZGZLMFOHAC-UHFFFAOYSA-N 0 1 310.441 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3[nH]ccc3s2)C1 ZINC001000801744 657154080 /nfs/dbraw/zinc/15/40/80/657154080.db2.gz OHWCPFOBRCTLLJ-LLVKDONJSA-N 0 1 323.849 3.176 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(c3ccccc3)CC2)C1 ZINC001000839250 657156422 /nfs/dbraw/zinc/15/64/22/657156422.db2.gz DEWIWKBURUEBPG-INIZCTEOSA-N 0 1 318.848 3.051 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001046394124 657157536 /nfs/dbraw/zinc/15/75/36/657157536.db2.gz JWVNVSUZCIRNKD-QGZVFWFLSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc3c(c2)CCC3)C1 ZINC001000891037 657161908 /nfs/dbraw/zinc/16/19/08/657161908.db2.gz MRCSFGWDJQBBBM-QGZVFWFLSA-N 0 1 318.848 3.122 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001046516334 657172131 /nfs/dbraw/zinc/17/21/31/657172131.db2.gz JBHKXPDJUYSNML-IBGZPJMESA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001001007823 657173194 /nfs/dbraw/zinc/17/31/94/657173194.db2.gz SVXILSMTIWIBJP-SSHXOBKSSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001046538816 657173940 /nfs/dbraw/zinc/17/39/40/657173940.db2.gz PRSCXARVAVKAQL-HXUWFJFHSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001046539588 657175424 /nfs/dbraw/zinc/17/54/24/657175424.db2.gz YTQJZJARJHOZIR-IBGZPJMESA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001046564926 657180438 /nfs/dbraw/zinc/18/04/38/657180438.db2.gz IOEBYUASVXODJN-KRWDZBQOSA-N 0 1 318.804 3.466 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(Cl)c(C)c2)CC1 ZINC001001112527 657186032 /nfs/dbraw/zinc/18/60/32/657186032.db2.gz GSWAQTIIOZXJDG-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccccc2C2(C)CC2)CC1 ZINC001001147284 657191129 /nfs/dbraw/zinc/19/11/29/657191129.db2.gz ZFMNGBMCUXISPT-UHFFFAOYSA-N 0 1 322.452 3.123 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001046752923 657213483 /nfs/dbraw/zinc/21/34/83/657213483.db2.gz FBFWSDKBDSIOML-KXBFYZLASA-N 0 1 316.445 3.244 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(Cl)c(CCC)c2)C1 ZINC001046769509 657215941 /nfs/dbraw/zinc/21/59/41/657215941.db2.gz WQSSVEUERNEMRA-GOSISDBHSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001046769594 657216057 /nfs/dbraw/zinc/21/60/57/657216057.db2.gz YRSJQLJLAQSBES-QGZVFWFLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001046796028 657220915 /nfs/dbraw/zinc/22/09/15/657220915.db2.gz AHKDLCRQUIRULQ-HNNXBMFYSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)c2ccsc2)C1 ZINC001046918377 657246546 /nfs/dbraw/zinc/24/65/46/657246546.db2.gz XRYTUEXQCTXPJH-CYBMUJFWSA-N 0 1 314.401 3.011 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(CCCC)cc3)[C@@H]2C1 ZINC001050088427 657247735 /nfs/dbraw/zinc/24/77/35/657247735.db2.gz BXPHVVYHLBDYKW-VQTJNVASSA-N 0 1 324.468 3.199 20 30 DGEDMN CCCC(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000126595357 657259677 /nfs/dbraw/zinc/25/96/77/657259677.db2.gz GNFZDZZHVUJPHP-LLVKDONJSA-N 0 1 312.341 3.449 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cccc(F)c3)C2)CC1 ZINC001050415475 657303207 /nfs/dbraw/zinc/30/32/07/657303207.db2.gz ATVCBXIIKIHTIS-UHFFFAOYSA-N 0 1 322.811 3.116 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cscc3C)C2)CC1 ZINC001050478870 657315446 /nfs/dbraw/zinc/31/54/46/657315446.db2.gz WRRWPOMSFLZHNT-UHFFFAOYSA-N 0 1 324.877 3.347 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@]3(C)CC=CCC3)C2)CC1 ZINC001050531274 657320647 /nfs/dbraw/zinc/32/06/47/657320647.db2.gz JUOAEPSFVWAGKX-QGZVFWFLSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCCC34CC4)C2)CC1 ZINC001050561776 657324281 /nfs/dbraw/zinc/32/42/81/657324281.db2.gz DSSUYXMUXZPCQH-HNNXBMFYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccc(CC)o3)C2)CC1 ZINC001050567298 657325149 /nfs/dbraw/zinc/32/51/49/657325149.db2.gz NMFUCPFNZZYWEP-UHFFFAOYSA-N 0 1 322.836 3.133 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001050645384 657337270 /nfs/dbraw/zinc/33/72/70/657337270.db2.gz KTZLBRCERGZSJK-INIZCTEOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC[C@@H](C)C3)C2)CC1 ZINC001050686496 657343533 /nfs/dbraw/zinc/34/35/33/657343533.db2.gz JGDFEGXRNQVDOL-UKRRQHHQSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC34CCCC4)C2)CC1 ZINC001050721027 657347635 /nfs/dbraw/zinc/34/76/35/657347635.db2.gz ZEQFCJXDABEWRG-HNNXBMFYSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCC[C@H]3CC)cccc2C1 ZINC001051299375 657383508 /nfs/dbraw/zinc/38/35/08/657383508.db2.gz IGJDAOPOCNVEDI-UZLBHIALSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCC[C@@H](C)C3)cccc2C1 ZINC001051298581 657383604 /nfs/dbraw/zinc/38/36/04/657383604.db2.gz XORBUCYRPIABEF-SJORKVTESA-N 0 1 324.468 3.120 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H]3CCC3(C)C)cccc2C1 ZINC001051298524 657383773 /nfs/dbraw/zinc/38/37/73/657383773.db2.gz ULVWXOPUUOUDJB-LJQANCHMSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(F)cc2C)C1 ZINC000957304969 657396062 /nfs/dbraw/zinc/39/60/62/657396062.db2.gz POPPZGAWGDEQNJ-UHFFFAOYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC000968378128 657399425 /nfs/dbraw/zinc/39/94/25/657399425.db2.gz NWCVEUFSJRVPOI-WFASDCNBSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(C)cc2C)C1 ZINC000957348189 657405170 /nfs/dbraw/zinc/40/51/70/657405170.db2.gz TXGSOEDDTMAULE-UHFFFAOYSA-N 0 1 300.446 3.416 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968430004 657411323 /nfs/dbraw/zinc/41/13/23/657411323.db2.gz AOVOMOPKNJPVQR-XJKSGUPXSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968439614 657412606 /nfs/dbraw/zinc/41/26/06/657412606.db2.gz ISTOGHOSLHTIET-BBRMVZONSA-N 0 1 306.837 3.275 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC000968544890 657423852 /nfs/dbraw/zinc/42/38/52/657423852.db2.gz WEOIPEGPIDJHSN-JXFKEZNVSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2CCC(C3CC3)CC2)CC1 ZINC000957506030 657424950 /nfs/dbraw/zinc/42/49/50/657424950.db2.gz GAYYAAUTCSHYBN-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cnc(C3CC3)s2)C1 ZINC000968565817 657427572 /nfs/dbraw/zinc/42/75/72/657427572.db2.gz JKDGRZXKPGSXFA-GXTWGEPZSA-N 0 1 319.474 3.037 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968582612 657433379 /nfs/dbraw/zinc/43/33/79/657433379.db2.gz AOMGOBGRUQMRLK-QFBILLFUSA-N 0 1 312.457 3.274 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597227 657436285 /nfs/dbraw/zinc/43/62/85/657436285.db2.gz UKRTWBJHDQFWGE-SJLPKXTDSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2OCC)C1 ZINC000968602037 657438534 /nfs/dbraw/zinc/43/85/34/657438534.db2.gz OISHNOVKQFZMLE-NVXWUHKLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968611253 657441581 /nfs/dbraw/zinc/44/15/81/657441581.db2.gz UYUQVNKGRBVWNX-BLLLJJGKSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)s2)C1 ZINC000968609949 657441732 /nfs/dbraw/zinc/44/17/32/657441732.db2.gz MKNKGORCJSDPQU-RYUDHWBXSA-N 0 1 312.866 3.418 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2sccc2C2CC2)C1 ZINC000968618432 657443704 /nfs/dbraw/zinc/44/37/04/657443704.db2.gz GILJCXAIQNFWPB-BBRMVZONSA-N 0 1 316.470 3.089 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622708 657446478 /nfs/dbraw/zinc/44/64/78/657446478.db2.gz BGFGOCRXKJFBMZ-TZMCWYRMSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968622708 657446480 /nfs/dbraw/zinc/44/64/80/657446480.db2.gz BGFGOCRXKJFBMZ-TZMCWYRMSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968624467 657447634 /nfs/dbraw/zinc/44/76/34/657447634.db2.gz UDJNNHQEVDAHSK-WMLDXEAASA-N 0 1 300.446 3.238 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC000968648395 657450304 /nfs/dbraw/zinc/45/03/04/657450304.db2.gz SYLQDMHIRVXORT-DNVCBOLYSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2ccc(F)cc2F)CC1 ZINC000957712534 657453361 /nfs/dbraw/zinc/45/33/61/657453361.db2.gz VDPQCWJGZSEGLI-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C3CC3)cc2)C1 ZINC000968673967 657453371 /nfs/dbraw/zinc/45/33/71/657453371.db2.gz NCFTWEBYRIIZDI-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccc(F)c(F)c2)CC1 ZINC000957715422 657453826 /nfs/dbraw/zinc/45/38/26/657453826.db2.gz MCXGOTMZIAVOIY-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001029453884 657454788 /nfs/dbraw/zinc/45/47/88/657454788.db2.gz OHHGZGRSVLHPHE-WSTZPKSXSA-N 0 1 312.457 3.464 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684987 657457507 /nfs/dbraw/zinc/45/75/07/657457507.db2.gz LLERXAHVBRFRTC-ZBFHGGJFSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC000968689327 657458834 /nfs/dbraw/zinc/45/88/34/657458834.db2.gz WXNDLKUUBVKDLB-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c[nH]c3ccc(C)cc23)CC1 ZINC000957814941 657464343 /nfs/dbraw/zinc/46/43/43/657464343.db2.gz BJSUXMVIUXODPD-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968708666 657465370 /nfs/dbraw/zinc/46/53/70/657465370.db2.gz DIPKSEPHTUYTRS-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2C(C)C)C1 ZINC000968860258 657504333 /nfs/dbraw/zinc/50/43/33/657504333.db2.gz GURYVSCQZJOEBA-CQSZACIVSA-N 0 1 306.837 3.367 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1sccc1Cl ZINC000968869540 657506122 /nfs/dbraw/zinc/50/61/22/657506122.db2.gz SLBNABDDMDDBSW-ZDUSSCGKSA-N 0 1 324.877 3.399 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCCC1(F)F ZINC000968969036 657525883 /nfs/dbraw/zinc/52/58/83/657525883.db2.gz WSZOKFFTIZTXGV-CABCVRRESA-N 0 1 314.420 3.359 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1occc1C(C)C ZINC000969002597 657531111 /nfs/dbraw/zinc/53/11/11/657531111.db2.gz XTNDSYPTJNWEFN-OAHLLOKOSA-N 0 1 302.418 3.011 20 30 DGEDMN CC[C@@H](C)NC(=O)c1cccc(CNCc2cccc(C#N)c2)c1 ZINC000047799590 657531801 /nfs/dbraw/zinc/53/18/01/657531801.db2.gz XZNDWLDAPQSLOX-OAHLLOKOSA-N 0 1 321.424 3.376 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)cc(F)c1 ZINC000969044702 657541117 /nfs/dbraw/zinc/54/11/17/657541117.db2.gz XXYPHHPHAUJGAT-SFHVURJKSA-N 0 1 316.420 3.132 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1sc(C)cc1OC ZINC000969057057 657545501 /nfs/dbraw/zinc/54/55/01/657545501.db2.gz QSNGMTHXHWDQEK-CQSZACIVSA-N 0 1 322.474 3.226 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC000969098021 657554838 /nfs/dbraw/zinc/55/48/38/657554838.db2.gz NFNCUJPHCZVIMR-NVXWUHKLSA-N 0 1 318.848 3.050 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(C(C)C)oc1C ZINC000969096854 657555200 /nfs/dbraw/zinc/55/52/00/657555200.db2.gz DWUIHTHBECYLFF-INIZCTEOSA-N 0 1 316.445 3.319 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000985215150 657575612 /nfs/dbraw/zinc/57/56/12/657575612.db2.gz KETUVXOTOCIKGH-UHFFFAOYSA-N 0 1 310.800 3.115 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001007457381 657609586 /nfs/dbraw/zinc/60/95/86/657609586.db2.gz MHLORHAGABCVJK-IBGZPJMESA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2C(C)(C)C2(C)C)CC1 ZINC000985364054 657610288 /nfs/dbraw/zinc/61/02/88/657610288.db2.gz NHCRPBVJZRBDBQ-UHFFFAOYSA-N 0 1 312.885 3.344 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@@H]2CCCN(CC(=C)C)C2)cc1 ZINC001007668114 657626898 /nfs/dbraw/zinc/62/68/98/657626898.db2.gz HESHBOWDHOENEM-QGZVFWFLSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001007783528 657632238 /nfs/dbraw/zinc/63/22/38/657632238.db2.gz BDGQWJLOCAIJSS-MRXNPFEDSA-N 0 1 316.445 3.082 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC001007782954 657633175 /nfs/dbraw/zinc/63/31/75/657633175.db2.gz RMNFVACHEIDXLN-INIZCTEOSA-N 0 1 304.409 3.158 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC001008086587 657658476 /nfs/dbraw/zinc/65/84/76/657658476.db2.gz DQJWZQFACDXKCV-MRXNPFEDSA-N 0 1 315.461 3.284 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3ccsc3)C2)cc1 ZINC001008150373 657665516 /nfs/dbraw/zinc/66/55/16/657665516.db2.gz UFEDRORYUVBLAU-GOSISDBHSA-N 0 1 324.449 3.124 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001008185684 657667411 /nfs/dbraw/zinc/66/74/11/657667411.db2.gz XEPIETJTYCZNPF-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnc3ccsc3c2)C1 ZINC001008180715 657667970 /nfs/dbraw/zinc/66/79/70/657667970.db2.gz BXVDOMXBCFPNNH-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CCC[C@H]2C)CC1 ZINC000985449626 657677629 /nfs/dbraw/zinc/67/76/29/657677629.db2.gz AYWBCCITRUTBHF-HIFRSBDPSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC001008349311 657685992 /nfs/dbraw/zinc/68/59/92/657685992.db2.gz IRSPLNCMCFRFAU-SFHVURJKSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(Cc3ccsc3)C2)C1 ZINC001008466079 657697880 /nfs/dbraw/zinc/69/78/80/657697880.db2.gz UTFCHVDYEOFFNL-OAHLLOKOSA-N 0 1 304.459 3.185 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(OCC)c(C)c2)C1 ZINC001008727622 657726050 /nfs/dbraw/zinc/72/60/50/657726050.db2.gz QTJTUBFXUQDWNL-KRWDZBQOSA-N 0 1 316.445 3.164 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001008797036 657729524 /nfs/dbraw/zinc/72/95/24/657729524.db2.gz BLWWHQUYRVUIQB-OAHLLOKOSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001008830451 657733639 /nfs/dbraw/zinc/73/36/39/657733639.db2.gz XWMKFZOJJCNCJU-IXDOHACOSA-N 0 1 318.848 3.210 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(C)CCCc3ccccc32)C1 ZINC001008885474 657735692 /nfs/dbraw/zinc/73/56/92/657735692.db2.gz AGKADHAIHVIYSJ-YLJYHZDGSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2F)C1 ZINC001008871280 657736017 /nfs/dbraw/zinc/73/60/17/657736017.db2.gz RUDXVZQVEMDKCK-OAHLLOKOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001008962866 657741994 /nfs/dbraw/zinc/74/19/94/657741994.db2.gz RBVJUHRPUWPCMK-YLJYHZDGSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3ccncc3c2)C1 ZINC001009106562 657747943 /nfs/dbraw/zinc/74/79/43/657747943.db2.gz TWFIKHWAMXGYKM-SFHVURJKSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001009135143 657751219 /nfs/dbraw/zinc/75/12/19/657751219.db2.gz WSEREFZKBJIFKC-GOSISDBHSA-N 0 1 314.473 3.293 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001009135147 657751640 /nfs/dbraw/zinc/75/16/40/657751640.db2.gz WSEREFZKBJIFKC-SFHVURJKSA-N 0 1 314.473 3.293 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(C)cccn3c2)C1 ZINC001009191030 657756379 /nfs/dbraw/zinc/75/63/79/657756379.db2.gz URNRNEGPIFBPDF-KRWDZBQOSA-N 0 1 311.429 3.018 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001038463527 657758917 /nfs/dbraw/zinc/75/89/17/657758917.db2.gz SSJBDHGKWVOKIN-NSHDSACASA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001038463527 657758922 /nfs/dbraw/zinc/75/89/22/657758922.db2.gz SSJBDHGKWVOKIN-NSHDSACASA-N 0 1 318.364 3.147 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(N(C)Cc2ncc(C)s2)CC1 ZINC000985573218 657763722 /nfs/dbraw/zinc/76/37/22/657763722.db2.gz DGBHUFZCPPWROV-UHFFFAOYSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC001009305588 657765765 /nfs/dbraw/zinc/76/57/65/657765765.db2.gz OXKBJHANYDGTEM-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001009319849 657768955 /nfs/dbraw/zinc/76/89/55/657768955.db2.gz SSSXKRKMGRWBAT-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001009363341 657772997 /nfs/dbraw/zinc/77/29/97/657772997.db2.gz QYDKTFAVZBXSPJ-QGZVFWFLSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3ncsc3c2)C1 ZINC001009406026 657779247 /nfs/dbraw/zinc/77/92/47/657779247.db2.gz AUXOTNBZOWRHNN-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@]1(C)CC=C(C)CC1 ZINC000960331032 657779369 /nfs/dbraw/zinc/77/93/69/657779369.db2.gz ZXBCBCFFFOUUGI-SBHAEUEKSA-N 0 1 322.452 3.128 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC000985630188 657787764 /nfs/dbraw/zinc/78/77/64/657787764.db2.gz DXJXHEUJMJLKHU-YOEHRIQHSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(OC)c(Cl)c2)C1 ZINC001009453892 657789007 /nfs/dbraw/zinc/78/90/07/657789007.db2.gz JHFCFVYWXPLKRV-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960381995 657800366 /nfs/dbraw/zinc/80/03/66/657800366.db2.gz GKHMYYWLOFLJSA-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001009650473 657805584 /nfs/dbraw/zinc/80/55/84/657805584.db2.gz NYVLOPQBXSAOII-CQSZACIVSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001009679320 657807148 /nfs/dbraw/zinc/80/71/48/657807148.db2.gz CGOXNUNPJPSFHP-CZUORRHYSA-N 0 1 318.848 3.136 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC001009665210 657807932 /nfs/dbraw/zinc/80/79/32/657807932.db2.gz ALGPFBJSOKKHDF-AWEZNQCLSA-N 0 1 304.459 3.089 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC001009747602 657813761 /nfs/dbraw/zinc/81/37/61/657813761.db2.gz ZNOCSMGTBJDERQ-LEOMRAHMSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001009789544 657817482 /nfs/dbraw/zinc/81/74/82/657817482.db2.gz UAMPVJWCLRDLBN-HNNXBMFYSA-N 0 1 308.372 3.179 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2C[C@@H]2C2CCCC2)CC1 ZINC000985736064 657826854 /nfs/dbraw/zinc/82/68/54/657826854.db2.gz QUAAJMKIVNSMBK-SJORKVTESA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)C[N@H+](C)C1CCN(C(=O)c2cc(C)c(CC)o2)CC1 ZINC000985749703 657831799 /nfs/dbraw/zinc/83/17/99/657831799.db2.gz STJGUZNDUAVIEA-UHFFFAOYSA-N 0 1 324.852 3.439 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(C)c(CC)o2)CC1 ZINC000985749703 657831803 /nfs/dbraw/zinc/83/18/03/657831803.db2.gz STJGUZNDUAVIEA-UHFFFAOYSA-N 0 1 324.852 3.439 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cc2ccc(F)cc2)CC1 ZINC000985774105 657846040 /nfs/dbraw/zinc/84/60/40/657846040.db2.gz SBAYVMACIXAXRI-UHFFFAOYSA-N 0 1 324.827 3.044 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1cnc(C)s1 ZINC000960793161 657877344 /nfs/dbraw/zinc/87/73/44/657877344.db2.gz YUOPPTMWLFMIJL-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1 ZINC000960838401 657892377 /nfs/dbraw/zinc/89/23/77/657892377.db2.gz LLLDRCHERPHPFE-MJGOQNOKSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C(C)(C)F)C2)C1 ZINC000961093241 657946098 /nfs/dbraw/zinc/94/60/98/657946098.db2.gz WGMDUNKVUQBCKN-KBPBESRZSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)/C(C)=C\C)C2)C1 ZINC000961134366 657959622 /nfs/dbraw/zinc/95/96/22/657959622.db2.gz DSUVUGXRBDTPDT-NESTXBQXSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CC3CCC3)C2)C1 ZINC000961131008 657959966 /nfs/dbraw/zinc/95/99/66/657959966.db2.gz QMUFHPGNNPSOJF-SJORKVTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3=CCCC3)C2)C1 ZINC000961137861 657961294 /nfs/dbraw/zinc/96/12/94/657961294.db2.gz FWOWKJCORXSSSL-IAGOWNOFSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC000961163018 657969402 /nfs/dbraw/zinc/96/94/02/657969402.db2.gz CPZNJVUMKUOYFH-LSDHHAIUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)c2cc[nH]c2)C1 ZINC001029920331 658006764 /nfs/dbraw/zinc/00/67/64/658006764.db2.gz VEKLPQLVDIKLIR-LJQANCHMSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3c(o2)CCCC3)[C@@H]1C ZINC000986342325 658040803 /nfs/dbraw/zinc/04/08/03/658040803.db2.gz OWOWRTHTVYGRHE-OCCSQVGLSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001014727972 658044890 /nfs/dbraw/zinc/04/48/90/658044890.db2.gz KAEJESVJBZNDJU-VIFPVBQESA-N 0 1 319.257 3.435 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)sc2C)[C@H]1C ZINC000986498763 658055935 /nfs/dbraw/zinc/05/59/35/658055935.db2.gz DJVSIXHDJRDPIB-SMDDNHRTSA-N 0 1 312.866 3.310 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CCN(CC=C(C)C)C1 ZINC001014976744 658073591 /nfs/dbraw/zinc/07/35/91/658073591.db2.gz RDILEBTXYRMBGF-MRXNPFEDSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cccc(C)c2)[C@H]1C ZINC000986607418 658079856 /nfs/dbraw/zinc/07/98/56/658079856.db2.gz ATXROKWNIGPJDM-RDJZCZTQSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC001015090913 658083211 /nfs/dbraw/zinc/08/32/11/658083211.db2.gz SIVGANXHSBVEAM-LLVKDONJSA-N 0 1 318.364 3.147 20 30 DGEDMN COc1cccc(C=Nn2c(=S)[nH]nc2-c2ccco2)c1 ZINC000254464219 658098681 /nfs/dbraw/zinc/09/86/81/658098681.db2.gz ZBCJYYVHORVKKH-UHFFFAOYSA-N 0 1 300.343 3.091 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001015441452 658121439 /nfs/dbraw/zinc/12/14/39/658121439.db2.gz MIEFKFUAVGANKR-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3[nH]ccc32)[C@H]1C ZINC000987033400 658142265 /nfs/dbraw/zinc/14/22/65/658142265.db2.gz AFAXICQCHQJQKT-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN C=Cc1ccc(C(=O)N2CC[C@H](NCC(=C)Cl)[C@H]2C)cc1 ZINC000987041339 658143171 /nfs/dbraw/zinc/14/31/71/658143171.db2.gz PSSWGEDUYGFHEN-CJNGLKHVSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3cc[nH]c3c2)[C@@H]1C ZINC000987073732 658151883 /nfs/dbraw/zinc/15/18/83/658151883.db2.gz CEUVPZDPBODLSF-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccc(CC)cc2)[C@@H]1C ZINC000987078546 658152759 /nfs/dbraw/zinc/15/27/59/658152759.db2.gz VIILDBCEVFLRHK-RHSMWYFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2csc(Cl)c2)[C@H]1C ZINC000987121793 658161369 /nfs/dbraw/zinc/16/13/69/658161369.db2.gz APUQZUREIPPVEM-GXSJLCMTSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccsc2Cl)[C@@H]1C ZINC000987123123 658162707 /nfs/dbraw/zinc/16/27/07/658162707.db2.gz IYKCNKDRWPRDGH-MWLCHTKSSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3sccc3[nH]2)[C@@H]1C ZINC000987308751 658190826 /nfs/dbraw/zinc/19/08/26/658190826.db2.gz DYAZGOKYNFVZTE-GHMZBOCLSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(C)CCCc3ccccc32)C1 ZINC001015843709 658194421 /nfs/dbraw/zinc/19/44/21/658194421.db2.gz RJILNOMYLPFHPE-FXAWDEMLSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(C)CCCc3ccccc32)C1 ZINC001015843712 658194450 /nfs/dbraw/zinc/19/44/50/658194450.db2.gz RJILNOMYLPFHPE-YLJYHZDGSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(CC)c(CC)o2)[C@H]1C ZINC000987359913 658195412 /nfs/dbraw/zinc/19/54/12/658195412.db2.gz RFBGHVSRPFHLOR-JSGCOSHPSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(Cl)c2)[C@@H]1C ZINC000987386718 658197114 /nfs/dbraw/zinc/19/71/14/658197114.db2.gz METXJSLQPZYWNG-BXUZGUMPSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001015958098 658211276 /nfs/dbraw/zinc/21/12/76/658211276.db2.gz IFBBTLXHZFVNCK-SFHVURJKSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3c(c2)CCC3)[C@H]1C ZINC000987490715 658219731 /nfs/dbraw/zinc/21/97/31/658219731.db2.gz GYMTZKCAUGDZCQ-GUYCJALGSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3c(c2)CCC3)[C@H]1C ZINC000987490716 658219762 /nfs/dbraw/zinc/21/97/62/658219762.db2.gz GYMTZKCAUGDZCQ-SUMWQHHRSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001016122336 658239588 /nfs/dbraw/zinc/23/95/88/658239588.db2.gz FNJCJWCERMYSBI-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc3ccc(Cl)cc23)C1 ZINC001016129419 658240878 /nfs/dbraw/zinc/24/08/78/658240878.db2.gz UVAVDEJMRAXFQC-CYBMUJFWSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2sccc2C)CC1 ZINC001016476651 658289617 /nfs/dbraw/zinc/28/96/17/658289617.db2.gz MMTXSUVQNXKIFT-ZDUSSCGKSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cccc(F)c2)CC1 ZINC001016482248 658290831 /nfs/dbraw/zinc/29/08/31/658290831.db2.gz NVHMYPVLWNOURH-HNNXBMFYSA-N 0 1 322.811 3.163 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cccs2)CC1 ZINC001016481754 658291310 /nfs/dbraw/zinc/29/13/10/658291310.db2.gz FPMUYFHQGVHATQ-CYBMUJFWSA-N 0 1 310.850 3.085 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccccc2C)CC1 ZINC001016488687 658292166 /nfs/dbraw/zinc/29/21/66/658292166.db2.gz ISFAZERITXDZJH-MRXNPFEDSA-N 0 1 318.848 3.332 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cc(C)oc2C)CC1 ZINC001016503560 658295890 /nfs/dbraw/zinc/29/58/90/658295890.db2.gz RCZPUFKZXFFDHQ-OAHLLOKOSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2oc(C)cc2C)CC1 ZINC001016556844 658299657 /nfs/dbraw/zinc/29/96/57/658299657.db2.gz OBSFEUZUQYPGGP-AWEZNQCLSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cscc2C)CC1 ZINC001016561199 658300179 /nfs/dbraw/zinc/30/01/79/658300179.db2.gz XNOOQPGRDWIEDP-AWEZNQCLSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(C)=C2CCC2)CC1 ZINC001016617755 658307048 /nfs/dbraw/zinc/30/70/48/658307048.db2.gz JLPWDSRBMQVUBF-HNNXBMFYSA-N 0 1 308.853 3.210 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2CCCC23CC3)CC1 ZINC001016685438 658315594 /nfs/dbraw/zinc/31/55/94/658315594.db2.gz PFFQBBVIOUSSBG-LSDHHAIUSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C23CCC(CC2)C3)CC1 ZINC001016690291 658317164 /nfs/dbraw/zinc/31/71/64/658317164.db2.gz IPYSVLUKSWNEKL-SWKXRBFHSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H](C)C2CCC2)CC1 ZINC001016691782 658318271 /nfs/dbraw/zinc/31/82/71/658318271.db2.gz XDMSLZQWTUAOLM-DZGCQCFKSA-N 0 1 310.869 3.146 20 30 DGEDMN Cc1nn(C)cc1[C@@H](C)NCc1cccc(OCCCC#N)c1 ZINC000237334054 658325857 /nfs/dbraw/zinc/32/58/57/658325857.db2.gz ONTIJIYFDCSPCX-CQSZACIVSA-N 0 1 312.417 3.262 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2sccc2Cl)C1 ZINC000988864621 658410472 /nfs/dbraw/zinc/41/04/72/658410472.db2.gz HRFRWHIMCDZKHX-UWVGGRQHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cscc1C ZINC001017452526 658432774 /nfs/dbraw/zinc/43/27/74/658432774.db2.gz WLXKAAUSLCGVRM-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2c(C)oc(C)c2C)C1 ZINC000989147449 658443180 /nfs/dbraw/zinc/44/31/80/658443180.db2.gz ZCVUKNMJEUHKPB-QMTHXVAHSA-N 0 1 310.825 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2ccc(C)cc2)C1 ZINC000989152749 658444606 /nfs/dbraw/zinc/44/46/06/658444606.db2.gz DPEUYIMXRGONLQ-NVXWUHKLSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)C2CCC3(CC3)CC2)C1 ZINC000989197568 658450547 /nfs/dbraw/zinc/45/05/47/658450547.db2.gz AQDNGXFMSLFRRI-HIFRSBDPSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c2ccccc12 ZINC001017711576 658452362 /nfs/dbraw/zinc/45/23/62/658452362.db2.gz VPAQNEUTOYZTAW-IYBDPMFKSA-N 0 1 323.440 3.043 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1CCCCCCC1 ZINC001017725512 658454567 /nfs/dbraw/zinc/45/45/67/658454567.db2.gz BTPUXFCRLSFUPV-HDICACEKSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC000966263044 658459049 /nfs/dbraw/zinc/45/90/49/658459049.db2.gz LKLZYXNCUCSKID-DYVFJYSZSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)on1 ZINC001017776668 658461214 /nfs/dbraw/zinc/46/12/14/658461214.db2.gz KAEJNESWRSPRJQ-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966327464 658466549 /nfs/dbraw/zinc/46/65/49/658466549.db2.gz POZGUCWNCGPAIJ-OXQOHEQNSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)ccc(C)c1F ZINC001017883338 658473186 /nfs/dbraw/zinc/47/31/86/658473186.db2.gz OUNCRTQRYUGICH-OKILXGFUSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2cc(C)ccc2C)C1 ZINC000989408349 658474160 /nfs/dbraw/zinc/47/41/60/658474160.db2.gz QATLGENVGORDFO-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC000966456399 658475772 /nfs/dbraw/zinc/47/57/72/658475772.db2.gz OPWIUUIQLSFEED-GOEBONIOSA-N 0 1 318.486 3.164 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(F)F)nc2)C1 ZINC000966558580 658481189 /nfs/dbraw/zinc/48/11/89/658481189.db2.gz DZFUTJYRIAFMDI-SWLSCSKDSA-N 0 1 323.387 3.036 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC000966561223 658481675 /nfs/dbraw/zinc/48/16/75/658481675.db2.gz IXMXBWPESIOKER-UZLBHIALSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CC1CCCC1 ZINC001018027072 658492789 /nfs/dbraw/zinc/49/27/89/658492789.db2.gz IMIOSHTYQZUPJA-QRVBRYPASA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCc2ccccc21 ZINC001018080339 658501346 /nfs/dbraw/zinc/50/13/46/658501346.db2.gz YRVYKTLUMCUJER-GBESFXJTSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CCC ZINC001018174375 658509520 /nfs/dbraw/zinc/50/95/20/658509520.db2.gz BIGUBTZSNRXPHM-IYBDPMFKSA-N 0 1 316.445 3.487 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(C)(C)C)cc1 ZINC001018203935 658516431 /nfs/dbraw/zinc/51/64/31/658516431.db2.gz YJULVCNJYUBGDI-KDURUIRLSA-N 0 1 324.468 3.296 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(C)csc2Cl)CC1 ZINC000989564761 658516573 /nfs/dbraw/zinc/51/65/73/658516573.db2.gz NCZQPGWEEKSNFY-UHFFFAOYSA-N 0 1 312.866 3.434 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccccc2C2CCC2)CC1 ZINC000989574704 658520454 /nfs/dbraw/zinc/52/04/54/658520454.db2.gz RNNCIAPVEVEPBB-UHFFFAOYSA-N 0 1 310.441 3.125 20 30 DGEDMN C#CCCN1CCCN(C(=O)C2(c3ccccc3)CCCC2)CC1 ZINC000989612047 658529690 /nfs/dbraw/zinc/52/96/90/658529690.db2.gz MGIFGGQIWQNHJR-UHFFFAOYSA-N 0 1 324.468 3.056 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)n(C(C)C)c2C)CC1 ZINC000989632416 658530389 /nfs/dbraw/zinc/53/03/89/658530389.db2.gz LOBFNUFWYNODFJ-UHFFFAOYSA-N 0 1 317.477 3.410 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2ccc3ccccc3c2)CC1 ZINC000989634053 658531289 /nfs/dbraw/zinc/53/12/89/658531289.db2.gz NYZHLRJESFVLDT-UHFFFAOYSA-N 0 1 308.425 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3sccc3[nH]2)C1 ZINC000989643075 658533988 /nfs/dbraw/zinc/53/39/88/658533988.db2.gz PUEQJEIHNZEOLD-GHMZBOCLSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc(Cl)s2)CC1 ZINC000989656744 658538573 /nfs/dbraw/zinc/53/85/73/658538573.db2.gz YCUJULWTHLWNIK-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC000967086943 658538701 /nfs/dbraw/zinc/53/87/01/658538701.db2.gz MVWLUKZMVJWTNB-LRDDRELGSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(CC)c(CC)o2)C1 ZINC000989685649 658541449 /nfs/dbraw/zinc/54/14/49/658541449.db2.gz FBEJLYVREASOFI-OCCSQVGLSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)cc(C)c2)C1 ZINC000989724142 658549432 /nfs/dbraw/zinc/54/94/32/658549432.db2.gz WKCXVFCNQIWAPU-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C(C)C)n2)C1 ZINC000967265026 658555473 /nfs/dbraw/zinc/55/54/73/658555473.db2.gz SAZYYOJUNHHHAR-CRAIPNDOSA-N 0 1 315.461 3.221 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2(c3ccccc3)CCC2)C1 ZINC000967283773 658563028 /nfs/dbraw/zinc/56/30/28/658563028.db2.gz IVBHZYPGRUBXAF-WMZOPIPTSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc(C)c2C)C1 ZINC000989741462 658563855 /nfs/dbraw/zinc/56/38/55/658563855.db2.gz DEOVVFXHOKXUFR-ZFWWWQNUSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC000967291165 658564740 /nfs/dbraw/zinc/56/47/40/658564740.db2.gz JYEFEQPVWGLOGV-XJKSGUPXSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3ccc(C)cc3)CCC2)CC1 ZINC000989766199 658568386 /nfs/dbraw/zinc/56/83/86/658568386.db2.gz SMLQFAFQJGEXER-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000989812483 658582126 /nfs/dbraw/zinc/58/21/26/658582126.db2.gz GOLDZZTTXPJCDS-HIFRSBDPSA-N 0 1 324.827 3.388 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC000967470915 658591196 /nfs/dbraw/zinc/59/11/96/658591196.db2.gz VEDFEPICYZMCSW-KSSFIOAISA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001018841024 658594996 /nfs/dbraw/zinc/59/49/96/658594996.db2.gz UEAMCHRDOMWVKK-BFHYXJOUSA-N 0 1 324.877 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001019231984 658617801 /nfs/dbraw/zinc/61/78/01/658617801.db2.gz CLZMMNYTPOPCTA-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001019238037 658618541 /nfs/dbraw/zinc/61/85/41/658618541.db2.gz JULXNDKRIWWPER-JSGCOSHPSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)cccc2Cl)C1 ZINC001019330315 658632797 /nfs/dbraw/zinc/63/27/97/658632797.db2.gz XATKCURHSYRFOK-LBPRGKRZSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H](NCc3ccc(F)cc3F)C2)C1 ZINC001019353728 658637387 /nfs/dbraw/zinc/63/73/87/658637387.db2.gz YKSOXMIGVDMHPO-HNNXBMFYSA-N 0 1 320.383 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001019445244 658647323 /nfs/dbraw/zinc/64/73/23/658647323.db2.gz LQPFADINEHHSRQ-ZBFHGGJFSA-N 0 1 306.837 3.042 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC001014478804 658650708 /nfs/dbraw/zinc/65/07/08/658650708.db2.gz PCZZUJMOWBPJGM-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC001014478804 658650711 /nfs/dbraw/zinc/65/07/11/658650711.db2.gz PCZZUJMOWBPJGM-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968174270 658677408 /nfs/dbraw/zinc/67/74/08/658677408.db2.gz KENVKDRFIASHRH-GUYCJALGSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001019840566 658678010 /nfs/dbraw/zinc/67/80/10/658678010.db2.gz XYWFPYFQZXJLNF-JKSUJKDBSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2-n2cccc2)C1 ZINC000968193630 658680620 /nfs/dbraw/zinc/68/06/20/658680620.db2.gz VMZICPHVHGKBCR-WMZOPIPTSA-N 0 1 323.440 3.104 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191854 658680628 /nfs/dbraw/zinc/68/06/28/658680628.db2.gz PJMCTKULTPQPIJ-RHSMWYFYSA-N 0 1 318.848 3.112 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C[C@@H]2C=CCCC2)C1 ZINC001020284216 658719798 /nfs/dbraw/zinc/71/97/98/658719798.db2.gz UXONQDDCTPLOOQ-ATZDWAIDSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001038358941 658747204 /nfs/dbraw/zinc/74/72/04/658747204.db2.gz GIDPWTALCCTNMG-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001038390737 658748029 /nfs/dbraw/zinc/74/80/29/658748029.db2.gz SVQAJXKEOVKKKT-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2[C@@H](C)c2ccccc2)cc1 ZINC001038581227 658766573 /nfs/dbraw/zinc/76/65/73/658766573.db2.gz HAHWJSAKABNYQW-JXFKEZNVSA-N 0 1 318.420 3.233 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3csc(C)c3C)CCC[C@H]12 ZINC000990872492 658772218 /nfs/dbraw/zinc/77/22/18/658772218.db2.gz SLKSTFSRONKVKE-WMZOPIPTSA-N 0 1 316.470 3.115 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3c(C)oc4ccccc43)CCC[C@H]12 ZINC000990874951 658774173 /nfs/dbraw/zinc/77/41/73/658774173.db2.gz XKFRZIMVWSKKFX-PXNSSMCTSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCN2CCc2cccs2)CC1 ZINC001038665544 658777756 /nfs/dbraw/zinc/77/77/56/658777756.db2.gz DYFOJLYYTDXRDI-MRXNPFEDSA-N 0 1 318.486 3.228 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cc(F)c(C)cc3F)CCC[C@H]12 ZINC000991139378 658827895 /nfs/dbraw/zinc/82/78/95/658827895.db2.gz ACLVCEKCPIWMRN-FUHWJXTLSA-N 0 1 320.383 3.186 20 30 DGEDMN N#CC(C(=O)CSCC(F)(F)F)c1nc2ccccc2[nH]1 ZINC000155863396 658853364 /nfs/dbraw/zinc/85/33/64/658853364.db2.gz UDFLRDKDWHKWAC-QMMMGPOBSA-N 0 1 313.304 3.035 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001030028017 658882577 /nfs/dbraw/zinc/88/25/77/658882577.db2.gz ZFAKZRHUVJCKKE-BRWVUGGUSA-N 0 1 304.478 3.360 20 30 DGEDMN O=C(/C=C/C=C/c1ccccc1[N+](=O)[O-])c1ccc(O)cc1O ZINC000156626814 658931063 /nfs/dbraw/zinc/93/10/63/658931063.db2.gz DEFSZXYDPOHSNR-JHMJBTLWSA-N 0 1 311.293 3.458 20 30 DGEDMN COc1ccc(C(=O)C=Cc2c[nH]nc2C(C)(C)C)c(O)c1 ZINC000156620614 658931173 /nfs/dbraw/zinc/93/11/73/658931173.db2.gz LKJYIQRHKDFUCG-VMPITWQZSA-N 0 1 300.358 3.318 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001038910729 658955351 /nfs/dbraw/zinc/95/53/51/658955351.db2.gz AQCWZDIQFLCLMU-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001038952578 658964296 /nfs/dbraw/zinc/96/42/96/658964296.db2.gz NPVCEEVTKSKFGK-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1sccc1C(F)F ZINC001038978170 658970031 /nfs/dbraw/zinc/97/00/31/658970031.db2.gz BQNOGIBVTMNLTK-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1sc(CCC)nc1C ZINC001039015948 658981715 /nfs/dbraw/zinc/98/17/15/658981715.db2.gz TXGIIWFVIRIJMB-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1sc(C(C)(C)C)nc1C ZINC001039078037 658993284 /nfs/dbraw/zinc/99/32/84/658993284.db2.gz IFJJBOOIBVBRKG-ZDUSSCGKSA-N 0 1 321.490 3.129 20 30 DGEDMN O=C1c2cccc(O)c2CC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000157316816 659047354 /nfs/dbraw/zinc/04/73/54/659047354.db2.gz MZZPQQVYRAOIRS-NTMALXAHSA-N 0 1 311.293 3.219 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCN(c3ccccc3)CC2)cc1 ZINC000157522588 659066795 /nfs/dbraw/zinc/06/67/95/659066795.db2.gz GPSGKAWLQOILEM-KRWDZBQOSA-N 0 1 321.424 3.300 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)CC(C)C)C2 ZINC001045910947 659307340 /nfs/dbraw/zinc/30/73/40/659307340.db2.gz VYABUMMNSVKKOQ-QGZVFWFLSA-N 0 1 312.885 3.490 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C(C)C ZINC000172021010 659310515 /nfs/dbraw/zinc/31/05/15/659310515.db2.gz UAPUPMJQTGGDOE-OLZOCXBDSA-N 0 1 302.374 3.025 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cccc([N+](=O)[O-])c2)cc1C#N ZINC000172688739 659349690 /nfs/dbraw/zinc/34/96/90/659349690.db2.gz WKEIZDIWIDDRDL-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN CCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000173980313 659407302 /nfs/dbraw/zinc/40/73/02/659407302.db2.gz BLOAJACSMVLPOH-PWSUYJOCSA-N 0 1 322.792 3.432 20 30 DGEDMN Cc1ccc(N2CCC[C@@H](NCc3ccc(C#N)cc3)C2=O)cc1 ZINC000174580745 659440329 /nfs/dbraw/zinc/44/03/29/659440329.db2.gz BGIFYTATMMMKOB-LJQANCHMSA-N 0 1 319.408 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3csc4ccccc34)CCC[C@H]12 ZINC000992049246 659455819 /nfs/dbraw/zinc/45/58/19/659455819.db2.gz KUNVVXZDCMYNKQ-PKOBYXMFSA-N 0 1 324.449 3.261 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@]2(NC(=O)c3ccoc3C3CC3)CCC[C@H]12 ZINC000992137792 659471225 /nfs/dbraw/zinc/47/12/25/659471225.db2.gz PZZRNYUOPFONJR-LPHOPBHVSA-N 0 1 314.429 3.460 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C(C)(C)C)cc3)CCC[C@@H]12 ZINC000992438015 659550123 /nfs/dbraw/zinc/55/01/23/659550123.db2.gz NMQQGCAOYHMRMY-WIYYLYMNSA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCC[N@H+]1CC[C@@]2(NC(=O)c3ccc(Cl)s3)CCC[C@@H]12 ZINC000992495353 659564215 /nfs/dbraw/zinc/56/42/15/659564215.db2.gz RVOKQUUPWFJLAG-CJNGLKHVSA-N 0 1 322.861 3.152 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C(C)(C)C)n2)[C@H]1C ZINC000993321973 659713849 /nfs/dbraw/zinc/71/38/49/659713849.db2.gz BQUIPAQAVWAJNR-KGLIPLIRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3scnc32)[C@H]1C ZINC000993335299 659716753 /nfs/dbraw/zinc/71/67/53/659716753.db2.gz VUZMMUDJOSUXLH-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nc(C(C)C)oc2C)[C@@H]1C ZINC000993335359 659718061 /nfs/dbraw/zinc/71/80/61/659718061.db2.gz WKHIUEBGISUZKO-DZGCQCFKSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cccc(Cl)c2C)[C@H]1C ZINC000993347403 659718409 /nfs/dbraw/zinc/71/84/09/659718409.db2.gz BYAYVIAWTIDJMJ-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2C)[C@H]1C ZINC000993347403 659718414 /nfs/dbraw/zinc/71/84/14/659718414.db2.gz BYAYVIAWTIDJMJ-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3c2CCCC3)[C@@H]1C ZINC000993367669 659722297 /nfs/dbraw/zinc/72/22/97/659722297.db2.gz ORSNLLIGBMJJSG-KXBFYZLASA-N 0 1 312.457 3.334 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccccc2C(C)(C)C)[C@H]1C ZINC000993490168 659753980 /nfs/dbraw/zinc/75/39/80/659753980.db2.gz PWGKDPKFLUIENW-CRAIPNDOSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c3ccccc3n2)[C@H]1C ZINC000993492035 659754046 /nfs/dbraw/zinc/75/40/46/659754046.db2.gz WZDZRTBNYUHZRL-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3cccnc32)[C@@H]1C ZINC000993531364 659756824 /nfs/dbraw/zinc/75/68/24/659756824.db2.gz CJYFAEYWYNMVLD-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C(C)(C)C)on2)[C@@H]1C ZINC000993582642 659759691 /nfs/dbraw/zinc/75/96/91/659759691.db2.gz AEQWFDLSTDLKLE-KBPBESRZSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnc3ccccc32)[C@@H]1C ZINC000993577906 659760083 /nfs/dbraw/zinc/76/00/83/659760083.db2.gz WOSDRQDOWIALFP-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)onc2C2CC2)[C@@H]1C ZINC000993609956 659765687 /nfs/dbraw/zinc/76/56/87/659765687.db2.gz ZDNFJSZXMIXGRK-SWLSCSKDSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cccc(C3CC3)c2)[C@H]1C ZINC000993636357 659769713 /nfs/dbraw/zinc/76/97/13/659769713.db2.gz XAEXTZBPEDBBIN-OXQOHEQNSA-N 0 1 324.468 3.099 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@H]1C ZINC000993648112 659770368 /nfs/dbraw/zinc/77/03/68/659770368.db2.gz UGYODTPYQJRUKA-WBVHZDCISA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)[C@@H]1C ZINC000993659375 659777483 /nfs/dbraw/zinc/77/74/83/659777483.db2.gz UUSUAZOBPLVVRB-ONGXEEELSA-N 0 1 316.232 3.090 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nccc3ccccc32)[C@@H]1C ZINC000993724178 659780437 /nfs/dbraw/zinc/78/04/37/659780437.db2.gz GLILCXISLOCQMR-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn(C(C)C)c2C)[C@@H]1C ZINC000993699572 659781176 /nfs/dbraw/zinc/78/11/76/659781176.db2.gz DNCGEAZNYYDTBR-DOTOQJQBSA-N 0 1 303.450 3.146 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2)[C@@H]1C ZINC000993764945 659788053 /nfs/dbraw/zinc/78/80/53/659788053.db2.gz VCZXBQFTQKJCIG-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(CCC(F)(F)F)[C@@H]2C)C1 ZINC000993788789 659790211 /nfs/dbraw/zinc/79/02/11/659790211.db2.gz LJIZYLHJHIGFBO-CHWSQXEVSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(C(C)(C)C)n2)[C@H]1C ZINC000993977437 659806644 /nfs/dbraw/zinc/80/66/44/659806644.db2.gz WASPIBBFHBBZHQ-CHWSQXEVSA-N 0 1 321.490 3.209 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3F)CC2)[C@H]1C ZINC000994135180 659813259 /nfs/dbraw/zinc/81/32/59/659813259.db2.gz QMANHIJJCBEESU-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@H]1C ZINC000994126439 659813575 /nfs/dbraw/zinc/81/35/75/659813575.db2.gz QKXUANQDHNYMRQ-WBVHZDCISA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@@H]1C ZINC000994126430 659813598 /nfs/dbraw/zinc/81/35/98/659813598.db2.gz QKXUANQDHNYMRQ-DOTOQJQBSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ccncc3c2)[C@H]1C ZINC000994172606 659816948 /nfs/dbraw/zinc/81/69/48/659816948.db2.gz YABWPLAAZUINNK-RDTXWAMCSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3ccsc32)[C@@H]1C ZINC000994172981 659817643 /nfs/dbraw/zinc/81/76/43/659817643.db2.gz QCSWXMFVAPBRPH-XJKSGUPXSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(C3CCC3)c2)[C@@H]1C ZINC000994206026 659819677 /nfs/dbraw/zinc/81/96/77/659819677.db2.gz HKKWAJCJHKKNRO-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(C3CCC3)c2)[C@@H]1C ZINC000994206023 659820017 /nfs/dbraw/zinc/82/00/17/659820017.db2.gz HKKWAJCJHKKNRO-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(CC)c(CC)o2)[C@@H]1C ZINC000994258568 659827068 /nfs/dbraw/zinc/82/70/68/659827068.db2.gz VRROHUGFLZQQGC-ZFWWWQNUSA-N 0 1 304.434 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(F)cccc2Cl)[C@@H]1C ZINC000994268576 659829154 /nfs/dbraw/zinc/82/91/54/659829154.db2.gz ZWKQHEGRITTYFJ-SMDDNHRTSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)[C@@H]1C ZINC000994285879 659832059 /nfs/dbraw/zinc/83/20/59/659832059.db2.gz ZDRVIRFKMNVYKQ-XLAORIBOSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(Cl)s2)[C@H]1C ZINC000994354736 659843774 /nfs/dbraw/zinc/84/37/74/659843774.db2.gz VKWIQGGDNZFDMP-NEPJUHHUSA-N 0 1 310.850 3.008 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccccc2C2CCC2)[C@H]1C ZINC000994354172 659844055 /nfs/dbraw/zinc/84/40/55/659844055.db2.gz SXGXOODFWXBMEP-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H]1C ZINC000994471759 659858910 /nfs/dbraw/zinc/85/89/10/659858910.db2.gz MIGHVVVIAXYLSR-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C)c3c2CCC3)[C@H]1C ZINC000994481435 659859466 /nfs/dbraw/zinc/85/94/66/659859466.db2.gz ZCQYRKZUEDRBRS-OXQOHEQNSA-N 0 1 324.468 3.090 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001015762137 659860510 /nfs/dbraw/zinc/86/05/10/659860510.db2.gz BARBXIAISWQELF-CQSZACIVSA-N 0 1 304.434 3.266 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C(C)C)s2)[C@H]1C ZINC000994490443 659860622 /nfs/dbraw/zinc/86/06/22/659860622.db2.gz VIYOVQYNVSYASZ-CABCVRRESA-N 0 1 318.486 3.478 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cncc3ccccc32)[C@H]1C ZINC000994503729 659863908 /nfs/dbraw/zinc/86/39/08/659863908.db2.gz WLUNAEGUZGPXBA-RDTXWAMCSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@H]1C ZINC000994570878 659887880 /nfs/dbraw/zinc/88/78/80/659887880.db2.gz ZIIXHIAROLGSOE-OXQOHEQNSA-N 0 1 322.452 3.470 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(C#N)ccc2O)cc1Cl ZINC000175686098 659927216 /nfs/dbraw/zinc/92/72/16/659927216.db2.gz HWPLIBKGOZSXLJ-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN CC(C)(C)c1ncsc1C(=O)Nc1cc(C#N)ccc1O ZINC000179733214 659939762 /nfs/dbraw/zinc/93/97/62/659939762.db2.gz COFACMUWCXEGKW-UHFFFAOYSA-N 0 1 301.371 3.270 20 30 DGEDMN CC[C@H](Oc1cccc(C)c1)C(=O)Nc1cc(C#N)ccc1O ZINC000179735414 659940300 /nfs/dbraw/zinc/94/03/00/659940300.db2.gz HXJANPMYGFONBV-KRWDZBQOSA-N 0 1 310.353 3.368 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)c1ccc(F)cc1 ZINC000068334315 659948784 /nfs/dbraw/zinc/94/87/84/659948784.db2.gz CDVZGGKVJYYULK-GOSISDBHSA-N 0 1 301.336 3.134 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)c1ccc(F)c(F)c1 ZINC000068334300 659948912 /nfs/dbraw/zinc/94/89/12/659948912.db2.gz TWRKPJYIQZNCBQ-SFHVURJKSA-N 0 1 319.326 3.273 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccccc2C(C)(C)C)C1 ZINC001031755947 659968881 /nfs/dbraw/zinc/96/88/81/659968881.db2.gz QFRFXJMKRFDFCP-UHFFFAOYSA-N 0 1 300.446 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3ccccc3o2)C[C@H]1C ZINC000939668693 660009188 /nfs/dbraw/zinc/00/91/88/660009188.db2.gz DFRWNCFPCPCMDV-BXUZGUMPSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccoc2C(C)C)C[C@@H]1C ZINC000939826465 660015782 /nfs/dbraw/zinc/01/57/82/660015782.db2.gz PIDPJGJCPGXLRO-FZMZJTMJSA-N 0 1 310.825 3.206 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C2CC2)C2CC2)C[C@H]1C ZINC000939815875 660016190 /nfs/dbraw/zinc/01/61/90/660016190.db2.gz LVTRLOOYRPTDPV-BDJLRTHQSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001031876810 660033268 /nfs/dbraw/zinc/03/32/68/660033268.db2.gz ATXPVCRESKFVNV-UHFFFAOYSA-N 0 1 306.494 3.463 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001031890366 660041099 /nfs/dbraw/zinc/04/10/99/660041099.db2.gz QNPNVJCRTCXESC-UHFFFAOYSA-N 0 1 317.820 3.059 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2occc2C(C)C)C(C)(C)C1 ZINC000940971910 660075407 /nfs/dbraw/zinc/07/54/07/660075407.db2.gz GGHSYXZWADCBMN-INIZCTEOSA-N 0 1 316.445 3.257 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2csc(Cl)c2)C(C)(C)C1 ZINC000941074730 660083349 /nfs/dbraw/zinc/08/33/49/660083349.db2.gz FKLIJBUFWQJNQK-CYBMUJFWSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CCC(C3CC3)CC2)C(C)(C)C1 ZINC000941193377 660093483 /nfs/dbraw/zinc/09/34/83/660093483.db2.gz JVYYGZFMJTWMOK-ABHNRTSZSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc3ccccc32)C(C)(C)C1 ZINC000941200324 660094143 /nfs/dbraw/zinc/09/41/43/660094143.db2.gz DFGBIHJRQWDDRD-LJQANCHMSA-N 0 1 320.436 3.303 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(CC(C)C)s2)[C@H](C)C1 ZINC000942136983 660139738 /nfs/dbraw/zinc/13/97/38/660139738.db2.gz OUMFFWIACHYWRY-GDBMZVCRSA-N 0 1 318.486 3.020 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cscc3s2)[C@@H](C)C1 ZINC000942521687 660154524 /nfs/dbraw/zinc/15/45/24/660154524.db2.gz YUZPLHLMDDKOMV-WCQYABFASA-N 0 1 318.467 3.036 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000929830118 661342411 /nfs/dbraw/zinc/34/24/11/661342411.db2.gz AEPYTESYGNNLFS-OSAQELSMSA-N 0 1 312.335 3.403 20 30 DGEDMN COc1cccc([C@@H]2C[N@@H+](CC3CCC(C#N)CC3)CCO2)c1 ZINC000929845011 661343684 /nfs/dbraw/zinc/34/36/84/661343684.db2.gz JYOWYWZEGMQILZ-RJYAGPCLSA-N 0 1 314.429 3.398 20 30 DGEDMN COc1cccc([C@@H]2CN(CC3CCC(C#N)CC3)CCO2)c1 ZINC000929845011 661343685 /nfs/dbraw/zinc/34/36/85/661343685.db2.gz JYOWYWZEGMQILZ-RJYAGPCLSA-N 0 1 314.429 3.398 20 30 DGEDMN CO[C@@H]1CC[C@H]2OCC[N@@H+](CCCCC3(C#N)CCC3)[C@H]2C1 ZINC000930090626 661365429 /nfs/dbraw/zinc/36/54/29/661365429.db2.gz CYBNESBUBCKYDA-IXDOHACOSA-N 0 1 306.450 3.119 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2ccnc(F)c2)CC1 ZINC000930634265 661417245 /nfs/dbraw/zinc/41/72/45/661417245.db2.gz VSGPVPLKUVHREP-UHFFFAOYSA-N 0 1 324.403 3.031 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)c1cccc(C#N)c1 ZINC000930689112 661421693 /nfs/dbraw/zinc/42/16/93/661421693.db2.gz JMOPWIUBCPTITN-UHFFFAOYSA-N 0 1 323.396 3.414 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2cc(Cl)cc3ccccc32)C1 ZINC000930818693 661432240 /nfs/dbraw/zinc/43/22/40/661432240.db2.gz LLXATFXAVYTVLW-QGZVFWFLSA-N 0 1 300.789 3.344 20 30 DGEDMN C#CC1(O)CCN([C@@H](CC)c2ccc(Br)cc2)CC1 ZINC000931145007 661456562 /nfs/dbraw/zinc/45/65/62/661456562.db2.gz AHXIYACPBFXBJU-HNNXBMFYSA-N 0 1 322.246 3.360 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccccc2C(C)(F)F)CC1 ZINC000931632625 661494669 /nfs/dbraw/zinc/49/46/69/661494669.db2.gz UUCHBDQUAVSXKD-UHFFFAOYSA-N 0 1 321.371 3.017 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@]3(CC(F)(F)C3(F)F)C2)s1 ZINC000931910500 661521025 /nfs/dbraw/zinc/52/10/25/661521025.db2.gz FEOJJTCJYDPQNF-LLVKDONJSA-N 0 1 304.312 3.486 20 30 DGEDMN Cn1ccc([C@H]2CCCN(Cc3ccc(C#N)cc3Cl)C2)n1 ZINC000932129610 661539662 /nfs/dbraw/zinc/53/96/62/661539662.db2.gz DAEQAYXQEHDJLL-HNNXBMFYSA-N 0 1 314.820 3.325 20 30 DGEDMN Cn1ccc([C@@H]2CCCN(Cc3ccc(C#N)cc3Cl)C2)n1 ZINC000932129618 661539795 /nfs/dbraw/zinc/53/97/95/661539795.db2.gz DAEQAYXQEHDJLL-OAHLLOKOSA-N 0 1 314.820 3.325 20 30 DGEDMN CC(C)(C)c1cc2n(n1)CCN(CCc1ccc(C#N)cc1)C2 ZINC000932615278 661586314 /nfs/dbraw/zinc/58/63/14/661586314.db2.gz MPLSZSDOPNDQTO-UHFFFAOYSA-N 0 1 308.429 3.111 20 30 DGEDMN C#CCCN1C[C@H]2[C@H](C1)C(F)(F)C(F)(F)C(F)(F)C2(F)F ZINC000932945269 661611221 /nfs/dbraw/zinc/61/12/21/661611221.db2.gz ULCUFBNXCJFDFW-YUMQZZPRSA-N 0 1 321.211 3.113 20 30 DGEDMN C#CCC1(NCc2nc(-c3cc(C)ccn3)no2)CCCCC1 ZINC000933265795 661626343 /nfs/dbraw/zinc/62/63/43/661626343.db2.gz JOWGAYCJINKLAO-UHFFFAOYSA-N 0 1 310.401 3.256 20 30 DGEDMN C#Cc1ccc(NC(=O)N(CCC)[C@H]2CC[N@@H+](C)C2)c(Cl)c1 ZINC000933361482 661630145 /nfs/dbraw/zinc/63/01/45/661630145.db2.gz YHDRPLBLBSXBNH-AWEZNQCLSA-N 0 1 319.836 3.269 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)c1cccc(Cl)c1 ZINC000933776888 661657340 /nfs/dbraw/zinc/65/73/40/661657340.db2.gz CFFCCYCLTUHESG-OAHLLOKOSA-N 0 1 306.818 3.439 20 30 DGEDMN CN(CCOc1cccc([N+](=O)[O-])c1)Cc1cc(C#N)cs1 ZINC000933775578 661657562 /nfs/dbraw/zinc/65/75/62/661657562.db2.gz KFKQNRKZIKZHRN-UHFFFAOYSA-N 0 1 317.370 3.039 20 30 DGEDMN CN(CCOc1ccc([N+](=O)[O-])cc1)Cc1cc(C#N)cs1 ZINC000933779018 661658004 /nfs/dbraw/zinc/65/80/04/661658004.db2.gz WKKKGBWLHOZCEV-UHFFFAOYSA-N 0 1 317.370 3.039 20 30 DGEDMN N#Cc1cc(CNC2(c3ccc4c(c3)OCCO4)CC2)ccc1F ZINC000934001258 661672334 /nfs/dbraw/zinc/67/23/34/661672334.db2.gz ZXBBPTZYDOIRFU-UHFFFAOYSA-N 0 1 324.355 3.247 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000934467283 661709103 /nfs/dbraw/zinc/70/91/03/661709103.db2.gz VQSTVQFCYUILTR-MAUKXSAKSA-N 0 1 313.445 3.264 20 30 DGEDMN C#C[C@H]1CCCCN1Cc1ccc(SC(=O)N(C)C)cc1 ZINC000934512120 661712145 /nfs/dbraw/zinc/71/21/45/661712145.db2.gz DYGHWVNVHXIJHA-HNNXBMFYSA-N 0 1 302.443 3.448 20 30 DGEDMN N#CC(C(=O)CSc1ccc(F)c(F)c1)c1ccccn1 ZINC000041493138 661834489 /nfs/dbraw/zinc/83/44/89/661834489.db2.gz YJPDPHDDGWLANW-LLVKDONJSA-N 0 1 304.321 3.328 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000155464747 661847267 /nfs/dbraw/zinc/84/72/67/661847267.db2.gz QHGYSORQILPOGU-IUODEOHRSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@H](C)NC(=O)c1cccc(CNCc2cccc(C#N)c2)c1 ZINC000047799591 661881587 /nfs/dbraw/zinc/88/15/87/661881587.db2.gz XZNDWLDAPQSLOX-HNNXBMFYSA-N 0 1 321.424 3.376 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1CC#Cc1ccccc1 ZINC000947961372 661940265 /nfs/dbraw/zinc/94/02/65/661940265.db2.gz BLDYVZCMSWMMTE-AEFFLSMTSA-N 0 1 312.457 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)C[C@H]1C ZINC000948013468 661944487 /nfs/dbraw/zinc/94/44/87/661944487.db2.gz XGHRZRSNFBRJPJ-CJNGLKHVSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)s2)C[C@H]1C ZINC000948075118 661948381 /nfs/dbraw/zinc/94/83/81/661948381.db2.gz WVMDRJXTRPLGHH-KGLIPLIRSA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C[C@@H]2C=CCC2)CC1 ZINC000948173683 661957569 /nfs/dbraw/zinc/95/75/69/661957569.db2.gz RPQMADCSWLIYAE-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccncc2C)CC1 ZINC000948365336 661971077 /nfs/dbraw/zinc/97/10/77/661971077.db2.gz ONOAUWALYZXCRG-OAHLLOKOSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC000948632076 661987383 /nfs/dbraw/zinc/98/73/83/661987383.db2.gz RSGMOBVANVAJFD-HLLBOEOZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C(C)(C)C2CC2)CC1 ZINC000948696867 661991292 /nfs/dbraw/zinc/99/12/92/661991292.db2.gz ADYUPZYYPABDEP-HNNXBMFYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1CC(C)C1 ZINC000948906337 662006792 /nfs/dbraw/zinc/00/67/92/662006792.db2.gz LPZPYMNDYAWRIL-KVWWFHCMSA-N 0 1 312.457 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CCC1(C)C ZINC000948954331 662009396 /nfs/dbraw/zinc/00/93/96/662009396.db2.gz LNPUDWUNIFTBPP-MOPGFXCFSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1CC12CCC2 ZINC000948962876 662010385 /nfs/dbraw/zinc/01/03/85/662010385.db2.gz RXLLBTSCPSUGBD-RBUKOAKNSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc(C)c(Cl)c2)CC1 ZINC000949525969 662017913 /nfs/dbraw/zinc/01/79/13/662017913.db2.gz VOKIPZXHPWZORT-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@](C)(CC)C2)c1O ZINC000190328826 662028527 /nfs/dbraw/zinc/02/85/27/662028527.db2.gz KURBXWDIRWWJNT-GOSISDBHSA-N 0 1 305.418 3.130 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)CC1 ZINC000949847897 662033268 /nfs/dbraw/zinc/03/32/68/662033268.db2.gz BGCYYSSLHVZIJR-CHWSQXEVSA-N 0 1 324.877 3.225 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2c[nH]c3ccc(C)cc23)CC1 ZINC001006452401 662048757 /nfs/dbraw/zinc/04/87/57/662048757.db2.gz TUHHNXWKNRBQJX-UHFFFAOYSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(C3CC3)c2)CC1 ZINC001006452925 662050296 /nfs/dbraw/zinc/05/02/96/662050296.db2.gz WPDRWPYPEKWFAB-UHFFFAOYSA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2C[C@H](C)C[C@@H](C)C2)CC1 ZINC001006453349 662052611 /nfs/dbraw/zinc/05/26/11/662052611.db2.gz ZDKYXWLVVNWJDE-HZPDHXFCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCC[C@H]2C(F)F)C1 ZINC000950505663 662069512 /nfs/dbraw/zinc/06/95/12/662069512.db2.gz ZZGUNWFEDZRADB-CABCVRRESA-N 0 1 314.420 3.167 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCC[C@@H]2C(F)F)C1 ZINC000950505664 662069634 /nfs/dbraw/zinc/06/96/34/662069634.db2.gz ZZGUNWFEDZRADB-GJZGRUSLSA-N 0 1 314.420 3.167 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC000950620829 662075182 /nfs/dbraw/zinc/07/51/82/662075182.db2.gz DABQFBAZVBMOFF-DLBZAZTESA-N 0 1 318.486 3.351 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(F)c2Cl)CC1 ZINC000950718009 662079898 /nfs/dbraw/zinc/07/98/98/662079898.db2.gz GDDZSLYSHCGRLL-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC000950967115 662097453 /nfs/dbraw/zinc/09/74/53/662097453.db2.gz ZWISEEWKNFGNIR-BJWYYQGGSA-N 0 1 304.478 3.312 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)CSc1ccc(C)cc1 ZINC000193370949 662131862 /nfs/dbraw/zinc/13/18/62/662131862.db2.gz IHCHEEYHXHCZSP-HNNXBMFYSA-N 0 1 318.442 3.102 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@]2(c3ccccc3)CC2(C)C)CC1 ZINC000952382801 662186092 /nfs/dbraw/zinc/18/60/92/662186092.db2.gz KPEZBXRBLDDZOJ-HXUWFJFHSA-N 0 1 312.457 3.075 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(OC)c(C)c2)C1 ZINC000956956086 662338924 /nfs/dbraw/zinc/33/89/24/662338924.db2.gz FPOUJNSOMADMSD-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCO[C@H](CCC)C2)C1 ZINC000957041085 662346276 /nfs/dbraw/zinc/34/62/76/662346276.db2.gz SYDMXVQIMIYDNC-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCO[C@@H](CCC)C2)C1 ZINC000957041083 662346329 /nfs/dbraw/zinc/34/63/29/662346329.db2.gz SYDMXVQIMIYDNC-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCOc1ccc(CN2CCc3ccccc3[C@H]2CO)cc1 ZINC000093455503 662355190 /nfs/dbraw/zinc/35/51/90/662355190.db2.gz DYFHIBYOVHDOGA-OAQYLSRUSA-N 0 1 321.420 3.180 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C)CCC(F)(F)CC2)C(C)(C)C1 ZINC000974732181 685338161 /nfs/dbraw/zinc/33/81/61/685338161.db2.gz FIVDAVPXIHFTMX-ZDUSSCGKSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)CC1 ZINC000982113637 685342939 /nfs/dbraw/zinc/34/29/39/685342939.db2.gz NAGWTFAHFZVNCZ-RBUKOAKNSA-N 0 1 312.457 3.127 20 30 DGEDMN C=C(Br)CN1CC[C@H](C)N(Cc2ccccc2)CC1 ZINC000383043533 685165224 /nfs/dbraw/zinc/16/52/24/685165224.db2.gz DNTAGZVQMDZTPS-HNNXBMFYSA-N 0 1 323.278 3.491 20 30 DGEDMN C[C@H](NCC(C)(C)C#N)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000385987416 685175529 /nfs/dbraw/zinc/17/55/29/685175529.db2.gz DOGNKNZCGKVXIA-UONOGXRCSA-N 0 1 309.454 3.304 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2nc(C(C)C)oc2C)CC[C@@H]1C ZINC001071509131 686671784 /nfs/dbraw/zinc/67/17/84/686671784.db2.gz YLUWWOVJSMVXDB-DZGCQCFKSA-N 0 1 319.449 3.265 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc3c2CCCC3)CC[C@@H]1C ZINC001071530780 686681079 /nfs/dbraw/zinc/68/10/79/686681079.db2.gz AABWSIVAAXWOOU-FUHWJXTLSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(OCCC)c2)CC[C@@H]1C ZINC001071543493 686684847 /nfs/dbraw/zinc/68/48/47/686684847.db2.gz LUIDQMXWYACURP-RDJZCZTQSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccc(F)cc3)CC2)CC[C@H]1C ZINC001071548816 686686949 /nfs/dbraw/zinc/68/69/49/686686949.db2.gz MBVJSWMTRFYEKP-RHSMWYFYSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccnn2C(CC)CC)CC[C@@H]1C ZINC001071568904 686691115 /nfs/dbraw/zinc/69/11/15/686691115.db2.gz UISPXHDMKSAOAV-GJZGRUSLSA-N 0 1 318.465 3.013 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)C2CCCC2)CC[C@H]1C ZINC001071575498 686693259 /nfs/dbraw/zinc/69/32/59/686693259.db2.gz WIRHPRZPBXWNRY-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC[C@H]1C ZINC001071582800 686694767 /nfs/dbraw/zinc/69/47/67/686694767.db2.gz AQERAIXORYCZNW-NXWXRZEISA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)CC[C@H]1C ZINC001071602303 686698065 /nfs/dbraw/zinc/69/80/65/686698065.db2.gz IGMYVSRICFIALI-OLZOCXBDSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(-n3cccc3)c2)CC[C@H]1C ZINC001071656497 686713882 /nfs/dbraw/zinc/71/38/82/686713882.db2.gz YMKBDUOVLJJCCO-SJLPKXTDSA-N 0 1 323.440 3.246 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(CC)s2)CC[C@H]1C ZINC001071660504 686715117 /nfs/dbraw/zinc/71/51/17/686715117.db2.gz XCPJJENKSPVGAD-KGLIPLIRSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2CCC)CC[C@@H]1C ZINC001071674307 686721395 /nfs/dbraw/zinc/72/13/95/686721395.db2.gz RIYZKSFGBFVSTM-DOTOQJQBSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccccc3c2C)CC[C@@H]1C ZINC001071695420 686726003 /nfs/dbraw/zinc/72/60/03/686726003.db2.gz PNWRPBFRKHOGGI-HOCLYGCPSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@H]1C ZINC001071712868 686730845 /nfs/dbraw/zinc/73/08/45/686730845.db2.gz VCYHXLBVHBNGMQ-NVXWUHKLSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@@H]1C ZINC001071791873 686754263 /nfs/dbraw/zinc/75/42/63/686754263.db2.gz BJAGFYNNTIUVSX-HOCLYGCPSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@@H]1C ZINC001071792151 686754370 /nfs/dbraw/zinc/75/43/70/686754370.db2.gz FJYGMZVXESJLRV-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)CC[C@H]1C ZINC001071824791 686762521 /nfs/dbraw/zinc/76/25/21/686762521.db2.gz LZNMWOOZDUXDAA-PBHICJAKSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C3CC3)nc2C)CC[C@@H]1C ZINC001071832129 686764261 /nfs/dbraw/zinc/76/42/61/686764261.db2.gz RDALHTIKORWFEL-XJKSGUPXSA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@H]1C ZINC001071880050 686780356 /nfs/dbraw/zinc/78/03/56/686780356.db2.gz OIPJWUCQBYOIPB-YJBCQJRQSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(C(C)C)n2)CC[C@H]1C ZINC001071901844 686787939 /nfs/dbraw/zinc/78/79/39/686787939.db2.gz XYBPJIAAOJFFOD-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC)cc2Cl)CC[C@H]1C ZINC001071908652 686789564 /nfs/dbraw/zinc/78/95/64/686789564.db2.gz ZTVQENNFWYWYMB-OLZOCXBDSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccn2C2CCCC2)CC[C@H]1C ZINC001071940799 686793512 /nfs/dbraw/zinc/79/35/12/686793512.db2.gz YNPZCAMUTGJFOL-CVEARBPZSA-N 0 1 315.461 3.372 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncsc2C(C)C)CC[C@@H]1C ZINC001071985035 686805984 /nfs/dbraw/zinc/80/59/84/686805984.db2.gz IVRPWAGRUCYVPT-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C3CC3)s2)CC[C@@H]1C ZINC001071987463 686806073 /nfs/dbraw/zinc/80/60/73/686806073.db2.gz DDZZQENMLAQZLE-GXTWGEPZSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(F)cccc2Cl)CC[C@H]1C ZINC001072023474 686828014 /nfs/dbraw/zinc/82/80/14/686828014.db2.gz RMXJBIMYNDIPPM-VXGBXAGGSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@H]1C ZINC001072055327 686849878 /nfs/dbraw/zinc/84/98/78/686849878.db2.gz SLRYPTYMKSJJSW-MSOLQXFVSA-N 0 1 312.457 3.218 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(CC(C)C)cc2)CC[C@@H]1C ZINC001072063632 686852799 /nfs/dbraw/zinc/85/27/99/686852799.db2.gz VPIFHOOEAFMCEO-LPHOPBHVSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cccc(F)c3o2)CC[C@@H]1C ZINC001072091679 686860935 /nfs/dbraw/zinc/86/09/35/686860935.db2.gz GAHFLEUABCXRLQ-GXTWGEPZSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cccc(F)c3o2)CC[C@H]1C ZINC001072091682 686861260 /nfs/dbraw/zinc/86/12/60/686861260.db2.gz GAHFLEUABCXRLQ-TZMCWYRMSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cncc3ccccc32)CC[C@@H]1C ZINC001072092652 686861525 /nfs/dbraw/zinc/86/15/25/686861525.db2.gz VLWLQPFTOUEJJN-GOEBONIOSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cccc(F)c3o2)CC[C@@H]1C ZINC001072091680 686861904 /nfs/dbraw/zinc/86/19/04/686861904.db2.gz GAHFLEUABCXRLQ-JSGCOSHPSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(Cl)cccc2OC)CC[C@@H]1C ZINC001072095850 686862693 /nfs/dbraw/zinc/86/26/93/686862693.db2.gz PDOPULGYJSBYQZ-STQMWFEESA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3cccc(C)c3o2)CC[C@H]1C ZINC001072098385 686863362 /nfs/dbraw/zinc/86/33/62/686863362.db2.gz BBDGDCXJJXKGJT-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sccc2CC)CC[C@H]1C ZINC001072098829 686864930 /nfs/dbraw/zinc/86/49/30/686864930.db2.gz GYCJLAVIPGIYJV-UKRRQHHQSA-N 0 1 306.475 3.469 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)CC[C@@H]1C ZINC001072101110 686866540 /nfs/dbraw/zinc/86/65/40/686866540.db2.gz DHZSULJLAHVFGQ-JKSUJKDBSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccccc2CC(C)C)CC[C@H]1C ZINC001072102297 686867912 /nfs/dbraw/zinc/86/79/12/686867912.db2.gz OBFNHFAAIBPMJQ-SJLPKXTDSA-N 0 1 312.457 3.101 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(CC)c(CC)c2)CC[C@H]1C ZINC001072124290 686876553 /nfs/dbraw/zinc/87/65/53/686876553.db2.gz YXWUDAPVKVNACU-DNVCBOLYSA-N 0 1 312.457 3.027 20 30 DGEDMN CCC(C)(C)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001072932948 686985084 /nfs/dbraw/zinc/98/50/84/686985084.db2.gz ACKMWHWOGQPTFM-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC001073930470 687237454 /nfs/dbraw/zinc/23/74/54/687237454.db2.gz HXZWOLJUEQXYBX-CQSZACIVSA-N 0 1 319.474 3.233 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2cc(C)oc2C)[C@H]1C ZINC001074970207 687320986 /nfs/dbraw/zinc/32/09/86/687320986.db2.gz YKSSZQWUPBEBBT-XEZPLFJOSA-N 0 1 324.852 3.331 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc(C)c(CC)s3)[C@@H]2C1 ZINC001076544301 687537416 /nfs/dbraw/zinc/53/74/16/687537416.db2.gz ZVGLHFQBSDJJBY-LSDHHAIUSA-N 0 1 318.486 3.341 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001080236680 687934647 /nfs/dbraw/zinc/93/46/47/687934647.db2.gz CQKMGAUGBPTMDL-SJLPKXTDSA-N 0 1 312.457 3.039 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3cccc(F)c3)C[C@H]2C)C1 ZINC001080404799 687972730 /nfs/dbraw/zinc/97/27/30/687972730.db2.gz ZLOFEGNVASAIFK-RHSMWYFYSA-N 0 1 316.420 3.119 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC001080921313 688027817 /nfs/dbraw/zinc/02/78/17/688027817.db2.gz FTCDBGQPRIZBAE-BXUZGUMPSA-N 0 1 318.417 3.277 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001080999965 688032233 /nfs/dbraw/zinc/03/22/33/688032233.db2.gz QHGTXBVVAQNIGP-CZUORRHYSA-N 0 1 312.413 3.286 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001080996536 688032658 /nfs/dbraw/zinc/03/26/58/688032658.db2.gz ZTAZOUUISIWRNO-GDBMZVCRSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001081065426 688045241 /nfs/dbraw/zinc/04/52/41/688045241.db2.gz BAZWPPHYYLMUJJ-BXUZGUMPSA-N 0 1 318.804 3.322 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCC)C[C@H]1c1ccccc1 ZINC001081079050 688048759 /nfs/dbraw/zinc/04/87/59/688048759.db2.gz HFMZUASBSVFVNJ-JKSUJKDBSA-N 0 1 306.837 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2ccsc2)C[C@H]1C ZINC001082735980 688125502 /nfs/dbraw/zinc/12/55/02/688125502.db2.gz UFNVDYURUNSVQI-MPKXVKKWSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2ccccc2C)C[C@H]1C ZINC001082937599 688132535 /nfs/dbraw/zinc/13/25/35/688132535.db2.gz DSNXUHHQGIUDQN-UKPHBRMFSA-N 0 1 320.864 3.288 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC3CCC2CC3)C[C@H]1C ZINC001082946732 688132605 /nfs/dbraw/zinc/13/26/05/688132605.db2.gz PQMYUDZXIGMBOU-ULJMVYSRSA-N 0 1 310.869 3.002 20 30 DGEDMN O=C([C@H]1CC[C@@H](C2CC2)[NH2+]1)N([O-])Cc1cccc2ccccc21 ZINC001580481127 1192029728 /nfs/dbraw/zinc/02/97/28/1192029728.db2.gz DGZYQBPCBYKRIW-ZWKOTPCHSA-N 0 1 310.397 3.088 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001661097522 1196565904 /nfs/dbraw/zinc/56/59/04/1196565904.db2.gz RBZOIZCZBFDJRV-QZTJIDSGSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1[C@H]1CCCN1CCF ZINC001661977322 1196668679 /nfs/dbraw/zinc/66/86/79/1196668679.db2.gz KYZFAZJBNDISPV-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(C(F)F)CC2)C1 ZINC001661990453 1196671949 /nfs/dbraw/zinc/67/19/49/1196671949.db2.gz VMXIPJOYQXKGOI-NEPJUHHUSA-N 0 1 320.811 3.001 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2ccsc2C#N)cc1F ZINC001587088629 1192367608 /nfs/dbraw/zinc/36/76/08/1192367608.db2.gz PAPJQANHJNYGIK-UHFFFAOYSA-N 0 1 303.362 3.073 20 30 DGEDMN Cc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc(Cl)n1 ZINC001587347403 1192394515 /nfs/dbraw/zinc/39/45/15/1192394515.db2.gz KMPHLUSMLOJRFX-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN C=CCC1([NH2+]Cc2csc(-c3nnn[n-]3)c2)CCCCC1 ZINC001588556684 1192450072 /nfs/dbraw/zinc/45/00/72/1192450072.db2.gz DAEKZNJUAOWWOY-UHFFFAOYSA-N 0 1 303.435 3.297 20 30 DGEDMN C=CCC1([NH2+]Cc2csc(-c3nn[n-]n3)c2)CCCCC1 ZINC001588556684 1192450076 /nfs/dbraw/zinc/45/00/76/1192450076.db2.gz DAEKZNJUAOWWOY-UHFFFAOYSA-N 0 1 303.435 3.297 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@H](C)[C@H](C)C2)o1 ZINC001589773969 1192472829 /nfs/dbraw/zinc/47/28/29/1192472829.db2.gz UHYCAOWHBVRUPZ-VHSXEESVSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCCC1(CNS(=O)(=O)c2ccccc2O)CCCC1 ZINC001664421194 1196996894 /nfs/dbraw/zinc/99/68/94/1196996894.db2.gz CYVNZFDPUBQCAR-UHFFFAOYSA-N 0 1 309.431 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)Nc1ccc(N2CCN(CC)CC2)c(F)c1 ZINC001596016927 1192678140 /nfs/dbraw/zinc/67/81/40/1192678140.db2.gz XDTQGHLYUFSEJX-UHFFFAOYSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1C[C@H](OC)C12CCC2 ZINC001620964938 1192681430 /nfs/dbraw/zinc/68/14/30/1192681430.db2.gz LPGMYGRUJXMQNJ-IRXDYDNUSA-N 0 1 319.832 3.399 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1ccc(C)c(F)c1 ZINC001690975236 1176059223 /nfs/dbraw/zinc/05/92/23/1176059223.db2.gz WCPXJBBKJQKVFD-GOSISDBHSA-N 0 1 306.425 3.284 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001691794264 1176075133 /nfs/dbraw/zinc/07/51/33/1176075133.db2.gz WUQWRPMLCZQMBR-YSIASYRMSA-N 0 1 324.468 3.045 20 30 DGEDMN CCN(CCNC(=O)C#CC1CC1)[C@@H](C)c1ccccc1Cl ZINC001691017759 1176100149 /nfs/dbraw/zinc/10/01/49/1176100149.db2.gz RTZXXLSKAGRILF-AWEZNQCLSA-N 0 1 318.848 3.253 20 30 DGEDMN C=CC[C@H](C)NC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001687182469 1176124824 /nfs/dbraw/zinc/12/48/24/1176124824.db2.gz BVTYOOQAGIEBCU-AWEZNQCLSA-N 0 1 310.401 3.011 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1cccc(C)c1)C1CC1 ZINC001670529833 1176161458 /nfs/dbraw/zinc/16/14/58/1176161458.db2.gz WSXGJQKUXTZUED-RHSMWYFYSA-N 0 1 320.864 3.335 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001670631039 1176196577 /nfs/dbraw/zinc/19/65/77/1176196577.db2.gz VGHCGLZPAJVEHW-SFHVURJKSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001772539888 1176271502 /nfs/dbraw/zinc/27/15/02/1176271502.db2.gz UXRDGLFICTUTRZ-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001691049799 1176276428 /nfs/dbraw/zinc/27/64/28/1176276428.db2.gz FBBYACSPUICTPC-UHFFFAOYSA-N 0 1 320.436 3.429 20 30 DGEDMN C#CCN(CC)CCNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001691050265 1176276997 /nfs/dbraw/zinc/27/69/97/1176276997.db2.gz QILGAPNBTJEYOJ-UHFFFAOYSA-N 0 1 306.409 3.039 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1ccc(C2CCC2)cc1 ZINC001691053018 1176278376 /nfs/dbraw/zinc/27/83/76/1176278376.db2.gz IPUKNLZWDOCMIP-LJQANCHMSA-N 0 1 312.457 3.215 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C2CC2)cc1 ZINC001691798611 1176284443 /nfs/dbraw/zinc/28/44/43/1176284443.db2.gz IEACJHHMZXZZPV-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](CC)c1ccccc1 ZINC001691068707 1176292009 /nfs/dbraw/zinc/29/20/09/1176292009.db2.gz PRPGSCIEZVMNGS-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCCC1CCCCC1 ZINC001691072123 1176293405 /nfs/dbraw/zinc/29/34/05/1176293405.db2.gz UJKVLVWKIYXQNY-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001691106110 1176316159 /nfs/dbraw/zinc/31/61/59/1176316159.db2.gz QXONKDBTVITKGN-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(c2ccc(Cl)cc2)CC1 ZINC001691109209 1176319186 /nfs/dbraw/zinc/31/91/86/1176319186.db2.gz SKZSPXYLFDLOQD-INIZCTEOSA-N 0 1 318.848 3.138 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CC[C@@H](NCc2nc(C)oc2C)C1 ZINC001691247323 1176411932 /nfs/dbraw/zinc/41/19/32/1176411932.db2.gz STPMXMVTFBWTMX-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001691269607 1176417916 /nfs/dbraw/zinc/41/79/16/1176417916.db2.gz AZSDWPKKLBZGTO-NVNXTCNLSA-N 0 1 318.848 3.096 20 30 DGEDMN CCCC(=O)NC[C@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC001691772877 1176434277 /nfs/dbraw/zinc/43/42/77/1176434277.db2.gz RAOUWJWLZJWIDB-IBGZPJMESA-N 0 1 321.424 3.305 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001725449090 1176589084 /nfs/dbraw/zinc/58/90/84/1176589084.db2.gz GHXPOOZDXCNFES-YQCJOKCJSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)C1CCCCC1 ZINC001731624980 1176621905 /nfs/dbraw/zinc/62/19/05/1176621905.db2.gz WWQLVZCMFIKMGO-TZMCWYRMSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2cc[nH]c2c1 ZINC001665849590 1197206663 /nfs/dbraw/zinc/20/66/63/1197206663.db2.gz BKUMMFFNUSDIFC-CQSZACIVSA-N 0 1 305.809 3.018 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)c1ccsc1)C(C)C ZINC001700972940 1177046047 /nfs/dbraw/zinc/04/60/47/1177046047.db2.gz DPBKARLZPWJBFH-HNNXBMFYSA-N 0 1 306.475 3.044 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)c1ccsc1)C(C)C ZINC001700972942 1177046109 /nfs/dbraw/zinc/04/61/09/1177046109.db2.gz DPBKARLZPWJBFH-OAHLLOKOSA-N 0 1 306.475 3.044 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001752822915 1177204219 /nfs/dbraw/zinc/20/42/19/1177204219.db2.gz QPIIBNZQBCQEPU-RHSMWYFYSA-N 0 1 318.848 3.047 20 30 DGEDMN Cc1cc([C@@H]([NH2+]Cc2cccc(F)c2C#N)C(=O)[O-])ccc1F ZINC001600150087 1192795910 /nfs/dbraw/zinc/79/59/10/1192795910.db2.gz QVWNBFRPROXRJE-MRXNPFEDSA-N 0 1 316.307 3.060 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1CC(C)C ZINC001691630745 1177357464 /nfs/dbraw/zinc/35/74/64/1177357464.db2.gz FAEDZBPMUSWXKI-UHFFFAOYSA-N 0 1 308.853 3.299 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H]1CCCCC1(C)C ZINC001691638407 1177406356 /nfs/dbraw/zinc/40/63/56/1177406356.db2.gz JGONNMHXLWHJHC-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN CC/C=C(\C)C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001671398612 1177544225 /nfs/dbraw/zinc/54/42/25/1177544225.db2.gz NNUKDBZGJYZRER-GIJQJNRQSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccccc1F ZINC001691666540 1177619642 /nfs/dbraw/zinc/61/96/42/1177619642.db2.gz ZDXBAPKICWBBMV-HOTGVXAUSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@@H](C)NCc2coc(C)n2)CC1 ZINC001691667604 1177622373 /nfs/dbraw/zinc/62/23/73/1177622373.db2.gz WIVCZEWNARPBIG-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001691845364 1177624524 /nfs/dbraw/zinc/62/45/24/1177624524.db2.gz CLWRSTLQVDIOLN-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccc(F)cc1F ZINC001691678654 1177683103 /nfs/dbraw/zinc/68/31/03/1177683103.db2.gz OSHZSXFYLWYGKZ-HIFRSBDPSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(CCCCCF)CC1)c1ccccc1 ZINC001113664948 1177727250 /nfs/dbraw/zinc/72/72/50/1177727250.db2.gz HZYVAKFOKVRJHH-GOSISDBHSA-N 0 1 318.436 3.240 20 30 DGEDMN O=C(CC#Cc1ccccc1)N1CCN(CCC2CCCC2)CC1 ZINC001113664999 1177727305 /nfs/dbraw/zinc/72/73/05/1177727305.db2.gz KRKIVQPKWNMZGF-UHFFFAOYSA-N 0 1 324.468 3.153 20 30 DGEDMN C#CC[C@@H](CC(=O)N1CCN(CCCC)CC1)c1ccccc1 ZINC001113737005 1177729866 /nfs/dbraw/zinc/72/98/66/1177729866.db2.gz UELWHTCRMNBEGW-IBGZPJMESA-N 0 1 312.457 3.128 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(CF)cc2)C1 ZINC001691869631 1177790637 /nfs/dbraw/zinc/79/06/37/1177790637.db2.gz LVLZDEOIDYVSBD-QGZVFWFLSA-N 0 1 304.409 3.269 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1-c1nnc[nH]1)c1ccc(C#N)cc1 ZINC001182800150 1177821020 /nfs/dbraw/zinc/82/10/20/1177821020.db2.gz ZHSMLADJKOSUHK-LBPRGKRZSA-N 0 1 317.352 3.086 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1C ZINC001691712108 1177878896 /nfs/dbraw/zinc/87/88/96/1177878896.db2.gz PQGIKENKLOIVQQ-CJNGLKHVSA-N 0 1 304.409 3.117 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)C1CN(CC2CCC(C)(C)CC2)C1 ZINC001671128828 1178036630 /nfs/dbraw/zinc/03/66/30/1178036630.db2.gz LDUYJMYYCPSODG-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001671133013 1178037498 /nfs/dbraw/zinc/03/74/98/1178037498.db2.gz CLUVDFHQFQBVQJ-UHFFFAOYSA-N 0 1 316.445 3.034 20 30 DGEDMN C=CCCCC(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001330904096 1178087922 /nfs/dbraw/zinc/08/79/22/1178087922.db2.gz ROEXHYXMEZNNKI-UHFFFAOYSA-N 0 1 301.434 3.042 20 30 DGEDMN COc1cc(CNCc2ccc(OC)c(C#N)c2)ccc1F ZINC001331259042 1178167336 /nfs/dbraw/zinc/16/73/36/1178167336.db2.gz WSBSIAIIBWKTPH-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001331407522 1178205975 /nfs/dbraw/zinc/20/59/75/1178205975.db2.gz RSKUVSUVYWOJNT-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCCC[C@H](NC(=O)c1ccnc(CN)c1)c1ccccc1 ZINC001331417922 1178208415 /nfs/dbraw/zinc/20/84/15/1178208415.db2.gz AKZZOZMRLOPATG-SFHVURJKSA-N 0 1 309.413 3.368 20 30 DGEDMN Cc1cccc(C)c1C[N@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001600520445 1192866833 /nfs/dbraw/zinc/86/68/33/1192866833.db2.gz QFYKVCXKSYCVHK-GOSISDBHSA-N 0 1 308.381 3.433 20 30 DGEDMN CCC(CC)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001331598632 1178255793 /nfs/dbraw/zinc/25/57/93/1178255793.db2.gz LBSVCGFUAVKCKW-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C#CC(C)(C)C)CCCN1Cc1ccsc1 ZINC001332174427 1178380256 /nfs/dbraw/zinc/38/02/56/1178380256.db2.gz FZPTUPDQNBKGPG-GOEBONIOSA-N 0 1 318.486 3.267 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C/Cc2ccccc2)[C@H]1C ZINC001332184529 1178386032 /nfs/dbraw/zinc/38/60/32/1178386032.db2.gz JAKVWXNRXWTKPV-ZZHWGSSASA-N 0 1 324.468 3.168 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@H]1CCCC[C@H]1C)C(C)C ZINC001332740155 1178488254 /nfs/dbraw/zinc/48/82/54/1178488254.db2.gz CNSLYDMQSCVRHE-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@@H]1CCCC[C@H]1C)C(C)C ZINC001332740154 1178488664 /nfs/dbraw/zinc/48/86/64/1178488664.db2.gz CNSLYDMQSCVRHE-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CCCCC1)C(C)(C)C ZINC001332753657 1178491487 /nfs/dbraw/zinc/49/14/87/1178491487.db2.gz ODNGRDHXHUZLEW-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN COc1ccccc1[C@@H](CO)NCc1c(C)cc(C#N)cc1C ZINC001332818311 1178501543 /nfs/dbraw/zinc/50/15/43/1178501543.db2.gz GCBDCRAVMQBPBR-GOSISDBHSA-N 0 1 310.397 3.007 20 30 DGEDMN C=CCCCC(=O)N[C@H](CNCc1oc(C)nc1C)C(C)(C)C ZINC001332840613 1178506883 /nfs/dbraw/zinc/50/68/83/1178506883.db2.gz FOWYFJKTWXNHKO-MRXNPFEDSA-N 0 1 321.465 3.268 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN[C@@H](C)c2ncc(C)s2)cc1 ZINC001332852164 1178508105 /nfs/dbraw/zinc/50/81/05/1178508105.db2.gz BYFGMBJEUNWSMD-ZDUSSCGKSA-N 0 1 313.426 3.112 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2cncs2)CC1 ZINC001332950011 1178527359 /nfs/dbraw/zinc/52/73/59/1178527359.db2.gz PEQXKVSIXADOHI-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC[C@@H](C)N1CCC(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001333071436 1178550790 /nfs/dbraw/zinc/55/07/90/1178550790.db2.gz NWGXCDUXOKAWGX-OAHLLOKOSA-N 0 1 307.459 3.198 20 30 DGEDMN Cn1ncc(C2CC2)c1CNC1CC(C#N)(c2ccccc2)C1 ZINC001333325123 1178589313 /nfs/dbraw/zinc/58/93/13/1178589313.db2.gz GDUKKRGYGINPLX-UHFFFAOYSA-N 0 1 306.413 3.011 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001333495370 1178614819 /nfs/dbraw/zinc/61/48/19/1178614819.db2.gz RCAGFJCSNVICNI-QBIMZIAESA-N 0 1 304.409 3.289 20 30 DGEDMN Cc1cc(F)c(C#N)c(NC2CCN(Cc3ccccn3)CC2)c1 ZINC001334902493 1178826213 /nfs/dbraw/zinc/82/62/13/1178826213.db2.gz NXVIRPLSEWRMNQ-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001600979835 1192913020 /nfs/dbraw/zinc/91/30/20/1192913020.db2.gz ADOBTYTWBJDKAA-GOSISDBHSA-N 0 1 323.396 3.322 20 30 DGEDMN Cn1cccc1[C@H]1CCCC[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001600979835 1192913022 /nfs/dbraw/zinc/91/30/22/1192913022.db2.gz ADOBTYTWBJDKAA-GOSISDBHSA-N 0 1 323.396 3.322 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC001601064237 1192915319 /nfs/dbraw/zinc/91/53/19/1192915319.db2.gz PRPZYZYXWNNQTO-ZDUSSCGKSA-N 0 1 309.369 3.059 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)cc1 ZINC001601076634 1192916848 /nfs/dbraw/zinc/91/68/48/1192916848.db2.gz MYUPPVCNFRWTNS-SQNIBIBYSA-N 0 1 312.413 3.218 20 30 DGEDMN Cn1nc2c(c1C(=O)C(C#N)c1nc3ccccc3s1)CCC2 ZINC001341839969 1179069908 /nfs/dbraw/zinc/06/99/08/1179069908.db2.gz ZFUQMURSHIWMJE-LLVKDONJSA-N 0 1 322.393 3.009 20 30 DGEDMN Cn1nc2c(c1C(=O)[C@@H](C#N)c1nc3ccccc3s1)CCC2 ZINC001341839969 1179069917 /nfs/dbraw/zinc/06/99/17/1179069917.db2.gz ZFUQMURSHIWMJE-LLVKDONJSA-N 0 1 322.393 3.009 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(CC(C)C)s2)nc(C)n1 ZINC001341931309 1179082131 /nfs/dbraw/zinc/08/21/31/1179082131.db2.gz LTFVNYZRQGGYKC-LBPRGKRZSA-N 0 1 314.414 3.239 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)c2cnc(CC(C)C)s2)nc(C)n1 ZINC001341931309 1179082139 /nfs/dbraw/zinc/08/21/39/1179082139.db2.gz LTFVNYZRQGGYKC-LBPRGKRZSA-N 0 1 314.414 3.239 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)CSCc1cccc(Cl)c1 ZINC001342175305 1179113682 /nfs/dbraw/zinc/11/36/82/1179113682.db2.gz TXTBQRIIPRMCDY-ZDUSSCGKSA-N 0 1 319.817 3.183 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CCC)CCC ZINC001342218967 1179118538 /nfs/dbraw/zinc/11/85/38/1179118538.db2.gz RNRCSIRLFZJRTO-UHFFFAOYSA-N 0 1 302.426 3.179 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC2(CCC2)CO1)c1cccc(F)n1 ZINC001343407212 1179268513 /nfs/dbraw/zinc/26/85/13/1179268513.db2.gz ZFOJDZQRTYLSKP-OLZOCXBDSA-N 0 1 302.349 3.136 20 30 DGEDMN C[C@@H]1CO[C@@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)C1 ZINC001343415655 1179270140 /nfs/dbraw/zinc/27/01/40/1179270140.db2.gz IGRSRYYKPBTGOZ-MKPLZMMCSA-N 0 1 304.346 3.037 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)[C@@H]2CCC[C@H]21 ZINC001601827772 1192947446 /nfs/dbraw/zinc/94/74/46/1192947446.db2.gz ZQCLADLZSRZLQQ-GVDBMIGSSA-N 0 1 317.816 3.267 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)[C@H]2CCC[C@H]21 ZINC001601827768 1192947644 /nfs/dbraw/zinc/94/76/44/1192947644.db2.gz ZQCLADLZSRZLQQ-BBWFWOEESA-N 0 1 317.816 3.267 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)[C@@H]2CCC[C@@H]21 ZINC001601827770 1192947822 /nfs/dbraw/zinc/94/78/22/1192947822.db2.gz ZQCLADLZSRZLQQ-BRWVUGGUSA-N 0 1 317.816 3.267 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)[nH]c21 ZINC001343978581 1179360209 /nfs/dbraw/zinc/36/02/09/1179360209.db2.gz FCHCXCOFNWRELF-JHYMJZMKSA-N 0 1 305.381 3.340 20 30 DGEDMN CC(C)(C)Oc1cccc(C(=O)C(C#N)c2cccc(F)n2)n1 ZINC001344061373 1179371516 /nfs/dbraw/zinc/37/15/16/1179371516.db2.gz DHKDSWNXQRAJKA-LLVKDONJSA-N 0 1 313.332 3.283 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cnc(C(C)(C)C)s1 ZINC001344322231 1179412401 /nfs/dbraw/zinc/41/24/01/1179412401.db2.gz KECPMPVERICVCY-SNVBAGLBSA-N 0 1 302.403 3.147 20 30 DGEDMN N#CCC1(OC(=O)c2ccc(CN3CCCCC3)o2)CCC1 ZINC001344634476 1179460883 /nfs/dbraw/zinc/46/08/83/1179460883.db2.gz VOHCSNIAPGAZNX-UHFFFAOYSA-N 0 1 302.374 3.259 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)c1 ZINC001344870078 1179488337 /nfs/dbraw/zinc/48/83/37/1179488337.db2.gz UHSLZMUFPKAPEP-FJIDUMEYSA-N 0 1 324.424 3.146 20 30 DGEDMN CN1CCC(N=Nc2cnc3ccccc3n2)c2ccccc21 ZINC001345367932 1179556559 /nfs/dbraw/zinc/55/65/59/1179556559.db2.gz OWGYHIPGOGZJJO-UHFFFAOYSA-N 0 1 303.369 3.286 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)Nc1cc(CN(C)C)ccn1 ZINC001345898584 1179619995 /nfs/dbraw/zinc/61/99/95/1179619995.db2.gz UUFRBQZANHKPBD-HNNXBMFYSA-N 0 1 302.422 3.106 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1sccc1Br ZINC001346096643 1179653445 /nfs/dbraw/zinc/65/34/45/1179653445.db2.gz RNPBDBHHKBSHIF-QMMMGPOBSA-N 0 1 324.203 3.217 20 30 DGEDMN C=C(C)CCC(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001346488731 1179710145 /nfs/dbraw/zinc/71/01/45/1179710145.db2.gz DGWNJUWKUSXEMI-UHFFFAOYSA-N 0 1 301.434 3.042 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)Cc3cc(C4CC4)no3)[nH]c21 ZINC001347052506 1179772093 /nfs/dbraw/zinc/77/20/93/1179772093.db2.gz SDKXWNGOFPURCP-CYBMUJFWSA-N 0 1 320.352 3.156 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccoc2)n1)c1cccc(F)n1 ZINC001347105956 1179778212 /nfs/dbraw/zinc/77/82/12/1179778212.db2.gz BFAMUFZJEITSBB-SNVBAGLBSA-N 0 1 313.313 3.427 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(-c2ccoc2)n1)c1cccc(F)n1 ZINC001347105956 1179778216 /nfs/dbraw/zinc/77/82/16/1179778216.db2.gz BFAMUFZJEITSBB-SNVBAGLBSA-N 0 1 313.313 3.427 20 30 DGEDMN C#CCN1CCC(OC(=O)CCCc2c[nH]c3ccccc23)CC1 ZINC001347401266 1179804993 /nfs/dbraw/zinc/80/49/93/1179804993.db2.gz VWLILZXLCITBRF-UHFFFAOYSA-N 0 1 324.424 3.131 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2cc(OCc3ccccc3)cs2)=NO1 ZINC001348468119 1179837478 /nfs/dbraw/zinc/83/74/78/1179837478.db2.gz MOMDKAAROZWLLQ-LLVKDONJSA-N 0 1 316.382 3.179 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCc2c(Cl)cc(Cl)cc2C1 ZINC001602835824 1192999146 /nfs/dbraw/zinc/99/91/46/1192999146.db2.gz AMGUUJUTPVWJEH-CYBMUJFWSA-N 0 1 300.185 3.381 20 30 DGEDMN C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)Cc1c[nH]c(C(=O)[O-])c1 ZINC001602762173 1192996714 /nfs/dbraw/zinc/99/67/14/1192996714.db2.gz VOUYFQVGXHHJRM-UHFFFAOYSA-N 0 1 316.405 3.069 20 30 DGEDMN C=C(C)C[N@H+](Cc1cnn(C(C)C)c1)Cc1c[nH]c(C(=O)[O-])c1 ZINC001602762173 1192996717 /nfs/dbraw/zinc/99/67/17/1192996717.db2.gz VOUYFQVGXHHJRM-UHFFFAOYSA-N 0 1 316.405 3.069 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@@H]1CCCO[C@@H]1c1ccccc1 ZINC001602829804 1192998526 /nfs/dbraw/zinc/99/85/26/1192998526.db2.gz YAUQQDDDRZLJSS-GVDBMIGSSA-N 0 1 303.402 3.115 20 30 DGEDMN C=CCSc1ccccc1C(=O)NCc1n[nH]c(C)c1C ZINC001350389958 1180065966 /nfs/dbraw/zinc/06/59/66/1180065966.db2.gz XIDIKFHUTXIIEG-UHFFFAOYSA-N 0 1 301.415 3.235 20 30 DGEDMN C=CC[N@H+](Cc1cccs1)Cc1cc(C(=O)[O-])c(C)n1C ZINC001602894609 1193001764 /nfs/dbraw/zinc/00/17/64/1193001764.db2.gz NLPIIPNURCBEIU-UHFFFAOYSA-N 0 1 304.415 3.281 20 30 DGEDMN C=CC[N@@H+](Cc1cccs1)Cc1cc(C(=O)[O-])c(C)n1C ZINC001602894609 1193001765 /nfs/dbraw/zinc/00/17/65/1193001765.db2.gz NLPIIPNURCBEIU-UHFFFAOYSA-N 0 1 304.415 3.281 20 30 DGEDMN C[C@@H](NCc1ccc(NC(=O)C2CC2)cc1)c1cc(C#N)ccn1 ZINC001350623964 1180096612 /nfs/dbraw/zinc/09/66/12/1180096612.db2.gz UDXAJBOOHKNNFS-CYBMUJFWSA-N 0 1 320.396 3.153 20 30 DGEDMN CC(C)C[C@@H](C)CN=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001603259177 1193013069 /nfs/dbraw/zinc/01/30/69/1193013069.db2.gz BLWLWUHUEVIRQL-SNVBAGLBSA-N 0 1 305.386 3.058 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)CCCCCCCCCC)[C@@H](O)C1 ZINC001100083404 1180365811 /nfs/dbraw/zinc/36/58/11/1180365811.db2.gz RZANCBKJSPVDAE-MSOLQXFVSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCCCCCCCC)[C@@H](O)C1 ZINC001100083404 1180365813 /nfs/dbraw/zinc/36/58/13/1180365813.db2.gz RZANCBKJSPVDAE-MSOLQXFVSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCCC(=O)NCC1CC(NCc2csc(CCC)n2)C1 ZINC001100171724 1180419623 /nfs/dbraw/zinc/41/96/23/1180419623.db2.gz JTGLVWORXFEPNV-UHFFFAOYSA-N 0 1 321.490 3.046 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001480516439 1180583621 /nfs/dbraw/zinc/58/36/21/1180583621.db2.gz RJSXWOCCZHMQGG-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C[C@@H](CNC(=O)C1(C)CCCCC1)NCC#Cc1ccccc1 ZINC001480530698 1180610860 /nfs/dbraw/zinc/61/08/60/1180610860.db2.gz MTZMEMYGLDFFFM-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100595915 1180836053 /nfs/dbraw/zinc/83/60/53/1180836053.db2.gz IRFUBABLTZVLHZ-NFOMZHRRSA-N 0 1 321.490 3.038 20 30 DGEDMN Cn1ccnc1[C@@H]1CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC001354714472 1180883614 /nfs/dbraw/zinc/88/36/14/1180883614.db2.gz RVZHMNLRWAJEIL-OAHLLOKOSA-N 0 1 314.820 3.325 20 30 DGEDMN CCCCCCCCCCOc1cnc(-c2nn[nH]n2)nc1 ZINC001604176742 1193058705 /nfs/dbraw/zinc/05/87/05/1193058705.db2.gz XRUFGUKPASJWQC-UHFFFAOYSA-N 0 1 304.398 3.176 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(NCc2ncc(C(C)C)o2)CC1 ZINC001200823550 1180941449 /nfs/dbraw/zinc/94/14/49/1180941449.db2.gz OYISKENWGLIBJO-UHFFFAOYSA-N 0 1 319.449 3.235 20 30 DGEDMN C[C@@H](Oc1ccccc1C[N@@H+](C)Cc1cccc(C#N)c1)C(=O)[O-] ZINC001604442226 1193072437 /nfs/dbraw/zinc/07/24/37/1193072437.db2.gz ANXIJKJVCSCHOZ-CQSZACIVSA-N 0 1 324.380 3.042 20 30 DGEDMN N#C[C@H]1C[C@H]1C(=O)Nc1ccc2nc(-c3ccc(F)cc3)[nH]c2c1 ZINC001357129171 1181357597 /nfs/dbraw/zinc/35/75/97/1181357597.db2.gz KOFIQTQOSIVWJD-BXUZGUMPSA-N 0 1 320.327 3.467 20 30 DGEDMN COc1cccc2cc(C(=O)C(C#N)c3cccc(F)n3)oc21 ZINC001357598456 1181412563 /nfs/dbraw/zinc/41/25/63/1181412563.db2.gz HSFYUTBVJONCSH-LLVKDONJSA-N 0 1 310.284 3.466 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001604980989 1193102528 /nfs/dbraw/zinc/10/25/28/1193102528.db2.gz QUAMVAKLXIZVFV-SKDRFNHKSA-N 0 1 301.173 3.401 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3cc(Cl)c(F)cc3[nH]2)cc1 ZINC001437930783 1181951205 /nfs/dbraw/zinc/95/12/05/1181951205.db2.gz NIASFYSBMILIOI-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001441535215 1182065090 /nfs/dbraw/zinc/06/50/90/1182065090.db2.gz MUFSGBLXHISAKV-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)[C@H]1CCN1C(C)(C)C ZINC001442076978 1182074652 /nfs/dbraw/zinc/07/46/52/1182074652.db2.gz MUWRXQLWWSIGKV-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN CCn1c(C)cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1C ZINC001454324221 1182337359 /nfs/dbraw/zinc/33/73/59/1182337359.db2.gz ANBOOEXMQDEWMV-UHFFFAOYSA-N 0 1 307.357 3.125 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1ccc(OC)c(C#N)c1 ZINC001454986485 1182355960 /nfs/dbraw/zinc/35/59/60/1182355960.db2.gz JCPDWBXZJFKMQA-UHFFFAOYSA-N 0 1 303.406 3.017 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC001456728404 1182427688 /nfs/dbraw/zinc/42/76/88/1182427688.db2.gz WEJRPLCVCNBNSK-QFBILLFUSA-N 0 1 312.457 3.390 20 30 DGEDMN Cc1oc(C)c(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1C ZINC001458051102 1182492415 /nfs/dbraw/zinc/49/24/15/1182492415.db2.gz XMIQDUHRBXSSAB-UHFFFAOYSA-N 0 1 321.340 3.114 20 30 DGEDMN O[C@@H]1CCN(CC#Cc2ccccc2Cl)[C@@H]2CCCC[C@@H]12 ZINC001460664955 1182706928 /nfs/dbraw/zinc/70/69/28/1182706928.db2.gz GBCMDZOHTUUVOI-KBAYOESNSA-N 0 1 303.833 3.317 20 30 DGEDMN C=C(CC)CN1C[C@@H](C)O[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001460727853 1182713712 /nfs/dbraw/zinc/71/37/12/1182713712.db2.gz SADZPKMRZUYVQR-QAPCUYQASA-N 0 1 324.465 3.053 20 30 DGEDMN COc1ccc(CN2CCc3c(C#N)c(N)sc3C2)cc1C ZINC001460897124 1182736793 /nfs/dbraw/zinc/73/67/93/1182736793.db2.gz XIHLVTJAFDZOCS-UHFFFAOYSA-N 0 1 313.426 3.077 20 30 DGEDMN C#CCCCCCCN1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC001462031853 1182897809 /nfs/dbraw/zinc/89/78/09/1182897809.db2.gz OLWXKFHWDLNBLB-UHFFFAOYSA-N 0 1 315.417 3.301 20 30 DGEDMN C=C(C)[C@H](N[C@@H]1C[C@@H](OCC)[C@@H]1OC)c1ccc(F)c(F)c1 ZINC001462109389 1182909083 /nfs/dbraw/zinc/90/90/83/1182909083.db2.gz DXQDBXMLBQHNFW-WCXIOVBPSA-N 0 1 311.372 3.364 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1c[nH]c2ccccc12 ZINC001464646953 1183105109 /nfs/dbraw/zinc/10/51/09/1183105109.db2.gz SBROBKKKCKYLCO-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN Cc1c(NC(=O)Nc2ccc(C#N)cn2)[nH]nc1-c1ccccc1 ZINC001467098326 1183239750 /nfs/dbraw/zinc/23/97/50/1183239750.db2.gz DYUFEEYAJWWCLT-UHFFFAOYSA-N 0 1 318.340 3.296 20 30 DGEDMN C=CCCO[N-]C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)C[C@H]1CCC ZINC001470616969 1183500627 /nfs/dbraw/zinc/50/06/27/1183500627.db2.gz UEPIUNCZFYZYIZ-QZTJIDSGSA-N 0 1 316.445 3.159 20 30 DGEDMN C=CCCO[N-]C(=O)[C@@H]1C[N@H+](Cc2ccccc2)C[C@H]1CCC ZINC001470616969 1183500631 /nfs/dbraw/zinc/50/06/31/1183500631.db2.gz UEPIUNCZFYZYIZ-QZTJIDSGSA-N 0 1 316.445 3.159 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN([C@@H](C)CC)CC1 ZINC001470786483 1183514110 /nfs/dbraw/zinc/51/41/10/1183514110.db2.gz JDAVQEQRHZODHT-BBWFWOEESA-N 0 1 307.482 3.247 20 30 DGEDMN N#CCc1cccc(NC(=O)c2ccc(NC3=NCCC3)cc2)n1 ZINC001471519811 1183571312 /nfs/dbraw/zinc/57/13/12/1183571312.db2.gz PYSKHKCPFDVVCQ-UHFFFAOYSA-N 0 1 319.368 3.004 20 30 DGEDMN CC[C@@H]1CCCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635722 1183659034 /nfs/dbraw/zinc/65/90/34/1183659034.db2.gz NWNRZQNBIFMCTC-UKRRQHHQSA-N 0 1 323.400 3.498 20 30 DGEDMN C[C@H](C#N)C(=O)N1CCN(CC2CCC(C(C)(C)C)CC2)CC1 ZINC001472943206 1183701623 /nfs/dbraw/zinc/70/16/23/1183701623.db2.gz KXFIEKAIMWHPHE-KLAILNCOSA-N 0 1 319.493 3.143 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC001607565361 1193237759 /nfs/dbraw/zinc/23/77/59/1193237759.db2.gz IIBUEGKSJWCBPZ-INIZCTEOSA-N 0 1 314.429 3.498 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC001473112783 1183717599 /nfs/dbraw/zinc/71/75/99/1183717599.db2.gz SXFRKQLVWOWGIW-UHFFFAOYSA-N 0 1 304.409 3.248 20 30 DGEDMN C=C/C=C/CCN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC001473429483 1183757057 /nfs/dbraw/zinc/75/70/57/1183757057.db2.gz DYPQFYVJJQJNJG-ONEGZZNKSA-N 0 1 301.821 3.466 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccc(Br)c(C)c1 ZINC001473488585 1183765159 /nfs/dbraw/zinc/76/51/59/1183765159.db2.gz NIAQTXOAAMCQQF-HNNXBMFYSA-N 0 1 323.234 3.088 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC001473627015 1183782301 /nfs/dbraw/zinc/78/23/01/1183782301.db2.gz CNWKKJRBIFBKGM-KBXCAEBGSA-N 0 1 316.420 3.434 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC001473627018 1183782382 /nfs/dbraw/zinc/78/23/82/1183782382.db2.gz CNWKKJRBIFBKGM-RDTXWAMCSA-N 0 1 316.420 3.434 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H]1CCC[C@@H](C)O1 ZINC001474213005 1183882719 /nfs/dbraw/zinc/88/27/19/1183882719.db2.gz FFQIULXLABJBBN-ZIAGYGMSSA-N 0 1 307.821 3.399 20 30 DGEDMN CCN(C(=O)CNCc1c(C)cc(C#N)cc1C)c1ccccc1 ZINC001474268881 1183892721 /nfs/dbraw/zinc/89/27/21/1183892721.db2.gz GTCCNGXNCDJRJP-UHFFFAOYSA-N 0 1 321.424 3.318 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1cccn(C(F)F)c1=O ZINC001474338960 1183906424 /nfs/dbraw/zinc/90/64/24/1183906424.db2.gz KJTZHKWZZYEGCZ-UHFFFAOYSA-N 0 1 320.314 3.018 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H]1COC2(CCCCCC2)O1 ZINC001474393980 1183914336 /nfs/dbraw/zinc/91/43/36/1183914336.db2.gz BTRXBLLVTHTKOE-OAHLLOKOSA-N 0 1 318.392 3.253 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)ccc2F)[C@@H]1C ZINC001479714804 1184299361 /nfs/dbraw/zinc/29/93/61/1184299361.db2.gz NIVYUNPOFMZELT-PBHICJAKSA-N 0 1 318.436 3.425 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CN(C)Cc1cccnc1 ZINC001479734268 1184305542 /nfs/dbraw/zinc/30/55/42/1184305542.db2.gz CRNOPKMSYDOBSU-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)CCC(C)C)C2 ZINC001479740290 1184309250 /nfs/dbraw/zinc/30/92/50/1184309250.db2.gz HEDGKHYCPRXHAC-UHFFFAOYSA-N 0 1 321.490 3.130 20 30 DGEDMN C=C[C@@H](C(=O)N1CCCC[C@H]1CN(C)CC#CC)c1ccccc1 ZINC001479739616 1184310096 /nfs/dbraw/zinc/31/00/96/1184310096.db2.gz FFAMLCXPDUKIPV-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1nc2ccccc2o1 ZINC001479773918 1184321060 /nfs/dbraw/zinc/32/10/60/1184321060.db2.gz NBBMGYDMEQOHQA-UHFFFAOYSA-N 0 1 315.417 3.122 20 30 DGEDMN N#CC1(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@H]32)CCCCC1 ZINC001608175122 1193280746 /nfs/dbraw/zinc/28/07/46/1193280746.db2.gz PSFKRVODWLYSAF-OWCLPIDISA-N 0 1 304.434 3.426 20 30 DGEDMN N#CCC[N@@H+](Cc1ccnc2c(C(=O)[O-])cccc12)CC1CC1 ZINC001608184752 1193281762 /nfs/dbraw/zinc/28/17/62/1193281762.db2.gz WHKUKXDBGHUXPH-UHFFFAOYSA-N 0 1 309.369 3.059 20 30 DGEDMN N#CCC[N@H+](Cc1ccnc2c(C(=O)[O-])cccc12)CC1CC1 ZINC001608184752 1193281765 /nfs/dbraw/zinc/28/17/65/1193281765.db2.gz WHKUKXDBGHUXPH-UHFFFAOYSA-N 0 1 309.369 3.059 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001479893071 1184366902 /nfs/dbraw/zinc/36/69/02/1184366902.db2.gz HXUSAANWXKHTLO-HOTGVXAUSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001479911722 1184380137 /nfs/dbraw/zinc/38/01/37/1184380137.db2.gz ORHNSGUBLOUHOF-WMZOPIPTSA-N 0 1 300.446 3.337 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001479950772 1184386500 /nfs/dbraw/zinc/38/65/00/1184386500.db2.gz JGDBUWOODMXRQX-UZRURVBFSA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CCC[C@H](F)C2)CC1 ZINC001479994008 1184405516 /nfs/dbraw/zinc/40/55/16/1184405516.db2.gz ZPDOVADFWGTFGW-IRXDYDNUSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)c2ccsc2)CC1 ZINC001479994890 1184407203 /nfs/dbraw/zinc/40/72/03/1184407203.db2.gz CPXNRLDSIYZSTO-OAHLLOKOSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)C1CC1 ZINC001480048813 1184418026 /nfs/dbraw/zinc/41/80/26/1184418026.db2.gz LFXJDSWDKFCBCR-VVLHAWIVSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@@H](C)C2CC2)C1 ZINC001480090935 1184433322 /nfs/dbraw/zinc/43/33/22/1184433322.db2.gz YXWCJYJGTKQVDM-HIFRSBDPSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001480116946 1184437673 /nfs/dbraw/zinc/43/76/73/1184437673.db2.gz HNXLFFGXBZZDSH-AWEZNQCLSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](CCC)CC(C)C ZINC001480331465 1184496196 /nfs/dbraw/zinc/49/61/96/1184496196.db2.gz MDXMHSUZUABARN-MSOLQXFVSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001480335241 1184497364 /nfs/dbraw/zinc/49/73/64/1184497364.db2.gz PBXAHSZEQPGQNC-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN CCCCC(=O)N1CCCC[C@@H]1CNCc1ccccc1C#N ZINC001480338863 1184498370 /nfs/dbraw/zinc/49/83/70/1184498370.db2.gz QIALOHHPCFVKRB-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CO[C@H]2CCCC[C@H]2CC)CC1 ZINC001480357016 1184504323 /nfs/dbraw/zinc/50/43/23/1184504323.db2.gz PYBUZTPLLIDGOH-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](CC)OC2CCCCC2)CC1 ZINC001480356778 1184504926 /nfs/dbraw/zinc/50/49/26/1184504926.db2.gz NFHVQLOJUWOBSG-SFHVURJKSA-N 0 1 322.493 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2nc(C)ccc2c1 ZINC001480558689 1184548552 /nfs/dbraw/zinc/54/85/52/1184548552.db2.gz DUAZHCKNHFWAHS-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)C(C)(C)CC(C)C ZINC001480568212 1184549472 /nfs/dbraw/zinc/54/94/72/1184549472.db2.gz XKOPDMPTRHDXSR-GFCCVEGCSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ncc(C)s2)CCCC1 ZINC001480603059 1184557855 /nfs/dbraw/zinc/55/78/55/1184557855.db2.gz AKPKRNWFTIYAND-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@@H](NCc2nc(C)oc2C)C1 ZINC001480699800 1184580079 /nfs/dbraw/zinc/58/00/79/1184580079.db2.gz XFNAXELMXXVWKO-CVEARBPZSA-N 0 1 319.449 3.165 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN1Cc1ccc(C)nc1C ZINC001493127764 1184654748 /nfs/dbraw/zinc/65/47/48/1184654748.db2.gz FUSOHUUELFPVJM-GOSISDBHSA-N 0 1 315.461 3.135 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc2ccccc2s1)C1CC1 ZINC001493389571 1184698530 /nfs/dbraw/zinc/69/85/30/1184698530.db2.gz XWFKFHMQDGXBTJ-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001493584483 1184718060 /nfs/dbraw/zinc/71/80/60/1184718060.db2.gz LSNKCIVDQUPBTH-WKILWMFISA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCCCC(=O)N(C)CCN[C@@H](C)c1cc(F)ccc1F ZINC001493679128 1184724735 /nfs/dbraw/zinc/72/47/35/1184724735.db2.gz OTLCFQGWTKWESE-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC001493998231 1184788495 /nfs/dbraw/zinc/78/84/95/1184788495.db2.gz VGCABRHHVRHCPL-AWEZNQCLSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001494163865 1184821805 /nfs/dbraw/zinc/82/18/05/1184821805.db2.gz UKOOCJRPCVFCAJ-LSDHHAIUSA-N 0 1 324.852 3.224 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001494163863 1184822500 /nfs/dbraw/zinc/82/25/00/1184822500.db2.gz UKOOCJRPCVFCAJ-HUUCEWRRSA-N 0 1 324.852 3.224 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccoc2CCC)C1 ZINC001494174641 1184825201 /nfs/dbraw/zinc/82/52/01/1184825201.db2.gz ZSNPDIOGTITBSP-AWEZNQCLSA-N 0 1 324.852 3.379 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001494198149 1184831730 /nfs/dbraw/zinc/83/17/30/1184831730.db2.gz NCPREKLOKKQLNA-ZACQAIPSSA-N 0 1 324.896 3.346 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001494298276 1184848900 /nfs/dbraw/zinc/84/89/00/1184848900.db2.gz MRGLYFXHQVXDQL-PKOBYXMFSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC001494455606 1184871714 /nfs/dbraw/zinc/87/17/14/1184871714.db2.gz IUMJJBKJTUPSQA-LLVKDONJSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN([C@@H](C)c3cccc(F)c3)C2)C1 ZINC001494591296 1184892345 /nfs/dbraw/zinc/89/23/45/1184892345.db2.gz HADIRZACNXIWGH-AWEZNQCLSA-N 0 1 316.420 3.291 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001494667801 1184902588 /nfs/dbraw/zinc/90/25/88/1184902588.db2.gz SWEROXCOERRFCR-WDSOQIARSA-N 0 1 312.457 3.382 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CC[N@@H+](CC/C=C\c2ccccc2)C1 ZINC001494754626 1184921597 /nfs/dbraw/zinc/92/15/97/1184921597.db2.gz CZFGVSOUUKSDME-KOTDBNOLSA-N 0 1 324.468 3.330 20 30 DGEDMN CC(C)CCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001494773388 1184923756 /nfs/dbraw/zinc/92/37/56/1184923756.db2.gz UWXKHAJPYOQHOQ-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001494844607 1184941375 /nfs/dbraw/zinc/94/13/75/1184941375.db2.gz ADLCLWURWZUESJ-INIZCTEOSA-N 0 1 304.409 3.071 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001494845478 1184942015 /nfs/dbraw/zinc/94/20/15/1184942015.db2.gz FKBXQDRSYRTYFC-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1cccc(F)c1Cl ZINC001494949224 1184969475 /nfs/dbraw/zinc/96/94/75/1184969475.db2.gz GXOPCHOUTCGZMF-CYBMUJFWSA-N 0 1 312.816 3.430 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)NCc1ccc(F)cc1Cl ZINC001494972120 1184973902 /nfs/dbraw/zinc/97/39/02/1184973902.db2.gz XLPHQTIRIBRIDK-OAHLLOKOSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2ccc(-c3nnn[n-]3)o2)CCCC1(C)C ZINC001609053290 1193322800 /nfs/dbraw/zinc/32/28/00/1193322800.db2.gz HSFVCMTVGPDUEW-AWEZNQCLSA-N 0 1 301.394 3.026 20 30 DGEDMN C=CC[C@@H]1[N@@H+](Cc2ccc(-c3nn[n-]n3)o2)CCCC1(C)C ZINC001609053290 1193322808 /nfs/dbraw/zinc/32/28/08/1193322808.db2.gz HSFVCMTVGPDUEW-AWEZNQCLSA-N 0 1 301.394 3.026 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2ccc(-c3nn[n-]n3)o2)CCCC1(C)C ZINC001609053290 1193322811 /nfs/dbraw/zinc/32/28/11/1193322811.db2.gz HSFVCMTVGPDUEW-AWEZNQCLSA-N 0 1 301.394 3.026 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001495117090 1184999943 /nfs/dbraw/zinc/99/99/43/1184999943.db2.gz KBCQRXUVSAOGKN-WMLDXEAASA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Br)C[NH2+]Cc1cc(C(=O)[O-])ccc1Cl ZINC001609189948 1193328631 /nfs/dbraw/zinc/32/86/31/1193328631.db2.gz MSFJBVQNGMAFRJ-UHFFFAOYSA-N 0 1 304.571 3.036 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C2(C)CCCCCC2)C1 ZINC001495855010 1185107625 /nfs/dbraw/zinc/10/76/25/1185107625.db2.gz MFESZYPSUPXCNH-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001496072848 1185131951 /nfs/dbraw/zinc/13/19/51/1185131951.db2.gz XHCSMNDXTYXOCU-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(C)C[N@@H+](Cc1cnn(C(C)C)c1)[C@H](CC(C)C)C(=O)[O-] ZINC001609248300 1193332186 /nfs/dbraw/zinc/33/21/86/1193332186.db2.gz LEYDOSDCECUJCP-MRXNPFEDSA-N 0 1 307.438 3.341 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001496136701 1185138725 /nfs/dbraw/zinc/13/87/25/1185138725.db2.gz HALHZGPXPNLZNX-IZNAZMGOSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc(C)o1 ZINC001496466506 1185192845 /nfs/dbraw/zinc/19/28/45/1185192845.db2.gz OCIRPTXLAMHZLL-SMDDNHRTSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1nccs1 ZINC001496483456 1185196874 /nfs/dbraw/zinc/19/68/74/1185196874.db2.gz UOWQSLWOIZVYBL-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCCC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001496769983 1185244480 /nfs/dbraw/zinc/24/44/80/1185244480.db2.gz CARJJOHJNWJNCY-UHFFFAOYSA-N 0 1 310.388 3.211 20 30 DGEDMN CCN(CCN[C@@H](C)c1ccccc1Cl)C(=O)C#CC1CC1 ZINC001497391634 1185326648 /nfs/dbraw/zinc/32/66/48/1185326648.db2.gz VBYLZNAPBQGTGY-AWEZNQCLSA-N 0 1 318.848 3.253 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001497432514 1185331403 /nfs/dbraw/zinc/33/14/03/1185331403.db2.gz KPMDQHFNKSCFSG-UHFFFAOYSA-N 0 1 323.799 3.111 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1cc(F)ccc1F ZINC001497657153 1185369785 /nfs/dbraw/zinc/36/97/85/1185369785.db2.gz JENAOBZIKCNJDO-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C[C@@H]([NH2+][C@@H](C)c1ccc(C#N)c(F)c1)c1ncc(C(=O)[O-])s1 ZINC001609774176 1193355211 /nfs/dbraw/zinc/35/52/11/1193355211.db2.gz IYKMAMIGDCMLPE-DTWKUNHWSA-N 0 1 319.361 3.264 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c[nH]c2ccccc12)C1CC1 ZINC001498786378 1185546152 /nfs/dbraw/zinc/54/61/52/1185546152.db2.gz PENRRIRGWBYYAI-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCc1ccc(CC)cc1 ZINC001498987016 1185586377 /nfs/dbraw/zinc/58/63/77/1185586377.db2.gz SZERKSOGAZDPDF-IBGZPJMESA-N 0 1 314.473 3.338 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)CCc1ccc(F)cc1 ZINC001498993012 1185586844 /nfs/dbraw/zinc/58/68/44/1185586844.db2.gz WMVNEUVSGNBRDE-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001499047732 1185597562 /nfs/dbraw/zinc/59/75/62/1185597562.db2.gz LFHBLQNPQGCCMF-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001499073060 1185605927 /nfs/dbraw/zinc/60/59/27/1185605927.db2.gz TUQQEUKHYNVJHS-SFHVURJKSA-N 0 1 314.473 3.293 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@H]1CNC(=O)c1scnc1C(C)C ZINC001499080553 1185607187 /nfs/dbraw/zinc/60/71/87/1185607187.db2.gz NUBBAEGZRCFKPZ-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3ccc(F)cc3F)CC2)C1 ZINC001499345697 1185641332 /nfs/dbraw/zinc/64/13/32/1185641332.db2.gz TTWBCQDONPTJEH-UHFFFAOYSA-N 0 1 320.383 3.060 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC[C@H](C)c1ccccc1 ZINC001499511462 1185660513 /nfs/dbraw/zinc/66/05/13/1185660513.db2.gz HBDQODMYPVBDLH-SXLOBPIMSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@H](OC)C2)C1 ZINC001499737742 1185689618 /nfs/dbraw/zinc/68/96/18/1185689618.db2.gz UGKXNZIGHQWVJV-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ncsc2C2CC2)C1 ZINC001499747351 1185691080 /nfs/dbraw/zinc/69/10/80/1185691080.db2.gz NTLGGROJXPTBGN-LBPRGKRZSA-N 0 1 319.474 3.131 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)c2ccn(C)c2CC)C1 ZINC001499747512 1185691137 /nfs/dbraw/zinc/69/11/37/1185691137.db2.gz VMRJBOIKBRCOAB-UHFFFAOYSA-N 0 1 319.493 3.314 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN([C@H](C)CCC=C)C2)CCCCC1 ZINC001499804715 1185697916 /nfs/dbraw/zinc/69/79/16/1185697916.db2.gz JKTYIAMLAYSLMZ-QGZVFWFLSA-N 0 1 316.489 3.458 20 30 DGEDMN C=C[C@H](C(=O)N1CCC[C@H]1CNCC(=C)Cl)c1ccccc1 ZINC001499953554 1185722496 /nfs/dbraw/zinc/72/24/96/1185722496.db2.gz WLAAUJDNFHEPLG-IRXDYDNUSA-N 0 1 318.848 3.289 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCCC)C1CCN(C/C=C/Cl)CC1 ZINC001500034830 1185733265 /nfs/dbraw/zinc/73/32/65/1185733265.db2.gz WPPDSSYZRHESAT-AYAUWGRQSA-N 0 1 324.896 3.491 20 30 DGEDMN C#CCN(C(=O)[C@@H]1C[C@H]1CCC)C1CCN(C/C=C/Cl)CC1 ZINC001500047553 1185734190 /nfs/dbraw/zinc/73/41/90/1185734190.db2.gz SMJYLQLMTVVTPF-ONLMNPRXSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CCN(C(=O)C[C@H](CC)C(C)(C)C)C1CCN(CC#C)CC1 ZINC001500054176 1185734831 /nfs/dbraw/zinc/73/48/31/1185734831.db2.gz JKJVMNZPYQRPBV-KRWDZBQOSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cncc(C)c1)C1CCCC1 ZINC001500077878 1185739942 /nfs/dbraw/zinc/73/99/42/1185739942.db2.gz TTYNOJJXVSKZHL-INIZCTEOSA-N 0 1 321.852 3.021 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@]1(C)CCN(CCC(F)(F)F)C1 ZINC001500221334 1185759401 /nfs/dbraw/zinc/75/94/01/1185759401.db2.gz QMTJUQSIBJAXFR-OAHLLOKOSA-N 0 1 318.383 3.288 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001500518771 1185812102 /nfs/dbraw/zinc/81/21/02/1185812102.db2.gz GBJPEUBHYOMFLK-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001500528810 1185816943 /nfs/dbraw/zinc/81/69/43/1185816943.db2.gz BTPIMFVZYZEFRE-ICSRJNTNSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@]12C[C@H]1CCCC2)c1ccccc1CC ZINC001501023407 1185857086 /nfs/dbraw/zinc/85/70/86/1185857086.db2.gz YDPLDIJMOBWZRT-YFVAEKQCSA-N 0 1 324.468 3.209 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)C1CC1)c1ccccc1CC ZINC001501019904 1185857366 /nfs/dbraw/zinc/85/73/66/1185857366.db2.gz WSNATRMEFQIRBV-KXBFYZLASA-N 0 1 312.457 3.065 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C[C@H](F)CC ZINC001501399275 1185899865 /nfs/dbraw/zinc/89/98/65/1185899865.db2.gz DVKAAAHBBIMSSG-BZUAXINKSA-N 0 1 310.457 3.402 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(CC)cc1 ZINC001501670527 1185919064 /nfs/dbraw/zinc/91/90/64/1185919064.db2.gz MZFVNOHEYMIUEP-UHFFFAOYSA-N 0 1 320.436 3.244 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@@H]2C[C@H]2C)cc1 ZINC001501679729 1185921420 /nfs/dbraw/zinc/92/14/20/1185921420.db2.gz UCRICMFXXSORES-AXAPSJFSSA-N 0 1 306.837 3.362 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001501874477 1185933766 /nfs/dbraw/zinc/93/37/66/1185933766.db2.gz NBJXUMSIFODGIE-YYIAUSFCSA-N 0 1 322.880 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cccc(C)c1F ZINC001501874470 1185933843 /nfs/dbraw/zinc/93/38/43/1185933843.db2.gz MEINVEXWFSSMTE-CQSZACIVSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1occ2c1CCC2 ZINC001501882123 1185934771 /nfs/dbraw/zinc/93/47/71/1185934771.db2.gz XDEJTXCSMKXZSE-CQSZACIVSA-N 0 1 322.836 3.105 20 30 DGEDMN CCC(CC)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001501916934 1185937819 /nfs/dbraw/zinc/93/78/19/1185937819.db2.gz NTDOSWCZROMBLF-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C[C@H](C(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CCC1 ZINC001501966635 1185942216 /nfs/dbraw/zinc/94/22/16/1185942216.db2.gz NXWHPUNHZPYYHJ-KRWDZBQOSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001502137573 1185959618 /nfs/dbraw/zinc/95/96/18/1185959618.db2.gz IQQGLNOHOVHJBM-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCC(=O)N(C)CCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001502154802 1185964102 /nfs/dbraw/zinc/96/41/02/1185964102.db2.gz NQGHZAUVRZKUFL-UHFFFAOYSA-N 0 1 320.864 3.272 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)CC[C@H](C)CC)O2 ZINC001502440959 1185983898 /nfs/dbraw/zinc/98/38/98/1185983898.db2.gz OGPRHGAXSOTBQJ-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)CC(C)(C)CC)CO2 ZINC001502458008 1185984280 /nfs/dbraw/zinc/98/42/80/1185984280.db2.gz PCPPDVYOEDDEOP-HOTGVXAUSA-N 0 1 322.493 3.127 20 30 DGEDMN Cc1cc(C[N@H+]2CC[C@](C(=O)[O-])(c3ccccc3)C2)ccc1C#N ZINC001610461110 1193390540 /nfs/dbraw/zinc/39/05/40/1193390540.db2.gz JHNUXDMHHBFWFV-HXUWFJFHSA-N 0 1 320.392 3.095 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)Cc3ccc(C)o3)cc2C1 ZINC001502648145 1185999192 /nfs/dbraw/zinc/99/91/92/1185999192.db2.gz GPDHVLXDYASKIP-UHFFFAOYSA-N 0 1 324.424 3.339 20 30 DGEDMN C#CCC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)/C(C)=C\CC ZINC001503667848 1186049707 /nfs/dbraw/zinc/04/97/07/1186049707.db2.gz LNMCTSFIFCRARS-QHVUTBCBSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C(C)=C\CC ZINC001503667848 1186049711 /nfs/dbraw/zinc/04/97/11/1186049711.db2.gz LNMCTSFIFCRARS-QHVUTBCBSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCC1CCC1 ZINC001503678964 1186050667 /nfs/dbraw/zinc/05/06/67/1186050667.db2.gz VRGBUOPJSMYCPZ-FQEVSTJZSA-N 0 1 324.468 3.306 20 30 DGEDMN CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC001505421112 1186074014 /nfs/dbraw/zinc/07/40/14/1186074014.db2.gz POMYRXLLANULML-CQSZACIVSA-N 0 1 319.474 3.233 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2ccccc2s1 ZINC001505437520 1186079535 /nfs/dbraw/zinc/07/95/35/1186079535.db2.gz TXKFIKDBYGPXRV-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C[C@@H]1CCCCC1(C)C ZINC001505468787 1186090778 /nfs/dbraw/zinc/09/07/78/1186090778.db2.gz FUSIYSCSWKSUFZ-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H]1CCCCC1(C)C ZINC001505468787 1186090784 /nfs/dbraw/zinc/09/07/84/1186090784.db2.gz FUSIYSCSWKSUFZ-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)C[C@H]1CCCCC1(C)C ZINC001505468788 1186091029 /nfs/dbraw/zinc/09/10/29/1186091029.db2.gz FUSIYSCSWKSUFZ-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H]1CCCCC1(C)C ZINC001505468788 1186091033 /nfs/dbraw/zinc/09/10/33/1186091033.db2.gz FUSIYSCSWKSUFZ-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1cccnc1[C@@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)C(C)C ZINC001610534406 1193396559 /nfs/dbraw/zinc/39/65/59/1193396559.db2.gz OWZBWZOGYLHTHK-KRWDZBQOSA-N 0 1 323.396 3.447 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001505573385 1186106454 /nfs/dbraw/zinc/10/64/54/1186106454.db2.gz BSCCKBKHJQHBPW-OLZOCXBDSA-N 0 1 307.438 3.055 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(C)C(C)C ZINC001505609633 1186113114 /nfs/dbraw/zinc/11/31/14/1186113114.db2.gz HRWZAYHJSMCLLK-NWDGAFQWSA-N 0 1 319.287 3.060 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1nc2ccccc2o1 ZINC001505613014 1186114661 /nfs/dbraw/zinc/11/46/61/1186114661.db2.gz CHXRVRPMFDOLOM-KBPBESRZSA-N 0 1 315.417 3.167 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001505671270 1186122213 /nfs/dbraw/zinc/12/22/13/1186122213.db2.gz PHKLQCUYLJEDLC-YYOKVSEPSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(C)cccc1Cl ZINC001505686689 1186124760 /nfs/dbraw/zinc/12/47/60/1186124760.db2.gz STSXQYXKALOHGQ-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(C)cccc1Cl ZINC001505686690 1186124792 /nfs/dbraw/zinc/12/47/92/1186124792.db2.gz STSXQYXKALOHGQ-VXGBXAGGSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCC(NCc2coc(C)n2)CC1 ZINC001505780656 1186138523 /nfs/dbraw/zinc/13/85/23/1186138523.db2.gz LOHGBEWFPREJFO-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCC(NCc2nocc2C)CC1 ZINC001505783475 1186138896 /nfs/dbraw/zinc/13/88/96/1186138896.db2.gz HXFGYQUNWUKQFZ-UHFFFAOYSA-N 0 1 319.449 3.054 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001505873944 1186155480 /nfs/dbraw/zinc/15/54/80/1186155480.db2.gz KJWQDZLPHHIGMP-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001506013283 1186181834 /nfs/dbraw/zinc/18/18/34/1186181834.db2.gz WYUKGBDYHJGVFO-AEFFLSMTSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(Cl)c2occc21 ZINC001506037857 1186186444 /nfs/dbraw/zinc/18/64/44/1186186444.db2.gz BLNXASUEHCWUAU-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001506650059 1186267928 /nfs/dbraw/zinc/26/79/28/1186267928.db2.gz LZVFGSIBFBTXJS-CYBMUJFWSA-N 0 1 320.864 3.240 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001506658045 1186270664 /nfs/dbraw/zinc/27/06/64/1186270664.db2.gz CLZNUGXIUVPWHO-FUHWJXTLSA-N 0 1 314.473 3.186 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001506683175 1186273977 /nfs/dbraw/zinc/27/39/77/1186273977.db2.gz YOCNOLHOHVJPHH-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)C[C@H](C)CCC)C2)C1 ZINC001506715929 1186277523 /nfs/dbraw/zinc/27/75/23/1186277523.db2.gz BVXJTGSFBYYTPD-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(C(F)(F)F)CCCC2)C(C)(C)C1 ZINC001506752133 1186287664 /nfs/dbraw/zinc/28/76/64/1186287664.db2.gz GYQGJYMOULQRMW-GFCCVEGCSA-N 0 1 318.383 3.122 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)N[C@H](C)c1c(F)cccc1F ZINC001507073595 1186354629 /nfs/dbraw/zinc/35/46/29/1186354629.db2.gz BGULJWZZWPGAQL-UONOGXRCSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCCc2ccccc21 ZINC001507088934 1186358073 /nfs/dbraw/zinc/35/80/73/1186358073.db2.gz MZIGHLQDVPCNGV-PBHICJAKSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)c1ccccc1F ZINC001507138460 1186370422 /nfs/dbraw/zinc/37/04/22/1186370422.db2.gz PWFBUXWSNMHFRW-STQMWFEESA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(Br)CN[C@H]1C[C@H](C)N(C(=O)CCCCC)C1 ZINC001507300147 1186384642 /nfs/dbraw/zinc/38/46/42/1186384642.db2.gz LSKFEHKYQWCDIJ-STQMWFEESA-N 0 1 317.271 3.054 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(CC)CCCCC2)[C@H]1C ZINC001507382531 1186409968 /nfs/dbraw/zinc/40/99/68/1186409968.db2.gz FSCRGIWBXZUIIY-SJORKVTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](CC)CC(F)F)CC1(C)C ZINC001507434658 1186429794 /nfs/dbraw/zinc/42/97/94/1186429794.db2.gz BNCXFGTWPBOVST-RYUDHWBXSA-N 0 1 322.827 3.247 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@@H](CC#N)c3ccccc3)n2)o1 ZINC001611005468 1193419302 /nfs/dbraw/zinc/41/93/02/1193419302.db2.gz PLMDODHUUOIXNN-ZDUSSCGKSA-N 0 1 321.340 3.009 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(C(C)C)c1)C(C)C ZINC001507853598 1186451175 /nfs/dbraw/zinc/45/11/75/1186451175.db2.gz APVSFWJRLTZPPL-UHFFFAOYSA-N 0 1 300.446 3.226 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2cnoc2C)CC1 ZINC001508058244 1186473833 /nfs/dbraw/zinc/47/38/33/1186473833.db2.gz CSRCPLGPBLNBAC-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@@H](c2ccccc2)C(C)C)CC1 ZINC001508226818 1186490311 /nfs/dbraw/zinc/49/03/11/1186490311.db2.gz CAKDODLXTMIWTN-HXUWFJFHSA-N 0 1 324.468 3.198 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@@H](C)C(C)(C)C)c(F)c1 ZINC001508235966 1186492323 /nfs/dbraw/zinc/49/23/23/1186492323.db2.gz OJJDMYWUDNZFRZ-CQSZACIVSA-N 0 1 318.436 3.237 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001611208599 1193426903 /nfs/dbraw/zinc/42/69/03/1193426903.db2.gz HNITVDHDILTUBD-OAHLLOKOSA-N 0 1 307.781 3.384 20 30 DGEDMN CO[C@]1(C(=O)C(C#N)c2ncc(C(C)(C)C)s2)CCSC1 ZINC001514903589 1186735631 /nfs/dbraw/zinc/73/56/31/1186735631.db2.gz STFHYUNMRQKALG-ZUZCIYMTSA-N 0 1 324.471 3.139 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001516671308 1186788680 /nfs/dbraw/zinc/78/86/80/1186788680.db2.gz RKMSAIACGPGUTQ-CQSZACIVSA-N 0 1 303.753 3.003 20 30 DGEDMN COc1ccc2cc(C(=O)C(C#N)c3ccncn3)ccc2c1 ZINC001516766215 1186792752 /nfs/dbraw/zinc/79/27/52/1186792752.db2.gz GQUGVZBKCIYNTD-MRXNPFEDSA-N 0 1 303.321 3.128 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)[C@H](c2ccccc2)N(CC)CC)C1 ZINC001526527908 1187371129 /nfs/dbraw/zinc/37/11/29/1187371129.db2.gz VYZIEUNLUDORRR-HKUYNNGSSA-N 0 1 312.457 3.331 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(c4ccccc4F)CC3)[nH]c2c1 ZINC001534068114 1187873225 /nfs/dbraw/zinc/87/32/25/1187873225.db2.gz VLKNLZWTWOLORA-UHFFFAOYSA-N 0 1 320.327 3.244 20 30 DGEDMN C#CCCCCCCN1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC001615850522 1193529946 /nfs/dbraw/zinc/52/99/46/1193529946.db2.gz LASKOWGMFUOZGH-VQTJNVASSA-N 0 1 315.457 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC001537508525 1188079878 /nfs/dbraw/zinc/07/98/78/1188079878.db2.gz OLWUVUUVNMZXHD-UHFFFAOYSA-N 0 1 308.179 3.476 20 30 DGEDMN N#Cc1sccc1C(=O)Nc1ccc(Br)cc1O ZINC001540971848 1188267075 /nfs/dbraw/zinc/26/70/75/1188267075.db2.gz SVLBZZACPBLHIY-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3cccc(Cl)c3)[nH]c2c1 ZINC001543404204 1188331085 /nfs/dbraw/zinc/33/10/85/1188331085.db2.gz PZKRBNCMONJRHE-UHFFFAOYSA-N 0 1 310.744 3.269 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)[C@@H]2CCCN2C(C)C)cc1C#N ZINC001544986433 1188418366 /nfs/dbraw/zinc/41/83/66/1188418366.db2.gz IBUCKMKEHIMJIS-INIZCTEOSA-N 0 1 315.417 3.157 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2c(C)c(C)c(C)c(C)c2C)C1=O ZINC001617561745 1193573109 /nfs/dbraw/zinc/57/31/09/1193573109.db2.gz HPMPVSWOJWCHDE-LJQANCHMSA-N 0 1 314.473 3.447 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1scnc1C ZINC001565817434 1188979066 /nfs/dbraw/zinc/97/90/66/1188979066.db2.gz PGVJPXQUXFPUOK-HNNXBMFYSA-N 0 1 321.490 3.344 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC001556215242 1188990326 /nfs/dbraw/zinc/99/03/26/1188990326.db2.gz VNBQJYQUXNDDEG-SFHVURJKSA-N 0 1 316.445 3.396 20 30 DGEDMN C=CCC1(C(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)CCCC1 ZINC001557147727 1189044393 /nfs/dbraw/zinc/04/43/93/1189044393.db2.gz DXKXCFWQKJCENM-UHFFFAOYSA-N 0 1 319.405 3.440 20 30 DGEDMN N#Cc1cccc2c1CN(C(=O)c1cc(-c3ccccc3)[nH]n1)C2 ZINC001557306675 1189056259 /nfs/dbraw/zinc/05/62/59/1189056259.db2.gz CJQZXFCUUDVICI-UHFFFAOYSA-N 0 1 314.348 3.104 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2cc(-c3ccc(F)cc3)n[nH]2)c1 ZINC001557943522 1189094467 /nfs/dbraw/zinc/09/44/67/1189094467.db2.gz YEQIXQXAMYVNBW-UHFFFAOYSA-N 0 1 324.290 3.479 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)[C@H]2CCCCN2Cc2ccccc2)CC1 ZINC001558208055 1189110062 /nfs/dbraw/zinc/11/00/62/1189110062.db2.gz VKZVMZKKYIZHCR-MISYRCLQSA-N 0 1 324.468 3.349 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001567810395 1189122593 /nfs/dbraw/zinc/12/25/93/1189122593.db2.gz OFJHEIAWYFYHRX-WBVHZDCISA-N 0 1 312.457 3.262 20 30 DGEDMN N#CCOc1ccc(CNc2ccncc2C(F)(F)F)cc1 ZINC001559094397 1189170102 /nfs/dbraw/zinc/17/01/02/1189170102.db2.gz OMHGSBAVDHUWFL-UHFFFAOYSA-N 0 1 307.275 3.037 20 30 DGEDMN CCc1ccc(CN2CCN(c3cc(C#N)cc(C)n3)CC2)cc1 ZINC001559267906 1189189655 /nfs/dbraw/zinc/18/96/55/1189189655.db2.gz JTNMDZYYTSZTAO-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN C#CCN1CCC[C@H](Nc2ncnc3ccc(C(C)C)cc32)C1 ZINC001559277302 1189191433 /nfs/dbraw/zinc/19/14/33/1189191433.db2.gz LEORVUJQJQWMHX-INIZCTEOSA-N 0 1 308.429 3.263 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H](c3nc4ccccc4o3)C2)c1 ZINC001559609882 1189223213 /nfs/dbraw/zinc/22/32/13/1189223213.db2.gz PAFVRFNDMSYMHE-HNNXBMFYSA-N 0 1 318.380 3.474 20 30 DGEDMN N#Cc1ccc(CCN2CCO[C@H](CCc3ccccc3)C2)cc1 ZINC001559733761 1189236647 /nfs/dbraw/zinc/23/66/47/1189236647.db2.gz WHELBYNJCZRGFQ-OAQYLSRUSA-N 0 1 320.436 3.434 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CCN(C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001559787183 1189242773 /nfs/dbraw/zinc/24/27/73/1189242773.db2.gz SNBGTZYCUSLXIY-WOJBJXKFSA-N 0 1 324.399 3.471 20 30 DGEDMN C#CCCCCCCN1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC001559934073 1189259298 /nfs/dbraw/zinc/25/92/98/1189259298.db2.gz JSAXOGMPDPDRKP-FQEVSTJZSA-N 0 1 324.468 3.276 20 30 DGEDMN CC1(C)CN(C[C@@H](O)c2cccc(C#N)c2)[C@H]1c1ccncc1 ZINC001560036826 1189271765 /nfs/dbraw/zinc/27/17/65/1189271765.db2.gz NUJPOKYUJQEGCY-MSOLQXFVSA-N 0 1 307.397 3.070 20 30 DGEDMN C[C@@H]1c2ccc(F)cc2CCN1C[C@@H](O)c1cccc(C#N)c1 ZINC001560119111 1189280707 /nfs/dbraw/zinc/28/07/07/1189280707.db2.gz NXBXNZALBZOKBX-BFUOFWGJSA-N 0 1 310.372 3.350 20 30 DGEDMN C#CCN(CC(=O)N[C@@H](c1ccc(C)cc1)C1CC1)C(C)(C)C ZINC001560156438 1189285652 /nfs/dbraw/zinc/28/56/52/1189285652.db2.gz NNBUFTVXGZJOHE-IBGZPJMESA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCN(CC(=O)N(C)[C@@H](C)c1ccc(F)c(F)c1)C(C)(C)C ZINC001560156375 1189285921 /nfs/dbraw/zinc/28/59/21/1189285921.db2.gz LQWXRYSLHUMXCW-ZDUSSCGKSA-N 0 1 322.399 3.218 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCO[C@H](C(C)(C)C)CC1 ZINC001560236165 1189295933 /nfs/dbraw/zinc/29/59/33/1189295933.db2.gz GSCARQLXCVJCTP-KRWDZBQOSA-N 0 1 302.418 3.204 20 30 DGEDMN C=CC[C@H](NCc1cc2c(cc1OC)OCO2)c1ccncc1 ZINC001560338766 1189314458 /nfs/dbraw/zinc/31/44/58/1189314458.db2.gz MBVGDVZPNPURST-HNNXBMFYSA-N 0 1 312.369 3.226 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CCN1Cc1ccccc1 ZINC001560884629 1189400154 /nfs/dbraw/zinc/40/01/54/1189400154.db2.gz BOFLGLXRUMTJCW-IBGZPJMESA-N 0 1 304.437 3.244 20 30 DGEDMN C[C@@]1(CNCc2cccc(F)c2C#N)COc2ccccc2O1 ZINC001560946744 1189409587 /nfs/dbraw/zinc/40/95/87/1189409587.db2.gz KFJFKWWFPYEAOX-GOSISDBHSA-N 0 1 312.344 3.017 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1c(F)cccc1F ZINC001561745456 1189458273 /nfs/dbraw/zinc/45/82/73/1189458273.db2.gz SIDLUYSWTWUBJS-RISCZKNCSA-N 0 1 315.385 3.378 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001565850130 1189689812 /nfs/dbraw/zinc/68/98/12/1189689812.db2.gz WFJYWIWDAGQRON-SOUVJXGZSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001565997178 1189712316 /nfs/dbraw/zinc/71/23/16/1189712316.db2.gz HOMQVSNFKKPZOF-NXOAAHMSSA-N 0 1 322.880 3.264 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)c1ncc2ccccc2c1O ZINC001566199564 1189751986 /nfs/dbraw/zinc/75/19/86/1189751986.db2.gz RGZCYOVOPDGVSW-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CCN(CCCNC(=O)C(C)(C)C)Cc1ccc(C#N)cc1F ZINC001566573160 1189806852 /nfs/dbraw/zinc/80/68/52/1189806852.db2.gz VOEHFWHRPVJCMV-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)=CC(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001566579334 1189809170 /nfs/dbraw/zinc/80/91/70/1189809170.db2.gz WRFDZQBYQFGMTL-UHFFFAOYSA-N 0 1 317.458 3.057 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCCc2ccccc2)C1 ZINC001566628340 1189834336 /nfs/dbraw/zinc/83/43/36/1189834336.db2.gz YNUULFYDUAQIQP-IBGZPJMESA-N 0 1 312.457 3.003 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCCc2ccccc2)C1 ZINC001566628341 1189836101 /nfs/dbraw/zinc/83/61/01/1189836101.db2.gz YNUULFYDUAQIQP-LJQANCHMSA-N 0 1 312.457 3.003 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC(C)(C)c2ccccc2)C1 ZINC001566632770 1189840135 /nfs/dbraw/zinc/84/01/35/1189840135.db2.gz FJDMKJDKMRRQHS-KRWDZBQOSA-N 0 1 300.446 3.121 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001566671147 1189852543 /nfs/dbraw/zinc/85/25/43/1189852543.db2.gz OKMFHUZLOXBBPL-IMTHGLKYSA-N 0 1 324.468 3.474 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)c(C)s2)CC1 ZINC001566699144 1189864145 /nfs/dbraw/zinc/86/41/45/1189864145.db2.gz DGUJXIUDMTXFLW-UHFFFAOYSA-N 0 1 318.486 3.315 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccsc1Cl)C1CC1 ZINC001566722754 1189874741 /nfs/dbraw/zinc/87/47/41/1189874741.db2.gz OSEYLURWYHANOE-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001566861963 1189926272 /nfs/dbraw/zinc/92/62/72/1189926272.db2.gz IZLUCTZECABOON-CALCHBBNSA-N 0 1 313.445 3.121 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C(C1CCC1)C1CCC1 ZINC001566926244 1189954351 /nfs/dbraw/zinc/95/43/51/1189954351.db2.gz ZFJMFFPPVZGYEW-SFHVURJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C2CCC2)cc1 ZINC001567022700 1189998338 /nfs/dbraw/zinc/99/83/38/1189998338.db2.gz VBWXYKMYVYJPRP-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001567034915 1190002898 /nfs/dbraw/zinc/00/28/98/1190002898.db2.gz GDUWGAAVIFSDIA-CZUORRHYSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1cccc(Cl)c1F ZINC001567049313 1190008016 /nfs/dbraw/zinc/00/80/16/1190008016.db2.gz KJYGEKBFPCRZTK-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C#CCCOc1ccc(C(=O)Nc2cc(C(C)=O)ccc2O)cc1 ZINC001567050198 1190009758 /nfs/dbraw/zinc/00/97/58/1190009758.db2.gz YIUUIQIGSRNFAI-UHFFFAOYSA-N 0 1 323.348 3.249 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1sccc1C(F)F ZINC001567074576 1190022914 /nfs/dbraw/zinc/02/29/14/1190022914.db2.gz SMOXIROEZMEKMC-MRVPVSSYSA-N 0 1 308.781 3.146 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@H](C)NCC(=C)Cl)c1ccccc1 ZINC001567080889 1190024464 /nfs/dbraw/zinc/02/44/64/1190024464.db2.gz XBNWWVHPEVEHJK-GOEBONIOSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2c(C)nsc2C)C1 ZINC001567188307 1190056215 /nfs/dbraw/zinc/05/62/15/1190056215.db2.gz KXIWCXAKNRJSOX-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC001567188843 1190058037 /nfs/dbraw/zinc/05/80/37/1190058037.db2.gz VHTSJHYFPFSVIG-OAHLLOKOSA-N 0 1 322.399 3.354 20 30 DGEDMN CC/C(C)=C\C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001567191403 1190059375 /nfs/dbraw/zinc/05/93/75/1190059375.db2.gz GXNGZAYWGIWEJC-TXSJJRPESA-N 0 1 324.468 3.317 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001567386460 1190164657 /nfs/dbraw/zinc/16/46/57/1190164657.db2.gz HSKOXXXYXXGTTE-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN(C/C=C/Cl)C2)CCCC1 ZINC001567421192 1190181255 /nfs/dbraw/zinc/18/12/55/1190181255.db2.gz NYCJJQMQGHSVDF-RNOHYWCBSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1ncccc1C ZINC001567428187 1190186923 /nfs/dbraw/zinc/18/69/23/1190186923.db2.gz SSQWPJBDAMBHOA-UHFFFAOYSA-N 0 1 317.477 3.321 20 30 DGEDMN C[C@H](NCCN(C)C(=O)C#CC1CC1)c1cccc(Cl)c1F ZINC001567491546 1190209741 /nfs/dbraw/zinc/20/97/41/1190209741.db2.gz DVKMJSMFNHWZQU-LBPRGKRZSA-N 0 1 322.811 3.002 20 30 DGEDMN C=CCSCCNC(=O)c1cccc(C(F)(F)F)c1O ZINC001567499621 1190210519 /nfs/dbraw/zinc/21/05/19/1190210519.db2.gz APYJQQHOSOCQPG-UHFFFAOYSA-N 0 1 305.321 3.060 20 30 DGEDMN C#CCN[C@H](CNC(=O)Cc1ccoc1)c1ccc(C(C)C)cc1 ZINC001567653879 1190237994 /nfs/dbraw/zinc/23/79/94/1190237994.db2.gz FRQPVUSYMSYPLH-LJQANCHMSA-N 0 1 324.424 3.026 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(C)(C)C1CC1)c1ccccc1 ZINC001567656838 1190240206 /nfs/dbraw/zinc/24/02/06/1190240206.db2.gz CZFMNTOMGWVHSY-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)C1CCCC1)c1ccccc1 ZINC001567663830 1190241752 /nfs/dbraw/zinc/24/17/52/1190241752.db2.gz LOSPZPJOZWLENH-APWZRJJASA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC[C@H](C)CC)c1ccccc1 ZINC001567663135 1190242310 /nfs/dbraw/zinc/24/23/10/1190242310.db2.gz GGYDCHJTFBAHFQ-AEFFLSMTSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccccc2C)C1 ZINC001567691788 1190257182 /nfs/dbraw/zinc/25/71/82/1190257182.db2.gz WRTFRGHLQZKAPO-OAHLLOKOSA-N 0 1 306.837 3.189 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccoc2CC)CC1 ZINC001567747721 1190285256 /nfs/dbraw/zinc/28/52/56/1190285256.db2.gz UGGNAODKPVKNMM-UHFFFAOYSA-N 0 1 324.852 3.379 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCC(C)(F)F)CC1 ZINC001567748565 1190287037 /nfs/dbraw/zinc/28/70/37/1190287037.db2.gz KHJWMRCNIXSKQZ-UHFFFAOYSA-N 0 1 322.827 3.345 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC001567816233 1190308440 /nfs/dbraw/zinc/30/84/40/1190308440.db2.gz UDHZERLKQSGKJE-HNNXBMFYSA-N 0 1 316.445 3.243 20 30 DGEDMN C#CCCCCCC(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001567835616 1190314432 /nfs/dbraw/zinc/31/44/32/1190314432.db2.gz CZYQUAXCPMGOIU-UHFFFAOYSA-N 0 1 318.486 3.188 20 30 DGEDMN CC#CCCCC(=O)NCC1CN([C@H](C)c2ccc(F)cc2)C1 ZINC001567837390 1190315689 /nfs/dbraw/zinc/31/56/89/1190315689.db2.gz IAOVFUUSXZMRKP-OAHLLOKOSA-N 0 1 316.420 3.128 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(CC(C)C)CC(C)C ZINC001567866696 1190326422 /nfs/dbraw/zinc/32/64/22/1190326422.db2.gz PWKIIONACDPAFP-ROUUACIJSA-N 0 1 304.478 3.003 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CCCCC)C(C)C ZINC001567865280 1190326437 /nfs/dbraw/zinc/32/64/37/1190326437.db2.gz RKAWRJURZIXACE-OKZBNKHCSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001567922726 1190354246 /nfs/dbraw/zinc/35/42/46/1190354246.db2.gz YFHQVPSANVKXBD-GDBMZVCRSA-N 0 1 320.864 3.237 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001567960061 1190376534 /nfs/dbraw/zinc/37/65/34/1190376534.db2.gz VCDKNDVECVODMH-BBRMVZONSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001567972729 1190382231 /nfs/dbraw/zinc/38/22/31/1190382231.db2.gz UUVNZNHZSMOXDT-AWEZNQCLSA-N 0 1 319.836 3.327 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001567978634 1190385794 /nfs/dbraw/zinc/38/57/94/1190385794.db2.gz WLHQBVPPCBXJPT-WCVJEAGWSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001567990293 1190390049 /nfs/dbraw/zinc/39/00/49/1190390049.db2.gz DLOZKYGNGPGHFD-WMLDXEAASA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2cnoc2C)CCCC1 ZINC001567990502 1190390184 /nfs/dbraw/zinc/39/01/84/1190390184.db2.gz IXYYDBMTVVBABQ-MRXNPFEDSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001568129882 1190431399 /nfs/dbraw/zinc/43/13/99/1190431399.db2.gz JSSYPBRZSPVKAU-LBPRGKRZSA-N 0 1 322.827 3.249 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C#CC(C)(C)C)CC2 ZINC001568156492 1190437522 /nfs/dbraw/zinc/43/75/22/1190437522.db2.gz ZYBLYHMUGSVQMI-UHFFFAOYSA-N 0 1 322.880 3.103 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1Cc2ccccc21 ZINC001568333378 1190494290 /nfs/dbraw/zinc/49/42/90/1190494290.db2.gz APABLABUWCZPPF-RDJZCZTQSA-N 0 1 320.864 3.199 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)CCN(C)CC#CC)c1ccccc1 ZINC001568506703 1190554847 /nfs/dbraw/zinc/55/48/47/1190554847.db2.gz NAKDOFDXBHQOBX-IBGZPJMESA-N 0 1 312.457 3.150 20 30 DGEDMN C#CCCCC(=O)N(CC)CCNCc1cccc(Cl)c1F ZINC001568739141 1190584251 /nfs/dbraw/zinc/58/42/51/1190584251.db2.gz NYIMHQPZAJFDNJ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001568779233 1190588280 /nfs/dbraw/zinc/58/82/80/1190588280.db2.gz RHXJLOCDEPMRAC-HNNXBMFYSA-N 0 1 308.853 3.289 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1ccc(Cl)cc1F ZINC001568842260 1190594010 /nfs/dbraw/zinc/59/40/10/1190594010.db2.gz QRBQCAFXWRFXNM-WAYWQWQTSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(c2ccccc2)CCC1 ZINC001568882509 1190597073 /nfs/dbraw/zinc/59/70/73/1190597073.db2.gz DGINDBFFGLHDLQ-AATRIKPKSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1ccncc1Cl ZINC001568896992 1190597713 /nfs/dbraw/zinc/59/77/13/1190597713.db2.gz AMUVAEUUROVOSG-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001568989237 1190605533 /nfs/dbraw/zinc/60/55/33/1190605533.db2.gz PTVOGPXGEPBSBP-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001569059875 1190614907 /nfs/dbraw/zinc/61/49/07/1190614907.db2.gz IASQVEIMYUMNNY-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2sccc2s1 ZINC001569064227 1190615420 /nfs/dbraw/zinc/61/54/20/1190615420.db2.gz AKZNCFUDBONMSB-VIFPVBQESA-N 0 1 314.863 3.423 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCNC/C(Cl)=C\Cl)C1 ZINC001569238996 1190656152 /nfs/dbraw/zinc/65/61/52/1190656152.db2.gz YFUPAWBXNDLJSZ-FMIVXFBMSA-N 0 1 305.249 3.100 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1cc(F)ccc1F)C1CC1 ZINC001569304631 1190662693 /nfs/dbraw/zinc/66/26/93/1190662693.db2.gz OTOMEINSSHZYDQ-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C(F)F)nc1 ZINC001569362194 1190676189 /nfs/dbraw/zinc/67/61/89/1190676189.db2.gz WZFFBDHPWMMXPG-GXTWGEPZSA-N 0 1 323.387 3.178 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CC[C@H]1CCCC1(F)F ZINC001569368120 1190678626 /nfs/dbraw/zinc/67/86/26/1190678626.db2.gz VHQZGBLUHLTBRR-ZIAGYGMSSA-N 0 1 314.420 3.215 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001569377420 1190684264 /nfs/dbraw/zinc/68/42/64/1190684264.db2.gz WMFYWTRCQNUUJG-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1sc(CCC)nc1C ZINC001569383411 1190686814 /nfs/dbraw/zinc/68/68/14/1190686814.db2.gz PXVNVBJPKFNOTG-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(Cl)ccc2C)CC1 ZINC001569423285 1190695274 /nfs/dbraw/zinc/69/52/74/1190695274.db2.gz PUEIIRHBBFICSC-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C#CCN(C(=O)/C(C)=C\CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569584033 1190720237 /nfs/dbraw/zinc/72/02/37/1190720237.db2.gz DZTACTUDBUCXRO-MVMZHWFQSA-N 0 1 308.441 3.017 20 30 DGEDMN C#CCN(C(=O)/C(C)=C/CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569584031 1190720539 /nfs/dbraw/zinc/72/05/39/1190720539.db2.gz DZTACTUDBUCXRO-AHQMPEJBSA-N 0 1 308.441 3.017 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569586190 1190720545 /nfs/dbraw/zinc/72/05/45/1190720545.db2.gz AHWHSMJAXKNSJQ-IRXDYDNUSA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)CC[C@H](C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001569593757 1190720699 /nfs/dbraw/zinc/72/06/99/1190720699.db2.gz KHWLULGRHXTXIN-HKUPYCBJSA-N 0 1 324.896 3.491 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C=C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001569591746 1190720913 /nfs/dbraw/zinc/72/09/13/1190720913.db2.gz XONFPXDKRIDXLD-IRXDYDNUSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(F)CCCC1)C1CCCC1 ZINC001569606481 1190722521 /nfs/dbraw/zinc/72/25/21/1190722521.db2.gz PITJNGTVTHOIKW-CQSZACIVSA-N 0 1 316.848 3.286 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(CCF)CC1)C1CCCC1 ZINC001569607622 1190722937 /nfs/dbraw/zinc/72/29/37/1190722937.db2.gz UFJDVHSCKBBMHW-CQSZACIVSA-N 0 1 316.848 3.143 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCCC#CC)C1CCCC1 ZINC001569610715 1190723280 /nfs/dbraw/zinc/72/32/80/1190723280.db2.gz WMJUELACLIGUKC-MRXNPFEDSA-N 0 1 310.869 3.197 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(F)cc2C)C1 ZINC001569637686 1190729309 /nfs/dbraw/zinc/72/93/09/1190729309.db2.gz MPIODFHNMOATSS-IBGZPJMESA-N 0 1 316.420 3.018 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC001569655595 1190736200 /nfs/dbraw/zinc/73/62/00/1190736200.db2.gz VBSVHLRHVIJDFK-LBXVMSDZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001569670876 1190738948 /nfs/dbraw/zinc/73/89/48/1190738948.db2.gz BCSNBADKGDDDPX-CRAIPNDOSA-N 0 1 319.449 3.229 20 30 DGEDMN CCCC(=O)NCC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001569697292 1190742138 /nfs/dbraw/zinc/74/21/38/1190742138.db2.gz YWFFEEKCLCEYEX-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2ocnc2C)C1 ZINC001569715186 1190747861 /nfs/dbraw/zinc/74/78/61/1190747861.db2.gz KVXSHCGIQSDCSV-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001569738513 1190751964 /nfs/dbraw/zinc/75/19/64/1190751964.db2.gz CBLPHRDRWLFDPC-HVJNQHDOSA-N 0 1 312.404 3.158 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(C)co1)c1ccccc1CC ZINC001569801408 1190755354 /nfs/dbraw/zinc/75/53/54/1190755354.db2.gz ARADWQYMFQKYCL-SFHVURJKSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@]1(C)CC=CCC1 ZINC001570027089 1190783804 /nfs/dbraw/zinc/78/38/04/1190783804.db2.gz VDZXKXSKJALIOX-HXUWFJFHSA-N 0 1 310.441 3.114 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@]1(C)C(C)C ZINC001570028108 1190783924 /nfs/dbraw/zinc/78/39/24/1190783924.db2.gz JMTREBHUSJTZJN-AZUAARDMSA-N 0 1 312.457 3.050 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC=CCC1 ZINC001570026021 1190783974 /nfs/dbraw/zinc/78/39/74/1190783974.db2.gz UAWSUJOKVFRBCA-QGZVFWFLSA-N 0 1 310.441 3.114 20 30 DGEDMN C#CCCCCC(=O)NCc1cccc2c1CCN(CC=C)C2 ZINC001570078381 1190791738 /nfs/dbraw/zinc/79/17/38/1190791738.db2.gz VXXYFJFLGIGXIE-UHFFFAOYSA-N 0 1 310.441 3.041 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC(C)(C)CC(F)F)CCC1 ZINC001570112291 1190798022 /nfs/dbraw/zinc/79/80/22/1190798022.db2.gz YXMHFNOPJMUUBQ-UHFFFAOYSA-N 0 1 322.827 3.439 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(CC(C)C)CCC2)C(C)(C)C1 ZINC001570395738 1190852083 /nfs/dbraw/zinc/85/20/83/1190852083.db2.gz PPOQXCVBKLOFHL-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc2ccccc2c1 ZINC001570544938 1190884503 /nfs/dbraw/zinc/88/45/03/1190884503.db2.gz HUSRIIZMDVVLHL-LJQANCHMSA-N 0 1 306.409 3.009 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001570628842 1190905385 /nfs/dbraw/zinc/90/53/85/1190905385.db2.gz XAEPBICMHJXGGN-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001570643435 1190909185 /nfs/dbraw/zinc/90/91/85/1190909185.db2.gz GOGFCHKDMGMYRP-AJNGGQMLSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)c(F)c(C)c1 ZINC001570652901 1190911105 /nfs/dbraw/zinc/91/11/05/1190911105.db2.gz KOVWMTJTBKPNNP-QWHCGFSZSA-N 0 1 312.816 3.291 20 30 DGEDMN CC(C)C(=O)NC[C@@H]1CCCCCN1Cc1ccc(C#N)s1 ZINC001570816416 1190956977 /nfs/dbraw/zinc/95/69/77/1190956977.db2.gz YGEXESXYTCCHPN-AWEZNQCLSA-N 0 1 319.474 3.137 20 30 DGEDMN C/C=C(/C)C(=O)N1CC[C@@]2(C1)CN(CCCCCCC)CCO2 ZINC001570882173 1190969228 /nfs/dbraw/zinc/96/92/28/1190969228.db2.gz NYAPFARPKSSANJ-BRHWKXANSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCCCC(=O)N1CCC(N(C)[C@@H](C)c2ncc(C)o2)CC1 ZINC001571333308 1190994736 /nfs/dbraw/zinc/99/47/36/1190994736.db2.gz LUZVZJWERFMXTO-HNNXBMFYSA-N 0 1 319.449 3.323 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H](C)NCC(=C)Cl)CCCCC1 ZINC001571419657 1191021233 /nfs/dbraw/zinc/02/12/33/1191021233.db2.gz VNZWJXYOLGDQGS-HNNXBMFYSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(C2CC2)c1 ZINC001571419697 1191021276 /nfs/dbraw/zinc/02/12/76/1191021276.db2.gz WEZFQKVVJODTJS-CYBMUJFWSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C[C@H](C(=O)N[C@@]12CCC[C@@H]1N(CCF)CC2)c1ccccc1 ZINC001571505076 1191034819 /nfs/dbraw/zinc/03/48/19/1191034819.db2.gz MSHNLLHNNXQJBF-JENIJYKNSA-N 0 1 316.420 3.039 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cncc(C)c2)[C@@H]1C ZINC001571519030 1191040634 /nfs/dbraw/zinc/04/06/34/1191040634.db2.gz NFTQJVOLAKQFJE-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN CCC(CC)CN(CCC#N)CCOc1ccc2c(c1)OCO2 ZINC001615743679 1191442572 /nfs/dbraw/zinc/44/25/72/1191442572.db2.gz DHFLCZIHVCJAAR-UHFFFAOYSA-N 0 1 318.417 3.446 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N2c3ccccc3CC[C@@H]2C)C1 ZINC001618916693 1193754980 /nfs/dbraw/zinc/75/49/80/1193754980.db2.gz VJIFMABGUVOLOF-YESZJQIVSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC001620214857 1193765437 /nfs/dbraw/zinc/76/54/37/1193765437.db2.gz NPPDUAGAKXSBPL-DOTOQJQBSA-N 0 1 314.429 3.089 20 30 DGEDMN C#CCCCCCCN1CC2(CCC2)[C@](F)(C(=O)OCC)C1 ZINC001620412572 1193767577 /nfs/dbraw/zinc/76/75/77/1193767577.db2.gz VVJVWHIBRZWVPY-GOSISDBHSA-N 0 1 309.425 3.327 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@@H]1c1cc(C)[nH]n1 ZINC001620614991 1193784267 /nfs/dbraw/zinc/78/42/67/1193784267.db2.gz IKGNFEIKIDPMJB-QGZVFWFLSA-N 0 1 310.401 3.326 20 30 DGEDMN N#Cc1cccc(CNCc2cccc(OC(F)(F)F)c2)n1 ZINC001621566118 1193859234 /nfs/dbraw/zinc/85/92/34/1193859234.db2.gz AKWXKFJDBFATSX-UHFFFAOYSA-N 0 1 307.275 3.142 20 30 DGEDMN C=CCONC(=O)Cc1csc(-c2ccccc2Cl)n1 ZINC001624256447 1193989064 /nfs/dbraw/zinc/98/90/64/1193989064.db2.gz WMLCVLCQNLPLAQ-UHFFFAOYSA-N 0 1 308.790 3.240 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(Cc3ccccc3)[C@@H](CC)C2)C1 ZINC001624854960 1194018087 /nfs/dbraw/zinc/01/80/87/1194018087.db2.gz MZRHOICHCLDWDQ-SFHVURJKSA-N 0 1 312.457 3.466 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3c[nH]c4cccc(C#N)c43)[nH]c2c1 ZINC001625116901 1194033245 /nfs/dbraw/zinc/03/32/45/1194033245.db2.gz RQQCYNGKWYOZKA-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN N#Cc1ccc2c(c1)CCN2C(=O)c1cc(-c2ccccc2)n[nH]1 ZINC001629704210 1194248357 /nfs/dbraw/zinc/24/83/57/1194248357.db2.gz AFPVXNQKSGDXSN-UHFFFAOYSA-N 0 1 314.348 3.151 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3coc4cc(O)ccc34)[nH]c2c1 ZINC001631012447 1194314444 /nfs/dbraw/zinc/31/44/44/1194314444.db2.gz UNXAYZCPALKNDF-UHFFFAOYSA-N 0 1 318.292 3.139 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2ccc(CN3CCCC3)o2)c1 ZINC001632106316 1194372298 /nfs/dbraw/zinc/37/22/98/1194372298.db2.gz XRENNGYDHMFYAQ-UHFFFAOYSA-N 0 1 313.332 3.138 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1cc(Cl)ccc1O ZINC001633213273 1194426503 /nfs/dbraw/zinc/42/65/03/1194426503.db2.gz QVOFCMHLRKYOMZ-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1cccc2nc[nH]c21 ZINC001633740556 1194453326 /nfs/dbraw/zinc/45/33/26/1194453326.db2.gz SVDVNKXMOIEYBK-UHFFFAOYSA-N 0 1 307.353 3.058 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1)C1CC1 ZINC001635805633 1194573203 /nfs/dbraw/zinc/57/32/03/1194573203.db2.gz JRIMAZLVUFTFBC-UHFFFAOYSA-N 0 1 315.376 3.468 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCCCN1C)[C@H]1CCCc2ccccc21 ZINC001635884188 1194577180 /nfs/dbraw/zinc/57/71/80/1194577180.db2.gz IJZDORRZJRXJBR-OALUTQOASA-N 0 1 310.441 3.010 20 30 DGEDMN COc1ccc2cc(C(=O)Nc3cc(C#N)ccc3O)cnc2c1 ZINC001637619903 1194678483 /nfs/dbraw/zinc/67/84/83/1194678483.db2.gz FPXQRIFAABGJIJ-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001671179709 1194683193 /nfs/dbraw/zinc/68/31/93/1194683193.db2.gz UDTDZMPUOSNWMM-GJZGRUSLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@H]1CCN1C1CCCC1 ZINC001637848122 1194689614 /nfs/dbraw/zinc/68/96/14/1194689614.db2.gz JFOLZESLMFFCGJ-IEBWSBKVSA-N 0 1 312.457 3.307 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCN1C1CCCC1 ZINC001637848123 1194690289 /nfs/dbraw/zinc/69/02/89/1194690289.db2.gz JFOLZESLMFFCGJ-MJGOQNOKSA-N 0 1 312.457 3.307 20 30 DGEDMN N#Cc1c(F)cc(CNC(=O)c2ccc(Cl)c(O)c2)cc1F ZINC001639275131 1194758195 /nfs/dbraw/zinc/75/81/95/1194758195.db2.gz YNGKFJYFMBJFCL-UHFFFAOYSA-N 0 1 322.698 3.125 20 30 DGEDMN C#CCN(C(=O)CCc1cc(C)[nH]n1)[C@H]1CCCc2ccccc21 ZINC001639321985 1194760286 /nfs/dbraw/zinc/76/02/86/1194760286.db2.gz KXSGIIZQGSKSBT-IBGZPJMESA-N 0 1 321.424 3.190 20 30 DGEDMN Cc1ccc2nc(CNc3c(C#N)cccc3[N+](=O)[O-])[nH]c2c1 ZINC001639555926 1194771213 /nfs/dbraw/zinc/77/12/13/1194771213.db2.gz WKJCAQRRYTURAO-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2c3nn[nH]c3ccc2C)cc1 ZINC001640540740 1194818799 /nfs/dbraw/zinc/81/87/99/1194818799.db2.gz BDFGQYHCVWQFTO-UHFFFAOYSA-N 0 1 322.368 3.012 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cccc(OCC(C)C)c1 ZINC001641003428 1194835342 /nfs/dbraw/zinc/83/53/42/1194835342.db2.gz OLTZWGRYFATNAQ-UHFFFAOYSA-N 0 1 319.430 3.497 20 30 DGEDMN Cc1nc2ccc(Cn3nnc(-c4ccccc4)c3C#N)cc2[nH]1 ZINC001641458284 1194854852 /nfs/dbraw/zinc/85/48/52/1194854852.db2.gz CFPXWAOWUHNSAR-UHFFFAOYSA-N 0 1 314.352 3.050 20 30 DGEDMN N#Cc1ccc(N2CCN(CCCC3CCC3)CC2)c(F)c1 ZINC001641670677 1194865999 /nfs/dbraw/zinc/86/59/99/1194865999.db2.gz OERAFHQFTYJVJN-UHFFFAOYSA-N 0 1 301.409 3.400 20 30 DGEDMN C[C@H]1c2c(F)cccc2CCN1C[C@H](O)c1cccc(C#N)c1 ZINC001641851754 1194875164 /nfs/dbraw/zinc/87/51/64/1194875164.db2.gz MTSRUZRCWUHWGZ-UGSOOPFHSA-N 0 1 310.372 3.350 20 30 DGEDMN CCCN1CCN(c2c(C#N)cnc3c(Cl)cccc32)CC1 ZINC001641954593 1194880770 /nfs/dbraw/zinc/88/07/70/1194880770.db2.gz QXHJQAXPXGROAO-UHFFFAOYSA-N 0 1 314.820 3.292 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)Cc1[nH]nc2ccccc21 ZINC001642540253 1194918784 /nfs/dbraw/zinc/91/87/84/1194918784.db2.gz INMJOZHXMLWWMI-INIZCTEOSA-N 0 1 319.408 3.409 20 30 DGEDMN C=CCN(C)CC[N@H+](CC)Cc1cc(Cl)cc(C(C)=O)c1[O-] ZINC001642917698 1194953498 /nfs/dbraw/zinc/95/34/98/1194953498.db2.gz JOLKRBMADHSSDY-UHFFFAOYSA-N 0 1 324.852 3.188 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)N(CC)CC(F)(F)F)CCCC1(C)C ZINC001642918055 1194953964 /nfs/dbraw/zinc/95/39/64/1194953964.db2.gz VBKHBQASOFJSSM-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCN(CC(=O)Nc1ccccc1C(=O)OCC)C(C)(C)C ZINC001643856692 1195058766 /nfs/dbraw/zinc/05/87/66/1195058766.db2.gz AEHVDJWFHMYXNE-UHFFFAOYSA-N 0 1 318.417 3.088 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1ccco1 ZINC001645107327 1195157708 /nfs/dbraw/zinc/15/77/08/1195157708.db2.gz BSTQJBXBHFWRSS-QGZVFWFLSA-N 0 1 310.397 3.185 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H](c3ccc([N+](=O)[O-])cc3)C2)c1 ZINC001645728611 1195199377 /nfs/dbraw/zinc/19/93/77/1195199377.db2.gz VJCVKXVPKYCKDH-MRXNPFEDSA-N 0 1 322.368 3.241 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC001647657471 1195250241 /nfs/dbraw/zinc/25/02/41/1195250241.db2.gz OWYVXRCAESLHQM-LBPRGKRZSA-N 0 1 313.788 3.358 20 30 DGEDMN CCCCCCCCCCN1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC001648123983 1195277912 /nfs/dbraw/zinc/27/79/12/1195277912.db2.gz MFIPQVAMEOBCTC-SJORKVTESA-N 0 1 310.482 3.107 20 30 DGEDMN Cc1ccccc1-n1cc(CNCc2cccc(C#N)c2)cn1 ZINC001648772621 1195330254 /nfs/dbraw/zinc/33/02/54/1195330254.db2.gz JVPHLHCSAFJTAG-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)CC1CC(F)(F)C1 ZINC001649463195 1195345252 /nfs/dbraw/zinc/34/52/52/1195345252.db2.gz HRUDNDBMWDYKBT-ZDUSSCGKSA-N 0 1 320.811 3.145 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@H]3CCCC4(CC4)C3)n2)c1 ZINC001651271002 1195435308 /nfs/dbraw/zinc/43/53/08/1195435308.db2.gz NXMFUTYZXLBHBM-AWEZNQCLSA-N 0 1 321.384 3.252 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2c(C#N)cnc3c(Cl)cccc32)C1 ZINC001651409464 1195457439 /nfs/dbraw/zinc/45/74/39/1195457439.db2.gz ZDUMFPBKTRTPFO-CQSZACIVSA-N 0 1 324.815 3.269 20 30 DGEDMN N#Cc1ccc(CN[C@H]2C[C@]3(CCOC3)Oc3ccccc32)cc1 ZINC001651709914 1195500646 /nfs/dbraw/zinc/50/06/46/1195500646.db2.gz UIFPOMGAQYADQL-ICSRJNTNSA-N 0 1 320.392 3.331 20 30 DGEDMN C[C@H](NC(=O)c1ccc(Cl)c(O)c1)c1cccc(C#N)c1 ZINC001651926686 1195524112 /nfs/dbraw/zinc/52/41/12/1195524112.db2.gz YMDIJMZYHPWMSY-JTQLQIEISA-N 0 1 300.745 3.408 20 30 DGEDMN C=CCSCCNCc1c(Cl)n(C)nc1C(F)(F)F ZINC001652159108 1195555031 /nfs/dbraw/zinc/55/50/31/1195555031.db2.gz ULHALCBYQFRZDY-UHFFFAOYSA-N 0 1 313.776 3.101 20 30 DGEDMN C=CC[C@H](Sc1nc(C=Cc2ccccc2)n[nH]1)C(=O)OC ZINC001652588678 1195622987 /nfs/dbraw/zinc/62/29/87/1195622987.db2.gz ALAHVIQEFDZPBG-NHAQELONSA-N 0 1 315.398 3.185 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1C[C@]2(C)COC[C@]2(C)C1 ZINC001652791384 1195652427 /nfs/dbraw/zinc/65/24/27/1195652427.db2.gz LILIVFGSISPZSB-HDICACEKSA-N 0 1 319.832 3.210 20 30 DGEDMN C=CCO[C@@H]1CCN([C@H](CC)C(=O)N(C)c2ccc(C)cc2)C1 ZINC001652993492 1195679088 /nfs/dbraw/zinc/67/90/88/1195679088.db2.gz GCRWCBOUSHPTGN-QZTJIDSGSA-N 0 1 316.445 3.013 20 30 DGEDMN C(#Cc1ccccc1)CN[C@H](Cn1ccnc1)c1ccccc1 ZINC001653014713 1195679831 /nfs/dbraw/zinc/67/98/31/1195679831.db2.gz OISWDMRDZCWQQF-HXUWFJFHSA-N 0 1 301.393 3.266 20 30 DGEDMN C[C@H]1CC[C@@](CO)(NCC#Cc2ccccc2)c2ccccc21 ZINC001653269620 1195706034 /nfs/dbraw/zinc/70/60/34/1195706034.db2.gz LONWROLRZXSQAV-UWJYYQICSA-N 0 1 305.421 3.413 20 30 DGEDMN C#CCOCCN1Cc2cccc(Br)c2C[C@@H]1CC ZINC001653435097 1195721706 /nfs/dbraw/zinc/72/17/06/1195721706.db2.gz HMOMIGCORRZECM-AWEZNQCLSA-N 0 1 322.246 3.236 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H]2CCCCC2(C)C)n1 ZINC001653487166 1195728233 /nfs/dbraw/zinc/72/82/33/1195728233.db2.gz SRQDXRXAMAOBQC-KRWDZBQOSA-N 0 1 317.477 3.181 20 30 DGEDMN CCN(CC)C(=O)OC[C@@H]1CCCCN1CC1(CC#N)CC1 ZINC001653529230 1195731381 /nfs/dbraw/zinc/73/13/81/1195731381.db2.gz ZQDXEMZPSWABFE-HNNXBMFYSA-N 0 1 307.438 3.013 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)[C@H]1CCN1C(C)(C)C ZINC001653589742 1195736870 /nfs/dbraw/zinc/73/68/70/1195736870.db2.gz QMSIVSUIPKUUER-JKSUJKDBSA-N 0 1 312.498 3.087 20 30 DGEDMN C#CCCCCCCN1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC001653639537 1195740417 /nfs/dbraw/zinc/74/04/17/1195740417.db2.gz YAPHLSSPBMIFQI-FQEVSTJZSA-N 0 1 309.457 3.453 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2nc(C)c(C)s2)C1 ZINC001654177530 1195781586 /nfs/dbraw/zinc/78/15/86/1195781586.db2.gz DGZSZMDMTWEMDJ-JSGCOSHPSA-N 0 1 307.463 3.375 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2cc(F)cc(F)c2)CC1 ZINC001654461078 1195805788 /nfs/dbraw/zinc/80/57/88/1195805788.db2.gz FSWSGJIDFJZHSF-QGZVFWFLSA-N 0 1 323.383 3.337 20 30 DGEDMN CCCCCCCCCN1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001655110231 1195877705 /nfs/dbraw/zinc/87/77/05/1195877705.db2.gz WYEACIZKTGLGMJ-CABCVRRESA-N 0 1 308.470 3.225 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(CCc2ccccc2)CC1 ZINC001655607790 1195939560 /nfs/dbraw/zinc/93/95/60/1195939560.db2.gz DBYKYHUZTHAUET-SFHVURJKSA-N 0 1 301.430 3.449 20 30 DGEDMN C=CC[C@H]1CCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001655819220 1195967031 /nfs/dbraw/zinc/96/70/31/1195967031.db2.gz UDDHWKBXROQRGB-NSHDSACASA-N 0 1 306.207 3.476 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCc1ccc(C)cc1 ZINC001656088331 1195998238 /nfs/dbraw/zinc/99/82/38/1195998238.db2.gz JAOIHAAVDDXRGZ-IBGZPJMESA-N 0 1 314.473 3.427 20 30 DGEDMN Fc1cccc(CNCCOC(F)(F)F)c1OC(F)(F)F ZINC001656094652 1195999954 /nfs/dbraw/zinc/99/99/54/1195999954.db2.gz CRGHNNWHUPYDAG-UHFFFAOYSA-N 0 1 321.192 3.350 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC[C@@H]1CCc2ccccc21 ZINC001656109228 1196003597 /nfs/dbraw/zinc/00/35/97/1196003597.db2.gz GZLPSAKXTAVXLP-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C#C[C@@H]1CCCCN1Cc1cccc(C(=O)NCc2ccco2)c1 ZINC001656427129 1196038749 /nfs/dbraw/zinc/03/87/49/1196038749.db2.gz WKDUDBKFKOSYND-GOSISDBHSA-N 0 1 322.408 3.197 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1CC2(CCC2)CO1 ZINC001656985576 1196090128 /nfs/dbraw/zinc/09/01/28/1196090128.db2.gz HFQZPOHFEHEXRN-AWEZNQCLSA-N 0 1 319.832 3.401 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)c(C)s1 ZINC001657079653 1196101913 /nfs/dbraw/zinc/10/19/13/1196101913.db2.gz MKNCMOVUSMAIFP-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN Cc1ccc(CNCc2cccc3c2OC(F)(F)O3)cc1C#N ZINC001657973173 1196198102 /nfs/dbraw/zinc/19/81/02/1196198102.db2.gz AIGUKCOMZNXZGH-UHFFFAOYSA-N 0 1 316.307 3.478 20 30 DGEDMN C#Cc1ccc(C[NH2+]Cc2c([O-])cccc2Br)cc1 ZINC001657989384 1196199634 /nfs/dbraw/zinc/19/96/34/1196199634.db2.gz GQUCOXQYDHDYHM-UHFFFAOYSA-N 0 1 316.198 3.426 20 30 DGEDMN CCOC(=O)C[C@@H]1CCCN1Cc1cc(Cl)cc(C#N)c1 ZINC001658381652 1196248841 /nfs/dbraw/zinc/24/88/41/1196248841.db2.gz BNRWOHMORBJCDZ-HNNXBMFYSA-N 0 1 306.793 3.129 20 30 DGEDMN Cc1ccc(-c2nn(C)cc2CNCc2cc(C#N)ccc2F)o1 ZINC001658609037 1196279833 /nfs/dbraw/zinc/27/98/33/1196279833.db2.gz UWPKPYUKTVYNCK-UHFFFAOYSA-N 0 1 324.359 3.289 20 30 DGEDMN C[C@H](c1ccc(F)nc1)N(C)CCOCc1ccc(C#N)cc1 ZINC001658846172 1196308684 /nfs/dbraw/zinc/30/86/84/1196308684.db2.gz OUCWVIVPVORKII-CQSZACIVSA-N 0 1 313.376 3.302 20 30 DGEDMN CC1(CN2CCN(c3oc(C4CC4)nc3C#N)CC2)CCCC1 ZINC001658957937 1196325134 /nfs/dbraw/zinc/32/51/34/1196325134.db2.gz PHXMJPVASBMPHU-UHFFFAOYSA-N 0 1 314.433 3.126 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)N[C@H](CC)c2ccc(Cl)cc2)C1 ZINC001659178249 1196356196 /nfs/dbraw/zinc/35/61/96/1196356196.db2.gz PXKCSQXCIJRBEO-RHSMWYFYSA-N 0 1 318.848 3.253 20 30 DGEDMN C#C[C@H](N[C@@H](C)[C@H]1CCCO1)c1ccc(Br)cc1 ZINC001659303998 1196368968 /nfs/dbraw/zinc/36/89/68/1196368968.db2.gz DLYQRCWIMFOSNZ-TUKIKUTGSA-N 0 1 308.219 3.281 20 30 DGEDMN C=CCN(Cc1ccc(C(=O)OC)c(Br)c1)C1CC1 ZINC001659379748 1196377967 /nfs/dbraw/zinc/37/79/67/1196377967.db2.gz RRDWMOKPVCRSNB-UHFFFAOYSA-N 0 1 324.218 3.386 20 30 DGEDMN C#CC[C@H]1CCN(CC(=O)N[C@@H](c2cccs2)C(C)(C)C)C1 ZINC001660118842 1196448052 /nfs/dbraw/zinc/44/80/52/1196448052.db2.gz RTUJVJGCXFKQIY-YOEHRIQHSA-N 0 1 318.486 3.297 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001660290404 1196466896 /nfs/dbraw/zinc/46/68/96/1196466896.db2.gz DBTDDRUAWNCXGR-FQEVSTJZSA-N 0 1 312.457 3.079 20 30 DGEDMN C#CCC1(C(=O)NCCCN(C)C/C=C\Cl)CCCCC1 ZINC001660414292 1196480984 /nfs/dbraw/zinc/48/09/84/1196480984.db2.gz UPVCOMMVIGXMFB-GHXNOFRVSA-N 0 1 310.869 3.151 20 30 DGEDMN C=CCC[C@H]1CCCN1Cc1ccc(Br)nc1N ZINC001660489498 1196489615 /nfs/dbraw/zinc/48/96/15/1196489615.db2.gz LWZVPDGPCWLJPB-LBPRGKRZSA-N 0 1 310.239 3.357 20 30 DGEDMN CCN(CCCNC(=O)[C@H](C)C(C)C)Cc1ccccc1C#N ZINC001660599139 1196501978 /nfs/dbraw/zinc/50/19/78/1196501978.db2.gz RKNXRWYKILNOCW-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001660862586 1196537330 /nfs/dbraw/zinc/53/73/30/1196537330.db2.gz JBWBRBZMYLNTKN-SUOMESGTSA-N 0 1 310.441 3.002 20 30 DGEDMN CC1(C)[C@H](NCC2(C#N)CCOCC2)C[C@@H]1Oc1ccccc1 ZINC001660886526 1196539444 /nfs/dbraw/zinc/53/94/44/1196539444.db2.gz AQNQZTGYLHFLIO-SJORKVTESA-N 0 1 314.429 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1ccsc1)C1CC1 ZINC001661166871 1196574597 /nfs/dbraw/zinc/57/45/97/1196574597.db2.gz AZBCVUPUJFETRK-FZMZJTMJSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1cccc(C)c1)C1CC1 ZINC001661171596 1196575370 /nfs/dbraw/zinc/57/53/70/1196575370.db2.gz BBQZNWCABFYYEM-WMLDXEAASA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(CC)cc1)C1CC1 ZINC001661187481 1196577585 /nfs/dbraw/zinc/57/75/85/1196577585.db2.gz IWZGLACKCNBYHB-MRXNPFEDSA-N 0 1 306.837 3.100 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(C(F)F)o1)C1CC1 ZINC001661187437 1196577524 /nfs/dbraw/zinc/57/75/24/1196577524.db2.gz IHZYOQQGONHVSC-SNVBAGLBSA-N 0 1 318.751 3.068 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc2c1CCC2)C1CC1 ZINC001661197116 1196578960 /nfs/dbraw/zinc/57/89/60/1196578960.db2.gz LGSVPKJONHTAIL-KRWDZBQOSA-N 0 1 318.848 3.026 20 30 DGEDMN Cc1ccccc1[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001661249057 1196588891 /nfs/dbraw/zinc/58/88/91/1196588891.db2.gz IPPJIGIQNCFFPP-GBESFXJTSA-N 0 1 324.468 3.391 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001661578155 1196621374 /nfs/dbraw/zinc/62/13/74/1196621374.db2.gz ZQJIRLWSJMYQEJ-OLMNPRSZSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@H]1CCCN(CCF)C1)c1ccccc1 ZINC001661752333 1196641329 /nfs/dbraw/zinc/64/13/29/1196641329.db2.gz JDJTZQNEWFMTQE-IAGOWNOFSA-N 0 1 318.436 3.144 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1Cc1ccccc1F ZINC001661861219 1196653294 /nfs/dbraw/zinc/65/32/94/1196653294.db2.gz JFNTWDRMABJLGZ-KRWDZBQOSA-N 0 1 316.420 3.100 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@@H]1CCCN(CC(=C)Cl)C1 ZINC001661988569 1196671536 /nfs/dbraw/zinc/67/15/36/1196671536.db2.gz ADGOLAIZDOSNCT-HZPDHXFCSA-N 0 1 310.869 3.149 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001662207842 1196695495 /nfs/dbraw/zinc/69/54/95/1196695495.db2.gz QYYAZBATSKLKLH-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2cscc2s1 ZINC001662287981 1196703279 /nfs/dbraw/zinc/70/32/79/1196703279.db2.gz JOANXKRMTHMMDU-VIFPVBQESA-N 0 1 314.863 3.423 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001662366568 1196712796 /nfs/dbraw/zinc/71/27/96/1196712796.db2.gz GUUPZBXEYDGSKZ-UONOGXRCSA-N 0 1 308.853 3.199 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001662383523 1196714316 /nfs/dbraw/zinc/71/43/16/1196714316.db2.gz PDADWBGCDBYYPC-QWHCGFSZSA-N 0 1 320.820 3.383 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CNCc2ncc(C(C)C)o2)C1 ZINC001662635198 1196735996 /nfs/dbraw/zinc/73/59/96/1196735996.db2.gz LAKVFESKTSNZTP-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2ccccc2cc1F ZINC001663403852 1196857855 /nfs/dbraw/zinc/85/78/55/1196857855.db2.gz QDMZLOHGFQDHOD-UHFFFAOYSA-N 0 1 312.388 3.054 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1[C@@H](C)c1cccc(F)c1 ZINC001663493625 1196886252 /nfs/dbraw/zinc/88/62/52/1196886252.db2.gz RZRGKUSWEVNADS-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1[C@@H](C)c1cccc(F)c1 ZINC001663493625 1196886256 /nfs/dbraw/zinc/88/62/56/1196886256.db2.gz RZRGKUSWEVNADS-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1CCC(F)(F)F ZINC001663524270 1196894811 /nfs/dbraw/zinc/89/48/11/1196894811.db2.gz WQNBEGQRSDTUGY-OCCSQVGLSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)CCC(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001663663590 1196924234 /nfs/dbraw/zinc/92/42/34/1196924234.db2.gz FFLWNJUVVPUKQI-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc2nc(C)sc2c1 ZINC001664286241 1196986851 /nfs/dbraw/zinc/98/68/51/1196986851.db2.gz RTPUSWWEZSZLBU-UHFFFAOYSA-N 0 1 323.849 3.019 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H](NC/C=C/Cl)c1ccccc1 ZINC001664578177 1197016281 /nfs/dbraw/zinc/01/62/81/1197016281.db2.gz KXBKDMGBDWVNST-MZTACXPWSA-N 0 1 318.848 3.236 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001664666976 1197030304 /nfs/dbraw/zinc/03/03/04/1197030304.db2.gz DJNBMYULMCOYMW-MOPGFXCFSA-N 0 1 316.489 3.459 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001664679038 1197034065 /nfs/dbraw/zinc/03/40/65/1197034065.db2.gz SMNMFHHHGFDMQU-SFHVURJKSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001664754723 1197051385 /nfs/dbraw/zinc/05/13/85/1197051385.db2.gz HIUZIJLRNPUSHH-BPUTZDHNSA-N 0 1 312.885 3.393 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cccc2c1OCC2 ZINC001664887861 1197075836 /nfs/dbraw/zinc/07/58/36/1197075836.db2.gz UNOHPCHQLMFIEC-UHFFFAOYSA-N 0 1 322.408 3.142 20 30 DGEDMN C#Cc1cccc(C[NH2+]Cc2ccc(Br)cc2[O-])c1 ZINC001665000723 1197086442 /nfs/dbraw/zinc/08/64/42/1197086442.db2.gz UDEAJJUUWIUBOY-UHFFFAOYSA-N 0 1 316.198 3.426 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2ncccc2C)C1 ZINC001665013032 1197087119 /nfs/dbraw/zinc/08/71/19/1197087119.db2.gz AVKYOLKOOONSKW-IAGOWNOFSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001665030089 1197088723 /nfs/dbraw/zinc/08/87/23/1197088723.db2.gz UUWXFCZSPNYFMF-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001665216457 1197110386 /nfs/dbraw/zinc/11/03/86/1197110386.db2.gz IBCXFHCHTPKCLX-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@]2(C)CCc3ccccc32)C1 ZINC001665234598 1197112716 /nfs/dbraw/zinc/11/27/16/1197112716.db2.gz BNTZPXUVPCDQAF-MGPUTAFESA-N 0 1 312.457 3.046 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2ccc(C3CCC3)cc2)C1 ZINC001665263120 1197115798 /nfs/dbraw/zinc/11/57/98/1197115798.db2.gz KXHDBHSTOMPACH-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN CC#CCCCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001673462428 1197116274 /nfs/dbraw/zinc/11/62/74/1197116274.db2.gz LWJXIXSFTOPUBC-UHFFFAOYSA-N 0 1 324.468 3.012 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@H](c2ccccc2)[C@@H](C)CC)C1 ZINC001665357993 1197128291 /nfs/dbraw/zinc/12/82/91/1197128291.db2.gz GOSGUTWIUJKTGY-YJBOKZPZSA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccsc1 ZINC001665370921 1197129619 /nfs/dbraw/zinc/12/96/19/1197129619.db2.gz DCBMBLYKABAHQG-HOTGVXAUSA-N 0 1 304.459 3.393 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001665567160 1197151744 /nfs/dbraw/zinc/15/17/44/1197151744.db2.gz LHPUMAQLSCGXQC-HKSATOIVSA-N 0 1 312.457 3.493 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@@H]1CC[N@@H+](C/C=C/c2ccccc2)C1 ZINC001665631677 1197161344 /nfs/dbraw/zinc/16/13/44/1197161344.db2.gz MAKJQGFUCNDLBY-UFUQCMIWSA-N 0 1 324.468 3.282 20 30 DGEDMN CN(C(=O)C#CC(C)(C)C)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001665631677 1197161347 /nfs/dbraw/zinc/16/13/47/1197161347.db2.gz MAKJQGFUCNDLBY-UFUQCMIWSA-N 0 1 324.468 3.282 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001665637068 1197162115 /nfs/dbraw/zinc/16/21/15/1197162115.db2.gz OHQLJOJJTPMBGP-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001665652700 1197164939 /nfs/dbraw/zinc/16/49/39/1197164939.db2.gz JOESDRHVUUWGQM-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CCN(C(=O)C=C1CCC1)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001665708809 1197178641 /nfs/dbraw/zinc/17/86/41/1197178641.db2.gz CRMMDYFLWNEFDY-LJQANCHMSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)Cc2cccc(Cl)c2F)C1 ZINC001665730216 1197182231 /nfs/dbraw/zinc/18/22/31/1197182231.db2.gz ACODFDIFNPPOTP-CQSZACIVSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCCc2ccccc21 ZINC001665808448 1197199858 /nfs/dbraw/zinc/19/98/58/1197199858.db2.gz CSWMWXYSPZMWGG-RDJZCZTQSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001665827929 1197203106 /nfs/dbraw/zinc/20/31/06/1197203106.db2.gz FPYKOFPSPHZYKA-BXBOZWQASA-N 0 1 311.429 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001665887734 1197211966 /nfs/dbraw/zinc/21/19/66/1197211966.db2.gz HBMUFZRIBVVJLU-CQSZACIVSA-N 0 1 312.816 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2c1CCC2 ZINC001665892788 1197212781 /nfs/dbraw/zinc/21/27/81/1197212781.db2.gz GTQBHAGLPHTSOK-AWEZNQCLSA-N 0 1 306.837 3.026 20 30 DGEDMN C=CCCC(=O)N[C@](C)(CNCc1nc(C)c(C)s1)C1CC1 ZINC001665979254 1197223606 /nfs/dbraw/zinc/22/36/06/1197223606.db2.gz AOGDJRYNPXAVLW-QGZVFWFLSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C(CC)CC)CC1 ZINC001666355599 1197255381 /nfs/dbraw/zinc/25/53/81/1197255381.db2.gz PNZBZFQVRLQQKZ-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](C)c2ccco2)CC1 ZINC001666379614 1197257017 /nfs/dbraw/zinc/25/70/17/1197257017.db2.gz RWLVBMDFYCOHHY-CQSZACIVSA-N 0 1 324.852 3.354 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H](C=C)c1ccccc1)CC2 ZINC001666839194 1197289069 /nfs/dbraw/zinc/28/90/69/1197289069.db2.gz ZSVPJYPXRWKTTR-GOSISDBHSA-N 0 1 324.468 3.457 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001666894809 1197296675 /nfs/dbraw/zinc/29/66/75/1197296675.db2.gz YJMFLVUEDKQRFW-KGLIPLIRSA-N 0 1 322.399 3.266 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001666908157 1197297882 /nfs/dbraw/zinc/29/78/82/1197297882.db2.gz QRNWMRINSUBTLZ-HNNXBMFYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1ccc(C)cc1 ZINC001666948421 1197300183 /nfs/dbraw/zinc/30/01/83/1197300183.db2.gz UPOSJPHUUYTTNG-HNNXBMFYSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CCNCc1nccs1 ZINC001667257435 1197333533 /nfs/dbraw/zinc/33/35/33/1197333533.db2.gz IIXKKFRMKUTRPW-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001667452155 1197349980 /nfs/dbraw/zinc/34/99/80/1197349980.db2.gz ISZNDIJOQZTXOS-CRAIPNDOSA-N 0 1 315.461 3.225 20 30 DGEDMN CN(CCN(C)C(=O)CCCC1CC1)Cc1cccc(C#N)c1 ZINC001667611328 1197368533 /nfs/dbraw/zinc/36/85/33/1197368533.db2.gz LWWLUIVFSDXTRM-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1oc2ccccc2c1CC ZINC001667669027 1197377582 /nfs/dbraw/zinc/37/75/82/1197377582.db2.gz KISBNOFQZANKSI-UHFFFAOYSA-N 0 1 312.413 3.022 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001668917412 1197434138 /nfs/dbraw/zinc/43/41/38/1197434138.db2.gz KKNQQGIOQQYLIV-WAYWQWQTSA-N 0 1 317.820 3.104 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@@H](CCC)C(C)C ZINC001669411705 1197453962 /nfs/dbraw/zinc/45/39/62/1197453962.db2.gz SUNJTWIOMGVURE-OLZOCXBDSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCCc1ccc(C)s1 ZINC001669490308 1197458082 /nfs/dbraw/zinc/45/80/82/1197458082.db2.gz ZGVCPOPEOYNSQY-GFCCVEGCSA-N 0 1 314.882 3.226 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2c(o1)c(F)ccc2C ZINC001669621942 1197465661 /nfs/dbraw/zinc/46/56/61/1197465661.db2.gz DANXAAGOSMNYRG-NSHDSACASA-N 0 1 324.783 3.341 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C)cc1Cl ZINC001669668930 1197469584 /nfs/dbraw/zinc/46/95/84/1197469584.db2.gz VKJNMIINWBEGIC-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)oc1C(F)(F)F ZINC001669678808 1197470753 /nfs/dbraw/zinc/47/07/53/1197470753.db2.gz XLGVFGWZLGPDDC-QMMMGPOBSA-N 0 1 324.730 3.067 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC(C2CCC2)C2CCC2)CC1 ZINC001671429112 1197540238 /nfs/dbraw/zinc/54/02/38/1197540238.db2.gz XFCYXSKHGMKYTP-UHFFFAOYSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001671586189 1197550088 /nfs/dbraw/zinc/55/00/88/1197550088.db2.gz RAYBKYFZWLXFGY-ZONJYYCBSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)c1ccccc1CC ZINC001672177275 1197594750 /nfs/dbraw/zinc/59/47/50/1197594750.db2.gz UJWLXNSFJGOLGX-WCIQWLHISA-N 0 1 324.468 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)/C(C)=C\CC)c1ccccc1CC ZINC001672177311 1197594821 /nfs/dbraw/zinc/59/48/21/1197594821.db2.gz VGMQKNSPSBBRBF-JVCXIRSZSA-N 0 1 312.457 3.376 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001673439206 1197661977 /nfs/dbraw/zinc/66/19/77/1197661977.db2.gz KQFMNNWVQGTDJG-UHFFFAOYSA-N 0 1 313.445 3.195 20 30 DGEDMN CCC1(C(=O)N(C)CCCN(C)CC#Cc2ccccc2)CC1 ZINC001673467173 1197664171 /nfs/dbraw/zinc/66/41/71/1197664171.db2.gz TXLYOAZJBBRLPU-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001674128743 1197736618 /nfs/dbraw/zinc/73/66/18/1197736618.db2.gz XFCFQONIDWOEMI-IZWIXQHKSA-N 0 1 317.458 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(C)no2)[C@H](C)C1 ZINC001674139982 1197737731 /nfs/dbraw/zinc/73/77/31/1197737731.db2.gz DFCCIXXHPUGQNN-HUUCEWRRSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001674671671 1197827458 /nfs/dbraw/zinc/82/74/58/1197827458.db2.gz ARGPSXJLUNAJCG-HUUCEWRRSA-N 0 1 320.481 3.049 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC001674671670 1197827895 /nfs/dbraw/zinc/82/78/95/1197827895.db2.gz ARGPSXJLUNAJCG-GJZGRUSLSA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccn(C(C)C)c1C ZINC001674715563 1197844470 /nfs/dbraw/zinc/84/44/70/1197844470.db2.gz IMHUMTDBRRIZNE-QWHCGFSZSA-N 0 1 311.857 3.226 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2cc[nH]c21 ZINC001674728888 1197850651 /nfs/dbraw/zinc/85/06/51/1197850651.db2.gz KHGAAYVDCMHRJC-RYUDHWBXSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)Cc2ccnc(Cl)c2)C1 ZINC001674991922 1197951024 /nfs/dbraw/zinc/95/10/24/1197951024.db2.gz RFMCUTJGUZBYTM-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Br)CNC[C@@H](CCCC)NC(=O)/C(C)=C/C ZINC001675219301 1198009585 /nfs/dbraw/zinc/00/95/85/1198009585.db2.gz XHKIWPFLRLMNDA-ASJRZHAZSA-N 0 1 317.271 3.126 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(C/C=C/c2ccccc2)CC1(C)C ZINC001675441508 1198067675 /nfs/dbraw/zinc/06/76/75/1198067675.db2.gz JNONZDKQXOHGJI-ZPHJMFTNSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(C/C=C\c2ccccc2)CC1(C)C ZINC001675441506 1198068207 /nfs/dbraw/zinc/06/82/07/1198068207.db2.gz JNONZDKQXOHGJI-DVESRUBUSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C/C=C\Cc2ccccc2)C(C)(C)C1 ZINC001675458680 1198072658 /nfs/dbraw/zinc/07/26/58/1198072658.db2.gz PPXRYOHOBCCICG-ZBTAVZRMSA-N 0 1 324.468 3.025 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCCN(Cc1ccc(F)cc1)C2 ZINC001675654504 1198105524 /nfs/dbraw/zinc/10/55/24/1198105524.db2.gz SLLRSOQWPAMDFW-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H](C)CCCNCc1cc(F)ccc1C#N ZINC001675857081 1198158690 /nfs/dbraw/zinc/15/86/90/1198158690.db2.gz IWGZQILUVXVXJN-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CCC(CNC(=O)NC[C@H](c2ccsc2)N(C)C)CC1 ZINC001676024122 1198196912 /nfs/dbraw/zinc/19/69/12/1198196912.db2.gz VHYURXWJWUYNJF-MRXNPFEDSA-N 0 1 321.490 3.396 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CC(C)(C)CC(F)F ZINC001676386720 1198307549 /nfs/dbraw/zinc/30/75/49/1198307549.db2.gz JEHUGSCGPUVMAW-LLVKDONJSA-N 0 1 310.816 3.247 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1coc(C(F)F)c1 ZINC001676407027 1198312984 /nfs/dbraw/zinc/31/29/84/1198312984.db2.gz LZRFIVZGIVNKRJ-SECBINFHSA-N 0 1 306.740 3.020 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1F ZINC001676412981 1198313946 /nfs/dbraw/zinc/31/39/46/1198313946.db2.gz DZSJFXARPWXUFX-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001676407531 1198314339 /nfs/dbraw/zinc/31/43/39/1198314339.db2.gz VRMJNMVBQGNXIW-DOMZBBRYSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]12CCC[C@H]1N(C/C=C\Cl)CC2 ZINC001676817315 1198399925 /nfs/dbraw/zinc/39/99/25/1198399925.db2.gz WZDJVSCWMJHPDU-MYOFPDQUSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCC[N@@H+](CC=C)[C@@H]2C)CCCCC1 ZINC001676898844 1198428252 /nfs/dbraw/zinc/42/82/52/1198428252.db2.gz SJXDROPIRWPRLF-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCCN(CC=C)[C@@H]2C)CCCCC1 ZINC001676898844 1198428258 /nfs/dbraw/zinc/42/82/58/1198428258.db2.gz SJXDROPIRWPRLF-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CC[C@@H](CNCc2cscn2)C1 ZINC001676945653 1198441755 /nfs/dbraw/zinc/44/17/55/1198441755.db2.gz ODAWMOIQNJBIEU-ZIAGYGMSSA-N 0 1 321.490 3.120 20 30 DGEDMN CCCC(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001677188562 1198478989 /nfs/dbraw/zinc/47/89/89/1198478989.db2.gz DWRLMYFMDFVGFM-UHFFFAOYSA-N 0 1 301.434 3.027 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CCN(C/C=C/Cl)CC2)C1 ZINC001677338176 1198517949 /nfs/dbraw/zinc/51/79/49/1198517949.db2.gz UKOQPGFDPVKNSH-BTKRWWFXSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001680634696 1198901459 /nfs/dbraw/zinc/90/14/59/1198901459.db2.gz YJNXJJMUDQODKK-INIZCTEOSA-N 0 1 324.428 3.401 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC001681612795 1199045356 /nfs/dbraw/zinc/04/53/56/1199045356.db2.gz OUBCTMVAZNAUEI-HOTGVXAUSA-N 0 1 321.490 3.491 20 30 DGEDMN C=CCC1(CNC(=O)N2C[C@H](C)N(CC)C[C@H]2C)CCCC1 ZINC001682312365 1199149217 /nfs/dbraw/zinc/14/92/17/1199149217.db2.gz YJPSJYBYNCEXSM-JKSUJKDBSA-N 0 1 307.482 3.247 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC001682709740 1199188479 /nfs/dbraw/zinc/18/84/79/1199188479.db2.gz NSTCRCXGXBLKLT-QGZVFWFLSA-N 0 1 321.440 3.426 20 30 DGEDMN C=C1CCN(C(=O)NC[C@@H](c2ccc(Cl)cc2)N(C)C)CC1 ZINC001685192445 1199452789 /nfs/dbraw/zinc/45/27/89/1199452789.db2.gz COYRSMISDPMDBR-INIZCTEOSA-N 0 1 321.852 3.304 20 30 DGEDMN C=C(Br)CNCc1c[nH]nc1-c1ccc(OC)cc1 ZINC000105453650 1199541454 /nfs/dbraw/zinc/54/14/54/1199541454.db2.gz ZUBLBMZKWWAZAV-UHFFFAOYSA-N 0 1 322.206 3.084 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001688878955 1199939166 /nfs/dbraw/zinc/93/91/66/1199939166.db2.gz SRIPIEWZRQMSSI-OALUTQOASA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccc(Cl)cc1 ZINC001688881062 1199940098 /nfs/dbraw/zinc/94/00/98/1199940098.db2.gz JSSDEQCAWKPJDS-KRWDZBQOSA-N 0 1 320.864 3.381 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)CCc1c(F)cccc1F ZINC001753854443 1200003511 /nfs/dbraw/zinc/00/35/11/1200003511.db2.gz KNBJOJAWCLZVEI-UHFFFAOYSA-N 0 1 324.415 3.254 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2[C@@H](CCN2CC=C(Cl)Cl)C1 ZINC001753870853 1200025009 /nfs/dbraw/zinc/02/50/09/1200025009.db2.gz HILPANKCZBYSPC-STQMWFEESA-N 0 1 317.260 3.194 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCN1C/C=C\c1ccccc1 ZINC001689290435 1200083490 /nfs/dbraw/zinc/08/34/90/1200083490.db2.gz GZBXLHHGKLNZLD-RWERQFNSSA-N 0 1 324.468 3.328 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)CC(C)=C(C)C ZINC001754061167 1200088698 /nfs/dbraw/zinc/08/86/98/1200088698.db2.gz JJXPSZKAHBBHJL-UHFFFAOYSA-N 0 1 313.445 3.243 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001689320738 1200092994 /nfs/dbraw/zinc/09/29/94/1200092994.db2.gz OXBZVJAAQHZYGX-CVEARBPZSA-N 0 1 315.461 3.071 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001754074611 1200094553 /nfs/dbraw/zinc/09/45/53/1200094553.db2.gz ZXCXNCUSVIMKKV-JKSUJKDBSA-N 0 1 315.461 3.179 20 30 DGEDMN CCC(CC)CC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001754073769 1200094944 /nfs/dbraw/zinc/09/49/44/1200094944.db2.gz BIGFYSWRJMTWTM-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)CCC(F)F)CC(C)(C)C1 ZINC001689363708 1200111291 /nfs/dbraw/zinc/11/12/91/1200111291.db2.gz YRHBSDBOXUFANN-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)CCC(F)F)CC(C)(C)C1 ZINC001689363709 1200112196 /nfs/dbraw/zinc/11/21/96/1200112196.db2.gz YRHBSDBOXUFANN-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C)(C)c1cccc(F)c1 ZINC001754169125 1200122183 /nfs/dbraw/zinc/12/21/83/1200122183.db2.gz GKXGHPZWNHPDQA-SNAWJCMRSA-N 0 1 324.827 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C/C=C/c1ccc(F)cc1 ZINC001754272317 1200166211 /nfs/dbraw/zinc/16/62/11/1200166211.db2.gz FNGFULHNHJTJSI-OOPCZODUSA-N 0 1 310.800 3.076 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1CCc2ccccc2CC1 ZINC001754273982 1200167263 /nfs/dbraw/zinc/16/72/63/1200167263.db2.gz NROCCYZKEQWXTO-AWEZNQCLSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2ccc(F)cc2)CCC1 ZINC001754277743 1200168112 /nfs/dbraw/zinc/16/81/12/1200168112.db2.gz WHVWOASXIVUYGM-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCCCc1ccc(C)cc1 ZINC001754337379 1200232753 /nfs/dbraw/zinc/23/27/53/1200232753.db2.gz UZPUAOAEWSVMFP-GOSISDBHSA-N 0 1 314.473 3.168 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC2CCC1CC2)C1CC1 ZINC001754460905 1200275730 /nfs/dbraw/zinc/27/57/30/1200275730.db2.gz DAVGAVHYIFRSGL-FPCDFSMTSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC2(c3ccccc3)CC2)CC1 ZINC001754516789 1200331847 /nfs/dbraw/zinc/33/18/47/1200331847.db2.gz OUDHZCWLZVEVMQ-UHFFFAOYSA-N 0 1 318.848 3.099 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001754526117 1200337977 /nfs/dbraw/zinc/33/79/77/1200337977.db2.gz FYLQJIVFXDPMQC-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001689945596 1200340729 /nfs/dbraw/zinc/34/07/29/1200340729.db2.gz JFBRSIQOXZVVEF-HRCADAONSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2cc(C)no2)CC1 ZINC001689954788 1200344711 /nfs/dbraw/zinc/34/47/11/1200344711.db2.gz LDVKDZHDDRGGHO-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CCCC)CC1 ZINC001754544477 1200351486 /nfs/dbraw/zinc/35/14/86/1200351486.db2.gz WAXVOKSYSPHNSK-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(F)ccc2CC)C1 ZINC001754577492 1200373437 /nfs/dbraw/zinc/37/34/37/1200373437.db2.gz XJOVVOVNEJHQMJ-CQSZACIVSA-N 0 1 318.436 3.499 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccnc2C(F)F)C1 ZINC001754577873 1200373892 /nfs/dbraw/zinc/37/38/92/1200373892.db2.gz MCYNHIPNCBYOEA-LBPRGKRZSA-N 0 1 323.387 3.130 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC001690033725 1200377221 /nfs/dbraw/zinc/37/72/21/1200377221.db2.gz HYQQTYCQGAWQJU-QGZVFWFLSA-N 0 1 304.409 3.203 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)OC2CCCCCC2)C1 ZINC001754581259 1200378401 /nfs/dbraw/zinc/37/84/01/1200378401.db2.gz DUJHGPCGKOEBTL-INIZCTEOSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001690098924 1200396487 /nfs/dbraw/zinc/39/64/87/1200396487.db2.gz DCLOFWFRPZYXBE-OAHLLOKOSA-N 0 1 312.413 3.336 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1ccccc1C ZINC001754618116 1200397969 /nfs/dbraw/zinc/39/79/69/1200397969.db2.gz USWMGFDNXUPUIW-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C#CCC1(C(=O)N2CCC[C@H]2CNCC(=C)Cl)CCCCC1 ZINC001754622166 1200400179 /nfs/dbraw/zinc/40/01/79/1200400179.db2.gz ICQYKYMFICVFOK-INIZCTEOSA-N 0 1 322.880 3.293 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2cncc(C)c2)CC1 ZINC001754628783 1200405483 /nfs/dbraw/zinc/40/54/83/1200405483.db2.gz FWHXUMLJHOJNIG-UHFFFAOYSA-N 0 1 315.461 3.217 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001754634883 1200407907 /nfs/dbraw/zinc/40/79/07/1200407907.db2.gz AOQSOMHCTCZZAG-DLBZAZTESA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CC)C1CCN(Cc2ccsc2)CC1 ZINC001754633203 1200408073 /nfs/dbraw/zinc/40/80/73/1200408073.db2.gz QUEVUYHCVLXIFB-OAHLLOKOSA-N 0 1 318.486 3.220 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)/C=C\c2ccco2)CC1 ZINC001690131515 1200412203 /nfs/dbraw/zinc/41/22/03/1200412203.db2.gz SSGVAIIGGTXYEH-HJWRWDBZSA-N 0 1 314.429 3.019 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@H]1CCC(F)(F)C1)C1CC1 ZINC001690153688 1200424391 /nfs/dbraw/zinc/42/43/91/1200424391.db2.gz RWKXEWRXCPSPII-DGCLKSJQSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1(C2CCC2)CCC1)C1CC1 ZINC001690163051 1200427313 /nfs/dbraw/zinc/42/73/13/1200427313.db2.gz UUYMBIJZMNXYMJ-HNNXBMFYSA-N 0 1 310.869 3.194 20 30 DGEDMN CCCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001754666052 1200427527 /nfs/dbraw/zinc/42/75/27/1200427527.db2.gz XDHGMVJPHRXODX-IBGZPJMESA-N 0 1 313.445 3.219 20 30 DGEDMN CC[C@H](c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001690205238 1200453213 /nfs/dbraw/zinc/45/32/13/1200453213.db2.gz GDCXESFDOUEXFZ-HSALFYBXSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](C)CCCCC)C1 ZINC001754730586 1200475754 /nfs/dbraw/zinc/47/57/54/1200475754.db2.gz IZOJEDLWKKZSFF-PKOBYXMFSA-N 0 1 306.494 3.444 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H](C)CC)c1ccccc1CC ZINC001754785201 1200487556 /nfs/dbraw/zinc/48/75/56/1200487556.db2.gz JIEZMTWBBNOXPJ-MAUKXSAKSA-N 0 1 300.446 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)/C=C(\C)C1CC1)c1ccccc1CC ZINC001754784657 1200488004 /nfs/dbraw/zinc/48/80/04/1200488004.db2.gz DSNYSONVCOUVEO-KETLNSEPSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001690388469 1200531097 /nfs/dbraw/zinc/53/10/97/1200531097.db2.gz JWGQECMOOXOFND-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@](C)(F)CCCC ZINC001754945636 1200548095 /nfs/dbraw/zinc/54/80/95/1200548095.db2.gz DEWAALFUZXPTCG-LJQANCHMSA-N 0 1 318.436 3.286 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccccc1C ZINC001690459571 1200573521 /nfs/dbraw/zinc/57/35/21/1200573521.db2.gz QQUDOWWUQWYFIV-IAGOWNOFSA-N 0 1 300.446 3.255 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1Cc2ccccc2CN1CC(=C)C ZINC001755075289 1200629466 /nfs/dbraw/zinc/62/94/66/1200629466.db2.gz DDWKLMKHGCBMIZ-IBGZPJMESA-N 0 1 312.457 3.462 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1Cc2ccccc2CN1CC(=C)C ZINC001755075290 1200629500 /nfs/dbraw/zinc/62/95/00/1200629500.db2.gz DDWKLMKHGCBMIZ-LJQANCHMSA-N 0 1 312.457 3.462 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc2c(c1)CN(CCCF)C2 ZINC001755102198 1200637128 /nfs/dbraw/zinc/63/71/28/1200637128.db2.gz LQVCHZFXFKABOB-UHFFFAOYSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1cccc(C(C)C)n1 ZINC001690618892 1200651808 /nfs/dbraw/zinc/65/18/08/1200651808.db2.gz JSLFEVUZAABGPE-KXBFYZLASA-N 0 1 317.477 3.402 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001690618441 1200652122 /nfs/dbraw/zinc/65/21/22/1200652122.db2.gz ZQLIHIDJTDKKEM-HNNXBMFYSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001690621328 1200653944 /nfs/dbraw/zinc/65/39/44/1200653944.db2.gz RRALLTAWGBNOOT-RDJZCZTQSA-N 0 1 322.880 3.348 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cccnc2)CC1(C)C ZINC001755141971 1200659476 /nfs/dbraw/zinc/65/94/76/1200659476.db2.gz RCBJJSPWLGOEQG-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001755142058 1200660330 /nfs/dbraw/zinc/66/03/30/1200660330.db2.gz MXWPLCOJBMJGSK-QZTJIDSGSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001755143119 1200660721 /nfs/dbraw/zinc/66/07/21/1200660721.db2.gz XDRMDRYSZNDVNB-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2conc2C)[C@H](C)C1 ZINC001755160525 1200665750 /nfs/dbraw/zinc/66/57/50/1200665750.db2.gz PMJMRKJZFCIGQY-CZUORRHYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)COc1ccccc1C(C)C ZINC001690645370 1200667293 /nfs/dbraw/zinc/66/72/93/1200667293.db2.gz JTQDBIYXWAJBHF-CQSZACIVSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C1(c2ccccc2)CCC1 ZINC001755194071 1200690923 /nfs/dbraw/zinc/69/09/23/1200690923.db2.gz MFXSGOWIFCJAQB-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2C[N@@H+](C)C/C=C\Cl)CCC1 ZINC001755198910 1200696269 /nfs/dbraw/zinc/69/62/69/1200696269.db2.gz KWKRYHVOVCYFLC-FTXNUJCXSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CN(C)C/C=C\Cl)CCC1 ZINC001755198910 1200696271 /nfs/dbraw/zinc/69/62/71/1200696271.db2.gz KWKRYHVOVCYFLC-FTXNUJCXSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001755198738 1200696781 /nfs/dbraw/zinc/69/67/81/1200696781.db2.gz GSEWLRKSTAPVDN-ULQDDVLXSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CCCF)C2)C1 ZINC001755205022 1200702460 /nfs/dbraw/zinc/70/24/60/1200702460.db2.gz OWSWMVHWGKVBJL-GJZGRUSLSA-N 0 1 316.848 3.049 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC001690780330 1200710363 /nfs/dbraw/zinc/71/03/63/1200710363.db2.gz IEWCVMVDVDGLEU-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN CCC(=CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N)CC ZINC001755229391 1200719091 /nfs/dbraw/zinc/71/90/91/1200719091.db2.gz BJCKRXNDNMOSLB-LSDHHAIUSA-N 0 1 313.445 3.287 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001755238350 1200725869 /nfs/dbraw/zinc/72/58/69/1200725869.db2.gz VHJVXNRPBUNXAT-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001755238351 1200726220 /nfs/dbraw/zinc/72/62/20/1200726220.db2.gz VHJVXNRPBUNXAT-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001690816997 1200731214 /nfs/dbraw/zinc/73/12/14/1200731214.db2.gz IFYKPDCGRWKTDJ-LJQANCHMSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ncsc1C(C)C ZINC001755261909 1200744829 /nfs/dbraw/zinc/74/48/29/1200744829.db2.gz ILLQZFSEJZOKPD-MNOVXSKESA-N 0 1 315.870 3.115 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)ccc1C ZINC001691798814 1200811320 /nfs/dbraw/zinc/81/13/20/1200811320.db2.gz QNJGMPGJDWJSIL-SFHVURJKSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H](F)C(C)C)CC(C)(C)C1 ZINC001691818773 1200822895 /nfs/dbraw/zinc/82/28/95/1200822895.db2.gz JUTCGNKTFTXTAA-KBPBESRZSA-N 0 1 318.864 3.340 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccc(Cl)o2)C1 ZINC001691841591 1200832152 /nfs/dbraw/zinc/83/21/52/1200832152.db2.gz FZIJLBKMAOQCJM-CYBMUJFWSA-N 0 1 310.825 3.436 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccc(C)c(C)n1 ZINC001755357276 1200838662 /nfs/dbraw/zinc/83/86/62/1200838662.db2.gz BSNKELXTSWQCBO-HNNXBMFYSA-N 0 1 323.868 3.329 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CCCC)CNCc2nccs2)C1 ZINC001755360275 1200842457 /nfs/dbraw/zinc/84/24/57/1200842457.db2.gz UONOMNBCGUUHIH-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001691864064 1200844548 /nfs/dbraw/zinc/84/45/48/1200844548.db2.gz FQJOCJCLKQVPLO-CVEARBPZSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001691893157 1200861797 /nfs/dbraw/zinc/86/17/97/1200861797.db2.gz NPNNDEQRLXSTDB-KGLIPLIRSA-N 0 1 300.874 3.249 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C/Cl)[C@H]2C1(C)C ZINC001691919445 1200871831 /nfs/dbraw/zinc/87/18/31/1200871831.db2.gz NUZMTQLIWKAMCM-WXWPUYFMSA-N 0 1 322.880 3.148 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CN(Cc2ccsc2)CC1(C)C ZINC001755408549 1200882809 /nfs/dbraw/zinc/88/28/09/1200882809.db2.gz XDHVOFITHPXLTJ-MRXNPFEDSA-N 0 1 318.486 3.268 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](CNCc1nccs1)C1CC1 ZINC001755565631 1200957276 /nfs/dbraw/zinc/95/72/76/1200957276.db2.gz CGJXHMKGHGPISQ-RHSMWYFYSA-N 0 1 321.490 3.072 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC(C)(C)C2CCCCC2)[C@H]1C ZINC001692221578 1200975077 /nfs/dbraw/zinc/97/50/77/1200975077.db2.gz VSZNPTCPSZDRHU-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(Cl)c(F)c1 ZINC001755606037 1200984763 /nfs/dbraw/zinc/98/47/63/1200984763.db2.gz JROVJQLFDVWMLG-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2ncsc2c1 ZINC001755613623 1200991206 /nfs/dbraw/zinc/99/12/06/1200991206.db2.gz FWHKQOLWPVWBCW-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2cccc(F)c2F)C1 ZINC001692273892 1201000042 /nfs/dbraw/zinc/00/00/42/1201000042.db2.gz ICHSXXHGADAORK-CYBMUJFWSA-N 0 1 322.399 3.053 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@@H](NCc2cc(C)no2)C1 ZINC001692322083 1201016846 /nfs/dbraw/zinc/01/68/46/1201016846.db2.gz JVUHODKAKXOPBS-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCN1CC(CNC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001692344437 1201029851 /nfs/dbraw/zinc/02/98/51/1201029851.db2.gz UMANIVIKMDFWIO-SFHVURJKSA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(OC)cc2)[C@@H]1C ZINC001755713519 1201033783 /nfs/dbraw/zinc/03/37/83/1201033783.db2.gz ACJNWOVONRNNLW-CRAIPNDOSA-N 0 1 316.445 3.131 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C)n1 ZINC001692356729 1201034902 /nfs/dbraw/zinc/03/49/02/1201034902.db2.gz WRIOOAKUQBRVTK-IRXDYDNUSA-N 0 1 315.461 3.259 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1csc(C(C)C)n1)C(C)C ZINC001755814839 1201079848 /nfs/dbraw/zinc/07/98/48/1201079848.db2.gz QOVQYCINADLAAA-UHFFFAOYSA-N 0 1 321.490 3.072 20 30 DGEDMN C#CCCCC(=O)N[C@H](CNC/C(Cl)=C\Cl)C(C)(C)C ZINC001755823713 1201088144 /nfs/dbraw/zinc/08/81/44/1201088144.db2.gz LJUWJWPJQVXFTG-CNELAYHGSA-N 0 1 319.276 3.229 20 30 DGEDMN C=CCCCC(=O)N[C@H](CNCc1ccc(F)cn1)C(C)(C)C ZINC001755830376 1201092651 /nfs/dbraw/zinc/09/26/51/1201092651.db2.gz LNVUPGCBPPZWSP-MRXNPFEDSA-N 0 1 321.440 3.198 20 30 DGEDMN CN(C(=O)CC(C)(C)C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698555170 1201226097 /nfs/dbraw/zinc/22/60/97/1201226097.db2.gz ACZLYNDQXFDNKB-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NC/C(Cl)=C\Cl ZINC001698613820 1201285667 /nfs/dbraw/zinc/28/56/67/1201285667.db2.gz PKKKDIATPBVIDG-RBDCFEFUSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001698571145 1201241901 /nfs/dbraw/zinc/24/19/01/1201241901.db2.gz LAQDQFLMAFPSRK-RTBURBONSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001698605868 1201275575 /nfs/dbraw/zinc/27/55/75/1201275575.db2.gz JWHDTNJPIDYTNQ-SJORKVTESA-N 0 1 320.864 3.417 20 30 DGEDMN CCC(=O)N[C@@]1(CNCc2ccccc2C#N)CCCC[C@H]1C ZINC001698657382 1201322397 /nfs/dbraw/zinc/32/23/97/1201322397.db2.gz ROFPCBGTWRTZQO-DNVCBOLYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CCNCc2coc(C)n2)C1 ZINC001698672540 1201328505 /nfs/dbraw/zinc/32/85/05/1201328505.db2.gz LKWGSRKMXHFLNC-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)CCC(=O)NCCC1CCN(Cc2ccns2)CC1 ZINC001698720966 1201360722 /nfs/dbraw/zinc/36/07/22/1201360722.db2.gz GHATUEIMISUZOU-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CCCC#CC)CC1 ZINC001698724209 1201365791 /nfs/dbraw/zinc/36/57/91/1201365791.db2.gz HKZCZJWUCKLDHI-UHFFFAOYSA-N 0 1 310.869 3.151 20 30 DGEDMN C=CCCCC(=O)N1CCC2(CCN(CC(=C)Cl)C2)CC1 ZINC001698734464 1201375199 /nfs/dbraw/zinc/37/51/99/1201375199.db2.gz AEBFOCIBSIDLEP-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNCc1cc(C(C)(C)C)on1 ZINC001698760143 1201395267 /nfs/dbraw/zinc/39/52/67/1201395267.db2.gz HEAXHROVOZRZOT-CYBMUJFWSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)CN[C@H](C)c2ncc(C)o2)CC1 ZINC001698764554 1201397957 /nfs/dbraw/zinc/39/79/57/1201397957.db2.gz GSFDEFARBXZQTA-UKRRQHHQSA-N 0 1 319.449 3.132 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1F ZINC001698824513 1201472676 /nfs/dbraw/zinc/47/26/76/1201472676.db2.gz MBVYOAOVAKBUCJ-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(F)cc(Cl)c1 ZINC001698862754 1201518160 /nfs/dbraw/zinc/51/81/60/1201518160.db2.gz KASSJIJBFANUMY-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001698864310 1201520893 /nfs/dbraw/zinc/52/08/93/1201520893.db2.gz RZGGHLHXWOOAFV-HOCLYGCPSA-N 0 1 322.880 3.363 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CNC/C(Cl)=C\Cl ZINC001698942107 1201592380 /nfs/dbraw/zinc/59/23/80/1201592380.db2.gz XEZNFPZIMSJOQQ-LACBQNOSSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C/C(C)(C)C)CC[C@H]21 ZINC001699006557 1201677693 /nfs/dbraw/zinc/67/76/93/1201677693.db2.gz CXXWYBLZULFNBH-VZLFFANISA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC3(C)CC3)CC[C@@H]21 ZINC001699021149 1201690363 /nfs/dbraw/zinc/69/03/63/1201690363.db2.gz OUXDZUKSHPUBJX-CABCVRRESA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)c(CC)s1 ZINC001750928529 1201725070 /nfs/dbraw/zinc/72/50/70/1201725070.db2.gz JOCMLTWZCPDMJZ-HNNXBMFYSA-N 0 1 318.486 3.179 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001750931798 1201725684 /nfs/dbraw/zinc/72/56/84/1201725684.db2.gz YYEQLPNXPKHAKA-SLFFLAALSA-N 0 1 324.468 3.126 20 30 DGEDMN CCCCCC(=O)N(CC)CCNCC#Cc1ccc(F)cc1 ZINC001699171618 1201739858 /nfs/dbraw/zinc/73/98/58/1201739858.db2.gz XCOLCEOTONEIQS-UHFFFAOYSA-N 0 1 318.436 3.196 20 30 DGEDMN Cc1ccc(F)c(CN(C)CCCNC(=O)C#CC(C)(C)C)c1 ZINC001751972670 1201760828 /nfs/dbraw/zinc/76/08/28/1201760828.db2.gz TWSGFCIMFNGTOR-UHFFFAOYSA-N 0 1 318.436 3.122 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C/C=C\c1ccc(F)cc1 ZINC001699275967 1201775899 /nfs/dbraw/zinc/77/58/99/1201775899.db2.gz VYQWYWAZTBMDHQ-IAROGAJJSA-N 0 1 322.811 3.244 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C/C=C/c1ccc(F)cc1 ZINC001699275970 1201776287 /nfs/dbraw/zinc/77/62/87/1201776287.db2.gz VYQWYWAZTBMDHQ-MQQKCMAXSA-N 0 1 322.811 3.244 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)Cc1ccccc1F ZINC001699306206 1201784689 /nfs/dbraw/zinc/78/46/89/1201784689.db2.gz FCYRLHHDLGJGBI-URWSZGRFSA-N 0 1 324.827 3.019 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccsc1Cl ZINC001699313594 1201787026 /nfs/dbraw/zinc/78/70/26/1201787026.db2.gz IMGKAQOJEUAXOV-NSCUHMNNSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)C1CCCCC1 ZINC001699380805 1201826859 /nfs/dbraw/zinc/82/68/59/1201826859.db2.gz CGAKBGPVCSZAAD-GXTWGEPZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)nc2ccccc21 ZINC001699388045 1201832807 /nfs/dbraw/zinc/83/28/07/1201832807.db2.gz PHNTUJVBPDOKBH-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC001752438935 1201838397 /nfs/dbraw/zinc/83/83/97/1201838397.db2.gz ASXMIRZCIPNZIX-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CCc2cccs2)CC1 ZINC001752441333 1201839779 /nfs/dbraw/zinc/83/97/79/1201839779.db2.gz FXUSFDQUTWZXQD-UHFFFAOYSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(C)c(F)c(C)c1)C1CC1 ZINC001752498460 1201857829 /nfs/dbraw/zinc/85/78/29/1201857829.db2.gz BECQRPZIVBQTIH-HNNXBMFYSA-N 0 1 324.827 3.293 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001699453709 1201890886 /nfs/dbraw/zinc/89/08/86/1201890886.db2.gz RKTWTLDPJIJPEF-OAHLLOKOSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)CC(F)(F)F ZINC001752823804 1201951153 /nfs/dbraw/zinc/95/11/53/1201951153.db2.gz KGRHRQHPRQHFTO-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001699589943 1201961040 /nfs/dbraw/zinc/96/10/40/1201961040.db2.gz YGUCSPPWJGCFNN-RPHSKFLZSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(CC(C)C)c[nH]1)C1CC1 ZINC001699591945 1201962726 /nfs/dbraw/zinc/96/27/26/1201962726.db2.gz GEHKXAOCOAAIRA-MRXNPFEDSA-N 0 1 323.868 3.064 20 30 DGEDMN C[C@H](CNC(=O)CC1CCCCC1)NCc1ccccc1C#N ZINC001752858971 1201979580 /nfs/dbraw/zinc/97/95/80/1201979580.db2.gz TZJXIPOTFWEONR-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C[C@@H](CNC(=O)CC1CCCCC1)NCc1ccccc1C#N ZINC001752858970 1201980647 /nfs/dbraw/zinc/98/06/47/1201980647.db2.gz TZJXIPOTFWEONR-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnc2ccccc2c1C ZINC001752888455 1201995123 /nfs/dbraw/zinc/99/51/23/1201995123.db2.gz ROOYORYXYDTAJY-GFCCVEGCSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H]2CCN2CCOC(C)C)CCCCC1 ZINC001699633920 1201996900 /nfs/dbraw/zinc/99/69/00/1201996900.db2.gz SFMYHUNEFPDSSQ-KRWDZBQOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc3c(c2)CCCC3)CC1 ZINC001699672070 1202027488 /nfs/dbraw/zinc/02/74/88/1202027488.db2.gz RMWZSXRKYMXPBH-UHFFFAOYSA-N 0 1 318.848 3.170 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCCF ZINC001699687423 1202034375 /nfs/dbraw/zinc/03/43/75/1202034375.db2.gz DXMAQNQVHJXOBY-HDICACEKSA-N 0 1 322.468 3.385 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccsc2)C1 ZINC001699712704 1202046976 /nfs/dbraw/zinc/04/69/76/1202046976.db2.gz FAZQLPXIYOZNMY-CQSZACIVSA-N 0 1 306.475 3.178 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001699713825 1202048270 /nfs/dbraw/zinc/04/82/70/1202048270.db2.gz LUPIVLCETGUBAJ-ZIFCJYIRSA-N 0 1 316.489 3.478 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CN(CCC2CCCC2)C1 ZINC001699718510 1202051103 /nfs/dbraw/zinc/05/11/03/1202051103.db2.gz STXGTLVGFLMBNL-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001699756979 1202067016 /nfs/dbraw/zinc/06/70/16/1202067016.db2.gz LFDPGRKUJOEWPA-ZBFHGGJFSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](CC)CC(C)C ZINC001699761980 1202070088 /nfs/dbraw/zinc/07/00/88/1202070088.db2.gz AELKIEAGBJXAPX-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCCCC(=O)NCCN(C)[C@@H](C)c1ccc(F)c(Cl)c1 ZINC001753047580 1202080633 /nfs/dbraw/zinc/08/06/33/1202080633.db2.gz MVRPXTXPIZWDAJ-ZDUSSCGKSA-N 0 1 324.827 3.392 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC23CCC3)CC1 ZINC001699863833 1202119591 /nfs/dbraw/zinc/11/95/91/1202119591.db2.gz CHECJHCLHBFGNY-AWEZNQCLSA-N 0 1 310.869 3.147 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C1CCC(CCCC)CC1 ZINC001753093975 1202125327 /nfs/dbraw/zinc/12/53/27/1202125327.db2.gz QOZSHTDFTCPUBE-UHFFFAOYSA-N 0 1 306.494 3.444 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@]1(C)CCCN(C/C=C/Cl)C1 ZINC001699872452 1202127365 /nfs/dbraw/zinc/12/73/65/1202127365.db2.gz SFAAJXFVQMDSBT-BHMZLHOFSA-N 0 1 310.869 3.007 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc(Cl)c2C)C1 ZINC001699871757 1202128853 /nfs/dbraw/zinc/12/88/53/1202128853.db2.gz FBDKEDXJAWRZRA-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc3c(cccc3C)o2)C1 ZINC001699878837 1202134365 /nfs/dbraw/zinc/13/43/65/1202134365.db2.gz CMRPOPLHNOLMDE-FQEVSTJZSA-N 0 1 324.424 3.206 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc(Cl)ccc2C)C1 ZINC001699877720 1202134387 /nfs/dbraw/zinc/13/43/87/1202134387.db2.gz DSGCNRFSLKEOKP-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001699879537 1202135174 /nfs/dbraw/zinc/13/51/74/1202135174.db2.gz RIBOXFQXTPLGMC-XEVCZEQESA-N 0 1 316.489 3.054 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC[C@H](C)CC)C1 ZINC001699886695 1202136673 /nfs/dbraw/zinc/13/66/73/1202136673.db2.gz GEUGIGWEDAJCQQ-CABCVRRESA-N 0 1 316.436 3.462 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)CC(C)(C)c1ccc(F)cc1 ZINC001753106857 1202138481 /nfs/dbraw/zinc/13/84/81/1202138481.db2.gz UFWQKQMBQBMIOP-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC[N@H+]1Cc1ccc2cc[nH]c2c1 ZINC001753106366 1202138658 /nfs/dbraw/zinc/13/86/58/1202138658.db2.gz WWRHBESETQVEOU-GOSISDBHSA-N 0 1 323.440 3.052 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1CCC1)c1ccccc1CC ZINC001699933445 1202140572 /nfs/dbraw/zinc/14/05/72/1202140572.db2.gz MGSDPTLWOBGMJT-IBGZPJMESA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H]1C=CCCC1)c1ccccc1CC ZINC001699936003 1202141295 /nfs/dbraw/zinc/14/12/95/1202141295.db2.gz VLMKWTCPJCMJFA-FXAWDEMLSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001753111881 1202142829 /nfs/dbraw/zinc/14/28/29/1202142829.db2.gz QRGXFRUCYRHJAX-MJGOQNOKSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001753115513 1202145845 /nfs/dbraw/zinc/14/58/45/1202145845.db2.gz DQRCGAYPZHYPJJ-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001753131796 1202153440 /nfs/dbraw/zinc/15/34/40/1202153440.db2.gz CUOUSDACEKQROB-AZUAARDMSA-N 0 1 324.468 3.079 20 30 DGEDMN C#CCN(CCNC(=O)c1ccc(C(C)(C)CC)cc1)C1CC1 ZINC001753155419 1202173509 /nfs/dbraw/zinc/17/35/09/1202173509.db2.gz LWOLOPRHCDPRFR-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN CN(CCNCC#Cc1ccc(Cl)cc1)C(=O)CC(C)(C)C ZINC001753170057 1202179863 /nfs/dbraw/zinc/17/98/63/1202179863.db2.gz HGVGUWHBSPXYDV-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCCCC)cccc2C1 ZINC001700123380 1202184840 /nfs/dbraw/zinc/18/48/40/1202184840.db2.gz RWLBYNDBOAQYKY-UHFFFAOYSA-N 0 1 300.446 3.427 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCc3ccco3)cccc2C1 ZINC001700123497 1202185331 /nfs/dbraw/zinc/18/53/31/1202185331.db2.gz WLYRVNJEINSSNK-UHFFFAOYSA-N 0 1 324.424 3.073 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2c(C)onc2CC)CCC1 ZINC001700131521 1202187523 /nfs/dbraw/zinc/18/75/23/1202187523.db2.gz AWTVREJCUTVKET-UHFFFAOYSA-N 0 1 319.449 3.030 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)N(C)CCNCc1ccccc1C#N ZINC001753251744 1202190042 /nfs/dbraw/zinc/19/00/42/1202190042.db2.gz YEQBWLAAJYSIGL-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(Cl)cc1Cl ZINC001753255189 1202190050 /nfs/dbraw/zinc/19/00/50/1202190050.db2.gz HRNQVKFMVWCKMJ-UHFFFAOYSA-N 0 1 321.635 3.408 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(CC)cc1 ZINC001753305677 1202201466 /nfs/dbraw/zinc/20/14/66/1202201466.db2.gz RMYULOLBOSBMKJ-BGYRXZFFSA-N 0 1 324.468 3.228 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccsc2COC)C1 ZINC001753316914 1202207056 /nfs/dbraw/zinc/20/70/56/1202207056.db2.gz DAMNKWCQWWHWRD-AWEZNQCLSA-N 0 1 322.474 3.007 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@H](C)c2ccco2)C1 ZINC001753340269 1202219059 /nfs/dbraw/zinc/21/90/59/1202219059.db2.gz ZXRXVSCUDKXHIZ-ZFWWWQNUSA-N 0 1 324.852 3.354 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1C[C@H](NCc2ccccc2C#N)[C@@H](C)C1 ZINC001700263409 1202220795 /nfs/dbraw/zinc/22/07/95/1202220795.db2.gz JLKGYDYVHRZBNZ-YLKCGPEDSA-N 0 1 323.440 3.017 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001700311480 1202231582 /nfs/dbraw/zinc/23/15/82/1202231582.db2.gz OABDBBOTKULYAL-LHLFPCQESA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001753366933 1202232226 /nfs/dbraw/zinc/23/22/26/1202232226.db2.gz QASSZHPTDMHHCD-HZPDHXFCSA-N 0 1 312.885 3.201 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C/C=C/Cl)[C@H](C)C1 ZINC001700316417 1202234373 /nfs/dbraw/zinc/23/43/73/1202234373.db2.gz UPZPNWNXPNRKTG-ZVYLKZBJSA-N 0 1 310.869 3.292 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1(CC)CC1 ZINC001700329484 1202238783 /nfs/dbraw/zinc/23/87/83/1202238783.db2.gz DYFQIDNUAXSTQN-GOSISDBHSA-N 0 1 312.457 3.468 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)C1CC1 ZINC001700329505 1202239196 /nfs/dbraw/zinc/23/91/96/1202239196.db2.gz FPQOXZFJKYELOF-LJQANCHMSA-N 0 1 324.468 3.162 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001753401858 1202242552 /nfs/dbraw/zinc/24/25/52/1202242552.db2.gz TWVQABIKEGXJOU-UHFFFAOYSA-N 0 1 324.468 3.162 20 30 DGEDMN CC#CCCCC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001753408246 1202243428 /nfs/dbraw/zinc/24/34/28/1202243428.db2.gz JPKYYRWCTDEAIW-UHFFFAOYSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001753408916 1202244030 /nfs/dbraw/zinc/24/40/30/1202244030.db2.gz DRNSDAYXYRWDJF-DBBZSVRUSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc3cc[nH]c3c2)C1 ZINC001753410597 1202244792 /nfs/dbraw/zinc/24/47/92/1202244792.db2.gz KEVJXMZDTZCHDW-UHFFFAOYSA-N 0 1 311.429 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001753437615 1202254980 /nfs/dbraw/zinc/25/49/80/1202254980.db2.gz XPVNSSXQLBWUCS-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001700384742 1202257175 /nfs/dbraw/zinc/25/71/75/1202257175.db2.gz AMOOYIFULVDXKU-KBPBESRZSA-N 0 1 319.424 3.260 20 30 DGEDMN Cc1ccccc1C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001700386555 1202257688 /nfs/dbraw/zinc/25/76/88/1202257688.db2.gz STBWPBSPUVSCEE-HZPDHXFCSA-N 0 1 321.424 3.163 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001753462237 1202264508 /nfs/dbraw/zinc/26/45/08/1202264508.db2.gz UBHXAXYVCXEKDO-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1csc(C)c1C ZINC001753475048 1202268888 /nfs/dbraw/zinc/26/88/88/1202268888.db2.gz IYSOXONTKMQSEV-GFCCVEGCSA-N 0 1 300.855 3.215 20 30 DGEDMN CC[C@@H](CNC(=O)C1(C)CCCC1)NCc1ccccc1C#N ZINC001753480723 1202270681 /nfs/dbraw/zinc/27/06/81/1202270681.db2.gz BIRFPINXNBMIAQ-KRWDZBQOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCCC(=O)N(C)C1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001700433323 1202271842 /nfs/dbraw/zinc/27/18/42/1202271842.db2.gz XHHIBRBLGREUJZ-QQFBHYJXSA-N 0 1 319.449 3.369 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001700436899 1202272581 /nfs/dbraw/zinc/27/25/81/1202272581.db2.gz SKPJFBDHLLGGAS-PVARCSIZSA-N 0 1 319.449 3.136 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@]1(C)CCc2ccccc21 ZINC001753498120 1202276046 /nfs/dbraw/zinc/27/60/46/1202276046.db2.gz PJLZBCPMSIGJKZ-CRAIPNDOSA-N 0 1 320.864 3.127 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2ocnc2C)CCCC1 ZINC001753497841 1202276060 /nfs/dbraw/zinc/27/60/60/1202276060.db2.gz KSSKEAJIXZYBJQ-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2cc(F)ccc2s1 ZINC001700488760 1202295365 /nfs/dbraw/zinc/29/53/65/1202295365.db2.gz FZLYKZNVXXUKPS-GFCCVEGCSA-N 0 1 318.417 3.114 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2CC3(CC3)C2)CC1 ZINC001753596730 1202296456 /nfs/dbraw/zinc/29/64/56/1202296456.db2.gz GOIHOMSALNGXLG-UHFFFAOYSA-N 0 1 310.869 3.147 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001700537251 1202309024 /nfs/dbraw/zinc/30/90/24/1202309024.db2.gz KWJXIEDBSOINEG-OAHLLOKOSA-N 0 1 318.486 3.187 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001700541934 1202309820 /nfs/dbraw/zinc/30/98/20/1202309820.db2.gz YOHURBMWWVAAQT-PYUISTEWSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001700541931 1202309946 /nfs/dbraw/zinc/30/99/46/1202309946.db2.gz YOHURBMWWVAAQT-CGQZWFTASA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001700555223 1202312700 /nfs/dbraw/zinc/31/27/00/1202312700.db2.gz PXEMCSFKPSTIJN-UONOGXRCSA-N 0 1 312.413 3.159 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001700576926 1202318342 /nfs/dbraw/zinc/31/83/42/1202318342.db2.gz SQMUWMBULJEYOD-MOPGFXCFSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(C)nc(C)c1 ZINC001753735149 1202324469 /nfs/dbraw/zinc/32/44/69/1202324469.db2.gz QAHHIPUJPKDKRY-MRXNPFEDSA-N 0 1 323.868 3.185 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@@H](C)NCc2cc(C)on2)C1 ZINC001753814282 1202338241 /nfs/dbraw/zinc/33/82/41/1202338241.db2.gz GSXDBGRFQUVLBH-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1ccc(C)cc1 ZINC001700756133 1202348805 /nfs/dbraw/zinc/34/88/05/1202348805.db2.gz ATBQYQOWGGZNLW-OAHLLOKOSA-N 0 1 308.853 3.117 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001700764647 1202350423 /nfs/dbraw/zinc/35/04/23/1202350423.db2.gz UABSHXYCZNWCFQ-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2cc(F)ccc2o1 ZINC001700767552 1202351319 /nfs/dbraw/zinc/35/13/19/1202351319.db2.gz LAHWYUBSQGGFOX-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C[C@@H](CN(C)C(=O)C(C)(C)C)NCC#Cc1cccc(Cl)c1 ZINC001700775876 1202353265 /nfs/dbraw/zinc/35/32/65/1202353265.db2.gz VNWFZRJCZQLOCX-AWEZNQCLSA-N 0 1 320.864 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2scnc2C)[C@H]1C ZINC001700881134 1202364508 /nfs/dbraw/zinc/36/45/08/1202364508.db2.gz NWDLLKYFCAYPQO-KBPBESRZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCC(C)(F)F)CC1(C)C ZINC001700921990 1202370359 /nfs/dbraw/zinc/37/03/59/1202370359.db2.gz RTHWYZFARIOBRV-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C2(C)CCCCCC2)CC1 ZINC001701049555 1202385161 /nfs/dbraw/zinc/38/51/61/1202385161.db2.gz CSDARFRVCTXCIU-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CC(C)=C(C)C)c(F)c1 ZINC001701067733 1202386672 /nfs/dbraw/zinc/38/66/72/1202386672.db2.gz RUFRCZFYRYJFMJ-UHFFFAOYSA-N 0 1 316.420 3.301 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001707049347 1202569140 /nfs/dbraw/zinc/56/91/40/1202569140.db2.gz HPDQPRMNFHOSEY-HKUYNNGSSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001707049357 1202569212 /nfs/dbraw/zinc/56/92/12/1202569212.db2.gz HPDQPRMNFHOSEY-PKOBYXMFSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CC1(CC(=O)N(C)C[C@H]2CCN2[C@H](C)COC)CCCCC1 ZINC001707052964 1202569642 /nfs/dbraw/zinc/56/96/42/1202569642.db2.gz GVOOZFVLAXPJMO-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC1(CC(=O)N2C[C@H]3CCN(CCF)C[C@H]3C2)CCCCC1 ZINC001707221561 1202576762 /nfs/dbraw/zinc/57/67/62/1202576762.db2.gz KIMITGRDKOBHKB-SJORKVTESA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1nccc2occc21 ZINC001707457818 1202586294 /nfs/dbraw/zinc/58/62/94/1202586294.db2.gz YYMCGAXREMQEEP-UHFFFAOYSA-N 0 1 321.808 3.022 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CCC(F)(F)F)CC1 ZINC001713093414 1202616549 /nfs/dbraw/zinc/61/65/49/1202616549.db2.gz PUOKPNZJDRLFFK-LLVKDONJSA-N 0 1 324.774 3.052 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CC2CCC1CC2)C1CC1 ZINC001713126306 1202619343 /nfs/dbraw/zinc/61/93/43/1202619343.db2.gz FDXMZNUARALNHE-BGHVQYPCSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCCCC1(C)C)C1CC1 ZINC001713126117 1202619415 /nfs/dbraw/zinc/61/94/15/1202619415.db2.gz AVRPWRQOKKNFSO-CABCVRRESA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](C)CCC(C)(C)C ZINC001713256626 1202632446 /nfs/dbraw/zinc/63/24/46/1202632446.db2.gz KZGFIHLSEZGORD-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001713258932 1202632609 /nfs/dbraw/zinc/63/26/09/1202632609.db2.gz IMPYBNHYLGIUFT-YOEHRIQHSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1sccc1F ZINC001713262226 1202632988 /nfs/dbraw/zinc/63/29/88/1202632988.db2.gz FJZODHYKJRQXQF-NSHDSACASA-N 0 1 316.829 3.224 20 30 DGEDMN C[C@H](CNC(=O)CC(C)(C)C)NCC#Cc1cccc(Cl)c1 ZINC001713295775 1202636757 /nfs/dbraw/zinc/63/67/57/1202636757.db2.gz IYIHFBVKUGEMNM-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001713307275 1202637965 /nfs/dbraw/zinc/63/79/65/1202637965.db2.gz MLWFLSPMPBOIJM-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CC)Cc1cccc(C(C)C)n1 ZINC001713490144 1202658534 /nfs/dbraw/zinc/65/85/34/1202658534.db2.gz UTBSWPBGAKOMLB-UHFFFAOYSA-N 0 1 317.477 3.355 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1cc(F)ccc1C ZINC001713501439 1202661068 /nfs/dbraw/zinc/66/10/68/1202661068.db2.gz VNBCEAOEERVZJW-KRWDZBQOSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001713515534 1202663832 /nfs/dbraw/zinc/66/38/32/1202663832.db2.gz WNRASFRAUJNQIW-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cc(Cl)ccc1OC ZINC001713596533 1202672923 /nfs/dbraw/zinc/67/29/23/1202672923.db2.gz XCLYFTSWGKBXAR-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1cc(F)ccc1F ZINC001713639161 1202674588 /nfs/dbraw/zinc/67/45/88/1202674588.db2.gz UURWXHZPGJBKFQ-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cccc(OC)c1)C(C)C ZINC001713695648 1202678189 /nfs/dbraw/zinc/67/81/89/1202678189.db2.gz CYTPCEKHVPYRHZ-UHFFFAOYSA-N 0 1 324.852 3.278 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CC12CCC2)c1ccc(C(C)C)cc1 ZINC001713696927 1202678274 /nfs/dbraw/zinc/67/82/74/1202678274.db2.gz BSPQAPZJRLHQFH-MOPGFXCFSA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(Cl)cc1)c1ccccc1 ZINC001713703920 1202678959 /nfs/dbraw/zinc/67/89/59/1202678959.db2.gz OQKAPELZIARLCA-QGZVFWFLSA-N 0 1 312.800 3.034 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC)CCCCC1)c1ccccc1 ZINC001713703555 1202678997 /nfs/dbraw/zinc/67/89/97/1202678997.db2.gz GGNADOJXJLBJKI-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(C)c2F)C1 ZINC001713715901 1202680587 /nfs/dbraw/zinc/68/05/87/1202680587.db2.gz GBAHBTOYARUBRF-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001713720070 1202681206 /nfs/dbraw/zinc/68/12/06/1202681206.db2.gz NHEUAVVIJHHQPA-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2coc3ccccc23)C1 ZINC001713845972 1202695364 /nfs/dbraw/zinc/69/53/64/1202695364.db2.gz HCTULZHICGCNQG-CQSZACIVSA-N 0 1 312.413 3.130 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H]2C=CCC2)CC1 ZINC001713854498 1202696101 /nfs/dbraw/zinc/69/61/01/1202696101.db2.gz UYCDJVLSSRQUHC-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001713857152 1202696241 /nfs/dbraw/zinc/69/62/41/1202696241.db2.gz JSSSLVJCNMAYOH-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC001713892978 1202700068 /nfs/dbraw/zinc/70/00/68/1202700068.db2.gz OTRHMHWZKRTIDA-GOSISDBHSA-N 0 1 316.420 3.217 20 30 DGEDMN Cc1ccc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC(C)C)c(C)c1 ZINC001713905153 1202701186 /nfs/dbraw/zinc/70/11/86/1202701186.db2.gz NJPBHLFNZCJHFW-BGYRXZFFSA-N 0 1 324.468 3.138 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CCCCC ZINC001713912370 1202701594 /nfs/dbraw/zinc/70/15/94/1202701594.db2.gz VXZFKVZUKFVYCM-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001713925572 1202702702 /nfs/dbraw/zinc/70/27/02/1202702702.db2.gz GECWZCGDBODLJV-OAHLLOKOSA-N 0 1 318.436 3.019 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C/C=C/c1ccc(F)cc1 ZINC001714082125 1202765793 /nfs/dbraw/zinc/76/57/93/1202765793.db2.gz HTVYBMUPXRZXJR-DWTRPJMMSA-N 0 1 324.827 3.466 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(F)cc1F ZINC001714117818 1202788158 /nfs/dbraw/zinc/78/81/58/1202788158.db2.gz DOZOWLBDKNMJBS-DZGCQCFKSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccc(F)cc1F ZINC001714117821 1202788492 /nfs/dbraw/zinc/78/84/92/1202788492.db2.gz DOZOWLBDKNMJBS-ZFWWWQNUSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001714123767 1202790825 /nfs/dbraw/zinc/79/08/25/1202790825.db2.gz UDAVDMLJQSMVLL-KIWPFMIBSA-N 0 1 305.249 3.242 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(C3CC3)CC2)C1 ZINC001714150585 1202805478 /nfs/dbraw/zinc/80/54/78/1202805478.db2.gz MXXAZQWBMOHVBJ-KBPBESRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(C3CC3)CC2)C1 ZINC001714150588 1202805574 /nfs/dbraw/zinc/80/55/74/1202805574.db2.gz MXXAZQWBMOHVBJ-ZIAGYGMSSA-N 0 1 310.869 3.146 20 30 DGEDMN CC1=C(C)C[C@H](C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CC1 ZINC001714234905 1202841564 /nfs/dbraw/zinc/84/15/64/1202841564.db2.gz OBCUGXKPVPAZJZ-UYAOXDASSA-N 0 1 324.468 3.269 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001714248373 1202847374 /nfs/dbraw/zinc/84/73/74/1202847374.db2.gz DTXDKUHQLZQNRG-KBXCAEBGSA-N 0 1 319.424 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001714261066 1202852033 /nfs/dbraw/zinc/85/20/33/1202852033.db2.gz CMWHYWKNWLEKOZ-VXGBXAGGSA-N 0 1 310.388 3.332 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001714270106 1202856448 /nfs/dbraw/zinc/85/64/48/1202856448.db2.gz WEJWNKOWPLUQGX-AWEZNQCLSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1cc(F)ccc1OC ZINC001714269038 1202856517 /nfs/dbraw/zinc/85/65/17/1202856517.db2.gz CJYAFARCYKKPHW-UGSOOPFHSA-N 0 1 322.424 3.031 20 30 DGEDMN CC[C@H](CC(=O)NC[C@@H](C)NCC#Cc1ccccc1)C(C)C ZINC001714299011 1202870076 /nfs/dbraw/zinc/87/00/76/1202870076.db2.gz HQVOHBUZQQVJMW-IEBWSBKVSA-N 0 1 314.473 3.205 20 30 DGEDMN CC[C@H](CC(=O)NC[C@H](C)NCC#Cc1ccccc1)C(C)C ZINC001714299014 1202870206 /nfs/dbraw/zinc/87/02/06/1202870206.db2.gz HQVOHBUZQQVJMW-PKOBYXMFSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2cccc(F)c2o1 ZINC001714303492 1202873179 /nfs/dbraw/zinc/87/31/79/1202873179.db2.gz CQXVCYOIRLQCEH-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1 ZINC001714321114 1202881048 /nfs/dbraw/zinc/88/10/48/1202881048.db2.gz NUAHMMDFPLCIID-FVQHAEBGSA-N 0 1 318.848 3.191 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)Cc2occc2C)CC1 ZINC001714329945 1202885286 /nfs/dbraw/zinc/88/52/86/1202885286.db2.gz QZQZEGBCWSGRKG-UHFFFAOYSA-N 0 1 324.852 3.101 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001714469318 1202952653 /nfs/dbraw/zinc/95/26/53/1202952653.db2.gz DSTJWJVDZNROAZ-INIZCTEOSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccncc2Cl)CCCC1 ZINC001714490037 1202959873 /nfs/dbraw/zinc/95/98/73/1202959873.db2.gz KJGGOBDVVJPTPL-UHFFFAOYSA-N 0 1 321.852 3.220 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2nccs2)CCCC1 ZINC001714497363 1202962885 /nfs/dbraw/zinc/96/28/85/1202962885.db2.gz QDKFADAAJYKZTQ-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN Cc1cc(Cl)ccc1CN(C)CCNC(=O)C#CC(C)(C)C ZINC001714534543 1202983885 /nfs/dbraw/zinc/98/38/85/1202983885.db2.gz KUBHCWQSIZNOPT-UHFFFAOYSA-N 0 1 320.864 3.246 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)/C=C\C(C)(C)C ZINC001714562243 1203005371 /nfs/dbraw/zinc/00/53/71/1203005371.db2.gz KDDUOXZKMBSYIX-JQPIKURYSA-N 0 1 313.445 3.097 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)/C=C\C(C)(C)C ZINC001714562247 1203005619 /nfs/dbraw/zinc/00/56/19/1203005619.db2.gz KDDUOXZKMBSYIX-WOLCWHLNSA-N 0 1 313.445 3.097 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC001714593699 1203033323 /nfs/dbraw/zinc/03/33/23/1203033323.db2.gz MSPVRKRRZVYCRY-SJORKVTESA-N 0 1 306.494 3.300 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(CC)c(CCC)s1 ZINC001714600771 1203039787 /nfs/dbraw/zinc/03/97/87/1203039787.db2.gz UZXRYJZLWNMUOK-UHFFFAOYSA-N 0 1 320.502 3.338 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001714624636 1203059036 /nfs/dbraw/zinc/05/90/36/1203059036.db2.gz YOXUQJZIXXHHTI-QFBILLFUSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001714645399 1203071358 /nfs/dbraw/zinc/07/13/58/1203071358.db2.gz NDJMXYRCOPQCSJ-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCNCc1cc(F)ccc1F ZINC001714746808 1203115798 /nfs/dbraw/zinc/11/57/98/1203115798.db2.gz DWUNANLZRJJNPG-CYBMUJFWSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1c(Cl)cccc1Cl ZINC001714783008 1203126164 /nfs/dbraw/zinc/12/61/64/1203126164.db2.gz DLYCIZBENSVLAM-UHFFFAOYSA-N 0 1 321.635 3.408 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H](C)c1cccnc1)C(C)C ZINC001714830510 1203141803 /nfs/dbraw/zinc/14/18/03/1203141803.db2.gz MVRGZZNKGGBJIN-OAHLLOKOSA-N 0 1 323.868 3.154 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001714859736 1203159507 /nfs/dbraw/zinc/15/95/07/1203159507.db2.gz AOBUYPXJZFIFHV-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001714861538 1203160796 /nfs/dbraw/zinc/16/07/96/1203160796.db2.gz JLXOAOFKASVKDV-HKUYNNGSSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2ccc(Cl)nc2)C1 ZINC001714863434 1203163102 /nfs/dbraw/zinc/16/31/02/1203163102.db2.gz JTOHKOJECPBATD-AWEZNQCLSA-N 0 1 321.852 3.029 20 30 DGEDMN C=Cc1ccc(C(=O)N(CCC)[C@@H]2CCN(CC#CC)C2)cc1 ZINC001714872972 1203169454 /nfs/dbraw/zinc/16/94/54/1203169454.db2.gz OWDUOGBZHOZDSC-LJQANCHMSA-N 0 1 310.441 3.279 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001714873649 1203170298 /nfs/dbraw/zinc/17/02/98/1203170298.db2.gz KOFARRWWBPETIF-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC(C)(C)C2CC2)C1 ZINC001714875662 1203171599 /nfs/dbraw/zinc/17/15/99/1203171599.db2.gz WRKDECGCDYQQRZ-AWEZNQCLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)ccc2F)C1 ZINC001714883607 1203176320 /nfs/dbraw/zinc/17/63/20/1203176320.db2.gz UCHVNYSSBOEEQI-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001714896412 1203183902 /nfs/dbraw/zinc/18/39/02/1203183902.db2.gz PHBOFHSQORAOMT-HOTGVXAUSA-N 0 1 319.449 3.181 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001714936564 1203206467 /nfs/dbraw/zinc/20/64/67/1203206467.db2.gz VUTUWBPUKVWIPN-CRAIPNDOSA-N 0 1 322.493 3.269 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(F)cc2Cl)C1 ZINC001714950894 1203213551 /nfs/dbraw/zinc/21/35/51/1203213551.db2.gz DACUYPMMXNSAHK-LLVKDONJSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3cc4ccccc4o3)C2)C1 ZINC001714973069 1203222317 /nfs/dbraw/zinc/22/23/17/1203222317.db2.gz CGVNBVUXFDAONS-UHFFFAOYSA-N 0 1 324.424 3.337 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001714976531 1203223237 /nfs/dbraw/zinc/22/32/37/1203223237.db2.gz CMFRXMHUBQLHRW-LJQANCHMSA-N 0 1 314.473 3.188 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)C1CCC1 ZINC001714989609 1203227726 /nfs/dbraw/zinc/22/77/26/1203227726.db2.gz NQOGJIFNLSAVRW-BZSNNMDCSA-N 0 1 322.493 3.057 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncoc1CC ZINC001714986921 1203227764 /nfs/dbraw/zinc/22/77/64/1203227764.db2.gz LOEIITCDEBEPQT-GJZGRUSLSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001715018930 1203240391 /nfs/dbraw/zinc/24/03/91/1203240391.db2.gz TWWAVKHMGKQUAX-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](CCC)c2ccccn2)C1 ZINC001715042360 1203249942 /nfs/dbraw/zinc/24/99/42/1203249942.db2.gz ITROGLZQGONLRL-IAGOWNOFSA-N 0 1 315.461 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(F)c(C)cc1F ZINC001715064093 1203260389 /nfs/dbraw/zinc/26/03/89/1203260389.db2.gz PQCIRPCYCRYULV-LLVKDONJSA-N 0 1 316.779 3.124 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2cccc(F)c2F)C1 ZINC001715072878 1203263130 /nfs/dbraw/zinc/26/31/30/1203263130.db2.gz OOJLKZDFHJKUFI-AWEZNQCLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001715072638 1203263190 /nfs/dbraw/zinc/26/31/90/1203263190.db2.gz FZMIKLFJQMYSNI-NUJGCVRESA-N 0 1 320.864 3.417 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)CN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001715220033 1203298127 /nfs/dbraw/zinc/29/81/27/1203298127.db2.gz IOHVHLMHOCJMKC-KGLIPLIRSA-N 0 1 321.465 3.341 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ncc(C)s2)CCCC1 ZINC001715304840 1203311279 /nfs/dbraw/zinc/31/12/79/1203311279.db2.gz PLXNSSHIJGNWQS-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C)(C)C1CCCC1 ZINC001715335550 1203318531 /nfs/dbraw/zinc/31/85/31/1203318531.db2.gz HHLUKZDXXPXQIS-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1ncc(C)s1 ZINC001715355653 1203322761 /nfs/dbraw/zinc/32/27/61/1203322761.db2.gz LGZGTDOHQQAWRP-DZGCQCFKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](F)CC1CCCCC1 ZINC001715374391 1203327890 /nfs/dbraw/zinc/32/78/90/1203327890.db2.gz WUFAEXUKPJOQEB-UKRRQHHQSA-N 0 1 318.864 3.484 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccsc1C(F)F ZINC001715376795 1203328297 /nfs/dbraw/zinc/32/82/97/1203328297.db2.gz RYARADIRLXMPMG-VIFPVBQESA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CCC(F)F ZINC001715393512 1203333335 /nfs/dbraw/zinc/33/33/35/1203333335.db2.gz UELPPZITDHZSRI-JQWIXIFHSA-N 0 1 308.800 3.001 20 30 DGEDMN CC(C)CCC(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001715509484 1203355835 /nfs/dbraw/zinc/35/58/35/1203355835.db2.gz CSKGACZBHYOELI-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1coc2cc(C)c(C)cc12 ZINC001715524854 1203360789 /nfs/dbraw/zinc/36/07/89/1203360789.db2.gz FWVCMLQXAYPYNX-UHFFFAOYSA-N 0 1 312.413 3.077 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCc1ccccc1F ZINC001715756828 1203388891 /nfs/dbraw/zinc/38/88/91/1203388891.db2.gz URUWQIYTXUUDAF-GOSISDBHSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)Cc1ccccc1 ZINC001715775351 1203391088 /nfs/dbraw/zinc/39/10/88/1203391088.db2.gz LVULLQDFCOBRSF-AWEZNQCLSA-N 0 1 308.853 3.056 20 30 DGEDMN C[C@H](C(=O)NC/C=C/CNCc1ccc(C#N)s1)C(C)(C)C ZINC001715841417 1203396724 /nfs/dbraw/zinc/39/67/24/1203396724.db2.gz ZGBJHYPBQKHNEY-URWSZGRFSA-N 0 1 319.474 3.064 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715933314 1203406344 /nfs/dbraw/zinc/40/63/44/1203406344.db2.gz OYBONZIJLPTTBE-MRXNPFEDSA-N 0 1 313.445 3.051 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001715990864 1203417148 /nfs/dbraw/zinc/41/71/48/1203417148.db2.gz WWAOBUBQQBTDJD-NWDGAFQWSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1ccccc1F ZINC001715998271 1203418420 /nfs/dbraw/zinc/41/84/20/1203418420.db2.gz SMSOSEDWKFVWSI-YPMHNXCESA-N 0 1 312.816 3.166 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2c(cccc2F)s1 ZINC001716000768 1203418874 /nfs/dbraw/zinc/41/88/74/1203418874.db2.gz FOEJRDSODLWWOU-UHFFFAOYSA-N 0 1 318.417 3.068 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CNCc2ocnc2C)CCCCC1 ZINC001716003525 1203419388 /nfs/dbraw/zinc/41/93/88/1203419388.db2.gz VNWJSYIMEOEJBP-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001716015550 1203423246 /nfs/dbraw/zinc/42/32/46/1203423246.db2.gz JQBHNTAWWNOXOK-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCN[C@@H](C)c1ncc(C)o1 ZINC001716177557 1203446219 /nfs/dbraw/zinc/44/62/19/1203446219.db2.gz ZJJKNNNFFJLJBV-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001716414341 1203469348 /nfs/dbraw/zinc/46/93/48/1203469348.db2.gz AIMSMVPIUPLUSE-WBVHZDCISA-N 0 1 322.880 3.411 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001716418865 1203469565 /nfs/dbraw/zinc/46/95/65/1203469565.db2.gz HPCKVJZSGZTRGK-HZPDHXFCSA-N 0 1 315.461 3.225 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001716434188 1203473182 /nfs/dbraw/zinc/47/31/82/1203473182.db2.gz OJYKOBXNWSKDLD-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001716436108 1203473766 /nfs/dbraw/zinc/47/37/66/1203473766.db2.gz LSXLREYDULEQMJ-ZQHYZAEZSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1cccc(C(C)C)n1 ZINC001716481622 1203485947 /nfs/dbraw/zinc/48/59/47/1203485947.db2.gz UJNMXDLIBSMMNO-MRXNPFEDSA-N 0 1 317.477 3.498 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001716481402 1203486112 /nfs/dbraw/zinc/48/61/12/1203486112.db2.gz PRLARNRGMBUMCW-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN(C)Cc2ccnc(Cl)c2)C1 ZINC001716495005 1203490981 /nfs/dbraw/zinc/49/09/81/1203490981.db2.gz DJJRCFGBOIOTGV-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN CCC[C@H](C)CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716677217 1203523344 /nfs/dbraw/zinc/52/33/44/1203523344.db2.gz RQRPAKHQHUCGOR-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)c2ccccc2F)CC1 ZINC001716696307 1203527013 /nfs/dbraw/zinc/52/70/13/1203527013.db2.gz DJNIOBZWUCFFFP-GFCCVEGCSA-N 0 1 324.827 3.310 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CC(C)C ZINC001716712675 1203530319 /nfs/dbraw/zinc/53/03/19/1203530319.db2.gz TWSHAYPSPNJJAD-KSZLIROESA-N 0 1 304.478 3.147 20 30 DGEDMN C#Cc1ccccc1CC(=O)N(C)C1CN(CCCCCC=C)C1 ZINC001716751602 1203537189 /nfs/dbraw/zinc/53/71/89/1203537189.db2.gz OELQVVPFOJAYFT-UHFFFAOYSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001716778590 1203541330 /nfs/dbraw/zinc/54/13/30/1203541330.db2.gz XLYQGVXDPJHEHW-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CC[C@@H](C)C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001716792373 1203543421 /nfs/dbraw/zinc/54/34/21/1203543421.db2.gz OZDMVMJZTQJORL-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN(Cc2cccc(Cl)c2)CC1 ZINC001716800956 1203545223 /nfs/dbraw/zinc/54/52/23/1203545223.db2.gz SRWGGBUPUQLQMH-UHFFFAOYSA-N 0 1 318.848 3.176 20 30 DGEDMN C#CCN(C(=O)/C=C\C(C)(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001716803993 1203545256 /nfs/dbraw/zinc/54/52/56/1203545256.db2.gz RYNMFHHCFVVMJB-LXQMTTSMSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCN(C(=O)[C@H]1CCC1(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001716809615 1203545381 /nfs/dbraw/zinc/54/53/81/1203545381.db2.gz NBNQOHXZXOAOHV-NVXWUHKLSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)CC(C)=C(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001716808079 1203545602 /nfs/dbraw/zinc/54/56/02/1203545602.db2.gz IUNHHSYSUKODFV-KRWDZBQOSA-N 0 1 322.468 3.407 20 30 DGEDMN CC(C)CCC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001716835467 1203550605 /nfs/dbraw/zinc/55/06/05/1203550605.db2.gz WMNOJOHWBHSJQK-IBGZPJMESA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001716844629 1203553127 /nfs/dbraw/zinc/55/31/27/1203553127.db2.gz VDORXRWAAXBLPY-CNBHCMJISA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCCCC(=O)NC[C@]1(C)CCCN(C/C=C\Cl)C1 ZINC001716898015 1203565251 /nfs/dbraw/zinc/56/52/51/1203565251.db2.gz NCWMASSSBDUTMG-NLZINVLXSA-N 0 1 310.869 3.151 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@@H]1C=CCC1)c1ccccc1CC ZINC001716954373 1203569493 /nfs/dbraw/zinc/56/94/93/1203569493.db2.gz SUSKZZKPOFSPCM-YLJYHZDGSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1(C)CC=CC1 ZINC001717113897 1203586006 /nfs/dbraw/zinc/58/60/06/1203586006.db2.gz SRKHMUHHBPDPDV-UHFFFAOYSA-N 0 1 310.441 3.114 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CCC3(C)CC3)C2)CC1 ZINC001717130509 1203589271 /nfs/dbraw/zinc/58/92/71/1203589271.db2.gz XGCYGNHOZPVQOD-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H]3CC[C@H]4C[C@H]43)cccc2C1 ZINC001717143679 1203590131 /nfs/dbraw/zinc/59/01/31/1203590131.db2.gz SOZOSBSJDPADDW-TWOQFEAHSA-N 0 1 324.468 3.283 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1(NCc2ccccc2F)CCC1 ZINC001717150156 1203591740 /nfs/dbraw/zinc/59/17/40/1203591740.db2.gz QIIVBAPFNAQIPV-UHFFFAOYSA-N 0 1 316.420 3.004 20 30 DGEDMN C=C1CCC(C(=O)NCC2(NCc3cncs3)CCC2)CC1 ZINC001717158494 1203592896 /nfs/dbraw/zinc/59/28/96/1203592896.db2.gz YDUPILUASHBEGT-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2nc(C)c(C)s2)CC1 ZINC001717191999 1203596421 /nfs/dbraw/zinc/59/64/21/1203596421.db2.gz XJOHRLYULDKERA-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001717206904 1203601377 /nfs/dbraw/zinc/60/13/77/1203601377.db2.gz UDCSUFANFGOWRA-KAMYIIQDSA-N 0 1 319.474 3.256 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H](C)N[C@@H](C)c1ncc(C)o1 ZINC001717341069 1203621563 /nfs/dbraw/zinc/62/15/63/1203621563.db2.gz KBYPRJVJMAILCX-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CCC1CCC1 ZINC001717404589 1203637375 /nfs/dbraw/zinc/63/73/75/1203637375.db2.gz LOEATVCTEHOOBU-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001717423698 1203641323 /nfs/dbraw/zinc/64/13/23/1203641323.db2.gz WHZKADFGGYFHDT-VXGBXAGGSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(C)C)cn1 ZINC001717427546 1203642151 /nfs/dbraw/zinc/64/21/51/1203642151.db2.gz ULMBTGWJKURDOM-STQMWFEESA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C)c2c1CCC2 ZINC001717440834 1203645001 /nfs/dbraw/zinc/64/50/01/1203645001.db2.gz FOKNZEUIJMECST-ZIAGYGMSSA-N 0 1 320.864 3.333 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001717489928 1203663102 /nfs/dbraw/zinc/66/31/02/1203663102.db2.gz CCZMENAFXMQXLY-CQSZACIVSA-N 0 1 320.864 3.077 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1cccnc1 ZINC001717539641 1203677314 /nfs/dbraw/zinc/67/73/14/1203677314.db2.gz ZKTIMPUQYLYZLX-GOSISDBHSA-N 0 1 315.461 3.299 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001717546632 1203679568 /nfs/dbraw/zinc/67/95/68/1203679568.db2.gz DVUDTZXMQNBAKN-LVMGUKCRSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001717559075 1203681971 /nfs/dbraw/zinc/68/19/71/1203681971.db2.gz DGAUTKRFUDBJPT-INIZCTEOSA-N 0 1 312.457 3.039 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@H](CC)c2ccccc2)C(C)(C)C1 ZINC001717587677 1203686926 /nfs/dbraw/zinc/68/69/26/1203686926.db2.gz JOOPRNXAWQATHR-FUHWJXTLSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001717703999 1203702721 /nfs/dbraw/zinc/70/27/21/1203702721.db2.gz FJVSLWBJEJLNKC-TZMCWYRMSA-N 0 1 300.874 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2ccccc2n1 ZINC001717751220 1203709945 /nfs/dbraw/zinc/70/99/45/1203709945.db2.gz QQDNKMRLSRYJHX-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2ccncc2Cl)C[C@@H]1C ZINC001717822676 1203716452 /nfs/dbraw/zinc/71/64/52/1203716452.db2.gz VOGSOHKWAHQYOM-ZFWWWQNUSA-N 0 1 321.852 3.170 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1cccc(C)c1)C(C)(C)C ZINC001717966810 1203737510 /nfs/dbraw/zinc/73/75/10/1203737510.db2.gz RIMHZBJMPGWOOU-INIZCTEOSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2cncs2)CC1 ZINC001717979467 1203739362 /nfs/dbraw/zinc/73/93/62/1203739362.db2.gz COQDACTWJBCGDF-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001723554417 1203982252 /nfs/dbraw/zinc/98/22/52/1203982252.db2.gz RNDIXNUSHJJSBS-ZDUSSCGKSA-N 0 1 305.249 3.146 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc([C@H](C)CC)cc1 ZINC001722917803 1203951724 /nfs/dbraw/zinc/95/17/24/1203951724.db2.gz XGXRRCMIMXVPPE-HZPDHXFCSA-N 0 1 300.446 3.274 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1oc2ccccc2c1CC ZINC001722928250 1203952902 /nfs/dbraw/zinc/95/29/02/1203952902.db2.gz WOINVLLENHCNTI-CQSZACIVSA-N 0 1 312.413 3.069 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001723423931 1203969896 /nfs/dbraw/zinc/96/98/96/1203969896.db2.gz SUWOENHUOBJFIQ-HXUWFJFHSA-N 0 1 324.468 3.448 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001723445198 1203973111 /nfs/dbraw/zinc/97/31/11/1203973111.db2.gz AIWBSHHWFCWEGD-SFHVURJKSA-N 0 1 312.457 3.078 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC1C2CC3CC(C2)CC1C3 ZINC001723480570 1203977643 /nfs/dbraw/zinc/97/76/43/1203977643.db2.gz BFCPYYABHNBBMN-OIAUPDTQSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@]2(C1)CCCN(CCF)C2 ZINC001723677828 1203988011 /nfs/dbraw/zinc/98/80/11/1203988011.db2.gz ALXTUABDOHOPGH-SFHVURJKSA-N 0 1 308.441 3.039 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@@]3(CCN(CCF)C3)C2)CCCCC1 ZINC001723721034 1203990471 /nfs/dbraw/zinc/99/04/71/1203990471.db2.gz HMNRRKRYRMTDLB-LJQANCHMSA-N 0 1 322.468 3.407 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C1CN(CC2CCCCCC2)C1 ZINC001723736981 1203990792 /nfs/dbraw/zinc/99/07/92/1203990792.db2.gz PQEUKBPLACTGQD-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001723741068 1203991283 /nfs/dbraw/zinc/99/12/83/1203991283.db2.gz ICQJLIDKBYJBHF-VTSXBNNFSA-N 0 1 322.880 3.247 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2CCC[C@@H](OC)C2)C1 ZINC001723790333 1203992915 /nfs/dbraw/zinc/99/29/15/1203992915.db2.gz FUKRGOTZUBKKCG-FUHWJXTLSA-N 0 1 324.509 3.305 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001723796247 1203993206 /nfs/dbraw/zinc/99/32/06/1203993206.db2.gz WMHVTSXXXRKHDI-HNNXBMFYSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccn2C(C)C)C1 ZINC001723815332 1203994375 /nfs/dbraw/zinc/99/43/75/1203994375.db2.gz OCSPYYJFMYKYIO-HNNXBMFYSA-N 0 1 303.450 3.180 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2cccc(F)c2)C1 ZINC001723815367 1203994484 /nfs/dbraw/zinc/99/44/84/1203994484.db2.gz PPYGALXDEKAHFV-UHFFFAOYSA-N 0 1 320.452 3.481 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C1CN(CCC(=C)C)C1)c1ccccc1 ZINC001723818852 1203994629 /nfs/dbraw/zinc/99/46/29/1203994629.db2.gz AWZLESUHXNMZPK-IBGZPJMESA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1nc(C)c(C)s1 ZINC001723937891 1203999108 /nfs/dbraw/zinc/99/91/08/1203999108.db2.gz PWVWPJJXVJYRNK-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2cnoc2C)CC1 ZINC001724005962 1204001190 /nfs/dbraw/zinc/00/11/90/1204001190.db2.gz JUJPZOVIJSYHLM-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C/c1ccco1)C1CCCC1 ZINC001724071671 1204002903 /nfs/dbraw/zinc/00/29/03/1204002903.db2.gz HQCQIOAZBNUBJQ-FDMDGMSGSA-N 0 1 322.836 3.310 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)co1)C1CCCC1 ZINC001724097833 1204004849 /nfs/dbraw/zinc/00/48/49/1204004849.db2.gz NCXPSPYHRFDLEQ-CQSZACIVSA-N 0 1 310.825 3.219 20 30 DGEDMN CC(C)CCC(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001724130322 1204006860 /nfs/dbraw/zinc/00/68/60/1204006860.db2.gz IRRVBEAXCAUTMQ-LJQANCHMSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001724175263 1204012012 /nfs/dbraw/zinc/01/20/12/1204012012.db2.gz WWYVNUNNFVOWJT-RDTXWAMCSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001724176232 1204012015 /nfs/dbraw/zinc/01/20/15/1204012015.db2.gz UGQUVWLZEFVHHX-HKUYNNGSSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CCCN(Cc2cnc(C)s2)C1 ZINC001724311511 1204020301 /nfs/dbraw/zinc/02/03/01/1204020301.db2.gz GGGCCNPGYOLAGZ-QGZVFWFLSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C2(C)CCCC2)C1 ZINC001724381574 1204025900 /nfs/dbraw/zinc/02/59/00/1204025900.db2.gz UVSIQGSZLGIZPR-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724500762 1204026248 /nfs/dbraw/zinc/02/62/48/1204026248.db2.gz HPFXHTWFQJLGIX-UAGQMJEPSA-N 0 1 322.880 3.476 20 30 DGEDMN C=CC(C)(C)CC(=O)NCc1ccccc1CN(C)CC#CC ZINC001724736726 1204041385 /nfs/dbraw/zinc/04/13/85/1204041385.db2.gz KCECTMVQGXXUJF-UHFFFAOYSA-N 0 1 312.457 3.360 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2F)CC1 ZINC001724833827 1204051197 /nfs/dbraw/zinc/05/11/97/1204051197.db2.gz ONUKDSQXOSAQKY-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C[C@H]1C=CCC1 ZINC001724893139 1204063494 /nfs/dbraw/zinc/06/34/94/1204063494.db2.gz JQFRXHSDCNOESJ-FXAWDEMLSA-N 0 1 324.468 3.462 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1Cc2ccccc2CN1CC(=C)C ZINC001724894491 1204064309 /nfs/dbraw/zinc/06/43/09/1204064309.db2.gz PWGFLDIZSSWVCX-HXUWFJFHSA-N 0 1 324.468 3.299 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(CCC)CCC)C[C@@H]1C ZINC001724941161 1204068169 /nfs/dbraw/zinc/06/81/69/1204068169.db2.gz BEGIXFHDXZDGJZ-WFASDCNBSA-N 0 1 300.874 3.392 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCN(Cc2ccccc2C#N)[C@H](C)C1 ZINC001724989666 1204075094 /nfs/dbraw/zinc/07/50/94/1204075094.db2.gz NQBSZMARFACSAJ-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC001725003690 1204078279 /nfs/dbraw/zinc/07/82/79/1204078279.db2.gz QZMDEVKHIIXFMT-KGLIPLIRSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)Cc1cnccc1C ZINC001725043166 1204084670 /nfs/dbraw/zinc/08/46/70/1204084670.db2.gz SJXWNILHEUWAHM-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CCCC)C2)C1 ZINC001725059845 1204087863 /nfs/dbraw/zinc/08/78/63/1204087863.db2.gz PSIUEZVLRBGGQS-HOTGVXAUSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1nccc2ccccc21 ZINC001725118267 1204098219 /nfs/dbraw/zinc/09/82/19/1204098219.db2.gz UVIRKXPYWPGWTQ-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1coc2ccc(CC)cc12 ZINC001725163263 1204108338 /nfs/dbraw/zinc/10/83/38/1204108338.db2.gz VWWMTSVFBXCLBA-CQSZACIVSA-N 0 1 312.413 3.069 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N(C)Cc2ccsc2)CC1 ZINC001725180122 1204112855 /nfs/dbraw/zinc/11/28/55/1204112855.db2.gz NVDCXRBLMLHULU-AWEZNQCLSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001725242379 1204125985 /nfs/dbraw/zinc/12/59/85/1204125985.db2.gz VXDJPTOEGFAGHL-TVRKMHQQSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(Cc2ccccn2)CC1(C)C ZINC001725286927 1204133019 /nfs/dbraw/zinc/13/30/19/1204133019.db2.gz TXBZHPITNLHGQE-MRXNPFEDSA-N 0 1 315.461 3.011 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1sccc1C ZINC001725454270 1204152822 /nfs/dbraw/zinc/15/28/22/1204152822.db2.gz UTWMDYKPXBSMMD-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1Cl ZINC001725475629 1204155532 /nfs/dbraw/zinc/15/55/32/1204155532.db2.gz FTLWMAYNOXMXDZ-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)c1F ZINC001725475423 1204155613 /nfs/dbraw/zinc/15/56/13/1204155613.db2.gz FASYVNGACUTMDI-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cccnc2C)[C@H]1C ZINC001725627220 1204185507 /nfs/dbraw/zinc/18/55/07/1204185507.db2.gz ORABEOSXLXMLFH-RDJZCZTQSA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC/C=C/c2ccccc2)[C@H]1C ZINC001725642255 1204188996 /nfs/dbraw/zinc/18/89/96/1204188996.db2.gz UZHCBOIQFYEWEM-ZXKUBQOYSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725748253 1204210964 /nfs/dbraw/zinc/21/09/64/1204210964.db2.gz MQEYBFSMFDAOFP-CRAIPNDOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725798856 1204224149 /nfs/dbraw/zinc/22/41/49/1204224149.db2.gz RAAZUQJIVZNTHT-GOEBONIOSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C(C)=C2CCCC2)CC1 ZINC001731384305 1204391079 /nfs/dbraw/zinc/39/10/79/1204391079.db2.gz PQMHHFVJVDQIAQ-UHFFFAOYSA-N 0 1 302.462 3.213 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1sccc1C1CC1)C1CC1 ZINC001731408581 1204401126 /nfs/dbraw/zinc/40/11/26/1204401126.db2.gz IAWPSBVUYWUIAF-CQSZACIVSA-N 0 1 324.877 3.476 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001731452819 1204416664 /nfs/dbraw/zinc/41/66/64/1204416664.db2.gz UQEPWCLPSRJWLI-ZQIUZPCESA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2)CCC1 ZINC001731539532 1204449619 /nfs/dbraw/zinc/44/96/19/1204449619.db2.gz WJEVMFIWKMXAOP-GOSISDBHSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001731541928 1204452253 /nfs/dbraw/zinc/45/22/53/1204452253.db2.gz HSXMIUKACLEVMA-ZFWWWQNUSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)c1ccccc1C ZINC001731623692 1204487721 /nfs/dbraw/zinc/48/77/21/1204487721.db2.gz QLJVIBQMQSZZPC-ZFWWWQNUSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(F)(F)F)cc1 ZINC001731631280 1204490765 /nfs/dbraw/zinc/49/07/65/1204490765.db2.gz PCPQNNKMIBVEAC-JTQLQIEISA-N 0 1 320.742 3.166 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@@H](NCc2coc(C)n2)C1 ZINC001731691143 1204506079 /nfs/dbraw/zinc/50/60/79/1204506079.db2.gz WCSXBCLTLHAYTI-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC001731712497 1204516736 /nfs/dbraw/zinc/51/67/36/1204516736.db2.gz RTXHMFRXFZLBKT-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@@H](C)c1ccccc1F ZINC001731819483 1204583728 /nfs/dbraw/zinc/58/37/28/1204583728.db2.gz RAUKKQNYZKSCQV-GJZGRUSLSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN1Cc1ccccc1F ZINC001731830776 1204590431 /nfs/dbraw/zinc/59/04/31/1204590431.db2.gz OGRONEXBNCUGAT-INIZCTEOSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001731831946 1204590484 /nfs/dbraw/zinc/59/04/84/1204590484.db2.gz JQBAATTWTYKLNH-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc2sccc2c1)C1CC1 ZINC001731883498 1204622740 /nfs/dbraw/zinc/62/27/40/1204622740.db2.gz HEZHWXKDRIPQAV-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001731895997 1204626968 /nfs/dbraw/zinc/62/69/68/1204626968.db2.gz YXIHDXFYDQPYFT-VIZOYTHASA-N 0 1 318.848 3.096 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CC=CC1)c1ccc(C(C)C)cc1 ZINC001732018198 1204650959 /nfs/dbraw/zinc/65/09/59/1204650959.db2.gz TZIFAENANBXDGX-LJQANCHMSA-N 0 1 310.441 3.156 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCCCC1(C)C)c1ccccc1 ZINC001732026779 1204654089 /nfs/dbraw/zinc/65/40/89/1204654089.db2.gz MYNWBGVUVPTLEN-ZWKOTPCHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CCc2ccsc2)C1 ZINC001732039155 1204661379 /nfs/dbraw/zinc/66/13/79/1204661379.db2.gz MZBISDRCJASJHW-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C2CC2)C2CC2)C1 ZINC001732060029 1204675618 /nfs/dbraw/zinc/67/56/18/1204675618.db2.gz VMKWRBGWDMSPFB-CYBMUJFWSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@H](C)CCC)C1 ZINC001732067238 1204677393 /nfs/dbraw/zinc/67/73/93/1204677393.db2.gz PMUWQHZFAPMKQW-UKRRQHHQSA-N 0 1 300.874 3.346 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC2CCCCCC2)C1 ZINC001732074008 1204680827 /nfs/dbraw/zinc/68/08/27/1204680827.db2.gz HTEKFRHVOXHVJU-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2c(C)coc2C)CC1 ZINC001732080829 1204684465 /nfs/dbraw/zinc/68/44/65/1204684465.db2.gz FNLKQVRNPNYOJC-UHFFFAOYSA-N 0 1 324.852 3.433 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3ccncc3c2)C1 ZINC001732114461 1204699251 /nfs/dbraw/zinc/69/92/51/1204699251.db2.gz NBRDJPYUHAVLQN-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1C ZINC001732143361 1204711940 /nfs/dbraw/zinc/71/19/40/1204711940.db2.gz QZWUJLBILVNZBB-IRXDYDNUSA-N 0 1 315.461 3.259 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCc2ccccc21 ZINC001732144746 1204712746 /nfs/dbraw/zinc/71/27/46/1204712746.db2.gz GSTDCGOUQXTSME-LNLFQRSKSA-N 0 1 310.441 3.315 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001732147115 1204713032 /nfs/dbraw/zinc/71/30/32/1204713032.db2.gz SMIMCKPXGGXOFZ-HOJAQTOUSA-N 0 1 324.468 3.187 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(Cl)ccc1F ZINC001732202878 1204747480 /nfs/dbraw/zinc/74/74/80/1204747480.db2.gz AHQZWOWIZRYAPY-LLVKDONJSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1nccc2ccsc21 ZINC001732204020 1204748001 /nfs/dbraw/zinc/74/80/01/1204748001.db2.gz SZHNLDGMQUGBHB-GFCCVEGCSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@H]1CCc2ccccc21 ZINC001732221289 1204757437 /nfs/dbraw/zinc/75/74/37/1204757437.db2.gz ZZYMPXHMPQSKJR-CVEARBPZSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001732310344 1204786317 /nfs/dbraw/zinc/78/63/17/1204786317.db2.gz PMNVEUWZZLBAHD-IIDMSEBBSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001732322778 1204791188 /nfs/dbraw/zinc/79/11/88/1204791188.db2.gz CNWXZYPDPFVWIE-GVDBMIGSSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H](C)CCC)CC2 ZINC001732330994 1204794992 /nfs/dbraw/zinc/79/49/92/1204794992.db2.gz UJIGCJTYMGJOSR-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001732425360 1204833733 /nfs/dbraw/zinc/83/37/33/1204833733.db2.gz FBKUGWMIUYWOHA-NNTXTVRGSA-N 0 1 324.827 3.418 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(C(C)C)n1 ZINC001732425729 1204833855 /nfs/dbraw/zinc/83/38/55/1204833855.db2.gz CNZMGKRLBZQTAP-CYBMUJFWSA-N 0 1 309.841 3.008 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1cc(O)ccc1Cl ZINC001732439716 1204841270 /nfs/dbraw/zinc/84/12/70/1204841270.db2.gz RRKBPRDQPISUHT-CYBMUJFWSA-N 0 1 324.852 3.338 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2cccnc2c1 ZINC001732446342 1204844885 /nfs/dbraw/zinc/84/48/85/1204844885.db2.gz NNJNHKCIMMKMJS-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1occ2c1CCC2 ZINC001732465333 1204856484 /nfs/dbraw/zinc/85/64/84/1204856484.db2.gz AMCWNDGDFJOTHC-AWEZNQCLSA-N 0 1 324.852 3.255 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001732610276 1204921470 /nfs/dbraw/zinc/92/14/70/1204921470.db2.gz RZYARQOSQSJKKM-HUUCEWRRSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cnc2ccccc2c1 ZINC001732797314 1204945044 /nfs/dbraw/zinc/94/50/44/1204945044.db2.gz DUJGYMLJWLQUGZ-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2nc(C)cs2)CCC1 ZINC001732795802 1204945232 /nfs/dbraw/zinc/94/52/32/1204945232.db2.gz UTHIOPGBGADCDM-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C[C@@H](NC/C=C\CNC(=O)C#CC(C)(C)C)c1ccccc1F ZINC001732850502 1204951644 /nfs/dbraw/zinc/95/16/44/1204951644.db2.gz VSHIOPBHEFLNDU-ZPIQOJFGSA-N 0 1 316.420 3.198 20 30 DGEDMN CC1(C)CCC[C@@H]1C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001732891417 1204955415 /nfs/dbraw/zinc/95/54/15/1204955415.db2.gz ZLPODSHKYZPDJO-OVKVCFKUSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001732936320 1204960776 /nfs/dbraw/zinc/96/07/76/1204960776.db2.gz JXLAWLSMZIAITA-PLNGDYQASA-N 0 1 310.800 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C)c(Cl)c1F ZINC001732964309 1204967126 /nfs/dbraw/zinc/96/71/26/1204967126.db2.gz DMRHWFLNEGXRMF-SNVBAGLBSA-N 0 1 319.207 3.248 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001733008555 1204979956 /nfs/dbraw/zinc/97/99/56/1204979956.db2.gz RELSBXPSUSVVEK-NWDGAFQWSA-N 0 1 310.388 3.332 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1coc2ccc(CC)cc12 ZINC001733037224 1204993481 /nfs/dbraw/zinc/99/34/81/1204993481.db2.gz DWEOYOWADULPRJ-AWEZNQCLSA-N 0 1 312.413 3.069 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc2c1CCCCC2 ZINC001733040644 1204995365 /nfs/dbraw/zinc/99/53/65/1204995365.db2.gz FVZYOOVGYZVKMD-MRXNPFEDSA-N 0 1 312.457 3.029 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001733048454 1205001073 /nfs/dbraw/zinc/00/10/73/1205001073.db2.gz LGTUMIFMHVTUEI-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(Cl)cs2)CC1 ZINC001733259009 1205072242 /nfs/dbraw/zinc/07/22/42/1205072242.db2.gz MXONLDDIAVJONX-UHFFFAOYSA-N 0 1 305.230 3.006 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001733287962 1205082993 /nfs/dbraw/zinc/08/29/93/1205082993.db2.gz SYOZDODLFVWDEM-ASRSSLEJSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(F)c2C)C1 ZINC001733305466 1205088656 /nfs/dbraw/zinc/08/86/56/1205088656.db2.gz SVOYHVBDALKOLS-CYBMUJFWSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(F)c(F)c2)C1 ZINC001733307041 1205089574 /nfs/dbraw/zinc/08/95/74/1205089574.db2.gz LGUFLQROYQIGSA-GFCCVEGCSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001733308512 1205090394 /nfs/dbraw/zinc/09/03/94/1205090394.db2.gz ZIKKNYKWPPBAHS-UHFFFAOYSA-N 0 1 316.489 3.478 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2cccs2)C1 ZINC001733318918 1205094738 /nfs/dbraw/zinc/09/47/38/1205094738.db2.gz ZCQMGSKHZIIRQJ-UHFFFAOYSA-N 0 1 306.475 3.180 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CCC)C1CCN(C/C=C/Cl)CC1 ZINC001733367290 1205107800 /nfs/dbraw/zinc/10/78/00/1205107800.db2.gz XYQVYWSCJZIWDQ-DHINHOHASA-N 0 1 324.896 3.491 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)CC)C1CCCC1 ZINC001733383625 1205112216 /nfs/dbraw/zinc/11/22/16/1205112216.db2.gz XIERPOKNJUJDPJ-SWLSCSKDSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001733415644 1205125758 /nfs/dbraw/zinc/12/57/58/1205125758.db2.gz GQFGIPAHKLMRGX-LJQANCHMSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](CC)CCC)C1 ZINC001733476929 1205149343 /nfs/dbraw/zinc/14/93/43/1205149343.db2.gz LUWAABLSEHKZEE-GJZGRUSLSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CCNCc2csc(C)n2)C1 ZINC001733650154 1205176836 /nfs/dbraw/zinc/17/68/36/1205176836.db2.gz HYPGSPUVUVTOPC-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C/C=C(\C)C=C)cccc2C1 ZINC001733708785 1205188817 /nfs/dbraw/zinc/18/88/17/1205188817.db2.gz JRNFRDXOHCUXHK-CXUHLZMHSA-N 0 1 310.441 3.369 20 30 DGEDMN C#CCCCCC(=O)NCC1(NCc2ccccc2F)CCC1 ZINC001733714652 1205191918 /nfs/dbraw/zinc/19/19/18/1205191918.db2.gz ROORQFJWRKOTMN-UHFFFAOYSA-N 0 1 316.420 3.148 20 30 DGEDMN CC/C(C)=C\C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001733717707 1205193104 /nfs/dbraw/zinc/19/31/04/1205193104.db2.gz ZYTVWIKFNVPEAX-PTNGSMBKSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc3cc[nH]c3c2)CCC1 ZINC001733723541 1205195207 /nfs/dbraw/zinc/19/52/07/1205195207.db2.gz KWYLREOLGNEEHG-UHFFFAOYSA-N 0 1 317.820 3.163 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001733762042 1205202264 /nfs/dbraw/zinc/20/22/64/1205202264.db2.gz FGQABVZADLALJX-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001733767407 1205204941 /nfs/dbraw/zinc/20/49/41/1205204941.db2.gz XBENDNMINLGINF-ZWKOTPCHSA-N 0 1 318.436 3.287 20 30 DGEDMN CC(C)CCC(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001733769170 1205206483 /nfs/dbraw/zinc/20/64/83/1205206483.db2.gz PHATVIRZFGOUNO-UHFFFAOYSA-N 0 1 321.490 3.336 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001733778825 1205215574 /nfs/dbraw/zinc/21/55/74/1205215574.db2.gz NKMVHAOWHWOPED-MRXNPFEDSA-N 0 1 315.461 3.131 20 30 DGEDMN C#CCC1(C(=O)N(C)CCCN(C)C/C=C/Cl)CCCCC1 ZINC001733781239 1205217047 /nfs/dbraw/zinc/21/70/47/1205217047.db2.gz GDHHEIDIWOONEX-MDWZMJQESA-N 0 1 324.896 3.493 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cnc(C)s2)[C@H](C)C1 ZINC001733900847 1205247296 /nfs/dbraw/zinc/24/72/96/1205247296.db2.gz QBWONRJMXSGDBE-UKRRQHHQSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(Cl)cc1C ZINC001733937318 1205265177 /nfs/dbraw/zinc/26/51/77/1205265177.db2.gz ZETGDPHJOIJJAX-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C(C1CCC1)C1CCC1 ZINC001733990103 1205280770 /nfs/dbraw/zinc/28/07/70/1205280770.db2.gz BYJHFUMYVWINNZ-CHWSQXEVSA-N 0 1 312.885 3.438 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001733992459 1205281742 /nfs/dbraw/zinc/28/17/42/1205281742.db2.gz WXBWQUKRRGANAD-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(c2ccccc2C)CC1 ZINC001734007014 1205286669 /nfs/dbraw/zinc/28/66/69/1205286669.db2.gz REFFHSZHGSAVFM-GJZGRUSLSA-N 0 1 320.864 3.262 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(Cc2cnccc2C)CC1(C)C ZINC001734146508 1205334064 /nfs/dbraw/zinc/33/40/64/1205334064.db2.gz SDXNTQMADGETKM-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001734149961 1205336211 /nfs/dbraw/zinc/33/62/11/1205336211.db2.gz NEXIZGBJCVASQT-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@H](CC(=O)N[C@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001734153525 1205337517 /nfs/dbraw/zinc/33/75/17/1205337517.db2.gz IFGJTAXPROYHBW-MJGOQNOKSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(C)c(C)o1 ZINC001734300698 1205359694 /nfs/dbraw/zinc/35/96/94/1205359694.db2.gz ZJAQJRZHOJYFRI-CQSZACIVSA-N 0 1 310.825 3.089 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@H](C)c1c(F)cccc1F ZINC001734316839 1205363555 /nfs/dbraw/zinc/36/35/55/1205363555.db2.gz LCRVRDBTDIYVOD-QWHCGFSZSA-N 0 1 310.388 3.429 20 30 DGEDMN C[C@H](CN(C)C(=O)C1(C)CCCC1)NCc1ccccc1C#N ZINC001734335646 1205366525 /nfs/dbraw/zinc/36/65/25/1205366525.db2.gz UNJKDFQFUIYWMI-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C[C@H]1C ZINC001734411307 1205373853 /nfs/dbraw/zinc/37/38/53/1205373853.db2.gz QXZLWCCSRCJLNN-IUODEOHRSA-N 0 1 308.372 3.010 20 30 DGEDMN CCCCCC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@H]1C ZINC001734414033 1205374202 /nfs/dbraw/zinc/37/42/02/1205374202.db2.gz WVHVQMJQWRAWKW-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001734457056 1205380871 /nfs/dbraw/zinc/38/08/71/1205380871.db2.gz IHOOHVYQRYJXLF-HIFRSBDPSA-N 0 1 306.475 3.429 20 30 DGEDMN C#CCN(C)CCN(C(=O)C/C=C/c1ccc(C)cc1)C(C)C ZINC001734552953 1205392108 /nfs/dbraw/zinc/39/21/08/1205392108.db2.gz ILCJBCULBMBDJQ-BQYQJAHWSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](C)c1ccc(CC)cc1)C(C)C ZINC001734554761 1205392969 /nfs/dbraw/zinc/39/29/69/1205392969.db2.gz ZYVDTLPRXDMKNH-QGZVFWFLSA-N 0 1 314.473 3.155 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001734599856 1205403865 /nfs/dbraw/zinc/40/38/65/1205403865.db2.gz OTFPZCCNWJRDJV-WLYUNCDWSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001666720183 1197279604 /nfs/dbraw/zinc/27/96/04/1197279604.db2.gz PCOXSOWMGHLATM-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)C[C@H]1C(=O)[O-] ZINC001589355878 954142257 /nfs/dbraw/zinc/14/22/57/954142257.db2.gz YXWXQGHQBVKXMA-UKRRQHHQSA-N 0 1 300.402 3.030 20 30 DGEDMN C=C(C)COc1cc(C[N@@H+](C)C(C)(C)CC(=O)[O-])ccc1OC ZINC001333136672 961653750 /nfs/dbraw/zinc/65/37/50/961653750.db2.gz OCQMNZFZJIQWSZ-UHFFFAOYSA-N 0 1 321.417 3.335 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](Cc2oc(Br)cc2C(=O)[O-])C1 ZINC001573352588 962990287 /nfs/dbraw/zinc/99/02/87/962990287.db2.gz GRGHHQCXYOAFCT-SECBINFHSA-N 0 1 314.179 3.138 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC001573368492 963081068 /nfs/dbraw/zinc/08/10/68/963081068.db2.gz MQSXCLMQIBWFNL-INIZCTEOSA-N 0 1 303.333 3.275 20 30 DGEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC001603608793 973871221 /nfs/dbraw/zinc/87/12/21/973871221.db2.gz CDIFCAZMRFWLNF-KRWDZBQOSA-N 0 1 305.805 3.268 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC001605619739 973940284 /nfs/dbraw/zinc/94/02/84/973940284.db2.gz HCHVVSGQADKWEE-QGZVFWFLSA-N 0 1 306.365 3.132 20 30 DGEDMN CC[C@H](CC#N)[NH2+]Cc1ccc(C(=O)[O-])cc1C(F)(F)F ZINC001595030873 979171096 /nfs/dbraw/zinc/17/10/96/979171096.db2.gz ZBJMRNBNLYRXOS-LLVKDONJSA-N 0 1 300.280 3.185 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1cc(F)ccc1C#N)CC1CC1 ZINC001594787347 981817797 /nfs/dbraw/zinc/81/77/97/981817797.db2.gz AWTAJAMTGWIQFN-KRWDZBQOSA-N 0 1 304.365 3.163 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])c(C)n1C)[C@@H]1CCc2ccccc21 ZINC001588469995 983504640 /nfs/dbraw/zinc/50/46/40/983504640.db2.gz YCGYIWMLIZWVRP-LJQANCHMSA-N 0 1 322.408 3.154 20 30 DGEDMN C#Cc1ccc(C[N@H+]2CCc3c(F)ccc(C(=O)[O-])c3C2)cc1 ZINC001588517278 983564022 /nfs/dbraw/zinc/56/40/22/983564022.db2.gz PTGWPYFUTOFLBY-UHFFFAOYSA-N 0 1 309.340 3.064 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H]1CCCC[C@@H]1C(=O)[O-] ZINC001588567310 983646646 /nfs/dbraw/zinc/64/66/46/983646646.db2.gz JOYHTKFXRPIZQR-PBHICJAKSA-N 0 1 321.417 3.041 20 30 DGEDMN CC[N@@H+](Cc1ccc(C(=O)[O-])cc1C(F)(F)F)C[C@@H](C)C#N ZINC001596357948 983831954 /nfs/dbraw/zinc/83/19/54/983831954.db2.gz UGJTZQIFBXKBPH-JTQLQIEISA-N 0 1 314.307 3.385 20 30 DGEDMN CC[N@H+](Cc1ccc(C(=O)[O-])cc1C(F)(F)F)C[C@@H](C)C#N ZINC001596357948 983831962 /nfs/dbraw/zinc/83/19/62/983831962.db2.gz UGJTZQIFBXKBPH-JTQLQIEISA-N 0 1 314.307 3.385 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)Cc1cc(Br)ccc1F ZINC001588729156 983986584 /nfs/dbraw/zinc/98/65/84/983986584.db2.gz OMIYAAVJTTXSCE-GFCCVEGCSA-N 0 1 316.170 3.049 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(OCC(F)(F)F)cc1 ZINC001588729345 983988736 /nfs/dbraw/zinc/98/87/36/983988736.db2.gz QDQDBNJLSKMPMA-ZDUSSCGKSA-N 0 1 317.307 3.089 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ccccc2O[C@H](C)C(=O)[O-])C1 ZINC001588772939 984145870 /nfs/dbraw/zinc/14/58/70/984145870.db2.gz RAABTZXGWIIAOW-HUUCEWRRSA-N 0 1 303.402 3.327 20 30 DGEDMN C=CCCCCC[N@@H+]1C[C@@H](c2ccc(O)cc2)[C@H](C(=O)[O-])C1 ZINC001588799796 984241703 /nfs/dbraw/zinc/24/17/03/984241703.db2.gz IONMIZXRTVYJBU-DLBZAZTESA-N 0 1 303.402 3.239 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1[C@@H]1CCCO1 ZINC001589554102 987297671 /nfs/dbraw/zinc/29/76/71/987297671.db2.gz UYIXYPSRLRGKKI-XHSDSOJGSA-N 0 1 322.449 3.051 20 30 DGEDMN CCc1[nH]c(C[N@@H+](CCC#N)CC(CC)CC)cc1C(=O)[O-] ZINC001597380337 987572709 /nfs/dbraw/zinc/57/27/09/987572709.db2.gz GLUTVZRAOALNCS-UHFFFAOYSA-N 0 1 305.422 3.427 20 30 DGEDMN CCc1[nH]c(C[N@H+](CCC#N)CC(CC)CC)cc1C(=O)[O-] ZINC001597380337 987572714 /nfs/dbraw/zinc/57/27/14/987572714.db2.gz GLUTVZRAOALNCS-UHFFFAOYSA-N 0 1 305.422 3.427 20 30 DGEDMN CC(C)(CC[N@H+]1CCC[C@@H]1[C@H](C#N)c1ccccc1)C(=O)[O-] ZINC001590670718 990374200 /nfs/dbraw/zinc/37/42/00/990374200.db2.gz IRFCQTMCSXPTEU-HZPDHXFCSA-N 0 1 300.402 3.259 20 30 DGEDMN CC(C)(CC[N@H+]1CCC[C@H]1[C@@H](C#N)c1ccccc1)C(=O)[O-] ZINC001590670717 990374311 /nfs/dbraw/zinc/37/43/11/990374311.db2.gz IRFCQTMCSXPTEU-HOTGVXAUSA-N 0 1 300.402 3.259 20 30 DGEDMN C[N@@H+](CCc1ccc(F)cc1)Cc1cccc(C(=O)[O-])c1C#N ZINC001598500415 992428678 /nfs/dbraw/zinc/42/86/78/992428678.db2.gz XTRNGTRAFADTDV-UHFFFAOYSA-N 0 1 312.344 3.070 20 30 DGEDMN COc1cccc([C@@H](C(=O)[O-])[N@@H+](C)CCCC(C)(C)C#N)c1 ZINC001599646632 994433984 /nfs/dbraw/zinc/43/39/84/994433984.db2.gz BWEAMDFGOXGXTO-HNNXBMFYSA-N 0 1 304.390 3.083 20 30 DGEDMN CN1CCCC[C@H]1c1ccc(NC=CC(=O)C(F)(F)F)nc1 ZINC001169475507 1081575299 /nfs/dbraw/zinc/57/52/99/1081575299.db2.gz OCYANTZYRKZWEP-GUOLPTJISA-N 0 1 313.323 3.295 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCc2ccc(C)s2)C1 ZINC001266254431 1081583666 /nfs/dbraw/zinc/58/36/66/1081583666.db2.gz IVPIDMAQQLBSHV-HNNXBMFYSA-N 0 1 306.475 3.146 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001266315450 1081659161 /nfs/dbraw/zinc/65/91/61/1081659161.db2.gz WEUZIRXEYOSPGR-KRWDZBQOSA-N 0 1 324.424 3.317 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001266336631 1081677864 /nfs/dbraw/zinc/67/78/64/1081677864.db2.gz SLKMNOFRNVFXEC-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(C)(C)C(F)F)CC1 ZINC001266377152 1081717236 /nfs/dbraw/zinc/71/72/36/1081717236.db2.gz PWPAEFONTLNDLS-NSHDSACASA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cc(C)cs2)CC1 ZINC001266386594 1081729134 /nfs/dbraw/zinc/72/91/34/1081729134.db2.gz LAIDOBOWVUGXIV-UHFFFAOYSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCCCCCCCN1Cc2ncn(C)c2[C@H](COC)C1 ZINC001209442202 1081755487 /nfs/dbraw/zinc/75/54/87/1081755487.db2.gz VSSACMIIVQLTLZ-INIZCTEOSA-N 0 1 305.466 3.492 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001266416567 1081774072 /nfs/dbraw/zinc/77/40/72/1081774072.db2.gz JQALESWWVDAKNY-QGZVFWFLSA-N 0 1 314.473 3.204 20 30 DGEDMN Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)c(C)c1 ZINC001109248769 1081789794 /nfs/dbraw/zinc/78/97/94/1081789794.db2.gz UWTCCPMVYRAENA-AABGKKOBSA-N 0 1 324.468 3.184 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C\Cc1ccccc1)C2 ZINC001109418796 1081829315 /nfs/dbraw/zinc/82/93/15/1081829315.db2.gz CKIBVZDWVCKVPB-CNGCZUSVSA-N 0 1 310.441 3.083 20 30 DGEDMN CCC(CC)C(=O)NC[C@H]1CCC[N@H+]1Cc1ccc(C#N)cc1 ZINC001266447761 1081833214 /nfs/dbraw/zinc/83/32/14/1081833214.db2.gz ZKTZGSIOIGJBMB-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC(CC)C(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001266447761 1081833225 /nfs/dbraw/zinc/83/32/25/1081833225.db2.gz ZKTZGSIOIGJBMB-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN COCCN(CCCc1ccccc1)Cc1cc(C#N)ccn1 ZINC001167271055 1081873830 /nfs/dbraw/zinc/87/38/30/1081873830.db2.gz UHWQVRRJEZTCHP-UHFFFAOYSA-N 0 1 309.413 3.034 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H](CNC(=O)c2ccccc2O)C1 ZINC001266500683 1081905303 /nfs/dbraw/zinc/90/53/03/1081905303.db2.gz VDHMSLOVSSQKIF-INIZCTEOSA-N 0 1 318.461 3.414 20 30 DGEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(CC)(CC)CC)C2 ZINC001109890218 1081928289 /nfs/dbraw/zinc/92/82/89/1081928289.db2.gz MNBRLVVCGAXKRM-IKGGRYGDSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccccc1Cl)C2 ZINC001110074203 1081959394 /nfs/dbraw/zinc/95/93/94/1081959394.db2.gz HZNDAWYVARERFS-PVAVHDDUSA-N 0 1 318.848 3.180 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2ccco2)CC1 ZINC001112609505 1081973271 /nfs/dbraw/zinc/97/32/71/1081973271.db2.gz KGCPKYLQTYJNHE-UHFFFAOYSA-N 0 1 306.450 3.327 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCOCC(C)C)CC1 ZINC001112641470 1081980537 /nfs/dbraw/zinc/98/05/37/1081980537.db2.gz XIQHEGDEJNBPNE-UHFFFAOYSA-N 0 1 312.498 3.164 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCN(CCCC2CCCC2)CC1 ZINC001112676528 1081985688 /nfs/dbraw/zinc/98/56/88/1081985688.db2.gz OOYBARZDTGYSKJ-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001112743662 1081995234 /nfs/dbraw/zinc/99/52/34/1081995234.db2.gz HPBSWGKHIPHONI-PIIMJCKOSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@@H]2CCCCO2)CC1 ZINC001112764186 1081998360 /nfs/dbraw/zinc/99/83/60/1081998360.db2.gz UHWJSCWKKJDCBS-KRWDZBQOSA-N 0 1 310.482 3.060 20 30 DGEDMN C#CCCCCC(=O)N1CCN(Cc2c(C)cccc2C)CC1 ZINC001112772826 1082000934 /nfs/dbraw/zinc/00/09/34/1082000934.db2.gz NRHDJLVIYNOUNV-UHFFFAOYSA-N 0 1 312.457 3.141 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1scnc1C ZINC001266569075 1082010006 /nfs/dbraw/zinc/01/00/06/1082010006.db2.gz YZNXOQIPYHQPQE-UHFFFAOYSA-N 0 1 323.506 3.382 20 30 DGEDMN C[C@@H]1CCC[C@@H](CCN2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001112834402 1082015362 /nfs/dbraw/zinc/01/53/62/1082015362.db2.gz LUYSRQKFYHAYAR-MSOLQXFVSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)[C@@H]2CCCCO2)CC1 ZINC001112860218 1082025883 /nfs/dbraw/zinc/02/58/83/1082025883.db2.gz WCOFABOIMICVCX-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(C)oc3ccccc32)CC1 ZINC001112877215 1082030666 /nfs/dbraw/zinc/03/06/66/1082030666.db2.gz AKQNXWKANMRWCQ-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC001112902136 1082044665 /nfs/dbraw/zinc/04/46/65/1082044665.db2.gz GQFYIOFENLVGJV-UHFFFAOYSA-N 0 1 315.417 3.265 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CCCCCCC)CC1)OCC ZINC001112908921 1082049399 /nfs/dbraw/zinc/04/93/99/1082049399.db2.gz IQEJYHRUCNCVQL-SFHVURJKSA-N 0 1 324.509 3.472 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CCC2CCCC2)CC1)OCC ZINC001112908857 1082049459 /nfs/dbraw/zinc/04/94/59/1082049459.db2.gz GZTXNHIHNTYDGY-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc2cscc2s1)C1CC1 ZINC001266602727 1082052563 /nfs/dbraw/zinc/05/25/63/1082052563.db2.gz RHRLEGHRJRDBOU-UHFFFAOYSA-N 0 1 318.467 3.180 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC(F)(F)C2)CC1 ZINC001112932093 1082054516 /nfs/dbraw/zinc/05/45/16/1082054516.db2.gz REECAUIATQCBJE-OAHLLOKOSA-N 0 1 314.420 3.312 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)[C@@H](C)COC)CC1 ZINC001112954950 1082061243 /nfs/dbraw/zinc/06/12/43/1082061243.db2.gz MQOIABOYUPMDGM-DLBZAZTESA-N 0 1 312.498 3.020 20 30 DGEDMN CC(C)c1nc2c(ncnc2NCc2ccc(C#N)c(F)c2)[nH]1 ZINC001170090432 1082065883 /nfs/dbraw/zinc/06/58/83/1082065883.db2.gz CGHRARBYQPNIFN-UHFFFAOYSA-N 0 1 310.336 3.099 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(Cc3ccccc3)CC2)CC1 ZINC001112972745 1082072822 /nfs/dbraw/zinc/07/28/22/1082072822.db2.gz YSWAWMXRZITRRZ-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2nccc3ccsc32)CC1 ZINC001112982637 1082078021 /nfs/dbraw/zinc/07/80/21/1082078021.db2.gz ZKJPWIZKWSHRNW-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)c(F)cc2F)CC1 ZINC001113001381 1082082004 /nfs/dbraw/zinc/08/20/04/1082082004.db2.gz VJPQBOSKLVLIFB-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2coc3cc(F)ccc32)CC1 ZINC001113053300 1082102308 /nfs/dbraw/zinc/10/23/08/1082102308.db2.gz DULYLHGNGXKNOB-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(Cl)ccc2F)CC1 ZINC001113081087 1082109453 /nfs/dbraw/zinc/10/94/53/1082109453.db2.gz NQKNONWBEGRMFG-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H]2CC(C)(C)CO2)CC1 ZINC001113093929 1082113836 /nfs/dbraw/zinc/11/38/36/1082113836.db2.gz KISKNWPCQYKNAP-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2c(F)cccc2F)CC1 ZINC001113102569 1082114756 /nfs/dbraw/zinc/11/47/56/1082114756.db2.gz QSGHRBMHQYMSQI-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC2=CCCCC2)C1 ZINC001086579682 1082120375 /nfs/dbraw/zinc/12/03/75/1082120375.db2.gz QNSPKNKJEPGWGC-VQTJNVASSA-N 0 1 322.452 3.094 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001113141612 1082123997 /nfs/dbraw/zinc/12/39/97/1082123997.db2.gz BISXRGYJWJPEII-MSOLQXFVSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1 ZINC001113137462 1082125209 /nfs/dbraw/zinc/12/52/09/1082125209.db2.gz VUYPHLWJQISQMR-XLAORIBOSA-N 0 1 304.478 3.312 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2ccccn2)CC1 ZINC001113183739 1082133616 /nfs/dbraw/zinc/13/36/16/1082133616.db2.gz VIMVDYQCBYPKPU-UHFFFAOYSA-N 0 1 317.477 3.129 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC2(CN(Cc3ccccc3)C2)C1 ZINC000706819782 1082140497 /nfs/dbraw/zinc/14/04/97/1082140497.db2.gz DAWXZRKDPRRHBF-UHFFFAOYSA-N 0 1 317.436 3.185 20 30 DGEDMN CC#CCCCC(=O)N1CCN(Cc2c(C)cccc2C)CC1 ZINC001113226043 1082142286 /nfs/dbraw/zinc/14/22/86/1082142286.db2.gz HUZXJSWRGMRHFK-UHFFFAOYSA-N 0 1 312.457 3.141 20 30 DGEDMN CC#CCCCC(=O)N1CCN(CC/C=C/c2ccccc2)CC1 ZINC001113232200 1082144953 /nfs/dbraw/zinc/14/49/53/1082144953.db2.gz HKWZIIPWDLPRMN-UKTHLTGXSA-N 0 1 324.468 3.428 20 30 DGEDMN C=CCCCC(=O)N1CCN(C[C@H]2CCCC(F)(F)C2)CC1 ZINC001113282119 1082163573 /nfs/dbraw/zinc/16/35/73/1082163573.db2.gz LIRLJCYPWVMCGG-HNNXBMFYSA-N 0 1 314.420 3.312 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CCc3c(Cl)cccc3C2)c1 ZINC001167458173 1082165358 /nfs/dbraw/zinc/16/53/58/1082165358.db2.gz RMNXDMNYEXDEGG-SFHVURJKSA-N 0 1 312.800 3.303 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001113353480 1082182823 /nfs/dbraw/zinc/18/28/23/1082182823.db2.gz GTPSBZGISHTORQ-AWEZNQCLSA-N 0 1 302.409 3.168 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001113325028 1082179902 /nfs/dbraw/zinc/17/99/02/1082179902.db2.gz UYXSGJGESVHBHD-IEBWSBKVSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2cccc(F)c2)CC1 ZINC001113338430 1082181134 /nfs/dbraw/zinc/18/11/34/1082181134.db2.gz CMYWIBHMUDSBSM-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)c2cccs2)CC1 ZINC001113338715 1082181146 /nfs/dbraw/zinc/18/11/46/1082181146.db2.gz KBWKUGOJFQKYFI-CABCVRRESA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(Cl)c(F)c2)CC1 ZINC001113380637 1082190858 /nfs/dbraw/zinc/19/08/58/1082190858.db2.gz QMJWMBHDYAQYRW-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(OC)c2C)CC1 ZINC001113383921 1082192607 /nfs/dbraw/zinc/19/26/07/1082192607.db2.gz ZIRYCOHFCYMVNA-HNNXBMFYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@@H]2CCCCO2)CC1 ZINC001113391792 1082194719 /nfs/dbraw/zinc/19/47/19/1082194719.db2.gz UGHGCXSSXTWAKC-SFHVURJKSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](CC)OC2CCCC2)CC1 ZINC001113392616 1082194835 /nfs/dbraw/zinc/19/48/35/1082194835.db2.gz LZXCIIQDNSHRSZ-FUHWJXTLSA-N 0 1 322.493 3.223 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001266770503 1082206113 /nfs/dbraw/zinc/20/61/13/1082206113.db2.gz XOAGBPIBTBORTC-INIZCTEOSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@H]2CCCOC2)CC1 ZINC001113473237 1082228029 /nfs/dbraw/zinc/22/80/29/1082228029.db2.gz QNFXRXDYZSVDOO-GOSISDBHSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2ccc(F)cc2F)CC1 ZINC001113486987 1082229569 /nfs/dbraw/zinc/22/95/69/1082229569.db2.gz IHBHHNFYCZVRND-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3cnccc3c2)CC1 ZINC001113497167 1082232054 /nfs/dbraw/zinc/23/20/54/1082232054.db2.gz HINPFIWAPIDAMM-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC001113542143 1082246021 /nfs/dbraw/zinc/24/60/21/1082246021.db2.gz PGJNYLNYCHLYTE-KRWDZBQOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2ccc(C)cc2)CC1 ZINC001113552692 1082248887 /nfs/dbraw/zinc/24/88/87/1082248887.db2.gz SHAIYXPAOHNYQR-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccc(C)cc2)CC1 ZINC001113552645 1082249168 /nfs/dbraw/zinc/24/91/68/1082249168.db2.gz QFYABBOVROQEMY-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccsc2C(F)F)CC1 ZINC001113592326 1082260165 /nfs/dbraw/zinc/26/01/65/1082260165.db2.gz IAAWWLTWYSVQRV-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(C)c2C)CC1 ZINC001113598864 1082262084 /nfs/dbraw/zinc/26/20/84/1082262084.db2.gz OGJPOQYOCSHUBX-MRXNPFEDSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(C)c(F)c(C)c2)CC1 ZINC001113639033 1082269689 /nfs/dbraw/zinc/26/96/89/1082269689.db2.gz PCZWBHUMWDVFAD-UHFFFAOYSA-N 0 1 304.409 3.167 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)Cc2ccccc2)CC1 ZINC001113659980 1082272300 /nfs/dbraw/zinc/27/23/00/1082272300.db2.gz PDRXQLOZABSVOE-MSOLQXFVSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](F)Cc2ccccc2)CC1 ZINC001113667120 1082273643 /nfs/dbraw/zinc/27/36/43/1082273643.db2.gz OZISTXBLCOTMMG-WMZOPIPTSA-N 0 1 318.436 3.066 20 30 DGEDMN C=CCCCN1CCN(C(=O)C23CC(c4ccccc4)(C2)C3)CC1 ZINC001113695140 1082281213 /nfs/dbraw/zinc/28/12/13/1082281213.db2.gz OBYWSXKIJBWTPP-UHFFFAOYSA-N 0 1 324.468 3.219 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CC3CCC2CC3)CC1 ZINC001113689365 1082282605 /nfs/dbraw/zinc/28/26/05/1082282605.db2.gz MUBBMYHLSLPUDQ-FCCDEINASA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CC3CCC2CC3)CC1 ZINC001113689362 1082282633 /nfs/dbraw/zinc/28/26/33/1082282633.db2.gz MUBBMYHLSLPUDQ-AQEOSJORSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCCC[C@H]2OC)CC1 ZINC001113703679 1082285433 /nfs/dbraw/zinc/28/54/33/1082285433.db2.gz HLOJCBSHHUHIKP-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001266877385 1082295139 /nfs/dbraw/zinc/29/51/39/1082295139.db2.gz RYEKRFWLCDAYIX-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001086608177 1082299854 /nfs/dbraw/zinc/29/98/54/1082299854.db2.gz SNHGRUKSFUCTME-RCLSDMTESA-N 0 1 324.468 3.337 20 30 DGEDMN C=CCC[C@@H](C)[NH2+]CCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001170356773 1082350463 /nfs/dbraw/zinc/35/04/63/1082350463.db2.gz OZDGVMXWCWCXET-HUUCEWRRSA-N 0 1 319.449 3.020 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1ccc(F)cc1Cl ZINC001266966842 1082385086 /nfs/dbraw/zinc/38/50/86/1082385086.db2.gz DIINJUCWLIPIBC-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001266970919 1082389635 /nfs/dbraw/zinc/38/96/35/1082389635.db2.gz PLKBKYONLYPQPI-DGCLKSJQSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(C)c1Cl ZINC001266980432 1082398072 /nfs/dbraw/zinc/39/80/72/1082398072.db2.gz RQWFLLGLWTZWAL-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN COc1ccc(O)c(/C=N/C[C@H](c2cccs2)N(C)C)c1 ZINC000005290707 1082411012 /nfs/dbraw/zinc/41/10/12/1082411012.db2.gz FYYPKQOZGPNOOX-NGWPFTMJSA-N 0 1 304.415 3.184 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2conc2C)CCCCC1 ZINC001266997264 1082418581 /nfs/dbraw/zinc/41/85/81/1082418581.db2.gz MWUHXABNYYQBTQ-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(Cl)sc1Cl ZINC001129449166 1082471583 /nfs/dbraw/zinc/47/15/83/1082471583.db2.gz KBOJGKRGCNPVHE-UHFFFAOYSA-N 0 1 313.637 3.127 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)CC(C)(C)C1CC1 ZINC001157907881 1082523439 /nfs/dbraw/zinc/52/34/39/1082523439.db2.gz KEZWZYGKWBZHGB-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC(C)(C)C1CC1 ZINC001157907881 1082523443 /nfs/dbraw/zinc/52/34/43/1082523443.db2.gz KEZWZYGKWBZHGB-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)Cc1cc(C)sc1C ZINC001267192634 1082621854 /nfs/dbraw/zinc/62/18/54/1082621854.db2.gz SAKOVQPNGKDEMY-UHFFFAOYSA-N 0 1 306.475 3.107 20 30 DGEDMN C=CCC1(C(=O)NCC[N@H+](C)Cc2csc(C)c2)CCC1 ZINC001267215602 1082649170 /nfs/dbraw/zinc/64/91/70/1082649170.db2.gz MRQAVZYAFRPGMR-UHFFFAOYSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2csc(C)c2)CCC1 ZINC001267215602 1082649171 /nfs/dbraw/zinc/64/91/71/1082649171.db2.gz MRQAVZYAFRPGMR-UHFFFAOYSA-N 0 1 306.475 3.351 20 30 DGEDMN CC[C@H](C)CC(=O)NCCN(CC)Cc1ccc(F)c(C#N)c1 ZINC001267240415 1082687256 /nfs/dbraw/zinc/68/72/56/1082687256.db2.gz LGILUYHTARDMQD-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CCCC(C)(C)C)C[C@@H](C)O2 ZINC001131642334 1082697454 /nfs/dbraw/zinc/69/74/54/1082697454.db2.gz OIORFQOMPMEUPP-VQIMIIECSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCCN1C/C=C\c1ccccc1 ZINC001267266483 1082727026 /nfs/dbraw/zinc/72/70/26/1082727026.db2.gz DVOBGJVLUVFFNO-ABMFHFBKSA-N 0 1 324.468 3.330 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1cc(C)ccc1F ZINC001267267305 1082727978 /nfs/dbraw/zinc/72/79/78/1082727978.db2.gz PRZDPELGFNMQQM-KRWDZBQOSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN1Cc1cccc(F)c1 ZINC001267268668 1082728148 /nfs/dbraw/zinc/72/81/48/1082728148.db2.gz CRCCTADKMZXHJE-KRWDZBQOSA-N 0 1 304.409 3.263 20 30 DGEDMN CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131855678 1082749719 /nfs/dbraw/zinc/74/97/19/1082749719.db2.gz AKWNSNFLDYNYGE-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2sc(C)nc2C)C1 ZINC001131899812 1082758909 /nfs/dbraw/zinc/75/89/09/1082758909.db2.gz QBAQXBPSQYQPML-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C)C1CC1 ZINC001267326681 1082799197 /nfs/dbraw/zinc/79/91/97/1082799197.db2.gz YHWPQDLDJARQRJ-MOPGFXCFSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(Cc1ccsc1)C1CC1 ZINC001267333714 1082809611 /nfs/dbraw/zinc/80/96/11/1082809611.db2.gz KEDBFVNYQWARDG-UHFFFAOYSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CC[N@@H+](CCNC(=O)c1cccc2ccccc21)C1CC1 ZINC001267337285 1082815717 /nfs/dbraw/zinc/81/57/17/1082815717.db2.gz OUWRUMOJCDCYLI-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc2ccccc21)C1CC1 ZINC001267337285 1082815723 /nfs/dbraw/zinc/81/57/23/1082815723.db2.gz OUWRUMOJCDCYLI-UHFFFAOYSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc[nH]c1C)c1ccc(C(C)C)cc1 ZINC001267345576 1082822329 /nfs/dbraw/zinc/82/23/29/1082822329.db2.gz GTNQMMPDNTYUTI-LJQANCHMSA-N 0 1 323.440 3.140 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2(C)CCCCC2)CC[C@@H]1C ZINC001132169799 1082830201 /nfs/dbraw/zinc/83/02/01/1082830201.db2.gz VKTPXUZDJGOLNB-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccnc2C)C1 ZINC001132217248 1082845150 /nfs/dbraw/zinc/84/51/50/1082845150.db2.gz AFGOJNZMWHARQI-RHSMWYFYSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132227420 1082849341 /nfs/dbraw/zinc/84/93/41/1082849341.db2.gz DAXNFBNPIIZMRN-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132256705 1082859580 /nfs/dbraw/zinc/85/95/80/1082859580.db2.gz PILDXJPIEJGILH-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(-c2ccccc2)o1 ZINC001267431019 1082881610 /nfs/dbraw/zinc/88/16/10/1082881610.db2.gz MTJZBYCOECWWPH-UHFFFAOYSA-N 0 1 318.804 3.361 20 30 DGEDMN CC(C)(C)c1nc2c(ncnc2NCCc2ccc(C#N)cc2)[nH]1 ZINC001167678669 1082882524 /nfs/dbraw/zinc/88/25/24/1082882524.db2.gz UIVKNWPOZXLFME-UHFFFAOYSA-N 0 1 320.400 3.177 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCC2CCCCC2)CC[C@H]1C ZINC001132463144 1082918053 /nfs/dbraw/zinc/91/80/53/1082918053.db2.gz CHWHUOCTRVHAIJ-SJLPKXTDSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccc(F)cc2)C1 ZINC001267466813 1082919387 /nfs/dbraw/zinc/91/93/87/1082919387.db2.gz RIAZZRSFOZHKCV-GOSISDBHSA-N 0 1 318.436 3.257 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC[C@H](C)N(CC#CC)C2)CCCC1 ZINC001132545337 1082939114 /nfs/dbraw/zinc/93/91/14/1082939114.db2.gz ABNDZTSMHMGIDV-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C/c2ccc(C)cc2)CC[C@@H]1C ZINC001132548570 1082942448 /nfs/dbraw/zinc/94/24/48/1082942448.db2.gz GCROOULGCFOZFQ-KQPGTDLCSA-N 0 1 324.468 3.391 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3ccncc32)C1 ZINC001267487698 1082944879 /nfs/dbraw/zinc/94/48/79/1082944879.db2.gz FZIUOOWDICDBKK-QGZVFWFLSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132568571 1082947696 /nfs/dbraw/zinc/94/76/96/1082947696.db2.gz AKWIGTCEERDHLR-RYUDHWBXSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001132574230 1082950213 /nfs/dbraw/zinc/95/02/13/1082950213.db2.gz XRPPHTLSDDXHIS-JSGCOSHPSA-N 0 1 308.853 3.312 20 30 DGEDMN CC#CCCCC(=O)NCCNCc1c(C)cccc1Cl ZINC001132593281 1082956306 /nfs/dbraw/zinc/95/63/06/1082956306.db2.gz ZGNOFUVPALHKBP-UHFFFAOYSA-N 0 1 306.837 3.048 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CC[C@H](F)C1)C(C)C ZINC001267507785 1082967003 /nfs/dbraw/zinc/96/70/03/1082967003.db2.gz NEEWDZXTKXQGHH-KBPBESRZSA-N 0 1 304.837 3.094 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1sccc1Cl ZINC001132667052 1082974873 /nfs/dbraw/zinc/97/48/73/1082974873.db2.gz BTKQYQLBLVHXIN-LLVKDONJSA-N 0 1 300.855 3.210 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132663149 1082974996 /nfs/dbraw/zinc/97/49/96/1082974996.db2.gz QGPTYPPPDSLGMY-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)C(C)C)c1ccc(C(C)C)cc1 ZINC001267517533 1082976939 /nfs/dbraw/zinc/97/69/39/1082976939.db2.gz NFEPBKUAWVYMCJ-LPHOPBHVSA-N 0 1 314.473 3.482 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1CC)c1ccc(C(C)C)cc1 ZINC001267518461 1082978375 /nfs/dbraw/zinc/97/83/75/1082978375.db2.gz XSLHDJOCNYHUQQ-LZQZEXGQSA-N 0 1 312.457 3.236 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1c(Cl)oc2ccccc21 ZINC001132728487 1082986386 /nfs/dbraw/zinc/98/63/86/1082986386.db2.gz YYDZYBMVNSBGAY-UHFFFAOYSA-N 0 1 313.184 3.158 20 30 DGEDMN C=CCC(CC=C)C(=O)N(CCC)[C@@H]1CCN(CC#CC)C1 ZINC001267541688 1083008760 /nfs/dbraw/zinc/00/87/60/1083008760.db2.gz GMDXHWXVSCXGSA-GOSISDBHSA-N 0 1 302.462 3.091 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cc(F)ccc2F)C1 ZINC001267546044 1083015751 /nfs/dbraw/zinc/01/57/51/1083015751.db2.gz HMKQGWJUIACBIM-MRXNPFEDSA-N 0 1 322.399 3.006 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@@H]2CC=CCC2)C1 ZINC001267547370 1083017559 /nfs/dbraw/zinc/01/75/59/1083017559.db2.gz WYFXIZBVFIGHAI-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN CCN(CCNC(=O)CC(C)(C)C)Cc1ccc(C#N)c(F)c1 ZINC001480961640 1083040256 /nfs/dbraw/zinc/04/02/56/1083040256.db2.gz TVVIPDKSYLYXER-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccccc2CC)C1 ZINC001267564077 1083044041 /nfs/dbraw/zinc/04/40/41/1083044041.db2.gz LRQRRQNNSNPYSC-OAHLLOKOSA-N 0 1 320.864 3.443 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2(C(F)F)CCC2)C1 ZINC001267563546 1083044524 /nfs/dbraw/zinc/04/45/24/1083044524.db2.gz ZYHBFEMJHWTKMY-GFCCVEGCSA-N 0 1 320.811 3.003 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C)C(C)(C)C ZINC001158787487 1083056412 /nfs/dbraw/zinc/05/64/12/1083056412.db2.gz UVVSUPLLZOPTKD-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCCC(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001133143484 1083059109 /nfs/dbraw/zinc/05/91/09/1083059109.db2.gz WOMVOQUEVNFDQQ-HNNXBMFYSA-N 0 1 308.372 3.014 20 30 DGEDMN C=CCCCC(=O)NCCNCc1nc2cc(C)ccc2s1 ZINC001133142836 1083059261 /nfs/dbraw/zinc/05/92/61/1083059261.db2.gz KFCZVJNUEHHTEW-UHFFFAOYSA-N 0 1 317.458 3.167 20 30 DGEDMN CC#CCCCC(=O)NCCN(CC)Cc1csc(C)c1 ZINC001480994747 1083067464 /nfs/dbraw/zinc/06/74/64/1083067464.db2.gz STEJCYPULRGLPP-UHFFFAOYSA-N 0 1 306.475 3.188 20 30 DGEDMN CCCCCC(=O)NC[C@H]1CCCN1Cc1ccc(C#N)cc1 ZINC001481026965 1083098051 /nfs/dbraw/zinc/09/80/51/1083098051.db2.gz XULZBRCJORTCTQ-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCCC1(C(=O)NCCN[C@@H](C)c2cc(F)ccc2F)CC1 ZINC001133378568 1083109522 /nfs/dbraw/zinc/10/95/22/1083109522.db2.gz HAZKAGMFMPFDTM-ZDUSSCGKSA-N 0 1 322.399 3.478 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cccc(F)c2Cl)CCC1 ZINC001133392251 1083114341 /nfs/dbraw/zinc/11/43/41/1083114341.db2.gz OLAXDPRTIXOUPM-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H](C)CC)CC1 ZINC001267598939 1083132693 /nfs/dbraw/zinc/13/26/93/1083132693.db2.gz WJTXDYKGNWFDRN-ZDUSSCGKSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@H](C)c2cc(F)ccc2F)C1 ZINC001133571387 1083153550 /nfs/dbraw/zinc/15/35/50/1083153550.db2.gz ONOALOXLMKFOKD-GFCCVEGCSA-N 0 1 308.372 3.088 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1cc(C(C)(C)C)on1 ZINC001133889548 1083208079 /nfs/dbraw/zinc/20/80/79/1083208079.db2.gz XWZFNNCLQHNDBX-ZIAGYGMSSA-N 0 1 321.465 3.311 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C2CC2)n1 ZINC001133889454 1083208136 /nfs/dbraw/zinc/20/81/36/1083208136.db2.gz WTVLBNQFBIIXQL-OLZOCXBDSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CCOc2ccccc2)C1 ZINC001267618155 1083217513 /nfs/dbraw/zinc/21/75/13/1083217513.db2.gz MOMKGHXQWUCGSR-QGZVFWFLSA-N 0 1 316.445 3.002 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)C2(CC(C)C)CCCC2)C1 ZINC001267631712 1083234785 /nfs/dbraw/zinc/23/47/85/1083234785.db2.gz CBULHDKWURVQIL-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001161444945 1083242166 /nfs/dbraw/zinc/24/21/66/1083242166.db2.gz NDYYHRLBEDEWNB-HZPDHXFCSA-N 0 1 304.478 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](CCC)c2ccccn2)C1 ZINC001267656834 1083249686 /nfs/dbraw/zinc/24/96/86/1083249686.db2.gz YCFNMLDLAMCSGK-DOTOQJQBSA-N 0 1 315.461 3.120 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001267661317 1083251712 /nfs/dbraw/zinc/25/17/12/1083251712.db2.gz GASRGHJGWBIIII-INIZCTEOSA-N 0 1 300.446 3.255 20 30 DGEDMN C=CCCCCC[NH2+][C@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001134193020 1083253795 /nfs/dbraw/zinc/25/37/95/1083253795.db2.gz LNLBLPMFTRXKBR-CABCVRRESA-N 0 1 319.449 3.020 20 30 DGEDMN CC#CCCCC(=O)NCC1CN([C@H](C)c2cccc(F)c2)C1 ZINC001267689436 1083266298 /nfs/dbraw/zinc/26/62/98/1083266298.db2.gz KTNVLDJNBOIWJE-OAHLLOKOSA-N 0 1 316.420 3.128 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC(C2CC2)C2CC2)C1 ZINC001267708953 1083275568 /nfs/dbraw/zinc/27/55/68/1083275568.db2.gz SBVLVEWRHMTOBZ-CQSZACIVSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccsc2)CC1 ZINC001267714420 1083278817 /nfs/dbraw/zinc/27/88/17/1083278817.db2.gz GWOQVQKOXHCFKW-UHFFFAOYSA-N 0 1 312.866 3.285 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001267729962 1083288889 /nfs/dbraw/zinc/28/88/89/1083288889.db2.gz GFAZVSDZGDHBKM-INIZCTEOSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001134470216 1083294131 /nfs/dbraw/zinc/29/41/31/1083294131.db2.gz OQMHNEGZUBEOSE-ZIAGYGMSSA-N 0 1 319.449 3.281 20 30 DGEDMN CCC(CC)CC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001267751339 1083318902 /nfs/dbraw/zinc/31/89/02/1083318902.db2.gz VFVCRYBGQLRIJS-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001267793455 1083351683 /nfs/dbraw/zinc/35/16/83/1083351683.db2.gz INHXVPCVIPRZIP-ZFWWWQNUSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2nc(C)cs2)CCC1 ZINC001267819676 1083378629 /nfs/dbraw/zinc/37/86/29/1083378629.db2.gz YTNZTCZHVMXXQT-AWEZNQCLSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(F)cc1Cl ZINC001267826107 1083390796 /nfs/dbraw/zinc/39/07/96/1083390796.db2.gz VTFJVKVWYZOJTN-LLVKDONJSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2ncsc2c1 ZINC001267825286 1083393670 /nfs/dbraw/zinc/39/36/70/1083393670.db2.gz NJBSMXTUWSKAQS-LBPRGKRZSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCF)C[C@H]1c1ccccc1 ZINC001211653171 1083415152 /nfs/dbraw/zinc/41/51/52/1083415152.db2.gz JLWVOGTVQHKEGC-JKSUJKDBSA-N 0 1 324.827 3.073 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](NCc2csc(CC)n2)CC1 ZINC001267923226 1083576724 /nfs/dbraw/zinc/57/67/24/1083576724.db2.gz NWUMWTNIJKCRPR-HDJSIYSDSA-N 0 1 321.490 3.189 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2sccc2Cl)C[C@H]1C ZINC001283966299 1083640285 /nfs/dbraw/zinc/64/02/85/1083640285.db2.gz SJKJGHFSWXSGLZ-VXGBXAGGSA-N 0 1 312.866 3.447 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](CC)CC(F)F ZINC001267957650 1083649523 /nfs/dbraw/zinc/64/95/23/1083649523.db2.gz HLCMQTGPWNXUCM-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1CCC(F)(F)CC1 ZINC001267964905 1083661607 /nfs/dbraw/zinc/66/16/07/1083661607.db2.gz LPWGSDZRKWGXDR-CYBMUJFWSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CCC(C)C)CC2 ZINC001267972518 1083678547 /nfs/dbraw/zinc/67/85/47/1083678547.db2.gz JMERGGMZHYDHFB-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001481294120 1083708042 /nfs/dbraw/zinc/70/80/42/1083708042.db2.gz QMHAPBCDVPQKOU-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268017685 1083746163 /nfs/dbraw/zinc/74/61/63/1083746163.db2.gz LZDSIBNFDCGEDQ-SJLPKXTDSA-N 0 1 320.477 3.049 20 30 DGEDMN CC#CCCCCCCCC(=O)N1Cc2n[nH]c(COC)c2C1 ZINC001182767342 1083798369 /nfs/dbraw/zinc/79/83/69/1083798369.db2.gz SZNROOQYAHGNDG-UHFFFAOYSA-N 0 1 317.433 3.152 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)C(C)C ZINC001481350305 1083802894 /nfs/dbraw/zinc/80/28/94/1083802894.db2.gz KMTDJLXHLUTMBP-BZUAXINKSA-N 0 1 312.885 3.392 20 30 DGEDMN CC(C)(NC(=O)Cc1ccc2nc[nH]c2c1)c1ccc(C#N)cc1 ZINC001182844896 1083807837 /nfs/dbraw/zinc/80/78/37/1083807837.db2.gz HKVRFCMMQBAHTC-UHFFFAOYSA-N 0 1 318.380 3.029 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCC(C)(C)CC1)c1ccccc1 ZINC001481374791 1083836100 /nfs/dbraw/zinc/83/61/00/1083836100.db2.gz YOFVDBNSURSVIO-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCC(C)(C)CC1)c1ccccc1 ZINC001481374790 1083836403 /nfs/dbraw/zinc/83/64/03/1083836403.db2.gz YOFVDBNSURSVIO-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)C(CC)CC)C1 ZINC001481401811 1083869440 /nfs/dbraw/zinc/86/94/40/1083869440.db2.gz PNTJSRFZYDXRAP-FUHWJXTLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C2CCC(F)(F)CC2)C1 ZINC001481409097 1083879745 /nfs/dbraw/zinc/87/97/45/1083879745.db2.gz WNMWLFKJJHRDGS-HNNXBMFYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)cc2C)C1 ZINC001481410493 1083880371 /nfs/dbraw/zinc/88/03/71/1083880371.db2.gz ILXLDMJCUJNTSG-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(F)cc2F)C1 ZINC001481410823 1083882675 /nfs/dbraw/zinc/88/26/75/1083882675.db2.gz OELBODADPDKWIJ-AWEZNQCLSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2sc(C)cc2C)C1 ZINC001481410711 1083882808 /nfs/dbraw/zinc/88/28/08/1083882808.db2.gz MDPIDWHLVLRAFE-INIZCTEOSA-N 0 1 320.502 3.406 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cscc2C)C1 ZINC001481423496 1083900181 /nfs/dbraw/zinc/90/01/81/1083900181.db2.gz HRTXMGDGWNFVHM-ZDUSSCGKSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@]2(C)CC=CCC2)C1 ZINC001481484610 1083998101 /nfs/dbraw/zinc/99/81/01/1083998101.db2.gz ARTXRGLXAUUUSZ-NVXWUHKLSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@]2(C)CC=CCC2)C1 ZINC001481484613 1083998151 /nfs/dbraw/zinc/99/81/51/1083998151.db2.gz ARTXRGLXAUUUSZ-WBVHZDCISA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001481527576 1084081112 /nfs/dbraw/zinc/08/11/12/1084081112.db2.gz UPNIPEFGUYHIQE-QGZVFWFLSA-N 0 1 318.486 3.412 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(C(C)C)n1 ZINC001273483640 1084082184 /nfs/dbraw/zinc/08/21/84/1084082184.db2.gz NYAXMOQZZJOZPK-UHFFFAOYSA-N 0 1 309.841 3.009 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1oc(C(F)F)cc1C ZINC001268068090 1084091616 /nfs/dbraw/zinc/09/16/16/1084091616.db2.gz IBPPFDBSBGELMZ-JTQLQIEISA-N 0 1 320.767 3.328 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001481537498 1084107132 /nfs/dbraw/zinc/10/71/32/1084107132.db2.gz IGGCGTRBABQYPS-OALUTQOASA-N 0 1 314.473 3.330 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2cccc(F)c2F)C1 ZINC001268082482 1084123613 /nfs/dbraw/zinc/12/36/13/1084123613.db2.gz CKGKANFFTWBOCD-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(Cl)c1F ZINC001268086582 1084133303 /nfs/dbraw/zinc/13/33/03/1084133303.db2.gz XYZBJKUBOZAFJB-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(Cl)s1 ZINC001268094327 1084154845 /nfs/dbraw/zinc/15/48/45/1084154845.db2.gz GXOMDOXUSAAQQO-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2cccc(Cl)c2)C1 ZINC001481574481 1084155226 /nfs/dbraw/zinc/15/52/26/1084155226.db2.gz OWWFPQZJRURURR-UHFFFAOYSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2ocnc2C)CCCC1 ZINC001268101927 1084170678 /nfs/dbraw/zinc/17/06/78/1084170678.db2.gz NAIDMPHZDMQALG-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN CC1=CC[C@](C)(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001184481975 1084196292 /nfs/dbraw/zinc/19/62/92/1084196292.db2.gz DGEFYSFOGAVDAC-SFHVURJKSA-N 0 1 321.384 3.418 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CNCc2cscn2)[C@@H](C)C1 ZINC001184515211 1084208302 /nfs/dbraw/zinc/20/83/02/1084208302.db2.gz ZGGBZUXHAYYKDI-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(Cc3ccccc3)CC2)c1 ZINC001184580566 1084216001 /nfs/dbraw/zinc/21/60/01/1084216001.db2.gz RZPMNIZFWWYMCP-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)CCCN(C)CC#Cc1ccc(F)cc1 ZINC001273488058 1084216097 /nfs/dbraw/zinc/21/60/97/1084216097.db2.gz AOESGEKNZBSDDV-MRXNPFEDSA-N 0 1 318.436 3.004 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccccc2OC(C)C)C1 ZINC001481597321 1084239461 /nfs/dbraw/zinc/23/94/61/1084239461.db2.gz ZXAGUNNIWJBZAX-HNNXBMFYSA-N 0 1 316.445 3.243 20 30 DGEDMN C[C@H]1c2ccccc2OCCN1C[C@H](O)c1cccc(C#N)c1 ZINC001167866929 1084275199 /nfs/dbraw/zinc/27/51/99/1084275199.db2.gz BWXUIMGMHSSDMJ-KSSFIOAISA-N 0 1 308.381 3.047 20 30 DGEDMN C[C@@H]1c2ccccc2OCCN1C[C@@H](O)c1cccc(C#N)c1 ZINC001167866930 1084275949 /nfs/dbraw/zinc/27/59/49/1084275949.db2.gz BWXUIMGMHSSDMJ-RDTXWAMCSA-N 0 1 308.381 3.047 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1conc1C ZINC001268193297 1084302570 /nfs/dbraw/zinc/30/25/70/1084302570.db2.gz VLPGCCWZCDGWMW-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CCc1cc(CNc2c(Br)cccc2C#N)n[nH]1 ZINC001185331336 1084422059 /nfs/dbraw/zinc/42/20/59/1084422059.db2.gz MIDWITAMXONSQB-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC/C=C/CC ZINC001481670831 1084465980 /nfs/dbraw/zinc/46/59/80/1084465980.db2.gz KWQRJCPJBZZEMP-VUOWKATKSA-N 0 1 302.462 3.396 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1CN2CC/C=C/CC ZINC001481670831 1084465984 /nfs/dbraw/zinc/46/59/84/1084465984.db2.gz KWQRJCPJBZZEMP-VUOWKATKSA-N 0 1 302.462 3.396 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)cc1 ZINC001481677346 1084469820 /nfs/dbraw/zinc/46/98/20/1084469820.db2.gz FCKZXMMBKNKISB-WDSOQIARSA-N 0 1 312.457 3.382 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CCCc2c(F)cccc2F)C1 ZINC001481686450 1084476209 /nfs/dbraw/zinc/47/62/09/1084476209.db2.gz WQYSDDJFVIBGIM-AWEZNQCLSA-N 0 1 322.399 3.054 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C(C)C)c1)C2 ZINC001098152591 1084549434 /nfs/dbraw/zinc/54/94/34/1084549434.db2.gz ZBSZMDTVWGSWQZ-QRVBRYPASA-N 0 1 310.441 3.168 20 30 DGEDMN CC#CCN(CCNC(=O)c1coc2cc(C)c(C)cc12)C1CC1 ZINC001493394751 1084552714 /nfs/dbraw/zinc/55/27/14/1084552714.db2.gz YQEVVMCORQMGRU-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001481796975 1084643471 /nfs/dbraw/zinc/64/34/71/1084643471.db2.gz ICNCJPLABYTZLL-CZUORRHYSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001481796977 1084643510 /nfs/dbraw/zinc/64/35/10/1084643510.db2.gz ICNCJPLABYTZLL-XJKSGUPXSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(C[C@@H](C)CC(C)C)C1)c1ccccc1 ZINC001268483444 1084706038 /nfs/dbraw/zinc/70/60/38/1084706038.db2.gz MEZUNWHQVSTROG-QFBILLFUSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001268485187 1084707603 /nfs/dbraw/zinc/70/76/03/1084707603.db2.gz OVYHMQSSBCIUHH-UHFFFAOYSA-N 0 1 316.489 3.215 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2CCN(C)C[C@@H]2Cc2ccccc2)c1 ZINC001186921341 1084728978 /nfs/dbraw/zinc/72/89/78/1084728978.db2.gz HAGRKOIUEUWYFL-KRWDZBQOSA-N 0 1 323.415 3.369 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(c2noc(C)n2)CC1 ZINC000092787735 1084732540 /nfs/dbraw/zinc/73/25/40/1084732540.db2.gz HERIFALGXSSPOS-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN N#Cc1c(F)cccc1N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001187189576 1084767627 /nfs/dbraw/zinc/76/76/27/1084767627.db2.gz CFMDDYFSXFYARH-HOTGVXAUSA-N 0 1 307.372 3.160 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(OC(C)C)cc1 ZINC001268618603 1084896685 /nfs/dbraw/zinc/89/66/85/1084896685.db2.gz HACSOJLGYSRXBO-SNAWJCMRSA-N 0 1 322.836 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1ccc(Cl)cc1F ZINC001268658924 1084921884 /nfs/dbraw/zinc/92/18/84/1084921884.db2.gz HSSZWOYGIDKELE-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C2CCC2)cc1 ZINC001268689368 1084948400 /nfs/dbraw/zinc/94/84/00/1084948400.db2.gz UQCDRDNMIXXMET-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccc2ccccc2c1 ZINC001268714664 1084965680 /nfs/dbraw/zinc/96/56/80/1084965680.db2.gz MLQXUEKGRUKCBB-AWEZNQCLSA-N 0 1 316.832 3.229 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001268727515 1084985447 /nfs/dbraw/zinc/98/54/47/1084985447.db2.gz ZKGXABCAVYCVPH-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1sc(C)nc1C ZINC001268731917 1084998406 /nfs/dbraw/zinc/99/84/06/1084998406.db2.gz CNLFKETWEKOGGH-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CC1CC(c2ccccc2)C1 ZINC001268735883 1085006516 /nfs/dbraw/zinc/00/65/16/1085006516.db2.gz PFAVBRIFQMFTTE-LRYGQEGESA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001268742767 1085009201 /nfs/dbraw/zinc/00/92/01/1085009201.db2.gz KZXZQVWOUDAVND-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2ccc(C(F)F)cc2)C1 ZINC001268858125 1085068622 /nfs/dbraw/zinc/06/86/22/1085068622.db2.gz CQZOSJZCFWNZIZ-UHFFFAOYSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CCc2ccccc2)CCC1 ZINC001268964930 1085088731 /nfs/dbraw/zinc/08/87/31/1085088731.db2.gz HFNYHGHOFQCESW-OALUTQOASA-N 0 1 324.468 3.261 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccoc1CCC ZINC001268978786 1085093391 /nfs/dbraw/zinc/09/33/91/1085093391.db2.gz JWUUAIANMIGALP-GJZGRUSLSA-N 0 1 302.418 3.097 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CCC=C)c1ccccc1 ZINC001268992803 1085096819 /nfs/dbraw/zinc/09/68/19/1085096819.db2.gz GYUXVKNJGGYTNH-SLFFLAALSA-N 0 1 322.452 3.045 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cscc1Cl)C1CC1 ZINC001269079208 1085117189 /nfs/dbraw/zinc/11/71/89/1085117189.db2.gz GGYGMCDXRSSWAW-LBPRGKRZSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccccc1C)C1CC1 ZINC001269120617 1085134066 /nfs/dbraw/zinc/13/40/66/1085134066.db2.gz QFKQZVNIGJKTIM-QGZVFWFLSA-N 0 1 320.864 3.165 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001269129932 1085144670 /nfs/dbraw/zinc/14/46/70/1085144670.db2.gz VLJALUIHLZZOOP-VEGGFIAOSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)c(F)c(C)c1)C1CC1 ZINC001269149525 1085163360 /nfs/dbraw/zinc/16/33/60/1085163360.db2.gz SNVVYWHYNJBUON-HNNXBMFYSA-N 0 1 324.827 3.293 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001269156025 1085170838 /nfs/dbraw/zinc/17/08/38/1085170838.db2.gz JNUZNLBYOWGGNH-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001269272038 1085257657 /nfs/dbraw/zinc/25/76/57/1085257657.db2.gz GWPDHHMVSWISAA-OAHLLOKOSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001269274583 1085258305 /nfs/dbraw/zinc/25/83/05/1085258305.db2.gz MLDAZOGPHIUANH-MJGOQNOKSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001269287735 1085266454 /nfs/dbraw/zinc/26/64/54/1085266454.db2.gz WPDBJAGXBWHZCL-BBRMVZONSA-N 0 1 306.837 3.210 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001269420176 1085376286 /nfs/dbraw/zinc/37/62/86/1085376286.db2.gz TWEZRHRQJNPGGQ-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2occc2s1 ZINC001269466270 1085400309 /nfs/dbraw/zinc/40/03/09/1085400309.db2.gz IGYPNBNIMYZTAY-JTQLQIEISA-N 0 1 312.822 3.345 20 30 DGEDMN CC[C@H]1CCC[C@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269484820 1085414320 /nfs/dbraw/zinc/41/43/20/1085414320.db2.gz UFXQKZQTZRNZNC-RBUKOAKNSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)c2cccc(F)c2)CC1 ZINC001269489870 1085418580 /nfs/dbraw/zinc/41/85/80/1085418580.db2.gz YUNUYAARZZXESG-GFCCVEGCSA-N 0 1 324.827 3.310 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(F)cccc1Cl ZINC001269497029 1085427508 /nfs/dbraw/zinc/42/75/08/1085427508.db2.gz BFQBPSCEUBDZPK-SNVBAGLBSA-N 0 1 319.207 3.330 20 30 DGEDMN CC[C@H](C)C(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001269528763 1085446423 /nfs/dbraw/zinc/44/64/23/1085446423.db2.gz FBPVDWHSBLVYKJ-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC)C(C)C ZINC001269597660 1085484928 /nfs/dbraw/zinc/48/49/28/1085484928.db2.gz LVZMISQNHOOYIJ-SQNIBIBYSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC2CCC(C)(C)CC2)C1 ZINC001499660451 1085535498 /nfs/dbraw/zinc/53/54/98/1085535498.db2.gz VDWZWESIKQIAPA-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001269781354 1085565245 /nfs/dbraw/zinc/56/52/45/1085565245.db2.gz SJEJCWGVOIPTEX-KBPBESRZSA-N 0 1 302.409 3.001 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H](CC)C(C)C)CC2 ZINC001482245092 1085576415 /nfs/dbraw/zinc/57/64/15/1085576415.db2.gz AQTAQDUXCFBQDL-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cccc(Cl)c2)C1 ZINC001269843461 1085583110 /nfs/dbraw/zinc/58/31/10/1085583110.db2.gz KMQXRSQJGPNEPC-AWEZNQCLSA-N 0 1 320.864 3.380 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(C)c2C)C1 ZINC001269881083 1085598639 /nfs/dbraw/zinc/59/86/39/1085598639.db2.gz CRRGHZYVKTURCX-HNNXBMFYSA-N 0 1 300.446 3.414 20 30 DGEDMN C=C[C@H](C(=O)N(C)C1CN(C[C@@H](C)CC)C1)c1ccccc1 ZINC001269893407 1085600963 /nfs/dbraw/zinc/60/09/63/1085600963.db2.gz QDUSRFXHDCHEDJ-YJBOKZPZSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1cc(Cl)ccc1F ZINC001482257685 1085648243 /nfs/dbraw/zinc/64/82/43/1085648243.db2.gz AQQXUCPVYKAOQJ-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001482266309 1085696787 /nfs/dbraw/zinc/69/67/87/1085696787.db2.gz VXKJLOQNDKMEPH-CHWSQXEVSA-N 0 1 310.388 3.429 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C)cc1C ZINC001270180509 1085723074 /nfs/dbraw/zinc/72/30/74/1085723074.db2.gz GQHWRODERAKUPR-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc2c(o1)CCCC2 ZINC001270215739 1085736997 /nfs/dbraw/zinc/73/69/97/1085736997.db2.gz USMGHHLJCMHKPK-CQSZACIVSA-N 0 1 322.836 3.105 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001270255927 1085749917 /nfs/dbraw/zinc/74/99/17/1085749917.db2.gz RTMLENGEPMVJBV-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C\c1ccc[nH]1)C1CCCC1 ZINC001270395965 1085798518 /nfs/dbraw/zinc/79/85/18/1085798518.db2.gz FSMFEAHNANPRBL-HLRDLLSLSA-N 0 1 321.852 3.045 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CCCCC(C)(C)C)C1 ZINC001270651168 1085920159 /nfs/dbraw/zinc/92/01/59/1085920159.db2.gz SQYPHIFWDLWNCJ-KRWDZBQOSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CN(CCCC)CCO2)CCCCC1 ZINC001270701760 1085951349 /nfs/dbraw/zinc/95/13/49/1085951349.db2.gz YNFKGKVFVNLNFD-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(C)c(C)n1 ZINC001482388889 1086113666 /nfs/dbraw/zinc/11/36/66/1086113666.db2.gz DZCGNIJLIOISCL-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H](C)C(F)(F)F ZINC001482392246 1086121296 /nfs/dbraw/zinc/12/12/96/1086121296.db2.gz XBZKALJXACDBIG-QWRGUYRKSA-N 0 1 314.779 3.058 20 30 DGEDMN CCCC(=O)NC[C@]1(C)CCCN(Cc2ccc(C#N)s2)C1 ZINC001270932204 1086198287 /nfs/dbraw/zinc/19/82/87/1086198287.db2.gz JTBKCSLCBMIGGV-KRWDZBQOSA-N 0 1 319.474 3.138 20 30 DGEDMN C#CCCCCC(=O)NC[C@]1(C)CCCN(C/C=C/Cl)C1 ZINC001270949329 1086211813 /nfs/dbraw/zinc/21/18/13/1086211813.db2.gz CLFJINSXLMWXOE-YRYLYKBFSA-N 0 1 310.869 3.151 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C2CC(C)C2)CCN1CC#Cc1ccccc1 ZINC001087536899 1086243621 /nfs/dbraw/zinc/24/36/21/1086243621.db2.gz LHUAXMACODWRHS-DXBWTPJFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC[C@H](C)CC)C1 ZINC001271100440 1086290943 /nfs/dbraw/zinc/29/09/43/1086290943.db2.gz KEOUIKFAORQRPZ-KGLIPLIRSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(C)[nH]c2ccccc21 ZINC001271028477 1086257003 /nfs/dbraw/zinc/25/70/03/1086257003.db2.gz JVMUZBBJPCRDBC-GFCCVEGCSA-N 0 1 319.836 3.279 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC[C@@H](C)CC)C1 ZINC001271100441 1086290230 /nfs/dbraw/zinc/29/02/30/1086290230.db2.gz KEOUIKFAORQRPZ-UONOGXRCSA-N 0 1 302.409 3.072 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)[C@H]1CC ZINC001087578782 1086311851 /nfs/dbraw/zinc/31/18/51/1086311851.db2.gz ONEPZKJXSFDHHI-VVLHAWIVSA-N 0 1 304.478 3.051 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C2CCCC2)[C@H]1CC ZINC001087605509 1086326840 /nfs/dbraw/zinc/32/68/40/1086326840.db2.gz DREZSVLCUUXYKN-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(c2cccc(F)c2)CC1 ZINC001271128383 1086347231 /nfs/dbraw/zinc/34/72/31/1086347231.db2.gz BGYQKVMRYBRKLU-ZDUSSCGKSA-N 0 1 324.827 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H]1CC ZINC001087706825 1086370384 /nfs/dbraw/zinc/37/03/84/1086370384.db2.gz NIQRDZMNNZNTBT-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(C[C@H](F)CC)[C@@H]2CC)CC1 ZINC001087713877 1086373238 /nfs/dbraw/zinc/37/32/38/1086373238.db2.gz PVXQZZLBOHUVNW-IXDOHACOSA-N 0 1 310.457 3.450 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H](CC)CC(F)F)CC1 ZINC001502126272 1086388204 /nfs/dbraw/zinc/38/82/04/1086388204.db2.gz UKQHLSWPQMNWQN-STQMWFEESA-N 0 1 322.827 3.391 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@H]1CC ZINC001087795456 1086414148 /nfs/dbraw/zinc/41/41/48/1086414148.db2.gz FSYPHIOOOQMEEF-JKSUJKDBSA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3c(c2)CCCC3)[C@H]1CC ZINC001087819211 1086427289 /nfs/dbraw/zinc/42/72/89/1086427289.db2.gz LENNANIUDKPGFX-VQTJNVASSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)[C@H]1CC ZINC001087844733 1086442036 /nfs/dbraw/zinc/44/20/36/1086442036.db2.gz OBMNXILEFZYENX-YQVWRLOYSA-N 0 1 302.462 3.115 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(C(C)C)s2)[C@H]1CC ZINC001087853967 1086448920 /nfs/dbraw/zinc/44/89/20/1086448920.db2.gz NSYAVQQDIDDMJA-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H]1CC ZINC001087853521 1086449310 /nfs/dbraw/zinc/44/93/10/1086449310.db2.gz UYOKWMWVDLAGCY-JKSUJKDBSA-N 0 1 320.383 3.014 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001126559745 1086481778 /nfs/dbraw/zinc/48/17/78/1086481778.db2.gz GWKQDKQLEAYRRF-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(F)cccc1Cl ZINC001271180626 1086531681 /nfs/dbraw/zinc/53/16/81/1086531681.db2.gz CQTZHQHRQSPZMK-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001088064972 1086539352 /nfs/dbraw/zinc/53/93/52/1086539352.db2.gz CZEIDXWMLRQXFG-IORUMNFESA-N 0 1 310.869 3.122 20 30 DGEDMN CCc1ccccc1[C@H](CNC(C)=O)NCC#Cc1ccccc1 ZINC001271439860 1086630857 /nfs/dbraw/zinc/63/08/57/1086630857.db2.gz VABCSHLTUXMLMH-NRFANRHFSA-N 0 1 320.436 3.068 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H](C)SC)CC1 ZINC001482497672 1086724241 /nfs/dbraw/zinc/72/42/41/1086724241.db2.gz AACAAVUHJDNAIL-ZDUSSCGKSA-N 0 1 318.914 3.051 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001271784729 1086765402 /nfs/dbraw/zinc/76/54/02/1086765402.db2.gz OAFBAWUVYFMYMS-HZPDHXFCSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H]1C[C@H]1CC ZINC001271819609 1086781829 /nfs/dbraw/zinc/78/18/29/1086781829.db2.gz OQUJLMVBGYCTLL-IAGOWNOFSA-N 0 1 320.864 3.403 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1nc(C)oc1C)C(C)C ZINC001482555614 1086883344 /nfs/dbraw/zinc/88/33/44/1086883344.db2.gz CCCSHEQMTJQWAX-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C[C@H](C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1)C(C)(C)C ZINC001272050189 1087031057 /nfs/dbraw/zinc/03/10/57/1087031057.db2.gz YSEVOOZEOSHOGA-OAHLLOKOSA-N 0 1 315.461 3.131 20 30 DGEDMN CCC1(C(=O)N(C)CCN(C)Cc2ccc(C#N)cc2)CCC1 ZINC001272086644 1087113974 /nfs/dbraw/zinc/11/39/74/1087113974.db2.gz DIMRQYWVRYSMDE-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001280449086 1087213050 /nfs/dbraw/zinc/21/30/50/1087213050.db2.gz IMXUIAZBTAPQFE-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1C2CC3CC(C2)CC1C3 ZINC001483016954 1087236891 /nfs/dbraw/zinc/23/68/91/1087236891.db2.gz GZIRWQSHUNEIBB-NSCUHMNNSA-N 0 1 322.880 3.073 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(C(F)F)c1 ZINC001483086119 1087336325 /nfs/dbraw/zinc/33/63/25/1087336325.db2.gz IDSOYNZZQDMNFK-IHWYPQMZSA-N 0 1 314.763 3.252 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)c1ccccc1C ZINC001483094756 1087339850 /nfs/dbraw/zinc/33/98/50/1087339850.db2.gz FCSIAYBDQSZJAW-LFAOLKIESA-N 0 1 306.837 3.113 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(C(F)(F)F)CCCC1 ZINC001483104377 1087344719 /nfs/dbraw/zinc/34/47/19/1087344719.db2.gz ONHVBQBBAWRPFV-PLNGDYQASA-N 0 1 324.774 3.124 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@H]1C ZINC001088771689 1087347573 /nfs/dbraw/zinc/34/75/73/1087347573.db2.gz TZWTZFBGZOLKQY-PBHICJAKSA-N 0 1 312.413 3.428 20 30 DGEDMN CCCCCCCN1CC(OC2CCN(C(=O)C3CC3)CC2)C1 ZINC001105680622 1087405662 /nfs/dbraw/zinc/40/56/62/1087405662.db2.gz HESARRCNJSPOKL-UHFFFAOYSA-N 0 1 322.493 3.059 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001483161689 1087408592 /nfs/dbraw/zinc/40/85/92/1087408592.db2.gz BBCMPRDZROYPNS-CYBMUJFWSA-N 0 1 323.506 3.391 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001483220525 1087427604 /nfs/dbraw/zinc/42/76/04/1087427604.db2.gz FPIHWSKGAPFLAQ-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001483274560 1087455362 /nfs/dbraw/zinc/45/53/62/1087455362.db2.gz GBUNMKUOMMPCSN-KBPBESRZSA-N 0 1 308.853 3.199 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)C[C@H](C)CC)CC2)C1 ZINC001105706387 1087457942 /nfs/dbraw/zinc/45/79/42/1087457942.db2.gz SWZOQMJMWPJPRM-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCC[C@@H]1CNCc1ccon1 ZINC001483435944 1087502383 /nfs/dbraw/zinc/50/23/83/1087502383.db2.gz YTNUARURMPCFEZ-ZBFHGGJFSA-N 0 1 319.449 3.042 20 30 DGEDMN CCC(CC)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001493483653 1087522061 /nfs/dbraw/zinc/52/20/61/1087522061.db2.gz YTDCTCCXFRDYOT-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001483563403 1087526312 /nfs/dbraw/zinc/52/63/12/1087526312.db2.gz QBRQGFQVJHVJRH-WHGQRRHOSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1CC)C1CC1 ZINC001483582380 1087529714 /nfs/dbraw/zinc/52/97/14/1087529714.db2.gz BMQZERBVAPKXDH-MRXNPFEDSA-N 0 1 306.837 3.100 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@H]1C ZINC001088873100 1087593466 /nfs/dbraw/zinc/59/34/66/1087593466.db2.gz GLPDTCHATXAJHI-ZBFHGGJFSA-N 0 1 310.397 3.325 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1sccc1F ZINC001483646723 1087602827 /nfs/dbraw/zinc/60/28/27/1087602827.db2.gz DMOHWUPPISPBRH-ZDUSSCGKSA-N 0 1 310.438 3.438 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCOC1CCCCCC1 ZINC001483652627 1087605261 /nfs/dbraw/zinc/60/52/61/1087605261.db2.gz HIRVQHGGSJGNCG-KRWDZBQOSA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@H]1C ZINC001088989729 1087616296 /nfs/dbraw/zinc/61/62/96/1087616296.db2.gz KPHDXCYYQCALFG-WBVHZDCISA-N 0 1 300.446 3.038 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3ccccc3c2)[C@H]1C ZINC001089001240 1087619967 /nfs/dbraw/zinc/61/99/67/1087619967.db2.gz WZEPLPBJZBLIGO-BEFAXECRSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccsc2C(F)F)[C@H]1C ZINC001089008531 1087621248 /nfs/dbraw/zinc/62/12/48/1087621248.db2.gz OZVPPACKQIIZNA-KOLCDFICSA-N 0 1 300.374 3.064 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3ccccc32)[C@H]1C ZINC001089019094 1087621433 /nfs/dbraw/zinc/62/14/33/1087621433.db2.gz SSDVHOGQYVGCLW-BEFAXECRSA-N 0 1 306.409 3.056 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)[C@H]1C ZINC001089018654 1087621831 /nfs/dbraw/zinc/62/18/31/1087621831.db2.gz ULGVDLWKVRRZGG-VXLLPVPCSA-N 0 1 304.478 3.051 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2CCC(CCCC)CC2)[C@H]1C ZINC001089023907 1087623135 /nfs/dbraw/zinc/62/31/35/1087623135.db2.gz YPFMUVKVQXNKAV-CPFNUKBASA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3ccccc3)CCCC2)[C@H]1C ZINC001089023873 1087623345 /nfs/dbraw/zinc/62/33/45/1087623345.db2.gz XAWRGBXOOPVBOC-AEFFLSMTSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cccc(Cl)c3o2)[C@H]1C ZINC001089088794 1087634876 /nfs/dbraw/zinc/63/48/76/1087634876.db2.gz BUBZOLRALUIVGW-RISCZKNCSA-N 0 1 318.804 3.465 20 30 DGEDMN O=C(CC#Cc1ccccc1)NC[C@H]1CCN1CC1=CCCCC1 ZINC001483704081 1087680027 /nfs/dbraw/zinc/68/00/27/1087680027.db2.gz DTFDVOFNGGEPME-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Br)cs2)c1 ZINC000176406263 1087726486 /nfs/dbraw/zinc/72/64/86/1087726486.db2.gz UDRQPKUMQXNZCH-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN O=C(CC1=CCCCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001483722532 1087701792 /nfs/dbraw/zinc/70/17/92/1087701792.db2.gz YEIIGQBQSJEOKW-UHFFFAOYSA-N 0 1 322.452 3.167 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC/C=C\c1ccccc1 ZINC001493693220 1087710494 /nfs/dbraw/zinc/71/04/94/1087710494.db2.gz MNLIVJGQZJNPIQ-POHAHGRESA-N 0 1 306.837 3.281 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1cccc([N+](=O)[O-])c1 ZINC000176378980 1087715471 /nfs/dbraw/zinc/71/54/71/1087715471.db2.gz HDNCMZQWTGXMHP-QPJJXVBHSA-N 0 1 314.253 3.105 20 30 DGEDMN COc1ccccc1C[C@H](C)CC(=O)Nc1cc(C#N)ccc1O ZINC000176409708 1087728766 /nfs/dbraw/zinc/72/87/66/1087728766.db2.gz FZCCSKJVZINMGD-ZDUSSCGKSA-N 0 1 324.380 3.480 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001158270284 1087753670 /nfs/dbraw/zinc/75/36/70/1087753670.db2.gz IZSXTRQJIIZNKX-ZSYWTGECSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C(C)(F)F)CC(C)(C)C1 ZINC001089299446 1087756628 /nfs/dbraw/zinc/75/66/28/1087756628.db2.gz SWZABAWHDBRSIO-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2ccco2)CC(C)(C)C1 ZINC001089300297 1087768557 /nfs/dbraw/zinc/76/85/57/1087768557.db2.gz CMXISWMPQRHPAR-CYBMUJFWSA-N 0 1 310.825 3.253 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)cc(C)c1F ZINC001234223364 1087777954 /nfs/dbraw/zinc/77/79/54/1087777954.db2.gz KZYYJLWCNGDEEI-SSDOTTSWSA-N 0 1 315.138 3.495 20 30 DGEDMN C=C[C@H](C(=O)NCCNCc1ccccc1F)c1ccccc1 ZINC001151991311 1087858462 /nfs/dbraw/zinc/85/84/62/1087858462.db2.gz YFFJOANFGARSPE-KRWDZBQOSA-N 0 1 312.388 3.001 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099193984 1087862102 /nfs/dbraw/zinc/86/21/02/1087862102.db2.gz LDSYSJXYBUMHDW-IRXDYDNUSA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc2cc[nH]c21 ZINC001158507668 1087882349 /nfs/dbraw/zinc/88/23/49/1087882349.db2.gz LSLHONFOWFDMGH-QGZVFWFLSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cccc2[nH]ccc21 ZINC001158518208 1087884191 /nfs/dbraw/zinc/88/41/91/1087884191.db2.gz DIPLPIUKOZFOIK-OAHLLOKOSA-N 0 1 311.429 3.280 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](F)c1ccccc1 ZINC001158529731 1087893909 /nfs/dbraw/zinc/89/39/09/1087893909.db2.gz PMYOSJIMFFAZLS-MSOLQXFVSA-N 0 1 316.420 3.033 20 30 DGEDMN COc1ccc(NC(=O)c2cc(-c3ccccc3)n[nH]2)cc1C#N ZINC001152341759 1087952719 /nfs/dbraw/zinc/95/27/19/1087952719.db2.gz AGNCIJKEGSXTNS-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1ccnc(Cl)c1 ZINC001152352980 1087955696 /nfs/dbraw/zinc/95/56/96/1087955696.db2.gz QLLUPHDGMNZPLJ-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cc(F)cc(F)c1 ZINC001152352929 1087956215 /nfs/dbraw/zinc/95/62/15/1087956215.db2.gz PIGKMURYVMTBSB-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001158654094 1087959734 /nfs/dbraw/zinc/95/97/34/1087959734.db2.gz OZYXLKUXWMEADA-GUDVDZBRSA-N 0 1 318.505 3.395 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C(F)=C(C)C ZINC001152723570 1088060605 /nfs/dbraw/zinc/06/06/05/1088060605.db2.gz JDETYKARQSIZKO-MRXNPFEDSA-N 0 1 316.420 3.128 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cc2ccccc2[nH]1 ZINC001158836822 1088060936 /nfs/dbraw/zinc/06/09/36/1088060936.db2.gz FGSPAVBKAWCGHC-MRXNPFEDSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCC(C)(C)CN2CC#CC)CCC1 ZINC001099225205 1088098271 /nfs/dbraw/zinc/09/82/71/1088098271.db2.gz OLYRESBWLUEENT-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](CN[C@@H](C)c2ncc(C)o2)[C@H](C)C1 ZINC001106609814 1088246286 /nfs/dbraw/zinc/24/62/86/1088246286.db2.gz PLADEBYEBPVTIG-VNQPRFMTSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001153587923 1088294946 /nfs/dbraw/zinc/29/49/46/1088294946.db2.gz CJDLSUNTKVBTOF-CYBMUJFWSA-N 0 1 323.868 3.187 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001153594712 1088295266 /nfs/dbraw/zinc/29/52/66/1088295266.db2.gz OQSOUNPVIRPNND-CYBMUJFWSA-N 0 1 323.506 3.380 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccc(C(C)(C)C#N)nc3)c2[nH]1 ZINC001153604643 1088300388 /nfs/dbraw/zinc/30/03/88/1088300388.db2.gz SIRKFJMJDQCMKS-UHFFFAOYSA-N 0 1 319.368 3.320 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccc(F)c(CC#N)c3)c2[nH]1 ZINC001153613855 1088303435 /nfs/dbraw/zinc/30/34/35/1088303435.db2.gz MEPSMNKDJCURAW-UHFFFAOYSA-N 0 1 308.316 3.329 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2cc(F)ccc2C)C1=O ZINC001272615774 1088320954 /nfs/dbraw/zinc/32/09/54/1088320954.db2.gz BOKRVZHVRLOXNU-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2nc(C)c(C)s2)CCCC1 ZINC001153814293 1088371922 /nfs/dbraw/zinc/37/19/22/1088371922.db2.gz ZLLKIONRRZZRNN-UHFFFAOYSA-N 0 1 321.490 3.102 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN([C@@H](C)Cc2cccc(F)c2)C1 ZINC001168501208 1088387248 /nfs/dbraw/zinc/38/72/48/1088387248.db2.gz MSAFXPFXRRIPNT-YOEHRIQHSA-N 0 1 320.408 3.133 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](CC)CCC ZINC001099286592 1088477007 /nfs/dbraw/zinc/47/70/07/1088477007.db2.gz ZXFUEXQMAIFOFB-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)CC(C)C ZINC001099291810 1088488246 /nfs/dbraw/zinc/48/82/46/1088488246.db2.gz NANLUJCCVJYXNX-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cccc(Cl)c1 ZINC001272659513 1088501683 /nfs/dbraw/zinc/50/16/83/1088501683.db2.gz AZQHUCXNNVILGJ-HZPDHXFCSA-N 0 1 304.821 3.091 20 30 DGEDMN CCN(C)c1cc(C)nc(N[C@@H]2CCc3c2cccc3C#N)n1 ZINC001159911674 1088544464 /nfs/dbraw/zinc/54/44/64/1088544464.db2.gz HJPSTSJRWUQSLX-MRXNPFEDSA-N 0 1 307.401 3.212 20 30 DGEDMN Cc1cc(N2CCCC2)nc(N[C@@H]2CCc3c2cccc3C#N)n1 ZINC001159911728 1088545032 /nfs/dbraw/zinc/54/50/32/1088545032.db2.gz IGWGOFWYCOFETG-QGZVFWFLSA-N 0 1 319.412 3.356 20 30 DGEDMN Cc1cnc2c(ccc(Cl)c2C(=O)Nc2nc[nH]c2C#N)c1 ZINC001154455308 1088614046 /nfs/dbraw/zinc/61/40/46/1088614046.db2.gz BLIPTRDODDAOIP-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN N#CC1(Nc2cc(F)ccn2)CCN(Cc2ccccc2)CC1 ZINC001160099587 1088639713 /nfs/dbraw/zinc/63/97/13/1088639713.db2.gz AOHPROWVARDDOM-UHFFFAOYSA-N 0 1 310.376 3.191 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001154742957 1088735897 /nfs/dbraw/zinc/73/58/97/1088735897.db2.gz PIJNGDCMMNUVDK-HUUCEWRRSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001154750806 1088741189 /nfs/dbraw/zinc/74/11/89/1088741189.db2.gz BDRFKXLNMLPDBV-CZUORRHYSA-N 0 1 321.465 3.220 20 30 DGEDMN CCOC(=O)c1c[nH]c2cc(N[C@H](C#N)CC(C)C)ncc21 ZINC001160363543 1088765137 /nfs/dbraw/zinc/76/51/37/1088765137.db2.gz REVCYUYQAORYIO-NSHDSACASA-N 0 1 300.362 3.090 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C23CCC(CC2)C3(C)C)CC1 ZINC001483773028 1088770979 /nfs/dbraw/zinc/77/09/79/1088770979.db2.gz NAFKQELKAPBSTD-UHFFFAOYSA-N 0 1 310.869 3.194 20 30 DGEDMN CC#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)oc1C ZINC001089606068 1088811007 /nfs/dbraw/zinc/81/10/07/1088811007.db2.gz UXVKHKYRKXUVPA-MRXNPFEDSA-N 0 1 316.445 3.140 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)oc1C ZINC001089606068 1088811022 /nfs/dbraw/zinc/81/10/22/1088811022.db2.gz UXVKHKYRKXUVPA-MRXNPFEDSA-N 0 1 316.445 3.140 20 30 DGEDMN C#Cc1ccc(C(=O)NCc2ccccc2C[N@@H+](C)CC=C)cc1 ZINC001272675975 1088830677 /nfs/dbraw/zinc/83/06/77/1088830677.db2.gz WOMRZCDVEWFCHK-UHFFFAOYSA-N 0 1 318.420 3.216 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001154957321 1088851649 /nfs/dbraw/zinc/85/16/49/1088851649.db2.gz CMAQNWVWYWEEOB-CQSZACIVSA-N 0 1 319.474 3.303 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccsc1C ZINC001089634993 1088856663 /nfs/dbraw/zinc/85/66/63/1088856663.db2.gz JZIDLLUPPKDQCL-HNNXBMFYSA-N 0 1 318.486 3.300 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001089642665 1088873552 /nfs/dbraw/zinc/87/35/52/1088873552.db2.gz OPITYRMKDXYGCL-GVDBMIGSSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCCCNc1ccc2c(n1)CCN(Cc1ccccc1)C2 ZINC001155010768 1088894807 /nfs/dbraw/zinc/89/48/07/1088894807.db2.gz SNGIAABEGOSAGF-UHFFFAOYSA-N 0 1 305.425 3.465 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001089651982 1088946420 /nfs/dbraw/zinc/94/64/20/1088946420.db2.gz SOYCJAVBRNGWDR-AWEZNQCLSA-N 0 1 322.399 3.371 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN(CCCC2CCCC2)C1 ZINC001483877506 1088976328 /nfs/dbraw/zinc/97/63/28/1088976328.db2.gz GXOCKXUHUCDPAW-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001483890204 1088992623 /nfs/dbraw/zinc/99/26/23/1088992623.db2.gz KIOAHYBNHMYEEG-UHFFFAOYSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](CC)c2ccc(F)cc2)C1 ZINC001483892591 1088995893 /nfs/dbraw/zinc/99/58/93/1088995893.db2.gz NNGMPSYLOUHSFL-GOSISDBHSA-N 0 1 318.436 3.428 20 30 DGEDMN C#CCCCCCC(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160927543 1089023797 /nfs/dbraw/zinc/02/37/97/1089023797.db2.gz JOFDFOYXCUOQSL-KPKJPENVSA-N 0 1 322.880 3.461 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc2occc2s1 ZINC001483945226 1089027155 /nfs/dbraw/zinc/02/71/55/1089027155.db2.gz RGKOMRPJIBRTHD-NSHDSACASA-N 0 1 324.833 3.441 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)c2cccc(Cl)c2)CC1 ZINC001483976384 1089064112 /nfs/dbraw/zinc/06/41/12/1089064112.db2.gz SYIXZXPRSMIMMD-CQSZACIVSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001483984460 1089069030 /nfs/dbraw/zinc/06/90/30/1089069030.db2.gz NLOGNVULLPDGDR-NUFNZXCZSA-N 0 1 308.853 3.021 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ncc(C)cc1C ZINC001089672664 1089099550 /nfs/dbraw/zinc/09/95/50/1089099550.db2.gz OWVJEVYXYHURQT-INIZCTEOSA-N 0 1 315.461 3.105 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001161117028 1089124498 /nfs/dbraw/zinc/12/44/98/1089124498.db2.gz YGMMAJDTEIRJGP-SJLPKXTDSA-N 0 1 310.457 3.475 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC001089691647 1089186978 /nfs/dbraw/zinc/18/69/78/1089186978.db2.gz UKUOUKXPIUGOBG-IRXDYDNUSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)C2CCCCC2)CC1 ZINC001161422229 1089235653 /nfs/dbraw/zinc/23/56/53/1089235653.db2.gz IDNAWPVWIJFDCL-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN C#C[C@](C)(N)C(=O)N1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC001161455683 1089252216 /nfs/dbraw/zinc/25/22/16/1089252216.db2.gz GBLBFKODBKGGBN-ZBFHGGJFSA-N 0 1 324.346 3.110 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cncc(Cl)c1 ZINC001089710603 1089277735 /nfs/dbraw/zinc/27/77/35/1089277735.db2.gz KXWDGPUPGFJONZ-HNNXBMFYSA-N 0 1 321.852 3.141 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1sc(C)nc1C ZINC001089730970 1089309447 /nfs/dbraw/zinc/30/94/47/1089309447.db2.gz IWODVXHYCXTDCO-CQSZACIVSA-N 0 1 321.490 3.166 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C2CC2)cc1 ZINC001089732518 1089313205 /nfs/dbraw/zinc/31/32/05/1089313205.db2.gz VLSALLVUJIVDMN-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc(C(C)C)c2)CC1 ZINC001161632265 1089325470 /nfs/dbraw/zinc/32/54/70/1089325470.db2.gz VTQGPRQRMJAUJE-UHFFFAOYSA-N 0 1 310.441 3.195 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@H](C)OCC)cc1 ZINC001272682401 1089399714 /nfs/dbraw/zinc/39/97/14/1089399714.db2.gz KNPLGLLTXDPLIQ-KGLIPLIRSA-N 0 1 324.852 3.131 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001161817987 1089421348 /nfs/dbraw/zinc/42/13/48/1089421348.db2.gz LYNRURJLUHBOQO-FQEVSTJZSA-N 0 1 324.468 3.342 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC2CCC1CC2 ZINC001272683520 1089441817 /nfs/dbraw/zinc/44/18/17/1089441817.db2.gz PYZXGKWOWBTBEO-LBXVMSDZSA-N 0 1 324.468 3.194 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913586 1089466118 /nfs/dbraw/zinc/46/61/18/1089466118.db2.gz BNKGIOBEGHQKRO-RISCZKNCSA-N 0 1 309.373 3.108 20 30 DGEDMN CCCc1cc(C#N)c(N=C(NC#N)c2ccncc2)nc1Cl ZINC001156326905 1089479605 /nfs/dbraw/zinc/47/96/05/1089479605.db2.gz YSDDANAAEHJGTG-UHFFFAOYSA-N 0 1 324.775 3.103 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)c(C)o1 ZINC001484555145 1089490360 /nfs/dbraw/zinc/49/03/60/1089490360.db2.gz IAJVTFCFNBPHTA-UHFFFAOYSA-N 0 1 324.424 3.282 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H]2CCCCC2(C)C)CC1 ZINC001162012578 1089524300 /nfs/dbraw/zinc/52/43/00/1089524300.db2.gz VAVBJUQVNLSTPZ-SFHVURJKSA-N 0 1 316.489 3.365 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1ccsc1 ZINC001484613631 1089530362 /nfs/dbraw/zinc/53/03/62/1089530362.db2.gz VUWIDIBTLXBYDF-CQSZACIVSA-N 0 1 312.866 3.014 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3(CCC)CCC3)cccc2C1 ZINC001484612999 1089531188 /nfs/dbraw/zinc/53/11/88/1089531188.db2.gz VSVICJKLLQXGOD-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C/C=C/c2ccc(C)cc2)CC1 ZINC001162026147 1089531814 /nfs/dbraw/zinc/53/18/14/1089531814.db2.gz DHENJQFORZYLAG-SNAWJCMRSA-N 0 1 322.452 3.170 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](C)Cc1ccco1 ZINC001484618813 1089542720 /nfs/dbraw/zinc/54/27/20/1089542720.db2.gz ZXYCBJZSXULZDQ-DZGCQCFKSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2c(C)noc2CC)CCC1 ZINC001484635942 1089550666 /nfs/dbraw/zinc/55/06/66/1089550666.db2.gz DUHSKDQTAKTALX-UHFFFAOYSA-N 0 1 319.449 3.030 20 30 DGEDMN CN1CCC(C#N)(Nc2cccc(OCc3ccccc3)n2)CC1 ZINC001162231457 1089622580 /nfs/dbraw/zinc/62/25/80/1089622580.db2.gz KGYOUBZDBQZEBW-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)C(CC)CC)O2 ZINC001484783847 1089673958 /nfs/dbraw/zinc/67/39/58/1089673958.db2.gz XAUDKSDVSSCRTH-DOTOQJQBSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@]1(C)C=CCC1 ZINC001484822046 1089703621 /nfs/dbraw/zinc/70/36/21/1089703621.db2.gz BOLGKWWYLLWTEN-PZJWPPBQSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001484822197 1089704321 /nfs/dbraw/zinc/70/43/21/1089704321.db2.gz NWKNROSFFUKXIJ-NXZBIGBUSA-N 0 1 324.468 3.462 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2oc(C(C)C)nc2C)[C@@H](C)C1 ZINC001484882875 1089727230 /nfs/dbraw/zinc/72/72/30/1089727230.db2.gz SSDNEFAXGHMMMX-ZFWWWQNUSA-N 0 1 319.449 3.009 20 30 DGEDMN CN(C(=O)c1n[nH]c2cc(C#N)ccc21)[C@H]1CCc2ccccc21 ZINC001156884057 1089731642 /nfs/dbraw/zinc/73/16/42/1089731642.db2.gz FVOKWQSJLUVUJT-KRWDZBQOSA-N 0 1 316.364 3.194 20 30 DGEDMN C=CCN(C(=O)c1n[nH]c2cc(C#N)ccc21)C1CCCCC1 ZINC001156884260 1089732224 /nfs/dbraw/zinc/73/22/24/1089732224.db2.gz JFKPWWNPRMFDIV-UHFFFAOYSA-N 0 1 308.385 3.395 20 30 DGEDMN CC(C)(C)OC(=O)[C@H](C#N)c1cccc(NC(=N)C(C)(C)C)n1 ZINC001162661734 1089869028 /nfs/dbraw/zinc/86/90/28/1089869028.db2.gz ILZKOFDFTUGGJE-LLVKDONJSA-N 0 1 316.405 3.466 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(F)c(C)c1 ZINC001485039260 1089874618 /nfs/dbraw/zinc/87/46/18/1089874618.db2.gz OHZKHYGPTNYBHH-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1cc(F)cc(F)c1O ZINC001162686560 1089879788 /nfs/dbraw/zinc/87/97/88/1089879788.db2.gz ILBRTKBHQAXUJE-JTQLQIEISA-N 0 1 316.307 3.375 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001485080283 1089939280 /nfs/dbraw/zinc/93/92/80/1089939280.db2.gz SNXOYEUFMIONLX-HKUYNNGSSA-N 0 1 302.462 3.069 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001485123824 1089978192 /nfs/dbraw/zinc/97/81/92/1089978192.db2.gz HIDTVRZCLJWJIJ-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001485153359 1089989774 /nfs/dbraw/zinc/98/97/74/1089989774.db2.gz QQMFQIDFCOTWRU-NWDGAFQWSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(CC)s1 ZINC001485168308 1089994430 /nfs/dbraw/zinc/99/44/30/1089994430.db2.gz IGOPDQVPOYNGCR-QWRGUYRKSA-N 0 1 300.855 3.159 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001485189540 1090020215 /nfs/dbraw/zinc/02/02/15/1090020215.db2.gz NPODMBKHUQGFSO-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CCC1(C)CC1 ZINC001485206065 1090031357 /nfs/dbraw/zinc/03/13/57/1090031357.db2.gz GYSFLCDHYINTBD-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2occc2c1 ZINC001157982450 1090164597 /nfs/dbraw/zinc/16/45/97/1090164597.db2.gz UNFCPQFBYNWLGW-GOSISDBHSA-N 0 1 324.424 3.383 20 30 DGEDMN Cc1cnc(CN)n1-c1c(C#N)c(Cl)nc2cccc(C)c21 ZINC001158169296 1090195032 /nfs/dbraw/zinc/19/50/32/1090195032.db2.gz JQIOUDGKVIAKLK-UHFFFAOYSA-N 0 1 311.776 3.021 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001485517979 1090199511 /nfs/dbraw/zinc/19/95/11/1090199511.db2.gz TWOLOHJLVRUHEY-QJWNTBNXSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001485525023 1090202146 /nfs/dbraw/zinc/20/21/46/1090202146.db2.gz DDTDUFUZZGIITK-IAGOWNOFSA-N 0 1 304.478 3.219 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@@H](C)C1CN(CC=C)C1)c1ccccc1 ZINC001485541413 1090203615 /nfs/dbraw/zinc/20/36/15/1090203615.db2.gz TZFKVRGNIQAQER-QFBILLFUSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)Cc2ccc(F)cc2)C(C)(C)C1 ZINC001485584085 1090219618 /nfs/dbraw/zinc/21/96/18/1090219618.db2.gz GKVXNXXFEXBPPV-YOEHRIQHSA-N 0 1 318.436 3.017 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CC3(C=C)CCCCC3)C2)C1 ZINC001485664108 1090232798 /nfs/dbraw/zinc/23/27/98/1090232798.db2.gz PFXHXFPJHPIOPM-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001485683769 1090240101 /nfs/dbraw/zinc/24/01/01/1090240101.db2.gz BXALJMGKDZACME-RBSFLKMASA-N 0 1 319.449 3.131 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001485683768 1090240116 /nfs/dbraw/zinc/24/01/16/1090240116.db2.gz BXALJMGKDZACME-KKUMJFAQSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001485695271 1090244684 /nfs/dbraw/zinc/24/46/84/1090244684.db2.gz JCMVRIINCLNTFA-LLYWVAJCSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001163866621 1090277569 /nfs/dbraw/zinc/27/75/69/1090277569.db2.gz QYFFZKLHKRMYSO-UHFFFAOYSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1c(F)cccc1F ZINC001164222905 1090377131 /nfs/dbraw/zinc/37/71/31/1090377131.db2.gz OFQKQWBPZUTAOH-STQMWFEESA-N 0 1 310.388 3.019 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164222983 1090377784 /nfs/dbraw/zinc/37/77/84/1090377784.db2.gz PIJBDIVMOHJNQW-OLZOCXBDSA-N 0 1 321.490 3.075 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164222984 1090377863 /nfs/dbraw/zinc/37/78/63/1090377863.db2.gz PIJBDIVMOHJNQW-QWHCGFSZSA-N 0 1 321.490 3.075 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164224056 1090378195 /nfs/dbraw/zinc/37/81/95/1090378195.db2.gz ZWJSXTZOYIPZBF-KGLIPLIRSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164224055 1090378853 /nfs/dbraw/zinc/37/88/53/1090378853.db2.gz ZWJSXTZOYIPZBF-KBPBESRZSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C=C(/C)CC)CCC[C@@H]12 ZINC001107394258 1090431399 /nfs/dbraw/zinc/43/13/99/1090431399.db2.gz VPIRRTFMPSUCPO-UTZFPLNVSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C2CCCC2)C1 ZINC001107679972 1090483931 /nfs/dbraw/zinc/48/39/31/1090483931.db2.gz MDEVVKUPLKVVKY-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1ccc(CSc2nc(-c3ccccc3O)n[nH]2)s1 ZINC001165267340 1090493195 /nfs/dbraw/zinc/49/31/95/1090493195.db2.gz QVDRLCJVOPAZRC-UHFFFAOYSA-N 0 1 314.395 3.403 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CCCC)C1 ZINC001107744494 1090496619 /nfs/dbraw/zinc/49/66/19/1090496619.db2.gz DUYNVKXPYJGULX-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CCCC)C1 ZINC001107744495 1090496821 /nfs/dbraw/zinc/49/68/21/1090496821.db2.gz DUYNVKXPYJGULX-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)/C(C)=C/CC)C1 ZINC001107782990 1090502069 /nfs/dbraw/zinc/50/20/69/1090502069.db2.gz BYTAPZVXQVCJHN-OXRZLYTFSA-N 0 1 322.493 3.296 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@]1(C)CN(CCCCCCC)CCO1 ZINC001107855637 1090516597 /nfs/dbraw/zinc/51/65/97/1090516597.db2.gz WUDOEMLLIABJHH-NPKHCMBQSA-N 0 1 310.482 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C2=CCCCCC2)C1 ZINC001107893340 1090529791 /nfs/dbraw/zinc/52/97/91/1090529791.db2.gz BNTWXPLOTKZWPP-LJQANCHMSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001165934498 1090563330 /nfs/dbraw/zinc/56/33/30/1090563330.db2.gz VMDQSCAZVYOLOC-SFHVURJKSA-N 0 1 321.465 3.170 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(F)c(F)c(F)c2F)cc1O ZINC001649094932 1090594621 /nfs/dbraw/zinc/59/46/21/1090594621.db2.gz GIABCVBEOSOFQB-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001107976371 1090606617 /nfs/dbraw/zinc/60/66/17/1090606617.db2.gz KJRPMANYTYJONJ-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN CC(C)n1ccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001203166973 1090610535 /nfs/dbraw/zinc/61/05/35/1090610535.db2.gz SEXSJOYCQFFGQH-UHFFFAOYSA-N 0 1 323.444 3.436 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1(CNCc2ncc(C)s2)CC1 ZINC001166579202 1090623497 /nfs/dbraw/zinc/62/34/97/1090623497.db2.gz FSKUCFBYFOINFL-UHFFFAOYSA-N 0 1 321.490 3.040 20 30 DGEDMN N#CNc1ncccc1C1(C#N)CCN(Cc2ccccc2)CC1 ZINC001168627191 1090635899 /nfs/dbraw/zinc/63/58/99/1090635899.db2.gz YTARTNCLWOCPFS-UHFFFAOYSA-N 0 1 317.396 3.032 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001128085123 1090645548 /nfs/dbraw/zinc/64/55/48/1090645548.db2.gz JYDVVXIZXHKLLF-UHFFFAOYSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)/C=C(\C)C3CC3)cccc2C1 ZINC001272909621 1090677779 /nfs/dbraw/zinc/67/77/79/1090677779.db2.gz QPGUSTZQXBJCKP-NTCAYCPXSA-N 0 1 310.441 3.203 20 30 DGEDMN CCC[C@@H](C)CCCC(=O)NCCNCC#Cc1ccccc1 ZINC001128152217 1090695933 /nfs/dbraw/zinc/69/59/33/1090695933.db2.gz HTRICWIIVKIUQU-GOSISDBHSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@H](C)C(CC)CC)C1 ZINC001108038619 1090704793 /nfs/dbraw/zinc/70/47/93/1090704793.db2.gz WXVQDWDEYVRGTD-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1ccsc1 ZINC001272936902 1090706277 /nfs/dbraw/zinc/70/62/77/1090706277.db2.gz VIYCWJITXKUJCF-KRWDZBQOSA-N 0 1 304.459 3.281 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001485847463 1090706947 /nfs/dbraw/zinc/70/69/47/1090706947.db2.gz KQKRFNDKNDMWLJ-HUUCEWRRSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(OCCC)c1 ZINC001485882403 1090719218 /nfs/dbraw/zinc/71/92/18/1090719218.db2.gz JKFNSJBDUIGMDY-AWEZNQCLSA-N 0 1 324.852 3.278 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCc2ccc(F)cc2F)C1 ZINC001485922019 1090729231 /nfs/dbraw/zinc/72/92/31/1090729231.db2.gz XLKCZPLFGCMBEQ-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](NCc1coc(-c3ccccc3)n1)C2 ZINC001203446757 1090736499 /nfs/dbraw/zinc/73/64/99/1090736499.db2.gz IQFJTLBVCREOAD-GOSISDBHSA-N 0 1 315.376 3.470 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001486123169 1090756167 /nfs/dbraw/zinc/75/61/67/1090756167.db2.gz YYRCMBCALSNRRU-STQMWFEESA-N 0 1 317.260 3.078 20 30 DGEDMN CC(C)CCC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@@H]1C ZINC001486103247 1090750694 /nfs/dbraw/zinc/75/06/94/1090750694.db2.gz ZUZORKZEVMNUOX-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2c(C)nsc2C)[C@H]1C ZINC001486169505 1090772900 /nfs/dbraw/zinc/77/29/00/1090772900.db2.gz BQJWEAPMFKENBY-XJKSGUPXSA-N 0 1 321.490 3.195 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCCN(Cc3cccc(C#N)c3)C2)c1 ZINC001203763846 1090799548 /nfs/dbraw/zinc/79/95/48/1090799548.db2.gz OTRZPNKZHHRIDO-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN CCOC(=O)C1(CC)CCN(Cc2cccc(C#N)c2)CC1 ZINC001203763732 1090799957 /nfs/dbraw/zinc/79/99/57/1090799957.db2.gz IAVFMNZLOHOHEF-UHFFFAOYSA-N 0 1 300.402 3.114 20 30 DGEDMN C/C=C(\C)C(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001486367193 1090809719 /nfs/dbraw/zinc/80/97/19/1090809719.db2.gz TXJAKNJDOKBAIG-OMCISZLKSA-N 0 1 313.445 3.193 20 30 DGEDMN C=CCOCc1ncn2c1CN(Cc1cccc(C)c1)CCC2 ZINC001203890699 1090828400 /nfs/dbraw/zinc/82/84/00/1090828400.db2.gz MCHYPZMLOBOYRL-UHFFFAOYSA-N 0 1 311.429 3.300 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1cccnc1)C(C)(C)C ZINC001486419399 1090829344 /nfs/dbraw/zinc/82/93/44/1090829344.db2.gz AGGVLESNYRHAOY-UKRRQHHQSA-N 0 1 323.868 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(OC)c1)C(C)(C)C ZINC001486420514 1090830367 /nfs/dbraw/zinc/83/03/67/1090830367.db2.gz CHRXWDMWYOEGAJ-OAHLLOKOSA-N 0 1 324.852 3.182 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C1CCN(C/C=C/Cl)CC1 ZINC001486436526 1090836015 /nfs/dbraw/zinc/83/60/15/1090836015.db2.gz GABGACCDCUTIEG-XKOZXHHJSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001486475194 1090850245 /nfs/dbraw/zinc/85/02/45/1090850245.db2.gz CLYBXBTZAWRAOT-LUAWRHEFSA-N 0 1 305.249 3.241 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cccc(Cl)c1F ZINC001128242507 1090923964 /nfs/dbraw/zinc/92/39/64/1090923964.db2.gz RHMIMWRCKPFXRG-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001276459615 1090949411 /nfs/dbraw/zinc/94/94/11/1090949411.db2.gz BXXUYEDLNAWOIH-HUUCEWRRSA-N 0 1 318.436 3.017 20 30 DGEDMN CN(CCCCNC(=O)OC(C)(C)C)Cc1ccccc1C#N ZINC001204372562 1090959154 /nfs/dbraw/zinc/95/91/54/1090959154.db2.gz GUJUWBOLVHYCFW-UHFFFAOYSA-N 0 1 317.433 3.295 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@@H]1CCN(Cc1nc(C)cs1)C2 ZINC001204375892 1090959890 /nfs/dbraw/zinc/95/98/90/1090959890.db2.gz BVKYQJXPJHRLEV-WBVHZDCISA-N 0 1 322.474 3.025 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1ccc(CC)o1)C2 ZINC001204393197 1090960696 /nfs/dbraw/zinc/96/06/96/1090960696.db2.gz MFGHQQLTCCWKCH-QZTJIDSGSA-N 0 1 305.418 3.168 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1ccc(Cl)s1)CC2 ZINC001272998639 1090978059 /nfs/dbraw/zinc/97/80/59/1090978059.db2.gz FLJJYKBRHRIJOW-CYBMUJFWSA-N 0 1 324.877 3.306 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN(C)CCOCc1ccccc1 ZINC001204626026 1091008735 /nfs/dbraw/zinc/00/87/35/1091008735.db2.gz XYZUWSUMYFIWAW-UHFFFAOYSA-N 0 1 323.440 3.273 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CN(CC/C=C/CCC)CCO1 ZINC001108120373 1091101399 /nfs/dbraw/zinc/10/13/99/1091101399.db2.gz YWUVHKWJXFQQBE-JBVUFVISSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CN(CCCCCCC)CCO1 ZINC001108121959 1091105572 /nfs/dbraw/zinc/10/55/72/1091105572.db2.gz JYRKHXSDMNQYPL-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C2(CCC)CCC2)C1 ZINC001108142273 1091133850 /nfs/dbraw/zinc/13/38/50/1091133850.db2.gz PISYURMBHJIFRU-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149572 1091154410 /nfs/dbraw/zinc/15/44/10/1091154410.db2.gz XYDFUJYEMQDTSN-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN COc1c(C)cc(CN2CCC3SC(=O)C=C3C2)cc1C ZINC001138429594 1091181813 /nfs/dbraw/zinc/18/18/13/1091181813.db2.gz SKYPWGQGHTWGNX-OAHLLOKOSA-N 0 1 303.427 3.086 20 30 DGEDMN COc1cc(CN2CCC[C@@H](C#N)CC2)ccc1OC(F)F ZINC001138553537 1091223772 /nfs/dbraw/zinc/22/37/72/1091223772.db2.gz HJFCBOMZWIXEFL-GFCCVEGCSA-N 0 1 310.344 3.422 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CN(CCCC2CCC2)CCO1 ZINC001108171437 1091269130 /nfs/dbraw/zinc/26/91/30/1091269130.db2.gz IKLNFCKQSATADZ-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN N#CCc1ccc(-c2ccc(CCN3CCOCC3)cc2)cc1 ZINC001205636504 1091290271 /nfs/dbraw/zinc/29/02/71/1091290271.db2.gz FQUDQVHGNMHOGI-UHFFFAOYSA-N 0 1 306.409 3.294 20 30 DGEDMN CNc1ncccc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001138695413 1091292024 /nfs/dbraw/zinc/29/20/24/1091292024.db2.gz AKGKUNWWBZUITO-KRWDZBQOSA-N 0 1 322.412 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1ccc(F)cc1Cl ZINC001493655059 1091337180 /nfs/dbraw/zinc/33/71/80/1091337180.db2.gz SOMWDTVYYFTUFE-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@]2(C)CN(CCCCC)CCO2)CC1 ZINC001108185467 1091360149 /nfs/dbraw/zinc/36/01/49/1091360149.db2.gz AFWIFVOCQKZUPM-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN CC(C)Cn1cc(Nc2sc3c(c2C#N)CCN(C)C3)cn1 ZINC001205837064 1091373711 /nfs/dbraw/zinc/37/37/11/1091373711.db2.gz ILHDYCAJVIBGDG-UHFFFAOYSA-N 0 1 315.446 3.204 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC1CCCCC1 ZINC001098872666 1091408132 /nfs/dbraw/zinc/40/81/32/1091408132.db2.gz DEDJRBNSBADKSH-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(-c3cccs3)s2)CCC1=O ZINC001139021954 1091421112 /nfs/dbraw/zinc/42/11/12/1091421112.db2.gz CHDJKAZBCADSER-NSHDSACASA-N 0 1 302.424 3.391 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(N(CC)C(C)=O)CC1 ZINC001139030474 1091425257 /nfs/dbraw/zinc/42/52/57/1091425257.db2.gz HXMOQPJFCWARFJ-UHFFFAOYSA-N 0 1 316.445 3.084 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(N(C)C(=O)CC)CC1 ZINC001139031301 1091425384 /nfs/dbraw/zinc/42/53/84/1091425384.db2.gz UFUNDUQOOAVRTD-UHFFFAOYSA-N 0 1 316.445 3.084 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(Oc3ncccn3)cc2)CC1 ZINC001139081737 1091441013 /nfs/dbraw/zinc/44/10/13/1091441013.db2.gz RHONUBDIWCTWNC-UHFFFAOYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(Cc4ccccc4)CCC3)[C@@H]2C1 ZINC001084414591 1091487541 /nfs/dbraw/zinc/48/75/41/1091487541.db2.gz MSOURKPCMOTDEX-RTBURBONSA-N 0 1 324.468 3.118 20 30 DGEDMN C[C@@H]1CCN(Cc2ccc(-c3cc(C#N)ccn3)cc2)CCC1=O ZINC001139401537 1091548434 /nfs/dbraw/zinc/54/84/34/1091548434.db2.gz CDMOHFLXBHGFNY-OAHLLOKOSA-N 0 1 319.408 3.421 20 30 DGEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccc4sccc4c3)[C@@H]2C1 ZINC001084750875 1091553372 /nfs/dbraw/zinc/55/33/72/1091553372.db2.gz JRUNQOOSVSELSK-HZPDHXFCSA-N 0 1 312.438 3.234 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc4sccc4c3)[C@@H]2C1 ZINC001084750875 1091553384 /nfs/dbraw/zinc/55/33/84/1091553384.db2.gz JRUNQOOSVSELSK-HZPDHXFCSA-N 0 1 312.438 3.234 20 30 DGEDMN CCN(CCOC)Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139424421 1091554992 /nfs/dbraw/zinc/55/49/92/1091554992.db2.gz QIZCWOAVMMGKTQ-UHFFFAOYSA-N 0 1 311.385 3.214 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CCCC[C@H]3CO)cn2)cc1 ZINC001139424396 1091555796 /nfs/dbraw/zinc/55/57/96/1091555796.db2.gz PZLQFZKZCWMUJC-KRWDZBQOSA-N 0 1 323.396 3.092 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CCCC[C@@H](O)C3)cn2)cc1 ZINC001139427155 1091556284 /nfs/dbraw/zinc/55/62/84/1091556284.db2.gz WQAXJRCUPBFFKL-QGZVFWFLSA-N 0 1 323.396 3.092 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C)c3ccc(F)cc3)[C@@H]2C1 ZINC001084848249 1091589143 /nfs/dbraw/zinc/58/91/43/1091589143.db2.gz DMKIRPNHRPYGDJ-YXJHDRRASA-N 0 1 316.420 3.038 20 30 DGEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3sccc3C(C)C)[C@@H]2C1 ZINC001084848209 1091589999 /nfs/dbraw/zinc/58/99/99/1091589999.db2.gz CDCUPCZEYVCQDM-GDBMZVCRSA-N 0 1 316.470 3.041 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC3CCC(C)(C)CC3)[C@@H]2C1 ZINC001084874531 1091601022 /nfs/dbraw/zinc/60/10/22/1091601022.db2.gz XPUMHNKOKMLEFZ-IAGOWNOFSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CCC2(CN(C)C2)C1)c1ccccc1 ZINC001139593785 1091613897 /nfs/dbraw/zinc/61/38/97/1091613897.db2.gz FUTBCOPDPJOYPQ-SFHVURJKSA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CCN(C[C@@H](F)CC)[C@H]2C1 ZINC001085004735 1091636289 /nfs/dbraw/zinc/63/62/89/1091636289.db2.gz GELAPUWACJILME-PMPSAXMXSA-N 0 1 310.457 3.260 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@H](C)Cc2ccc(C#N)cc2)c1 ZINC001295812426 1091644306 /nfs/dbraw/zinc/64/43/06/1091644306.db2.gz VKKDDTUQQHMOSE-GFCCVEGCSA-N 0 1 322.364 3.284 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1c[nH]c3c1cccc3C#N)C2 ZINC001139701184 1091646835 /nfs/dbraw/zinc/64/68/35/1091646835.db2.gz CHTCTJUWWFHWKO-UHFFFAOYSA-N 0 1 312.376 3.470 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2c(F)ccc(F)c2Cl)c1 ZINC001295827607 1091649423 /nfs/dbraw/zinc/64/94/23/1091649423.db2.gz GFGZUZYURYHCAR-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN Cc1cc(O[C@@H]2CCCN(Cc3ccc(C)c(C#N)c3)C2)ncn1 ZINC001139727705 1091655572 /nfs/dbraw/zinc/65/55/72/1091655572.db2.gz XLRMBHJLZZDKHX-GOSISDBHSA-N 0 1 322.412 3.009 20 30 DGEDMN C=CCn1cc(CN(C)Cc2ccc(Br)cc2)cn1 ZINC001139770250 1091667923 /nfs/dbraw/zinc/66/79/23/1091667923.db2.gz IUQPYEQHBOOMJV-UHFFFAOYSA-N 0 1 320.234 3.464 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)CCC ZINC001098961228 1091688075 /nfs/dbraw/zinc/68/80/75/1091688075.db2.gz WAOQLPOLBRIOJD-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCCC[C@H]1NC(=O)C1CC=CC1 ZINC001085155889 1091690246 /nfs/dbraw/zinc/69/02/46/1091690246.db2.gz PESLQHGGBJFLIG-RTBURBONSA-N 0 1 323.440 3.041 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c3cc(C)ccc3[nH]c2C(=O)OCC)C1 ZINC001139866845 1091695934 /nfs/dbraw/zinc/69/59/34/1091695934.db2.gz KELORNQRZASHCU-OAHLLOKOSA-N 0 1 324.424 3.498 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1cc(F)cc(C#N)c1 ZINC001139875738 1091698996 /nfs/dbraw/zinc/69/89/96/1091698996.db2.gz CEOBUFLBGQRYCS-MRXNPFEDSA-N 0 1 318.392 3.394 20 30 DGEDMN CCC(CC)C(=O)N(CC)CCNCC#Cc1ccc(F)cc1 ZINC001273275674 1091703633 /nfs/dbraw/zinc/70/36/33/1091703633.db2.gz ZPGHXJYKFFCEDP-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN COc1ccc2[nH]nc(CN(C)Cc3ccc(C#N)cc3)c2c1 ZINC001140067340 1091755542 /nfs/dbraw/zinc/75/55/42/1091755542.db2.gz KZUVCZXAQUZIBD-UHFFFAOYSA-N 0 1 306.369 3.075 20 30 DGEDMN COc1ccc2n[nH]c(CN(C)Cc3ccc(C#N)cc3)c2c1 ZINC001140067340 1091755554 /nfs/dbraw/zinc/75/55/54/1091755554.db2.gz KZUVCZXAQUZIBD-UHFFFAOYSA-N 0 1 306.369 3.075 20 30 DGEDMN Cc1cc(CN2CCN(c3ccccc3C#N)CC2)c(C)cc1O ZINC001140197881 1091797805 /nfs/dbraw/zinc/79/78/05/1091797805.db2.gz WXSVIVNRXRBABA-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN COc1cncc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001206997919 1091815901 /nfs/dbraw/zinc/81/59/01/1091815901.db2.gz JPXUUOQIPSRHMG-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1noc(C)c1CN1CCC[C@@H](Oc2cccc(C#N)c2)C1 ZINC001207139861 1091859829 /nfs/dbraw/zinc/85/98/29/1091859829.db2.gz OLLXKIOGXCSDJF-QGZVFWFLSA-N 0 1 311.385 3.207 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(CCC)s1 ZINC001085620469 1091862158 /nfs/dbraw/zinc/86/21/58/1091862158.db2.gz PWQFSBPBRSBAAM-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)c2ccccc2n1 ZINC001085679519 1091880714 /nfs/dbraw/zinc/88/07/14/1091880714.db2.gz LDIOJXZOMZOPRS-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN CC(C)CCC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001207231336 1091894886 /nfs/dbraw/zinc/89/48/86/1091894886.db2.gz DZMQCWPEEMAYSQ-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN N#Cc1ccc(CN2CCC(Sc3ccncc3)CC2)cn1 ZINC001140546126 1091904385 /nfs/dbraw/zinc/90/43/85/1091904385.db2.gz UWLFEDSWDGVOIQ-UHFFFAOYSA-N 0 1 310.426 3.105 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCN2Cc2ccc(C#N)nc2)cc1 ZINC001140547663 1091905711 /nfs/dbraw/zinc/90/57/11/1091905711.db2.gz ZHIUATMDFJPXJN-SFHVURJKSA-N 0 1 321.380 3.077 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)ccc1C1CC1 ZINC001085739946 1091908251 /nfs/dbraw/zinc/90/82/51/1091908251.db2.gz DBSQVQOGMRDABL-QGZVFWFLSA-N 0 1 310.441 3.042 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C)nc1C(C)C ZINC001085747508 1091910566 /nfs/dbraw/zinc/91/05/66/1091910566.db2.gz IJFCJMHVJQBNQO-INIZCTEOSA-N 0 1 315.461 3.236 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001085750817 1091913685 /nfs/dbraw/zinc/91/36/85/1091913685.db2.gz PSGSHQFCHKCMGD-SNVBAGLBSA-N 0 1 316.232 3.044 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cnc2ccsc2c1 ZINC001085761143 1091916045 /nfs/dbraw/zinc/91/60/45/1091916045.db2.gz BLYUGILFBHOHGN-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN Cc1nnc([C@H]2CCCN(Cc3cc(C#N)ccc3Cl)C2)o1 ZINC001140603901 1091929575 /nfs/dbraw/zinc/92/95/75/1091929575.db2.gz IDYARAUXGSKTFU-ZDUSSCGKSA-N 0 1 316.792 3.283 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(Cc3cc(C#N)ccc3Cl)C2)o1 ZINC001140603900 1091929745 /nfs/dbraw/zinc/92/97/45/1091929745.db2.gz IDYARAUXGSKTFU-CYBMUJFWSA-N 0 1 316.792 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccccc1-n1cccc1 ZINC001085796026 1091941805 /nfs/dbraw/zinc/94/18/05/1091941805.db2.gz HLMWWMMKMBUDOV-KRWDZBQOSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(F)cc(Cl)c1 ZINC001085837293 1091961540 /nfs/dbraw/zinc/96/15/40/1091961540.db2.gz GUUOAIBSUJCSNO-OAHLLOKOSA-N 0 1 310.800 3.202 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H](O)Cc1cccc(Cl)c1 ZINC001207423408 1091962005 /nfs/dbraw/zinc/96/20/05/1091962005.db2.gz BZSDETKTUSSJFB-HNNXBMFYSA-N 0 1 318.779 3.044 20 30 DGEDMN CN(CCOc1ccccc1)Cc1cc(F)c(C#N)c(F)c1 ZINC001140754364 1091987986 /nfs/dbraw/zinc/98/79/86/1091987986.db2.gz XDNPGISYZQHVJQ-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1F ZINC001085865657 1091989794 /nfs/dbraw/zinc/98/97/94/1091989794.db2.gz KDGLJVQDARQIEI-HYVNUMGLSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(C)s1 ZINC001085865529 1091990056 /nfs/dbraw/zinc/99/00/56/1091990056.db2.gz GZNFSOOBESAAEF-BZUAXINKSA-N 0 1 318.486 3.269 20 30 DGEDMN N#Cc1ccc(N2CCN(CCc3ccccc3F)CC2)cc1 ZINC001207502172 1091993209 /nfs/dbraw/zinc/99/32/09/1091993209.db2.gz PGXZWHKPJHCTDR-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN([C@H](C)CC)CC1)c1ccccc1 ZINC001297958830 1092003846 /nfs/dbraw/zinc/00/38/46/1092003846.db2.gz AXEDETVPWUWXOW-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1[nH]ccc1-c1ccccc1 ZINC001085918537 1092012061 /nfs/dbraw/zinc/01/20/61/1092012061.db2.gz GNFOJFHORBFARC-KRWDZBQOSA-N 0 1 323.440 3.404 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc2ccccc2c1 ZINC001085942220 1092033422 /nfs/dbraw/zinc/03/34/22/1092033422.db2.gz BQGXUAVLLCJFEG-IBGZPJMESA-N 0 1 306.409 3.009 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001085951702 1092039733 /nfs/dbraw/zinc/03/97/33/1092039733.db2.gz LAPGHSZFHLREFY-RDJZCZTQSA-N 0 1 318.848 3.089 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(CC(C)C)cc1 ZINC001085964057 1092062812 /nfs/dbraw/zinc/06/28/12/1092062812.db2.gz XLHSLBQHIIYVBO-LJQANCHMSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1Cc2c1cccc2Cl ZINC001085995953 1092081956 /nfs/dbraw/zinc/08/19/56/1092081956.db2.gz ZCORAQJYNCZZBP-XJKSGUPXSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CC(c2ccccc2)C1 ZINC001085998201 1092087539 /nfs/dbraw/zinc/08/75/39/1092087539.db2.gz QCDJCBLLEQTUFW-CTWPCTMYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001108238624 1092120742 /nfs/dbraw/zinc/12/07/42/1092120742.db2.gz RCEKNJXQLOZFKX-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCCCCCCCN1CCN(c2ncncn2)CC1 ZINC001207900104 1092133194 /nfs/dbraw/zinc/13/31/94/1092133194.db2.gz TWHYCPQERURDRS-UHFFFAOYSA-N 0 1 317.481 3.300 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cc(C)c(C#N)cn3)c2C)o1 ZINC001298972708 1092134519 /nfs/dbraw/zinc/13/45/19/1092134519.db2.gz VNBQYNCGPLFEPL-UHFFFAOYSA-N 0 1 321.340 3.114 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@@]3(C2)CCCCO3)c1Cl ZINC001141106699 1092139383 /nfs/dbraw/zinc/13/93/83/1092139383.db2.gz FJFDNAHBWVHVDQ-CQSZACIVSA-N 0 1 311.838 3.000 20 30 DGEDMN Cc1ccc(CCCN2CCN(c3ccccc3C#N)CC2)o1 ZINC001207937185 1092149071 /nfs/dbraw/zinc/14/90/71/1092149071.db2.gz INBJIVXEBYNRMY-UHFFFAOYSA-N 0 1 309.413 3.215 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCCN(Cc2ccc(F)cc2C#N)C1 ZINC001141164220 1092158005 /nfs/dbraw/zinc/15/80/05/1092158005.db2.gz QNEKADKHTKCPAA-SFHVURJKSA-N 0 1 318.392 3.253 20 30 DGEDMN CC1(C)OCCC12CCN(Cc1ccc(F)cc1C#N)CC2 ZINC001141167534 1092159171 /nfs/dbraw/zinc/15/91/71/1092159171.db2.gz OESWSDDAIXSXML-UHFFFAOYSA-N 0 1 302.393 3.478 20 30 DGEDMN C=CCOC[C@H]1c2c(ncn2C)CCN1CCCC1CCCC1 ZINC001208091290 1092194936 /nfs/dbraw/zinc/19/49/36/1092194936.db2.gz VQKFQFYJGGTRBX-SFHVURJKSA-N 0 1 317.477 3.492 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2oc(C(C)C)nc2C)C1 ZINC001491729358 1092214061 /nfs/dbraw/zinc/21/40/61/1092214061.db2.gz XOASZPBWRBRNDF-HNNXBMFYSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCCC[C@]23CC(=O)N(C)C3)CC1 ZINC001208175689 1092242301 /nfs/dbraw/zinc/24/23/01/1092242301.db2.gz ZDHLTEBBYCDLLN-IEBWSBKVSA-N 0 1 302.462 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001208239591 1092287999 /nfs/dbraw/zinc/28/79/99/1092287999.db2.gz DGHIKHUOPOZGGP-KIWPFMIBSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CC1(CC(=O)NC[C@]2(C)CN(CCC)CCO2)CCCCC1 ZINC001108274783 1092248159 /nfs/dbraw/zinc/24/81/59/1092248159.db2.gz OLCUITHSSYVSAZ-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001208255330 1092294506 /nfs/dbraw/zinc/29/45/06/1092294506.db2.gz FYWLEOBFIZEJII-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001273438045 1092295640 /nfs/dbraw/zinc/29/56/40/1092295640.db2.gz VSQLFARAPNADIT-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2cc(C)ccc2F)C[C@H]1C ZINC001208315303 1092309107 /nfs/dbraw/zinc/30/91/07/1092309107.db2.gz UCVJZHAXQKKHEX-RHSMWYFYSA-N 0 1 318.436 3.283 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)CC(F)F)C1 ZINC001208315820 1092309432 /nfs/dbraw/zinc/30/94/32/1092309432.db2.gz JNFUFCOFOIPKET-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](C)CCCCCC)C1 ZINC001108291790 1092313892 /nfs/dbraw/zinc/31/38/92/1092313892.db2.gz XELNNNQXAPUZAG-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C[Si](C)(C)c1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001141729809 1092323267 /nfs/dbraw/zinc/32/32/67/1092323267.db2.gz QJEQMTDBOJCORL-INIZCTEOSA-N 0 1 317.530 3.006 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333623 1092335393 /nfs/dbraw/zinc/33/53/93/1092335393.db2.gz RTHMTVNJLUGYJS-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333623 1092335403 /nfs/dbraw/zinc/33/54/03/1092335403.db2.gz RTHMTVNJLUGYJS-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208392895 1092337731 /nfs/dbraw/zinc/33/77/31/1092337731.db2.gz FFMYZFARUSMEJH-IIDMSEBBSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@](C)(F)CCCC)C1 ZINC001208455749 1092360370 /nfs/dbraw/zinc/36/03/70/1092360370.db2.gz AWALDRCSTTYUKO-DZGCQCFKSA-N 0 1 304.837 3.238 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2CC(N3CCCC3)C2)s1 ZINC001141977941 1092375722 /nfs/dbraw/zinc/37/57/22/1092375722.db2.gz UHOYRQNKZZPOSD-UHFFFAOYSA-N 0 1 322.477 3.428 20 30 DGEDMN C[C@@H]1C[C@@H](N2CCC(C)(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001168870315 1092405703 /nfs/dbraw/zinc/40/57/03/1092405703.db2.gz AIMKHVSGHOLACN-ZIAGYGMSSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCCC(=O)NCCN(C)Cc1ccc(C(C)C)nc1C ZINC001492214514 1092444292 /nfs/dbraw/zinc/44/42/92/1092444292.db2.gz VVBBORMUKNBRSO-UHFFFAOYSA-N 0 1 303.450 3.028 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001208917758 1092584438 /nfs/dbraw/zinc/58/44/38/1092584438.db2.gz ASAPCUUASPGUIP-YQCJOKCJSA-N 0 1 319.276 3.488 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001209124926 1092664109 /nfs/dbraw/zinc/66/41/09/1092664109.db2.gz GADSMBSDXXFHSR-BPQIPLTHSA-N 0 1 304.478 3.053 20 30 DGEDMN COc1cc(C#N)ccc1Nc1ccccc1N1CCN(C)CC1 ZINC001209328416 1092737027 /nfs/dbraw/zinc/73/70/27/1092737027.db2.gz IWTXALPJLAGZSZ-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccccc1-c1ccccc1 ZINC001149997103 1092748342 /nfs/dbraw/zinc/74/83/42/1092748342.db2.gz JYUBLQKDGHCRFR-UHFFFAOYSA-N 0 1 314.816 3.426 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)C(CC(C)C)CC(C)C)C1 ZINC001150796273 1092827180 /nfs/dbraw/zinc/82/71/80/1092827180.db2.gz SMFWDOHCMARPPP-SFHVURJKSA-N 0 1 324.509 3.088 20 30 DGEDMN Cc1[nH]c2ncccc2c1CN1CCc2ccc(C#N)cc2C1 ZINC001209585543 1092836224 /nfs/dbraw/zinc/83/62/24/1092836224.db2.gz NSXNCOJHQRDWEA-UHFFFAOYSA-N 0 1 302.381 3.301 20 30 DGEDMN CCC(CC)(C(=O)NCC#CCN(C)C)c1ccc(Cl)cc1 ZINC001151241569 1092878760 /nfs/dbraw/zinc/87/87/60/1092878760.db2.gz FEPRAYUBMLLBLC-UHFFFAOYSA-N 0 1 320.864 3.079 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCCCC)C(C)C)C1 ZINC001210110833 1093046161 /nfs/dbraw/zinc/04/61/61/1093046161.db2.gz FPUUIDFHECQRRI-KZNAEPCWSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](CC)CC(C)C)C1 ZINC001210553761 1093207146 /nfs/dbraw/zinc/20/71/46/1093207146.db2.gz WCEOKXZMLDBVHM-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4ocnc4c3)sc2C1 ZINC001210570810 1093215461 /nfs/dbraw/zinc/21/54/61/1093215461.db2.gz ZPYNJXRCUOHDFX-UHFFFAOYSA-N 0 1 310.382 3.492 20 30 DGEDMN C[C@H]1CC(=O)C=C(Nc2cccc3c2OCC[C@H]3N(C)C)C1 ZINC001210776937 1093279846 /nfs/dbraw/zinc/27/98/46/1093279846.db2.gz VBSJOSPLNNMJRH-SJKOYZFVSA-N 0 1 300.402 3.367 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCc1cccc(C(=O)OC(C)(C)C)c1 ZINC001210815474 1093290361 /nfs/dbraw/zinc/29/03/61/1093290361.db2.gz RMGCSVCTDQUUML-NSHDSACASA-N 0 1 318.369 3.378 20 30 DGEDMN N#Cc1cnn(-c2ccccn2)c1Nc1cccc(O)c1Cl ZINC001210852062 1093302011 /nfs/dbraw/zinc/30/20/11/1093302011.db2.gz UBUYRHCHEZIWCK-UHFFFAOYSA-N 0 1 311.732 3.242 20 30 DGEDMN N#Cc1cc(F)c(NC2=CCN(C(=O)C3CC3)CC2)c(Cl)c1 ZINC001211184450 1093408885 /nfs/dbraw/zinc/40/88/85/1093408885.db2.gz FBMZPFTUENZWLV-UHFFFAOYSA-N 0 1 319.767 3.289 20 30 DGEDMN COc1cnccc1Nc1ccc(Br)c(F)c1C#N ZINC001211263781 1093436303 /nfs/dbraw/zinc/43/63/03/1093436303.db2.gz JBVBYADBVFBEGJ-UHFFFAOYSA-N 0 1 322.137 3.029 20 30 DGEDMN C#Cc1ccccc1Nc1ccc(N2CCN(C)CC2)cc1OC ZINC001213550041 1093608480 /nfs/dbraw/zinc/60/84/80/1093608480.db2.gz RVTXUEBSRGJTEJ-UHFFFAOYSA-N 0 1 321.424 3.172 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001214727865 1093840955 /nfs/dbraw/zinc/84/09/55/1093840955.db2.gz VZIVHZUTDOTJKP-ZGPXNKBISA-N 0 1 319.276 3.344 20 30 DGEDMN COc1ccc(Nc2ccccc2N2CCN(C)CC2)cc1C#N ZINC001214998714 1093899516 /nfs/dbraw/zinc/89/95/16/1093899516.db2.gz AUPIPLXIHATVHE-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001215298656 1093953006 /nfs/dbraw/zinc/95/30/06/1093953006.db2.gz ATVQRILALYWJTQ-VWVDBLKVSA-N 0 1 319.276 3.344 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3ccsc3C(=O)[O-])sc2C1 ZINC001215383888 1093974266 /nfs/dbraw/zinc/97/42/66/1093974266.db2.gz SVDYJUMOHXETGD-UHFFFAOYSA-N 0 1 319.411 3.111 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](CCC)C(C)C)C[C@H]1C ZINC001215736752 1094044797 /nfs/dbraw/zinc/04/47/97/1094044797.db2.gz GETJNRRPOPXNIP-BPLDGKMQSA-N 0 1 300.874 3.248 20 30 DGEDMN CNC(=O)c1cc(C#N)cc(C)c1Nc1ccc(C)c2[nH]ncc21 ZINC001215759774 1094050775 /nfs/dbraw/zinc/05/07/75/1094050775.db2.gz UYLKEHQGPNFWMM-UHFFFAOYSA-N 0 1 319.368 3.155 20 30 DGEDMN N#Cc1cc(N)c(Nc2cncn2Cc2ccccc2)cc1C#N ZINC001216553026 1094216670 /nfs/dbraw/zinc/21/66/70/1094216670.db2.gz BXTAOKWWRATPLR-UHFFFAOYSA-N 0 1 314.352 3.001 20 30 DGEDMN N#Cc1cc(-c2nc(-c3cc(F)cc(F)c3F)no2)ccc1O ZINC001216969695 1094285011 /nfs/dbraw/zinc/28/50/11/1094285011.db2.gz NAZVVHBUYZEYIC-UHFFFAOYSA-N 0 1 317.226 3.398 20 30 DGEDMN COCc1cccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1 ZINC001216969305 1094285467 /nfs/dbraw/zinc/28/54/67/1094285467.db2.gz BBQKLDANSNOYAH-UHFFFAOYSA-N 0 1 307.309 3.127 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1ncc(CC)o1 ZINC001135185086 1094434650 /nfs/dbraw/zinc/43/46/50/1094434650.db2.gz TWTMKLQDPMXIOR-UONOGXRCSA-N 0 1 321.465 3.212 20 30 DGEDMN N#CCC[C@H](C#N)CNC[C@@H](Cc1ccccc1)c1ccccn1 ZINC001120480420 1094438941 /nfs/dbraw/zinc/43/89/41/1094438941.db2.gz TUYHDLNMZVGXQQ-RTBURBONSA-N 0 1 318.424 3.441 20 30 DGEDMN N#CCC[C@H](C#N)CNC[C@H](Cc1ccccc1)c1ccccn1 ZINC001120480417 1094439287 /nfs/dbraw/zinc/43/92/87/1094439287.db2.gz TUYHDLNMZVGXQQ-MOPGFXCFSA-N 0 1 318.424 3.441 20 30 DGEDMN Cc1cc(-c2noc(-c3cccc4cncn43)n2)ccc1C#N ZINC001217589628 1094449070 /nfs/dbraw/zinc/44/90/70/1094449070.db2.gz WSJTWZDCETZXFY-UHFFFAOYSA-N 0 1 301.309 3.231 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001135353575 1094499623 /nfs/dbraw/zinc/49/96/23/1094499623.db2.gz JMALJMSENDUWGG-OLZOCXBDSA-N 0 1 307.438 3.021 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2cscn2)CCC1 ZINC001135395137 1094514978 /nfs/dbraw/zinc/51/49/78/1094514978.db2.gz NLCICOXUIRITRV-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@H](C)NCc2ncc(C)o2)CC1 ZINC001135415538 1094526856 /nfs/dbraw/zinc/52/68/56/1094526856.db2.gz LIOFIGJWCMIQAA-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)NC1CC2(C1)CC(N[C@@H](C)c1ncc(C)o1)C2 ZINC001120812695 1094530979 /nfs/dbraw/zinc/53/09/79/1094530979.db2.gz FUCSTWVPYYRPON-RWQKBRBCSA-N 0 1 317.433 3.027 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCNCC#Cc1ccccc1Cl ZINC001135612115 1094599715 /nfs/dbraw/zinc/59/97/15/1094599715.db2.gz PQBFKGKMCHEPDL-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(-c2nc3ccccc3s2)cc1 ZINC001218199608 1094654747 /nfs/dbraw/zinc/65/47/47/1094654747.db2.gz GJIQWBCPQKUGMP-BBRMVZONSA-N 0 1 321.405 3.454 20 30 DGEDMN CC1(C)c2cc(O)ccc2-c2ccc(O[C@H]3CNC[C@H]3C#N)cc21 ZINC001218201309 1094656817 /nfs/dbraw/zinc/65/68/17/1094656817.db2.gz QHSLDZXUVRLKOL-BLVKFPJESA-N 0 1 320.392 3.189 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1cc(Br)c2ccccc2c1 ZINC001218202644 1094656973 /nfs/dbraw/zinc/65/69/73/1094656973.db2.gz NGHHAHVOGBHPRP-NHYWBVRUSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1cc(O)cc(/C=C/c2ccccc2)c1 ZINC001218204135 1094658308 /nfs/dbraw/zinc/65/83/08/1094658308.db2.gz VBTHSZHVQKYRQM-WENXUGBFSA-N 0 1 306.365 3.053 20 30 DGEDMN CC(C)c1cc(Br)cc(O[C@H]2CNC[C@H]2C#N)c1 ZINC001218203923 1094658451 /nfs/dbraw/zinc/65/84/51/1094658451.db2.gz TUEFRIBREMRIQZ-RISCZKNCSA-N 0 1 309.207 3.063 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1cc2ccccc2cc1Br ZINC001218203829 1094658674 /nfs/dbraw/zinc/65/86/74/1094658674.db2.gz SLYBDZCQEQAKHA-DOMZBBRYSA-N 0 1 317.186 3.093 20 30 DGEDMN N#Cc1ccnnc1S[C@H]1CCCN(Cc2ccccc2)C1 ZINC001336678062 1094693370 /nfs/dbraw/zinc/69/33/70/1094693370.db2.gz CDWJKISKADAVID-INIZCTEOSA-N 0 1 310.426 3.105 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135864500 1094715380 /nfs/dbraw/zinc/71/53/80/1094715380.db2.gz XFSVXGGCDSJMOR-GJZGRUSLSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CCC[C@H](C)C1 ZINC001121613915 1094727343 /nfs/dbraw/zinc/72/73/43/1094727343.db2.gz JBASDYFZAPBYTI-ZDUSSCGKSA-N 0 1 322.416 3.244 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CC[NH2+]C(C)(C)C1 ZINC001218475887 1094798975 /nfs/dbraw/zinc/79/89/75/1094798975.db2.gz OAEONROTAUUMCL-ZDUSSCGKSA-N 0 1 303.402 3.233 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@@H](C)CCCCC)[C@H]2C1 ZINC001218737318 1094859320 /nfs/dbraw/zinc/85/93/20/1094859320.db2.gz MABLESVHUSWNCW-OKZBNKHCSA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1cccc(C(F)(F)F)c1NC(=O)[C@H](N)c1ccccc1 ZINC001218953255 1094910816 /nfs/dbraw/zinc/91/08/16/1094910816.db2.gz KSKFKAFXVZTGLU-CYBMUJFWSA-N 0 1 319.286 3.216 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)C[C@H](C)C1 ZINC001122532841 1095002603 /nfs/dbraw/zinc/00/26/03/1095002603.db2.gz ZRXCIUWHCHVFIB-STQMWFEESA-N 0 1 314.437 3.035 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](CC)CCCCC)C1 ZINC001111999487 1095023068 /nfs/dbraw/zinc/02/30/68/1095023068.db2.gz LOWXVXUXNYJWIS-AOIWGVFYSA-N 0 1 304.478 3.197 20 30 DGEDMN Cc1cccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)c1 ZINC001122707225 1095039694 /nfs/dbraw/zinc/03/96/94/1095039694.db2.gz IMLZSRGZJYPHLK-CQSZACIVSA-N 0 1 302.337 3.011 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001170963513 1095039804 /nfs/dbraw/zinc/03/98/04/1095039804.db2.gz PUUCUVLXIUTUDY-OAHLLOKOSA-N 0 1 319.449 3.208 20 30 DGEDMN N#CC(C(=O)C1CC(OCc2ccccc2)C1)c1cccc(F)n1 ZINC001122714918 1095044219 /nfs/dbraw/zinc/04/42/19/1095044219.db2.gz QUMSVROOBTXRHI-GPANFISMSA-N 0 1 324.355 3.392 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2ccc3cc[nH]c3c2C)cc1 ZINC001170984182 1095077129 /nfs/dbraw/zinc/07/71/29/1095077129.db2.gz PLFZIGJDGCCIBV-UHFFFAOYSA-N 0 1 307.353 3.300 20 30 DGEDMN CC(C)(C)[C@@H](C#N)OC(=O)c1ccc(CN2CCCCC2)o1 ZINC001122904961 1095096877 /nfs/dbraw/zinc/09/68/77/1095096877.db2.gz XLXHULXKCIGBCD-OAHLLOKOSA-N 0 1 304.390 3.361 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001170997254 1095107378 /nfs/dbraw/zinc/10/73/78/1095107378.db2.gz FZHJQLUCRAXWSE-OAHLLOKOSA-N 0 1 321.465 3.350 20 30 DGEDMN N#C[C@@H](C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967753 1095111606 /nfs/dbraw/zinc/11/16/06/1095111606.db2.gz DJKFHKRVSMWDMO-TXXBHVLJSA-N 0 1 323.299 3.447 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1cc(C(F)(F)F)ccn1 ZINC001122967753 1095111613 /nfs/dbraw/zinc/11/16/13/1095111613.db2.gz DJKFHKRVSMWDMO-TXXBHVLJSA-N 0 1 323.299 3.447 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@H](N)c1ccccc1 ZINC001220663410 1095135013 /nfs/dbraw/zinc/13/50/13/1095135013.db2.gz DQMNLSOVRSVTAB-KBXCAEBGSA-N 0 1 310.397 3.129 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1ncc(CC)o1 ZINC001171035393 1095168444 /nfs/dbraw/zinc/16/84/44/1095168444.db2.gz LGRFPWDZFXAFOX-HNNXBMFYSA-N 0 1 321.465 3.358 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(C)[nH]c2ccccc21 ZINC001123234569 1095197307 /nfs/dbraw/zinc/19/73/07/1095197307.db2.gz XPHAHYPXVAIBKQ-HNNXBMFYSA-N 0 1 306.369 3.112 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)sc3C)C[C@H]21 ZINC001221424400 1095260351 /nfs/dbraw/zinc/26/03/51/1095260351.db2.gz DKTZDKDAGCAQMB-NVXWUHKLSA-N 0 1 318.486 3.364 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+]([C@@H](C)c3ccc(F)cc3)C[C@H]21 ZINC001221424918 1095261586 /nfs/dbraw/zinc/26/15/86/1095261586.db2.gz QINMJCZJAFMYPX-YXJHDRRASA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN([C@@H](C)c3ccc(F)cc3)C[C@H]21 ZINC001221424918 1095261600 /nfs/dbraw/zinc/26/16/00/1095261600.db2.gz QINMJCZJAFMYPX-YXJHDRRASA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(Cc3csc(C)c3)C[C@H]21 ZINC001221429372 1095263420 /nfs/dbraw/zinc/26/34/20/1095263420.db2.gz UQGUFAGMRRKLBU-HZPDHXFCSA-N 0 1 304.459 3.055 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cnc(C)o2)CCCCC1 ZINC001115556894 1095277573 /nfs/dbraw/zinc/27/75/73/1095277573.db2.gz HGCIENUYMLRQFX-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN CC(C)[C@H](C(=O)C(C#N)c1ncc(F)cc1F)N1CCCCC1 ZINC001123475949 1095312128 /nfs/dbraw/zinc/31/21/28/1095312128.db2.gz HZRXWQASXLKZRS-CZUORRHYSA-N 0 1 321.371 3.047 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2c[nH]nc2-c2ccsc2)cc1 ZINC001338498545 1095319165 /nfs/dbraw/zinc/31/91/65/1095319165.db2.gz IZXZSSXVESRLKR-UHFFFAOYSA-N 0 1 324.365 3.293 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](CCC)c3ccccc3)[C@@H]2C1 ZINC001222000616 1095407310 /nfs/dbraw/zinc/40/73/10/1095407310.db2.gz PNWZRNMZDHGRON-HSALFYBXSA-N 0 1 324.468 3.126 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CC[C@]1(O)CCCOC1 ZINC000844269270 1095417027 /nfs/dbraw/zinc/41/70/27/1095417027.db2.gz BEKSBQOBEGBHEZ-XPGOGFAUSA-N 0 1 318.200 3.050 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(Cl)cc(C(F)(F)F)c2)CCC1=O ZINC001143990010 1095466839 /nfs/dbraw/zinc/46/68/39/1095466839.db2.gz CPBZDLVYLRQQEH-JTQLQIEISA-N 0 1 316.710 3.273 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3cncc(C#N)c3)CC2)cc1 ZINC001144079200 1095502913 /nfs/dbraw/zinc/50/29/13/1095502913.db2.gz CWUZFSGAUMLWNY-UHFFFAOYSA-N 0 1 316.408 3.280 20 30 DGEDMN N#Cc1cncc(CN2CC[C@H](Sc3ccc(F)cc3)C2)c1 ZINC001144085176 1095505735 /nfs/dbraw/zinc/50/57/35/1095505735.db2.gz VYKZGTNIOVVYEQ-KRWDZBQOSA-N 0 1 313.401 3.459 20 30 DGEDMN N#CCCN(Cc1[nH]nc2ccc(F)cc21)Cc1cccnc1 ZINC001144191529 1095550002 /nfs/dbraw/zinc/55/00/02/1095550002.db2.gz QRFPEDPAPVZHGG-UHFFFAOYSA-N 0 1 309.348 3.013 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(Cl)c(CO)c3F)c12 ZINC001222335755 1095558827 /nfs/dbraw/zinc/55/88/27/1095558827.db2.gz GZVJEFOFFFQSHJ-UHFFFAOYSA-N 0 1 301.708 3.386 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1cc(Br)c(F)cc1O ZINC001144306864 1095600827 /nfs/dbraw/zinc/60/08/27/1095600827.db2.gz UUJJCFBNQTXSAH-JTQLQIEISA-N 0 1 313.170 3.172 20 30 DGEDMN CC(=Cc1ccc(N2CCCC2)cc1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC001339481046 1095635192 /nfs/dbraw/zinc/63/51/92/1095635192.db2.gz ATQVWGQTLURUNR-QBFSEMIESA-N 0 1 320.396 3.111 20 30 DGEDMN Cc1cccc(C2(N[C@@H](C)Cc3cccc(C#N)c3)COC2)c1 ZINC001171183677 1095663887 /nfs/dbraw/zinc/66/38/87/1095663887.db2.gz IHUCDTPGCVFWFJ-INIZCTEOSA-N 0 1 306.409 3.313 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1[nH]nc2ccc(Br)cc21 ZINC001139657480 1095669111 /nfs/dbraw/zinc/66/91/11/1095669111.db2.gz DRZISFMTTNQDDA-LLVKDONJSA-N 0 1 319.206 3.203 20 30 DGEDMN C#CC[C@H](NC(=O)c1ccc(CN2CCCC2)o1)c1ccccc1 ZINC001137622238 1095693375 /nfs/dbraw/zinc/69/33/75/1095693375.db2.gz GRVWGLBOESNFQJ-SFHVURJKSA-N 0 1 322.408 3.370 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)NCC(=O)c1cc(F)cc(F)c1 ZINC001171203676 1095747292 /nfs/dbraw/zinc/74/72/92/1095747292.db2.gz OULJBKMUOAWADV-LBPRGKRZSA-N 0 1 314.335 3.240 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C=CC(=O)c3ccccc3)ccc12 ZINC001144708996 1095780769 /nfs/dbraw/zinc/78/07/69/1095780769.db2.gz TVVJLOSSIXEZGI-MDZDMXLPSA-N 0 1 305.337 3.249 20 30 DGEDMN COc1ccc([C@H](C)NCc2cccc(OCC#N)c2)cc1O ZINC001117672161 1095795053 /nfs/dbraw/zinc/79/50/53/1095795053.db2.gz VDBGEHCTSNYCHW-ZDUSSCGKSA-N 0 1 312.369 3.154 20 30 DGEDMN COc1ccc(N=NCc2nc3c(s2)C[C@@H](C)CC3)nc1 ZINC001117742801 1095821384 /nfs/dbraw/zinc/82/13/84/1095821384.db2.gz YPLBLFLUQISUJP-JTQLQIEISA-N 0 1 302.403 3.118 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](OCc2cccnc2C#N)C1 ZINC001223060140 1095850158 /nfs/dbraw/zinc/85/01/58/1095850158.db2.gz KYHZZWDKPFXTOD-RDJZCZTQSA-N 0 1 322.412 3.090 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C[C@H](O)c1ccccc1 ZINC001117866755 1095899432 /nfs/dbraw/zinc/89/94/32/1095899432.db2.gz WRWLVNNTGSAOKS-AABGKKOBSA-N 0 1 306.409 3.492 20 30 DGEDMN N#Cc1ccc(CO[C@H]2CCN3Cc4ccccc4N=C23)s1 ZINC001223288101 1095926285 /nfs/dbraw/zinc/92/62/85/1095926285.db2.gz GHQNQABYDLLSBK-INIZCTEOSA-N 0 1 309.394 3.454 20 30 DGEDMN COc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)nc1 ZINC001171274279 1095998247 /nfs/dbraw/zinc/99/82/47/1095998247.db2.gz YADGFCXIGCHJML-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN Cc1nsc(NC(=O)c2oc(C3CC3)nc2C(F)F)c1C#N ZINC001340722133 1096016372 /nfs/dbraw/zinc/01/63/72/1096016372.db2.gz DASQXMOEKHMSFY-UHFFFAOYSA-N 0 1 324.312 3.379 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001276909735 1096030183 /nfs/dbraw/zinc/03/01/83/1096030183.db2.gz SBMQOXBVHIUPDM-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN N#CC1(C[NH2+]Cc2ccc(Br)cc2[O-])CCCC1 ZINC001118577547 1096040502 /nfs/dbraw/zinc/04/05/02/1096040502.db2.gz QMOOFEWADXDCQA-UHFFFAOYSA-N 0 1 309.207 3.328 20 30 DGEDMN C#C[C@H](CCCCC)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223892012 1096087246 /nfs/dbraw/zinc/08/72/46/1096087246.db2.gz YNSIANGVWBGWMD-XAGMJWQQSA-N 0 1 305.374 3.438 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H](C)COC(C)(C)C)c1 ZINC001223888908 1096088408 /nfs/dbraw/zinc/08/84/08/1096088408.db2.gz JVVXDCDWGCMFCN-ISOHDOPZSA-N 0 1 311.378 3.060 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](O[C@@H]2Cc3ccccc32)C1 ZINC001224062742 1096127004 /nfs/dbraw/zinc/12/70/04/1096127004.db2.gz PYEWQTUXVHUETJ-UYAOXDASSA-N 0 1 304.393 3.447 20 30 DGEDMN Cc1ccc2c(c1)S[C@H](C(=O)Nc1cc(C#N)ccc1O)C2 ZINC001341212586 1096134420 /nfs/dbraw/zinc/13/44/20/1096134420.db2.gz OLLHAQOAPAEZQO-INIZCTEOSA-N 0 1 310.378 3.228 20 30 DGEDMN CC(C)CCC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001146981102 1096142259 /nfs/dbraw/zinc/14/22/59/1096142259.db2.gz YTGIPQNAMWDRIA-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@H](CC)C1=O)c1ccccc1F ZINC001224154586 1096148346 /nfs/dbraw/zinc/14/83/46/1096148346.db2.gz NRAGHCVRJSCIOQ-CZUORRHYSA-N 0 1 322.332 3.056 20 30 DGEDMN Cn1cc([C@H]2CSCCN2CCCCC2(C#N)CCC2)cn1 ZINC001341347892 1096160658 /nfs/dbraw/zinc/16/06/58/1096160658.db2.gz WQQACHNGWKGTLK-MRXNPFEDSA-N 0 1 318.490 3.374 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001119308019 1096160801 /nfs/dbraw/zinc/16/08/01/1096160801.db2.gz GSKWVFXVMQIYQM-IUODEOHRSA-N 0 1 316.228 3.304 20 30 DGEDMN C=C(C)CCC(=O)N1CC2(C1)CCN(Cc1ccccc1F)C2 ZINC001147636118 1096248900 /nfs/dbraw/zinc/24/89/00/1096248900.db2.gz AWXVFCRQVMILRH-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001276988066 1096255127 /nfs/dbraw/zinc/25/51/27/1096255127.db2.gz TULXLTCOJANJPA-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)Cc1ccccc1C ZINC001147855449 1096282892 /nfs/dbraw/zinc/28/28/92/1096282892.db2.gz BIBIIWVSJABPEU-OAHLLOKOSA-N 0 1 308.853 3.022 20 30 DGEDMN C=CCCCC(=O)N1CC2(C1)CCN(Cc1ccc(F)cc1)C2 ZINC001148194099 1096320149 /nfs/dbraw/zinc/32/01/49/1096320149.db2.gz TXHQGCBHMWFZKN-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001277013140 1096343173 /nfs/dbraw/zinc/34/31/73/1096343173.db2.gz DDLQIHVUFIJATO-CYBMUJFWSA-N 0 1 319.474 3.184 20 30 DGEDMN C[C@@H](CS)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152260 1096354213 /nfs/dbraw/zinc/35/42/13/1096354213.db2.gz NQEYGYJAWQULDK-YJBOKZPZSA-N 0 1 323.502 3.391 20 30 DGEDMN COc1cccc(O[C@H]2CCN(Cc3ccccc3C#N)C2)c1 ZINC001225235782 1096370121 /nfs/dbraw/zinc/37/01/21/1096370121.db2.gz IPCVCPICIQGNDD-IBGZPJMESA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1cc(=O)c(C#N)c(O[C@@H]2CCCN(Cc3ccccc3)C2)[nH]1 ZINC001225466980 1096440388 /nfs/dbraw/zinc/44/03/88/1096440388.db2.gz JJTYHEXKYRLQPC-MRXNPFEDSA-N 0 1 323.396 3.011 20 30 DGEDMN N#Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2O)ccc1O ZINC001148800264 1096448740 /nfs/dbraw/zinc/44/87/40/1096448740.db2.gz CCLWTYDJFOUAMR-UHFFFAOYSA-N 0 1 322.242 3.241 20 30 DGEDMN Cc1cc(C#N)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)nc1C ZINC001225531078 1096458977 /nfs/dbraw/zinc/45/89/77/1096458977.db2.gz OGPXJZKMCKSQEF-DOTOQJQBSA-N 0 1 322.412 3.179 20 30 DGEDMN C=C[C@@H](CC(=O)NCC1CCN(CCF)CC1)c1ccccc1 ZINC001225535313 1096462162 /nfs/dbraw/zinc/46/21/62/1096462162.db2.gz YSIYYVSVSGSPQT-KRWDZBQOSA-N 0 1 318.436 3.144 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2nc3ccccc3[nH]2)C1 ZINC001225581764 1096473298 /nfs/dbraw/zinc/47/32/98/1096473298.db2.gz AMXZEQANTRGCDC-MRXNPFEDSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C=C(C)C)C2)CC1 ZINC001086899776 1096499255 /nfs/dbraw/zinc/49/92/55/1096499255.db2.gz RXZHYQWQHLDMOY-OAHLLOKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)/C(C)=C/C)C2)CC1 ZINC001086913950 1096506816 /nfs/dbraw/zinc/50/68/16/1096506816.db2.gz BQBRWZPZWWXOMA-DTHCKZEYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(F)cc(F)cc1Br ZINC001225850388 1096545095 /nfs/dbraw/zinc/54/50/95/1096545095.db2.gz HWSKCCUTOALONY-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@H]3CN(C/C=C\Cl)C[C@@]3(C)C2)CC1 ZINC001091977912 1096565340 /nfs/dbraw/zinc/56/53/40/1096565340.db2.gz AFCPGYGCVLPPTE-YDMGJVBSSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC)cc1Br ZINC001225954959 1096572427 /nfs/dbraw/zinc/57/24/27/1096572427.db2.gz HVHOBVFXUSPBHO-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN N#CC[C@@H](Oc1nc(C(F)(F)F)cc(=O)[nH]1)c1ccccc1 ZINC001225980825 1096580698 /nfs/dbraw/zinc/58/06/98/1096580698.db2.gz PYTRPCDASDPRJL-SNVBAGLBSA-N 0 1 309.247 3.235 20 30 DGEDMN CC(C)CCC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001225993056 1096582817 /nfs/dbraw/zinc/58/28/17/1096582817.db2.gz HYKVRDQDPFVVCN-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001110130785 1096603893 /nfs/dbraw/zinc/60/38/93/1096603893.db2.gz DMERUZDNTKEAJG-ZRNYENFQSA-N 0 1 322.452 3.091 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc(Br)c1F ZINC001226128159 1096620266 /nfs/dbraw/zinc/62/02/66/1096620266.db2.gz CXHZGXSIKGWNQI-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CCCC23CC3)[C@H](C)C1 ZINC001092720477 1096628562 /nfs/dbraw/zinc/62/85/62/1096628562.db2.gz KTINEGMFNINRBI-YUELXQCFSA-N 0 1 310.869 3.003 20 30 DGEDMN C[C@@H](Oc1nccc(/C=N/O)n1)c1c(Cl)cncc1Cl ZINC001226255009 1096647226 /nfs/dbraw/zinc/64/72/26/1096647226.db2.gz GFSAKSWOEGCKTB-PMGBEOPBSA-N 0 1 313.144 3.127 20 30 DGEDMN C#C[C@H](COc1cccc(Cl)c1)Oc1n[nH]c2ncccc12 ZINC001226306121 1096658691 /nfs/dbraw/zinc/65/86/91/1096658691.db2.gz PMBVPOCLPUWHQB-GFCCVEGCSA-N 0 1 313.744 3.071 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(CC)cc2)[C@H](C)C1 ZINC001093172986 1096667662 /nfs/dbraw/zinc/66/76/62/1096667662.db2.gz ATRZJEPQUGHTCX-CXAGYDPISA-N 0 1 320.864 3.299 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2cccc3n[nH]cc32)C1 ZINC001226618071 1096735125 /nfs/dbraw/zinc/73/51/25/1096735125.db2.gz UOFNUNZRHCBZTG-INIZCTEOSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1cncc(O[C@@H]2CC[N@H+](Cc3ccc(Cl)cc3)C2)c1 ZINC001226686048 1096750907 /nfs/dbraw/zinc/75/09/07/1096750907.db2.gz LJUWJAYBUHTSGM-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1cncc(O[C@@H]2CCN(Cc3ccc(Cl)cc3)C2)c1 ZINC001226686048 1096750915 /nfs/dbraw/zinc/75/09/15/1096750915.db2.gz LJUWJAYBUHTSGM-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN C=C[C@@H](CC(=O)OC(C)(C)C)Oc1nc2ccc(F)cc2[nH]1 ZINC001226701615 1096755118 /nfs/dbraw/zinc/75/51/18/1096755118.db2.gz SRWOEWRTHHCQJS-NSHDSACASA-N 0 1 306.337 3.367 20 30 DGEDMN C=C[C@H](Oc1nc[nH]c(=O)c1Br)c1ccccc1 ZINC001226723404 1096760993 /nfs/dbraw/zinc/76/09/93/1096760993.db2.gz XIRLAIDQIQTOMA-JTQLQIEISA-N 0 1 307.147 3.251 20 30 DGEDMN CCC[C@H](C#Cc1ccccc1)Oc1nc2[nH]cnc2c(=S)[nH]1 ZINC001226781986 1096775779 /nfs/dbraw/zinc/77/57/79/1096775779.db2.gz OKQJCSLNZVWFKK-CYBMUJFWSA-N 0 1 324.409 3.241 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3CCCC3)CCC[C@@H]12 ZINC001094350302 1096798791 /nfs/dbraw/zinc/79/87/91/1096798791.db2.gz VRKONOIYNFVRIK-NVXWUHKLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCC[C@@H](CC)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227094440 1096867106 /nfs/dbraw/zinc/86/71/06/1096867106.db2.gz BCYFVYXPARQLOB-LLVKDONJSA-N 0 1 303.318 3.367 20 30 DGEDMN C=CC[C@@H](CCC)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227096874 1096867202 /nfs/dbraw/zinc/86/72/02/1096867202.db2.gz UNTUHADDXSGWCB-NSHDSACASA-N 0 1 303.318 3.367 20 30 DGEDMN C=C[C@]1(C)CC[C@H](C(C)(C)Oc2nc(=O)[nH]c(C)c2Cl)O1 ZINC001227111008 1096870941 /nfs/dbraw/zinc/87/09/41/1096870941.db2.gz GXPMOBKGKBTZQE-MEBBXXQBSA-N 0 1 312.797 3.425 20 30 DGEDMN C=CC[C@@H](C)Oc1cc(O)c(Br)cc1C(=O)OC ZINC001227356521 1096920326 /nfs/dbraw/zinc/92/03/26/1096920326.db2.gz PMALAKJHEULUIQ-MRVPVSSYSA-N 0 1 315.163 3.285 20 30 DGEDMN CC(C)Oc1cncc(COc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001227217834 1096897465 /nfs/dbraw/zinc/89/74/65/1096897465.db2.gz DQQULNKXPRHKDE-UHFFFAOYSA-N 0 1 308.341 3.196 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN(Cc2cccc(Cl)c2)CC1 ZINC001227244993 1096903831 /nfs/dbraw/zinc/90/38/31/1096903831.db2.gz UHIRNRWFBHOCAM-UHFFFAOYSA-N 0 1 318.848 3.080 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Oc1[nH]c(=O)nc2[nH]ccc21 ZINC001227270012 1096909164 /nfs/dbraw/zinc/90/91/64/1096909164.db2.gz AGTYTVNKJTUGAP-NSHDSACASA-N 0 1 311.341 3.368 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Oc1[nH]c(=O)nc2[nH]ccc21 ZINC001227270011 1096909472 /nfs/dbraw/zinc/90/94/72/1096909472.db2.gz AGTYTVNKJTUGAP-LLVKDONJSA-N 0 1 311.341 3.368 20 30 DGEDMN C#CC[C@@H](C)Oc1cc(OC)ccc1C(=O)c1ccccc1O ZINC001227408176 1096927163 /nfs/dbraw/zinc/92/71/63/1096927163.db2.gz XAVGYPPMKIMRDP-CYBMUJFWSA-N 0 1 310.349 3.422 20 30 DGEDMN N#CCN1CC[C@H](OC2=CC(=O)C=CC2=N[N-]c2cccc[nH+]2)C1 ZINC001227490749 1096940279 /nfs/dbraw/zinc/94/02/79/1096940279.db2.gz YVSPVKHDEFONKF-PXKHIBQASA-N 0 1 323.356 3.179 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(C)c(Br)cc1F ZINC001227825630 1096995420 /nfs/dbraw/zinc/99/54/20/1096995420.db2.gz NEDFTSYRFIDSFN-SSDOTTSWSA-N 0 1 315.138 3.495 20 30 DGEDMN CCCCCCCCN1CCc2cc(C(=O)N(C)C)[nH]c2C1 ZINC001277230803 1096967404 /nfs/dbraw/zinc/96/74/04/1096967404.db2.gz CBJSXXNCSACUFT-UHFFFAOYSA-N 0 1 305.466 3.435 20 30 DGEDMN COCc1ccc(O[C@@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001227674675 1096968628 /nfs/dbraw/zinc/96/86/28/1096968628.db2.gz KMBSVPIKNMQEDV-HXUWFJFHSA-N 0 1 322.408 3.358 20 30 DGEDMN CCCCCCCCCCN1CCc2n[nH]c(C(N)=O)c2C1 ZINC001277232983 1096972608 /nfs/dbraw/zinc/97/26/08/1096972608.db2.gz HGNQHNQTLFQSLK-UHFFFAOYSA-N 0 1 306.454 3.007 20 30 DGEDMN C=C[C@@H](C)Oc1cc(O)cc2c1C(=O)c1c(O)cc(C)cc1C2=O ZINC001227995316 1097027636 /nfs/dbraw/zinc/02/76/36/1097027636.db2.gz VROGTIPMHWSXKI-SNVBAGLBSA-N 0 1 324.332 3.135 20 30 DGEDMN N#Cc1ccc([O-])cc1OC1CC[NH+](Cc2ccccc2)CC1 ZINC001228005863 1097031001 /nfs/dbraw/zinc/03/10/01/1097031001.db2.gz TZQBZSKKAYBDBX-UHFFFAOYSA-N 0 1 308.381 3.307 20 30 DGEDMN Cc1c(C#N)cccc1O[C@H]1COCCN(Cc2ccccc2)C1 ZINC001228027726 1097034641 /nfs/dbraw/zinc/03/46/41/1097034641.db2.gz ORTCKBKFKRDASG-LJQANCHMSA-N 0 1 322.408 3.147 20 30 DGEDMN C#CC[C@H](CC)Oc1[nH]c(=O)nc2c(Br)cccc21 ZINC001228062199 1097042456 /nfs/dbraw/zinc/04/24/56/1097042456.db2.gz JFDVJAYMXUNQKC-VIFPVBQESA-N 0 1 321.174 3.279 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228122869 1097055129 /nfs/dbraw/zinc/05/51/29/1097055129.db2.gz IBGDWSBWXAWDKH-DJIMGWMZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001281410009 1097079386 /nfs/dbraw/zinc/07/93/86/1097079386.db2.gz VZIVHZUTDOTJKP-RACGTCIUSA-N 0 1 319.276 3.344 20 30 DGEDMN C[C@@H](CC(C)(C)S)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228245307 1097081356 /nfs/dbraw/zinc/08/13/56/1097081356.db2.gz RLANDRRVGLWNSG-QMMMGPOBSA-N 0 1 323.374 3.109 20 30 DGEDMN Cc1ccnc(OC(C(=O)c2ccco2)c2ccco2)c1C#N ZINC001228304807 1097093002 /nfs/dbraw/zinc/09/30/02/1097093002.db2.gz HTFMDYLXYBICHV-INIZCTEOSA-N 0 1 308.293 3.451 20 30 DGEDMN CCOC(=O)CN([C@@H](C)Cc1ccc(C#N)cc1)C1CCCC1 ZINC001172311282 1097097040 /nfs/dbraw/zinc/09/70/40/1097097040.db2.gz BADSDRYFPZRCHT-HNNXBMFYSA-N 0 1 314.429 3.297 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Br)cc1OC ZINC001228404974 1097114167 /nfs/dbraw/zinc/11/41/67/1097114167.db2.gz IYCXPIKTHWFUDC-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Br)cc1OC ZINC001228404973 1097114328 /nfs/dbraw/zinc/11/43/28/1097114328.db2.gz IYCXPIKTHWFUDC-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228412990 1097115949 /nfs/dbraw/zinc/11/59/49/1097115949.db2.gz DWVSTJNKRYRWES-NUJGCVRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001228580701 1097146128 /nfs/dbraw/zinc/14/61/28/1097146128.db2.gz ZFUHQFFMBPIRLM-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001228579617 1097146133 /nfs/dbraw/zinc/14/61/33/1097146133.db2.gz MQFPPVRZXNCDKF-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C[C@@H](CCCC#N)NC1(c2cccc(Br)c2)COC1 ZINC001172483315 1097151594 /nfs/dbraw/zinc/15/15/94/1097151594.db2.gz PAFILQDDXMIGIN-LBPRGKRZSA-N 0 1 323.234 3.347 20 30 DGEDMN C=C[C@@H](Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001228609633 1097152504 /nfs/dbraw/zinc/15/25/04/1097152504.db2.gz FZXQMPLLCLKMIM-GFCCVEGCSA-N 0 1 313.313 3.274 20 30 DGEDMN C[C@@H](CCCC#N)N1CCCn2cnc(Cn3cccc3)c2C1 ZINC001172497683 1097171379 /nfs/dbraw/zinc/17/13/79/1097171379.db2.gz FKVXJSBLZBHQDC-INIZCTEOSA-N 0 1 311.433 3.021 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001172499069 1097172269 /nfs/dbraw/zinc/17/22/69/1097172269.db2.gz AIQSPIFKKVBEBX-CQSZACIVSA-N 0 1 319.836 3.180 20 30 DGEDMN C[C@@H](CCCC#N)N1CCc2ncc(Br)cc2C1 ZINC001172503756 1097173444 /nfs/dbraw/zinc/17/34/44/1097173444.db2.gz CDMMERCVJRBVGA-NSHDSACASA-N 0 1 308.223 3.285 20 30 DGEDMN CCOC(=O)c1cc2c(s1)CCN([C@@H](C)CCCC#N)C2 ZINC001172506882 1097174227 /nfs/dbraw/zinc/17/42/27/1097174227.db2.gz LEXZNDNPJKKQAC-LBPRGKRZSA-N 0 1 306.431 3.365 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001228786153 1097190111 /nfs/dbraw/zinc/19/01/11/1097190111.db2.gz CVHYAQMVZQXYRA-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001228810548 1097195947 /nfs/dbraw/zinc/19/59/47/1097195947.db2.gz WUJDTBIFJTZJFC-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001229049305 1097245673 /nfs/dbraw/zinc/24/56/73/1097245673.db2.gz ZAHPJXDMSSSYTL-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](NCc2nccs2)C12CCC2 ZINC001203341670 1097254487 /nfs/dbraw/zinc/25/44/87/1097254487.db2.gz SPMXJNUGIIUJTP-KBPBESRZSA-N 0 1 319.474 3.016 20 30 DGEDMN COc1ccc2c(c1)nc(=O)[nH]c2O[C@H](C)CC(C)(C)S ZINC001229139617 1097262902 /nfs/dbraw/zinc/26/29/02/1097262902.db2.gz JDXAHKHDXWWDNC-SECBINFHSA-N 0 1 308.403 3.210 20 30 DGEDMN C#CC[C@H](CC)Oc1ccc2c(c1)C(=O)c1ccc(O)cc1C2=O ZINC001229190203 1097274350 /nfs/dbraw/zinc/27/43/50/1097274350.db2.gz JLDDOPVJQWFVFQ-ZDUSSCGKSA-N 0 1 320.344 3.348 20 30 DGEDMN CC(C)N1CC[C@H](Oc2cc(C#N)ccc2Br)C1 ZINC001229230708 1097279593 /nfs/dbraw/zinc/27/95/93/1097279593.db2.gz PDVVRYBMUPILSC-LBPRGKRZSA-N 0 1 309.207 3.182 20 30 DGEDMN C[C@H](N[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1)c1ccoc1 ZINC001172982539 1097298112 /nfs/dbraw/zinc/29/81/12/1097298112.db2.gz DHLYLRYUBHJHTA-QEJZJMRPSA-N 0 1 319.405 3.222 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC3(C2)CCCC3)C[C@H]1C#N ZINC001172989124 1097298361 /nfs/dbraw/zinc/29/83/61/1097298361.db2.gz NAWYBERTIBTVSD-GJZGRUSLSA-N 0 1 319.449 3.154 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](c2ccccc2)C(C)C)CC1 ZINC001229362778 1097306229 /nfs/dbraw/zinc/30/62/29/1097306229.db2.gz DIHRMPLRFBUWFE-IBGZPJMESA-N 0 1 312.457 3.030 20 30 DGEDMN C[C@@H]1CC[C@@H](NCc2cc(C#N)co2)CN1C(=O)OC(C)(C)C ZINC001173065195 1097317047 /nfs/dbraw/zinc/31/70/47/1097317047.db2.gz VDYIZBORIUQYKJ-TZMCWYRMSA-N 0 1 319.405 3.029 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H](C)c2ccccc2C)CC1 ZINC001229468268 1097326760 /nfs/dbraw/zinc/32/67/60/1097326760.db2.gz CQSSHRUZFCYUEC-KRWDZBQOSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C)(C)CCC)CC1 ZINC001277422709 1097392073 /nfs/dbraw/zinc/39/20/73/1097392073.db2.gz IBVWPZYBCGUQSW-UHFFFAOYSA-N 0 1 300.874 3.488 20 30 DGEDMN CN1CCN(c2cccc(Nc3c(F)cccc3C#N)c2)CC1 ZINC001212713779 1097371224 /nfs/dbraw/zinc/37/12/24/1097371224.db2.gz JFRWCZWKZFDLAZ-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C[C@@H](CC(=O)OC(C)(C)C)Oc1nc2ccc(OC)cc2[nH]1 ZINC001229730496 1097373262 /nfs/dbraw/zinc/37/32/62/1097373262.db2.gz QTYLWTIHJOHHST-NSHDSACASA-N 0 1 318.373 3.237 20 30 DGEDMN COc1cc(C#N)cc(Nc2cccc(N3CCN(C)CC3)c2)c1 ZINC001212717273 1097386479 /nfs/dbraw/zinc/38/64/79/1097386479.db2.gz WCHWXNDLHLARMS-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CCCCCCCC[C@H](CCC)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001173310058 1097407763 /nfs/dbraw/zinc/40/77/63/1097407763.db2.gz OLKNYBUQEJIRDN-IRXDYDNUSA-N 0 1 322.497 3.330 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H]1CC[C@@H](CC)C1)CCC2 ZINC001173280834 1097411916 /nfs/dbraw/zinc/41/19/16/1097411916.db2.gz NKHFHCQQFVBZMP-HZPDHXFCSA-N 0 1 303.450 3.370 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](CCCCC)C(C)C)CC1 ZINC001229968523 1097420234 /nfs/dbraw/zinc/42/02/34/1097420234.db2.gz RMFQRSLIYRDDQF-GOSISDBHSA-N 0 1 306.494 3.443 20 30 DGEDMN COC(=O)[C@H](Cc1ccccc1)N(C)C1CCC(CC#N)CC1 ZINC001173336636 1097420526 /nfs/dbraw/zinc/42/05/26/1097420526.db2.gz HETOWCKTUBJMEG-VJFUWPCTSA-N 0 1 314.429 3.175 20 30 DGEDMN N#CCC1CCC([N@H+]2CCO[C@H](COc3ccccc3)C2)CC1 ZINC001173337302 1097422196 /nfs/dbraw/zinc/42/21/96/1097422196.db2.gz GBBULZRQIDTQHY-TVPLGVNVSA-N 0 1 314.429 3.239 20 30 DGEDMN N#CCC1CCC(N2CCO[C@H](COc3ccccc3)C2)CC1 ZINC001173337302 1097422199 /nfs/dbraw/zinc/42/21/99/1097422199.db2.gz GBBULZRQIDTQHY-TVPLGVNVSA-N 0 1 314.429 3.239 20 30 DGEDMN C=C[C@@H](CCC)Oc1nc2cccc(N3CCN(C)CC3)c2o1 ZINC001230103250 1097445747 /nfs/dbraw/zinc/44/57/47/1097445747.db2.gz GXQVWEXHCGNNNS-AWEZNQCLSA-N 0 1 315.417 3.313 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(C)(C#N)CC2)CC1 ZINC001173592470 1097466147 /nfs/dbraw/zinc/46/61/47/1097466147.db2.gz PRVXSZWHSHNZFE-UHFFFAOYSA-N 0 1 307.438 3.012 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C=CC(=O)OCC)cc1 ZINC001230402248 1097488046 /nfs/dbraw/zinc/48/80/46/1097488046.db2.gz IALLWWGKAUGOMB-SHQCLWGWSA-N 0 1 316.353 3.251 20 30 DGEDMN C[C@@H]1CC(N2CC[C@](C)(C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173684370 1097489342 /nfs/dbraw/zinc/48/93/42/1097489342.db2.gz UUPCCOFWGJFELR-HBUWYVDXSA-N 0 1 321.465 3.398 20 30 DGEDMN C=CC[C@H](CCC)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230447014 1097492320 /nfs/dbraw/zinc/49/23/20/1097492320.db2.gz WZIFTZZMEPQGAJ-LLVKDONJSA-N 0 1 317.393 3.071 20 30 DGEDMN C=CCC1(C(=O)NC2CCN(C/C=C/Cl)CC2)CCCC1 ZINC001230475579 1097495317 /nfs/dbraw/zinc/49/53/17/1097495317.db2.gz OOEKQYADYCKNDI-VZUCSPMQSA-N 0 1 310.869 3.456 20 30 DGEDMN Cc1cc(CO)cc(Nc2sc3c(c2C#N)CC[N@H+](C)C3)c1 ZINC001212751435 1097496572 /nfs/dbraw/zinc/49/65/72/1097496572.db2.gz GYDMYRWKURTNDG-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN Cc1cc(CO)cc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001212751435 1097496574 /nfs/dbraw/zinc/49/65/74/1097496574.db2.gz GYDMYRWKURTNDG-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@@H](C)CC)Cc1cccnc1 ZINC001230746409 1097572269 /nfs/dbraw/zinc/57/22/69/1097572269.db2.gz WFVUNHMZSYKVBM-INIZCTEOSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@H](C=C)CC)Cc1cccnc1 ZINC001230819933 1097597693 /nfs/dbraw/zinc/59/76/93/1097597693.db2.gz LVVXANHIWOFSAH-QGZVFWFLSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)CC(C)C)Cc1cccnc1 ZINC001230883112 1097612983 /nfs/dbraw/zinc/61/29/83/1097612983.db2.gz USFFZHHGTUYXSX-KRWDZBQOSA-N 0 1 317.477 3.258 20 30 DGEDMN N#Cc1ccc(Nc2ccc3nccn3c2)c(Br)n1 ZINC001174277014 1097668545 /nfs/dbraw/zinc/66/85/45/1097668545.db2.gz CTFOLNGBKGFAGZ-UHFFFAOYSA-N 0 1 314.146 3.107 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CCc1ccc(Cl)cc1 ZINC001231169047 1097685939 /nfs/dbraw/zinc/68/59/39/1097685939.db2.gz CTQACQUUZYKHOT-QGZVFWFLSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CCCCC(F)(F)F ZINC001231168936 1097686080 /nfs/dbraw/zinc/68/60/80/1097686080.db2.gz CIPQQEODHQFHGZ-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccccc1C(=O)c1ccccc1O ZINC001231210634 1097696055 /nfs/dbraw/zinc/69/60/55/1097696055.db2.gz VJNPTAXRTGJBFP-LLVKDONJSA-N 0 1 324.332 3.221 20 30 DGEDMN C[C@@H](C#N)C(=O)N(C)C[C@H]1CCN1Cc1ccc2ccccc2c1 ZINC001231294169 1097715386 /nfs/dbraw/zinc/71/53/86/1097715386.db2.gz VRMUZHOHJBOLNA-HNAYVOBHSA-N 0 1 321.424 3.032 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@]3(CCN(C/C=C\Cl)C3)C2)CCC1 ZINC001277532065 1097779596 /nfs/dbraw/zinc/77/95/96/1097779596.db2.gz WFQRCBGOWUOFAT-BOHLGEJMSA-N 0 1 322.880 3.410 20 30 DGEDMN C#Cc1cccc(CN2CC[C@H]3[C@H]2CC(=O)N3c2ccsc2)c1 ZINC001231657003 1097805350 /nfs/dbraw/zinc/80/53/50/1097805350.db2.gz LHXLEKKSHFRLDI-ZWKOTPCHSA-N 0 1 322.433 3.109 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)Cc1ccc(C)cc1)C2 ZINC001110171636 1097806772 /nfs/dbraw/zinc/80/67/72/1097806772.db2.gz MZKCEZIJHFEVJC-YSHGAJCASA-N 0 1 312.457 3.081 20 30 DGEDMN C#Cc1cccc(CN2CCN(C3(c4ccccc4)CC3)CC2)c1 ZINC001231661714 1097808489 /nfs/dbraw/zinc/80/84/89/1097808489.db2.gz YGMPRWJHPWCSAN-UHFFFAOYSA-N 0 1 316.448 3.475 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(F)c(CC#N)c3)cc2)CC1 ZINC001174821079 1097846957 /nfs/dbraw/zinc/84/69/57/1097846957.db2.gz GAVLCBYVOITZHO-UHFFFAOYSA-N 0 1 324.403 3.387 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1nnc(C)o1 ZINC001174977793 1097891663 /nfs/dbraw/zinc/89/16/63/1097891663.db2.gz CVMSAMDDTKXLFA-KGLIPLIRSA-N 0 1 322.453 3.060 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCCCNCc2ccon2)CC1 ZINC001175073912 1097939151 /nfs/dbraw/zinc/93/91/51/1097939151.db2.gz KAHBHJCULBYFTM-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001175083187 1097941989 /nfs/dbraw/zinc/94/19/89/1097941989.db2.gz XBPDAIQQSXOBOA-RDTXWAMCSA-N 0 1 321.465 3.350 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2ccc(C#N)c(Cl)c2)C1 ZINC001232117285 1097943583 /nfs/dbraw/zinc/94/35/83/1097943583.db2.gz XVUWCCHLOFRQMC-OAHLLOKOSA-N 0 1 320.820 3.377 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCCCNCc2ccon2)CCC1 ZINC001175090284 1097944419 /nfs/dbraw/zinc/94/44/19/1097944419.db2.gz ZCZUZYOSGKHJKM-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCCNCc2cc(C)on2)C1 ZINC001175150354 1097946835 /nfs/dbraw/zinc/94/68/35/1097946835.db2.gz MZDOWXFBYRWAGG-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](CNCc1nc(C)c(C)s1)C1CC1 ZINC001277621652 1097953733 /nfs/dbraw/zinc/95/37/33/1097953733.db2.gz DKEVSWVTNCSMGM-OAHLLOKOSA-N 0 1 321.490 3.053 20 30 DGEDMN COCc1ccc(CN2CCN(c3cccc(C#N)c3)CC2)cc1 ZINC001232302574 1097999174 /nfs/dbraw/zinc/99/91/74/1097999174.db2.gz PEIHSFHARHNMGY-UHFFFAOYSA-N 0 1 321.424 3.027 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)cc1)Cc1cc(C#N)ccn1 ZINC001232481045 1098051901 /nfs/dbraw/zinc/05/19/01/1098051901.db2.gz ROCZQCFKKRYBKS-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN COc1cccc([C@@H]2CCCN(Cc3cc(C#N)ccn3)C2)c1 ZINC001232481351 1098052816 /nfs/dbraw/zinc/05/28/16/1098052816.db2.gz CUGYWXWWDIJYPP-QGZVFWFLSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1ccnc(CN2CCC(COc3ccccc3)CC2)c1 ZINC001232481313 1098053414 /nfs/dbraw/zinc/05/34/14/1098053414.db2.gz ZCZXTWKBHFYTBM-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(C(F)F)cc2)C1 ZINC001277671442 1098055947 /nfs/dbraw/zinc/05/59/47/1098055947.db2.gz VGUSZVWTXCEVLS-UHFFFAOYSA-N 0 1 308.372 3.347 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2cc(F)ccc2N)CC1 ZINC001232508166 1098063526 /nfs/dbraw/zinc/06/35/26/1098063526.db2.gz XHRDHGRUBFOIAY-UHFFFAOYSA-N 0 1 309.388 3.465 20 30 DGEDMN N#CCC1CCN(Cc2cccc(Br)c2N)CC1 ZINC001232526176 1098068240 /nfs/dbraw/zinc/06/82/40/1098068240.db2.gz ZXNQDQJIIBRRJR-UHFFFAOYSA-N 0 1 308.223 3.157 20 30 DGEDMN C#CC1CCN(Cc2ccc(C(=O)OCC)cc2Cl)CC1 ZINC001232594702 1098088758 /nfs/dbraw/zinc/08/87/58/1098088758.db2.gz YKMLQEVFVROSBY-UHFFFAOYSA-N 0 1 305.805 3.362 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(F)c(O)c(Br)c2)C1 ZINC001232634411 1098103378 /nfs/dbraw/zinc/10/33/78/1098103378.db2.gz GWWTWPKVVXGZND-JTQLQIEISA-N 0 1 312.182 3.139 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2cc(F)c(O)c(Br)c2)C1 ZINC001232637445 1098103428 /nfs/dbraw/zinc/10/34/28/1098103428.db2.gz UAFLOTNRZIENBY-CYBMUJFWSA-N 0 1 313.170 3.029 20 30 DGEDMN C[C@H](c1ccccc1)[NH+]1CCN(Cc2cc(C#N)ccc2[O-])CC1 ZINC001232679672 1098113794 /nfs/dbraw/zinc/11/37/94/1098113794.db2.gz QSJFPTVOMSBUAS-MRXNPFEDSA-N 0 1 321.424 3.143 20 30 DGEDMN Cc1ccccc1O[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232678844 1098113876 /nfs/dbraw/zinc/11/38/76/1098113876.db2.gz QXROSJOHSMTMTK-KRWDZBQOSA-N 0 1 308.381 3.226 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2ccc3n[nH]c(C)c3c2)c1 ZINC001175702233 1098127040 /nfs/dbraw/zinc/12/70/40/1098127040.db2.gz PQPIEKHMROCTPB-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)s2)CCC[C@]1(O)C(F)(F)F ZINC001232842199 1098150085 /nfs/dbraw/zinc/15/00/85/1098150085.db2.gz NZHDHDWLDFXHIG-GXFFZTMASA-N 0 1 318.364 3.145 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)s2)CCC[C@]1(O)C(F)(F)F ZINC001232842201 1098150277 /nfs/dbraw/zinc/15/02/77/1098150277.db2.gz NZHDHDWLDFXHIG-ZWNOBZJWSA-N 0 1 318.364 3.145 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCN(Cc2ccc(C#N)s2)C1 ZINC001232846943 1098151424 /nfs/dbraw/zinc/15/14/24/1098151424.db2.gz PFMXOIDDCVHPFM-LBPRGKRZSA-N 0 1 306.431 3.173 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2ccc(C)cc2C#N)C[C@H]1C ZINC001232969331 1098188734 /nfs/dbraw/zinc/18/87/34/1098188734.db2.gz PPNUYLOLASOOLL-HZPDHXFCSA-N 0 1 314.429 3.278 20 30 DGEDMN C=CCCCN1CCc2cc(C(=O)N3CCCC34CC4)[nH]c2C1 ZINC001277759940 1098224941 /nfs/dbraw/zinc/22/49/41/1098224941.db2.gz MUXXHGKXJGWUHN-UHFFFAOYSA-N 0 1 313.445 3.108 20 30 DGEDMN C=CCOC(=O)c1ccc(Nc2ccc3nn[nH]c3c2)c(F)c1 ZINC001176001901 1098227960 /nfs/dbraw/zinc/22/79/60/1098227960.db2.gz DWDLFCWYUBWLLC-UHFFFAOYSA-N 0 1 312.304 3.183 20 30 DGEDMN C=CCOC(=O)c1ccc(Nc2ccc3n[nH]nc3c2)c(F)c1 ZINC001176001901 1098227963 /nfs/dbraw/zinc/22/79/63/1098227963.db2.gz DWDLFCWYUBWLLC-UHFFFAOYSA-N 0 1 312.304 3.183 20 30 DGEDMN Cc1cccc(O[C@@H]2CCC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)n1 ZINC001233387903 1098313274 /nfs/dbraw/zinc/31/32/74/1098313274.db2.gz CWFBFDPZVIUYNH-QGZVFWFLSA-N 0 1 323.396 3.011 20 30 DGEDMN C[C@@H](C#N)c1ccc(Nc2ccc(N3CCN(C)CC3)nc2)cc1 ZINC001176655111 1098410342 /nfs/dbraw/zinc/41/03/42/1098410342.db2.gz FPXJKIBRRQFJSC-HNNXBMFYSA-N 0 1 321.428 3.204 20 30 DGEDMN CCc1cc(C#N)ccc1Nc1ccc(N2CCN(C)CC2)nc1 ZINC001176656561 1098410627 /nfs/dbraw/zinc/41/06/27/1098410627.db2.gz IWXNJTOHTFRLFK-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)N(CC(F)(F)F)C(C)C ZINC001176767793 1098435664 /nfs/dbraw/zinc/43/56/64/1098435664.db2.gz UITUAUDOYFGJKT-ZDUSSCGKSA-N 0 1 306.372 3.216 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)NCc1cccc(OCCCC)c1 ZINC001176815692 1098440133 /nfs/dbraw/zinc/44/01/33/1098440133.db2.gz WPUKWHFKAKTMNC-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001233946966 1098464088 /nfs/dbraw/zinc/46/40/88/1098464088.db2.gz GNCPHKWFYJRNRD-IBGZPJMESA-N 0 1 312.457 3.140 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001233947638 1098464564 /nfs/dbraw/zinc/46/45/64/1098464564.db2.gz NKFHMTCJGRBUTE-IBGZPJMESA-N 0 1 312.457 3.140 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001233948551 1098464679 /nfs/dbraw/zinc/46/46/79/1098464679.db2.gz YBWHLUZWASYSSV-IBGZPJMESA-N 0 1 312.457 3.085 20 30 DGEDMN C#Cc1ccc(O[C@@H]2CCCN(Cc3ccccc3F)C2)nc1 ZINC001233966123 1098468243 /nfs/dbraw/zinc/46/82/43/1098468243.db2.gz REIHQVXXDJGYAW-QGZVFWFLSA-N 0 1 310.372 3.245 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1cc2ccccc2o1 ZINC001233967081 1098468390 /nfs/dbraw/zinc/46/83/90/1098468390.db2.gz UVFIOHCGJYGUCU-KRWDZBQOSA-N 0 1 324.424 3.269 20 30 DGEDMN C=C(C)CCN1CCO[C@H]2CCN(C(=O)CC(C)=C(C)C)C[C@@H]21 ZINC001177073876 1098471221 /nfs/dbraw/zinc/47/12/21/1098471221.db2.gz TVFFOMWKILWOCH-ROUUACIJSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2OCCN(CC(CC)CC)[C@H]2C1 ZINC001177088235 1098475872 /nfs/dbraw/zinc/47/58/72/1098475872.db2.gz IUQBXAILBCDYBW-ZWKOTPCHSA-N 0 1 322.493 3.081 20 30 DGEDMN C#C[C@H](C)Oc1cc2c(cc1O)occ(-c1ccc(O)cc1)c2=O ZINC001234133563 1098501416 /nfs/dbraw/zinc/50/14/16/1098501416.db2.gz ANPDCWLSTLXVKI-NSHDSACASA-N 0 1 322.316 3.272 20 30 DGEDMN Cc1cccc2nc(NC(=O)[C@H](C#N)Cc3cccs3)[nH]c21 ZINC001177903253 1098642845 /nfs/dbraw/zinc/64/28/45/1098642845.db2.gz ANCFHSIRSMKQLH-NSHDSACASA-N 0 1 310.382 3.254 20 30 DGEDMN CN(Cc1ccc(F)cc1F)C(=O)C(C#N)Cc1cccs1 ZINC001177908718 1098645016 /nfs/dbraw/zinc/64/50/16/1098645016.db2.gz DNXHCSWJEONWQO-LBPRGKRZSA-N 0 1 320.364 3.367 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1cccc(CC)c1 ZINC001277883636 1098656896 /nfs/dbraw/zinc/65/68/96/1098656896.db2.gz LWMGONZWSGXJDL-QGZVFWFLSA-N 0 1 320.864 3.125 20 30 DGEDMN CCC[N@H+](CCC#N)Cc1cc(Br)cc(F)c1[O-] ZINC001235066036 1098781466 /nfs/dbraw/zinc/78/14/66/1098781466.db2.gz USPXBJZMNVUWIT-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN CCC[N@@H+](CCC#N)Cc1cc(Br)cc(F)c1[O-] ZINC001235066036 1098781474 /nfs/dbraw/zinc/78/14/74/1098781474.db2.gz USPXBJZMNVUWIT-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCCCCNCc1ccon1 ZINC001178564472 1098873500 /nfs/dbraw/zinc/87/35/00/1098873500.db2.gz OGGKJIKDRCCCQS-UHFFFAOYSA-N 0 1 319.449 3.209 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2Cc2ccc(C)cc2)CCC1 ZINC001235209156 1098878119 /nfs/dbraw/zinc/87/81/19/1098878119.db2.gz JUBIHHHIFFWIAN-LJQANCHMSA-N 0 1 324.468 3.221 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001235362860 1098978988 /nfs/dbraw/zinc/97/89/88/1098978988.db2.gz COVREZRLKWFQSL-AWEZNQCLSA-N 0 1 321.490 3.384 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1ccc(F)cc1Cl ZINC001235436035 1099033743 /nfs/dbraw/zinc/03/37/43/1099033743.db2.gz DBBMZLYCXSBBIW-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(Cc2ccccc2)CC1 ZINC001235438471 1099033799 /nfs/dbraw/zinc/03/37/99/1099033799.db2.gz OJZOZWYCEVSWKM-SFHVURJKSA-N 0 1 312.457 3.118 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@H](C)c1nc2ccccc2o1 ZINC001235451082 1099042402 /nfs/dbraw/zinc/04/24/02/1099042402.db2.gz BYXFVIVSMDQYFE-CQSZACIVSA-N 0 1 315.417 3.293 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179307029 1099058875 /nfs/dbraw/zinc/05/88/75/1099058875.db2.gz PAHPIUNFGCXSCA-ZBFHGGJFSA-N 0 1 321.465 3.207 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001179360536 1099083157 /nfs/dbraw/zinc/08/31/57/1099083157.db2.gz ZGCOXZRZFKUCHD-UJWMVZFISA-N 0 1 317.260 3.078 20 30 DGEDMN COc1cccc(N2CCN(Cc3ccccc3CC#N)CC2)c1 ZINC001235511983 1099067727 /nfs/dbraw/zinc/06/77/27/1099067727.db2.gz NVXGIBFMNUUPBY-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN CCOC[C@@]12CCC[C@@H]1CN(Cc1c(F)cc(C#N)cc1F)C2 ZINC001235652775 1099109461 /nfs/dbraw/zinc/10/94/61/1099109461.db2.gz BDGWBJMNFBVUPA-KDOFPFPSSA-N 0 1 320.383 3.475 20 30 DGEDMN CCc1cc(C[N@@H+]2CCN[C@@H](c3ccccc3)C2)ccc1C#N ZINC001235864416 1099224814 /nfs/dbraw/zinc/22/48/14/1099224814.db2.gz QOLPBRKAOJHEGR-HXUWFJFHSA-N 0 1 305.425 3.267 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C\c1ccc(C)cc1)C2 ZINC001110233415 1099245868 /nfs/dbraw/zinc/24/58/68/1099245868.db2.gz IWLFMKQFDBPJKH-AFUKVVIOSA-N 0 1 310.441 3.306 20 30 DGEDMN C[C@@H](C#N)c1ccc(-c2ccc(N3CCN(C)CC3)nc2)cc1 ZINC001235975473 1099303630 /nfs/dbraw/zinc/30/36/30/1099303630.db2.gz MSSCKQQOCQLDIY-HNNXBMFYSA-N 0 1 306.413 3.127 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)c1[nH]nc2c1CCCC2 ZINC001180481324 1099421353 /nfs/dbraw/zinc/42/13/53/1099421353.db2.gz YMZOGOHQLFJIOX-CQSZACIVSA-N 0 1 321.465 3.130 20 30 DGEDMN CN[C@H]1CCN1Cc1ccc(N(C)c2ccc(C#N)cc2)cc1 ZINC001236164481 1099439997 /nfs/dbraw/zinc/43/99/97/1099439997.db2.gz APVJXXMORNXVLE-LJQANCHMSA-N 0 1 306.413 3.077 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cc(C)c(F)c(C)c2)C1=O ZINC001273542034 1099529277 /nfs/dbraw/zinc/52/92/77/1099529277.db2.gz GIOKLYCENYQGHI-IBGZPJMESA-N 0 1 316.420 3.195 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ccnc(SC)n1 ZINC001187993208 1099650778 /nfs/dbraw/zinc/65/07/78/1099650778.db2.gz NHMOSEBGYUSOQD-UHFFFAOYSA-N 0 1 317.480 3.301 20 30 DGEDMN COc1ccc(C#N)cc1-c1nc(C(C)(C)C)nc2nc[nH]c21 ZINC001236537615 1099671453 /nfs/dbraw/zinc/67/14/53/1099671453.db2.gz AWPRWPFXORQWFQ-UHFFFAOYSA-N 0 1 307.357 3.149 20 30 DGEDMN C=CCN1CCN(Cc2cc3cc(OC)ccc3s2)CC1 ZINC001236657160 1099728574 /nfs/dbraw/zinc/72/85/74/1099728574.db2.gz BDMZBDJMOPCNEF-UHFFFAOYSA-N 0 1 302.443 3.214 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001278025827 1099868045 /nfs/dbraw/zinc/86/80/45/1099868045.db2.gz RCDPVJDCSNWXKD-NVPAJSRCSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H](CC)c3ccc(C)cc3)[C@@H]2C1 ZINC001189116971 1099950955 /nfs/dbraw/zinc/95/09/55/1099950955.db2.gz BPMHXGOHGTULRY-YSIASYRMSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H](C)c2ccccc2C)CC1 ZINC001278080246 1100063606 /nfs/dbraw/zinc/06/36/06/1100063606.db2.gz QQEFRJQWTPDMQK-KRWDZBQOSA-N 0 1 312.457 3.093 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccccc2CCc2ccccc2)CCC1=O ZINC001237295847 1100066240 /nfs/dbraw/zinc/06/62/40/1100066240.db2.gz ZWTDLRXRHJGJDD-HXUWFJFHSA-N 0 1 318.420 3.386 20 30 DGEDMN Cc1ncc(C#N)cc1NC(=O)c1ccc(CN2CCCCC2)o1 ZINC001189742616 1100120775 /nfs/dbraw/zinc/12/07/75/1100120775.db2.gz UPXVBWQBXNDDAD-UHFFFAOYSA-N 0 1 324.384 3.093 20 30 DGEDMN N#Cc1cc(CN2CCC(C#Cc3ccccc3)CC2)ccn1 ZINC001237469319 1100133433 /nfs/dbraw/zinc/13/34/33/1100133433.db2.gz RJUKGXOQOFFQQQ-UHFFFAOYSA-N 0 1 301.393 3.217 20 30 DGEDMN N#Cc1cc(CN2CCC(n3ncc4ccccc43)CC2)ccn1 ZINC001237466372 1100134175 /nfs/dbraw/zinc/13/41/75/1100134175.db2.gz NVWWLZHDGUIHGP-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N(C)Cc2ccc(Cl)s2)C1 ZINC001189911266 1100150549 /nfs/dbraw/zinc/15/05/49/1100150549.db2.gz ZMDGHHRAOWYXFL-ZDUSSCGKSA-N 0 1 324.877 3.238 20 30 DGEDMN N#Cc1ccc2nc(CN3CCC4(CC[C@H](F)C4)CC3)cn2c1 ZINC001237607585 1100185717 /nfs/dbraw/zinc/18/57/17/1100185717.db2.gz YGBPAUDZEHXQNB-HNNXBMFYSA-N 0 1 312.392 3.310 20 30 DGEDMN N#Cc1ccc2nc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)cn2c1 ZINC001237619613 1100189542 /nfs/dbraw/zinc/18/95/42/1100189542.db2.gz ZGBGRBFTEPQGTJ-IYBDPMFKSA-N 0 1 314.392 3.293 20 30 DGEDMN N#Cc1ccc2nc(CN3CC[C@H](c4ccccc4F)C3)cn2c1 ZINC001237615212 1100190887 /nfs/dbraw/zinc/19/08/87/1100190887.db2.gz VISHDEVWCPYKND-HNNXBMFYSA-N 0 1 320.371 3.335 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@H](N(C)C/C=C\Cl)C1 ZINC001190353702 1100253269 /nfs/dbraw/zinc/25/32/69/1100253269.db2.gz BJVFWJNGMNEHQX-HWPRWLFBSA-N 0 1 305.249 3.050 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ccc(F)cc2)C1 ZINC001190398627 1100259616 /nfs/dbraw/zinc/25/96/16/1100259616.db2.gz ZJAKXMZZPALZJH-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(COc3ccccc3)cc2)CCC1=O ZINC001237922447 1100294792 /nfs/dbraw/zinc/29/47/92/1100294792.db2.gz ISDRHZPITNSKBK-GOSISDBHSA-N 0 1 320.392 3.180 20 30 DGEDMN Cc1ccc(CN2CCN(c3ccccc3C#N)CC2)cc1C ZINC001190731038 1100329000 /nfs/dbraw/zinc/32/90/00/1100329000.db2.gz LHZKYDKHFGEFNI-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccccc2C(C)(C)C)C1 ZINC001190828381 1100345417 /nfs/dbraw/zinc/34/54/17/1100345417.db2.gz GRRSZVSCKVGEBE-MRXNPFEDSA-N 0 1 312.457 3.154 20 30 DGEDMN CC(C)(C#N)c1cccc(C(=O)N2CCC(c3cnc[nH]3)CC2)c1 ZINC001190931367 1100362254 /nfs/dbraw/zinc/36/22/54/1100362254.db2.gz RMCSRWAINRZRSQ-UHFFFAOYSA-N 0 1 322.412 3.231 20 30 DGEDMN CN(CCNC(=O)OC(C)(C)C)Cc1ccc(Cl)c(C#N)c1 ZINC001238196699 1100389109 /nfs/dbraw/zinc/38/91/09/1100389109.db2.gz PEWWIYWURSRWLD-UHFFFAOYSA-N 0 1 323.824 3.168 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@H]1C ZINC001278241533 1100461283 /nfs/dbraw/zinc/46/12/83/1100461283.db2.gz ARGHEMOOQINOGM-QOUCBHDVSA-N 0 1 317.260 3.078 20 30 DGEDMN COc1cc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)ccc1C#N ZINC001238409084 1100435592 /nfs/dbraw/zinc/43/55/92/1100435592.db2.gz BTPMUGGKQGQMNH-CHWSQXEVSA-N 0 1 316.298 3.147 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1C=CC=CC=C1)C1CCCC1 ZINC001278241154 1100459920 /nfs/dbraw/zinc/45/99/20/1100459920.db2.gz LUFBWQKUAXEUKW-KRWDZBQOSA-N 0 1 320.864 3.302 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001191753632 1100514090 /nfs/dbraw/zinc/51/40/90/1100514090.db2.gz FYAZJYQPASPNBW-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191867602 1100536720 /nfs/dbraw/zinc/53/67/20/1100536720.db2.gz FQZBNNDHOIPJSN-AZUAARDMSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC001191868671 1100536892 /nfs/dbraw/zinc/53/68/92/1100536892.db2.gz XULFWEXDPLEQCE-ZFWWWQNUSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC001191912006 1100540006 /nfs/dbraw/zinc/54/00/06/1100540006.db2.gz LWXMDUVYGKOUBI-GJZGRUSLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191913344 1100549137 /nfs/dbraw/zinc/54/91/37/1100549137.db2.gz XDFQYJVLESJJIO-QUCCMNQESA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2sc(C)nc2C)C1 ZINC001192061578 1100570162 /nfs/dbraw/zinc/57/01/62/1100570162.db2.gz UYYDOHZXPPUXNK-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H](N(C)CCC(F)(F)F)C2)CC1 ZINC001192198141 1100584767 /nfs/dbraw/zinc/58/47/67/1100584767.db2.gz QIZQOCZSYXXFOP-CQSZACIVSA-N 0 1 318.383 3.218 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H]3[C@@H](C2)C3(F)F)c(OC(F)F)c1 ZINC001192389058 1100614021 /nfs/dbraw/zinc/61/40/21/1100614021.db2.gz GPMBTOPSTAIFIX-NWDGAFQWSA-N 0 1 314.282 3.247 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001192471778 1100620690 /nfs/dbraw/zinc/62/06/90/1100620690.db2.gz HCALWJNXTKWTCL-QZTJIDSGSA-N 0 1 304.478 3.315 20 30 DGEDMN CCCCCCCNC(=S)N[C@H]1CNCc2ccsc21 ZINC001239367216 1100644818 /nfs/dbraw/zinc/64/48/18/1100644818.db2.gz KVGFQMDEOBBNPE-ZDUSSCGKSA-N 0 1 311.520 3.327 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001192653384 1100644922 /nfs/dbraw/zinc/64/49/22/1100644922.db2.gz BDHCRYHFFYQYET-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@H](CNC(=O)C1CCC1)O2 ZINC001273644440 1100651914 /nfs/dbraw/zinc/65/19/14/1100651914.db2.gz AJOWCLZTQOZPOJ-QGZVFWFLSA-N 0 1 322.493 3.107 20 30 DGEDMN CCCCCCCNC(=S)N1CCNC[C@@H]1c1ccccc1 ZINC001239417402 1100657823 /nfs/dbraw/zinc/65/78/23/1100657823.db2.gz SXQGZWCMLMPLON-QGZVFWFLSA-N 0 1 319.518 3.478 20 30 DGEDMN N#CCc1cc(NC(=O)c2c(O)ccc(F)c2F)ccc1F ZINC001192770667 1100659769 /nfs/dbraw/zinc/65/97/69/1100659769.db2.gz WZPSQYSKOZIHHA-UHFFFAOYSA-N 0 1 306.243 3.128 20 30 DGEDMN CCCCCCCCCCNC(=S)N1CCNCC12CC2 ZINC001239423268 1100660699 /nfs/dbraw/zinc/66/06/99/1100660699.db2.gz KKLUYERSVHLZFB-UHFFFAOYSA-N 0 1 311.539 3.439 20 30 DGEDMN CCCCCCCCCNC(=S)N1C[C@H]2CCC[C@@H](C1)N2 ZINC001239445855 1100670078 /nfs/dbraw/zinc/67/00/78/1100670078.db2.gz OWBQASNHLIFTDN-IYBDPMFKSA-N 0 1 311.539 3.438 20 30 DGEDMN C=CCN(C(=O)c1cc(Br)[nH]n1)C1CCCCC1 ZINC001193294718 1100724294 /nfs/dbraw/zinc/72/42/94/1100724294.db2.gz XJDFROIAYBKNOX-UHFFFAOYSA-N 0 1 312.211 3.133 20 30 DGEDMN C=CCCCCN1CCN([C@H](C#N)c2ccc(F)cc2)CC1 ZINC001193324072 1100727152 /nfs/dbraw/zinc/72/71/52/1100727152.db2.gz KWEKHVOVSYZHNS-GOSISDBHSA-N 0 1 301.409 3.364 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(O)c(C#N)c2)c2ccsc2n1 ZINC001193498848 1100753005 /nfs/dbraw/zinc/75/30/05/1100753005.db2.gz MDOUIYYMVXDKHK-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN N#Cc1cc(C(=O)N2CCC[C@H](Cc3ccccc3)C2)ccc1O ZINC001193504771 1100757691 /nfs/dbraw/zinc/75/76/91/1100757691.db2.gz UCGQIXHSNUTQEF-MRXNPFEDSA-N 0 1 320.392 3.359 20 30 DGEDMN Cc1[nH]c2ccc(CNC(=O)c3ccc(O)c(C#N)c3)cc2c1C ZINC001193512306 1100758258 /nfs/dbraw/zinc/75/82/58/1100758258.db2.gz MGOBSCRSMIMSQB-UHFFFAOYSA-N 0 1 319.364 3.292 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)c(NS(=O)(=O)CC2CCC2)c1 ZINC001193514069 1100759194 /nfs/dbraw/zinc/75/91/94/1100759194.db2.gz DFXQKZKINKKFPD-UHFFFAOYSA-N 0 1 318.320 3.119 20 30 DGEDMN CC(C)C[C@@H](NC(=O)c1ccc(O)c(C#N)c1)c1ccccn1 ZINC001193515622 1100759994 /nfs/dbraw/zinc/75/99/94/1100759994.db2.gz PYOPRDDTHAYUIJ-MRXNPFEDSA-N 0 1 309.369 3.176 20 30 DGEDMN CN(C(=O)c1cccc(C#N)c1O)[C@@H](C1CCC1)C(F)(F)F ZINC001193646401 1100782847 /nfs/dbraw/zinc/78/28/47/1100782847.db2.gz JGXVDOMMOWTTQX-ZDUSSCGKSA-N 0 1 312.291 3.067 20 30 DGEDMN CCC1(NC(=O)c2cccc(C#N)c2O)CCC(F)(F)CC1 ZINC001193659296 1100785591 /nfs/dbraw/zinc/78/55/91/1100785591.db2.gz LAUKMMGPAQOLQJ-UHFFFAOYSA-N 0 1 308.328 3.352 20 30 DGEDMN C=Cc1ccc(NS(=O)(=O)c2cc(OC)ccc2C#N)cc1 ZINC001193679935 1100790117 /nfs/dbraw/zinc/79/01/17/1100790117.db2.gz SVCJBQKOPBIMFS-UHFFFAOYSA-N 0 1 314.366 3.011 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(OCC(F)(F)F)nc3)c12 ZINC001239941606 1100806439 /nfs/dbraw/zinc/80/64/39/1100806439.db2.gz YTVYNMMKNLZLIT-UHFFFAOYSA-N 0 1 318.258 3.438 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001193815397 1100816603 /nfs/dbraw/zinc/81/66/03/1100816603.db2.gz FAMVJBISQKWDOJ-DAWZGUTISA-N 0 1 318.505 3.395 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C#N)nc2)c2ccccc12 ZINC001193834356 1100820361 /nfs/dbraw/zinc/82/03/61/1100820361.db2.gz YULMMMPDGDDWRT-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(CCC)c(C)s2)C1 ZINC001193896038 1100837397 /nfs/dbraw/zinc/83/73/97/1100837397.db2.gz DIINRSNVQLBBNS-INIZCTEOSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C[C@H](C(=O)N1CC[C@H](N(C)C/C=C\Cl)C1)c1ccccc1 ZINC001194184063 1100886508 /nfs/dbraw/zinc/88/65/08/1100886508.db2.gz YETQDRVLNOIZOV-ANDZVCCMSA-N 0 1 318.848 3.241 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001194192385 1100889726 /nfs/dbraw/zinc/88/97/26/1100889726.db2.gz URKYADJECGTTLA-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](N(C)CC#CC)C2)CCCCC1 ZINC001194199188 1100892191 /nfs/dbraw/zinc/89/21/91/1100892191.db2.gz BDJJQJCLGIASCM-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)CCCc2cc(C)sc2C)C1 ZINC001194325320 1100913889 /nfs/dbraw/zinc/91/38/89/1100913889.db2.gz IXXSUBOGRJQPDT-QGZVFWFLSA-N 0 1 320.502 3.406 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001194531485 1100958971 /nfs/dbraw/zinc/95/89/71/1100958971.db2.gz JXSZFKURNGRHHK-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCO[C@@H]2CCCC[C@H]2C)CC1 ZINC001195182862 1101084777 /nfs/dbraw/zinc/08/47/77/1101084777.db2.gz XXZVUWHVOOKPSB-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCC[N@H+](CC/C=C/CCC)CC1 ZINC001195175045 1101092741 /nfs/dbraw/zinc/09/27/41/1101092741.db2.gz YUAXKWAHRAXGAV-GFOMBABLSA-N 0 1 322.493 3.248 20 30 DGEDMN CC(C)(C#N)CCCCN1CCNC(=O)[C@H]1CC1CCCCC1 ZINC001195251187 1101104691 /nfs/dbraw/zinc/10/46/91/1101104691.db2.gz RJQRHYHORSGJBP-QGZVFWFLSA-N 0 1 319.493 3.477 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C(C)(C)C#N)nc3)cc2)CC1 ZINC001240855037 1101159813 /nfs/dbraw/zinc/15/98/13/1101159813.db2.gz LVJZTMHADBJRDB-UHFFFAOYSA-N 0 1 320.440 3.302 20 30 DGEDMN CN(Cc1cccc2cccnc21)C(=O)c1ccc(C#N)cc1O ZINC001195742012 1101196543 /nfs/dbraw/zinc/19/65/43/1101196543.db2.gz UKLFMPLRADPECZ-UHFFFAOYSA-N 0 1 317.348 3.084 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001195982604 1101228850 /nfs/dbraw/zinc/22/88/50/1101228850.db2.gz ZKYZMFUXFROGTF-UHFFFAOYSA-N 0 1 324.509 3.186 20 30 DGEDMN N#Cc1ccccc1NC(=S)Nc1cc(O)c(F)cc1F ZINC001196320400 1101284101 /nfs/dbraw/zinc/28/41/01/1101284101.db2.gz PGZVYCLQHTVJLE-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN N#CCN(Cc1ccccc1)C(=O)c1cc(Cl)c(F)cc1O ZINC001196468479 1101310906 /nfs/dbraw/zinc/31/09/06/1101310906.db2.gz YHPAQZBOWJAGMX-UHFFFAOYSA-N 0 1 318.735 3.351 20 30 DGEDMN CCOc1ccc(F)c(F)c1-c1cc2nc[nH]c2c(C#N)n1 ZINC001241306240 1101364333 /nfs/dbraw/zinc/36/43/33/1101364333.db2.gz JBQCXVULFKRLMH-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN Cc1ccc([C@@H](C#N)c2ccc(C3=CCN(C)CC3)nn2)cc1 ZINC001241307634 1101366721 /nfs/dbraw/zinc/36/67/21/1101366721.db2.gz JTPOXTZHLNLWQA-QGZVFWFLSA-N 0 1 304.397 3.159 20 30 DGEDMN CN1CC=C(c2nc3c(c(C#N)c2C#N)CCCCCC3)CC1 ZINC001241312991 1101369846 /nfs/dbraw/zinc/36/98/46/1101369846.db2.gz RAGIYOYONKKVCA-UHFFFAOYSA-N 0 1 306.413 3.203 20 30 DGEDMN C=CCCC1(C(=O)N2CCC[N@H+](CCCCCF)CC2)CC1 ZINC001197062866 1101467030 /nfs/dbraw/zinc/46/70/30/1101467030.db2.gz YZGNAXCPFGVPIP-UHFFFAOYSA-N 0 1 310.457 3.407 20 30 DGEDMN CC(=NC#N)N(C)Cc1ccc(-c2ccc3c(C)[nH]nc3c2)nc1 ZINC001241637354 1101598247 /nfs/dbraw/zinc/59/82/47/1101598247.db2.gz YVMDQIOHDHTMAD-FYJGNVAPSA-N 0 1 318.384 3.265 20 30 DGEDMN CCOC(=O)c1cc(C)nc(-c2ccc3n[nH]c(C)c3c2)c1C#N ZINC001241667212 1101620168 /nfs/dbraw/zinc/62/01/68/1101620168.db2.gz ZLIVJNMFESKUOA-UHFFFAOYSA-N 0 1 320.352 3.290 20 30 DGEDMN Cc1cc(COS(C)(=O)=O)ccc1-c1ccc2nc[nH]c2c1 ZINC001241705333 1101649192 /nfs/dbraw/zinc/64/91/92/1101649192.db2.gz XETFTJRRTWBFET-UHFFFAOYSA-N 0 1 316.382 3.015 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1c(C)nsc1C ZINC001152353153 1101661823 /nfs/dbraw/zinc/66/18/23/1101661823.db2.gz SVBMSBIPPGFAAO-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@H](CC)C(C)(C)C)CC1 ZINC001197802350 1101670531 /nfs/dbraw/zinc/67/05/31/1101670531.db2.gz PBHVMOIRWWJFPV-KRWDZBQOSA-N 0 1 324.509 3.186 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](CC)CC(F)(F)F)CC1 ZINC001197849940 1101677021 /nfs/dbraw/zinc/67/70/21/1101677021.db2.gz OVVOAVFZIDJZLQ-ZDUSSCGKSA-N 0 1 306.372 3.075 20 30 DGEDMN CCCCCCCCN1CCOC[C@@H]1[C@H]1CC[C@@H](COC)O1 ZINC001198050682 1101736694 /nfs/dbraw/zinc/73/66/94/1101736694.db2.gz JINAHXURGSCAHL-RCCFBDPRSA-N 0 1 313.482 3.242 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)CCc2ccccc2)CC1 ZINC001198331472 1101823089 /nfs/dbraw/zinc/82/30/89/1101823089.db2.gz YAZNNKNLAIHDDJ-GOSISDBHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCCN(CC=C)CC1)c1ccccc1 ZINC001198422834 1101850082 /nfs/dbraw/zinc/85/00/82/1101850082.db2.gz GZCWJSKDUIODTM-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN Cc1c(O)cccc1NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160716022 1101873492 /nfs/dbraw/zinc/87/34/92/1101873492.db2.gz IDBYLLOYBABHDB-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCN[C@H](CNC(=O)c1ccccc1C)c1ccccc1OC ZINC001198499355 1101878030 /nfs/dbraw/zinc/87/80/30/1101878030.db2.gz LAFOWGCKUMLVFI-GOSISDBHSA-N 0 1 324.424 3.250 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CCC1CC1)c1ccccc1OC ZINC001198629503 1101934676 /nfs/dbraw/zinc/93/46/76/1101934676.db2.gz ZIIAEAWFMVSCEN-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC[C@@H](C)CC)c1ccccc1OC ZINC001198939389 1102029508 /nfs/dbraw/zinc/02/95/08/1102029508.db2.gz LPKSKSPCTCSEKC-RDJZCZTQSA-N 0 1 318.461 3.454 20 30 DGEDMN Cc1cc(C)cc(C[N@H+]2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)c1 ZINC001110532805 1102138481 /nfs/dbraw/zinc/13/84/81/1102138481.db2.gz COKZGLFTCRTIBB-AQNXPRMDSA-N 0 1 324.468 3.184 20 30 DGEDMN C=CCSc1ncccc1C(=O)NCc1[nH]nc2ccccc21 ZINC001199738317 1102201274 /nfs/dbraw/zinc/20/12/74/1102201274.db2.gz DWAZRSBZFVVKHV-UHFFFAOYSA-N 0 1 324.409 3.166 20 30 DGEDMN CCOC(=O)c1cc(C)nc(-c2cccc(O)c2Cl)c1C#N ZINC001242796909 1102349859 /nfs/dbraw/zinc/34/98/59/1102349859.db2.gz CCBXXTIHBOPCQM-UHFFFAOYSA-N 0 1 316.744 3.464 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN1Cc1sc(C)nc1C ZINC001493127638 1102378933 /nfs/dbraw/zinc/37/89/33/1102378933.db2.gz BDCXFPUMPGHOPD-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)OCCCCCC)C2 ZINC001110558697 1102443556 /nfs/dbraw/zinc/44/35/56/1102443556.db2.gz RKOPGWMUYVZCAE-MLHJIOFPSA-N 0 1 322.493 3.269 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C(F)F)cn2)cc1C#N ZINC001201296736 1102546519 /nfs/dbraw/zinc/54/65/19/1102546519.db2.gz PEFDNLXCQMPBBD-UHFFFAOYSA-N 0 1 323.324 3.000 20 30 DGEDMN Cn1cnc(C#N)c1NC1=C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccccc1 ZINC001201474895 1102625118 /nfs/dbraw/zinc/62/51/18/1102625118.db2.gz OLVPHUNWJHJLPC-SJORKVTESA-N 0 1 319.412 3.024 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(C#N)cc3F)c2)CC1 ZINC001201569702 1102656356 /nfs/dbraw/zinc/65/63/56/1102656356.db2.gz NWVMFKSKZJQIRJ-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN COc1ccc2c(c1)[C@H](NCc1ccc(OC)c(C#N)c1)CCO2 ZINC001201921099 1102764018 /nfs/dbraw/zinc/76/40/18/1102764018.db2.gz ZIPOEGXUGOSDFO-QGZVFWFLSA-N 0 1 324.380 3.189 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001202130886 1102847347 /nfs/dbraw/zinc/84/73/47/1102847347.db2.gz QGDJAQAEDHFCKG-GJZGRUSLSA-N 0 1 319.449 3.227 20 30 DGEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC/C=C/c1ccccc1)C2 ZINC001111016440 1103017973 /nfs/dbraw/zinc/01/79/73/1103017973.db2.gz JBAJSEOUIBJRGM-MNKMEQRWSA-N 0 1 322.452 3.225 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1cccc2ccccc21 ZINC001488686944 1103110125 /nfs/dbraw/zinc/11/01/25/1103110125.db2.gz RZWZKFGAKPHHPP-SFHVURJKSA-N 0 1 308.425 3.101 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccc(C(F)F)c1)C2 ZINC001095749816 1103132421 /nfs/dbraw/zinc/13/24/21/1103132421.db2.gz XGQRMPMFMWBYEQ-PMPSAXMXSA-N 0 1 320.383 3.074 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](CC)c1ccccc1)C2 ZINC001111270306 1103151455 /nfs/dbraw/zinc/15/14/55/1103151455.db2.gz NIQATEYTRXRQGO-AITUJVMLSA-N 0 1 312.457 3.478 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001488848510 1103179367 /nfs/dbraw/zinc/17/93/67/1103179367.db2.gz LPXUWMVVPIRJPQ-CTTBROEDSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1cccc(F)c1 ZINC001495105541 1103241156 /nfs/dbraw/zinc/24/11/56/1103241156.db2.gz AXFODQLMHSXCEJ-WFASDCNBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3c(ccc(C)c3C)[nH]1)C2 ZINC001095864742 1103271517 /nfs/dbraw/zinc/27/15/17/1103271517.db2.gz ZYRCFYYXFMMGSQ-LJHODMEESA-N 0 1 323.440 3.306 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)CCC(C)(C)C)[C@H]1CC ZINC001489142447 1103297439 /nfs/dbraw/zinc/29/74/39/1103297439.db2.gz VOISEFAXRALPFC-YESZJQIVSA-N 0 1 306.494 3.441 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C1CCC2(CC2)CC1 ZINC001489325347 1103362543 /nfs/dbraw/zinc/36/25/43/1103362543.db2.gz DEZJTUOXMBFJQA-SFHVURJKSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(Cc3cccc(Cl)c3)C[C@H]21 ZINC001114671522 1103378603 /nfs/dbraw/zinc/37/86/03/1103378603.db2.gz HDDMRXPVDWUTKU-ZSHCYNCHSA-N 0 1 318.848 3.099 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(C)(C)C(F)F)cccc2C1 ZINC001326675091 1103403524 /nfs/dbraw/zinc/40/35/24/1103403524.db2.gz NIATUPPWTFFPJD-UHFFFAOYSA-N 0 1 322.399 3.138 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(Br)c(O)c2)s1 ZINC001326931693 1103483150 /nfs/dbraw/zinc/48/31/50/1103483150.db2.gz USBOIBABSMXKOH-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C[C@H](CC(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CC1 ZINC001326937740 1103484986 /nfs/dbraw/zinc/48/49/86/1103484986.db2.gz MNAZJCMVMFOWGC-QGZVFWFLSA-N 0 1 324.468 3.103 20 30 DGEDMN N#Cc1cc2c(nc1-c1c(Cl)ccc(O)c1F)CCOC2 ZINC001244540072 1103510257 /nfs/dbraw/zinc/51/02/57/1103510257.db2.gz GTBMNVXTTVUVAR-UHFFFAOYSA-N 0 1 304.708 3.191 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2conc2C)CCCC1 ZINC001490289318 1103539602 /nfs/dbraw/zinc/53/96/02/1103539602.db2.gz OWGVJFAIZKIEAW-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1ocnc1C ZINC001490295839 1103545087 /nfs/dbraw/zinc/54/50/87/1103545087.db2.gz NZYINKJNXZGSOP-ZBFHGGJFSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001327145680 1103550430 /nfs/dbraw/zinc/55/04/30/1103550430.db2.gz FFMJJYVHMLGZHH-YOEHRIQHSA-N 0 1 321.490 3.216 20 30 DGEDMN CCCCC(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001490398408 1103592087 /nfs/dbraw/zinc/59/20/87/1103592087.db2.gz HLYFQGVDOROYKT-UHFFFAOYSA-N 0 1 319.474 3.233 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)c(C)c1 ZINC001490356939 1103597692 /nfs/dbraw/zinc/59/76/92/1103597692.db2.gz LOSSCTKWPTXZHX-UHFFFAOYSA-N 0 1 308.853 3.498 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC001490449531 1103610180 /nfs/dbraw/zinc/61/01/80/1103610180.db2.gz WNEURBVCTCKWJZ-QGZVFWFLSA-N 0 1 318.486 3.331 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001490418837 1103617804 /nfs/dbraw/zinc/61/78/04/1103617804.db2.gz VCCQSMPHWYXDKC-UHFFFAOYSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001490537735 1103640794 /nfs/dbraw/zinc/64/07/94/1103640794.db2.gz LRFRCUYKLHKANL-INIZCTEOSA-N 0 1 320.383 3.118 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CCC)CC1CCCC1 ZINC001114925699 1103641556 /nfs/dbraw/zinc/64/15/56/1103641556.db2.gz YKRXMIUQASNXQY-YRXWBPOGSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)C(C)C ZINC001327512957 1103667154 /nfs/dbraw/zinc/66/71/54/1103667154.db2.gz OPNGWMYOAGMRBF-APWZRJJASA-N 0 1 314.473 3.398 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C=C(CC)CC)CC1 ZINC001490615589 1103680550 /nfs/dbraw/zinc/68/05/50/1103680550.db2.gz UPFYQTMHVMRGJY-UHFFFAOYSA-N 0 1 304.478 3.459 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@@]2(C)C(C)C)CC1 ZINC001490622511 1103684096 /nfs/dbraw/zinc/68/40/96/1103684096.db2.gz UWVDVZKKPXGKQW-QUCCMNQESA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CC3CCC2CC3)CC1 ZINC001490623941 1103684322 /nfs/dbraw/zinc/68/43/22/1103684322.db2.gz WTPVFMWFRLLWPZ-TVPLGVNVSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3(C)CCCC3)cc2C1 ZINC001327694731 1103709376 /nfs/dbraw/zinc/70/93/76/1103709376.db2.gz BDXDHZCOWWSZGR-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C12CCC(CC1)CC2)C1CC1 ZINC001490742352 1103715301 /nfs/dbraw/zinc/71/53/01/1103715301.db2.gz OGRKMXVKEHVAIX-GULBITTBSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1ccccc1C)C1CC1 ZINC001490742794 1103716557 /nfs/dbraw/zinc/71/65/57/1103716557.db2.gz ULZUKYAJFDOXKF-RHSMWYFYSA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1ccccc1C)C1CC1 ZINC001490742796 1103717816 /nfs/dbraw/zinc/71/78/16/1103717816.db2.gz ULZUKYAJFDOXKF-YOEHRIQHSA-N 0 1 320.864 3.335 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1cccc(F)c1)C2 ZINC001096001352 1103723340 /nfs/dbraw/zinc/72/33/40/1103723340.db2.gz VMRIDPSNGBNGNQ-IKGGRYGDSA-N 0 1 316.420 3.011 20 30 DGEDMN COC(=O)c1ccc(-c2cccc(CN(C)C)c2F)c(C#N)c1 ZINC001244862188 1103729277 /nfs/dbraw/zinc/72/92/77/1103729277.db2.gz AJJJFBSQAFZOKR-UHFFFAOYSA-N 0 1 312.344 3.213 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H](CN(C)CC(=C)Cl)C1 ZINC001490768678 1103734759 /nfs/dbraw/zinc/73/47/59/1103734759.db2.gz SHHJEEVDEDFJEQ-KRWDZBQOSA-N 0 1 324.896 3.493 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)cc1Cl)C2 ZINC001096048447 1103737021 /nfs/dbraw/zinc/73/70/21/1103737021.db2.gz SHXDQSXMLOUMNZ-KCXAZCMYSA-N 0 1 304.821 3.170 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H]2CC23CCCC3)C1 ZINC001490775358 1103739015 /nfs/dbraw/zinc/73/90/15/1103739015.db2.gz VVDNBZNICUGOHV-JKSUJKDBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)cc1F)C2 ZINC001096055393 1103741802 /nfs/dbraw/zinc/74/18/02/1103741802.db2.gz BSMLYFHSPPPFIT-COXVUDFISA-N 0 1 302.393 3.045 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ccc(F)cc2F)[C@@H](C)C1 ZINC001327904163 1103761270 /nfs/dbraw/zinc/76/12/70/1103761270.db2.gz QEGQJODABRUNTR-GUYCJALGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C/Cc1ccccc1)C2 ZINC001110688219 1103790016 /nfs/dbraw/zinc/79/00/16/1103790016.db2.gz CKIBVZDWVCKVPB-IWOQZAADSA-N 0 1 310.441 3.083 20 30 DGEDMN C=CCOC[C@@H](O)CN[C@H](C)c1c(Cl)cccc1Cl ZINC001252488237 1103809926 /nfs/dbraw/zinc/80/99/26/1103809926.db2.gz IVHZLBVUHGZSRZ-MNOVXSKESA-N 0 1 304.217 3.208 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1ccc(C)cc1)C2 ZINC001096378167 1103814516 /nfs/dbraw/zinc/81/45/16/1103814516.db2.gz HGLRPIBGSRIHJV-SQNIBIBYSA-N 0 1 312.457 3.180 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cccnc2)CC1(C)C ZINC001328084021 1103838227 /nfs/dbraw/zinc/83/82/27/1103838227.db2.gz XNYOEFPVQGPSJC-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1(C)CCCCC1)C2 ZINC001110796384 1103840116 /nfs/dbraw/zinc/84/01/16/1103840116.db2.gz IQPDXTNSCIIVAV-ZACQAIPSSA-N 0 1 302.462 3.092 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H](C)C1 ZINC001328259041 1103891011 /nfs/dbraw/zinc/89/10/11/1103891011.db2.gz OVNQIGOORHTUEN-BBRMVZONSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1CC(C)C)C2 ZINC001096635979 1103891544 /nfs/dbraw/zinc/89/15/44/1103891544.db2.gz AUTACVHORMKECT-NEWSRXKRSA-N 0 1 312.457 3.406 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c3c1CCC3)C2 ZINC001096627065 1103898315 /nfs/dbraw/zinc/89/83/15/1103898315.db2.gz RQUGULFEOFPMSQ-CCKFTAQKSA-N 0 1 310.441 3.005 20 30 DGEDMN C#CC[C@@H](CO)NCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001202537250 1103952813 /nfs/dbraw/zinc/95/28/13/1103952813.db2.gz QNKTUHSWHLQPQT-LBPRGKRZSA-N 0 1 316.228 3.254 20 30 DGEDMN CC(C)c1ccc(C#N)c(C2=CC[C@@H](N3CCOCC3)CC2)n1 ZINC001245418049 1104037813 /nfs/dbraw/zinc/03/78/13/1104037813.db2.gz FLZQQEPYTIEJMP-QGZVFWFLSA-N 0 1 311.429 3.345 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1cc3ccccc3[nH]c1=O)C2 ZINC001137181532 1104040754 /nfs/dbraw/zinc/04/07/54/1104040754.db2.gz QFLUQKSREKEUBB-UHFFFAOYSA-N 0 1 315.376 3.370 20 30 DGEDMN N#CCc1cccc(C2=CC[C@@H](N3CCOCC3)CC2)c1F ZINC001245424063 1104045456 /nfs/dbraw/zinc/04/54/56/1104045456.db2.gz LDQPFLVHUGZQEL-MRXNPFEDSA-N 0 1 300.377 3.160 20 30 DGEDMN C=CCNC(=O)NCC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC001202578524 1104065290 /nfs/dbraw/zinc/06/52/90/1104065290.db2.gz VSLUMMWISWJPFR-UHFFFAOYSA-N 0 1 321.852 3.037 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H](NC/C(Cl)=C\Cl)C12CCC2 ZINC001202599620 1104071972 /nfs/dbraw/zinc/07/19/72/1104071972.db2.gz OGZOWWZYLZDNDQ-MTYQHRKZSA-N 0 1 317.260 3.289 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001328795658 1104074116 /nfs/dbraw/zinc/07/41/16/1104074116.db2.gz COEMJNDYLUWFGH-UYAOXDASSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC001329048016 1104135705 /nfs/dbraw/zinc/13/57/05/1104135705.db2.gz LLEPJRCANSBBGC-CHWSQXEVSA-N 0 1 309.479 3.037 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1C[C@@H](NCc2ccccc2C#N)C12CCC2 ZINC001202723770 1104140610 /nfs/dbraw/zinc/14/06/10/1104140610.db2.gz FIAJEEKETHRDRO-CITTYJJUSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(Cl)cc1F ZINC001329077982 1104145612 /nfs/dbraw/zinc/14/56/12/1104145612.db2.gz YHMWPEONWDNWIN-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccccc1CCC ZINC001329083935 1104146418 /nfs/dbraw/zinc/14/64/18/1104146418.db2.gz AYPTYPSLNOTXCE-UONOGXRCSA-N 0 1 308.853 3.488 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001329091191 1104150593 /nfs/dbraw/zinc/15/05/93/1104150593.db2.gz SARLSWPPQUJWGF-STQMWFEESA-N 0 1 319.836 3.325 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2cn(C)nc2C)cc(OC)c1O ZINC001649166574 1104152272 /nfs/dbraw/zinc/15/22/72/1104152272.db2.gz VFDQKFROYVKUPL-GFCCVEGCSA-N 0 1 315.417 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCCc1ccccc1 ZINC001329105557 1104155172 /nfs/dbraw/zinc/15/51/72/1104155172.db2.gz ACEMPWYTMRMKGN-CABCVRRESA-N 0 1 308.853 3.245 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2nc(C)sc2C)C1 ZINC001329124037 1104161740 /nfs/dbraw/zinc/16/17/40/1104161740.db2.gz BXHFDVPAEDCEHT-NWDGAFQWSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2nc(C)sc2C)C1 ZINC001329124039 1104161905 /nfs/dbraw/zinc/16/19/05/1104161905.db2.gz BXHFDVPAEDCEHT-RYUDHWBXSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2nc(C)cs2)CCC1 ZINC001329129648 1104163740 /nfs/dbraw/zinc/16/37/40/1104163740.db2.gz XAUMAEVNTYBVOQ-KBPBESRZSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2c(c1)CCCC2 ZINC001329182193 1104181654 /nfs/dbraw/zinc/18/16/54/1104181654.db2.gz BEWBUYFKQBSJDZ-UONOGXRCSA-N 0 1 320.864 3.414 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001329516093 1104293723 /nfs/dbraw/zinc/29/37/23/1104293723.db2.gz QHXKDDBSFCQODJ-DOTOQJQBSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1c(Cl)oc2ccccc21 ZINC001329514901 1104294207 /nfs/dbraw/zinc/29/42/07/1104294207.db2.gz IMECZVPRQISMEO-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2ccsc2)C1 ZINC001278420193 1104299400 /nfs/dbraw/zinc/29/94/00/1104299400.db2.gz KQGCFYWXKLHKFC-PBHICJAKSA-N 0 1 306.475 3.431 20 30 DGEDMN N#Cc1ccc(NC(=S)Nc2c(O)cc(F)cc2F)cc1 ZINC001245914990 1104306160 /nfs/dbraw/zinc/30/61/60/1104306160.db2.gz RSKVQWKEXOIMCZ-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN CN(C)c1cccc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)c1 ZINC001245937294 1104324290 /nfs/dbraw/zinc/32/42/90/1104324290.db2.gz LXEIKAVAPMYGMK-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001274251926 1104335247 /nfs/dbraw/zinc/33/52/47/1104335247.db2.gz BDQUPDCYHRJKRA-VOTSOKGWSA-N 0 1 318.436 3.498 20 30 DGEDMN CCCCCCCCCc1cc(=O)n(CCN2CCOCC2)[nH]1 ZINC001245954219 1104338646 /nfs/dbraw/zinc/33/86/46/1104338646.db2.gz MDEMELQUWUYWTM-UHFFFAOYSA-N 0 1 323.481 3.214 20 30 DGEDMN CC#CCCCC(=O)NC/C=C\CNCc1sccc1Cl ZINC001274288896 1104344422 /nfs/dbraw/zinc/34/44/22/1104344422.db2.gz VDVKLTPNJGTGMK-SREVYHEPSA-N 0 1 324.877 3.357 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(C)(NCc2coc(C)n2)CC1 ZINC001274340306 1104357581 /nfs/dbraw/zinc/35/75/81/1104357581.db2.gz WENNZKKIMZVTEU-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cncs2)CC1(C)C ZINC001274447839 1104388005 /nfs/dbraw/zinc/38/80/05/1104388005.db2.gz ZANPHJJVBRHGNN-OAHLLOKOSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455363 1104390682 /nfs/dbraw/zinc/39/06/82/1104390682.db2.gz WFUSIJSMXLZJIX-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001274455363 1104390691 /nfs/dbraw/zinc/39/06/91/1104390691.db2.gz WFUSIJSMXLZJIX-HZPDHXFCSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)CCc1cc(C)cc(C)c1 ZINC001274490783 1104396307 /nfs/dbraw/zinc/39/63/07/1104396307.db2.gz XYCYEIFHMLEXSS-PLNGDYQASA-N 0 1 320.864 3.251 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cc(C)ccc2Cl)C1=O ZINC001274491829 1104396350 /nfs/dbraw/zinc/39/63/50/1104396350.db2.gz AOSDYRHEBIKOHG-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCCCN1C/C=C\Cl ZINC001329920942 1104423202 /nfs/dbraw/zinc/42/32/02/1104423202.db2.gz MJZRYNMPQYUAEZ-HMWXGYMHSA-N 0 1 310.869 3.293 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC001274624464 1104425600 /nfs/dbraw/zinc/42/56/00/1104425600.db2.gz RCVXUKMWQNCKQE-ZIAGYGMSSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001278434916 1104428517 /nfs/dbraw/zinc/42/85/17/1104428517.db2.gz YOGKVZZIJKSNKZ-FXAWDEMLSA-N 0 1 312.457 3.263 20 30 DGEDMN N#Cc1ccc(C2CCC(N[C@H]3CCNCC3(F)F)CC2)cc1 ZINC001246208413 1104436815 /nfs/dbraw/zinc/43/68/15/1104436815.db2.gz DIPMROSYAIRPPF-JCYILVPMSA-N 0 1 319.399 3.171 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@@H](C)c2cccc(OC)c2)C1 ZINC001329969876 1104440855 /nfs/dbraw/zinc/44/08/55/1104440855.db2.gz DLGFKWGWJABFJA-GJZGRUSLSA-N 0 1 316.445 3.159 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(Cc2scnc2C)CC1(C)C ZINC001330201093 1104506560 /nfs/dbraw/zinc/50/65/60/1104506560.db2.gz CXWXEQFKQYRGOW-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CCC(F)F ZINC001274848900 1104519384 /nfs/dbraw/zinc/51/93/84/1104519384.db2.gz WWJYNOIJRNWMPC-INIZCTEOSA-N 0 1 322.399 3.323 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001274848405 1104519456 /nfs/dbraw/zinc/51/94/56/1104519456.db2.gz VBBHLMXCJSJTEJ-QAPCUYQASA-N 0 1 300.446 3.324 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](CCC)CC(C)C)C(C)(C)C1 ZINC001330261423 1104523068 /nfs/dbraw/zinc/52/30/68/1104523068.db2.gz GUAAFFKELVAOHM-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC/C(C)=C/C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001275107816 1104628324 /nfs/dbraw/zinc/62/83/24/1104628324.db2.gz GGGZSOIYOYZUOW-IETCZJMGSA-N 0 1 324.468 3.317 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1cccc(C#N)c1)[C@@H]1CNC(=O)C1 ZINC001246887371 1104724528 /nfs/dbraw/zinc/72/45/28/1104724528.db2.gz MNRYLIICJSGXDX-BEFAXECRSA-N 0 1 319.408 3.010 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)[C@H](C)NC(=O)CC(C)(C)C ZINC001275358448 1104735591 /nfs/dbraw/zinc/73/55/91/1104735591.db2.gz WXDOBVUSCMINDD-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1sc(C)cc1C ZINC001275404204 1104748002 /nfs/dbraw/zinc/74/80/02/1104748002.db2.gz OPXJRVOQBLPEAE-NWDGAFQWSA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)CC(C)(C)CC ZINC001275404737 1104748859 /nfs/dbraw/zinc/74/88/59/1104748859.db2.gz SBKAVOYJZWCSBT-NWDGAFQWSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1 ZINC001275417590 1104752487 /nfs/dbraw/zinc/75/24/87/1104752487.db2.gz MTFICRGEQQZRBO-JTQLQIEISA-N 0 1 320.742 3.166 20 30 DGEDMN C=CCNCc1nc2ccc(OC)cc2n1Cc1ccccc1 ZINC001247042915 1104783686 /nfs/dbraw/zinc/78/36/86/1104783686.db2.gz QETRCWGAQYMVFI-UHFFFAOYSA-N 0 1 307.397 3.369 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001275565903 1104812643 /nfs/dbraw/zinc/81/26/43/1104812643.db2.gz KHIQKSWYZSSALV-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](CCC)CC1CCCCC1 ZINC001275665922 1104855778 /nfs/dbraw/zinc/85/57/78/1104855778.db2.gz QCSIBMPWEVUCTO-SJLPKXTDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(-c2cccs2)o1 ZINC001275706667 1104872784 /nfs/dbraw/zinc/87/27/84/1104872784.db2.gz KDKZYGIRNJLLQS-CYBMUJFWSA-N 0 1 316.426 3.082 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001275843890 1104929764 /nfs/dbraw/zinc/92/97/64/1104929764.db2.gz JPGFYXWKEVERHM-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN CN[C@@H](Cc1ccccc1)c1nc(-c2cc(C#N)ccc2F)no1 ZINC001247825808 1104997375 /nfs/dbraw/zinc/99/73/75/1104997375.db2.gz SZLHYPBZAWHMQN-INIZCTEOSA-N 0 1 322.343 3.251 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C3CCC3)cc1)C2 ZINC001095498900 1105044501 /nfs/dbraw/zinc/04/45/01/1105044501.db2.gz JCTAPBBLKKSSGR-QYZOEREBSA-N 0 1 310.441 3.475 20 30 DGEDMN N#Cc1ccc(Oc2ccc(-c3noc([C@H]4CCN4)n3)cc2)cc1 ZINC001248285961 1105089412 /nfs/dbraw/zinc/08/94/12/1105089412.db2.gz QVCHMGNDJWBRKB-MRXNPFEDSA-N 0 1 318.336 3.435 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C)CCC(F)(F)CC1)C2 ZINC001095641101 1105093949 /nfs/dbraw/zinc/09/39/49/1105093949.db2.gz SHASFBBQVOUERL-RDBSUJKOSA-N 0 1 312.404 3.110 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc3cc(F)ccc13)C2 ZINC001095658495 1105095560 /nfs/dbraw/zinc/09/55/60/1105095560.db2.gz XDLBRPLMGVNXSY-KCXAZCMYSA-N 0 1 314.360 3.093 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(c3ccccc3)CCC1)C2 ZINC001095719580 1105101328 /nfs/dbraw/zinc/10/13/28/1105101328.db2.gz OKIZTQDEVUEMRX-SQNIBIBYSA-N 0 1 310.441 3.016 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C[C@@H](F)CC ZINC001110900812 1105106906 /nfs/dbraw/zinc/10/69/06/1105106906.db2.gz RWHZKOSQENNASA-HZMVEIRTSA-N 0 1 308.441 3.040 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110964639 1105127933 /nfs/dbraw/zinc/12/79/33/1105127933.db2.gz UMHQCZAAWCBNQJ-UPJWGTAASA-N 0 1 304.356 3.017 20 30 DGEDMN Cc1ccc2c(C[N@H+]3CCC4SC(=O)C=C4C3)cccc2n1 ZINC001248556127 1105127973 /nfs/dbraw/zinc/12/79/73/1105127973.db2.gz LNUFWNBQHNZELH-KRWDZBQOSA-N 0 1 310.422 3.317 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)Nc2cc(F)ccc2F)c1 ZINC001248589680 1105133428 /nfs/dbraw/zinc/13/34/28/1105133428.db2.gz UNZKDOTWJOEEGO-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(Cc2ccccc2)CC1 ZINC001248749912 1105155259 /nfs/dbraw/zinc/15/52/59/1105155259.db2.gz PZOSYKSVPUAMDE-UHFFFAOYSA-N 0 1 319.452 3.438 20 30 DGEDMN Cn1cc(C[N@H+]2CCC[C@@H](CC#N)C2)c2c1ccnc2Cl ZINC001248837900 1105175675 /nfs/dbraw/zinc/17/56/75/1105175675.db2.gz XAPZDZOWCUFVME-LBPRGKRZSA-N 0 1 302.809 3.352 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](CC#N)C2)c2c1ccnc2Cl ZINC001248837900 1105175679 /nfs/dbraw/zinc/17/56/79/1105175679.db2.gz XAPZDZOWCUFVME-LBPRGKRZSA-N 0 1 302.809 3.352 20 30 DGEDMN C[C@H]1CN(Cc2cnn3ccc(C#N)cc23)Cc2ccccc21 ZINC001249082376 1105222744 /nfs/dbraw/zinc/22/27/44/1105222744.db2.gz QETQBRYDZWAYTR-AWEZNQCLSA-N 0 1 302.381 3.325 20 30 DGEDMN CCN(Cc1cnn2ccc(C#N)cc12)Cc1ccc(OC)cc1 ZINC001249118994 1105228599 /nfs/dbraw/zinc/22/85/99/1105228599.db2.gz OCEFNQJEGAKPFC-UHFFFAOYSA-N 0 1 320.396 3.237 20 30 DGEDMN N#Cc1cc(F)c(NC2=CC[C@@H](N3CCOCC3)CC2)cc1F ZINC001249557200 1105313571 /nfs/dbraw/zinc/31/35/71/1105313571.db2.gz VQHVLPSUDYTQBQ-CQSZACIVSA-N 0 1 319.355 3.017 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CC=C)CCCCC1)C2 ZINC001111441358 1105327452 /nfs/dbraw/zinc/32/74/52/1105327452.db2.gz JFRDVIUATMPEAN-ZACQAIPSSA-N 0 1 302.462 3.421 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(F)(F)F)[C@@H]1C ZINC001278526851 1105347437 /nfs/dbraw/zinc/34/74/37/1105347437.db2.gz NMYRZRZXAOUUBO-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1sc(C)cc1C)C2 ZINC001097147922 1105353114 /nfs/dbraw/zinc/35/31/14/1105353114.db2.gz SDDLNXRNNPKBKE-KFWWJZLASA-N 0 1 304.459 3.276 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(C)cc1Cl)C2 ZINC001097360893 1105386491 /nfs/dbraw/zinc/38/64/91/1105386491.db2.gz TWTVAPOJMYTPTL-OIISXLGYSA-N 0 1 318.848 3.098 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)oc(C)c1C)C2 ZINC001097484664 1105402596 /nfs/dbraw/zinc/40/25/96/1105402596.db2.gz FBQZEEZUTXOYTO-OAGGEKHMSA-N 0 1 302.418 3.116 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCCCCCC1)C2 ZINC001097505791 1105405168 /nfs/dbraw/zinc/40/51/68/1105405168.db2.gz QGLNLLJKQGLDSE-KURKYZTESA-N 0 1 302.462 3.092 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C1(C)CC1)C2 ZINC001097828731 1105448791 /nfs/dbraw/zinc/44/87/91/1105448791.db2.gz BNAXQODJODOEIN-LZQZEXGQSA-N 0 1 322.452 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)cc1Cl)C2 ZINC001097897697 1105458385 /nfs/dbraw/zinc/45/83/85/1105458385.db2.gz SHXDQSXMLOUMNZ-WQVCFCJDSA-N 0 1 304.821 3.170 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3ccccc3c1)C2 ZINC001097931139 1105466155 /nfs/dbraw/zinc/46/61/55/1105466155.db2.gz JHNJIYSVKWKSOA-QRQLOZEOSA-N 0 1 321.424 3.146 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc(Cl)c1)C2 ZINC001097977519 1105488708 /nfs/dbraw/zinc/48/87/08/1105488708.db2.gz XECMSSSDBYVOMY-UPJWGTAASA-N 0 1 310.850 3.313 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc(Cl)c1)C2 ZINC001097977519 1105488711 /nfs/dbraw/zinc/48/87/11/1105488711.db2.gz XECMSSSDBYVOMY-UPJWGTAASA-N 0 1 310.850 3.313 20 30 DGEDMN N#Cc1cnc(Nc2ccc3cncn3c2)c(Br)c1 ZINC001250324692 1105498937 /nfs/dbraw/zinc/49/89/37/1105498937.db2.gz XVXZSBYDCAVZRN-UHFFFAOYSA-N 0 1 314.146 3.107 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2cc(O)c(Cl)c(F)c2F)C1 ZINC001250409196 1105511510 /nfs/dbraw/zinc/51/15/10/1105511510.db2.gz SAWCTNNJLWXGDJ-VIFPVBQESA-N 0 1 300.736 3.449 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(C#N)cc2)cc(F)c1OC ZINC001137505937 1105531782 /nfs/dbraw/zinc/53/17/82/1105531782.db2.gz AHWHMDNXTKBDHG-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C(F)(F)F)c1)C2 ZINC001098265106 1105565206 /nfs/dbraw/zinc/56/52/06/1105565206.db2.gz IAXDOJYJTXMRRA-KFWWJZLASA-N 0 1 324.346 3.227 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCC(C3CC3)CC1)C2 ZINC001098304638 1105567408 /nfs/dbraw/zinc/56/74/08/1105567408.db2.gz KYQQJCBVUWNORB-ILEACXBISA-N 0 1 302.462 3.110 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)n(C(C)C)c1C)C2 ZINC001098353529 1105571875 /nfs/dbraw/zinc/57/18/75/1105571875.db2.gz NACULUXWHIRHBT-NXHRZFHOSA-N 0 1 315.461 3.207 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC1=CCCCC1 ZINC001098966590 1105620549 /nfs/dbraw/zinc/62/05/49/1105620549.db2.gz QXSYLBWJOLTYQB-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC(C)(C)CN1C[C@@H](F)CC ZINC001099043287 1105634422 /nfs/dbraw/zinc/63/44/22/1105634422.db2.gz MEKGCTZDRSMVOY-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN Cn1c2ccc3ncccc3c2nc1-c1cc(C#N)ccc1O ZINC001251180472 1105635671 /nfs/dbraw/zinc/63/56/71/1105635671.db2.gz XRYUSUUCJNTYBF-UHFFFAOYSA-N 0 1 300.321 3.366 20 30 DGEDMN CC[C@@H](F)CN1CC(C)(C)CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001099070270 1105638948 /nfs/dbraw/zinc/63/89/48/1105638948.db2.gz VBBTWOLTXWXWKS-CVEARBPZSA-N 0 1 324.484 3.391 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc3ccsc32)C1 ZINC001099107525 1105643617 /nfs/dbraw/zinc/64/36/17/1105643617.db2.gz OCFZXJFWZKWCSO-KXBFYZLASA-N 0 1 324.449 3.119 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)c1ccsc1 ZINC001099234613 1105660474 /nfs/dbraw/zinc/66/04/74/1105660474.db2.gz KHMCXXAFGNPSRQ-ZBFHGGJFSA-N 0 1 318.486 3.092 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](CCC)C(C)C ZINC001099257176 1105662192 /nfs/dbraw/zinc/66/21/92/1105662192.db2.gz BOXPBMXXWJGKMV-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)CC1CCCC1 ZINC001099257429 1105662262 /nfs/dbraw/zinc/66/22/62/1105662262.db2.gz FMLXONRJLXPGAG-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)CC(C)C ZINC001099302967 1105666364 /nfs/dbraw/zinc/66/63/64/1105666364.db2.gz DVGJVTRSXZUNKM-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1 ZINC001099316683 1105668030 /nfs/dbraw/zinc/66/80/30/1105668030.db2.gz ZUWWAFZDHIHRCZ-FUHWJXTLSA-N 0 1 312.457 3.030 20 30 DGEDMN N#Cc1ccc2nc(-c3nc4ccc5ncccc5c4[nH]3)cn2c1 ZINC001251632131 1105675707 /nfs/dbraw/zinc/67/57/07/1105675707.db2.gz DJMLPXGMLBMPHY-UHFFFAOYSA-N 0 1 310.320 3.297 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(C(C)(C)C)CC1 ZINC001252087991 1105736435 /nfs/dbraw/zinc/73/64/35/1105736435.db2.gz JCRYWKIDBDPTJH-UHFFFAOYSA-N 0 1 312.498 3.306 20 30 DGEDMN CCCCCCCC[C@H](O)CNc1cc(C(=O)OCC)n[nH]1 ZINC001252121241 1105744291 /nfs/dbraw/zinc/74/42/91/1105744291.db2.gz FDMJCIYVEKPGLR-ZDUSSCGKSA-N 0 1 311.426 3.110 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001491058337 1105744442 /nfs/dbraw/zinc/74/44/42/1105744442.db2.gz IBLJTHLYPCBSEE-OKILXGFUSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCOC[C@H](O)CN1CCC[C@@H]1c1ccc(Cl)s1 ZINC001252465310 1105781350 /nfs/dbraw/zinc/78/13/50/1105781350.db2.gz FJTVZBMFRVTVTH-VXGBXAGGSA-N 0 1 301.839 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2cnoc2C)C1 ZINC001278663869 1105776057 /nfs/dbraw/zinc/77/60/57/1105776057.db2.gz PXBKZRGPBJEXDJ-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN N#CCC1(NC[C@H](O)COc2cccc3ccccc32)CCCC1 ZINC001252407440 1105776819 /nfs/dbraw/zinc/77/68/19/1105776819.db2.gz WQYKFXWKMMNMLU-KRWDZBQOSA-N 0 1 324.424 3.396 20 30 DGEDMN C=CCOC[C@@H](O)CN1CCC[C@H]1c1ccc(Cl)s1 ZINC001252465309 1105781335 /nfs/dbraw/zinc/78/13/35/1105781335.db2.gz FJTVZBMFRVTVTH-RYUDHWBXSA-N 0 1 301.839 3.102 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cc(C)no2)C1 ZINC001491284997 1105794464 /nfs/dbraw/zinc/79/44/64/1105794464.db2.gz FCIZLINKDMEAGF-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)NCc1cc(N(C)C)ccn1 ZINC001252971842 1105862950 /nfs/dbraw/zinc/86/29/50/1105862950.db2.gz VMXNIZDKTQKDPA-WMLDXEAASA-N 0 1 316.449 3.082 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(CC=C)CCCCC2)C1 ZINC001278693224 1105879806 /nfs/dbraw/zinc/87/98/06/1105879806.db2.gz GKSRDGVWYJNRDI-LJQANCHMSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101252858 1105904410 /nfs/dbraw/zinc/90/44/10/1105904410.db2.gz YAKBJPNOFBEYEO-FRAJWHGFSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001253228158 1105905446 /nfs/dbraw/zinc/90/54/46/1105905446.db2.gz PUPLQARCCQLTGV-HZPDHXFCSA-N 0 1 316.449 3.267 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)c1ccccc1F ZINC001253249890 1105912106 /nfs/dbraw/zinc/91/21/06/1105912106.db2.gz QXYVIBWILPYYGO-OAHLLOKOSA-N 0 1 307.409 3.486 20 30 DGEDMN C=CC1(CC(=O)N2C[C@H]3CN(CCF)C[C@@]3(C)C2)CCCCC1 ZINC001101390557 1105916195 /nfs/dbraw/zinc/91/61/95/1105916195.db2.gz BDSHWSKJSZVUCF-AEFFLSMTSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC2=CCCCC2)[C@H](C)C1 ZINC001101817449 1105980922 /nfs/dbraw/zinc/98/09/22/1105980922.db2.gz RYCRBCODHDENEH-CZUORRHYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](CC)CCCC)C1 ZINC001278740836 1105988225 /nfs/dbraw/zinc/98/82/25/1105988225.db2.gz ILIQYTVENFROKI-GJZGRUSLSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC(C)(C)CC)C1 ZINC001278741402 1105988951 /nfs/dbraw/zinc/98/89/51/1105988951.db2.gz OKJJRMODZZFYPK-ZDUSSCGKSA-N 0 1 302.409 3.072 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(NCc2ncccn2)CC1 ZINC001254342859 1106057098 /nfs/dbraw/zinc/05/70/98/1106057098.db2.gz TUWKOBWHDARJLI-UHFFFAOYSA-N 0 1 310.376 3.109 20 30 DGEDMN COC1(C)CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)C1 ZINC001254352615 1106057764 /nfs/dbraw/zinc/05/77/64/1106057764.db2.gz BPMBXRZGIFCICT-UHFFFAOYSA-N 0 1 302.393 3.250 20 30 DGEDMN CN(Cc1ncccn1)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254352838 1106057940 /nfs/dbraw/zinc/05/79/40/1106057940.db2.gz GCTZUMLUXKMCRE-UHFFFAOYSA-N 0 1 324.403 3.452 20 30 DGEDMN CO[C@H]1CN(C2CCC(C#N)(c3ccc(F)cc3)CC2)C[C@@H]1F ZINC001254353055 1106059037 /nfs/dbraw/zinc/05/90/37/1106059037.db2.gz ONBDPIQMNNFZLS-SAGYGOJTSA-N 0 1 320.383 3.198 20 30 DGEDMN C=C(CN1CCCC1)c1nnc(Cc2cccc(Cl)c2)o1 ZINC001254452852 1106074012 /nfs/dbraw/zinc/07/40/12/1106074012.db2.gz KGISRCRIBZULPI-UHFFFAOYSA-N 0 1 303.793 3.423 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cccc(CN[C@H]2CC[C@@H](C#N)C2)n1 ZINC001254655378 1106124100 /nfs/dbraw/zinc/12/41/00/1106124100.db2.gz CYCRHSMUCXFQEC-OLZOCXBDSA-N 0 1 316.405 3.210 20 30 DGEDMN CC(C)[C@@H]1CN([C@H]2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001254659293 1106125406 /nfs/dbraw/zinc/12/54/06/1106125406.db2.gz NONHMWHJXWFZJI-JYJNAYRXSA-N 0 1 321.465 3.256 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCN(c3nccc4ccccc43)CC2)C1 ZINC001254668214 1106128524 /nfs/dbraw/zinc/12/85/24/1106128524.db2.gz GQMUGHMWTRTVNB-NVXWUHKLSA-N 0 1 306.413 3.049 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](N1CCC[C@H](C#N)C1)C2 ZINC001254782833 1106141253 /nfs/dbraw/zinc/14/12/53/1106141253.db2.gz CRWCWJSESGBFDQ-CABCVRRESA-N 0 1 319.449 3.012 20 30 DGEDMN C=C[C@@H](COC)N[C@H](C)c1ccc(F)c(Br)c1 ZINC001255018137 1106166777 /nfs/dbraw/zinc/16/67/77/1106166777.db2.gz BWJDXVICLTWZAB-KOLCDFICSA-N 0 1 302.187 3.440 20 30 DGEDMN CC[C@H](CC#N)N1CCC(CCNC(=O)OC(C)(C)C)CC1 ZINC001255167323 1106197239 /nfs/dbraw/zinc/19/72/39/1106197239.db2.gz YIUYDKUUVFCIQS-OAHLLOKOSA-N 0 1 309.454 3.305 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001255165881 1106197698 /nfs/dbraw/zinc/19/76/98/1106197698.db2.gz FEIIJPDIFOLBJV-HNNXBMFYSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@@H](CC#N)N(CC)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255176178 1106199784 /nfs/dbraw/zinc/19/97/84/1106199784.db2.gz XUBYCRMWVDIYDT-GJZGRUSLSA-N 0 1 309.454 3.400 20 30 DGEDMN Cc1ncc(C#N)cc1NS(=O)(=O)c1ccc(C(C)C)cc1 ZINC001255281119 1106214958 /nfs/dbraw/zinc/21/49/58/1106214958.db2.gz KGHQNUUMGABXAG-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@H](C)CC(F)(F)F)CCC2 ZINC001255653774 1106286177 /nfs/dbraw/zinc/28/61/77/1106286177.db2.gz VUPPMQHPLDJNOP-GFCCVEGCSA-N 0 1 317.355 3.132 20 30 DGEDMN Cc1ccc(SC[C@H](C)NC2(C#N)CCN(C)CC2)cc1 ZINC001255757018 1106310266 /nfs/dbraw/zinc/31/02/66/1106310266.db2.gz IYBSKCAEPYVMJC-HNNXBMFYSA-N 0 1 303.475 3.053 20 30 DGEDMN C[C@H](C#N)c1ccc(NS(=O)(=O)c2ccc(F)cc2F)cc1 ZINC001256062287 1106362360 /nfs/dbraw/zinc/36/23/60/1106362360.db2.gz PDTGPSQOXOTNDS-SNVBAGLBSA-N 0 1 322.336 3.393 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C(C)(F)F)cc1)C(C)C ZINC001278929847 1106407180 /nfs/dbraw/zinc/40/71/80/1106407180.db2.gz MXSNRCGMUZFBSJ-UHFFFAOYSA-N 0 1 322.399 3.214 20 30 DGEDMN C[C@@H]1C[C@H](N2CC[C@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001256490829 1106430027 /nfs/dbraw/zinc/43/00/27/1106430027.db2.gz URHLLAODFUHCDI-CKEIUWERSA-N 0 1 307.438 3.010 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(CC)n1)C(C)(C)C ZINC001278973748 1106503916 /nfs/dbraw/zinc/50/39/16/1106503916.db2.gz XZVDAPPAWDHLBH-OAHLLOKOSA-N 0 1 323.868 3.131 20 30 DGEDMN N#Cc1ccc(C2CCC(N3CCn4cncc4C3)CC2)cc1 ZINC001257019208 1106524854 /nfs/dbraw/zinc/52/48/54/1106524854.db2.gz KMUPFCYNHLUVMK-UHFFFAOYSA-N 0 1 306.413 3.297 20 30 DGEDMN CC[C@@H]1C[C@@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257139716 1106543904 /nfs/dbraw/zinc/54/39/04/1106543904.db2.gz LNMYFTGCGQVLGW-KFWWJZLASA-N 0 1 307.438 3.010 20 30 DGEDMN CCOC(=O)c1ccc(C[C@H](C)N2CC[C@@](C)(C#N)C2)cc1 ZINC001257167956 1106548491 /nfs/dbraw/zinc/54/84/91/1106548491.db2.gz RYRUBQZHBUJNAS-KSSFIOAISA-N 0 1 300.402 3.030 20 30 DGEDMN C=C1C[C@@H]2CC(N3Cc4ccnn4C[C@@H](COC)C3)C[C@H](C1)C2 ZINC001257892863 1106642700 /nfs/dbraw/zinc/64/27/00/1106642700.db2.gz HSEZBGCIGBGOHH-CKIDTQIGSA-N 0 1 315.461 3.096 20 30 DGEDMN Cc1ccc2nc(NS(=O)(=O)c3ccc(C#N)cc3)ccc2c1 ZINC001258035585 1106662479 /nfs/dbraw/zinc/66/24/79/1106662479.db2.gz ISQGVFVBIHNUGV-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CC(C)Oc1cccc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC001258266631 1106705776 /nfs/dbraw/zinc/70/57/76/1106705776.db2.gz QOTDEAYDGRQVCQ-UHFFFAOYSA-N 0 1 316.382 3.146 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccc2ccc(O)cc2c1 ZINC001258274046 1106706315 /nfs/dbraw/zinc/70/63/15/1106706315.db2.gz ZTZYTABGSCHMLK-UHFFFAOYSA-N 0 1 324.361 3.218 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001316907783 1106754295 /nfs/dbraw/zinc/75/42/95/1106754295.db2.gz SAACXKBBONBBIJ-DLBZAZTESA-N 0 1 320.864 3.371 20 30 DGEDMN CCCCC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001316929025 1106774094 /nfs/dbraw/zinc/77/40/94/1106774094.db2.gz KQSJFGPXPUPGAN-UHFFFAOYSA-N 0 1 312.457 3.151 20 30 DGEDMN Cc1sc(NC(=O)c2ccc3cncn3c2C)c(C#N)c1C ZINC001320022260 1106792265 /nfs/dbraw/zinc/79/22/65/1106792265.db2.gz KBGGPJNHAODMTB-UHFFFAOYSA-N 0 1 310.382 3.445 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001320038400 1106809556 /nfs/dbraw/zinc/80/95/56/1106809556.db2.gz RQLLSAZINOXOGN-DOMZBBRYSA-N 0 1 316.228 3.304 20 30 DGEDMN CCN(CCC#N)[C@@H]1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC001258560810 1106823014 /nfs/dbraw/zinc/82/30/14/1106823014.db2.gz BDEATNWLZZRVLM-CQSZACIVSA-N 0 1 309.454 3.400 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001316984665 1106845540 /nfs/dbraw/zinc/84/55/40/1106845540.db2.gz DDVVDSUMFFMZRK-NVXWUHKLSA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc(CC)c(CCC)s1 ZINC001320080288 1106848050 /nfs/dbraw/zinc/84/80/50/1106848050.db2.gz KYLVULMLYIBBHE-UHFFFAOYSA-N 0 1 320.502 3.290 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNCc1ncoc1C(C)C ZINC001320127966 1106888440 /nfs/dbraw/zinc/88/84/40/1106888440.db2.gz IXSXOCUAKCFEPJ-HUUCEWRRSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1c[nH]c2ccccc12)C1CC1 ZINC001317020737 1106908360 /nfs/dbraw/zinc/90/83/60/1106908360.db2.gz YEIBHAWJQUZFMV-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc2c(s1)CCC2)C1CC1 ZINC001317028763 1106920187 /nfs/dbraw/zinc/92/01/87/1106920187.db2.gz RLIQKTGPQXSDQH-CYBMUJFWSA-N 0 1 324.877 3.087 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2c(F)cccc2N2CCCC2)C1 ZINC001320208013 1106927939 /nfs/dbraw/zinc/92/79/39/1106927939.db2.gz DBOCWKHDZVIDEN-HNNXBMFYSA-N 0 1 304.409 3.203 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2c[nH]nc2-c2c(F)cccc2F)C1 ZINC001320208176 1106928417 /nfs/dbraw/zinc/92/84/17/1106928417.db2.gz FWXMNMOWXXUEKM-ZDUSSCGKSA-N 0 1 319.355 3.132 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@H](CN(C)CC(=C)Cl)C1 ZINC001317038189 1106934960 /nfs/dbraw/zinc/93/49/60/1106934960.db2.gz GOICDSSEVLIHCD-FCONWMNKSA-N 0 1 310.869 3.432 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2nn(C)cc2[C@H](COCC2CC2)C1 ZINC001258729721 1106935610 /nfs/dbraw/zinc/93/56/10/1106935610.db2.gz XYHWRXQNOJEFQK-ZBFHGGJFSA-N 0 1 303.450 3.101 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2coc(-c3ccccc3)n2)c1 ZINC001320248431 1106938315 /nfs/dbraw/zinc/93/83/15/1106938315.db2.gz UTOMIVTXFRGJQP-UHFFFAOYSA-N 0 1 319.320 3.100 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@H](O)c2ccccc2C(F)(F)F)C1 ZINC001320320341 1106958959 /nfs/dbraw/zinc/95/89/59/1106958959.db2.gz HACNMUIHRXFVEY-DOMZBBRYSA-N 0 1 315.335 3.016 20 30 DGEDMN C[C@@H](CCNCc1cc(F)ccc1C#N)NC(=O)CC(C)(C)C ZINC001320347985 1106973018 /nfs/dbraw/zinc/97/30/18/1106973018.db2.gz PPDJTZYBBXDMPS-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@@H](C)C(=O)NC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001317165378 1107068716 /nfs/dbraw/zinc/06/87/16/1107068716.db2.gz IOANCTODTAYSGG-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCCN1Cc1cccnc1 ZINC001317174106 1107085475 /nfs/dbraw/zinc/08/54/75/1107085475.db2.gz PGBULZJMXRIFCS-SJLPKXTDSA-N 0 1 315.461 3.155 20 30 DGEDMN C[C@@H](CNc1cccc(CC#N)n1)N1CCc2sccc2C1 ZINC001320655675 1107090973 /nfs/dbraw/zinc/09/09/73/1107090973.db2.gz DBVSGCHNYIAUHF-ZDUSSCGKSA-N 0 1 312.442 3.068 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)CC1CCCC1 ZINC001320673001 1107100204 /nfs/dbraw/zinc/10/02/04/1107100204.db2.gz WBGFUIYNAMHOCU-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccccc1C ZINC001317181630 1107103282 /nfs/dbraw/zinc/10/32/82/1107103282.db2.gz UOZMKROGUSMLQO-QZTJIDSGSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C(C2CC2)C2CC2)C1 ZINC001317203651 1107122282 /nfs/dbraw/zinc/12/22/82/1107122282.db2.gz JQMQZGPHARBPRT-XJKSGUPXSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C(C)(C)CC)C1 ZINC001317211135 1107131267 /nfs/dbraw/zinc/13/12/67/1107131267.db2.gz WTIQZVPKKTUBOB-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCCN(Cc2cncs2)C1 ZINC001317211230 1107133395 /nfs/dbraw/zinc/13/33/95/1107133395.db2.gz XLUVBRDWITUMKN-KGLIPLIRSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001317219197 1107140761 /nfs/dbraw/zinc/14/07/61/1107140761.db2.gz UKHGYHSCUQMDTM-NFOMZHRRSA-N 0 1 319.449 3.273 20 30 DGEDMN C[C@H](N[C@@H](C)c1ccc(Cl)c(F)c1)C(=O)NC1(C#N)CCC1 ZINC001320951111 1107200546 /nfs/dbraw/zinc/20/05/46/1107200546.db2.gz JIRHLXUHRHZLEM-QWRGUYRKSA-N 0 1 323.799 3.081 20 30 DGEDMN N#Cc1ccc(CS(=O)(=O)Nc2cc(Cl)cs2)cc1 ZINC001260039116 1107236094 /nfs/dbraw/zinc/23/60/94/1107236094.db2.gz OVEYULVLZCJKCX-UHFFFAOYSA-N 0 1 312.803 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1ccc(Cl)nc1 ZINC001321077106 1107242321 /nfs/dbraw/zinc/24/23/21/1107242321.db2.gz FUGJWFHZPNGGAM-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN CCCCC(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001317372414 1107274207 /nfs/dbraw/zinc/27/42/07/1107274207.db2.gz WNBKZMBIEJVEGR-LJQANCHMSA-N 0 1 312.457 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001317401364 1107318392 /nfs/dbraw/zinc/31/83/92/1107318392.db2.gz AXFIFKYDRVMILR-CYBMUJFWSA-N 0 1 321.465 3.169 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H](CC)C(C)(C)C ZINC001321337179 1107326448 /nfs/dbraw/zinc/32/64/48/1107326448.db2.gz OJFBJQLOBUGHFU-WBTMPAOCSA-N 0 1 300.874 3.463 20 30 DGEDMN CCC(=CC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F)CC ZINC001321823693 1107442099 /nfs/dbraw/zinc/44/20/99/1107442099.db2.gz PNSJUFBBBGYJTL-ZDUSSCGKSA-N 0 1 317.408 3.038 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1ccc(F)cc1C ZINC001317499895 1107460500 /nfs/dbraw/zinc/46/05/00/1107460500.db2.gz RDQHNCJFVDRITK-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCCCc1ccccc1 ZINC001317506795 1107467217 /nfs/dbraw/zinc/46/72/17/1107467217.db2.gz FVZHFOWDOISFJH-LJQANCHMSA-N 0 1 312.457 3.003 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1cccc(Cl)c1F ZINC001321955346 1107472011 /nfs/dbraw/zinc/47/20/11/1107472011.db2.gz DILWEXMUSFKBPT-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001317549470 1107520790 /nfs/dbraw/zinc/52/07/90/1107520790.db2.gz WBXFIRRKPDAVJK-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1)C1CC1 ZINC001317558531 1107537064 /nfs/dbraw/zinc/53/70/64/1107537064.db2.gz VWLOQZKGZGPTKE-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCCc1ccc(Cl)cc1 ZINC001322193675 1107562739 /nfs/dbraw/zinc/56/27/39/1107562739.db2.gz MTYYGLUBGYNLAM-HNNXBMFYSA-N 0 1 320.864 3.123 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc[nH]1)c1ccc(C(C)C)cc1 ZINC001317711485 1107615091 /nfs/dbraw/zinc/61/50/91/1107615091.db2.gz PWGOEELMWQCTHY-IBGZPJMESA-N 0 1 323.440 3.222 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccco1)c1ccc(C(C)C)cc1 ZINC001317711198 1107615156 /nfs/dbraw/zinc/61/51/56/1107615156.db2.gz JRNPVCBLYRXAQY-GOSISDBHSA-N 0 1 324.424 3.487 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccc(C)cc2)C1 ZINC001317761901 1107645656 /nfs/dbraw/zinc/64/56/56/1107645656.db2.gz QYPILHFCKPDSOO-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001317779796 1107662697 /nfs/dbraw/zinc/66/26/97/1107662697.db2.gz QOVPGATUNRPZSS-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2C(C)(C)C2(C)C)C1 ZINC001317783249 1107664306 /nfs/dbraw/zinc/66/43/06/1107664306.db2.gz FHGFEWWYJDDRJR-CYBMUJFWSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(F)s2)C1 ZINC001317812940 1107688447 /nfs/dbraw/zinc/68/84/47/1107688447.db2.gz DMNGKJKIRXUQNW-NSHDSACASA-N 0 1 316.829 3.082 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCNC/C(Cl)=C\Cl ZINC001322677417 1107732231 /nfs/dbraw/zinc/73/22/31/1107732231.db2.gz BCUPGDXEVRHRSR-IEZBTEQYSA-N 0 1 307.265 3.346 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001317940518 1107755374 /nfs/dbraw/zinc/75/53/74/1107755374.db2.gz GKOXWAFRLRCQDC-SCFJZMLDSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3cc4c(s3)CCC4)o2)CC1 ZINC001322772258 1107755233 /nfs/dbraw/zinc/75/52/33/1107755233.db2.gz ZIRZHCVZWWQBQP-UHFFFAOYSA-N 0 1 313.426 3.099 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001317944577 1107756833 /nfs/dbraw/zinc/75/68/33/1107756833.db2.gz MPPMAWFDWVIKIO-DOTOQJQBSA-N 0 1 318.436 3.161 20 30 DGEDMN CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001317956797 1107768289 /nfs/dbraw/zinc/76/82/89/1107768289.db2.gz XBUHZYJFNQFVRM-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001317963071 1107772319 /nfs/dbraw/zinc/77/23/19/1107772319.db2.gz ZEQDKKIIOXUVHB-INIZCTEOSA-N 0 1 312.413 3.480 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1CCCC1(F)F)C1CC1 ZINC001323065348 1107821472 /nfs/dbraw/zinc/82/14/72/1107821472.db2.gz KUYZYYROEYFDHX-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001318159370 1107866167 /nfs/dbraw/zinc/86/61/67/1107866167.db2.gz RCPCEWNWRHTKQR-FLIBITNWSA-N 0 1 312.457 3.350 20 30 DGEDMN CC(C)c1ccc([C@H](C)N2CC[C@@H]2CNC(=O)C#CC2CC2)cc1 ZINC001323225902 1107890246 /nfs/dbraw/zinc/89/02/46/1107890246.db2.gz KRVNCKQTAOWVQL-OXJNMPFZSA-N 0 1 324.468 3.475 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN([C@H]2CCc3ccc(Cl)cc32)C1 ZINC001318240413 1107913366 /nfs/dbraw/zinc/91/33/66/1107913366.db2.gz KUHOHVSJOXISAY-RDJZCZTQSA-N 0 1 318.848 3.484 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001323455468 1107980907 /nfs/dbraw/zinc/98/09/07/1107980907.db2.gz DRLPRYKSLOJQPK-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001318422367 1107993448 /nfs/dbraw/zinc/99/34/48/1107993448.db2.gz IBUWJDUUSATRIO-INIZCTEOSA-N 0 1 304.409 3.071 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3nccs3)[nH]c21 ZINC001263290689 1107999687 /nfs/dbraw/zinc/99/96/87/1107999687.db2.gz ZVSZWVXUVAYQIP-QRGHLMKCSA-N 0 1 308.366 3.218 20 30 DGEDMN N#CC(=C([O-])C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295590 1108003689 /nfs/dbraw/zinc/00/36/89/1108003689.db2.gz FDJNNFVKZVPDJR-CFYYFVFUSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(C(=O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295590 1108003699 /nfs/dbraw/zinc/00/36/99/1108003699.db2.gz FDJNNFVKZVPDJR-CFYYFVFUSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(=C(O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295590 1108003707 /nfs/dbraw/zinc/00/37/07/1108003707.db2.gz FDJNNFVKZVPDJR-CFYYFVFUSA-N 0 1 306.369 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(CCc3ccccc3)CC2)C1 ZINC001318454039 1108018632 /nfs/dbraw/zinc/01/86/32/1108018632.db2.gz QMFBDIHZVHISNF-SFHVURJKSA-N 0 1 312.457 3.118 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001318486417 1108048576 /nfs/dbraw/zinc/04/85/76/1108048576.db2.gz YRVQPRSCZRRBJI-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC/C=C\c1ccccc1 ZINC001323696606 1108056695 /nfs/dbraw/zinc/05/66/95/1108056695.db2.gz RDOYUIINNOOZEE-HRFKEGQDSA-N 0 1 322.452 3.179 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC(C2CC2)C2CC2)c1 ZINC001263472161 1108060330 /nfs/dbraw/zinc/06/03/30/1108060330.db2.gz YNWQNVMHJPBBRO-SFHVURJKSA-N 0 1 324.424 3.146 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001318500881 1108063270 /nfs/dbraw/zinc/06/32/70/1108063270.db2.gz CDLIMKMKLIKFMC-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)N[C@@H](C)c1c(F)cccc1F ZINC001318520440 1108076339 /nfs/dbraw/zinc/07/63/39/1108076339.db2.gz YFPJPIPDUVQELM-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CC[C@@H](C)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001318555119 1108091756 /nfs/dbraw/zinc/09/17/56/1108091756.db2.gz MUMFUWJBXXYNGI-SJLPKXTDSA-N 0 1 300.446 3.430 20 30 DGEDMN C#CCN1CCC(OC(=O)/C=C/c2ccc(SC)s2)CC1 ZINC001263620744 1108117485 /nfs/dbraw/zinc/11/74/85/1108117485.db2.gz BMCXSTNIMOUYMV-GQCTYLIASA-N 0 1 321.467 3.124 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001323970202 1108132518 /nfs/dbraw/zinc/13/25/18/1108132518.db2.gz PYFWDZWOXJOXEP-KRWDZBQOSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2coc(C)n2)CCCC[C@H]1C ZINC001318743898 1108178783 /nfs/dbraw/zinc/17/87/83/1108178783.db2.gz SAZGPFYLFYWLPQ-RDTXWAMCSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCCC2(CC2)C1 ZINC001324160663 1108193918 /nfs/dbraw/zinc/19/39/18/1108193918.db2.gz CCYPTWAIWNPYFT-LSDHHAIUSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001263820607 1108234965 /nfs/dbraw/zinc/23/49/65/1108234965.db2.gz CJEWGJVLUDZQEA-QAPCUYQASA-N 0 1 318.436 3.428 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCC(C)(C)C)C1CCN(CC#C)CC1 ZINC001324432552 1108286880 /nfs/dbraw/zinc/28/68/80/1108286880.db2.gz YHISPQBVVQVSJV-KRWDZBQOSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cc(C)c(C)o1 ZINC001263983951 1108325118 /nfs/dbraw/zinc/32/51/18/1108325118.db2.gz GOAPKPDSOUTFDI-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)c(F)c(C)c1 ZINC001319132124 1108327628 /nfs/dbraw/zinc/32/76/28/1108327628.db2.gz IDRNJJXHWFPXDF-OAHLLOKOSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001264060524 1108359461 /nfs/dbraw/zinc/35/94/61/1108359461.db2.gz DUEQBOUKLYGQMV-HYVNUMGLSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001324656156 1108405976 /nfs/dbraw/zinc/40/59/76/1108405976.db2.gz KXCPUWWMGZHPQT-APWZRJJASA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CN(CC2CCCCCC2)CCO1 ZINC001319308804 1108408670 /nfs/dbraw/zinc/40/86/70/1108408670.db2.gz NZKUWEJVNXCRPC-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001264181869 1108417660 /nfs/dbraw/zinc/41/76/60/1108417660.db2.gz BMNUNJKNSUFLGE-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001324675735 1108419301 /nfs/dbraw/zinc/41/93/01/1108419301.db2.gz ZIYBPDIKQUDUCE-RXVVDRJESA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1ccc(CC(C)C)cc1 ZINC001264364954 1108471364 /nfs/dbraw/zinc/47/13/64/1108471364.db2.gz NWXGRIORPLSCTF-LJQANCHMSA-N 0 1 314.473 3.146 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001319448814 1108473100 /nfs/dbraw/zinc/47/31/00/1108473100.db2.gz QWTWDXALSFGXSL-KGLIPLIRSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc(Cl)s1 ZINC001264374441 1108486562 /nfs/dbraw/zinc/48/65/62/1108486562.db2.gz HKYOIRLEBPIYOB-LBPRGKRZSA-N 0 1 312.866 3.053 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2CC(F)(F)C2)CC1 ZINC001324854277 1108486809 /nfs/dbraw/zinc/48/68/09/1108486809.db2.gz DWDDXTMZPHHEEV-UHFFFAOYSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(C)CCC(=O)NCC1(C)CCN(Cc2cncs2)CC1 ZINC001324864897 1108489624 /nfs/dbraw/zinc/48/96/24/1108489624.db2.gz WSVFXGZVZSKWRJ-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC[C@@H]1CCc2ccccc21 ZINC001264380363 1108494776 /nfs/dbraw/zinc/49/47/76/1108494776.db2.gz HZWLJXKBZIDBON-ROUUACIJSA-N 0 1 312.457 3.215 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H]3CCCC[C@@H]3C2)c1C#N ZINC001319503288 1108496861 /nfs/dbraw/zinc/49/68/61/1108496861.db2.gz ZNZXOTJZEHSKLJ-HUUCEWRRSA-N 0 1 312.413 3.357 20 30 DGEDMN CC(N=Nc1cncc(Br)c1)c1cccc(O)c1 ZINC001319517080 1108502451 /nfs/dbraw/zinc/50/24/51/1108502451.db2.gz JCPULFOXFCJIBT-UHFFFAOYSA-N 0 1 306.163 3.386 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001264404673 1108511301 /nfs/dbraw/zinc/51/13/01/1108511301.db2.gz IUEQDMVWOZNODR-ADASEBELSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@@H]1CCc2ccccc21 ZINC001319550017 1108520536 /nfs/dbraw/zinc/52/05/36/1108520536.db2.gz VCAFJIOJCLVRIG-HOCLYGCPSA-N 0 1 320.864 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CCCN(Cc2cnoc2C)C1 ZINC001324956883 1108534008 /nfs/dbraw/zinc/53/40/08/1108534008.db2.gz GHQPTJWLHJQRKY-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1oc(C)cc1C ZINC001319604219 1108549313 /nfs/dbraw/zinc/54/93/13/1108549313.db2.gz LHCVWPRUBAXUSJ-CQSZACIVSA-N 0 1 312.841 3.383 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)CCCC)C1 ZINC001325051293 1108570614 /nfs/dbraw/zinc/57/06/14/1108570614.db2.gz OKCFVRKXTQTZIM-UONOGXRCSA-N 0 1 302.409 3.072 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1C ZINC001264715491 1108629311 /nfs/dbraw/zinc/62/93/11/1108629311.db2.gz ZKDTZVCKESCXRY-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC=CCC1)c1ccccc1CC ZINC001325361544 1108632236 /nfs/dbraw/zinc/63/22/36/1108632236.db2.gz HVUGCUNQTVVBTG-UYAOXDASSA-N 0 1 324.468 3.376 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC(C)(C)C1CC1 ZINC001264740235 1108639379 /nfs/dbraw/zinc/63/93/79/1108639379.db2.gz KEZWZYGKWBZHGB-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C(C)C)oc1C ZINC001264744160 1108642689 /nfs/dbraw/zinc/64/26/89/1108642689.db2.gz KYRXTIIMYPOQEC-INIZCTEOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(C)Cc1cc(C)ccc1F ZINC001265021437 1108699830 /nfs/dbraw/zinc/69/98/30/1108699830.db2.gz PDXIENITLZMDMZ-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@H](C)NCc1cc(C)no1 ZINC001265085283 1108726591 /nfs/dbraw/zinc/72/65/91/1108726591.db2.gz CWYGXEUKVGQKQT-RDJZCZTQSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001265205174 1108775521 /nfs/dbraw/zinc/77/55/21/1108775521.db2.gz DGDIHYMCVYCYKG-DOTOQJQBSA-N 0 1 316.445 3.303 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(CCCCC(F)(F)F)C1 ZINC001265279321 1108802574 /nfs/dbraw/zinc/80/25/74/1108802574.db2.gz QVIXXWHJUWHOTC-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001265284441 1108806582 /nfs/dbraw/zinc/80/65/82/1108806582.db2.gz PSZQYUAMGHQYNE-ROUUACIJSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001265302175 1108814454 /nfs/dbraw/zinc/81/44/54/1108814454.db2.gz YVJHMCXELKKWDM-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1CCC(C)(C)CC1)C1CC1 ZINC001265382098 1108852499 /nfs/dbraw/zinc/85/24/99/1108852499.db2.gz IFSTUJHFGCGNPT-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CC1(CC(=O)NC[C@H]2CCCN(CCF)C2)CCCCC1 ZINC001265593595 1108926875 /nfs/dbraw/zinc/92/68/75/1108926875.db2.gz ZNGNILQAFHYZPO-MRXNPFEDSA-N 0 1 310.457 3.311 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001265611552 1108933020 /nfs/dbraw/zinc/93/30/20/1108933020.db2.gz RSHYYGWQHYPCLA-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](F)CC1CCCCC1 ZINC001265655351 1108952750 /nfs/dbraw/zinc/95/27/50/1108952750.db2.gz GEPJTNAKQVOCQB-IAGOWNOFSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(CCOCC)CCCC1 ZINC001265655331 1108953320 /nfs/dbraw/zinc/95/33/20/1108953320.db2.gz FNLSQIVMUXOQLW-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1sccc1C ZINC001265659502 1108955899 /nfs/dbraw/zinc/95/58/99/1108955899.db2.gz CDVKXKSLRPIJGB-ZDUSSCGKSA-N 0 1 312.866 3.393 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(C)CC(=C)C2)C1 ZINC001265705316 1108976372 /nfs/dbraw/zinc/97/63/72/1108976372.db2.gz HGBVARQWVNIRLY-GJZGRUSLSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H]2CCCN(CCF)C2)CCCC1 ZINC001265707377 1108978368 /nfs/dbraw/zinc/97/83/68/1108978368.db2.gz AGLDXHQJBOUVNU-HOTGVXAUSA-N 0 1 310.457 3.309 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cccc(Cl)n2)CCC1 ZINC001265821432 1109015493 /nfs/dbraw/zinc/01/54/93/1109015493.db2.gz UCHOYVPPCICPQE-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)Cc1ccc(Cl)cc1F ZINC001265842607 1109023022 /nfs/dbraw/zinc/02/30/22/1109023022.db2.gz CAOITJIXWVMOLF-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001265844227 1109023473 /nfs/dbraw/zinc/02/34/73/1109023473.db2.gz WTMZLCGRLANVEG-UKRRQHHQSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC001266054805 1109075256 /nfs/dbraw/zinc/07/52/56/1109075256.db2.gz AZNXSYODVUQITL-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC001266063443 1109076282 /nfs/dbraw/zinc/07/62/82/1109076282.db2.gz JSWJSPOBSAPBLK-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001266102101 1109090570 /nfs/dbraw/zinc/09/05/70/1109090570.db2.gz CKNFLISAJMVBHX-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc(CNC[C@H](F)CC)cc1F ZINC001279716311 1109161598 /nfs/dbraw/zinc/16/15/98/1109161598.db2.gz RJBKUQCSIPYANH-OAHLLOKOSA-N 0 1 324.415 3.492 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCCC3CC3)cccc2C1 ZINC001280426917 1109201932 /nfs/dbraw/zinc/20/19/32/1109201932.db2.gz LHCITAGANCOEPW-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cn2)CC1 ZINC001280747482 1109247142 /nfs/dbraw/zinc/24/71/42/1109247142.db2.gz QKKQTEDTVNQBIQ-MRXNPFEDSA-N 0 1 319.424 3.048 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2cc(C)no2)CCC1 ZINC001280855505 1109263998 /nfs/dbraw/zinc/26/39/98/1109263998.db2.gz CQUCANRHSBXSPS-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)C1CC1 ZINC001281062991 1109299391 /nfs/dbraw/zinc/29/93/91/1109299391.db2.gz LINKCWAQBSBKJS-KXBFYZLASA-N 0 1 312.457 3.152 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cnoc2C)CC1(C)C ZINC001281552067 1109378643 /nfs/dbraw/zinc/37/86/43/1109378643.db2.gz HJCYWJJJGUMLKH-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)N1CCc2ccccc2[C@H]1CNC(=O)C#CC(C)(C)C ZINC001281776414 1109406902 /nfs/dbraw/zinc/40/69/02/1109406902.db2.gz NKHUYDFQPTYXRS-GOSISDBHSA-N 0 1 312.457 3.160 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1CCC(=C)CC1 ZINC001281881147 1109413165 /nfs/dbraw/zinc/41/31/65/1109413165.db2.gz FVMOHWIXQNUJHF-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)Cc1ncccc1C ZINC001281940502 1109418128 /nfs/dbraw/zinc/41/81/28/1109418128.db2.gz SXOFGULMYBONNL-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1ccncc1Cl ZINC001282131017 1109455106 /nfs/dbraw/zinc/45/51/06/1109455106.db2.gz BMUWWPUBXKXLMQ-QWHCGFSZSA-N 0 1 323.868 3.320 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC001282470503 1109519784 /nfs/dbraw/zinc/51/97/84/1109519784.db2.gz ZBXCHRJPZHWQSN-UIAACRFSSA-N 0 1 320.436 3.013 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1c2ccccc2oc1CC ZINC001282497506 1109526073 /nfs/dbraw/zinc/52/60/73/1109526073.db2.gz OXTUIYWMIQSLIY-CQSZACIVSA-N 0 1 312.413 3.069 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)C1(F)CCCC1 ZINC001282643503 1109541779 /nfs/dbraw/zinc/54/17/79/1109541779.db2.gz NQEWVUKUBGVJAF-ZDUSSCGKSA-N 0 1 304.837 3.286 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001282660704 1109545249 /nfs/dbraw/zinc/54/52/49/1109545249.db2.gz CIYAXXOFVNEILD-RGTKQAGQSA-N 0 1 324.468 3.365 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](F)CC1CCCCC1 ZINC001282678189 1109549902 /nfs/dbraw/zinc/54/99/02/1109549902.db2.gz OYYIAISQGFZSAX-QZTJIDSGSA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001282678188 1109550100 /nfs/dbraw/zinc/55/01/00/1109550100.db2.gz OYYIAISQGFZSAX-MSOLQXFVSA-N 0 1 322.468 3.289 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@@H]2CCc3c2cccc3F)C1 ZINC001282694002 1109553381 /nfs/dbraw/zinc/55/33/81/1109553381.db2.gz ULPRVLLJPMPEAE-SCLBCKFNSA-N 0 1 316.420 3.216 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](CCCCC)C(C)C)C1 ZINC001282740857 1109560074 /nfs/dbraw/zinc/56/00/74/1109560074.db2.gz NBLRCXGQRHCMND-FUHWJXTLSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCCC(=O)N1CCC(N(C)Cc2conc2CC)CC1 ZINC001283324835 1109614512 /nfs/dbraw/zinc/61/45/12/1109614512.db2.gz GGNSJKOVOJABOD-UHFFFAOYSA-N 0 1 319.449 3.016 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccoc1CC ZINC001283494470 1109644728 /nfs/dbraw/zinc/64/47/28/1109644728.db2.gz PTBGRKYNIXNWNP-AWEZNQCLSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cnc(Cl)s1 ZINC001283620623 1109661758 /nfs/dbraw/zinc/66/17/58/1109661758.db2.gz WQYONXFPGUTRAG-LLVKDONJSA-N 0 1 315.870 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2occc2s1 ZINC001283676954 1109672138 /nfs/dbraw/zinc/67/21/38/1109672138.db2.gz GQNGJECNNVVCKO-JTQLQIEISA-N 0 1 312.822 3.297 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(C)(C)CC(F)F)[C@@H]1C ZINC001283903826 1109693905 /nfs/dbraw/zinc/69/39/05/1109693905.db2.gz CXZSVMWSCYDFJA-VXGBXAGGSA-N 0 1 322.827 3.389 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)[C@H]1C ZINC001283897198 1109695944 /nfs/dbraw/zinc/69/59/44/1109695944.db2.gz BWDXYFRBMDKIEF-DYVFJYSZSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C[C@H]1C ZINC001283986538 1109711188 /nfs/dbraw/zinc/71/11/88/1109711188.db2.gz NSKHVMRGAWNZQC-CJNGLKHVSA-N 0 1 322.399 3.400 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)[C@H]1C ZINC001284122227 1109741078 /nfs/dbraw/zinc/74/10/78/1109741078.db2.gz SBXHGXPRPFKFQL-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2(c3ccccc3)CC2)[C@@H]1C ZINC001284127897 1109742585 /nfs/dbraw/zinc/74/25/85/1109742585.db2.gz GSAXIBCVZWXHJV-HKUYNNGSSA-N 0 1 324.468 3.101 20 30 DGEDMN CCCCC(=O)N1C[C@@H](NCc2ccccc2C#N)C(C)(C)C1 ZINC001284259498 1109766062 /nfs/dbraw/zinc/76/60/62/1109766062.db2.gz NWLKGACYYSPPRY-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)c(CC)s1)C(C)C ZINC001284557734 1109811707 /nfs/dbraw/zinc/81/17/07/1109811707.db2.gz GDRJCLCBQYMGQP-UHFFFAOYSA-N 0 1 320.502 3.425 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCc3ccccc3F)[nH]c2c1 ZINC001287394782 1110111434 /nfs/dbraw/zinc/11/14/34/1110111434.db2.gz JFERIXZFSAQBFL-UHFFFAOYSA-N 0 1 308.316 3.145 20 30 DGEDMN O=C(Cc1cnc[nH]1)Nc1cccc(C#Cc2cccs2)c1 ZINC001290626747 1110251790 /nfs/dbraw/zinc/25/17/90/1110251790.db2.gz OGLAJKVWZIWPCT-UHFFFAOYSA-N 0 1 307.378 3.052 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)NCc1ccc(C)cc1Cl ZINC001317234482 1111095348 /nfs/dbraw/zinc/09/53/48/1111095348.db2.gz HQAFRUQEPZVDDB-CQSZACIVSA-N 0 1 306.837 3.046 20 30 DGEDMN CN(C)CC#CCNC(=O)c1ccccc1Sc1ccccc1 ZINC001302296047 1111111375 /nfs/dbraw/zinc/11/13/75/1111111375.db2.gz ZKOJRHRTFCKHLN-UHFFFAOYSA-N 0 1 324.449 3.133 20 30 DGEDMN O=C(C#Cc1ccc(C(F)(F)F)cc1)NOc1ccccc1F ZINC001303332639 1111140294 /nfs/dbraw/zinc/14/02/94/1111140294.db2.gz HOGIWVPPPVFXAT-UHFFFAOYSA-N 0 1 323.245 3.306 20 30 DGEDMN C#CCN(C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)[C@@H]1CCCc2ccccc21 ZINC001304066542 1111164632 /nfs/dbraw/zinc/16/46/32/1111164632.db2.gz LLOAWMIWHCNAQK-FUHIMQAGSA-N 0 1 324.468 3.399 20 30 DGEDMN C=CCCCN(CC)C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC001304646691 1111179485 /nfs/dbraw/zinc/17/94/85/1111179485.db2.gz NKNYMBIWFHOZCB-INIZCTEOSA-N 0 1 300.446 3.248 20 30 DGEDMN C[C@@H](CSc1cccc(C#N)c1Cl)CN1CCOCC1 ZINC001307399178 1111295534 /nfs/dbraw/zinc/29/55/34/1111295534.db2.gz BSPKCNGPRLAPPI-GFCCVEGCSA-N 0 1 310.850 3.272 20 30 DGEDMN C#C[C@](C)(CC)N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001307781970 1111338322 /nfs/dbraw/zinc/33/83/22/1111338322.db2.gz SJHMTEVEKJHOIR-GOEBONIOSA-N 0 1 324.346 3.202 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C[C@H]2CCCC[C@H]21 ZINC001307901915 1111353534 /nfs/dbraw/zinc/35/35/34/1111353534.db2.gz XJNVXZZVTPPPLP-NORZTCDRSA-N 0 1 317.820 3.413 20 30 DGEDMN C#CCOc1ccc(Br)cc1CNC/C=C/Cl ZINC001308545026 1111440757 /nfs/dbraw/zinc/44/07/57/1111440757.db2.gz ZDLFWTDDGQMODX-ZZXKWVIFSA-N 0 1 314.610 3.303 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@](O)(CC)C1 ZINC001308896552 1111489830 /nfs/dbraw/zinc/48/98/30/1111489830.db2.gz BOGDOGPBWCWCLV-QGZVFWFLSA-N 0 1 307.821 3.089 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(C)[C@@H](C(C)C)C2)CCCC1 ZINC001312687116 1111666657 /nfs/dbraw/zinc/66/66/57/1111666657.db2.gz VKWVXYPUQVWMLU-MRXNPFEDSA-N 0 1 307.482 3.105 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001316981273 1111734084 /nfs/dbraw/zinc/73/40/84/1111734084.db2.gz SGKPOZZOVQCLGK-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001316791491 1111766801 /nfs/dbraw/zinc/76/68/01/1111766801.db2.gz PGFJOHMLDORCSF-HHXXYDBFSA-N 0 1 315.461 3.071 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC(C)C)c2ccccc2)[C@H]1C ZINC001316794098 1111768513 /nfs/dbraw/zinc/76/85/13/1111768513.db2.gz ICXRBWFDEUFVQS-NEWSRXKRSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(Cc1ccc(OC)cc1)C1CC1 ZINC000061758763 432002482 /nfs/dbraw/zinc/00/24/82/432002482.db2.gz NVYQWUZYAALRNR-UHFFFAOYSA-N 0 1 316.445 3.084 20 30 DGEDMN N#Cc1ccc(N2CCN(C[C@@H]3CCSC3)CC2)c(Cl)c1 ZINC000278642205 214297128 /nfs/dbraw/zinc/29/71/28/214297128.db2.gz LMAUFPAZKOSOGD-AWEZNQCLSA-N 0 1 321.877 3.087 20 30 DGEDMN CC[C@H](C(=O)N(CCC#N)CC1CC1)N(C)Cc1ccccc1 ZINC000339276378 529592582 /nfs/dbraw/zinc/59/25/82/529592582.db2.gz UZNLHHKKSDHHMI-GOSISDBHSA-N 0 1 313.445 3.049 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000092731603 185336327 /nfs/dbraw/zinc/33/63/27/185336327.db2.gz DGHRTGIMHINVDW-UKRRQHHQSA-N 0 1 319.474 3.137 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCCCCC(F)(F)F)cc1 ZINC000154261291 529774775 /nfs/dbraw/zinc/77/47/75/529774775.db2.gz DRGNVZPSZNDLKN-UHFFFAOYSA-N 0 1 303.328 3.122 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](C(C)C)c3ccccc32)CC1 ZINC000278183220 214010444 /nfs/dbraw/zinc/01/04/44/214010444.db2.gz BLEDKQSPNHTEFF-SFHVURJKSA-N 0 1 310.441 3.118 20 30 DGEDMN C[C@H](N[C@@H](C[S@](C)=O)c1ccccc1)c1ccc(C#N)cc1 ZINC000183159730 199547359 /nfs/dbraw/zinc/54/73/59/199547359.db2.gz YBXYQSPRCDTRFB-SDYXESRWSA-N 0 1 312.438 3.329 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ncc(C#N)cc1Cl ZINC000444869069 529977107 /nfs/dbraw/zinc/97/71/07/529977107.db2.gz GDYBXIONJQNFGS-UHFFFAOYSA-N 0 1 303.797 3.264 20 30 DGEDMN COc1ccsc1C(=O)C(C#N)c1nc2ccccc2n1C ZINC000106353541 432020753 /nfs/dbraw/zinc/02/07/53/432020753.db2.gz XONWSFABFCKWOQ-JTQLQIEISA-N 0 1 311.366 3.133 20 30 DGEDMN COc1ccsc1C(=O)[C@H](C#N)c1nc2ccccc2n1C ZINC000106353541 432020755 /nfs/dbraw/zinc/02/07/55/432020755.db2.gz XONWSFABFCKWOQ-JTQLQIEISA-N 0 1 311.366 3.133 20 30 DGEDMN CCCCN1C(=O)N=NC1SCc1cccc(F)c1C#N ZINC000488263469 535137309 /nfs/dbraw/zinc/13/73/09/535137309.db2.gz MYMHQHLRRJADDQ-UHFFFAOYSA-N 0 1 306.366 3.087 20 30 DGEDMN Cc1csc(CNCCSCc2ccccc2C#N)n1 ZINC000172268386 198068176 /nfs/dbraw/zinc/06/81/76/198068176.db2.gz STRFVRDCWPSZFN-UHFFFAOYSA-N 0 1 303.456 3.346 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2n[nH]c([C@@H](C)CC)n2)cc1 ZINC000277173039 213345434 /nfs/dbraw/zinc/34/54/34/213345434.db2.gz IARCSONSUXZIAK-UONOGXRCSA-N 0 1 312.417 3.181 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000173999261 198279167 /nfs/dbraw/zinc/27/91/67/198279167.db2.gz VRPWAAYAQIBJKL-MRXNPFEDSA-N 0 1 321.424 3.258 20 30 DGEDMN C[C@@H](NCc1cccnc1OCC(F)F)c1cccc(C#N)c1 ZINC000182093916 199385067 /nfs/dbraw/zinc/38/50/67/199385067.db2.gz IPXRYJCGCVPXPB-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC000277105300 213295965 /nfs/dbraw/zinc/29/59/65/213295965.db2.gz WAQBZLTXAUXRQC-GHMZBOCLSA-N 0 1 312.377 3.073 20 30 DGEDMN Cc1csc([C@@H](NC[C@H](O)c2ccc(C#N)cc2)C2CC2)n1 ZINC000183511655 199606840 /nfs/dbraw/zinc/60/68/40/199606840.db2.gz JJXJWQJZRTWEBZ-HOTGVXAUSA-N 0 1 313.426 3.098 20 30 DGEDMN Fc1cncc(CNCC#Cc2ccc(C(F)(F)F)cc2)c1 ZINC000494621498 283038806 /nfs/dbraw/zinc/03/88/06/283038806.db2.gz SAWGQCQKVMDSTD-UHFFFAOYSA-N 0 1 308.278 3.381 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000451425913 283094092 /nfs/dbraw/zinc/09/40/92/283094092.db2.gz VJHOZEFJALWYKE-BBWFWOEESA-N 0 1 304.821 3.337 20 30 DGEDMN C=C(C)COc1cc(CN2CCCO[C@H](C)C2)ccc1OC ZINC000179401971 199029197 /nfs/dbraw/zinc/02/91/97/199029197.db2.gz JROQROICKFRVJO-OAHLLOKOSA-N 0 1 305.418 3.261 20 30 DGEDMN C#CCC1(C(=O)NCc2nc3ccc(C)cc3[nH]2)CCCCC1 ZINC000276734077 213074813 /nfs/dbraw/zinc/07/48/13/213074813.db2.gz YHYNMTIAENDYRD-UHFFFAOYSA-N 0 1 309.413 3.461 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nnc(-c3ccccc3)s2)cc1O ZINC000442615046 239100173 /nfs/dbraw/zinc/10/01/73/239100173.db2.gz CLBCFPLSERCGER-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000246137664 432099466 /nfs/dbraw/zinc/09/94/66/432099466.db2.gz ASHNPVJQUMCZDZ-VXGBXAGGSA-N 0 1 305.809 3.271 20 30 DGEDMN CCCNC(=O)CN(CCC)Cc1ccccc1C(C)(C)C#N ZINC000135133210 432099471 /nfs/dbraw/zinc/09/94/71/432099471.db2.gz NUHYLDDTCNFHBZ-UHFFFAOYSA-N 0 1 315.461 3.226 20 30 DGEDMN CCCCC[C@H](C)NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271852313 209268335 /nfs/dbraw/zinc/26/83/35/209268335.db2.gz XTDAIFWJGPSUFL-HNNXBMFYSA-N 0 1 313.445 3.222 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1csc(-c2ccc(F)cc2)n1 ZINC000449521566 240264698 /nfs/dbraw/zinc/26/46/98/240264698.db2.gz SGOAONWQEQPXFT-CABCVRRESA-N 0 1 304.390 3.382 20 30 DGEDMN C[C@H](N[C@H](C[S@](C)=O)c1ccccc1)c1cccc(C#N)c1 ZINC000190000481 432111810 /nfs/dbraw/zinc/11/18/10/432111810.db2.gz LORFLFXPWMNGHX-OHOGDBNVSA-N 0 1 312.438 3.329 20 30 DGEDMN CCC[C@@H](C)[C@H]1CCCN1CC(=O)Nc1sccc1C#N ZINC000135354127 432114176 /nfs/dbraw/zinc/11/41/76/432114176.db2.gz VJLNTOIIGJBIOA-TZMCWYRMSA-N 0 1 305.447 3.459 20 30 DGEDMN COc1cc(CN2CCC(OC3CCCC3)CC2)ccc1C#N ZINC000282196253 216814715 /nfs/dbraw/zinc/81/47/15/216814715.db2.gz GNXIYFGSVGAEBC-UHFFFAOYSA-N 0 1 314.429 3.490 20 30 DGEDMN C#CCCN(Cc1ccco1)Cc1ccc(C(=O)NC2CC2)cc1 ZINC000268680047 432119645 /nfs/dbraw/zinc/11/96/45/432119645.db2.gz DOQZJUHBQHGJGK-UHFFFAOYSA-N 0 1 322.408 3.197 20 30 DGEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CC[C@H](c3cccc(F)c3)C2)cc1 ZINC000268704570 432122439 /nfs/dbraw/zinc/12/24/39/432122439.db2.gz RYHCGYVNYVGSKL-HKUYNNGSSA-N 0 1 310.372 3.220 20 30 DGEDMN C[C@@H]1C[C@H](Nc2ccc(C#N)cc2Br)CN1C1CC1 ZINC000122868817 284227152 /nfs/dbraw/zinc/22/71/52/284227152.db2.gz IEUAQEYGAOPRLU-PWSUYJOCSA-N 0 1 320.234 3.358 20 30 DGEDMN Cc1nc(C)c([C@H](C)[N@@H+](C)C[C@H](O)c2ccc(C#N)cc2)s1 ZINC000268703573 432123236 /nfs/dbraw/zinc/12/32/36/432123236.db2.gz RTJNFDOJUFRIDE-LRDDRELGSA-N 0 1 315.442 3.358 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2ncc(C#N)cc2Cl)n[nH]1 ZINC000277478105 213554775 /nfs/dbraw/zinc/55/47/75/213554775.db2.gz SGDYYBRNWBDIGU-BDAKNGLRSA-N 0 1 304.785 3.411 20 30 DGEDMN CC[C@@H]1CC[N@H+]([C@@H](C)C(=O)Nc2sc3c(c2C#N)CCC3)C1 ZINC000125653729 284280318 /nfs/dbraw/zinc/28/03/18/284280318.db2.gz SOTKTFAMCPAZKZ-NWDGAFQWSA-N 0 1 317.458 3.167 20 30 DGEDMN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2sc3c(c2C#N)CCC3)C1 ZINC000125653729 284280321 /nfs/dbraw/zinc/28/03/21/284280321.db2.gz SOTKTFAMCPAZKZ-NWDGAFQWSA-N 0 1 317.458 3.167 20 30 DGEDMN C#CC[C@@H](NCC(=O)N([C@H](C)C1CC1)C1CC1)c1ccccc1 ZINC000271690998 209129238 /nfs/dbraw/zinc/12/92/38/209129238.db2.gz KHKLFTQJEAQUCI-DNVCBOLYSA-N 0 1 310.441 3.130 20 30 DGEDMN COc1ncc(CN[C@H](C)c2cccc(C#N)c2)cc1Cl ZINC000271794838 209222502 /nfs/dbraw/zinc/22/25/02/209222502.db2.gz IWOGUTFSFGIKOQ-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN COc1ccc(C)cc1NC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000266451795 205357307 /nfs/dbraw/zinc/35/73/07/205357307.db2.gz JRZYFGJWGRBRMO-AWEZNQCLSA-N 0 1 323.396 3.165 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=Cc1cccc(C#N)c1 ZINC000492366247 245689240 /nfs/dbraw/zinc/68/92/40/245689240.db2.gz TXJHUOWYEVIQCZ-WAYWQWQTSA-N 0 1 302.284 3.179 20 30 DGEDMN CC(C)NC(=O)OC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC000495746162 246304241 /nfs/dbraw/zinc/30/42/41/246304241.db2.gz CLPYFZMEKZTQDC-QGZVFWFLSA-N 0 1 315.417 3.047 20 30 DGEDMN C[C@H](C#N)CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495986351 246336297 /nfs/dbraw/zinc/33/62/97/246336297.db2.gz ZHVLMSUNBXSLIX-HUUCEWRRSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@H](C#N)CN(CC[C@H]1CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495986349 246337202 /nfs/dbraw/zinc/33/72/02/246337202.db2.gz ZHVLMSUNBXSLIX-CABCVRRESA-N 0 1 321.465 3.258 20 30 DGEDMN Cc1csc([C@@H](NCCOc2cccc(C#N)c2)C2CC2)n1 ZINC000181590367 432137954 /nfs/dbraw/zinc/13/79/54/432137954.db2.gz GLJHVIYYPYXDEH-INIZCTEOSA-N 0 1 313.426 3.443 20 30 DGEDMN O[C@H](C[C@H]1CCCN1CC#Cc1ccc(F)cc1)c1ccco1 ZINC000156903030 197149266 /nfs/dbraw/zinc/14/92/66/197149266.db2.gz CSVMTYKKUWCHJZ-QZTJIDSGSA-N 0 1 313.372 3.358 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C#N)cc1)O[C@@H](C)C2 ZINC000061106335 184161705 /nfs/dbraw/zinc/16/17/05/184161705.db2.gz SVAYYNVOFRDTGK-ZDUSSCGKSA-N 0 1 308.381 3.180 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)Cc1n[nH]c2ccccc12 ZINC000065119055 184311354 /nfs/dbraw/zinc/31/13/54/184311354.db2.gz IHBIDZDYLSABLO-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN CC1(C2CCN(CC#Cc3cccc(Cl)c3)CC2)OCCO1 ZINC000269678380 207748415 /nfs/dbraw/zinc/74/84/15/207748415.db2.gz ZHVSTMBALDRRGS-UHFFFAOYSA-N 0 1 319.832 3.167 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)c3ccc(C#N)[nH]3)[nH]c2c1 ZINC000119703663 195022748 /nfs/dbraw/zinc/02/27/48/195022748.db2.gz XIEOGBMJHAMADM-MRXNPFEDSA-N 0 1 319.368 3.048 20 30 DGEDMN CN(CCOc1cccc(C#N)c1)Cc1cc(Cl)cn1C ZINC000120020530 195081482 /nfs/dbraw/zinc/08/14/82/195081482.db2.gz JHDAYQOPTYBMFQ-UHFFFAOYSA-N 0 1 303.793 3.061 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1ccc([S@@](C)=O)cc1 ZINC000249092068 285054016 /nfs/dbraw/zinc/05/40/16/285054016.db2.gz DPFXPMFNTCEQEA-JLCFBVMHSA-N 0 1 312.438 3.489 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000249092068 285054018 /nfs/dbraw/zinc/05/40/18/285054018.db2.gz DPFXPMFNTCEQEA-JLCFBVMHSA-N 0 1 312.438 3.489 20 30 DGEDMN CO[C@H]1C[C@H](NCc2ccc(Br)cc2C#N)C1(C)C ZINC000336813598 253002776 /nfs/dbraw/zinc/00/27/76/253002776.db2.gz VBCCUBXLVVHGMM-KBPBESRZSA-N 0 1 323.234 3.224 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccc(F)c(C2CC2)c1 ZINC000337148040 253054365 /nfs/dbraw/zinc/05/43/65/253054365.db2.gz GTNPPPQZAZDGTJ-UHFFFAOYSA-N 0 1 316.357 3.376 20 30 DGEDMN C#CC[C@@H](NCc1cc2c(c(OC)c1)OCO2)c1ccccc1 ZINC000270185696 208248742 /nfs/dbraw/zinc/24/87/42/208248742.db2.gz KDQVGCDZUQWHSQ-MRXNPFEDSA-N 0 1 309.365 3.278 20 30 DGEDMN Cc1ccccc1[C@@H]1CCN(CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000337676860 253158106 /nfs/dbraw/zinc/15/81/06/253158106.db2.gz FYUGWARPRVZEOF-QGZVFWFLSA-N 0 1 319.408 3.295 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000338162830 253234800 /nfs/dbraw/zinc/23/48/00/253234800.db2.gz SXYSLYZQUODOAY-UHFFFAOYSA-N 0 1 313.445 3.061 20 30 DGEDMN COc1cccc(COCCNCc2ccc(C#N)cc2F)c1 ZINC000338318133 253264764 /nfs/dbraw/zinc/26/47/64/253264764.db2.gz XCZYWYCHWQTYOJ-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000338347593 253269688 /nfs/dbraw/zinc/26/96/88/253269688.db2.gz BVDPYMHHRRATAL-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1CN[C@@H](C)c1ccc(C#N)cc1 ZINC000338360269 253272636 /nfs/dbraw/zinc/27/26/36/253272636.db2.gz QCPZGDBLELPRFI-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN CC[C@H](CC#N)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000158578727 197284811 /nfs/dbraw/zinc/28/48/11/197284811.db2.gz ILICDSHTQRSMHH-MRVPVSSYSA-N 0 1 307.304 3.314 20 30 DGEDMN N#CC1CCN(CCc2nc(-c3cccc(Cl)c3)no2)CC1 ZINC000157676666 197206675 /nfs/dbraw/zinc/20/66/75/197206675.db2.gz BCOULZLIYYFIFQ-UHFFFAOYSA-N 0 1 316.792 3.168 20 30 DGEDMN Cc1nc2ccc(NC(=O)CSc3ccc(C#N)cc3)cc2[nH]1 ZINC000343271560 254122296 /nfs/dbraw/zinc/12/22/96/254122296.db2.gz SFEJUOOCYHHLIP-UHFFFAOYSA-N 0 1 322.393 3.474 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(Cn3cccn3)c2)c(F)c1 ZINC000343435560 254143256 /nfs/dbraw/zinc/14/32/56/254143256.db2.gz HODXSWMUTODHBT-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(F)cc3C#N)CC2)c1 ZINC000343729355 254182217 /nfs/dbraw/zinc/18/22/17/254182217.db2.gz WCAMLLOQPNPCAR-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN C[C@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C#N)c1 ZINC000344095553 254224473 /nfs/dbraw/zinc/22/44/73/254224473.db2.gz GLAXUHYXLNRGLN-KXBFYZLASA-N 0 1 321.424 3.428 20 30 DGEDMN Cc1oc(NC(=O)CN2CC[C@@H](C(C)(C)C)C2)c(C#N)c1C ZINC000344204268 254239055 /nfs/dbraw/zinc/23/90/55/254239055.db2.gz GHRHXJXQVLLRHB-CYBMUJFWSA-N 0 1 303.406 3.075 20 30 DGEDMN COc1csc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000353098902 533167793 /nfs/dbraw/zinc/16/77/93/533167793.db2.gz SLLWTWPEGIJPLX-LLVKDONJSA-N 0 1 311.366 3.432 20 30 DGEDMN C#CC[C@H](NC[C@@H]1CN(c2ccccc2)C(=O)O1)c1ccccc1 ZINC000271649681 209094776 /nfs/dbraw/zinc/09/47/76/209094776.db2.gz AXQDQPSRXFHWJZ-MOPGFXCFSA-N 0 1 320.392 3.366 20 30 DGEDMN CCO[C@H]1C[C@@H](N(C)Cc2cc(C#N)ccc2F)C12CCC2 ZINC000120840185 195247930 /nfs/dbraw/zinc/24/79/30/195247930.db2.gz OHOLYRGHBOUNEY-SJORKVTESA-N 0 1 302.393 3.477 20 30 DGEDMN COc1cc(CN2CCC=C(c3ccncc3)C2)ccc1C#N ZINC000289897916 221300447 /nfs/dbraw/zinc/30/04/47/221300447.db2.gz WSPQWSMMSSSLAA-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN C[C@@H](NCc1cccc(N2CCC2=O)c1)c1cccc(C#N)c1 ZINC000442079153 533455488 /nfs/dbraw/zinc/45/54/88/533455488.db2.gz GPSDLJXHFBOEFB-CQSZACIVSA-N 0 1 305.381 3.146 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(Oc2ccccc2)cn1)c1ccccn1 ZINC000091104668 400093842 /nfs/dbraw/zinc/09/38/42/400093842.db2.gz TZDKERVJNGVNBK-CQSZACIVSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1cnc(Oc2ccccc2)cn1)c1ccccn1 ZINC000091104668 400093844 /nfs/dbraw/zinc/09/38/44/400093844.db2.gz TZDKERVJNGVNBK-CQSZACIVSA-N 0 1 316.320 3.154 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)[C@@H]1CCCc2ccccc21 ZINC000008127008 400118767 /nfs/dbraw/zinc/11/87/67/400118767.db2.gz SGWDIVUECHSLNI-IEBWSBKVSA-N 0 1 313.445 3.050 20 30 DGEDMN Cc1cc(C[C@H](C)NC[C@H](C#N)CCC#N)n(-c2ccccc2)n1 ZINC000581721343 400082582 /nfs/dbraw/zinc/08/25/82/400082582.db2.gz QKCBOTUGYXNSJQ-RDJZCZTQSA-N 0 1 321.428 3.145 20 30 DGEDMN N#C[C@H](Cc1ccccc1)Sc1nc(-c2ccccn2)n[nH]1 ZINC000609750761 400188640 /nfs/dbraw/zinc/18/86/40/400188640.db2.gz CSERSLLZEYRPJE-ZDUSSCGKSA-N 0 1 307.382 3.094 20 30 DGEDMN C=CC1CCN(CC(=O)NCCSc2ccc(C)cc2)CC1 ZINC000600679974 400128029 /nfs/dbraw/zinc/12/80/29/400128029.db2.gz PNJQBAJPSAETFR-UHFFFAOYSA-N 0 1 318.486 3.101 20 30 DGEDMN CC(C)(C)c1ncc(CN[C@H]2CCc3cc(C#N)ccc32)cn1 ZINC000585767464 400140084 /nfs/dbraw/zinc/14/00/84/400140084.db2.gz JQSIAZSJGAMLBU-KRWDZBQOSA-N 0 1 306.413 3.423 20 30 DGEDMN N#Cc1ccc(O)c(-c2cc(F)ccc2OC[C@@H]2CCOC2)c1 ZINC000600778957 400150995 /nfs/dbraw/zinc/15/09/95/400150995.db2.gz KOBSCHQBLIEXGS-CYBMUJFWSA-N 0 1 313.328 3.485 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC4(CCC4)CC3)o2)c1 ZINC000586783081 400154603 /nfs/dbraw/zinc/15/46/03/400154603.db2.gz UMKOHBFKAGDPHF-UHFFFAOYSA-N 0 1 308.385 3.374 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2cc([N+](=O)[O-])ccc2C)C1 ZINC000595657765 400221051 /nfs/dbraw/zinc/22/10/51/400221051.db2.gz BWYDJDLMSJDHPT-CQSZACIVSA-N 0 1 317.389 3.130 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](c1ccccc1F)C(C)C ZINC000595685989 400225551 /nfs/dbraw/zinc/22/55/51/400225551.db2.gz MIWMSYPPHAEFTK-KDOFPFPSSA-N 0 1 319.424 3.121 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](c1ccccc1F)C(C)C ZINC000595685988 400225644 /nfs/dbraw/zinc/22/56/44/400225644.db2.gz MIWMSYPPHAEFTK-KBXCAEBGSA-N 0 1 319.424 3.121 20 30 DGEDMN COc1ccc(COCCNCc2ccc(C#N)cc2F)cc1 ZINC000093112475 400227060 /nfs/dbraw/zinc/22/70/60/400227060.db2.gz FRLAYHWQBRNOLB-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN Cc1ccccc1CNC(=O)[C@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000610343260 400244965 /nfs/dbraw/zinc/24/49/65/400244965.db2.gz CKBVXPQKSHBQHK-HOTGVXAUSA-N 0 1 321.424 3.222 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1CCc2ccccc21 ZINC000610345866 400245612 /nfs/dbraw/zinc/24/56/12/400245612.db2.gz ATSOQWVIXGQZMG-GJZGRUSLSA-N 0 1 319.408 3.187 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1cccc2c[nH]nc21 ZINC000068270048 400248888 /nfs/dbraw/zinc/24/88/88/400248888.db2.gz QYUGDMSPACISKM-UHFFFAOYSA-N 0 1 305.381 3.434 20 30 DGEDMN COc1cccc([C@@]2(C)CCN(Cc3ccc(C#N)cn3)C2)c1 ZINC000595767919 400254320 /nfs/dbraw/zinc/25/43/20/400254320.db2.gz RDWOHJFHTCHEPK-IBGZPJMESA-N 0 1 307.397 3.125 20 30 DGEDMN C[C@@]12COC[C@@H]1CN(Cc1ccc(C#N)cc1C(F)(F)F)C2 ZINC000595770990 400254381 /nfs/dbraw/zinc/25/43/81/400254381.db2.gz YNQPNRKJJKFKKS-DZGCQCFKSA-N 0 1 310.319 3.045 20 30 DGEDMN CC(C)[C@@H]1CN(c2nc(C(C)(C)C)ccc2C#N)CCN1C ZINC000616999920 400266059 /nfs/dbraw/zinc/26/60/59/400266059.db2.gz IQOBSMVFPFGLLN-HNNXBMFYSA-N 0 1 300.450 3.027 20 30 DGEDMN Cn1cc(CCCN2CCC[C@@H]2[C@H](C#N)c2ccccc2)cn1 ZINC000610604339 400280878 /nfs/dbraw/zinc/28/08/78/400280878.db2.gz ZVEOCTUVJFFSSD-RTBURBONSA-N 0 1 308.429 3.124 20 30 DGEDMN N#Cc1c(F)cccc1N1CCN(CCc2ccccc2)CC1 ZINC000028620901 400290258 /nfs/dbraw/zinc/29/02/58/400290258.db2.gz IBWZQMJWNCRJMC-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN CN(CCC(=O)Nc1ccccc1C#N)Cc1ccccc1F ZINC000028852507 400294361 /nfs/dbraw/zinc/29/43/61/400294361.db2.gz BQARXGYYMFVPNJ-UHFFFAOYSA-N 0 1 311.360 3.158 20 30 DGEDMN CC[C@H](C(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1)N(C)C ZINC000610798990 400308268 /nfs/dbraw/zinc/30/82/68/400308268.db2.gz FYUSVETZBAQHGM-MRXNPFEDSA-N 0 1 324.384 3.024 20 30 DGEDMN CN(CCOC(C)(C)C)Cc1ccc(C#N)cc1OC(F)F ZINC000610859880 400315210 /nfs/dbraw/zinc/31/52/10/400315210.db2.gz SFACJMLWUAVNOR-UHFFFAOYSA-N 0 1 312.360 3.407 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC([C@H]3CCCCO3)CC2)c1 ZINC000595788394 400259986 /nfs/dbraw/zinc/25/99/86/400259986.db2.gz NFFADIXGKQFNGF-GOSISDBHSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)cc2C(F)(F)F)c(=O)c1CCC#N ZINC000601948022 400383872 /nfs/dbraw/zinc/38/38/72/400383872.db2.gz IBWQCASSMWJSBI-NSHDSACASA-N 0 1 320.274 3.220 20 30 DGEDMN COc1cc(C)c([C@H](C)NCc2ccnc(C#N)c2)cc1OC ZINC000611379628 400387658 /nfs/dbraw/zinc/38/76/58/400387658.db2.gz ZNRJDRLNXKAKDZ-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1C[C@H](C)C[C@H](C)C1)c1cccc(C#N)c1 ZINC000611387838 400389798 /nfs/dbraw/zinc/38/97/98/400389798.db2.gz UITKSPSKMRFUKV-QXSJWSMHSA-N 0 1 313.445 3.102 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)cc1F ZINC000611387978 400389837 /nfs/dbraw/zinc/38/98/37/400389837.db2.gz JCRVCCXLFKAODG-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN C[C@H](NCC(=O)N1c2ccccc2C[C@H]1C)c1cccc(C#N)c1 ZINC000611388254 400389998 /nfs/dbraw/zinc/38/99/98/400389998.db2.gz DOCWCPIDFJAQDA-CABCVRRESA-N 0 1 319.408 3.187 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)N(C)C1CCCCC1 ZINC000611389262 400391378 /nfs/dbraw/zinc/39/13/78/400391378.db2.gz ZDNPWFCFXKZJDQ-HUUCEWRRSA-N 0 1 313.445 3.388 20 30 DGEDMN CN(C)c1ncccc1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000611390536 400391423 /nfs/dbraw/zinc/39/14/23/400391423.db2.gz GHBUCEYTNRAOFJ-SFHVURJKSA-N 0 1 306.413 3.186 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2N[C@H](CO)c1ccc(F)cc1 ZINC000611394911 400392696 /nfs/dbraw/zinc/39/26/96/400392696.db2.gz SGZFFZPFJGDCOZ-RTBURBONSA-N 0 1 310.372 3.398 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N[C@@H](C#N)CC(C)C)c1ccccc1 ZINC000611251536 400365302 /nfs/dbraw/zinc/36/53/02/400365302.db2.gz VRTMCLSGCFLPJG-IAGOWNOFSA-N 0 1 301.434 3.124 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1 ZINC000601884420 400370124 /nfs/dbraw/zinc/37/01/24/400370124.db2.gz YDABOTZJERJWDZ-KRWDZBQOSA-N 0 1 313.445 3.016 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1 ZINC000601884422 400370346 /nfs/dbraw/zinc/37/03/46/400370346.db2.gz YDABOTZJERJWDZ-QGZVFWFLSA-N 0 1 313.445 3.016 20 30 DGEDMN N#CC1(C(=O)NC[C@H](c2cccs2)N2CCCC2)CCCC1 ZINC000032762107 400375077 /nfs/dbraw/zinc/37/50/77/400375077.db2.gz NZHPNJXSTYGSPO-CQSZACIVSA-N 0 1 317.458 3.085 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1Br)C[C@@H]1CCOC1 ZINC000611500477 400411226 /nfs/dbraw/zinc/41/12/26/400411226.db2.gz ASTBJGDIRKMAPI-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000611532188 400417802 /nfs/dbraw/zinc/41/78/02/400417802.db2.gz QHEUOGHHMFBFAH-ZDUSSCGKSA-N 0 1 306.431 3.031 20 30 DGEDMN CCOc1cccc(CN(CCO)Cc2ccc(C#N)cc2C)c1 ZINC000590765151 400429805 /nfs/dbraw/zinc/42/98/05/400429805.db2.gz BKOHUDLHODAIPF-UHFFFAOYSA-N 0 1 324.424 3.260 20 30 DGEDMN N#Cc1cccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)n1 ZINC000602435185 400460272 /nfs/dbraw/zinc/46/02/72/400460272.db2.gz RPJLTOLVBZFASN-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC000596599096 400454408 /nfs/dbraw/zinc/45/44/08/400454408.db2.gz PANUVUGAJPSLTP-AWEZNQCLSA-N 0 1 308.429 3.437 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1cc(C(C)(C)C)[nH]n1 ZINC000123035966 400466222 /nfs/dbraw/zinc/46/62/22/400466222.db2.gz STONAYBPJUYZCK-LLVKDONJSA-N 0 1 321.384 3.084 20 30 DGEDMN C[C@H](N[C@H]1CCc2ccccc2NC1=O)c1ccc(C#N)cc1F ZINC000591026536 400478134 /nfs/dbraw/zinc/47/81/34/400478134.db2.gz KXGHWINPIHSFHP-SGTLLEGYSA-N 0 1 323.371 3.301 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc(C(=O)OCC)[nH]1 ZINC000602551125 400494042 /nfs/dbraw/zinc/49/40/42/400494042.db2.gz MSNQHGRLWYRAPP-UHFFFAOYSA-N 0 1 314.385 3.046 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC000075300087 400572402 /nfs/dbraw/zinc/57/24/02/400572402.db2.gz FGWFROIQEDIJHI-YJBOKZPZSA-N 0 1 320.396 3.199 20 30 DGEDMN COc1cc2c(c(CN3CCC[C@H](CC#N)C3)c1)O[C@H](C)C2 ZINC000597172537 400576210 /nfs/dbraw/zinc/57/62/10/400576210.db2.gz CEIILZJWAVIETM-ZIAGYGMSSA-N 0 1 300.402 3.144 20 30 DGEDMN CCOCc1cc(CN2CCC[C@H](CC#N)C2)ccc1OC ZINC000597174440 400576229 /nfs/dbraw/zinc/57/62/29/400576229.db2.gz QNWPIPMVJUKHHK-OAHLLOKOSA-N 0 1 302.418 3.357 20 30 DGEDMN Cc1nn(-c2cccc(F)c2)cc1CN1CCC[C@@H](CC#N)C1 ZINC000597177038 400577480 /nfs/dbraw/zinc/57/74/80/400577480.db2.gz LYXSFJBJFVBELS-HNNXBMFYSA-N 0 1 312.392 3.446 20 30 DGEDMN COC(=O)c1nc([C@@H]2CCCN2C[C@@H](C)CCC#N)sc1C ZINC000602653414 400525129 /nfs/dbraw/zinc/52/51/29/400525129.db2.gz ZYMYUHDYFYRRNW-AAEUAGOBSA-N 0 1 321.446 3.315 20 30 DGEDMN N#CC1(c2ccc(CN3CCC[C@@H]3[C@@H](O)C(F)(F)F)cc2)CC1 ZINC000597011793 400538950 /nfs/dbraw/zinc/53/89/50/400538950.db2.gz MAODTZANSZDSTM-HUUCEWRRSA-N 0 1 324.346 3.129 20 30 DGEDMN N#CCC1(CN[C@H](CCO)c2ccccc2Br)CC1 ZINC000602807909 400580512 /nfs/dbraw/zinc/58/05/12/400580512.db2.gz USUSTOPXJJXHLI-CQSZACIVSA-N 0 1 323.234 3.156 20 30 DGEDMN N#CCCN1CCN(Cc2ccc(-c3cccs3)cc2)CC1 ZINC000618289702 400562370 /nfs/dbraw/zinc/56/23/70/400562370.db2.gz INBVMYRMVQHTDG-UHFFFAOYSA-N 0 1 311.454 3.446 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)c1cc(CCC)[nH]n1 ZINC000618344148 400568688 /nfs/dbraw/zinc/56/86/88/400568688.db2.gz KTFWEWYJPINZPS-UHFFFAOYSA-N 0 1 301.365 3.330 20 30 DGEDMN CN(CCC(C)(C)C)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000592145441 400614916 /nfs/dbraw/zinc/61/49/16/400614916.db2.gz VEMRLMQPNCKIDJ-CYBMUJFWSA-N 0 1 305.447 3.093 20 30 DGEDMN CCCN(CC(=O)N(C(C)C)C(C)C)Cc1ccc(C#N)cc1 ZINC000047739872 400629409 /nfs/dbraw/zinc/62/94/09/400629409.db2.gz VNMGHGTWQFGEKX-UHFFFAOYSA-N 0 1 315.461 3.416 20 30 DGEDMN N#CCC[C@@H](C#N)CN1CCC[C@@H](Cn2cnc3ccccc32)C1 ZINC000602821205 400584346 /nfs/dbraw/zinc/58/43/46/400584346.db2.gz WPVLFXBZNXIVEK-DLBZAZTESA-N 0 1 321.428 3.192 20 30 DGEDMN N#CCC[C@H](C#N)CN1CCC[C@H](Cn2cnc3ccccc32)C1 ZINC000602821213 400584615 /nfs/dbraw/zinc/58/46/15/400584615.db2.gz WPVLFXBZNXIVEK-SJORKVTESA-N 0 1 321.428 3.192 20 30 DGEDMN C[C@@H](CC#N)NCCC(=O)NC(c1ccccc1)c1ccccc1 ZINC000602870777 400596338 /nfs/dbraw/zinc/59/63/38/400596338.db2.gz WTHJLMFSZKZTKQ-INIZCTEOSA-N 0 1 321.424 3.174 20 30 DGEDMN Cc1cncc(CN2CCC(Nc3ccccc3C#N)CC2)c1 ZINC000602897287 400600765 /nfs/dbraw/zinc/60/07/65/400600765.db2.gz VYCDQJSONIESCU-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1cc(C(F)F)n[nH]1 ZINC000602897750 400601533 /nfs/dbraw/zinc/60/15/33/400601533.db2.gz DYUYHARMXUPRQG-UHFFFAOYSA-N 0 1 319.315 3.293 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCN2CC(F)(F)C[C@H]2C1 ZINC000592131115 400611162 /nfs/dbraw/zinc/61/11/62/400611162.db2.gz RADDGCANRLPSDD-HNNXBMFYSA-N 0 1 319.399 3.013 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)CN1CCC(CCC#N)CC1 ZINC000592135737 400611749 /nfs/dbraw/zinc/61/17/49/400611749.db2.gz HAOQKJADZKTPOS-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)CC2(C#N)CCCCC2)n[nH]1 ZINC000597561488 400647261 /nfs/dbraw/zinc/64/72/61/400647261.db2.gz IUUHAHOYIFHSHR-CHWSQXEVSA-N 0 1 317.437 3.360 20 30 DGEDMN COc1ccc(-c2ccccc2)cc1CN1CC[C@@](O)(CC#N)C1 ZINC000592521717 400662584 /nfs/dbraw/zinc/66/25/84/400662584.db2.gz BKGACJXDWYRTPY-FQEVSTJZSA-N 0 1 322.408 3.213 20 30 DGEDMN CC[C@H](C#N)C(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000597724199 400664431 /nfs/dbraw/zinc/66/44/31/400664431.db2.gz GAWCDMATYHYYII-VHSXEESVSA-N 0 1 304.781 3.285 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)C1(C#N)CCC1 ZINC000597725256 400665494 /nfs/dbraw/zinc/66/54/94/400665494.db2.gz MDVDWRHUKFFBDQ-SNVBAGLBSA-N 0 1 316.792 3.430 20 30 DGEDMN COc1cccc(CN[C@H]2CCc3cc(C#N)ccc32)c1OC ZINC000618742213 400680051 /nfs/dbraw/zinc/68/00/51/400680051.db2.gz KZPZRRVKKPLLMC-KRWDZBQOSA-N 0 1 308.381 3.353 20 30 DGEDMN Cc1[nH]n(-c2ccccc2Br)c(=O)c1CCC#N ZINC000603920650 400733322 /nfs/dbraw/zinc/73/33/22/400733322.db2.gz AHBBINVLGRYTKJ-SNVBAGLBSA-N 0 1 306.163 3.092 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(CC#Cc2ccc(F)cc2)C1 ZINC000161860886 400742444 /nfs/dbraw/zinc/74/24/44/400742444.db2.gz AGAPYPLAPLQNJK-KRWDZBQOSA-N 0 1 317.404 3.233 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000162029569 400742767 /nfs/dbraw/zinc/74/27/67/400742767.db2.gz VFEKRSKZYZTPSD-CQSZACIVSA-N 0 1 318.392 3.253 20 30 DGEDMN N#CC[C@@H]1CCCN(C(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC000597907305 400692768 /nfs/dbraw/zinc/69/27/68/400692768.db2.gz ZQZFOLPRQOBLMZ-VIFPVBQESA-N 0 1 313.184 3.465 20 30 DGEDMN Cc1nn2c(CN[C@H]3CCc4cc(C#N)ccc43)c(C)nc2s1 ZINC000618831345 400694889 /nfs/dbraw/zinc/69/48/89/400694889.db2.gz MDETXZGWAROWGK-HNNXBMFYSA-N 0 1 323.425 3.056 20 30 DGEDMN CCN(CC)[C@H](C(=O)N1CCC[C@H](CC#N)C1)c1ccccc1 ZINC000597913643 400695649 /nfs/dbraw/zinc/69/56/49/400695649.db2.gz QKIPSTWBOAVASZ-AEFFLSMTSA-N 0 1 313.445 3.222 20 30 DGEDMN CCC(CC)[C@@H](CNc1ccc(C#N)cc1)N1CCOCC1 ZINC000603595292 400702834 /nfs/dbraw/zinc/70/28/34/400702834.db2.gz XDFNWGPNFXFQOB-GOSISDBHSA-N 0 1 301.434 3.107 20 30 DGEDMN C=CCCSCCNC(=O)[C@@H](c1ccccc1)N(C)CC ZINC000597971774 400707221 /nfs/dbraw/zinc/70/72/21/400707221.db2.gz GKBAMQUOKMWHLR-MRXNPFEDSA-N 0 1 306.475 3.105 20 30 DGEDMN Cc1ccc2[nH]c(C(=O)Nc3nc(-c4ccccn4)n[nH]3)cc2c1 ZINC000053526495 400788647 /nfs/dbraw/zinc/78/86/47/400788647.db2.gz WIGUDGWVKUMBEH-UHFFFAOYSA-N 0 1 318.340 3.293 20 30 DGEDMN C[C@@H]1[C@H](C)N(Cc2ccccc2)[C@@H](C)CN1C(=O)CCCC#N ZINC000593224133 400794178 /nfs/dbraw/zinc/79/41/78/400794178.db2.gz CAAYQSPSEWOKBW-YESZJQIVSA-N 0 1 313.445 3.190 20 30 DGEDMN C=CCNC(=O)CN[C@@H](Cc1ccccc1)c1ccccc1F ZINC000179809638 400880920 /nfs/dbraw/zinc/88/09/20/400880920.db2.gz PNQPUWHWKUVWLW-SFHVURJKSA-N 0 1 312.388 3.001 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1Cc1ccc(C#N)cc1C(F)(F)F ZINC000593332173 400822143 /nfs/dbraw/zinc/82/21/43/400822143.db2.gz CXSCVWRRZFWMGG-NOZJJQNGSA-N 0 1 312.291 3.015 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@H]1c1nc2ccccc2[nH]1 ZINC000598621689 400845306 /nfs/dbraw/zinc/84/53/06/400845306.db2.gz XISGWHJTBAINJY-CJNGLKHVSA-N 0 1 312.417 3.001 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@@H]1c1ccc(F)cc1F ZINC000598621189 400845847 /nfs/dbraw/zinc/84/58/47/400845847.db2.gz RNQJSDBOOZMHJB-CZUORRHYSA-N 0 1 308.372 3.402 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(OCCC(C)C)cc1 ZINC000181735017 400889586 /nfs/dbraw/zinc/88/95/86/400889586.db2.gz RSCARZNGGQHQHL-OAHLLOKOSA-N 0 1 304.434 3.064 20 30 DGEDMN CCN(CCC#N)C(=O)CN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000181633846 400890049 /nfs/dbraw/zinc/89/00/49/400890049.db2.gz ZPYYBNHZDNPBQB-QGZVFWFLSA-N 0 1 323.387 3.014 20 30 DGEDMN CN(C)c1cccc(CN2CCC(C#N)(c3ccccn3)CC2)c1 ZINC000619723004 400894226 /nfs/dbraw/zinc/89/42/26/400894226.db2.gz NALKOJZPSHIYDY-UHFFFAOYSA-N 0 1 320.440 3.205 20 30 DGEDMN C=C[C@@H](Nc1cccc2c1C[N@H+]([C@H](C)C(C)C)C2)C(=O)OC ZINC000619734818 400895745 /nfs/dbraw/zinc/89/57/45/400895745.db2.gz TUWWMKVGNNZHDO-CZUORRHYSA-N 0 1 302.418 3.186 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@@H](CC(=O)N(C)C)c1ccccc1 ZINC000614406838 400895834 /nfs/dbraw/zinc/89/58/34/400895834.db2.gz BHZNRHGNBAYOQQ-IBGZPJMESA-N 0 1 321.424 3.176 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccc(C#N)cc2)C1=O)c1ccsc1 ZINC000619746369 400897671 /nfs/dbraw/zinc/89/76/71/400897671.db2.gz QAOKMBLBGHEOJL-LRDDRELGSA-N 0 1 311.410 3.076 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2nc3ccccc3n2C)cc1 ZINC000182842477 400899020 /nfs/dbraw/zinc/89/90/20/400899020.db2.gz ADFZRRXRTBCYLN-OAHLLOKOSA-N 0 1 317.348 3.266 20 30 DGEDMN CCN(CCC#N)C(=O)CN[C@H](c1ccccc1Cl)C(C)C ZINC000183690946 400901221 /nfs/dbraw/zinc/90/12/21/400901221.db2.gz WROJGYJPSOLQAO-KRWDZBQOSA-N 0 1 321.852 3.389 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2n[nH]c3ccccc32)cc1Cl ZINC000619774916 400905417 /nfs/dbraw/zinc/90/54/17/400905417.db2.gz DGGCYPKFQGGYGP-UHFFFAOYSA-N 0 1 310.744 3.018 20 30 DGEDMN CC(C)SCCN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000619781319 400906497 /nfs/dbraw/zinc/90/64/97/400906497.db2.gz VJDZZIQPMDVEBU-KRWDZBQOSA-N 0 1 321.465 3.150 20 30 DGEDMN C=CCN(CCC(=O)Nc1cc(C)on1)Cc1ccccc1F ZINC000056312604 400920300 /nfs/dbraw/zinc/92/03/00/400920300.db2.gz PKOXNPRCFOCPKL-UHFFFAOYSA-N 0 1 317.364 3.139 20 30 DGEDMN N#CCSc1ccccc1NC(=O)CN1CC[C@H]2CCC[C@H]21 ZINC000614600841 400921585 /nfs/dbraw/zinc/92/15/85/400921585.db2.gz MCRSFMVOKRJWGS-UKRRQHHQSA-N 0 1 315.442 3.115 20 30 DGEDMN COC[C@@H](NCc1ccc(C)c(C#N)c1)c1cccc(OC)c1 ZINC000599465939 401055806 /nfs/dbraw/zinc/05/58/06/401055806.db2.gz WGCIAMBUXXZTBO-LJQANCHMSA-N 0 1 310.397 3.353 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2)CC[C@@H]1C(=O)OC(C)(C)C ZINC000599588590 401085911 /nfs/dbraw/zinc/08/59/11/401085911.db2.gz IZPPTJGPTGQUMB-YOEHRIQHSA-N 0 1 314.429 3.358 20 30 DGEDMN N#CC1(CCCOc2ccnc3c2CCCC3)CCOCC1 ZINC000594493292 401078465 /nfs/dbraw/zinc/07/84/65/401078465.db2.gz KZJWYMYLYIIBFS-UHFFFAOYSA-N 0 1 300.402 3.440 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CC[C@@H](C(=O)[O-])[C@@H](C)C2)cc1Cl ZINC000629772725 401085717 /nfs/dbraw/zinc/08/57/17/401085717.db2.gz VYDOVSPSDZJHKG-GXTWGEPZSA-N 0 1 323.820 3.447 20 30 DGEDMN Cc1ccc(CN[C@@H](c2nnc3ccccn32)C(C)C)cc1C#N ZINC000599352616 401032161 /nfs/dbraw/zinc/03/21/61/401032161.db2.gz DQZASLAVCLPYGV-GOSISDBHSA-N 0 1 319.412 3.396 20 30 DGEDMN Cc1ccc(CN[C@@H](C[S@@](C)=O)c2ccccc2)cc1C#N ZINC000599355909 401032894 /nfs/dbraw/zinc/03/28/94/401032894.db2.gz JZFAWHUXMAMXDM-PGRDOPGGSA-N 0 1 312.438 3.076 20 30 DGEDMN C[C@@H](NC[C@H](O)CC1(C#N)CC1)c1ncc(-c2ccccc2)o1 ZINC000599362240 401034110 /nfs/dbraw/zinc/03/41/10/401034110.db2.gz IQMYKPZXFWHFPM-UKRRQHHQSA-N 0 1 311.385 3.047 20 30 DGEDMN COC(=O)[C@H]1CN([C@@H](C)c2ccc(C#N)cc2)Cc2ccccc21 ZINC000599667113 401108174 /nfs/dbraw/zinc/10/81/74/401108174.db2.gz CFUZYPIUGATFJC-LIRRHRJNSA-N 0 1 320.392 3.392 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOCc1ccccc1)C2 ZINC000599679095 401111788 /nfs/dbraw/zinc/11/17/88/401111788.db2.gz VVQAVQHVMASOAF-UHFFFAOYSA-N 0 1 306.409 3.328 20 30 DGEDMN Cc1cc(C)c(NC(=O)CCCN(C)C[C@H](C)C#N)c(C)c1 ZINC000268921759 401134543 /nfs/dbraw/zinc/13/45/43/401134543.db2.gz TVAAZKSZPSIFTM-CQSZACIVSA-N 0 1 301.434 3.422 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000608002298 401170122 /nfs/dbraw/zinc/17/01/22/401170122.db2.gz ZICWLOJLBYKPEG-GOSISDBHSA-N 0 1 300.446 3.466 20 30 DGEDMN N#Cc1ccc(N2CCN(CCc3ccccc3)CC2)c(F)c1 ZINC000063508341 401197312 /nfs/dbraw/zinc/19/73/12/401197312.db2.gz CCTHMSUASKUNBQ-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN Cc1cc(CNCc2cccnc2N2CCCC2)ccc1C#N ZINC000594955773 401206933 /nfs/dbraw/zinc/20/69/33/401206933.db2.gz ATKQBQNRGAEDNH-UHFFFAOYSA-N 0 1 306.413 3.152 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000065481322 401267700 /nfs/dbraw/zinc/26/77/00/401267700.db2.gz QUTDTGWGUPTDGT-OAHLLOKOSA-N 0 1 305.422 3.046 20 30 DGEDMN C=CCN(CCCN1CCCC1=O)Cc1ccc(Cl)s1 ZINC000064138401 401231051 /nfs/dbraw/zinc/23/10/51/401231051.db2.gz PYSXPLVSSBVAFR-UHFFFAOYSA-N 0 1 312.866 3.402 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](CCOc2ccc(C(=O)[O-])cc2Cl)C1 ZINC000629366017 401680295 /nfs/dbraw/zinc/68/02/95/401680295.db2.gz FWCJKVBQCRRYOA-GFCCVEGCSA-N 0 1 309.793 3.315 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3cccnc3)cs2)cc1O ZINC000188249313 165118103 /nfs/dbraw/zinc/11/81/03/165118103.db2.gz NVJLDTKNCHYVFU-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN CCOc1cc(Cl)ccc1CNCc1cc(C#N)n(C)c1 ZINC000191469660 165252230 /nfs/dbraw/zinc/25/22/30/165252230.db2.gz WQYSRDQPRGGNIA-UHFFFAOYSA-N 0 1 303.793 3.239 20 30 DGEDMN COc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1C#N ZINC000269595591 432186557 /nfs/dbraw/zinc/18/65/57/432186557.db2.gz FXPMKGXLINEOSX-UHFFFAOYSA-N 0 1 303.365 3.217 20 30 DGEDMN COc1cc(CNC2(c3cccc(C#N)c3)CC2)cc(OC)c1 ZINC000269636763 432191919 /nfs/dbraw/zinc/19/19/19/432191919.db2.gz WKSSQZLVVFIYHY-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN COc1ccc(CN2CCN(C)C[C@@H]2c2ccccc2)cc1C#N ZINC000247040451 432195424 /nfs/dbraw/zinc/19/54/24/432195424.db2.gz RPUVPYSYOHDSKT-LJQANCHMSA-N 0 1 321.424 3.056 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc(N(C)CC)nc1 ZINC000190996232 432210414 /nfs/dbraw/zinc/21/04/14/432210414.db2.gz TTZFDXWGYMYXLD-UHFFFAOYSA-N 0 1 311.429 3.392 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000247221070 432212221 /nfs/dbraw/zinc/21/22/21/432212221.db2.gz YOSUSAHDUZTGCN-JLCFBVMHSA-N 0 1 312.438 3.489 20 30 DGEDMN Cn1cc(Cl)cc1C(O)=C(C#N)c1cnc2ccccc2n1 ZINC000190940207 432204048 /nfs/dbraw/zinc/20/40/48/432204048.db2.gz JEOFKLQZYGLQIR-NSHDSACASA-N 0 1 310.744 3.112 20 30 DGEDMN COc1ccc(CN[C@H]2CCOc3c(F)cccc32)cc1C#N ZINC000191128320 432224298 /nfs/dbraw/zinc/22/42/98/432224298.db2.gz QXNZLFMCTQKSKH-INIZCTEOSA-N 0 1 312.344 3.319 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CCCO[C@@H]1CCOC1 ZINC000129352816 227014483 /nfs/dbraw/zinc/01/44/83/227014483.db2.gz URUZHROJYGYGKT-VQGSWFTOSA-N 0 1 318.200 3.314 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN2CCC[C@H]2C)ccc1Cl ZINC000173991716 228286010 /nfs/dbraw/zinc/28/60/10/228286010.db2.gz NGJCZFOLIKKCQE-CQSZACIVSA-N 0 1 319.836 3.379 20 30 DGEDMN C[C@](C#N)(NC(=O)CN1CCCC2(C1)CCCCCC2)C1CC1 ZINC000174172287 228287741 /nfs/dbraw/zinc/28/77/41/228287741.db2.gz WZCSKQPOHNIAJL-GOSISDBHSA-N 0 1 317.477 3.231 20 30 DGEDMN Cc1c2ccccc2oc1[C@@H](C)N[C@H](C)C(=O)N(C)CCC#N ZINC000183601924 228373983 /nfs/dbraw/zinc/37/39/83/228373983.db2.gz UQDJZMYQQZWRGV-ZIAGYGMSSA-N 0 1 313.401 3.152 20 30 DGEDMN N#CC1(NC(=O)C2=CCCCC2)CCN(Cc2ccccc2)CC1 ZINC000332566062 229036454 /nfs/dbraw/zinc/03/64/54/229036454.db2.gz UULPQXJQYZAPLO-UHFFFAOYSA-N 0 1 323.440 3.161 20 30 DGEDMN COc1cc(C(=O)C(C#N)c2nc(C(C)C)cs2)cc(C)n1 ZINC000337358762 229047602 /nfs/dbraw/zinc/04/76/02/229047602.db2.gz GMMQMRMMLYZNCB-LBPRGKRZSA-N 0 1 315.398 3.469 20 30 DGEDMN N#CCC[C@H](NC(=O)c1ccc2cncn2c1)c1ccccc1 ZINC000339959819 229083475 /nfs/dbraw/zinc/08/34/75/229083475.db2.gz IWSNECSOBAVJQI-KRWDZBQOSA-N 0 1 304.353 3.109 20 30 DGEDMN N#CC(C(=O)c1cc2cc([N+](=O)[O-])ccc2s1)c1ccncn1 ZINC000354458305 229295831 /nfs/dbraw/zinc/29/58/31/229295831.db2.gz CWVDAAUPPHXPGL-LLVKDONJSA-N 0 1 324.321 3.090 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(-c3ccco3)s2)nc(C)n1 ZINC000352275037 229250506 /nfs/dbraw/zinc/25/05/06/229250506.db2.gz XYADMOJKDIUUMD-NSHDSACASA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cc(C(C)C)n(C)n3)[nH]c21 ZINC000353098289 229269871 /nfs/dbraw/zinc/26/98/71/229269871.db2.gz PQQZPWGLWFKUHS-GFCCVEGCSA-N 0 1 321.384 3.218 20 30 DGEDMN Cc1ccc(Br)cc1C(=O)C(C#N)c1ccncn1 ZINC000354481922 229297822 /nfs/dbraw/zinc/29/78/22/229297822.db2.gz ZDLDUZZKNNVDJK-LBPRGKRZSA-N 0 1 316.158 3.038 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cccc(OCCCC#N)c1 ZINC000355408848 229310142 /nfs/dbraw/zinc/31/01/42/229310142.db2.gz KFHDQTYDDVSTQP-HUUCEWRRSA-N 0 1 315.417 3.180 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)Nc2ccc(C#N)cc2Cl)C[C@@H]1C ZINC000346751472 264090641 /nfs/dbraw/zinc/09/06/41/264090641.db2.gz CGRJMJFMSVLJTR-NWDGAFQWSA-N 0 1 320.824 3.158 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000346782327 264096273 /nfs/dbraw/zinc/09/62/73/264096273.db2.gz MODZMSRBXSEKBB-UHFFFAOYSA-N 0 1 324.365 3.271 20 30 DGEDMN N#Cc1cc(Br)ccc1CN(CCCCO)C1CC1 ZINC000347058699 264148963 /nfs/dbraw/zinc/14/89/63/264148963.db2.gz QBPNSYWRRIPPAX-UHFFFAOYSA-N 0 1 323.234 3.058 20 30 DGEDMN CC[C@H](CO)N(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000347360834 264206272 /nfs/dbraw/zinc/20/62/72/264206272.db2.gz MXXNYKKFQHWNAK-GOSISDBHSA-N 0 1 312.388 3.470 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cncc(C#N)c2)cc(C)c1Cl ZINC000357083027 270003851 /nfs/dbraw/zinc/00/38/51/270003851.db2.gz IGYVUZWGHDCSKK-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CCN(CCC1CC1)CC(=O)Nc1sc(C)c(C)c1C#N ZINC000355059546 266123838 /nfs/dbraw/zinc/12/38/38/266123838.db2.gz MZRHAMUUGNISDO-UHFFFAOYSA-N 0 1 305.447 3.297 20 30 DGEDMN C[C@H]1C[C@@H](CO)CCN1Cc1ccc(C#N)cc1C(F)(F)F ZINC000356351118 266362079 /nfs/dbraw/zinc/36/20/79/266362079.db2.gz YAURVIHCERGCGP-AAEUAGOBSA-N 0 1 312.335 3.170 20 30 DGEDMN CC[C@H](CC(F)(F)F)N[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000354538628 266394197 /nfs/dbraw/zinc/39/41/97/266394197.db2.gz FCOSDZSYZCXGKQ-CMPLNLGQSA-N 0 1 313.323 3.206 20 30 DGEDMN C[C@H](C(=O)Nc1ncc(C(F)(F)F)[nH]1)c1cccc(C#N)c1 ZINC000360366166 267142796 /nfs/dbraw/zinc/14/27/96/267142796.db2.gz RAVUHHLGULLQKF-QMMMGPOBSA-N 0 1 308.263 3.042 20 30 DGEDMN Cc1cc(CN2CCN(Cc3ccccc3)CC2)ccc1C#N ZINC000362963435 267323721 /nfs/dbraw/zinc/32/37/21/267323721.db2.gz MKGXFFQWAMSZMS-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN Cc1cc2ncc([C@@H](C)NCc3ccc(C#N)c(F)c3)c(C)n2n1 ZINC000404675321 267367739 /nfs/dbraw/zinc/36/77/39/267367739.db2.gz GCLCRWZRADCJLZ-GFCCVEGCSA-N 0 1 323.375 3.208 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)c(N2CCN(C3CCC3)CC2)c1 ZINC000355379609 268049044 /nfs/dbraw/zinc/04/90/44/268049044.db2.gz UMPBMCURIDDRCO-UHFFFAOYSA-N 0 1 309.335 3.252 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2cccc3nc[nH]c32)c1 ZINC000355648831 268151285 /nfs/dbraw/zinc/15/12/85/268151285.db2.gz FOZRDPIEZFKHSL-UHFFFAOYSA-N 0 1 317.352 3.261 20 30 DGEDMN N#Cc1ccc(OCCCN2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000375638244 268172455 /nfs/dbraw/zinc/17/24/55/268172455.db2.gz YYACBPZRAUINGD-SFHVURJKSA-N 0 1 310.401 3.277 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)c1 ZINC000369851675 271324609 /nfs/dbraw/zinc/32/46/09/271324609.db2.gz KTCYYKQKXHHLPQ-UHFFFAOYSA-N 0 1 303.365 3.289 20 30 DGEDMN C=CCN1CC[C@H](N2CCC(c3ccc(F)cc3C)CC2)C1=O ZINC000428721591 273485623 /nfs/dbraw/zinc/48/56/23/273485623.db2.gz NRMAHPRRPATFEG-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000192356029 275416928 /nfs/dbraw/zinc/41/69/28/275416928.db2.gz FQBAOVBNJLUOCR-WMLDXEAASA-N 0 1 316.445 3.172 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CCCC[C@H]1C)CC2 ZINC000330108467 278144162 /nfs/dbraw/zinc/14/41/62/278144162.db2.gz NRVPVVQBHPKZOW-UKRRQHHQSA-N 0 1 304.438 3.110 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H]([C@@H]2CCCCN2CCC#N)C1 ZINC000496629372 278394554 /nfs/dbraw/zinc/39/45/54/278394554.db2.gz ZPZBMUMNYDQLFT-HOTGVXAUSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](O)CN(Cc1ccccc1)Cc1ccc(C#N)cc1OC ZINC000121517014 288102525 /nfs/dbraw/zinc/10/25/25/288102525.db2.gz ZFUCQNQVULOBQM-IBGZPJMESA-N 0 1 324.424 3.340 20 30 DGEDMN C[C@@H](CC#N)Sc1ccccc1NC(=O)CN(C)CC1CC1 ZINC000108359925 431136000 /nfs/dbraw/zinc/13/60/00/431136000.db2.gz QXOZEGFPKLZHGB-ZDUSSCGKSA-N 0 1 317.458 3.361 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](C)c1ccccc1SC ZINC000281578079 279224949 /nfs/dbraw/zinc/22/49/49/279224949.db2.gz DBILTHNAILIOLE-KGLIPLIRSA-N 0 1 319.474 3.067 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)N1CCc2ccccc21 ZINC000109813413 431180606 /nfs/dbraw/zinc/18/06/06/431180606.db2.gz LQRSHFKCFUHGDN-UHFFFAOYSA-N 0 1 313.445 3.228 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC)c2ccc(Cl)cc2)CC1 ZINC000110416145 431190464 /nfs/dbraw/zinc/19/04/64/431190464.db2.gz MJVRHSZSNRDEOD-UHFFFAOYSA-N 0 1 318.848 3.428 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccccc2)C2CCCC2)CC1 ZINC000108746108 431155273 /nfs/dbraw/zinc/15/52/73/431155273.db2.gz BCHSRAMSPHTLSQ-UHFFFAOYSA-N 0 1 310.441 3.307 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1cccc(C(=O)NC)c1 ZINC000072959841 431359929 /nfs/dbraw/zinc/35/99/29/431359929.db2.gz TYSLCBVVUCYUML-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN C[C@@H](C(=O)N[C@@H](C#N)c1ccccc1Cl)N1CCCCCC1 ZINC000073647807 431377351 /nfs/dbraw/zinc/37/73/51/431377351.db2.gz MNDCYQYSFINKPJ-BBRMVZONSA-N 0 1 319.836 3.285 20 30 DGEDMN C[C@H](NCC(=O)Nc1cccc(C#N)c1)c1ccc(F)c(F)c1 ZINC000008247899 431387283 /nfs/dbraw/zinc/38/72/83/431387283.db2.gz YDHSPRBDTWHIOY-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN N#Cc1ccccc1CN(CCO)[C@H]1CCCc2ccccc21 ZINC000074312327 431411175 /nfs/dbraw/zinc/41/11/75/431411175.db2.gz GCWXKYUJTOGJSV-FQEVSTJZSA-N 0 1 306.409 3.430 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1 ZINC000076008569 431516333 /nfs/dbraw/zinc/51/63/33/431516333.db2.gz JKEFHGDDDZMONS-INIZCTEOSA-N 0 1 315.417 3.047 20 30 DGEDMN CN(CCc1cccs1)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000075965108 431507776 /nfs/dbraw/zinc/50/77/76/431507776.db2.gz FKGMUTPXSXBCFX-UHFFFAOYSA-N 0 1 324.409 3.344 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC(C)(C)C1 ZINC000076013589 431513481 /nfs/dbraw/zinc/51/34/81/431513481.db2.gz FUBQVKJBPPHMTA-AWEZNQCLSA-N 0 1 317.408 3.193 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CCC(C)(C)C2)c1C ZINC000076013711 431515423 /nfs/dbraw/zinc/51/54/23/431515423.db2.gz RAZKRJVIORBYSA-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2cc(C)ccc2C)C(C)C)CC1 ZINC000076738081 431562961 /nfs/dbraw/zinc/56/29/61/431562961.db2.gz MKKXWTYJAFIQLN-UHFFFAOYSA-N 0 1 312.457 3.390 20 30 DGEDMN CCCN(Cc1cnc2cnccn12)Cc1ccc(C#N)cc1 ZINC000119252972 431665302 /nfs/dbraw/zinc/66/53/02/431665302.db2.gz YTIVIXAQZCMXDP-UHFFFAOYSA-N 0 1 305.385 3.013 20 30 DGEDMN C[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(C#N)cc1 ZINC000078973443 431713576 /nfs/dbraw/zinc/71/35/76/431713576.db2.gz JFMCGHSCCDMXHJ-CYBMUJFWSA-N 0 1 303.365 3.251 20 30 DGEDMN CO[C@@H](C)C(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000079493442 431724955 /nfs/dbraw/zinc/72/49/55/431724955.db2.gz CAQQPUHLGQRMBA-JTQLQIEISA-N 0 1 302.378 3.392 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1c(O)cccc1F ZINC000120380711 431727526 /nfs/dbraw/zinc/72/75/26/431727526.db2.gz GNOJRCSNDDNHPW-UHFFFAOYSA-N 0 1 315.344 3.368 20 30 DGEDMN Cc1nnc(NC(=O)[C@H](C)c2ccc(Br)s2)[nH]1 ZINC000080023575 431759586 /nfs/dbraw/zinc/75/95/86/431759586.db2.gz RUSKJIWWSWPSIR-RXMQYKEDSA-N 0 1 315.196 3.329 20 30 DGEDMN Cc1nnc(NC(=O)COc2cc(Cl)cc(Cl)c2)[nH]1 ZINC000080023552 431759674 /nfs/dbraw/zinc/75/96/74/431759674.db2.gz VGXFEHGOVPVERM-UHFFFAOYSA-N 0 1 301.133 3.087 20 30 DGEDMN Cc1n[nH]c(NC(=O)COc2cc(Cl)cc(Cl)c2)n1 ZINC000080023552 431759678 /nfs/dbraw/zinc/75/96/78/431759678.db2.gz VGXFEHGOVPVERM-UHFFFAOYSA-N 0 1 301.133 3.087 20 30 DGEDMN CCC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(C#N)cc2)CCN1CCOC ZINC000121758745 431804822 /nfs/dbraw/zinc/80/48/22/431804822.db2.gz VJDLRQDYQYCEIM-QFBILLFUSA-N 0 1 315.461 3.052 20 30 DGEDMN COCC[N@@H+](CCc1ccccc1F)Cc1ccc(C#N)cc1 ZINC000121335181 431773041 /nfs/dbraw/zinc/77/30/41/431773041.db2.gz RKGNPPVIDFCRMQ-UHFFFAOYSA-N 0 1 312.388 3.388 20 30 DGEDMN C[C@H]1CCCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000123032614 431852764 /nfs/dbraw/zinc/85/27/64/431852764.db2.gz WGBRQEQOSHDQEV-AWEZNQCLSA-N 0 1 319.836 3.319 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccc(OC)c(O)c2)cc1 ZINC000092405077 431909140 /nfs/dbraw/zinc/90/91/40/431909140.db2.gz UHLJRLNHGCZWOA-UHFFFAOYSA-N 0 1 311.381 3.045 20 30 DGEDMN N#CCCN(Cc1cc(Br)ccc1O)CC1CC1 ZINC000093091216 431913068 /nfs/dbraw/zinc/91/30/68/431913068.db2.gz AOWRSNLLIDFPCK-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN CC[C@H](CSC)N(C)Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000091099532 431902490 /nfs/dbraw/zinc/90/24/90/431902490.db2.gz QDBXLCLOSYJIBX-CQSZACIVSA-N 0 1 316.430 3.182 20 30 DGEDMN Cc1ccc(C#N)c(NC[C@@H](c2ccco2)N2CCCCC2)n1 ZINC000127498069 431973335 /nfs/dbraw/zinc/97/33/35/431973335.db2.gz YSKCTWYAZIFDQE-INIZCTEOSA-N 0 1 310.401 3.494 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)NC1CCC(C)CC1 ZINC000127509063 431974111 /nfs/dbraw/zinc/97/41/11/431974111.db2.gz NNXIEAMUBPRSOB-NWPKHEMWSA-N 0 1 313.445 3.292 20 30 DGEDMN C=CCN(CCOC)Cc1cc(-c2ccncc2)ccc1OC ZINC000191762200 432299718 /nfs/dbraw/zinc/29/97/18/432299718.db2.gz UOSWKGUHOIGYSN-UHFFFAOYSA-N 0 1 312.413 3.392 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1[nH]nc2ccccc21 ZINC000060397580 431999145 /nfs/dbraw/zinc/99/91/45/431999145.db2.gz XVNIGKQHEGUHIL-UHFFFAOYSA-N 0 1 321.380 3.400 20 30 DGEDMN CCS[C@@H]1CC[C@H](N(C)CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000173869881 432304281 /nfs/dbraw/zinc/30/42/81/432304281.db2.gz XGAHPIMKSUTITN-JKSUJKDBSA-N 0 1 317.458 3.103 20 30 DGEDMN C[C@H](N[C@H](C[S@@](C)=O)c1ccccc1)c1ccc(C#N)cc1 ZINC000248612841 432306367 /nfs/dbraw/zinc/30/63/67/432306367.db2.gz YBXYQSPRCDTRFB-GKIWIXTESA-N 0 1 312.438 3.329 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2ccccc2[N+](=O)[O-])CC1 ZINC000174808606 432372867 /nfs/dbraw/zinc/37/28/67/432372867.db2.gz IGDGQBYMDXBHRL-UHFFFAOYSA-N 0 1 316.405 3.047 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000192356038 432364081 /nfs/dbraw/zinc/36/40/81/432364081.db2.gz FQBAOVBNJLUOCR-YOEHRIQHSA-N 0 1 316.445 3.172 20 30 DGEDMN COc1cc(C#N)ccc1S(=O)(=O)Nc1cccc(Cl)c1 ZINC000184764776 432430873 /nfs/dbraw/zinc/43/08/73/432430873.db2.gz HIDYEZQPMSZYPH-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C[C@@H](c1ccc([S@](C)=O)cc1)N(C)Cc1cccc(C#N)c1 ZINC000175402857 432432763 /nfs/dbraw/zinc/43/27/63/432432763.db2.gz HKAGDMAPXIYXFD-FPTDNZKUSA-N 0 1 312.438 3.489 20 30 DGEDMN COC(=O)CCN(CCC(C)(C)C#N)Cc1ccc(F)c(F)c1 ZINC000272009660 432433623 /nfs/dbraw/zinc/43/36/23/432433623.db2.gz KBTACWWDSLGKRH-UHFFFAOYSA-N 0 1 324.371 3.270 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)[C@H](CCO)c1ccccc1 ZINC000153883521 432452410 /nfs/dbraw/zinc/45/24/10/432452410.db2.gz JBTCJSNEAIXAGT-GOSISDBHSA-N 0 1 310.397 3.122 20 30 DGEDMN CC(C)c1nnc(NC(=O)c2c(F)cc(C#N)cc2F)s1 ZINC000154461617 432498845 /nfs/dbraw/zinc/49/88/45/432498845.db2.gz WMJYYMFFYPKSMM-UHFFFAOYSA-N 0 1 308.313 3.064 20 30 DGEDMN COC[C@@H](NCc1cc(C#N)n(C)c1)c1ccc(Cl)cc1 ZINC000193277017 432461483 /nfs/dbraw/zinc/46/14/83/432461483.db2.gz APCRSVNIBUAIQO-MRXNPFEDSA-N 0 1 303.793 3.027 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cc(C#N)ccc3C)[nH]n2)o1 ZINC000194508398 432556516 /nfs/dbraw/zinc/55/65/16/432556516.db2.gz IEFQRGUVRUNZFV-UHFFFAOYSA-N 0 1 306.325 3.411 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cc(C(C)C)[nH]n1 ZINC000154703940 432516838 /nfs/dbraw/zinc/51/68/38/432516838.db2.gz CCTJISKEBWFULI-UHFFFAOYSA-N 0 1 313.401 3.370 20 30 DGEDMN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000185669110 432522985 /nfs/dbraw/zinc/52/29/85/432522985.db2.gz FJYBTWBRJZYIOZ-YGRLFVJLSA-N 0 1 305.447 3.296 20 30 DGEDMN Cc1oc(NC(=O)CN2CCC[C@@H]2CC(C)C)c(C#N)c1C ZINC000154905437 432526420 /nfs/dbraw/zinc/52/64/20/432526420.db2.gz VBZAFQSFZSJDKQ-CQSZACIVSA-N 0 1 303.406 3.217 20 30 DGEDMN COCC[C@H](NCc1ccc(OCC#N)cc1)c1ccco1 ZINC000273204700 432565741 /nfs/dbraw/zinc/56/57/41/432565741.db2.gz AMCRDQFNJRGQOS-INIZCTEOSA-N 0 1 300.358 3.049 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C#N)s1)O[C@H](C)C2 ZINC000176945956 432568027 /nfs/dbraw/zinc/56/80/27/432568027.db2.gz STTUSUNILJDTNP-LLVKDONJSA-N 0 1 314.410 3.242 20 30 DGEDMN Cc1cc(C)n(-c2ccc(CNCc3ccc(C#N)s3)cn2)n1 ZINC000176949632 432569045 /nfs/dbraw/zinc/56/90/45/432569045.db2.gz WREXGLJRIGDCQJ-UHFFFAOYSA-N 0 1 323.425 3.107 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@@H]3CCCC[C@@H]3C2)c(C#N)c1C ZINC000177519183 432633475 /nfs/dbraw/zinc/63/34/75/432633475.db2.gz VRILHOBLEPSHKO-OKILXGFUSA-N 0 1 317.458 3.297 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC(c2ccsc2)CC1 ZINC000186929952 432638633 /nfs/dbraw/zinc/63/86/33/432638633.db2.gz XTIRCNGVJPVROL-UHFFFAOYSA-N 0 1 304.459 3.128 20 30 DGEDMN COc1ccc2c(c1)[C@@H](NCc1ccc(C#N)s1)CCO2 ZINC000274291174 432647030 /nfs/dbraw/zinc/64/70/30/432647030.db2.gz YUKVMFRWBTXRIR-HNNXBMFYSA-N 0 1 300.383 3.242 20 30 DGEDMN CC(=O)Nc1ccc(C)c(C(=O)C(C#N)c2nc(C)cs2)c1 ZINC000187234582 432652227 /nfs/dbraw/zinc/65/22/27/432652227.db2.gz JWRVRXNAJVTKCO-AWEZNQCLSA-N 0 1 313.382 3.208 20 30 DGEDMN CC(=O)Nc1ccc(C)c(C(=O)[C@H](C#N)c2nc(C)cs2)c1 ZINC000187234582 432652230 /nfs/dbraw/zinc/65/22/30/432652230.db2.gz JWRVRXNAJVTKCO-AWEZNQCLSA-N 0 1 313.382 3.208 20 30 DGEDMN CCn1cc(CNCC#Cc2ccc(C(F)(F)F)cc2)cn1 ZINC000177715918 432654619 /nfs/dbraw/zinc/65/46/19/432654619.db2.gz PJGRSCVOOZKWPG-UHFFFAOYSA-N 0 1 307.319 3.063 20 30 DGEDMN CCC(CC)(CNCc1ccc(Cl)cc1C#N)C(=O)OC ZINC000187322324 432657072 /nfs/dbraw/zinc/65/70/72/432657072.db2.gz SCBWIJWRNRQBEB-UHFFFAOYSA-N 0 1 308.809 3.281 20 30 DGEDMN CC(C)(C)Oc1ccncc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188824828 432747728 /nfs/dbraw/zinc/74/77/28/432747728.db2.gz FAKFYSQAJSYNAH-UHFFFAOYSA-N 0 1 311.341 3.088 20 30 DGEDMN N#Cc1cc(C[N@H+]2CCCN(c3ccccc3)CC2)ccc1F ZINC000160953170 432750954 /nfs/dbraw/zinc/75/09/54/432750954.db2.gz ZMPQCWUKRRMMBW-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN N#Cc1cc(CN2CCCN(c3ccccc3)CC2)ccc1F ZINC000160953170 432750959 /nfs/dbraw/zinc/75/09/59/432750959.db2.gz ZMPQCWUKRRMMBW-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN Cc1nc(C(=O)Nc2[nH]nc3c2cccc3Cl)ccc1C#N ZINC000178590519 432755743 /nfs/dbraw/zinc/75/57/43/432755743.db2.gz KFCITLFNRANEKS-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN N#Cc1c[nH]c(C(=O)Nc2nc3ccc(C(F)(F)F)cc3[nH]2)c1 ZINC000178633852 432762165 /nfs/dbraw/zinc/76/21/65/432762165.db2.gz BRWJIPQPGAYFNB-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(Cl)c(Cl)cc1OC ZINC000189398383 432782741 /nfs/dbraw/zinc/78/27/41/432782741.db2.gz FVWAXJHHSLQWMJ-UHFFFAOYSA-N 0 1 310.202 3.320 20 30 DGEDMN C=CCCCCCN(C)C(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000265805148 432733795 /nfs/dbraw/zinc/73/37/95/432733795.db2.gz KRFOTJVTGLSNBC-UHFFFAOYSA-N 0 1 308.382 3.089 20 30 DGEDMN CCSc1cc(CNCc2ccc(OC)c(C#N)c2)ccn1 ZINC000189594655 432802219 /nfs/dbraw/zinc/80/22/19/432802219.db2.gz WYRCKRPCAFHZRW-UHFFFAOYSA-N 0 1 313.426 3.364 20 30 DGEDMN C=CCC[N@H+](C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000276390843 432804008 /nfs/dbraw/zinc/80/40/08/432804008.db2.gz JGSHYFXPTIEGOE-SNVBAGLBSA-N 0 1 311.769 3.083 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1cc([N+](=O)[O-])ccc1Cl ZINC000276390843 432804012 /nfs/dbraw/zinc/80/40/12/432804012.db2.gz JGSHYFXPTIEGOE-SNVBAGLBSA-N 0 1 311.769 3.083 20 30 DGEDMN Cc1cnc(C2CCN(Cc3cc(C#N)n(C)c3)CC2)s1 ZINC000277565930 432861371 /nfs/dbraw/zinc/86/13/71/432861371.db2.gz SDZAIHWXLPDYTQ-UHFFFAOYSA-N 0 1 300.431 3.041 20 30 DGEDMN C[C@@H](c1cccnc1)N1CCN(CCCCC(C)(C)C#N)CC1 ZINC000277603817 432864234 /nfs/dbraw/zinc/86/42/34/432864234.db2.gz FHDZIHQYPCKRHB-KRWDZBQOSA-N 0 1 314.477 3.480 20 30 DGEDMN C[C@@H]1C[C@H](NCCSCc2ccccc2C#N)c2nccn21 ZINC000296186262 432882069 /nfs/dbraw/zinc/88/20/69/432882069.db2.gz YHZXJDRYTYFUHG-CJNGLKHVSA-N 0 1 312.442 3.284 20 30 DGEDMN C[C@H](CCC1CC1)[NH+]=C([O-])N1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000329944985 432884018 /nfs/dbraw/zinc/88/40/18/432884018.db2.gz ITMZRDLHBVQLGK-LZWOXQAQSA-N 0 1 304.438 3.259 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+][C@@H](C)CCC3CC3)C2)c1 ZINC000329947147 432884711 /nfs/dbraw/zinc/88/47/11/432884711.db2.gz JWVMFHHFJOWNLG-GOEBONIOSA-N 0 1 304.438 3.321 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)CC(C)(C)C#N)[nH]c2c1 ZINC000278224563 432889322 /nfs/dbraw/zinc/88/93/22/432889322.db2.gz IVUJFHGHAOWZRK-OAHLLOKOSA-N 0 1 310.401 3.475 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000278206349 432889442 /nfs/dbraw/zinc/88/94/42/432889442.db2.gz GHOHMQWDJIKHHN-UHFFFAOYSA-N 0 1 317.458 3.114 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2ccc(Cl)c(C#N)n2)n[nH]1 ZINC000277386233 432844196 /nfs/dbraw/zinc/84/41/96/432844196.db2.gz JBLANHPVOXJXTI-DTWKUNHWSA-N 0 1 304.785 3.411 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2ccc(Cl)c(C#N)n2)n[nH]1 ZINC000277386230 432844291 /nfs/dbraw/zinc/84/42/91/432844291.db2.gz JBLANHPVOXJXTI-BDAKNGLRSA-N 0 1 304.785 3.411 20 30 DGEDMN CN(Cc1cc(Cl)cn1C)Cc1ccc(OCC#N)cc1 ZINC000268133134 432848852 /nfs/dbraw/zinc/84/88/52/432848852.db2.gz CTVJBRAYAFFMMR-UHFFFAOYSA-N 0 1 303.793 3.213 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(F)c(C#N)c2)cc(OC)c1 ZINC000268244581 432858544 /nfs/dbraw/zinc/85/85/44/432858544.db2.gz SELSZANBRSBSRC-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN Cc1sc(NC(=O)CN(C)C[C@H]2CC=CCC2)c(C#N)c1C ZINC000330836099 432926266 /nfs/dbraw/zinc/92/62/66/432926266.db2.gz KLUPCTQWSFTYBE-AWEZNQCLSA-N 0 1 317.458 3.463 20 30 DGEDMN CCOC(=O)N1CC[C@H](N[C@@H](C)c2ccc(C#N)cc2Cl)C1 ZINC000279052314 432929929 /nfs/dbraw/zinc/92/99/29/432929929.db2.gz KGRXQOJLUNZBRO-AAEUAGOBSA-N 0 1 321.808 3.093 20 30 DGEDMN CCC1(CC)CCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)CC1 ZINC000330186310 432896613 /nfs/dbraw/zinc/89/66/13/432896613.db2.gz JRUDNRUPRAVJBI-AWEZNQCLSA-N 0 1 304.438 3.014 20 30 DGEDMN C[C@@H]1CCN(C([O-])=[NH+][C@@H]2C[C@H]2c2ccccc2)C[C@H]1n1ccnc1 ZINC000330294777 432903763 /nfs/dbraw/zinc/90/37/63/432903763.db2.gz IUHXEYACWKVSEF-BZZMCLGOSA-N 0 1 324.428 3.236 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](Oc3ncccc3F)C2)cc1 ZINC000278908067 432914812 /nfs/dbraw/zinc/91/48/12/432914812.db2.gz SMZPKVBORZROCV-MRXNPFEDSA-N 0 1 311.360 3.136 20 30 DGEDMN C[C@H](CCO)[N@@H+](Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000341140392 432973894 /nfs/dbraw/zinc/97/38/94/432973894.db2.gz USNUWUUSOJZAPN-OAHLLOKOSA-N 0 1 312.388 3.470 20 30 DGEDMN C[C@H](CCO)N(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000341140392 432973896 /nfs/dbraw/zinc/97/38/96/432973896.db2.gz USNUWUUSOJZAPN-OAHLLOKOSA-N 0 1 312.388 3.470 20 30 DGEDMN Cc1ccsc1CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000349612858 432982599 /nfs/dbraw/zinc/98/25/99/432982599.db2.gz GPCJGVHHRDTDNK-UHFFFAOYSA-N 0 1 311.454 3.246 20 30 DGEDMN CO[C@@]1(C)CCCN([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332246239 432989853 /nfs/dbraw/zinc/98/98/53/432989853.db2.gz XANZFJBDLWYXHN-RDJZCZTQSA-N 0 1 301.390 3.026 20 30 DGEDMN CC[C@H](NCc1ccc(OC)nc1OC)c1ccc(C#N)cc1 ZINC000290273840 433023022 /nfs/dbraw/zinc/02/30/22/433023022.db2.gz WJVLPAFZIDPSPS-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)c1 ZINC000280343856 433036381 /nfs/dbraw/zinc/03/63/81/433036381.db2.gz BGXNMSMTWLSIAY-FRQCXROJSA-N 0 1 317.429 3.020 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)c3cccc(C)c32)CC1 ZINC000297998006 433039526 /nfs/dbraw/zinc/03/95/26/433039526.db2.gz YLZHBENZHLCYOA-OAHLLOKOSA-N 0 1 310.441 3.180 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(C)cc2O[C@H]2CCOC2)cc1 ZINC000290420966 433050702 /nfs/dbraw/zinc/05/07/02/433050702.db2.gz RAMKEOPHLSNZOQ-FQEVSTJZSA-N 0 1 321.420 3.434 20 30 DGEDMN Cc1nc2cc(NCc3cccc(C#N)c3)ccc2n1[C@@H](C)CO ZINC000419758811 433065849 /nfs/dbraw/zinc/06/58/49/433065849.db2.gz FJENIHWKUDXQIM-ZDUSSCGKSA-N 0 1 320.396 3.382 20 30 DGEDMN COc1ccc(C#N)cc1NC1CCN(Cc2cccnc2)CC1 ZINC000341501603 433099410 /nfs/dbraw/zinc/09/94/10/433099410.db2.gz OSHLWODLPWPVOX-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN COC(=O)c1csc([C@@H](C)NCc2ccc(C#N)c(F)c2)c1 ZINC000453369031 433124705 /nfs/dbraw/zinc/12/47/05/433124705.db2.gz FDHRDKMJPKGVLU-SNVBAGLBSA-N 0 1 318.373 3.396 20 30 DGEDMN CC[C@@H](CC(F)(F)F)N[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000354537223 433136845 /nfs/dbraw/zinc/13/68/45/433136845.db2.gz NYVIFWCVZJSCHU-PWSUYJOCSA-N 0 1 313.323 3.206 20 30 DGEDMN CC[C@@H](CC(F)(F)F)N[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000354538629 433139181 /nfs/dbraw/zinc/13/91/81/433139181.db2.gz FCOSDZSYZCXGKQ-JQWIXIFHSA-N 0 1 313.323 3.206 20 30 DGEDMN C[C@@H]1CN(Cc2cccc(OCCCC#N)c2)C[C@@H](C2CC2)O1 ZINC000373474291 433153513 /nfs/dbraw/zinc/15/35/13/433153513.db2.gz MAGNGFDNQTTXEB-BEFAXECRSA-N 0 1 314.429 3.368 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC000412218831 433109323 /nfs/dbraw/zinc/10/93/23/433109323.db2.gz AEQAAYDENNKGCG-NWDGAFQWSA-N 0 1 316.311 3.270 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1ccc(Cl)cc1-n1cncn1 ZINC000361337930 433115859 /nfs/dbraw/zinc/11/58/59/433115859.db2.gz UZKNHNULVUXRDP-HNNXBMFYSA-N 0 1 302.809 3.461 20 30 DGEDMN C=CCC1(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)CCCCC1 ZINC000425322855 433160712 /nfs/dbraw/zinc/16/07/12/433160712.db2.gz OHAHRHWSFPYZRI-UHFFFAOYSA-N 0 1 319.405 3.008 20 30 DGEDMN C=CCN1CC[C@H](N(Cc2cccc(Cl)c2)C(C)C)C1=O ZINC000337127614 433204708 /nfs/dbraw/zinc/20/47/08/433204708.db2.gz GYXVPGGYJPZZKK-INIZCTEOSA-N 0 1 306.837 3.337 20 30 DGEDMN N#CC(C(=O)c1ccc(C2CC2)cn1)c1cnc2ccccc2n1 ZINC000361531983 433226331 /nfs/dbraw/zinc/22/63/31/433226331.db2.gz UXDICWMCGMCVLI-AWEZNQCLSA-N 0 1 314.348 3.392 20 30 DGEDMN CCN(CC)[C@H](C(=O)N[C@@H](C#N)C(C)(C)C)c1ccccc1 ZINC000291359586 433234287 /nfs/dbraw/zinc/23/42/87/433234287.db2.gz BEJMFZKZJCCAFR-HOTGVXAUSA-N 0 1 301.434 3.124 20 30 DGEDMN COc1cc(OC)cc(OCCC[N@@H+](C)[C@@H](C)CCC#N)c1 ZINC000298800496 433247455 /nfs/dbraw/zinc/24/74/55/433247455.db2.gz YYNDXXAGNCXJLS-AWEZNQCLSA-N 0 1 306.406 3.097 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)c(F)c1 ZINC000374729046 433269180 /nfs/dbraw/zinc/26/91/80/433269180.db2.gz VHJGBROHLQWDBH-KRWDZBQOSA-N 0 1 320.371 3.494 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)[C@H](C)c1ccc(C)cc1C ZINC000298956993 433285147 /nfs/dbraw/zinc/28/51/47/433285147.db2.gz GCVSQOUKIKAPRW-MRXNPFEDSA-N 0 1 301.434 3.058 20 30 DGEDMN CCC(C)(C)CCN1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000338251565 433286444 /nfs/dbraw/zinc/28/64/44/433286444.db2.gz KMJUTFWJOBYIAQ-KUHUBIRLSA-N 0 1 311.429 3.158 20 30 DGEDMN C=CCN(CCOC)Cc1cc(Cl)c2c(c1)OCCCO2 ZINC000361642563 433310134 /nfs/dbraw/zinc/31/01/34/433310134.db2.gz JLRAFPLJSJPCKO-UHFFFAOYSA-N 0 1 311.809 3.136 20 30 DGEDMN C[C@@H](c1ccccc1)N(CC(=O)N[C@](C)(C#N)C1CC1)C1CC1 ZINC000299391907 433361226 /nfs/dbraw/zinc/36/12/26/433361226.db2.gz ROZLACIYTUDNNP-IFXJQAMLSA-N 0 1 311.429 3.020 20 30 DGEDMN CC(=Cc1ncc(C(C)(C)C)s1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000448131254 433366858 /nfs/dbraw/zinc/36/68/58/433366858.db2.gz WYIDJSCLDACZFR-TWGQIWQCSA-N 0 1 314.414 3.265 20 30 DGEDMN CC1(C)CN(C[C@@H](O)c2ccc(C#N)cc2)[C@H]1c1cccnc1 ZINC000291840035 433368207 /nfs/dbraw/zinc/36/82/07/433368207.db2.gz DTKOVMBIVYLYFV-MSOLQXFVSA-N 0 1 307.397 3.070 20 30 DGEDMN C[C@@H]1CN(CCCNc2ccc(Cl)cc2C#N)C[C@@H](C)O1 ZINC000301176600 433442247 /nfs/dbraw/zinc/44/22/47/433442247.db2.gz GIPVDQLCCKCKFR-CHWSQXEVSA-N 0 1 307.825 3.123 20 30 DGEDMN C[C@@H]1CN(CCCNc2c(Cl)cccc2C#N)C[C@@H](C)O1 ZINC000301205887 433451706 /nfs/dbraw/zinc/45/17/06/433451706.db2.gz PXBRSGQYHWXXGC-CHWSQXEVSA-N 0 1 307.825 3.123 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3cccc(C4CC4)c3)CC2)nc1 ZINC000421320230 433476160 /nfs/dbraw/zinc/47/61/60/433476160.db2.gz AUGPJAISEGFJJN-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN COCC(C)(C)N(C)Cc1ccc(Br)cc1C#N ZINC000292146376 433479883 /nfs/dbraw/zinc/47/98/83/433479883.db2.gz NCQHYHIIMQXBFB-UHFFFAOYSA-N 0 1 311.223 3.178 20 30 DGEDMN Cc1cc(C)c2ncc(C#N)c(N3CCN(C(C)C)CC3)c2c1 ZINC000301357325 433522672 /nfs/dbraw/zinc/52/26/72/433522672.db2.gz OZTIUAKZAHZSLM-UHFFFAOYSA-N 0 1 308.429 3.254 20 30 DGEDMN N#Cc1ccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)cc1F ZINC000421379444 433523620 /nfs/dbraw/zinc/52/36/20/433523620.db2.gz IVEUFKWVOCVVCC-KRWDZBQOSA-N 0 1 300.377 3.088 20 30 DGEDMN COc1cc2c(c(CNCc3ccc(C#N)s3)c1)O[C@H](C)C2 ZINC000421354767 433496818 /nfs/dbraw/zinc/49/68/18/433496818.db2.gz SQNRPODDSIYZSR-LLVKDONJSA-N 0 1 314.410 3.242 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)Nc2ccc(CC#N)cc2Cl)CCC[N@H+]1C ZINC000455734360 433554708 /nfs/dbraw/zinc/55/47/08/433554708.db2.gz PHRIIYIYSKASBL-FZMZJTMJSA-N 0 1 320.824 3.010 20 30 DGEDMN CC(C)n1nccc1C(=O)C(C#N)c1cc(C(F)(F)F)ccn1 ZINC000362178546 433566087 /nfs/dbraw/zinc/56/60/87/433566087.db2.gz DGATWPNGODEGCX-LLVKDONJSA-N 0 1 322.290 3.368 20 30 DGEDMN COc1ccc(CNc2nccn2Cc2ccccc2)cc1C#N ZINC000351551283 433568818 /nfs/dbraw/zinc/56/88/18/433568818.db2.gz UPCBYIYRXUQFKK-UHFFFAOYSA-N 0 1 318.380 3.424 20 30 DGEDMN C=C(Br)CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC000292570908 433579946 /nfs/dbraw/zinc/57/99/46/433579946.db2.gz BSUPYVBEAUFQQF-CQSZACIVSA-N 0 1 310.235 3.389 20 30 DGEDMN CCN(CCC(=O)Nc1ccc(OC)c(Cl)c1)C[C@@H](C)C#N ZINC000362212349 433587374 /nfs/dbraw/zinc/58/73/74/433587374.db2.gz FJZCOXSWVZXIRK-LBPRGKRZSA-N 0 1 323.824 3.159 20 30 DGEDMN Cc1ccccc1-c1ccccc1CN1CCN(CC#N)CC1 ZINC000449018639 433670133 /nfs/dbraw/zinc/67/01/33/433670133.db2.gz OKDCLYSEHMXKPQ-UHFFFAOYSA-N 0 1 305.425 3.303 20 30 DGEDMN Cc1cc([C@H]2CCCN(C(=O)C=Cc3cccc(C#N)c3)C2)n[nH]1 ZINC000283377390 433714093 /nfs/dbraw/zinc/71/40/93/433714093.db2.gz COXOLPDCGLXJCB-OZSKJFCKSA-N 0 1 320.396 3.009 20 30 DGEDMN C[C@H]1CN(CCCNc2ccc3cc(C#N)ccc3n2)C[C@H](C)O1 ZINC000301797217 433729552 /nfs/dbraw/zinc/72/95/52/433729552.db2.gz AROPTVDKZVIZDK-GJZGRUSLSA-N 0 1 324.428 3.018 20 30 DGEDMN CC[C@@H](C)[N@@H+](CC(=O)[O-])Cc1ccc(C#N)cc1C(F)(F)F ZINC000414675253 433782607 /nfs/dbraw/zinc/78/26/07/433782607.db2.gz FVRKAAOEUSJGQO-SNVBAGLBSA-N 0 1 314.307 3.262 20 30 DGEDMN C[C@H](NCc1ccc(C#N)s1)c1ccc(C(=O)N(C)C)cc1 ZINC000421889152 433794611 /nfs/dbraw/zinc/79/46/11/433794611.db2.gz UHDCZXLRIWMWEQ-LBPRGKRZSA-N 0 1 313.426 3.172 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(OC)cc2)CC1 ZINC000362929836 433914989 /nfs/dbraw/zinc/91/49/89/433914989.db2.gz HLINHLICOLDBAA-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN CCOC1CC2(C1)CCN(Cc1cn3ccccc3c1C#N)C2 ZINC000285512573 433974433 /nfs/dbraw/zinc/97/44/33/433974433.db2.gz OFRRQSVRCXCMNQ-UHFFFAOYSA-N 0 1 309.413 3.202 20 30 DGEDMN CCOC(=O)CCCCN1CCC[C@H]1[C@H](C#N)c1ccccc1 ZINC000363285082 434013343 /nfs/dbraw/zinc/01/33/43/434013343.db2.gz BODMDTIYTQNRAQ-MSOLQXFVSA-N 0 1 314.429 3.492 20 30 DGEDMN CN(CCc1ccccc1[N+](=O)[O-])Cc1ccc(C#N)cc1F ZINC000352543412 434041854 /nfs/dbraw/zinc/04/18/54/434041854.db2.gz FDNXULOUQREVOK-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN CC(C)CCO[C@@H]1CCCC[C@H]1NCc1nc(C#N)cs1 ZINC000352864039 434062079 /nfs/dbraw/zinc/06/20/79/434062079.db2.gz YEOOVCULIGCMKX-HUUCEWRRSA-N 0 1 307.463 3.478 20 30 DGEDMN COc1cc2c(cc1CN[C@H](C)c1ccc(C#N)cc1)OCO2 ZINC000353001276 434066867 /nfs/dbraw/zinc/06/68/67/434066867.db2.gz HLJQAFRGDWGXCH-GFCCVEGCSA-N 0 1 310.353 3.146 20 30 DGEDMN C=C(C)COCCNCc1ccc(OC)cc1Br ZINC000306097415 434084587 /nfs/dbraw/zinc/08/45/87/434084587.db2.gz ODCIIAHGQPLBMO-UHFFFAOYSA-N 0 1 314.223 3.140 20 30 DGEDMN Cc1ccc2c(c1)CN(CCOc1ccc(C#N)cc1)CCO2 ZINC000352835748 434056845 /nfs/dbraw/zinc/05/68/45/434056845.db2.gz ZOWKMMZJPPNFHI-UHFFFAOYSA-N 0 1 308.381 3.140 20 30 DGEDMN C=C(C)COc1cc(CN2CCOC[C@@H](C)C2)ccc1OC ZINC000378337856 434146946 /nfs/dbraw/zinc/14/69/46/434146946.db2.gz BEHBXZZYXCRSNB-HNNXBMFYSA-N 0 1 305.418 3.118 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc(F)cc1)c1ccc(C#N)c(F)c1 ZINC000346158123 434158226 /nfs/dbraw/zinc/15/82/26/434158226.db2.gz HOCHMVIOBRIJJV-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN CC[C@@H](O)[C@@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000437151986 434223532 /nfs/dbraw/zinc/22/35/32/434223532.db2.gz DYUODTAAHPGLNU-LSDHHAIUSA-N 0 1 324.371 3.285 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000450600637 434289046 /nfs/dbraw/zinc/28/90/46/434289046.db2.gz WIZRXWRDRMFHFN-QGZVFWFLSA-N 0 1 300.446 3.322 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1)C(=O)N1c2ccccc2C[C@H]1C ZINC000358020799 434290526 /nfs/dbraw/zinc/29/05/26/434290526.db2.gz DVROOQPQWBMZSB-CABCVRRESA-N 0 1 319.408 3.014 20 30 DGEDMN COC1(CNC(=O)[C@@H]2CCc3[nH]c(C(C)C)nc3C2)CCC1 ZINC000328645973 434290882 /nfs/dbraw/zinc/29/08/82/434290882.db2.gz ZWTUKYNFGLBYDJ-GFCCVEGCSA-N 0 1 305.422 3.164 20 30 DGEDMN CC(C)(C)[C@@H]1OCCC[C@@H]1CNC(=O)c1ccc2cncn2c1 ZINC000328796847 434313140 /nfs/dbraw/zinc/31/31/40/434313140.db2.gz DMXSQCCHLNGNQS-CZUORRHYSA-N 0 1 315.417 3.480 20 30 DGEDMN CCCN(Cc1cnn(CC(F)F)c1)Cc1ccc(C#N)cc1 ZINC000295368302 434327694 /nfs/dbraw/zinc/32/76/94/434327694.db2.gz OHISAYLSTREEPS-UHFFFAOYSA-N 0 1 318.371 3.432 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCC[C@H]3C2)n[nH]1 ZINC000328911778 434328425 /nfs/dbraw/zinc/32/84/25/434328425.db2.gz GTCIHVNFMXKEEA-XDQVBPFNSA-N 0 1 319.453 3.462 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)N[C@@H]2CCC(C)(C)C[C@@H]2C)n[nH]1 ZINC000328949707 434332943 /nfs/dbraw/zinc/33/29/43/434332943.db2.gz WQPXZSFQQDGTRG-SDDRHHMPSA-N 0 1 307.442 3.147 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)CN(C)[C@H]1CCSC1 ZINC000347855198 434277343 /nfs/dbraw/zinc/27/73/43/434277343.db2.gz BUXPNQPPDZOXQR-KRWDZBQOSA-N 0 1 318.486 3.029 20 30 DGEDMN CN1CCC[C@H]1C(=O)Nc1ccc2c(c1)nc1n2CCCCC1 ZINC000329301696 434391483 /nfs/dbraw/zinc/39/14/83/434391483.db2.gz WITRDESRAGFHOB-INIZCTEOSA-N 0 1 312.417 3.445 20 30 DGEDMN Cc1ccc([C@@H]2OCCC[C@@H]2C(=O)N[C@@H](C)c2nnc[nH]2)cc1 ZINC000329231191 434379388 /nfs/dbraw/zinc/37/93/88/434379388.db2.gz FBLXNPSMABHNQY-QEJZJMRPSA-N 0 1 314.389 3.299 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1OC(F)F)c1cccnc1 ZINC000340782411 434354951 /nfs/dbraw/zinc/35/49/51/434354951.db2.gz WMNJGHPAUWEGNX-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@H](CC1CCCCC1)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329618847 434442969 /nfs/dbraw/zinc/44/29/69/434442969.db2.gz QQQGCUFZDAXIOW-TZMCWYRMSA-N 0 1 304.438 3.130 20 30 DGEDMN C[C@H](CC1CCCCC1)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329618844 434445022 /nfs/dbraw/zinc/44/50/22/434445022.db2.gz QQQGCUFZDAXIOW-OCCSQVGLSA-N 0 1 304.438 3.130 20 30 DGEDMN C[C@@H](NCCNC(=O)CC1CCCC1)c1ccc(C#N)cc1F ZINC000358597771 434399477 /nfs/dbraw/zinc/39/94/77/434399477.db2.gz XOKGADIHCQLWJK-CYBMUJFWSA-N 0 1 317.408 3.044 20 30 DGEDMN CC[C@H](CSC)N(C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000358736683 434404364 /nfs/dbraw/zinc/40/43/64/434404364.db2.gz LJDVTHBYJYHLNG-CQSZACIVSA-N 0 1 316.430 3.182 20 30 DGEDMN COCC1(C)CCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000358751204 434405718 /nfs/dbraw/zinc/40/57/18/434405718.db2.gz NEWWFTKIDYHGKU-UHFFFAOYSA-N 0 1 324.371 3.408 20 30 DGEDMN N#CC1(CN2CCC[C@@H](c3nc(C4CCCCC4)n[nH]3)C2)CC1 ZINC000329482719 434419936 /nfs/dbraw/zinc/41/99/36/434419936.db2.gz RQNYZPVJGLAWCQ-OAHLLOKOSA-N 0 1 313.449 3.336 20 30 DGEDMN N#CC1(CN2CCC[C@@H](c3n[nH]c(C4CCCCC4)n3)C2)CC1 ZINC000329482719 434419948 /nfs/dbraw/zinc/41/99/48/434419948.db2.gz RQNYZPVJGLAWCQ-OAHLLOKOSA-N 0 1 313.449 3.336 20 30 DGEDMN Cc1nnc([C@H](C)NC(=O)[C@H]2CCCN2C2CCCC2)s1 ZINC000329516341 434425373 /nfs/dbraw/zinc/42/53/73/434425373.db2.gz MSPZYRWOGSXWMQ-GXFFZTMASA-N 0 1 308.451 3.271 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc(-c2cc3ccccc3o2)n[nH]1 ZINC000287740417 434440409 /nfs/dbraw/zinc/44/04/09/434440409.db2.gz HPBNDLCPDKTCBD-MRXNPFEDSA-N 0 1 309.329 3.096 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CC[C@H](C)C[C@@H]3C)C2)c1 ZINC000329702263 434460819 /nfs/dbraw/zinc/46/08/19/434460819.db2.gz HTJXREBNKAVVQL-ARLBYUKCSA-N 0 1 304.438 3.177 20 30 DGEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CC2(CCC2)c2ccccc21 ZINC000329747362 434472099 /nfs/dbraw/zinc/47/20/99/434472099.db2.gz SIFVWOQVJVMTMF-ZDUSSCGKSA-N 0 1 322.412 3.123 20 30 DGEDMN CC(C)CC[C@@H]1CCCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329750956 434472149 /nfs/dbraw/zinc/47/21/49/434472149.db2.gz VGXZDEQUTOHLPN-CABCVRRESA-N 0 1 318.465 3.472 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000329741715 434472990 /nfs/dbraw/zinc/47/29/90/434472990.db2.gz OVPVIIMMVGFCFN-ZIAGYGMSSA-N 0 1 318.465 3.329 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H]([NH+]=C([O-])N2CC[C@H](n3cccn3)C2)C1 ZINC000329795196 434478063 /nfs/dbraw/zinc/47/80/63/434478063.db2.gz GKRCDANQYDGODF-PMPSAXMXSA-N 0 1 304.438 3.259 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CC[C@@H]2CCC[C@@H]2C1)N1CC[C@H](n2cccn2)C1 ZINC000329776765 434478720 /nfs/dbraw/zinc/47/87/20/434478720.db2.gz OKMOQMMVCUJTKY-JJXSEGSLSA-N 0 1 302.422 3.013 20 30 DGEDMN Cc1nc([C@H](C)NC(=O)N[C@H]2CCCCC23CCCC3)n[nH]1 ZINC000329823956 434485992 /nfs/dbraw/zinc/48/59/92/434485992.db2.gz QMXSGCZADKQVBF-AAEUAGOBSA-N 0 1 305.426 3.181 20 30 DGEDMN O=C(C[C@H]1CCOC1)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000329822122 434488001 /nfs/dbraw/zinc/48/80/01/434488001.db2.gz HHNYFRKCKDXGQW-ABAIWWIYSA-N 0 1 315.373 3.429 20 30 DGEDMN C[C@H](c1cccs1)N(C)C([O-])=[NH+]C[C@H]1CCc2nccn2C1 ZINC000329873370 434495095 /nfs/dbraw/zinc/49/50/95/434495095.db2.gz CCRHEYPCDYGGKM-CHWSQXEVSA-N 0 1 318.446 3.114 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CC[C@H](SC)C1 ZINC000296111347 434512525 /nfs/dbraw/zinc/51/25/25/434512525.db2.gz DYKVBHIOEPKNGT-KGLIPLIRSA-N 0 1 324.515 3.089 20 30 DGEDMN CCN(CC)c1ncc(CNCc2ccc(C#N)c(F)c2)s1 ZINC000404615754 434514558 /nfs/dbraw/zinc/51/45/58/434514558.db2.gz SPZXCODZBBRJFW-UHFFFAOYSA-N 0 1 318.421 3.290 20 30 DGEDMN COc1cc(CN[C@H]2CCOc3c(OC)cccc32)ccc1C#N ZINC000366929881 434532669 /nfs/dbraw/zinc/53/26/69/434532669.db2.gz MZWZVXIXUWFHMA-INIZCTEOSA-N 0 1 324.380 3.189 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)NC12CC3CC(CC(C3)C1)C2 ZINC000330147698 295380329 /nfs/dbraw/zinc/38/03/29/295380329.db2.gz UFJAEQGACOLFGW-CHGJFTSJSA-N 0 1 314.433 3.260 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)[C@@H]1C[C@@]12CCc1ccccc12 ZINC000328965597 295384925 /nfs/dbraw/zinc/38/49/25/295384925.db2.gz SFXMEQZFEMDUJI-FRIZHTMISA-N 0 1 307.397 3.128 20 30 DGEDMN CC(C)CN(Cc1cnn(C)c1)Cc1ccc(F)c(C#N)c1 ZINC000459595816 434625084 /nfs/dbraw/zinc/62/50/84/434625084.db2.gz RDFUTKZGQWFJOG-UHFFFAOYSA-N 0 1 300.381 3.089 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCNC[C@@H]2c2ccccc2)cc1 ZINC000423995792 434599856 /nfs/dbraw/zinc/59/98/56/434599856.db2.gz JSNUFQYQYAFMQH-LJQANCHMSA-N 0 1 322.408 3.038 20 30 DGEDMN CC(C)c1cc(C(=O)N2CCC[C@@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000369817931 434601804 /nfs/dbraw/zinc/60/18/04/434601804.db2.gz RRACTIIZRLSRGT-MAUKXSAKSA-N 0 1 322.412 3.445 20 30 DGEDMN Cc1cccc2nc(CCC(=O)N[C@H]3CC[C@@H](CC#N)C3)[nH]c21 ZINC000452563858 434648387 /nfs/dbraw/zinc/64/83/87/434648387.db2.gz WAPDGIPUDAKIDM-KBPBESRZSA-N 0 1 310.401 3.003 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000410642754 434664191 /nfs/dbraw/zinc/66/41/91/434664191.db2.gz ZAAAXRBFRHLYIX-HZPDHXFCSA-N 0 1 300.446 3.320 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000410642752 434664350 /nfs/dbraw/zinc/66/43/50/434664350.db2.gz ZAAAXRBFRHLYIX-CVEARBPZSA-N 0 1 300.446 3.320 20 30 DGEDMN CC(C)(CC#N)CNCc1cc([N+](=O)[O-])ccc1OC(F)F ZINC000459706201 434669388 /nfs/dbraw/zinc/66/93/88/434669388.db2.gz YUQMQZRMXRRXGF-UHFFFAOYSA-N 0 1 313.304 3.226 20 30 DGEDMN COc1ccccc1CN(CCO)CCC1(C#N)CCCCC1 ZINC000411232464 434750440 /nfs/dbraw/zinc/75/04/40/434750440.db2.gz ZOXRYOVQJHMOQB-UHFFFAOYSA-N 0 1 316.445 3.354 20 30 DGEDMN C=CC(C)(C)CNC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000452775738 434711756 /nfs/dbraw/zinc/71/17/56/434711756.db2.gz PFFXLPOJOVPJKY-UHFFFAOYSA-N 0 1 318.486 3.177 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N[C@H](C)c1ccc(Cl)cc1 ZINC000459785752 434719747 /nfs/dbraw/zinc/71/97/47/434719747.db2.gz KIWYLHDFOXQIEY-IGCXYCKISA-N 0 1 321.852 3.434 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(OC2CCC2)CC1 ZINC000419300573 434768584 /nfs/dbraw/zinc/76/85/84/434768584.db2.gz JINLEECDCRCZJP-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN CC(C)(CC#N)CN[C@@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000419343482 434774895 /nfs/dbraw/zinc/77/48/95/434774895.db2.gz CSFAQPDMIMEGIC-QGZVFWFLSA-N 0 1 313.445 3.270 20 30 DGEDMN C[C@@H]1[C@H](C)CN(CC(=O)Nc2ccccc2SCC#N)[C@H]1C ZINC000419374328 434780434 /nfs/dbraw/zinc/78/04/34/434780434.db2.gz PMUDLGBULARHQT-MCIONIFRSA-N 0 1 317.458 3.217 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCSC[C@H]1C1CCC1 ZINC000419364262 434778201 /nfs/dbraw/zinc/77/82/01/434778201.db2.gz DVWFQEROZVKUKB-INIZCTEOSA-N 0 1 302.443 3.284 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1cccc(C#N)c1 ZINC000411464611 434783821 /nfs/dbraw/zinc/78/38/21/434783821.db2.gz NHNMKPWSDZRYCA-UGSOOPFHSA-N 0 1 323.371 3.153 20 30 DGEDMN C=CCN(CCc1ccco1)[C@@H](C)c1ccc(C(=O)OC)o1 ZINC000411490585 434789141 /nfs/dbraw/zinc/78/91/41/434789141.db2.gz SYKVVEHSQUXHHI-ZDUSSCGKSA-N 0 1 303.358 3.451 20 30 DGEDMN COC(=O)c1cc(CN[C@H](C)c2ccc(C#N)cc2)cs1 ZINC000411448513 434782547 /nfs/dbraw/zinc/78/25/47/434782547.db2.gz LDQVVRMNVSVACM-LLVKDONJSA-N 0 1 300.383 3.257 20 30 DGEDMN CCc1cnccc1[C@H](C)N[C@H](C)C(=O)Nc1ccccc1C#N ZINC000361132064 434805886 /nfs/dbraw/zinc/80/58/86/434805886.db2.gz NEGOKKZENKDDHY-UONOGXRCSA-N 0 1 322.412 3.193 20 30 DGEDMN O[C@H]1C[C@H](c2ccccc2)N(CC#Cc2ccc(Cl)cc2)C1 ZINC000411936645 434846704 /nfs/dbraw/zinc/84/67/04/434846704.db2.gz ITOOQYXQNMRQSU-RBUKOAKNSA-N 0 1 311.812 3.499 20 30 DGEDMN C=CCN(Cc1cccs1)Cc1cccn(C(F)F)c1=O ZINC000637871602 434897958 /nfs/dbraw/zinc/89/79/58/434897958.db2.gz QRBKZVIQSBRWPF-UHFFFAOYSA-N 0 1 310.369 3.493 20 30 DGEDMN C[C@H](CCC#N)C[NH+]1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000637885776 434899753 /nfs/dbraw/zinc/89/97/53/434899753.db2.gz ZQHVDUOATJJJKC-CQSZACIVSA-N 0 1 300.402 3.227 20 30 DGEDMN N#CC(C(=O)c1ncoc1C1CC1)c1ccc(C(F)(F)F)cn1 ZINC000574025913 434943965 /nfs/dbraw/zinc/94/39/65/434943965.db2.gz ZIELYKTZQLNEHZ-JTQLQIEISA-N 0 1 321.258 3.456 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@@H](c2ccccc2)C(C)C)CC1 ZINC000523607810 434955437 /nfs/dbraw/zinc/95/54/37/434955437.db2.gz ZTRMEDPMAQQFPA-LJQANCHMSA-N 0 1 300.446 3.398 20 30 DGEDMN COC(=O)c1cccc(CN(C)[C@H]2CCC(C)(C)C2)c1C#N ZINC000555427298 434973668 /nfs/dbraw/zinc/97/36/68/434973668.db2.gz MTEVAJFWEDTTQW-AWEZNQCLSA-N 0 1 300.402 3.355 20 30 DGEDMN CCc1nc([C@H](C)N[C@H]2CCCCc3cc(C#N)ccc32)n[nH]1 ZINC000555414377 434974175 /nfs/dbraw/zinc/97/41/75/434974175.db2.gz URFFWQWCLPVTAR-LRDDRELGSA-N 0 1 309.417 3.357 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1cccc(F)c1C#N ZINC000573863746 434932066 /nfs/dbraw/zinc/93/20/66/434932066.db2.gz XGMFWGUCDQAWCA-UHFFFAOYSA-N 0 1 319.361 3.198 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC=C(c3c(F)cccc3F)CC2)CC1 ZINC000528453083 435025181 /nfs/dbraw/zinc/02/51/81/435025181.db2.gz QXNAUFINLDMILT-CQSZACIVSA-N 0 1 318.367 3.109 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccccc2C)nc(C)n1 ZINC000528918688 435030457 /nfs/dbraw/zinc/03/04/57/435030457.db2.gz PWWKXIZFMARGTG-DYVFJYSZSA-N 0 1 307.397 3.457 20 30 DGEDMN C[C@H]1[C@@H](C2CC2)[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000528915027 435030510 /nfs/dbraw/zinc/03/05/10/435030510.db2.gz CTHRSLVVVXICFB-FLCCKXIQSA-N 0 1 316.788 3.279 20 30 DGEDMN CO[C@@H](C(=O)[C@H](C#N)c1nc(C)cs1)c1ccc(F)cc1 ZINC000528911258 435030677 /nfs/dbraw/zinc/03/06/77/435030677.db2.gz YNNXWTMBXVBWAV-GXTWGEPZSA-N 0 1 304.346 3.155 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000464728119 434995297 /nfs/dbraw/zinc/99/52/97/434995297.db2.gz XIAFSSVVJWDFSP-YJBOKZPZSA-N 0 1 316.420 3.409 20 30 DGEDMN C=C[C@@H](C)NC(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000464755777 434998200 /nfs/dbraw/zinc/99/82/00/434998200.db2.gz JPXCWOAAZGUSQG-MRXNPFEDSA-N 0 1 323.440 3.242 20 30 DGEDMN CN(Cc1cc(C#N)ccc1Br)[C@@H]1CCCC[C@H]1O ZINC000492821151 435055416 /nfs/dbraw/zinc/05/54/16/435055416.db2.gz YCZCNDHOHWWROY-HUUCEWRRSA-N 0 1 323.234 3.056 20 30 DGEDMN C=CCCN(C)CC(=O)NCCc1cccc(Cl)c1Cl ZINC000467291194 435117262 /nfs/dbraw/zinc/11/72/62/435117262.db2.gz LJWYHWOAVXYWPE-UHFFFAOYSA-N 0 1 315.244 3.160 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)NC1(C#N)CCC(C(C)(C)C)CC1 ZINC000470586796 435134805 /nfs/dbraw/zinc/13/48/05/435134805.db2.gz BDNFNGZNRSZYJU-JOUKPKRSSA-N 0 1 319.493 3.474 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000494687415 435197944 /nfs/dbraw/zinc/19/79/44/435197944.db2.gz CNRKPFIWXHZZHV-DLBZAZTESA-N 0 1 319.424 3.179 20 30 DGEDMN C[C@@H]([N@@H+](C)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O)C(C)(C)C ZINC000580275631 435216868 /nfs/dbraw/zinc/21/68/68/435216868.db2.gz MRSSXNXKTJMCDB-RHSMWYFYSA-N 0 1 313.445 3.420 20 30 DGEDMN CC[C@H](Cc1ccccc1)N(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000533299818 435221951 /nfs/dbraw/zinc/22/19/51/435221951.db2.gz XIDBXWMCUKVJQE-LJQANCHMSA-N 0 1 321.424 3.450 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)[N-]c1ccccc1[NH2+]C1CCCCC1 ZINC000563550904 435267664 /nfs/dbraw/zinc/26/76/64/435267664.db2.gz JBFXLAKXRLCDRM-AWEZNQCLSA-N 0 1 321.446 3.475 20 30 DGEDMN C[C@H]1C[C@@H](CO)CCN1CC#Cc1ccc(C(F)(F)F)cc1 ZINC000564160323 435270951 /nfs/dbraw/zinc/27/09/51/435270951.db2.gz JDAGWAXGRWVWNR-ZFWWWQNUSA-N 0 1 311.347 3.150 20 30 DGEDMN C[C@](C#N)(NC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCCCC1 ZINC000564762963 435273688 /nfs/dbraw/zinc/27/36/88/435273688.db2.gz YOOSBAWJEKQYNN-GOSISDBHSA-N 0 1 323.400 3.064 20 30 DGEDMN C=C(C)C[N@@H+](CCc1ccc(Cl)cc1Cl)CC(=O)[O-] ZINC000566362811 435286352 /nfs/dbraw/zinc/28/63/52/435286352.db2.gz VNBUBUFKTBGSNF-UHFFFAOYSA-N 0 1 302.201 3.499 20 30 DGEDMN CCN(CC[C@H]1CCN(C(=O)OC(C)(C)C)C1)C[C@@H](C)C#N ZINC000497041397 435289606 /nfs/dbraw/zinc/28/96/06/435289606.db2.gz UQYJXPIYLHRIKA-GJZGRUSLSA-N 0 1 309.454 3.115 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000562933870 435263398 /nfs/dbraw/zinc/26/33/98/435263398.db2.gz VYFNATCKZHEWKN-HNNXBMFYSA-N 0 1 310.388 3.397 20 30 DGEDMN N#Cc1cccc(CN[C@@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000535368285 435330655 /nfs/dbraw/zinc/33/06/55/435330655.db2.gz BDKMYIAEKVWVJF-INIZCTEOSA-N 0 1 320.314 3.400 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H](CC(C)C)C2)c1C#N ZINC000535965565 435364201 /nfs/dbraw/zinc/36/42/01/435364201.db2.gz ZUMCEOHKQMZYQQ-AWEZNQCLSA-N 0 1 300.402 3.213 20 30 DGEDMN CC(C)c1nc2c([nH]1)CC[C@@H](C(=O)Nc1cccc(CC#N)c1)C2 ZINC000514916733 435449292 /nfs/dbraw/zinc/44/92/92/435449292.db2.gz IWDJQDKVKZSXSC-CQSZACIVSA-N 0 1 322.412 3.343 20 30 DGEDMN C[C@H](NCc1nc(-c2ccc(F)cc2)n[nH]1)c1ccc(C#N)cc1 ZINC000537303383 435437106 /nfs/dbraw/zinc/43/71/06/435437106.db2.gz IAYCJYJKHICNNF-LBPRGKRZSA-N 0 1 321.359 3.333 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2cc(C)nc(C)n2)nn1[C@H](C)C1CC1 ZINC000538580180 435505934 /nfs/dbraw/zinc/50/59/34/435505934.db2.gz PTGCGUNYHNPEFY-DOMZBBRYSA-N 0 1 323.400 3.059 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)nn1[C@H](C)C1CC1 ZINC000538580180 435505942 /nfs/dbraw/zinc/50/59/42/435505942.db2.gz PTGCGUNYHNPEFY-DOMZBBRYSA-N 0 1 323.400 3.059 20 30 DGEDMN C=CCOc1ccc(CNCc2cnnn2-c2ccccc2)cc1 ZINC000644891228 435536514 /nfs/dbraw/zinc/53/65/14/435536514.db2.gz YSIZMWAHXVJDPA-UHFFFAOYSA-N 0 1 320.396 3.122 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000568898939 435482645 /nfs/dbraw/zinc/48/26/45/435482645.db2.gz LAPMOEDJPKIDOQ-HNNXBMFYSA-N 0 1 300.446 3.324 20 30 DGEDMN C=CCOc1ccccc1CNCc1cccc(C(=O)OC)c1 ZINC000568933098 435483629 /nfs/dbraw/zinc/48/36/29/435483629.db2.gz FDTBTRVISIVTRX-UHFFFAOYSA-N 0 1 311.381 3.328 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](C)[C@H]1c1ccccc1 ZINC000483063845 435492836 /nfs/dbraw/zinc/49/28/36/435492836.db2.gz QNRXOICLBVTTMA-RYRKJORJSA-N 0 1 300.446 3.493 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2ccccc2)[C@@H](CCC)C1 ZINC000538337170 435496003 /nfs/dbraw/zinc/49/60/03/435496003.db2.gz IPZUCDDEVGVEPV-SFHVURJKSA-N 0 1 300.446 3.466 20 30 DGEDMN C[C@H](NCc1nc(-c2ccc(F)cc2)n[nH]1)c1cccc(C#N)c1 ZINC000538406251 435496999 /nfs/dbraw/zinc/49/69/99/435496999.db2.gz VEDKOFLYSNFMOD-LBPRGKRZSA-N 0 1 321.359 3.333 20 30 DGEDMN C=C(C)[C@@H](CO)N(C)Cc1c(C)nn(-c2ccccc2C)c1C ZINC000649255342 435550227 /nfs/dbraw/zinc/55/02/27/435550227.db2.gz SKHHNPKHISRJAZ-LJQANCHMSA-N 0 1 313.445 3.166 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccc(C#N)c(C)c3)CC2)c1 ZINC000486811787 435616628 /nfs/dbraw/zinc/61/66/28/435616628.db2.gz MVHMTGRLEUNIKD-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc3nc(C)n(C)c3c2)n1 ZINC000570259646 435603146 /nfs/dbraw/zinc/60/31/46/435603146.db2.gz LGYGDDWCOYGXEV-GFCCVEGCSA-N 0 1 310.382 3.137 20 30 DGEDMN CN(Cc1ccccc1)Cc1cccc(C(=O)NC(C)(C)C#N)c1 ZINC000541269578 435642045 /nfs/dbraw/zinc/64/20/45/435642045.db2.gz DGOVUZRQBBLKAS-UHFFFAOYSA-N 0 1 321.424 3.351 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N1CCN(C)C[C@@H]1CC ZINC000571020526 435670374 /nfs/dbraw/zinc/67/03/74/435670374.db2.gz GCMJTRXYQHTPST-INIZCTEOSA-N 0 1 301.434 3.363 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(Cc1ccccc1)C(C)C ZINC000489496980 435649148 /nfs/dbraw/zinc/64/91/48/435649148.db2.gz NLJNUBBJZNDBIM-GOSISDBHSA-N 0 1 300.446 3.464 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000490555562 435662597 /nfs/dbraw/zinc/66/25/97/435662597.db2.gz HSAUBVPKPUDNSP-HNNXBMFYSA-N 0 1 324.424 3.297 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2CCC(C)C)CC1 ZINC000490819406 435682644 /nfs/dbraw/zinc/68/26/44/435682644.db2.gz HMAXVJIHRVSVKP-GOSISDBHSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](OC)C1CCCC1 ZINC000660535439 435792122 /nfs/dbraw/zinc/79/21/22/435792122.db2.gz BIKIAQMFKVLXKH-SFHVURJKSA-N 0 1 307.434 3.355 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@H]1c1ccccn1 ZINC000572225784 435798147 /nfs/dbraw/zinc/79/81/47/435798147.db2.gz SVVYILRFLSFIOD-KRWDZBQOSA-N 0 1 323.396 3.178 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cccc(Cl)c3F)no2)CC1 ZINC000491747146 435805556 /nfs/dbraw/zinc/80/55/56/435805556.db2.gz MTTQEJGPFMGALZ-UHFFFAOYSA-N 0 1 319.767 3.342 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC000491781849 435810781 /nfs/dbraw/zinc/81/07/81/435810781.db2.gz KVKCYKPYIVIJMZ-WOJBJXKFSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC[C@@H]1CC[C@@H]([NH2+]Cc2nnc(COc3ccccc3)[n-]2)C1 ZINC000651764622 435820776 /nfs/dbraw/zinc/82/07/76/435820776.db2.gz HFGOOWCPKCPEQS-HUUCEWRRSA-N 0 1 312.417 3.218 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000646788329 435919936 /nfs/dbraw/zinc/91/99/36/435919936.db2.gz VBSOOXCEPOSNNO-WMLDXEAASA-N 0 1 309.413 3.290 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000646788327 435920763 /nfs/dbraw/zinc/92/07/63/435920763.db2.gz VBSOOXCEPOSNNO-PBHICJAKSA-N 0 1 309.413 3.290 20 30 DGEDMN C=C(C)C[N@H+](C)[C@@H](C(=O)[O-])c1ccc(Br)cc1F ZINC000652430519 435977427 /nfs/dbraw/zinc/97/74/27/435977427.db2.gz JOIXCNSJZGWTTJ-GFCCVEGCSA-N 0 1 316.170 3.222 20 30 DGEDMN CCn1nc(C)cc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000647377608 436035761 /nfs/dbraw/zinc/03/57/61/436035761.db2.gz YKTLBNHXGRJHQX-LLVKDONJSA-N 0 1 300.387 3.035 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2ccccc2OCC)C1 ZINC000661378327 436048566 /nfs/dbraw/zinc/04/85/66/436048566.db2.gz CTKHGFHVZCGUNC-OAHLLOKOSA-N 0 1 302.418 3.312 20 30 DGEDMN C=CCCNC(=O)NC[C@@H]1CCC[N@H+](C)[C@@H]1c1cccs1 ZINC000661571306 436109558 /nfs/dbraw/zinc/10/95/58/436109558.db2.gz SJPCIDBUAHJBIZ-ZFWWWQNUSA-N 0 1 307.463 3.006 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000661414018 436058776 /nfs/dbraw/zinc/05/87/76/436058776.db2.gz JDCOIMIXAOBQJD-SNPRPXQTSA-N 0 1 302.422 3.221 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000661414017 436059242 /nfs/dbraw/zinc/05/92/42/436059242.db2.gz JDCOIMIXAOBQJD-BPLDGKMQSA-N 0 1 302.422 3.221 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](c1ccccc1F)C(C)C ZINC000661484539 436082124 /nfs/dbraw/zinc/08/21/24/436082124.db2.gz HQRHZUJWXJKBQR-GOSISDBHSA-N 0 1 304.409 3.313 20 30 DGEDMN C=CCCn1cc(CN[C@@H](C)COc2c(C)cccc2C)nn1 ZINC000657327813 436139159 /nfs/dbraw/zinc/13/91/59/436139159.db2.gz PBOUGCPERPQTMW-INIZCTEOSA-N 0 1 314.433 3.028 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@H](C)[C@H]1O ZINC000661630046 436124501 /nfs/dbraw/zinc/12/45/01/436124501.db2.gz JLLHAYJEMSAJMF-VIKVFOODSA-N 0 1 311.347 3.006 20 30 DGEDMN C=CCN(Cc1ccc(OCC(F)(F)F)nn1)C(C)(C)C ZINC000661758468 436179270 /nfs/dbraw/zinc/17/92/70/436179270.db2.gz SMKKYLZUSJDDDO-UHFFFAOYSA-N 0 1 303.328 3.204 20 30 DGEDMN C=CCC1(NC(=O)Nc2cnn(-c3ccncc3)c2)CCCC1 ZINC000666213813 436176276 /nfs/dbraw/zinc/17/62/76/436176276.db2.gz MHPZBBGUQRQTHA-UHFFFAOYSA-N 0 1 311.389 3.278 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)nn1 ZINC000653503445 436185230 /nfs/dbraw/zinc/18/52/30/436185230.db2.gz HHOCGMGWOQNTTQ-GOSISDBHSA-N 0 1 314.408 3.200 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)nn1 ZINC000653559514 436204018 /nfs/dbraw/zinc/20/40/18/436204018.db2.gz FJPMIROWDMBJEL-APWZRJJASA-N 0 1 310.445 3.480 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@H](OCC)C23CCCCC3)nn1 ZINC000653642534 436232527 /nfs/dbraw/zinc/23/25/27/436232527.db2.gz HWSRMGOZFBJCJF-IRXDYDNUSA-N 0 1 318.465 3.072 20 30 DGEDMN C=CCCNCc1csc(COc2ccc(OC)cc2)n1 ZINC000657582893 436253715 /nfs/dbraw/zinc/25/37/15/436253715.db2.gz LUYIIIKREFEHDM-UHFFFAOYSA-N 0 1 304.415 3.396 20 30 DGEDMN C=CCCn1cc(CN2CCc3ccc(F)cc3[C@H](C)C2)nn1 ZINC000653779857 436265428 /nfs/dbraw/zinc/26/54/28/436265428.db2.gz GPXMMPKOJNXHKB-CQSZACIVSA-N 0 1 314.408 3.155 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccccc1OC(F)F ZINC000662081582 436267679 /nfs/dbraw/zinc/26/76/79/436267679.db2.gz VINLGJBZYIRMEW-BETUJISGSA-N 0 1 322.355 3.410 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CCCS(=O)(=O)c1ccc(C)cc1 ZINC000662090078 436272811 /nfs/dbraw/zinc/27/28/11/436272811.db2.gz IFNNEOPQSUAQQB-CALCHBBNSA-N 0 1 319.470 3.342 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@H](c1cccs1)N(C)C ZINC000663242045 436429095 /nfs/dbraw/zinc/42/90/95/436429095.db2.gz KVGGGFILPUVZHA-CYBMUJFWSA-N 0 1 309.479 3.395 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000664576552 436619122 /nfs/dbraw/zinc/61/91/22/436619122.db2.gz ODABRBBIRJQSBG-DTORHVGOSA-N 0 1 309.295 3.059 20 30 DGEDMN N#Cc1cnn2cc(CN[C@H]3CCc4c3cccc4Cl)cnc12 ZINC000551208446 314553310 /nfs/dbraw/zinc/55/33/10/314553310.db2.gz KOANNWGTFKKTDC-INIZCTEOSA-N 0 1 323.787 3.031 20 30 DGEDMN C[C@H](NCc1ccc2cnn(C)c2c1)c1ccc(C#N)cc1F ZINC000562579668 315032395 /nfs/dbraw/zinc/03/23/95/315032395.db2.gz XPMRLLBTCMSVFT-LBPRGKRZSA-N 0 1 308.360 3.435 20 30 DGEDMN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(C#N)cn2)C1(C)C ZINC000565158584 315235349 /nfs/dbraw/zinc/23/53/49/315235349.db2.gz TWBBRTPJBZSYAM-IRXDYDNUSA-N 0 1 301.434 3.369 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cn1)c1ccc(NC(=O)C2CC2)cc1 ZINC000565162798 315236218 /nfs/dbraw/zinc/23/62/18/315236218.db2.gz ILZAOTAEOHOODC-ZDUSSCGKSA-N 0 1 320.396 3.153 20 30 DGEDMN COC(=O)c1cccc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)c1C#N ZINC000566022988 315305695 /nfs/dbraw/zinc/30/56/95/315305695.db2.gz FPKQQLBVWFYANX-IRXDYDNUSA-N 0 1 312.413 3.355 20 30 DGEDMN Cc1cnc([C@H](C)NCCC(=O)Nc2cccc(C#N)c2)s1 ZINC000185039575 323379345 /nfs/dbraw/zinc/37/93/45/323379345.db2.gz JFSZXHAVVQMBLA-LBPRGKRZSA-N 0 1 314.414 3.003 20 30 DGEDMN N#Cc1c(CN2CC[C@@H](c3cccnc3)C2)cn2ccccc12 ZINC000580559590 324012133 /nfs/dbraw/zinc/01/21/33/324012133.db2.gz PTCKBJPGSKYFPK-MRXNPFEDSA-N 0 1 302.381 3.195 20 30 DGEDMN N#Cc1ccc(CN(C[C@H]2CCCO2)[C@H]2CCSC2)s1 ZINC000580960216 324058050 /nfs/dbraw/zinc/05/80/50/324058050.db2.gz HKQPZLKSRVMUTP-QWHCGFSZSA-N 0 1 308.472 3.106 20 30 DGEDMN N#Cc1ccc(CN(C[C@@H]2CCCO2)[C@H]2CCSC2)s1 ZINC000580960217 324059280 /nfs/dbraw/zinc/05/92/80/324059280.db2.gz HKQPZLKSRVMUTP-STQMWFEESA-N 0 1 308.472 3.106 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cc(O)cc(F)c1 ZINC000582328140 324216715 /nfs/dbraw/zinc/21/67/15/324216715.db2.gz MWARMHAKHSNPBB-UHFFFAOYSA-N 0 1 314.360 3.052 20 30 DGEDMN CC(C)(CCC#N)CNc1ccc(CCN2CCOCC2)cc1 ZINC000080195026 331974830 /nfs/dbraw/zinc/97/48/30/331974830.db2.gz MBBVWMCFPINXGG-UHFFFAOYSA-N 0 1 315.461 3.303 20 30 DGEDMN N#CCCC1CCN(CC(=O)NCCCC2CCCCC2)CC1 ZINC000584289390 332217709 /nfs/dbraw/zinc/21/77/09/332217709.db2.gz ILPXZTXIPODCFT-UHFFFAOYSA-N 0 1 319.493 3.479 20 30 DGEDMN C=C(C)COCCNCc1c(F)cccc1OC(F)(F)F ZINC000560078303 332308818 /nfs/dbraw/zinc/30/88/18/332308818.db2.gz LYRKXXCFFXVKSY-UHFFFAOYSA-N 0 1 307.287 3.407 20 30 DGEDMN C=C(C)CCc1noc([C@H]2CCCN(Cc3cccnc3)C2)n1 ZINC000560456593 332340726 /nfs/dbraw/zinc/34/07/26/332340726.db2.gz NIHLPMKKBHMTQB-INIZCTEOSA-N 0 1 312.417 3.353 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000185031581 335108097 /nfs/dbraw/zinc/10/80/97/335108097.db2.gz WSVXYBSJELVKDB-KRWDZBQOSA-N 0 1 300.446 3.323 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cc2ccccc2o1)C(=O)NC1(C#N)CCC1 ZINC000526061709 333338069 /nfs/dbraw/zinc/33/80/69/333338069.db2.gz IHYBALNGZQIGPT-QWHCGFSZSA-N 0 1 311.385 3.034 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@H](c2ccncc2)C1 ZINC000295689721 335137095 /nfs/dbraw/zinc/13/70/95/335137095.db2.gz DGHLLTGJBKFQCY-FUHWJXTLSA-N 0 1 315.461 3.074 20 30 DGEDMN N#C[C@@H](C(=O)c1sccc1Br)c1ccccn1 ZINC000048540495 334288694 /nfs/dbraw/zinc/28/86/94/334288694.db2.gz GXVUNXGLQHQHHX-MRVPVSSYSA-N 0 1 307.172 3.396 20 30 DGEDMN N#CC(C(=O)c1sccc1Br)c1ccccn1 ZINC000048540495 334288695 /nfs/dbraw/zinc/28/86/95/334288695.db2.gz GXVUNXGLQHQHHX-MRVPVSSYSA-N 0 1 307.172 3.396 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CC(C)C)CC1)c1ccccc1 ZINC000534649756 334317111 /nfs/dbraw/zinc/31/71/11/334317111.db2.gz XFVMMENVCHULGC-KRWDZBQOSA-N 0 1 300.446 3.147 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(Cc1ccc(F)cc1)CC1CC1 ZINC000303643553 334326419 /nfs/dbraw/zinc/32/64/19/334326419.db2.gz IBPJIRDNGAWXLS-UHFFFAOYSA-N 0 1 318.436 3.462 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cccc(COC)c1 ZINC000352808451 336243581 /nfs/dbraw/zinc/24/35/81/336243581.db2.gz YKAZDLUBFNCUJA-UHFFFAOYSA-N 0 1 315.413 3.497 20 30 DGEDMN C=CCSc1ccccc1NC(=O)CN(C)C1CCOCC1 ZINC000532588540 336256678 /nfs/dbraw/zinc/25/66/78/336256678.db2.gz UXTJXBQSUNQKPZ-UHFFFAOYSA-N 0 1 320.458 3.014 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCCCCC1 ZINC000125691162 337413409 /nfs/dbraw/zinc/41/34/09/337413409.db2.gz IGBYSPPYKHYHJO-OAHLLOKOSA-N 0 1 317.408 3.337 20 30 DGEDMN CCC(C#N)(CC)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC000528268941 337799250 /nfs/dbraw/zinc/79/92/50/337799250.db2.gz WKOGXYSCSJATFI-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN C=C(C)CN(CC)C(=O)CSc1nc2ccc(C)cc2[nH]1 ZINC000005356995 338010054 /nfs/dbraw/zinc/01/00/54/338010054.db2.gz KSMLBUAZMOIQBI-UHFFFAOYSA-N 0 1 303.431 3.388 20 30 DGEDMN C=C(C)CN(CCOCC1CCCC1)Cc1ccc(CO)o1 ZINC000459476363 339031459 /nfs/dbraw/zinc/03/14/59/339031459.db2.gz ZIKCXQPSQVPEIZ-UHFFFAOYSA-N 0 1 307.434 3.357 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](c2ccc(F)cc2F)C(C)(C)C)C1=O ZINC000499603919 340040565 /nfs/dbraw/zinc/04/05/65/340040565.db2.gz AXWIGEUSWVHOJM-HOTGVXAUSA-N 0 1 322.399 3.429 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](c2ccccc2Cl)C(C)C)C1=O ZINC000499605264 340040787 /nfs/dbraw/zinc/04/07/87/340040787.db2.gz IXUGGFYVXQEQMS-HOTGVXAUSA-N 0 1 306.837 3.414 20 30 DGEDMN CC[C@@H]1CO[C@@H](C)CN1Cc1cccc(OCCCC#N)c1 ZINC000505641457 340174080 /nfs/dbraw/zinc/17/40/80/340174080.db2.gz VMCKYWOVJKLYDT-DOTOQJQBSA-N 0 1 302.418 3.368 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H](C)N2CCCCCC2)c1 ZINC000510477573 340300655 /nfs/dbraw/zinc/30/06/55/340300655.db2.gz FCYMBHJJTPFZMT-HNNXBMFYSA-N 0 1 302.418 3.454 20 30 DGEDMN COC[C@@H](CNC[C@@H](C#N)CCC#N)c1ccc(Cl)cc1 ZINC000517340522 340468125 /nfs/dbraw/zinc/46/81/25/340468125.db2.gz ZIOXSQJQSPYTSC-UKRRQHHQSA-N 0 1 305.809 3.103 20 30 DGEDMN Fc1ccc(C#CCNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000538233772 340814296 /nfs/dbraw/zinc/81/42/96/340814296.db2.gz ZOLGUAFYLDTECH-UHFFFAOYSA-N 0 1 309.340 3.185 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)c2cccc3ccccc32)CC1 ZINC000067232441 340856127 /nfs/dbraw/zinc/85/61/27/340856127.db2.gz NRVGJBQVVHQCFK-UHFFFAOYSA-N 0 1 306.409 3.148 20 30 DGEDMN N#CCC1CCN([C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000542882602 341025108 /nfs/dbraw/zinc/02/51/08/341025108.db2.gz AYXUGMXOEMBMAC-MRXNPFEDSA-N 0 1 317.820 3.071 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000543698984 341053912 /nfs/dbraw/zinc/05/39/12/341053912.db2.gz HLTDBFXXSDYZKX-WMZOPIPTSA-N 0 1 315.461 3.257 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000079191966 341255186 /nfs/dbraw/zinc/25/51/86/341255186.db2.gz JKXRQSIOYRTQBG-INIZCTEOSA-N 0 1 319.449 3.414 20 30 DGEDMN COCc1ccc(CN2CCC(C#N)(c3ccccn3)CC2)cc1 ZINC000548860460 341278684 /nfs/dbraw/zinc/27/86/84/341278684.db2.gz PARYBCRQOMNMTL-UHFFFAOYSA-N 0 1 321.424 3.285 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(C)C[C@@H]1C(C)C)c1ccccc1 ZINC000245613080 341305556 /nfs/dbraw/zinc/30/55/56/341305556.db2.gz CFERLCYOLMWTHS-FUHWJXTLSA-N 0 1 300.446 3.145 20 30 DGEDMN N#CCC[C@@H](C#N)CNCCn1ccc2cc(Cl)ccc21 ZINC000552017279 341361167 /nfs/dbraw/zinc/36/11/67/341361167.db2.gz QNTTZUBEGLNLNX-ZDUSSCGKSA-N 0 1 300.793 3.328 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N1CCc2sccc2[C@H]1CC ZINC000248262020 341375128 /nfs/dbraw/zinc/37/51/28/341375128.db2.gz WLZYVCQHTQBMEL-OAHLLOKOSA-N 0 1 306.475 3.482 20 30 DGEDMN CC[C@@H](CC#N)NCCCc1nc(-c2ccc(C)c(F)c2)no1 ZINC000565169470 341519095 /nfs/dbraw/zinc/51/90/95/341519095.db2.gz ALUZNUPIHUPFOG-AWEZNQCLSA-N 0 1 316.380 3.399 20 30 DGEDMN CC(C)(C)[C@@H](C#N)NC(=O)c1cccc(C(F)(F)F)c1O ZINC000567247403 341591185 /nfs/dbraw/zinc/59/11/85/341591185.db2.gz GGDTUPVNIGGPIV-SNVBAGLBSA-N 0 1 300.280 3.079 20 30 DGEDMN N#CCC[C@@H](C#N)CNCC1(c2c(F)cccc2F)CCC1 ZINC000568373676 341668753 /nfs/dbraw/zinc/66/87/53/341668753.db2.gz WKQQHFOEQPVGCY-ZDUSSCGKSA-N 0 1 303.356 3.420 20 30 DGEDMN CC(C)[C@@H]1CCCCCN1CC(=O)Nc1sccc1C#N ZINC001657971050 1196196905 /nfs/dbraw/zinc/19/69/05/1196196905.db2.gz CURABJBPQYTJTP-AWEZNQCLSA-N 0 1 305.447 3.459 20 30 DGEDMN N#CC1(CNC[C@@H](O)c2ccccc2Br)CCCC1 ZINC001346325514 1179684972 /nfs/dbraw/zinc/68/49/72/1179684972.db2.gz JBYJXSIALLTBNF-CQSZACIVSA-N 0 1 323.234 3.156 20 30 DGEDMN C[C@H](CCC#N)N1CCN(c2cccc(Br)c2)CC1 ZINC000298644861 533786954 /nfs/dbraw/zinc/78/69/54/533786954.db2.gz WZBOIFYYRIHEFV-CYBMUJFWSA-N 0 1 322.250 3.263 20 30 DGEDMN COc1cc(CN2CCC(C)(C#N)CC2)ccc1OC(F)F ZINC000356445692 533799203 /nfs/dbraw/zinc/79/92/03/533799203.db2.gz KGNVKTHDNCQTPW-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC[C@@H](C)CC)C1 ZINC001494168125 1184822149 /nfs/dbraw/zinc/82/21/49/1184822149.db2.gz YXNPQSDAQPNGAM-DZGCQCFKSA-N 0 1 300.874 3.346 20 30 DGEDMN Cc1ccc(F)cc1CN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000428790386 534159361 /nfs/dbraw/zinc/15/93/61/534159361.db2.gz AZPLBWPQZJDBAR-KRWDZBQOSA-N 0 1 311.360 3.054 20 30 DGEDMN Cc1ccc(-c2csc(C(C#N)C(=O)c3ccnn3C)n2)cc1 ZINC000356679087 534200200 /nfs/dbraw/zinc/20/02/00/534200200.db2.gz IGDIDFKKTMVQBN-CYBMUJFWSA-N 0 1 322.393 3.342 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@H](C)N(CC)C[C@@H]1C)c1ccccc1 ZINC000489590008 534325856 /nfs/dbraw/zinc/32/58/56/534325856.db2.gz WJGWUQMUQOTYCP-BQFCYCMXSA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCc1cc(CNCc2cnc(C)cc2C)cc(OC)c1O ZINC000449736834 534417250 /nfs/dbraw/zinc/41/72/50/534417250.db2.gz WSSDKWAIQZEUNK-UHFFFAOYSA-N 0 1 312.413 3.431 20 30 DGEDMN Cc1oc(NC(=O)CNC(C)(C)c2ccccc2)c(C#N)c1C ZINC000299653692 534546650 /nfs/dbraw/zinc/54/66/50/534546650.db2.gz WCYQUFVUCPYCBI-UHFFFAOYSA-N 0 1 311.385 3.232 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(C)[C@H](C)c1ccccc1OC ZINC000489501851 534558729 /nfs/dbraw/zinc/55/87/29/534558729.db2.gz XKDXLOKENKSTSD-HZPDHXFCSA-N 0 1 316.445 3.255 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(C)[C@@H](C)c1ccccc1OC ZINC000489501850 534693583 /nfs/dbraw/zinc/69/35/83/534693583.db2.gz XKDXLOKENKSTSD-HOTGVXAUSA-N 0 1 316.445 3.255 20 30 DGEDMN N#CCSCCCN1CCN(Cc2cccc(Cl)c2)CC1 ZINC000173855963 534707390 /nfs/dbraw/zinc/70/73/90/534707390.db2.gz DTMSBFUFTZHXHP-UHFFFAOYSA-N 0 1 323.893 3.104 20 30 DGEDMN Cn1c2ccc(CN[C@H](CCC#N)c3ccccc3)cc2oc1=O ZINC000352693610 534709248 /nfs/dbraw/zinc/70/92/48/534709248.db2.gz PKDBJVSWGFLOHD-MRXNPFEDSA-N 0 1 321.380 3.266 20 30 DGEDMN N#CC[C@@H](CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC000355610092 534712753 /nfs/dbraw/zinc/71/27/53/534712753.db2.gz SEMFNGLACRHOIZ-ZDUSSCGKSA-N 0 1 321.340 3.091 20 30 DGEDMN C=CCC[C@@H](CO)NCc1csc(COc2ccccc2)n1 ZINC000449697187 534791629 /nfs/dbraw/zinc/79/16/29/534791629.db2.gz KKPXAIYJWUJQON-AWEZNQCLSA-N 0 1 318.442 3.139 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1)N(C)C ZINC000456117144 534911954 /nfs/dbraw/zinc/91/19/54/534911954.db2.gz QNZIVINSHJBPGV-MRXNPFEDSA-N 0 1 317.433 3.260 20 30 DGEDMN CC#CCCN1CCC[C@H](NC(=O)c2cc3ccccc3o2)C1 ZINC000360487832 526308946 /nfs/dbraw/zinc/30/89/46/526308946.db2.gz ASQSVFNTBOOELR-INIZCTEOSA-N 0 1 310.397 3.040 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cnn(C)c1C(F)(F)F ZINC000352719264 526327101 /nfs/dbraw/zinc/32/71/01/526327101.db2.gz GJBORVVFVGFWLH-UHFFFAOYSA-N 0 1 313.323 3.263 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1ccc2cncn2c1 ZINC000339816780 526341084 /nfs/dbraw/zinc/34/10/84/526341084.db2.gz WDFDIKNVXDPHRL-UHFFFAOYSA-N 0 1 321.380 3.171 20 30 DGEDMN CNC(=O)c1cc(NC(=O)CN2[C@H](C)CC[C@@H]2C)ccc1Cl ZINC000330904545 526407563 /nfs/dbraw/zinc/40/75/63/526407563.db2.gz MCOOEDZLAFMVFA-PHIMTYICSA-N 0 1 323.824 3.086 20 30 DGEDMN C=CCN1CC[C@@H](NC2(c3cccc(C(F)(F)F)c3)CC2)C1=O ZINC000337166269 526483930 /nfs/dbraw/zinc/48/39/30/526483930.db2.gz ZHLMRBURBMOQIJ-CQSZACIVSA-N 0 1 324.346 3.071 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cccc2c[nH]nc21 ZINC000348643305 526559756 /nfs/dbraw/zinc/55/97/56/526559756.db2.gz LTTVFZZTNHMYDB-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429125344 526625675 /nfs/dbraw/zinc/62/56/75/526625675.db2.gz CBSYTHRKELXGPO-DOMZBBRYSA-N 0 1 324.877 3.197 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](C)c1oc2ccc(OC)cc2c1C ZINC000184320398 526627862 /nfs/dbraw/zinc/62/78/62/526627862.db2.gz POGXSCZTGMHKPK-CHWSQXEVSA-N 0 1 316.401 3.091 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2cc(C(C)=O)ccc2O)cc1 ZINC000436863140 526853446 /nfs/dbraw/zinc/85/34/46/526853446.db2.gz SIQWIVMZTOLXGW-UHFFFAOYSA-N 0 1 311.337 3.412 20 30 DGEDMN C=CCOc1ccc(CN(C)[C@H](C)C[S@](C)=O)cc1Cl ZINC000130890407 526864247 /nfs/dbraw/zinc/86/42/47/526864247.db2.gz DGGWAPSGRFCXSU-MPBGBICISA-N 0 1 315.866 3.104 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2ccccc2C(F)(F)F)CC1 ZINC000343522119 526904661 /nfs/dbraw/zinc/90/46/61/526904661.db2.gz GZPKJSFKQDEAFJ-ZDUSSCGKSA-N 0 1 315.335 3.097 20 30 DGEDMN C=C1CCN(Cc2ccc(OC)c3oc(C(=O)OC)cc32)CC1 ZINC000353331202 526904980 /nfs/dbraw/zinc/90/49/80/526904980.db2.gz UHDKFDAREKRJNU-UHFFFAOYSA-N 0 1 315.369 3.380 20 30 DGEDMN C=CC1CCN([C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000343656534 526981491 /nfs/dbraw/zinc/98/14/91/526981491.db2.gz GJUTUUNOGHMLGR-QGZVFWFLSA-N 0 1 302.393 3.219 20 30 DGEDMN CC(C)(CCC#N)CN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000155265406 526985730 /nfs/dbraw/zinc/98/57/30/526985730.db2.gz XCYQAPLSAZZTCW-GOSISDBHSA-N 0 1 310.445 3.199 20 30 DGEDMN C=CCSc1ccccc1C(=O)N1CCN(CC(C)C)CC1 ZINC000362399913 527008859 /nfs/dbraw/zinc/00/88/59/527008859.db2.gz FFQUHRSXEKPWBY-UHFFFAOYSA-N 0 1 318.486 3.379 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000346040598 527043979 /nfs/dbraw/zinc/04/39/79/527043979.db2.gz ALNYGSNHEGQUTC-HZPDHXFCSA-N 0 1 307.413 3.082 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2cccc3c2OCO3)cc1 ZINC000130854633 527116083 /nfs/dbraw/zinc/11/60/83/527116083.db2.gz RGOGQGKBYOGAOP-CQSZACIVSA-N 0 1 309.365 3.278 20 30 DGEDMN C=CCc1cc(CNCc2cnn3ccccc23)cc(OC)c1O ZINC000353035565 527243360 /nfs/dbraw/zinc/24/33/60/527243360.db2.gz OTIMKQPVCWJWKD-UHFFFAOYSA-N 0 1 323.396 3.067 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000343007761 527291019 /nfs/dbraw/zinc/29/10/19/527291019.db2.gz VFNQMIXKVSHDMY-AWEZNQCLSA-N 0 1 321.440 3.431 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H]1CCCc2ccccc21 ZINC000348125397 527332512 /nfs/dbraw/zinc/33/25/12/527332512.db2.gz WDWSHPZPSIOKDF-CYBMUJFWSA-N 0 1 301.415 3.382 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2ccccc2C#N)CC1 ZINC000277682662 527461363 /nfs/dbraw/zinc/46/13/63/527461363.db2.gz KQPRCANYZLGXLH-UHFFFAOYSA-N 0 1 310.445 3.400 20 30 DGEDMN C=CCC[C@H](NCc1c([N+](=O)[O-])ncn1C)c1ccccc1 ZINC000341930426 527485495 /nfs/dbraw/zinc/48/54/95/527485495.db2.gz MSVTYCDGMBPUAN-AWEZNQCLSA-N 0 1 300.362 3.125 20 30 DGEDMN C=CCN(C)Cc1cc(Br)c(OC)c(OCC)c1 ZINC000154761509 527574626 /nfs/dbraw/zinc/57/46/26/527574626.db2.gz CXIJMPKBGPROOK-UHFFFAOYSA-N 0 1 314.223 3.474 20 30 DGEDMN C=C[C@H](CO)N[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000353566609 527594005 /nfs/dbraw/zinc/59/40/05/527594005.db2.gz MPQFNEXJKFXAMA-SMDDNHRTSA-N 0 1 305.809 3.032 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(CC)Cc1ccc(OC)cc1 ZINC000343553479 527624710 /nfs/dbraw/zinc/62/47/10/527624710.db2.gz DRCSLHLVWXURQO-MRXNPFEDSA-N 0 1 316.445 3.106 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)CC1(c2ccccc2)CC1 ZINC000444687796 527625424 /nfs/dbraw/zinc/62/54/24/527625424.db2.gz WONPWPYNHHULTB-QGZVFWFLSA-N 0 1 312.457 3.239 20 30 DGEDMN C=CCN(CC=C)C(=O)c1ccc(CN2CCSCC2)cc1 ZINC000357166689 527639632 /nfs/dbraw/zinc/63/96/32/527639632.db2.gz RLWMPRNODZPUNA-UHFFFAOYSA-N 0 1 316.470 3.050 20 30 DGEDMN CC(C)CC1(CNC([O-])=[NH+][C@@H]2CCc3c[nH]nc3C2)CCCC1 ZINC000329818004 527689324 /nfs/dbraw/zinc/68/93/24/527689324.db2.gz FOIHDYODXJUJFH-OAHLLOKOSA-N 0 1 318.465 3.377 20 30 DGEDMN CC(C)[C@H]1CCCN([C@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000444764994 527779705 /nfs/dbraw/zinc/77/97/05/527779705.db2.gz CZVXLHWITQQENL-GJZGRUSLSA-N 0 1 317.458 3.093 20 30 DGEDMN CCN(C)[C@@H](C(=O)NCC(C)(C)CCC#N)c1ccccc1 ZINC000360411299 527858253 /nfs/dbraw/zinc/85/82/53/527858253.db2.gz OKSJCNSBXIGBMW-MRXNPFEDSA-N 0 1 301.434 3.126 20 30 DGEDMN CC(C)[C@]1(CO)CCCN(Cc2cn3ccccc3c2C#N)C1 ZINC000292992002 527991150 /nfs/dbraw/zinc/99/11/50/527991150.db2.gz IJTLCYWMZLDZJQ-LJQANCHMSA-N 0 1 311.429 3.041 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)N[C@H](C)CC ZINC000297961020 528135705 /nfs/dbraw/zinc/13/57/05/528135705.db2.gz UMIFBDUPYRIHDL-HUUCEWRRSA-N 0 1 301.434 3.073 20 30 DGEDMN CCC[C@H](NCC(=O)N(CC)CCC#N)c1ccc(F)cc1F ZINC000181659059 528216112 /nfs/dbraw/zinc/21/61/12/528216112.db2.gz MLYLCLBBJKLGIT-INIZCTEOSA-N 0 1 323.387 3.158 20 30 DGEDMN CC1CCN(CC(=O)Nc2cccc(OCCCC#N)c2)CC1 ZINC000137455263 528232054 /nfs/dbraw/zinc/23/20/54/528232054.db2.gz IRENACCYEAAIPD-UHFFFAOYSA-N 0 1 315.417 3.040 20 30 DGEDMN CC(C)c1nnc(-c2ccccc2NC(=O)c2ccc(C#N)[nH]2)[nH]1 ZINC000160443468 528241794 /nfs/dbraw/zinc/24/17/94/528241794.db2.gz QFOIDYXSKMAVLX-UHFFFAOYSA-N 0 1 320.356 3.047 20 30 DGEDMN CCOc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1F ZINC000435339459 528360535 /nfs/dbraw/zinc/36/05/35/528360535.db2.gz UEJBWOFLKDFLGM-JXMROGBWSA-N 0 1 313.332 3.048 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000413232483 528380982 /nfs/dbraw/zinc/38/09/82/528380982.db2.gz CFTQKRYDBRJQQU-IHRRRGAJSA-N 0 1 315.417 3.157 20 30 DGEDMN CC(C)C[C@@H]1OCCC[C@@H]1NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000331100706 528415057 /nfs/dbraw/zinc/41/50/57/528415057.db2.gz BPMUEINZBZNPCY-GJZGRUSLSA-N 0 1 322.361 3.199 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1c1ccc(C)cc1 ZINC000339075947 528692869 /nfs/dbraw/zinc/69/28/69/528692869.db2.gz SYBKIDSDHIEPRC-AEFFLSMTSA-N 0 1 313.445 3.140 20 30 DGEDMN CCCn1c(C(O)=C(C#N)c2ccncn2)cc2ccccc21 ZINC000345176227 528810549 /nfs/dbraw/zinc/81/05/49/528810549.db2.gz YQLLQYOHFPSQFL-AWEZNQCLSA-N 0 1 304.353 3.331 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CNC(C)(C)c1cccc(Cl)c1 ZINC000179601065 528850920 /nfs/dbraw/zinc/85/09/20/528850920.db2.gz GVSIMPIABLOWBT-QGZVFWFLSA-N 0 1 321.852 3.219 20 30 DGEDMN CC1(C)CCC[N@H+](CCNC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000330898593 528933857 /nfs/dbraw/zinc/93/38/57/528933857.db2.gz VBQDLIHDGXEOSO-UHFFFAOYSA-N 0 1 312.360 3.097 20 30 DGEDMN CC1(C)CCC[N@@H+](CCNC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000330898593 528933862 /nfs/dbraw/zinc/93/38/62/528933862.db2.gz VBQDLIHDGXEOSO-UHFFFAOYSA-N 0 1 312.360 3.097 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H]1c1cccc(OC)c1 ZINC000054979021 545701013 /nfs/dbraw/zinc/70/10/13/545701013.db2.gz KASQULKNJNGXQP-GOSISDBHSA-N 0 1 316.445 3.257 20 30 DGEDMN Cc1cccc(C)c1OCC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000073973132 545720281 /nfs/dbraw/zinc/72/02/81/545720281.db2.gz GGWOGTHWLADXSL-UHFFFAOYSA-N 0 1 312.329 3.349 20 30 DGEDMN C=CCOCCNCc1cc(Br)ccc1Cl ZINC000303548745 546439858 /nfs/dbraw/zinc/43/98/58/546439858.db2.gz BRJYGOSPOXJXJA-UHFFFAOYSA-N 0 1 304.615 3.395 20 30 DGEDMN C=C1CC[NH+](Cc2ccc(C(=O)[O-])cc2Br)CC1 ZINC000382474455 546740270 /nfs/dbraw/zinc/74/02/70/546740270.db2.gz GPVNCRVJTIAWEY-UHFFFAOYSA-N 0 1 310.191 3.299 20 30 DGEDMN COCC#CCN1CCC(c2nc3ccccc3s2)CC1 ZINC000677476724 548505790 /nfs/dbraw/zinc/50/57/90/548505790.db2.gz CNRVJNLDQBGIFM-UHFFFAOYSA-N 0 1 300.427 3.126 20 30 DGEDMN CCC#C[C@H](C)N1CCC(Oc2c(OC)cccc2OC)CC1 ZINC000677802546 548557999 /nfs/dbraw/zinc/55/79/99/548557999.db2.gz RECLXKDTXILIDW-HNNXBMFYSA-N 0 1 317.429 3.349 20 30 DGEDMN CC(=O)c1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)cc1 ZINC000678763507 548758389 /nfs/dbraw/zinc/75/83/89/548758389.db2.gz AOUOCQCLZKXDLK-CYBMUJFWSA-N 0 1 321.380 3.050 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1ccccc1Nc1ccncc1 ZINC000684850951 549707738 /nfs/dbraw/zinc/70/77/38/549707738.db2.gz WHAHSFFKSGABLY-CQSZACIVSA-N 0 1 311.385 3.167 20 30 DGEDMN COC[C@@H](C)NC(=S)Nc1ccccc1SC[C@H](C)C#N ZINC000730954516 574539675 /nfs/dbraw/zinc/53/96/75/574539675.db2.gz AXTJLLDOOQMZPW-VXGBXAGGSA-N 0 1 323.487 3.260 20 30 DGEDMN Cc1cc(NN=Cc2csc(-c3ccsc3)n2)ncn1 ZINC000731935472 574562800 /nfs/dbraw/zinc/56/28/00/574562800.db2.gz ASPYGMKKKNQSMD-UHFFFAOYSA-N 0 1 301.400 3.416 20 30 DGEDMN Cc1cc(N=NCc2ccc(Br)cc2F)ncn1 ZINC000731935043 574562813 /nfs/dbraw/zinc/56/28/13/574562813.db2.gz OJGXKKBMPQWNIH-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN Cc1cccc(C=NNc2ccccc2S(C)(=O)=O)c1C ZINC000731933611 574562842 /nfs/dbraw/zinc/56/28/42/574562842.db2.gz PLUDKAHQUVZUIF-UHFFFAOYSA-N 0 1 302.399 3.153 20 30 DGEDMN COc1cc(CN=Nc2cc(F)c(F)cc2F)cc2c1OCO2 ZINC000734301861 574655459 /nfs/dbraw/zinc/65/54/59/574655459.db2.gz SFJQFWUFWZJSPU-UHFFFAOYSA-N 0 1 324.258 3.287 20 30 DGEDMN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(O[C@@H](C)C#N)cc1 ZINC000734852095 574679090 /nfs/dbraw/zinc/67/90/90/574679090.db2.gz OTBGLNGVLZBRFI-SCLBCKFNSA-N 0 1 322.412 3.243 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CC3(Cl)Cl)[nH]c21 ZINC000735790581 574715009 /nfs/dbraw/zinc/71/50/09/574715009.db2.gz NMIZOKQRTFQLGY-RKDXNWHRSA-N 0 1 308.168 3.241 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3COc4ccccc43)[nH]c21 ZINC000735793493 574715671 /nfs/dbraw/zinc/71/56/71/574715671.db2.gz UGAGJTPWXPMGLP-KBPBESRZSA-N 0 1 317.348 3.224 20 30 DGEDMN Cc1cccc2[nH]c(C(C#N)C(=O)[C@H]3COc4ccccc43)nc21 ZINC000735793493 574715673 /nfs/dbraw/zinc/71/56/73/574715673.db2.gz UGAGJTPWXPMGLP-KBPBESRZSA-N 0 1 317.348 3.224 20 30 DGEDMN C[C@H](C[N@@H+](C)Cc1ccc(-c2ccccc2C#N)cc1)C(=O)[O-] ZINC000736576840 574759358 /nfs/dbraw/zinc/75/93/58/574759358.db2.gz MYWIRFVLXRIQOV-CQSZACIVSA-N 0 1 308.381 3.378 20 30 DGEDMN CCc1ccc(C[NH2+][C@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC000737265860 574836151 /nfs/dbraw/zinc/83/61/51/574836151.db2.gz VADBUKOBWGRSQQ-HNNXBMFYSA-N 0 1 300.383 3.098 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC2CCC[C@H]2CCC(C)C)o1 ZINC000737467480 574846310 /nfs/dbraw/zinc/84/63/10/574846310.db2.gz QCVDWUROGNFXBL-NSHDSACASA-N 0 1 317.397 3.167 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3F)C2)cc1 ZINC000739106275 574892319 /nfs/dbraw/zinc/89/23/19/574892319.db2.gz RHPACZXDABUVSE-CRAIPNDOSA-N 0 1 324.355 3.313 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(C#N)cc1F)N1CCCCC1 ZINC000741011425 574948230 /nfs/dbraw/zinc/94/82/30/574948230.db2.gz SYUXOJOUJKSSFZ-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(F)cc1C#N)N1[C@H](C)CC[C@@H]1C ZINC000741016957 574948838 /nfs/dbraw/zinc/94/88/38/574948838.db2.gz KJLQQBOKNZGDSB-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(C2CCC2)CC1 ZINC000741695104 574967165 /nfs/dbraw/zinc/96/71/65/574967165.db2.gz AUGOEXDTDUAWGX-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN CCOc1ccc(O)c(CNCc2cc(C#N)ccc2F)c1 ZINC000742381901 574994575 /nfs/dbraw/zinc/99/45/75/574994575.db2.gz REERFHMYHXBOHI-UHFFFAOYSA-N 0 1 300.333 3.091 20 30 DGEDMN CC(C)CN(CCC#N)CCC(=O)Nc1cc(F)cc(F)c1 ZINC000743250946 575039959 /nfs/dbraw/zinc/03/99/59/575039959.db2.gz LYHRIQVSTXOQNN-UHFFFAOYSA-N 0 1 309.360 3.165 20 30 DGEDMN Cc1c(F)cccc1NC(=O)CCN(CCC#N)CC(C)C ZINC000743251243 575040160 /nfs/dbraw/zinc/04/01/60/575040160.db2.gz OXSZHCXDISKEII-UHFFFAOYSA-N 0 1 305.397 3.334 20 30 DGEDMN C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)CC(=O)NCCC#N ZINC000743859511 575066128 /nfs/dbraw/zinc/06/61/28/575066128.db2.gz WYBOQBIBAXNKNW-INIZCTEOSA-N 0 1 321.424 3.376 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC[C@H]3CC[C@@H](C)O3)[nH]c21 ZINC000744159750 575075429 /nfs/dbraw/zinc/07/54/29/575075429.db2.gz DUTBGLLXONOXBV-MGPQQGTHSA-N 0 1 311.385 3.395 20 30 DGEDMN CCC(CC)C(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000586044412 575280933 /nfs/dbraw/zinc/28/09/33/575280933.db2.gz DWZMCWSWZXOKSW-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C#Cc1cccc(NC(=S)NCc2nc3ccc(C)cc3[nH]2)c1 ZINC000745181628 575383991 /nfs/dbraw/zinc/38/39/91/575383991.db2.gz WMGDCOQUEXMCOO-UHFFFAOYSA-N 0 1 320.421 3.339 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000745947999 575423176 /nfs/dbraw/zinc/42/31/76/575423176.db2.gz RRSXUSQJKXFDOL-CQSZACIVSA-N 0 1 302.374 3.169 20 30 DGEDMN C#CCNCC(=O)N[C@H](c1ccc([C@H](C)CC)cc1)C(C)C ZINC000746514204 575457423 /nfs/dbraw/zinc/45/74/23/575457423.db2.gz VMSBNYHUBZMUGY-BEFAXECRSA-N 0 1 300.446 3.236 20 30 DGEDMN CCCn1nc(C)c(CNCc2ccc(OC)c(C#N)c2)c1C ZINC000746742099 575472984 /nfs/dbraw/zinc/47/29/84/575472984.db2.gz OVMKMVVJHCUUFU-UHFFFAOYSA-N 0 1 312.417 3.080 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)CC(C)(C)C ZINC000747020945 575490518 /nfs/dbraw/zinc/49/05/18/575490518.db2.gz KCWAQUHTVSBLQF-STQMWFEESA-N 0 1 301.390 3.191 20 30 DGEDMN Cc1cc(Br)oc1C(=O)Nc1cc(C#N)ccc1O ZINC000748002928 575555767 /nfs/dbraw/zinc/55/57/67/575555767.db2.gz UANXQCTXMFBPDV-UHFFFAOYSA-N 0 1 321.130 3.180 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Cl)nc(Cl)c2)c1 ZINC000748410938 575585045 /nfs/dbraw/zinc/58/50/45/575585045.db2.gz HKWFQSOYQDBUQG-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc2cc(O)ccc2c1 ZINC000748786207 575606907 /nfs/dbraw/zinc/60/69/07/575606907.db2.gz NNLLNQVOGRVXLO-UHFFFAOYSA-N 0 1 311.429 3.345 20 30 DGEDMN C=C(Cl)COC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000748900685 575614167 /nfs/dbraw/zinc/61/41/67/575614167.db2.gz VSIWBSZTCZWUBI-UHFFFAOYSA-N 0 1 303.749 3.258 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=C2CCc3c2c(F)ccc3F)nc1 ZINC000749612146 575669313 /nfs/dbraw/zinc/66/93/13/575669313.db2.gz KJGHUINNLYBCTI-UHFFFAOYSA-N 0 1 304.256 3.030 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000749840726 575682615 /nfs/dbraw/zinc/68/26/15/575682615.db2.gz PSMZEWRPQWSZSI-CQSZACIVSA-N 0 1 310.353 3.458 20 30 DGEDMN C(=NNCc1ccccc1)C1(CN2CCOCC2)CCCCC1 ZINC000751135351 575771646 /nfs/dbraw/zinc/77/16/46/575771646.db2.gz WIVHHZCQPLSGTQ-UHFFFAOYSA-N 0 1 315.461 3.045 20 30 DGEDMN C(=NNCCN1CCCCC1)c1cnc(-c2ccccc2)s1 ZINC000727254903 576115749 /nfs/dbraw/zinc/11/57/49/576115749.db2.gz BYOLZIJVCQCIOS-UHFFFAOYSA-N 0 1 314.458 3.220 20 30 DGEDMN COc1cc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)ccc1O ZINC000727651505 576136226 /nfs/dbraw/zinc/13/62/26/576136226.db2.gz BYXLJDJIPVGXRD-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN COc1cc2c(c(CNCc3ccc(C#N)cc3)c1)O[C@@H](C)C2 ZINC000727839182 576148978 /nfs/dbraw/zinc/14/89/78/576148978.db2.gz YPIGXXVYPZNHRB-ZDUSSCGKSA-N 0 1 308.381 3.180 20 30 DGEDMN C#CCNC(=O)C[N@@H+](Cc1cccc2ccccc21)C1CCCC1 ZINC000728055952 576160809 /nfs/dbraw/zinc/16/08/09/576160809.db2.gz SNENDMDUFKQGOG-UHFFFAOYSA-N 0 1 320.436 3.334 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1/C=C/C(=O)c1ccc(O)c(F)c1 ZINC000728438495 576181724 /nfs/dbraw/zinc/18/17/24/576181724.db2.gz GKPSLHJFBNRBQV-HWKANZROSA-N 0 1 315.131 3.468 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C1CCCCC1 ZINC000916924515 620649625 /nfs/dbraw/zinc/64/96/25/620649625.db2.gz VDRMSKIVNKYJTE-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN C[C@@](C#N)(NC(=O)CN1CCC(C2CCCCC2)CC1)C1CC1 ZINC000917059202 620654146 /nfs/dbraw/zinc/65/41/46/620654146.db2.gz BTZMGQWVAUWUEI-IBGZPJMESA-N 0 1 317.477 3.087 20 30 DGEDMN C#CCCOC(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000917560823 620674573 /nfs/dbraw/zinc/67/45/73/620674573.db2.gz BDLGVEYZZDKSER-JTQLQIEISA-N 0 1 305.765 3.369 20 30 DGEDMN CC(C)(C(=O)[O-])[C@H]1CCC[N@@H+](Cc2ccc(CC#N)cc2)C1 ZINC000398974646 605387886 /nfs/dbraw/zinc/38/78/86/605387886.db2.gz AVXWFQSWYPZHKM-INIZCTEOSA-N 0 1 300.402 3.075 20 30 DGEDMN Cn1ncc(C2CC2)c1C=NNc1cccc(C(F)(F)F)n1 ZINC000853310798 620685752 /nfs/dbraw/zinc/68/57/52/620685752.db2.gz UEHRGXRQHCIUAV-UHFFFAOYSA-N 0 1 309.295 3.157 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2ccc(F)cc2Cl)cc1 ZINC000918185611 620700984 /nfs/dbraw/zinc/70/09/84/620700984.db2.gz STWHWPLGQBTPIR-UHFFFAOYSA-N 0 1 321.783 3.382 20 30 DGEDMN C#CC[C@H]1CCCN(c2nccc3cc(OC)c(OC)cc32)C1 ZINC000853709251 620727554 /nfs/dbraw/zinc/72/75/54/620727554.db2.gz XMESDPVDZBDPDM-AWEZNQCLSA-N 0 1 310.397 3.492 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2C[C@H](O)c2ccco2)cs1 ZINC000892224440 617989413 /nfs/dbraw/zinc/98/94/13/617989413.db2.gz VMTKGTDNWCUEFT-ZFWWWQNUSA-N 0 1 302.399 3.301 20 30 DGEDMN C=CC[C@@H](CO)N[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926152802 617794346 /nfs/dbraw/zinc/79/43/46/617794346.db2.gz KUHWMOCKZFGBQL-SCZZXKLOSA-N 0 1 308.731 3.341 20 30 DGEDMN CC(C)(C)SCCCC(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000155111765 620769065 /nfs/dbraw/zinc/76/90/65/620769065.db2.gz RJSJPNJTSBTBRN-CQSZACIVSA-N 0 1 324.490 3.456 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCCC(=O)c2ccccc2)nc(C)n1 ZINC000892474300 618075325 /nfs/dbraw/zinc/07/53/25/618075325.db2.gz BOKXOENVYGWNRH-MRXNPFEDSA-N 0 1 321.380 3.323 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@]2(C)c2ccccc2)nc(C)n1 ZINC000892474485 618075434 /nfs/dbraw/zinc/07/54/34/618075434.db2.gz DNBSURVBCJWYIQ-TXPKVOOTSA-N 0 1 305.381 3.248 20 30 DGEDMN Cc1sc(Cl)nc1C(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000892474249 618075614 /nfs/dbraw/zinc/07/56/14/618075614.db2.gz AMOONJDVNCGVQM-VIFPVBQESA-N 0 1 306.778 3.002 20 30 DGEDMN N#CC(C(=O)C[C@@H]1C[C@H]1C1CC1)C(=O)Nc1ccccc1Cl ZINC000892489262 618080312 /nfs/dbraw/zinc/08/03/12/618080312.db2.gz GXQIYORIDOYBTA-AVGNSLFASA-N 0 1 316.788 3.424 20 30 DGEDMN Fc1cc(F)c2c(c1)C(=NNc1ccc(Cl)nn1)CCO2 ZINC000920358488 620797455 /nfs/dbraw/zinc/79/74/55/620797455.db2.gz FCOCEQXDZAJUFI-UHFFFAOYSA-N 0 1 310.691 3.007 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cn(CC)nc2C)c1 ZINC000892972280 618373270 /nfs/dbraw/zinc/37/32/70/618373270.db2.gz IDNDNWSBWSFMEL-QGZVFWFLSA-N 0 1 312.417 3.182 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cn(CC)nc2C)c1 ZINC000892972279 618373426 /nfs/dbraw/zinc/37/34/26/618373426.db2.gz IDNDNWSBWSFMEL-KRWDZBQOSA-N 0 1 312.417 3.182 20 30 DGEDMN CCN(CCO)c1ccc(C=NOCCC(F)(F)F)c(C)c1 ZINC000777460805 618541319 /nfs/dbraw/zinc/54/13/19/618541319.db2.gz RKSYOTOGCSULOA-UHFFFAOYSA-N 0 1 318.339 3.117 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)OCCCCC1(C#N)CCC1 ZINC000909485693 618560446 /nfs/dbraw/zinc/56/04/46/618560446.db2.gz CREGYSDNDVAMLB-CZUORRHYSA-N 0 1 315.417 3.483 20 30 DGEDMN Cc1ccn(CN(C)[C@@H]2CCC[C@@H]2C(C)(C)C)c(=O)c1C#N ZINC000841687882 618630566 /nfs/dbraw/zinc/63/05/66/618630566.db2.gz AFMULMAQHPQTEW-JKSUJKDBSA-N 0 1 301.434 3.133 20 30 DGEDMN C=CC[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccncc1 ZINC000788864027 625283517 /nfs/dbraw/zinc/28/35/17/625283517.db2.gz RLYSMXNVXLKSPB-OAHLLOKOSA-N 0 1 310.401 3.121 20 30 DGEDMN C[C@@H](CC#N)N(C)Cc1cc(Br)cc(F)c1O ZINC000894001754 618692352 /nfs/dbraw/zinc/69/23/52/618692352.db2.gz JUNANQJWVVHXJE-QMMMGPOBSA-N 0 1 301.159 3.028 20 30 DGEDMN C=CC[C@@H](NCc1cc(C(=O)OC)c(CC)[nH]1)c1ccncc1 ZINC000894272857 618748264 /nfs/dbraw/zinc/74/82/64/618748264.db2.gz GYJDIGMBDWONNC-QGZVFWFLSA-N 0 1 313.401 3.166 20 30 DGEDMN C#CC1(O)CCN(Cc2ccc(-c3c(F)cccc3F)o2)CC1 ZINC000895382593 618776410 /nfs/dbraw/zinc/77/64/10/618776410.db2.gz KPQDCKLREUHVKM-UHFFFAOYSA-N 0 1 317.335 3.185 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](c2cccc(OC)c2)N(C)C)CC1 ZINC000895462208 618787533 /nfs/dbraw/zinc/78/75/33/618787533.db2.gz UNXANSFTDGMSLG-SFHVURJKSA-N 0 1 316.445 3.161 20 30 DGEDMN N#Cc1cc(CN[C@@H](c2ccncc2)C2(CO)CCC2)cs1 ZINC000895481603 618791413 /nfs/dbraw/zinc/79/14/13/618791413.db2.gz OYHQYVUHEFCSQP-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN N#Cc1c(N[C@H]2CCCN3CCSC[C@@H]23)cccc1C(F)F ZINC000895571111 618799985 /nfs/dbraw/zinc/79/99/85/618799985.db2.gz ZOZBUOOOWRKQQL-GJZGRUSLSA-N 0 1 323.412 3.488 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)[C@H]1CCN1C(C)(C)C ZINC000895644889 618812201 /nfs/dbraw/zinc/81/22/01/618812201.db2.gz HKIZSCUREKSOLF-QGZVFWFLSA-N 0 1 313.445 3.170 20 30 DGEDMN COCC1CN(Cc2cccc(OCc3ccccc3C#N)c2)C1 ZINC000895970038 618856226 /nfs/dbraw/zinc/85/62/26/618856226.db2.gz AALOFPAFJBFGBC-UHFFFAOYSA-N 0 1 322.408 3.215 20 30 DGEDMN COC1(OC)CC2(CN(Cc3ccc(C#N)s3)[C@@H]2C(C)C)C1 ZINC000895988301 618857843 /nfs/dbraw/zinc/85/78/43/618857843.db2.gz BKTXBLUHAZHXLP-OAHLLOKOSA-N 0 1 320.458 3.229 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cc(Br)cc(F)c2O)C1 ZINC000896500601 618921472 /nfs/dbraw/zinc/92/14/72/618921472.db2.gz IDDNLJUKWZRHOB-SECBINFHSA-N 0 1 313.170 3.029 20 30 DGEDMN CC[C@@H](CNCc1cc(C#N)cnc1Cl)C(=O)OC(C)(C)C ZINC000896631488 618937268 /nfs/dbraw/zinc/93/72/68/618937268.db2.gz YFKODXZRTJDZOS-LBPRGKRZSA-N 0 1 323.824 3.064 20 30 DGEDMN CC#CCN(C)Cc1ccc(OC)c(OCc2cccnc2)c1 ZINC000897165997 619023700 /nfs/dbraw/zinc/02/37/00/619023700.db2.gz GIYHWUVTSWYALD-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN N#Cc1ccc([C@H]2CN(CC3CCSCC3)CCO2)cc1 ZINC000897596253 619164823 /nfs/dbraw/zinc/16/48/23/619164823.db2.gz OMDOXECYGXFBFS-QGZVFWFLSA-N 0 1 302.443 3.075 20 30 DGEDMN C[C@@H](CCc1n[nH]c2ccccc12)NCc1nc(C#N)cs1 ZINC000897628239 619169126 /nfs/dbraw/zinc/16/91/26/619169126.db2.gz FFAYKXCRSQLWNO-NSHDSACASA-N 0 1 311.414 3.002 20 30 DGEDMN CC(C)(C)N1CC[C@@H]1C(=O)N[C@@H](CCCC#N)c1ccccc1 ZINC000897840170 619187307 /nfs/dbraw/zinc/18/73/07/619187307.db2.gz AJPFWPGTAVZIEH-DLBZAZTESA-N 0 1 313.445 3.411 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2ccc(Nc3ccncc3)cc2)C1 ZINC000898298936 619234815 /nfs/dbraw/zinc/23/48/15/619234815.db2.gz ZVLSNMUIMHASQE-UHFFFAOYSA-N 0 1 306.369 3.125 20 30 DGEDMN CC[C@@H]([NH2+]C1CCN(CC(C)C)CC1)c1cccc(C#N)c1[O-] ZINC000924628509 619248573 /nfs/dbraw/zinc/24/85/73/619248573.db2.gz JFYGIBBAWRQXCB-GOSISDBHSA-N 0 1 315.461 3.425 20 30 DGEDMN CC[C@H]([NH2+]C1CCN(CC(C)C)CC1)c1cccc(C#N)c1[O-] ZINC000924628512 619248594 /nfs/dbraw/zinc/24/85/94/619248594.db2.gz JFYGIBBAWRQXCB-SFHVURJKSA-N 0 1 315.461 3.425 20 30 DGEDMN C=C1CC(C)(C(=O)NCc2ccc(Nc3ccncc3)cc2)C1 ZINC000899022622 619307756 /nfs/dbraw/zinc/30/77/56/619307756.db2.gz CZYXLPJUTSIRJT-UHFFFAOYSA-N 0 1 307.397 3.220 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CCOC1CCOCC1 ZINC000899227399 619319910 /nfs/dbraw/zinc/31/99/10/619319910.db2.gz KRYITXUWQBHYDU-MFOYZWKCSA-N 0 1 318.200 3.314 20 30 DGEDMN C#CCCc1cc(=O)n(-c2ccc(C#N)cc2C(F)(F)F)[nH]1 ZINC000899352314 619327869 /nfs/dbraw/zinc/32/78/69/619327869.db2.gz FKRABLGOUYJEIE-UHFFFAOYSA-N 0 1 305.259 3.083 20 30 DGEDMN FC(F)(F)Oc1ccccc1N=NC1CCCc2c[nH]nc21 ZINC000789051013 625339721 /nfs/dbraw/zinc/33/97/21/625339721.db2.gz PJOJTWDEESCNLH-UHFFFAOYSA-N 0 1 310.279 3.461 20 30 DGEDMN CN(Cc1cn(CCCCC2(C#N)CCC2)nn1)C(C)(C)C ZINC000900613023 619440199 /nfs/dbraw/zinc/44/01/99/619440199.db2.gz QWYXDKXPNNNPTR-UHFFFAOYSA-N 0 1 303.454 3.373 20 30 DGEDMN Cc1oc(C(C)(C)C)cc1C=N[N-]c1[nH+]cnc2c1cnn2C ZINC000901167980 619476319 /nfs/dbraw/zinc/47/63/19/619476319.db2.gz XLCXGZVBFRFMOD-UHFFFAOYSA-N 0 1 312.377 3.008 20 30 DGEDMN Cc1oc(C(C)(C)C)cc1C=NNc1ncnc2c1cnn2C ZINC000901167980 619476322 /nfs/dbraw/zinc/47/63/22/619476322.db2.gz XLCXGZVBFRFMOD-UHFFFAOYSA-N 0 1 312.377 3.008 20 30 DGEDMN Cc1cc(N=NCc2csc(C(F)(F)F)n2)nc(C)n1 ZINC000901170058 619476416 /nfs/dbraw/zinc/47/64/16/619476416.db2.gz SFIHDVOFCIXXNJ-UHFFFAOYSA-N 0 1 301.297 3.015 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2ccnn2C2CCC2)n1 ZINC000901195656 619476974 /nfs/dbraw/zinc/47/69/74/619476974.db2.gz HQZIDCOTBHDJRM-UHFFFAOYSA-N 0 1 309.295 3.468 20 30 DGEDMN Cc1cc(N=NC(C)c2csc(C(F)(F)F)c2)nc(N)n1 ZINC000901271572 619482760 /nfs/dbraw/zinc/48/27/60/619482760.db2.gz OJURWEWQBABBTK-UHFFFAOYSA-N 0 1 315.324 3.284 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2c3c(nn2C)CCSC3)c(O)c1 ZINC000901483010 619504167 /nfs/dbraw/zinc/50/41/67/619504167.db2.gz NEJGUPXHVRQOGA-AATRIKPKSA-N 0 1 314.410 3.120 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@H](CCC(=O)[O-])C2)cc1 ZINC000901552566 619518862 /nfs/dbraw/zinc/51/88/62/619518862.db2.gz CLEOMPJCUTWIAE-OAHLLOKOSA-N 0 1 303.402 3.328 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000901587686 619519100 /nfs/dbraw/zinc/51/91/00/619519100.db2.gz ZQIRALODIIKLQA-UHFFFAOYSA-N 0 1 307.699 3.431 20 30 DGEDMN O=c1[nH]ccc2cc(NN=Cc3cccc(Cl)c3O)ccc12 ZINC000789078098 625355110 /nfs/dbraw/zinc/35/51/10/625355110.db2.gz YBTZUUZKIUSYBA-UHFFFAOYSA-N 0 1 313.744 3.333 20 30 DGEDMN C=CCCCN(CC)CN1C(=O)C(C)(C)[C@H]1c1ccncc1 ZINC000902668704 619662877 /nfs/dbraw/zinc/66/28/77/619662877.db2.gz DONJOEQUQOWMQL-MRXNPFEDSA-N 0 1 301.434 3.237 20 30 DGEDMN C[C@H](NC[C@H](c1ccco1)N1CCCCC1)c1cc(C#N)ccn1 ZINC000902723350 619665751 /nfs/dbraw/zinc/66/57/51/619665751.db2.gz NJPJKFBSJKEGAF-MAUKXSAKSA-N 0 1 324.428 3.424 20 30 DGEDMN CC[C@H](N[C@H](CO)c1ccccc1OC)c1cccc(C#N)c1 ZINC000902869216 619684419 /nfs/dbraw/zinc/68/44/19/619684419.db2.gz ULWUYSINJDJPTC-ZWKOTPCHSA-N 0 1 310.397 3.341 20 30 DGEDMN C[C@H](NCc1cccc(OCC(F)F)c1)c1cc(C#N)ccn1 ZINC000902857600 619685864 /nfs/dbraw/zinc/68/58/64/619685864.db2.gz TUBYGNNURYDFFM-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN COc1ccc([C@H](N[C@@H](C)c2cc(C#N)ccn2)C2CC2)cn1 ZINC000903074803 619711229 /nfs/dbraw/zinc/71/12/29/619711229.db2.gz YEYJMRXUSVMCHK-KPZWWZAWSA-N 0 1 308.385 3.159 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@@H](NCC(C)(C)C#N)c2ccccc21 ZINC000903101255 619716680 /nfs/dbraw/zinc/71/66/80/619716680.db2.gz DJSATFMORAEWOI-HZPDHXFCSA-N 0 1 300.402 3.308 20 30 DGEDMN CCOC(=O)[C@H]1CC[C@@H](NCC(C)(C)C#N)c2ccccc21 ZINC000903101257 619716687 /nfs/dbraw/zinc/71/66/87/619716687.db2.gz DJSATFMORAEWOI-JKSUJKDBSA-N 0 1 300.402 3.308 20 30 DGEDMN C[C@@H](NCC1(C#N)CCCC1)c1ccc(N2CCOC2=O)cc1 ZINC000903108088 619717959 /nfs/dbraw/zinc/71/79/59/619717959.db2.gz MAZIJNNQPAQQEF-CQSZACIVSA-N 0 1 313.401 3.378 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2occ(C(F)(F)F)c2C(=O)[O-])C1 ZINC000903906915 619832595 /nfs/dbraw/zinc/83/25/95/619832595.db2.gz NFNRFDAZIMMHAB-SNVBAGLBSA-N 0 1 315.291 3.232 20 30 DGEDMN Cc1nn(C)c(Cl)c1/C=C/C(=O)c1cccc(CN(C)C)c1 ZINC000905077795 619967575 /nfs/dbraw/zinc/96/75/75/619967575.db2.gz FQQGQRHKNCYLLB-CMDGGOBGSA-N 0 1 317.820 3.340 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2ccnn2CC2CC2)c1 ZINC000905077818 619968103 /nfs/dbraw/zinc/96/81/03/619968103.db2.gz GGRLIWZZKBZGJA-HJWRWDBZSA-N 0 1 309.413 3.251 20 30 DGEDMN Cn1cc(C=NNc2nc3ccccc3[nH]2)c(C2CCCC2)n1 ZINC000905420068 619996555 /nfs/dbraw/zinc/99/65/55/619996555.db2.gz QJHFLKJIUHVSPR-UHFFFAOYSA-N 0 1 308.389 3.400 20 30 DGEDMN Cc1nn(C)c(C=NNc2cc(C(F)(F)F)ccn2)c1Cl ZINC000905476414 619999980 /nfs/dbraw/zinc/99/99/80/619999980.db2.gz HCTXFWQYFGLGJE-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN N#CCC[C@H](C#N)CNCCc1sccc1Br ZINC000905790055 620029123 /nfs/dbraw/zinc/02/91/23/620029123.db2.gz VCSFSJNQDDAKSI-SNVBAGLBSA-N 0 1 312.236 3.086 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)N(c1cccc(C#N)c1)C1CCC1 ZINC000906042187 620053205 /nfs/dbraw/zinc/05/32/05/620053205.db2.gz BDEJTAFCAVKZLP-GOSISDBHSA-N 0 1 311.429 3.317 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1 ZINC000906240947 620078538 /nfs/dbraw/zinc/07/85/38/620078538.db2.gz PKACXPLBMVTTPA-GFCCVEGCSA-N 0 1 321.384 3.180 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000907266064 620147957 /nfs/dbraw/zinc/14/79/57/620147957.db2.gz VRVLQEVVFSLGJB-JKDFXYPNSA-N 0 1 324.384 3.005 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@H](c2[nH]ncc2N)C1)c1ccccc1 ZINC000907420455 620156570 /nfs/dbraw/zinc/15/65/70/620156570.db2.gz UMRXWAMGNVIDJK-HOTGVXAUSA-N 0 1 324.428 3.058 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1cc(CCCCC)[nH]n1 ZINC000908667075 620217129 /nfs/dbraw/zinc/21/71/29/620217129.db2.gz WRDVBUSLQMNINS-MRXNPFEDSA-N 0 1 317.433 3.282 20 30 DGEDMN C=CCC1(NC(=O)c2cccc3nc(CO)[nH]c32)CCCCC1 ZINC000909220681 620246380 /nfs/dbraw/zinc/24/63/80/620246380.db2.gz DCJJBYFLDQUOGO-UHFFFAOYSA-N 0 1 313.401 3.064 20 30 DGEDMN COc1ccc(CN=Nc2nc3cc(F)c(F)cc3[nH]2)cc1O ZINC000912825397 620408345 /nfs/dbraw/zinc/40/83/45/620408345.db2.gz XNFHXQFLPQEZPE-UHFFFAOYSA-N 0 1 318.283 3.001 20 30 DGEDMN CC#CCCCC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000913510636 620446577 /nfs/dbraw/zinc/44/65/77/620446577.db2.gz GEKUGSMGGGJHEH-LJQANCHMSA-N 0 1 307.397 3.077 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@@H]1CC[C@@H](C)C1 ZINC000151616024 620466321 /nfs/dbraw/zinc/46/63/21/620466321.db2.gz XXRGDWWMNWRZDI-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN C=CCCCC(=O)N1CCc2nc[nH]c2[C@H]1c1ccc(F)cc1 ZINC000914032701 620500708 /nfs/dbraw/zinc/50/07/08/620500708.db2.gz ILSZKFXPTLWWJJ-GOSISDBHSA-N 0 1 313.376 3.379 20 30 DGEDMN C=C(C)CN1CCN(Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC000914576014 620542858 /nfs/dbraw/zinc/54/28/58/620542858.db2.gz OSERRHLHXSZTII-UHFFFAOYSA-N 0 1 314.351 3.279 20 30 DGEDMN Cc1nc(CN=Nc2nncc3ccccc32)c2ccccn12 ZINC000914601375 620544824 /nfs/dbraw/zinc/54/48/24/620544824.db2.gz NZJAXMHLIPHSLG-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN Fc1ccc(C(C[C@@H]2CCCO2)=NNc2ccncn2)cc1 ZINC000835000814 620549570 /nfs/dbraw/zinc/54/95/70/620549570.db2.gz HKTILIJLKDONSB-AWEZNQCLSA-N 0 1 300.337 3.001 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccnn1C1CCC1 ZINC000925421569 620565838 /nfs/dbraw/zinc/56/58/38/620565838.db2.gz PZZSDMSKKCLOSP-HNNXBMFYSA-N 0 1 309.413 3.471 20 30 DGEDMN N#CC(C(=O)CSCc1ccc(F)cc1)c1ccccn1 ZINC000915098792 620567403 /nfs/dbraw/zinc/56/74/03/620567403.db2.gz DFGGVACOYJHXNP-CQSZACIVSA-N 0 1 300.358 3.330 20 30 DGEDMN COCCOc1ccc(C(C)=NN=c2[nH]c3ccccc3[nH]2)cc1 ZINC000915937599 620608820 /nfs/dbraw/zinc/60/88/20/620608820.db2.gz RFFOCFPEOSOLCV-UHFFFAOYSA-N 0 1 324.384 3.424 20 30 DGEDMN Oc1ccc2c(c1)OCC2=NNc1ccc(C(F)(F)F)cn1 ZINC000915937821 620608947 /nfs/dbraw/zinc/60/89/47/620608947.db2.gz VGMHAFNNMXXIOY-UHFFFAOYSA-N 0 1 309.247 3.015 20 30 DGEDMN COc1ccc(C(C)=NNc2nc3ccccc3n2C)c(O)c1 ZINC000915967134 620609083 /nfs/dbraw/zinc/60/90/83/620609083.db2.gz CCRPAAFZPKTMQA-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN Cc1cc(N=NCc2ccc(Br)cc2F)nc(C)n1 ZINC000915967556 620609285 /nfs/dbraw/zinc/60/92/85/620609285.db2.gz GECPTTOXHPRJKX-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN COc1ccc(C=NNCCN2CCCCC2)c2ccccc12 ZINC000915974935 620610222 /nfs/dbraw/zinc/61/02/22/620610222.db2.gz MJPJZYSQRUVQEB-UHFFFAOYSA-N 0 1 311.429 3.258 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNc1cccc3ncccc31)O2 ZINC000915964004 620611386 /nfs/dbraw/zinc/61/13/86/620611386.db2.gz QMVMJURZBQQBRE-UHFFFAOYSA-N 0 1 319.320 3.250 20 30 DGEDMN COc1cc(C=NNc2ccccc2Cl)cc([N+](=O)[O-])c1O ZINC000916262214 620623019 /nfs/dbraw/zinc/62/30/19/620623019.db2.gz CTAYPIPUDLFQQR-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN O=[N+]([O-])c1cc(C(F)(F)F)ccc1NN=Cc1cccnc1 ZINC000916285731 620624586 /nfs/dbraw/zinc/62/45/86/620624586.db2.gz CYFFDFNOTYGAJV-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN Cc1ccc(C)c(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1 ZINC000916305600 620625228 /nfs/dbraw/zinc/62/52/28/620625228.db2.gz XNNAPYCARHLVGA-UHFFFAOYSA-N 0 1 300.309 3.085 20 30 DGEDMN N#Cc1cc(CNCc2ccc(N3CCCC3=O)cc2)cs1 ZINC000921503278 620875787 /nfs/dbraw/zinc/87/57/87/620875787.db2.gz FSHSPIHDSKGPLU-UHFFFAOYSA-N 0 1 311.410 3.036 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1nc2cc(F)ccc2n1C ZINC000921753565 620945608 /nfs/dbraw/zinc/94/56/08/620945608.db2.gz DWCUJKAYHIQKLX-UHFFFAOYSA-N 0 1 322.387 3.491 20 30 DGEDMN C=CCCONC(=O)[C@H]1CCc2cc(Br)ccc21 ZINC000856027732 620954552 /nfs/dbraw/zinc/95/45/52/620954552.db2.gz YICRIKNPYGTPBT-ZDUSSCGKSA-N 0 1 310.191 3.103 20 30 DGEDMN N#Cc1cc(CN[C@@H]2CCCN(C3CCCCC3)C2=O)cs1 ZINC000922036921 620982794 /nfs/dbraw/zinc/98/27/94/620982794.db2.gz VMKLOHOPVWZUDE-MRXNPFEDSA-N 0 1 317.458 3.033 20 30 DGEDMN Cn1cc(CNCc2ccc(C#N)c(F)c2)c(C2CCCC2)n1 ZINC000922167458 620999419 /nfs/dbraw/zinc/99/94/19/620999419.db2.gz AJXMFELSWSMODO-UHFFFAOYSA-N 0 1 312.392 3.378 20 30 DGEDMN N#CC1CCC(COC(=O)[C@@H]2CCCN2C2CCCC2)CC1 ZINC000922672691 621078223 /nfs/dbraw/zinc/07/82/23/621078223.db2.gz CEOIGFGYIPNEGJ-DQPZFDDXSA-N 0 1 304.434 3.267 20 30 DGEDMN Cc1ccc(CNCc2cnc([C@H]3CCCO3)s2)cc1C#N ZINC000922758632 621095501 /nfs/dbraw/zinc/09/55/01/621095501.db2.gz FFTHHWDNUIGBDR-MRXNPFEDSA-N 0 1 313.426 3.465 20 30 DGEDMN C=C(C)COc1cc(CNCc2cncc(O)c2)ccc1OC ZINC000922977779 621142826 /nfs/dbraw/zinc/14/28/26/621142826.db2.gz ZZWSQCJCNGUOTP-UHFFFAOYSA-N 0 1 314.385 3.041 20 30 DGEDMN C#CCOc1ccccc1CN1CC[C@@H](Oc2ccc(C)cn2)C1 ZINC000858010931 621143815 /nfs/dbraw/zinc/14/38/15/621143815.db2.gz IEFAUBRWRWYTQY-GOSISDBHSA-N 0 1 322.408 3.055 20 30 DGEDMN C=CCCCCC[C@@H](C)NC(c1ccnn1C)c1ccnn1C ZINC000858585561 621207085 /nfs/dbraw/zinc/20/70/85/621207085.db2.gz KLFIZXWDHJPSNR-OAHLLOKOSA-N 0 1 315.465 3.358 20 30 DGEDMN C[C@H](CC#N)N(C)CC[Si](C)(C)CCN(C)[C@H](C)CC#N ZINC000859163349 621256200 /nfs/dbraw/zinc/25/62/00/621256200.db2.gz IROJGTYIHAJQQY-HZPDHXFCSA-N 0 1 308.546 3.163 20 30 DGEDMN C=CCCCCCCNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000838592952 621266183 /nfs/dbraw/zinc/26/61/83/621266183.db2.gz YCUQPCDRILWXJY-UHFFFAOYSA-N 0 1 307.442 3.038 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C1CCC1 ZINC000838788253 621280943 /nfs/dbraw/zinc/28/09/43/621280943.db2.gz SMKZNZURMKKVKI-CMPLNLGQSA-N 0 1 304.777 3.424 20 30 DGEDMN Cc1ccc(COC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1C#N ZINC000859566243 621292088 /nfs/dbraw/zinc/29/20/88/621292088.db2.gz GFQVHMPYJBPVAN-QLFBSQMISA-N 0 1 300.402 3.171 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H](C)C1CCC1)c1ccccc1 ZINC000838866774 621293097 /nfs/dbraw/zinc/29/30/97/621293097.db2.gz RYOCPKNRUFHGNH-XYPHTWIQSA-N 0 1 312.413 3.399 20 30 DGEDMN C=CCN1CC[C@H](C(F)(F)F)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000859602681 621297899 /nfs/dbraw/zinc/29/78/99/621297899.db2.gz CLBALVUWMRCQKA-RYUDHWBXSA-N 0 1 322.371 3.198 20 30 DGEDMN C=C(C)[C@@H](NCCC(=O)N1CCCC1)c1ccc(F)c(F)c1 ZINC000838936574 621305865 /nfs/dbraw/zinc/30/58/65/621305865.db2.gz RFOJTJGFBMCKQK-QGZVFWFLSA-N 0 1 308.372 3.184 20 30 DGEDMN CN1CCc2cc(C=[NH+][N-]c3ncnc4ccsc43)ccc21 ZINC000752735579 621406899 /nfs/dbraw/zinc/40/68/99/621406899.db2.gz PWVHFMLZVGIGLX-UHFFFAOYSA-N 0 1 309.398 3.130 20 30 DGEDMN CSCCCCCCC(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000860467564 621408566 /nfs/dbraw/zinc/40/85/66/621408566.db2.gz CVNHBNNLWZOLAI-OAHLLOKOSA-N 0 1 324.490 3.458 20 30 DGEDMN N#CC(C(=O)C12CC(C1)C2)c1nc2ccccc2n1C(F)F ZINC000860478092 621409346 /nfs/dbraw/zinc/40/93/46/621409346.db2.gz PVLYZOMXZDQFCY-IAZHFHGHSA-N 0 1 301.296 3.408 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000860533923 621415503 /nfs/dbraw/zinc/41/55/03/621415503.db2.gz ZHVQRLMDTXKMIO-XHDPSFHLSA-N 0 1 318.373 3.427 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=C2CC[C@H](C)C2)n1 ZINC000753806350 621466260 /nfs/dbraw/zinc/46/62/60/621466260.db2.gz WKYJCQHQKFAMNS-SGVWJNLRSA-N 0 1 318.398 3.242 20 30 DGEDMN FC(F)(F)CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccccc1 ZINC000753928132 621472285 /nfs/dbraw/zinc/47/22/85/621472285.db2.gz IPLDMUBSXPTKQK-CHWSQXEVSA-N 0 1 324.350 3.203 20 30 DGEDMN C=CCc1cc(CN2CCOC(C)(C)[C@H]2C)c(O)c(OC)c1 ZINC000754742136 621529949 /nfs/dbraw/zinc/52/99/49/621529949.db2.gz ZFNIHOOOSVQJHC-CYBMUJFWSA-N 0 1 305.418 3.129 20 30 DGEDMN Cc1cc(CN=Nc2ccnc(Br)c2)c(C)n1C ZINC000754973318 621543914 /nfs/dbraw/zinc/54/39/14/621543914.db2.gz KNXYMPLSOFFPDX-UHFFFAOYSA-N 0 1 307.195 3.245 20 30 DGEDMN CCCCCCCCCC(=O)N1CCC(N2CCOCC2)CC1 ZINC000862215385 621562470 /nfs/dbraw/zinc/56/24/70/621562470.db2.gz MUBUSLJPCYRVIF-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)C=Cc1cn(C)nc1C ZINC000755585530 621579043 /nfs/dbraw/zinc/57/90/43/621579043.db2.gz CKQLPGVQROYWRS-MDZDMXLPSA-N 0 1 306.369 3.323 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@H]1C[C@H]1c1ccccc1 ZINC000755616700 621581247 /nfs/dbraw/zinc/58/12/47/621581247.db2.gz FUZJPHVSGOEMFQ-HIFRSBDPSA-N 0 1 321.405 3.323 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc3c(c(Br)c2)OCO3)C1 ZINC000862443293 621591196 /nfs/dbraw/zinc/59/11/96/621591196.db2.gz NXXPCHIFJDOIOA-LLVKDONJSA-N 0 1 322.202 3.023 20 30 DGEDMN Cc1ccnc(NN=C2c3cccc(C(F)(F)F)c3NC2=O)c1 ZINC000755902742 621601372 /nfs/dbraw/zinc/60/13/72/621601372.db2.gz NNOJLHWDDPUOID-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN COc1cccc(C=NNc2cc(C)ccn2)c1OC(F)F ZINC000755906881 621602008 /nfs/dbraw/zinc/60/20/08/621602008.db2.gz SNAAGZMWPLOSEI-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)CCc1c[nH]c2ccc(C)cc12 ZINC000756556233 621647815 /nfs/dbraw/zinc/64/78/15/621647815.db2.gz TUEVGMHFQWEMGG-KRWDZBQOSA-N 0 1 324.424 3.050 20 30 DGEDMN COc1ccc2[nH]cc(C=NNc3ccncc3Cl)c2c1 ZINC000756838037 621666979 /nfs/dbraw/zinc/66/69/79/621666979.db2.gz HIPMHRXIIFKBFW-UHFFFAOYSA-N 0 1 300.749 3.093 20 30 DGEDMN CCCCCCCCCN(C)CN1C[C@]2(CCOC2)CC1=O ZINC000756844904 621667516 /nfs/dbraw/zinc/66/75/16/621667516.db2.gz DBLPHELWLOWXAT-SFHVURJKSA-N 0 1 310.482 3.265 20 30 DGEDMN CCOc1ccc(O)c(/C=N\[C@H]2CN(C)Cc3ccccc32)c1 ZINC000756846837 621668283 /nfs/dbraw/zinc/66/82/83/621668283.db2.gz OKUHFCJJFFZHHT-ISRVBRPNSA-N 0 1 310.397 3.397 20 30 DGEDMN Brc1ccc(C=NN=c2[nH]c3ccccc3[nH]2)cn1 ZINC000756871366 621669687 /nfs/dbraw/zinc/66/96/87/621669687.db2.gz SLDPDKKSSFAVBJ-UHFFFAOYSA-N 0 1 316.162 3.166 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@H]2COCC[C@H]21 ZINC000756902431 621671330 /nfs/dbraw/zinc/67/13/30/621671330.db2.gz SLCCVXSVWTZVHF-XJKSGUPXSA-N 0 1 320.820 3.243 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@@H]2CCCC[C@H]21)C1CCCC1 ZINC000756910912 621672403 /nfs/dbraw/zinc/67/24/03/621672403.db2.gz DWQNJFCKKOGGBW-FUHWJXTLSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN(CC(=O)N1CCC[C@@H]2CCCC[C@@H]21)C1CCCC1 ZINC000756910919 621672503 /nfs/dbraw/zinc/67/25/03/621672503.db2.gz DWQNJFCKKOGGBW-WMZOPIPTSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CC[C@H]1CC[N@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000863472082 621676135 /nfs/dbraw/zinc/67/61/35/621676135.db2.gz SUDZRVPOAUYGHQ-FSNWXROXSA-N 0 1 301.386 3.061 20 30 DGEDMN Cc1nc2cc(NCc3cc(C#N)ccc3N(C)C)ccc2n1C ZINC000864031031 621729290 /nfs/dbraw/zinc/72/92/90/621729290.db2.gz TYDBTEKWPIOWDM-UHFFFAOYSA-N 0 1 319.412 3.432 20 30 DGEDMN COC(=O)c1coc(C=NNc2nccc3sccc32)c1 ZINC000758113166 621758772 /nfs/dbraw/zinc/75/87/72/621758772.db2.gz NFHMQAIUVJQTQI-UHFFFAOYSA-N 0 1 301.327 3.122 20 30 DGEDMN COc1ccc(CN2CC[C@H]2C/N=C\c2ccccc2O)cc1 ZINC000864345121 621758821 /nfs/dbraw/zinc/75/88/21/621758821.db2.gz ORBLZUDAZMJZTC-BRLDDBHUSA-N 0 1 310.397 3.094 20 30 DGEDMN C(=NNC1CC1)c1ccc(N2CCC(c3ccccc3)=N2)cc1 ZINC000758133161 621760503 /nfs/dbraw/zinc/76/05/03/621760503.db2.gz MAAZMKXIIQSUAI-UHFFFAOYSA-N 0 1 304.397 3.387 20 30 DGEDMN Cc1ccn(CN(Cc2ccccc2)[C@H](C)C2CC2)c(=O)c1C#N ZINC000758207243 621766186 /nfs/dbraw/zinc/76/61/86/621766186.db2.gz RRBOWZJHUGZHRZ-MRXNPFEDSA-N 0 1 321.424 3.287 20 30 DGEDMN C=C(Cl)C[C@H]([NH2+]Cc1cc2cc(OC)ccc2o1)C(=O)[O-] ZINC000864518076 621777470 /nfs/dbraw/zinc/77/74/70/621777470.db2.gz BLARXFZDEYSIAD-ZDUSSCGKSA-N 0 1 309.749 3.127 20 30 DGEDMN C#CCCCCNC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000758819105 621803005 /nfs/dbraw/zinc/80/30/05/621803005.db2.gz DVYFMNHOZUHYHF-MRXNPFEDSA-N 0 1 321.852 3.045 20 30 DGEDMN C#CCCCCNC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000758819102 621803094 /nfs/dbraw/zinc/80/30/94/621803094.db2.gz DVYFMNHOZUHYHF-INIZCTEOSA-N 0 1 321.852 3.045 20 30 DGEDMN COc1cc2c(cc1CN=Nc1cccc(F)c1F)OCO2 ZINC000758879974 621808131 /nfs/dbraw/zinc/80/81/31/621808131.db2.gz TZPGWFAPUUISLH-UHFFFAOYSA-N 0 1 306.268 3.148 20 30 DGEDMN CC(=NOCC(F)F)c1cc(Br)cc(F)c1O ZINC000758902091 621809976 /nfs/dbraw/zinc/80/99/76/621809976.db2.gz BIMVGZSNIYHYSY-UHFFFAOYSA-N 0 1 312.085 3.300 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCc3cccnc3)[nH]c21 ZINC000759365988 621849973 /nfs/dbraw/zinc/84/99/73/621849973.db2.gz ISKCSHUCFGMATD-CQSZACIVSA-N 0 1 304.353 3.075 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3cccnc3)[nH]c21 ZINC000759365412 621850134 /nfs/dbraw/zinc/85/01/34/621850134.db2.gz GLELGIOLAMRBJJ-HSBSLETESA-N 0 1 302.337 3.156 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2cc(-c3ccccc3)on2)c1 ZINC000759713000 621885236 /nfs/dbraw/zinc/88/52/36/621885236.db2.gz CRQYTNKJQBKCPY-UHFFFAOYSA-N 0 1 319.320 3.100 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1ccc(OC(C)C)cc1 ZINC000759718652 621885458 /nfs/dbraw/zinc/88/54/58/621885458.db2.gz WTVSJYDCZOVIBM-UHFFFAOYSA-N 0 1 305.403 3.249 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ncoc2-c2ccccc2)c1 ZINC000759720479 621885764 /nfs/dbraw/zinc/88/57/64/621885764.db2.gz HTGLOJBFAFPSDJ-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN COc1cc(CNCc2cc(C#N)ccc2N(C)C)ccc1C ZINC000865433363 621919679 /nfs/dbraw/zinc/91/96/79/621919679.db2.gz OUSBJKNDUNADIV-UHFFFAOYSA-N 0 1 309.413 3.231 20 30 DGEDMN Cc1nc(C2CC2)oc1C([O-])=C(C#N)C(=O)Nc1cccc(C)c1 ZINC000760198578 621920433 /nfs/dbraw/zinc/92/04/33/621920433.db2.gz XHCJXPLFOSEDHW-CQSZACIVSA-N 0 1 323.352 3.130 20 30 DGEDMN Cc1nc(C2CC2)oc1C(=O)C(C#N)C(=O)Nc1cccc(C)c1 ZINC000760198578 621920436 /nfs/dbraw/zinc/92/04/36/621920436.db2.gz XHCJXPLFOSEDHW-CQSZACIVSA-N 0 1 323.352 3.130 20 30 DGEDMN N#C[C@@H](C(=O)Cc1coc2cc3c(cc12)CCC3)c1ccncn1 ZINC000760340098 621933265 /nfs/dbraw/zinc/93/32/65/621933265.db2.gz LFZJBCCFYQVKDI-MRXNPFEDSA-N 0 1 317.348 3.130 20 30 DGEDMN N#CC(C(=O)CCCOc1ccc2c(c1)CCC2)c1ccncn1 ZINC000760342808 621933858 /nfs/dbraw/zinc/93/38/58/621933858.db2.gz YAGCQUPVHZVQFD-QGZVFWFLSA-N 0 1 321.380 3.001 20 30 DGEDMN C[C@H](Oc1ccc(C(C)(C)C)cc1)C(=O)C(C#N)c1ccncn1 ZINC000760346548 621933967 /nfs/dbraw/zinc/93/39/67/621933967.db2.gz RLDUKWGUYWLPAJ-XJKSGUPXSA-N 0 1 323.396 3.418 20 30 DGEDMN Cc1cc(O[C@H](C)C(=O)C(C#N)c2ccncn2)ccc1Cl ZINC000760352820 621934681 /nfs/dbraw/zinc/93/46/81/621934681.db2.gz NVPHPBZSDZIGHE-DGCLKSJQSA-N 0 1 315.760 3.082 20 30 DGEDMN Cc1cc(O[C@@H](C)C(=O)C(C#N)c2ccncn2)ccc1Cl ZINC000760352821 621934751 /nfs/dbraw/zinc/93/47/51/621934751.db2.gz NVPHPBZSDZIGHE-WCQYABFASA-N 0 1 315.760 3.082 20 30 DGEDMN N#Cc1cc(C(F)(F)F)cc(N[C@H]2CCCc3cn[nH]c32)n1 ZINC000865621638 621964081 /nfs/dbraw/zinc/96/40/81/621964081.db2.gz WRZSPPIVGZDVKF-NSHDSACASA-N 0 1 307.279 3.185 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2nn(C)c3ccccc23)cc1 ZINC000760895858 621972360 /nfs/dbraw/zinc/97/23/60/621972360.db2.gz BMUDCJGDEZLZTI-HNNXBMFYSA-N 0 1 319.408 3.436 20 30 DGEDMN CC(C)CC1CCN([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000760926622 621974211 /nfs/dbraw/zinc/97/42/11/621974211.db2.gz OXFCBRLMKFRQGM-YJBOKZPZSA-N 0 1 307.482 3.187 20 30 DGEDMN CC(C)CC1CCN([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000760926609 621974226 /nfs/dbraw/zinc/97/42/26/621974226.db2.gz OXFCBRLMKFRQGM-CRAIPNDOSA-N 0 1 307.482 3.187 20 30 DGEDMN Cn1cnc2cc(CN=Nc3ccc(C(F)(F)F)cn3)ccc21 ZINC000761255933 621997227 /nfs/dbraw/zinc/99/72/27/621997227.db2.gz YQLRGNIXDMMCAM-UHFFFAOYSA-N 0 1 319.290 3.433 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000761493501 622012461 /nfs/dbraw/zinc/01/24/61/622012461.db2.gz MXJLAYSYPNEROR-DGCLKSJQSA-N 0 1 322.430 3.243 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000761493501 622012462 /nfs/dbraw/zinc/01/24/62/622012462.db2.gz MXJLAYSYPNEROR-DGCLKSJQSA-N 0 1 322.430 3.243 20 30 DGEDMN Cn1cc(NN=Cc2c[nH]c3ccc(Br)cc23)cn1 ZINC000761868291 622035506 /nfs/dbraw/zinc/03/55/06/622035506.db2.gz ZHQWVAHCQCLLKR-UHFFFAOYSA-N 0 1 318.178 3.110 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000762028273 622047065 /nfs/dbraw/zinc/04/70/65/622047065.db2.gz LKGZHMZVJRIMPI-WBMJQRKESA-N 0 1 316.401 3.415 20 30 DGEDMN CCOC(=O)C(C)(C)C(=O)C(C#N)c1nc2ccccc2s1 ZINC000762635630 622088341 /nfs/dbraw/zinc/08/83/41/622088341.db2.gz KVDPAZHMOZVPJZ-JTQLQIEISA-N 0 1 316.382 3.062 20 30 DGEDMN COCC#CCN(Cc1ccccc1)C[C@@H](O)c1cccc(C)c1 ZINC000762925477 622111279 /nfs/dbraw/zinc/11/12/79/622111279.db2.gz GOYHTMKODSZMFQ-OAQYLSRUSA-N 0 1 323.436 3.180 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc3ccccc3c3cccnc23)NO1 ZINC000763262056 622133739 /nfs/dbraw/zinc/13/37/39/622133739.db2.gz WJKRNKYDECCROS-LLVKDONJSA-N 0 1 305.337 3.240 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](N[C@H]1c3ccccc3OC[C@@H]1F)C2 ZINC000866615643 622147645 /nfs/dbraw/zinc/14/76/45/622147645.db2.gz HHFXKOUFPPTDEV-AYBZRNKSSA-N 0 1 308.356 3.087 20 30 DGEDMN C#CCN(C[C@H](O)COc1ccc(-c2ccccc2)cc1)C1CC1 ZINC000763950102 622170714 /nfs/dbraw/zinc/17/07/14/622170714.db2.gz JSVJQRUXMIQTRE-FQEVSTJZSA-N 0 1 321.420 3.191 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCc3ccccc3[C@H]2C(C)C)CC1 ZINC000764105698 622179727 /nfs/dbraw/zinc/17/97/27/622179727.db2.gz XTIFOSSDQVMLCE-HXUWFJFHSA-N 0 1 324.468 3.114 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCc3ccccc3[C@@H]2C(C)C)CC1 ZINC000764105697 622179740 /nfs/dbraw/zinc/17/97/40/622179740.db2.gz XTIFOSSDQVMLCE-FQEVSTJZSA-N 0 1 324.468 3.114 20 30 DGEDMN Cc1cccc(CNN=Cc2ccc(N3CCOCC3)s2)c1 ZINC000764716251 622215515 /nfs/dbraw/zinc/21/55/15/622215515.db2.gz WBZBGYBQTZKLMM-UHFFFAOYSA-N 0 1 315.442 3.017 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(C#N)cc2)cc1NC(C)=O ZINC000765016566 622240209 /nfs/dbraw/zinc/24/02/09/622240209.db2.gz WJHYAZCPNLYMFE-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN C=C(Cl)COc1ccc([C@H](C)NCC(=O)N(CC)CC)cc1 ZINC000765335166 622259939 /nfs/dbraw/zinc/25/99/39/622259939.db2.gz RKHZGRJXTFLRSV-AWEZNQCLSA-N 0 1 324.852 3.337 20 30 DGEDMN CC(C)c1cc(C(=O)NC2=NO[C@@H](C)C2)c(O)c(C(C)C)c1 ZINC000765376408 622261842 /nfs/dbraw/zinc/26/18/42/622261842.db2.gz OUCUMPOQUOZOGO-NSHDSACASA-N 0 1 304.390 3.491 20 30 DGEDMN Cc1c(Cl)cccc1NC(=S)NN=C1CCN2CCC[C@@H]12 ZINC000765556411 622273648 /nfs/dbraw/zinc/27/36/48/622273648.db2.gz MRJMOMPELLDUNL-AWEZNQCLSA-N 0 1 322.865 3.159 20 30 DGEDMN O=C(CC(F)(F)C(F)(F)F)Nc1ccc(F)c(F)c1O ZINC000868832695 622290876 /nfs/dbraw/zinc/29/08/76/622290876.db2.gz AQLQOYXKNQNJQJ-UHFFFAOYSA-N 0 1 305.149 3.197 20 30 DGEDMN CCCN(CCc1cccs1)Cn1ccc(C)c(C#N)c1=O ZINC000766026870 622301259 /nfs/dbraw/zinc/30/12/59/622301259.db2.gz CASLXFQBWSUKGB-UHFFFAOYSA-N 0 1 315.442 3.002 20 30 DGEDMN CC(C)c1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2)s1 ZINC000122424028 622317846 /nfs/dbraw/zinc/31/78/46/622317846.db2.gz VHKPRFZDGJFUCI-LBPRGKRZSA-N 0 1 313.382 3.228 20 30 DGEDMN CC[C@@H](C)[C@@H]1CCCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766635842 622343889 /nfs/dbraw/zinc/34/38/89/622343889.db2.gz CMAMGZVAJVKQTA-KDOFPFPSSA-N 0 1 313.445 3.067 20 30 DGEDMN Cc1cc(C)nc(N=NC2C[C@H](C)CN(Cc3ccccc3)C2)n1 ZINC000767351801 622391796 /nfs/dbraw/zinc/39/17/96/622391796.db2.gz LCDGBWMOHCQBTF-AWEZNQCLSA-N 0 1 323.444 3.403 20 30 DGEDMN COc1ccc(N=NC(C)c2scnc2C)c([N+](=O)[O-])c1 ZINC000767797943 622422343 /nfs/dbraw/zinc/42/23/43/622422343.db2.gz SQYLZKFNGPWYPP-UHFFFAOYSA-N 0 1 306.347 3.204 20 30 DGEDMN COc1ccc(NN=Cc2cnn(C(C)(C)C)c2)c([N+](=O)[O-])c1 ZINC000767804096 622422890 /nfs/dbraw/zinc/42/28/90/622422890.db2.gz UTEABHXZXUEZNZ-UHFFFAOYSA-N 0 1 317.349 3.001 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(CC3CCCC3)c2)n1 ZINC000870117210 622434462 /nfs/dbraw/zinc/43/44/62/622434462.db2.gz LAWAALDBSNZCPD-CQSZACIVSA-N 0 1 314.414 3.328 20 30 DGEDMN CC[C@@H](CNc1ncc(C#N)cc1Br)N1CCCC1 ZINC000881804057 625566437 /nfs/dbraw/zinc/56/64/37/625566437.db2.gz REQMYNWNBKVWOG-LBPRGKRZSA-N 0 1 323.238 3.002 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc2c(c1)COC2 ZINC000768618325 622467603 /nfs/dbraw/zinc/46/76/03/622467603.db2.gz HIFBCUTYLGBFEX-UHFFFAOYSA-N 0 1 322.408 3.237 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000768706016 622472482 /nfs/dbraw/zinc/47/24/82/622472482.db2.gz GDFHQSRRUMSODP-UHFFFAOYSA-N 0 1 302.765 3.113 20 30 DGEDMN CCOC(=O)C1(C/N=C/c2cc(Cl)cc(Cl)c2O)CC1 ZINC000769718685 622553445 /nfs/dbraw/zinc/55/34/45/622553445.db2.gz SQZOIILLNIMEOU-REZTVBANSA-N 0 1 316.184 3.461 20 30 DGEDMN CCn1nc(/C=C\C(=O)c2ccc(O)cc2O)c2ccccc21 ZINC000769746117 622556501 /nfs/dbraw/zinc/55/65/01/622556501.db2.gz FSPWZURRWAIAAQ-KTKRTIGZSA-N 0 1 308.337 3.364 20 30 DGEDMN COC(=O)[C@@H](C)n1cccc1CN=Nc1c(C)cccc1F ZINC000769827509 622565743 /nfs/dbraw/zinc/56/57/43/622565743.db2.gz BKMJOGKRUSZHNH-GFCCVEGCSA-N 0 1 303.337 3.116 20 30 DGEDMN COc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1C#N ZINC000769934193 622578451 /nfs/dbraw/zinc/57/84/51/622578451.db2.gz MCQUUHIOCPDRMX-UHFFFAOYSA-N 0 1 322.364 3.074 20 30 DGEDMN C[C@@H](N[C@H](CO)c1ccsc1)c1ccc(OCC#N)cc1 ZINC000769983805 622585653 /nfs/dbraw/zinc/58/56/53/622585653.db2.gz AEISPDRPNIKPFT-MLGOLLRUSA-N 0 1 302.399 3.035 20 30 DGEDMN N#CCOc1cc(F)ccc1NC(=O)c1c(O)cccc1Cl ZINC000769992780 622587318 /nfs/dbraw/zinc/58/73/18/622587318.db2.gz KQLMCORYXMVTEX-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H]2COc3cc(C)c(C)cc32)nc(C)n1 ZINC000770838760 622674296 /nfs/dbraw/zinc/67/42/96/622674296.db2.gz KWACJIMSVCWLOT-HOTGVXAUSA-N 0 1 321.380 3.063 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C(C)C ZINC000770860671 622676147 /nfs/dbraw/zinc/67/61/47/622676147.db2.gz NCKAGWNYMKRJIC-IINYFYTJSA-N 0 1 306.362 3.283 20 30 DGEDMN N#C[C@H](C(=O)C1(CCOCc2ccccc2)CC1)c1ccncn1 ZINC000770916051 622685120 /nfs/dbraw/zinc/68/51/20/622685120.db2.gz CXWBLYLTIKUFGG-INIZCTEOSA-N 0 1 321.380 3.040 20 30 DGEDMN C#C[C@@H](NC(=O)NCc1cc(Cl)cc(Cl)c1O)C(C)C ZINC000805440782 622779821 /nfs/dbraw/zinc/77/98/21/622779821.db2.gz CTOULTUJFCWWLG-GFCCVEGCSA-N 0 1 315.200 3.156 20 30 DGEDMN C=CC[N@H+](Cc1c(O)ccc(C(=O)c2ccccc2)c1[O-])C1CC1 ZINC000771641703 622783752 /nfs/dbraw/zinc/78/37/52/622783752.db2.gz XPQVUMOWGNCCSJ-UHFFFAOYSA-N 0 1 323.392 3.479 20 30 DGEDMN CC(C)(C)n1cc(C=NN=c2[nH]c3ccncc3s2)cn1 ZINC000872414040 622909073 /nfs/dbraw/zinc/90/90/73/622909073.db2.gz JSKYTPUEHBGVQR-UHFFFAOYSA-N 0 1 300.391 3.089 20 30 DGEDMN CC(C)(C)n1cc(C=[NH+][N-]c2nc3ccncc3s2)cn1 ZINC000872414040 622909076 /nfs/dbraw/zinc/90/90/76/622909076.db2.gz JSKYTPUEHBGVQR-UHFFFAOYSA-N 0 1 300.391 3.089 20 30 DGEDMN COCCCC1(C=Nn2c(SC)nnc2SC)CCC1 ZINC000872418218 622911019 /nfs/dbraw/zinc/91/10/19/622911019.db2.gz GVUNIWLCKBCZMC-UHFFFAOYSA-N 0 1 314.480 3.153 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CN(C)c3ccccc3)[nH]c21 ZINC000772668045 622925638 /nfs/dbraw/zinc/92/56/38/622925638.db2.gz ZCOQQOSPXXYRBY-HNNXBMFYSA-N 0 1 318.380 3.184 20 30 DGEDMN Cc1cccc2[nH]c(C(C#N)C(=O)CN(C)c3ccccc3)nc21 ZINC000772668045 622925640 /nfs/dbraw/zinc/92/56/40/622925640.db2.gz ZCOQQOSPXXYRBY-HNNXBMFYSA-N 0 1 318.380 3.184 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccc2c(c1)COC2 ZINC000772809365 622943917 /nfs/dbraw/zinc/94/39/17/622943917.db2.gz YEEBMSXZXVDBNZ-ZZXKWVIFSA-N 0 1 311.293 3.227 20 30 DGEDMN COc1c(O)c(C=NNc2c(F)cccc2F)ccc1[N+](=O)[O-] ZINC000773053645 622973722 /nfs/dbraw/zinc/97/37/22/622973722.db2.gz LZSWVAJKPHTXIB-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN CCCC(=NNc1ccccc1S(N)(=O)=O)c1ccsc1 ZINC000773124110 622988194 /nfs/dbraw/zinc/98/81/94/622988194.db2.gz VRRYMAFCKBUIIH-UHFFFAOYSA-N 0 1 323.443 3.012 20 30 DGEDMN C#C[C@H](NC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1)C(C)C ZINC000873000621 623014411 /nfs/dbraw/zinc/01/44/11/623014411.db2.gz TVHARCJLQBQGTQ-GJZGRUSLSA-N 0 1 316.449 3.080 20 30 DGEDMN CCO[C@@H]1CC(=Nn2cnc3ccccc32)[C@H]1Oc1ccccc1 ZINC000774363569 623136951 /nfs/dbraw/zinc/13/69/51/623136951.db2.gz KQVNBFHAXFHWQY-RTBURBONSA-N 0 1 321.380 3.497 20 30 DGEDMN CCC(CC)CNN=C(C)c1cc(OC)c(O)c([N+](=O)[O-])c1 ZINC000774475634 623150529 /nfs/dbraw/zinc/15/05/29/623150529.db2.gz AIEZIMZLVNBEBW-UHFFFAOYSA-N 0 1 309.366 3.059 20 30 DGEDMN C#CCN(CCCNC(=O)OC(C)(C)C)Cc1ccc(F)cc1 ZINC000774859069 623200226 /nfs/dbraw/zinc/20/02/26/623200226.db2.gz SBWGVZGWVROBJH-UHFFFAOYSA-N 0 1 320.408 3.176 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1ccc([N+](=O)[O-])c(OC)c1[O-] ZINC000774903934 623206706 /nfs/dbraw/zinc/20/67/06/623206706.db2.gz AXSHYWYKAPCMRQ-UHFFFAOYSA-N 0 1 308.378 3.487 20 30 DGEDMN c1cc(CN=Nc2cnnc3ccccc23)n(-c2ccccc2)n1 ZINC000790296174 625629896 /nfs/dbraw/zinc/62/98/96/625629896.db2.gz CSGWYPSZRXQEST-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN CC(=O)c1cc(F)cc(C[NH2+][C@H](C)c2ccc(C#N)cc2)c1[O-] ZINC000775164528 623237129 /nfs/dbraw/zinc/23/71/29/623237129.db2.gz ZPYQUMNRTBQDKL-LLVKDONJSA-N 0 1 312.344 3.456 20 30 DGEDMN CC(=N[N-]c1[nH+]ccn1C)c1ccc(Br)c(F)c1 ZINC000790303021 625632270 /nfs/dbraw/zinc/63/22/70/625632270.db2.gz PNJYPCBZBWNWRM-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN COc1cc(Cl)ccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000775297518 623250664 /nfs/dbraw/zinc/25/06/64/623250664.db2.gz RJZFNIVHRUGYJX-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(OCC(C)C)c1)[C@@H]1CCCO1 ZINC000775339182 623256280 /nfs/dbraw/zinc/25/62/80/623256280.db2.gz AOWIBBCYSHTONS-IRXDYDNUSA-N 0 1 317.429 3.000 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCCC)c(OCC)c1)[C@@H]1CCCO1 ZINC000775341320 623256301 /nfs/dbraw/zinc/25/63/01/623256301.db2.gz LKELMEGDGXTALS-SJORKVTESA-N 0 1 317.429 3.145 20 30 DGEDMN C[C@H](NCC(=O)NC1CCCCCCC1)c1cccc(C#N)c1 ZINC000775339613 623256656 /nfs/dbraw/zinc/25/66/56/623256656.db2.gz XRVQICNPJPZVCA-HNNXBMFYSA-N 0 1 313.445 3.438 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(O[C@@H](C)CC)c1)[C@@H]1CCCO1 ZINC000775343667 623257311 /nfs/dbraw/zinc/25/73/11/623257311.db2.gz XFVFDTXLTLEUBV-XIRDDKMYSA-N 0 1 317.429 3.143 20 30 DGEDMN Cc1ccc(C=NNc2cccc(F)c2[N+](=O)[O-])cc1[N+](=O)[O-] ZINC000790307275 625633701 /nfs/dbraw/zinc/63/37/01/625633701.db2.gz VNINKPZBIVNAGK-UHFFFAOYSA-N 0 1 318.264 3.397 20 30 DGEDMN C#CC[C@H](NCC(=O)N1c2ccccc2C[C@H]1C)c1ccccc1 ZINC000775399451 623268834 /nfs/dbraw/zinc/26/88/34/623268834.db2.gz RQPVVOLUQSVWFT-APWZRJJASA-N 0 1 318.420 3.318 20 30 DGEDMN C#CC[C@H](NCC(=O)NCCC1CCCCC1)c1ccccc1 ZINC000775399693 623268874 /nfs/dbraw/zinc/26/88/74/623268874.db2.gz SXDHBEUXFOLFND-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN CC(C)(C#N)c1ccc(CN2CCCC[C@H]2C2OCCO2)cc1 ZINC000775592642 623294800 /nfs/dbraw/zinc/29/48/00/623294800.db2.gz WSLZCKOPOSSREH-KRWDZBQOSA-N 0 1 314.429 3.215 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC2(CCC)CCC)CC1 ZINC000874626265 623308949 /nfs/dbraw/zinc/30/89/49/623308949.db2.gz MXRXQNVYQHFSBM-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#C[C@@H](NCc1cccc(Br)c1C)[C@H]1CCCO1 ZINC000775789991 623332184 /nfs/dbraw/zinc/33/21/84/623332184.db2.gz OJYXGJYCGBFYND-HUUCEWRRSA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@@H](NCCOc1cc(Cl)ccc1Cl)[C@H]1CCCO1 ZINC000775802214 623333983 /nfs/dbraw/zinc/33/39/83/623333983.db2.gz ZIYPGCJYPDHYIO-ZIAGYGMSSA-N 0 1 314.212 3.143 20 30 DGEDMN C[C@@H](N[C@H](CO)c1cccc(F)c1)c1ccc(OCC#N)cc1 ZINC000776165196 623387175 /nfs/dbraw/zinc/38/71/75/623387175.db2.gz OFQBKFULODDOJC-FZKQIMNGSA-N 0 1 314.360 3.112 20 30 DGEDMN CCn1c(CN=Nc2ccccc2C(=O)OC)nc2ccccc21 ZINC000776189796 623393495 /nfs/dbraw/zinc/39/34/95/623393495.db2.gz SWOQGROQDVTYEA-UHFFFAOYSA-N 0 1 322.368 3.289 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)Nc2ccccc2Cl)C1 ZINC000776519103 623437566 /nfs/dbraw/zinc/43/75/66/623437566.db2.gz YFHUJNJRJJQIDB-UTUOFQBUSA-N 0 1 304.777 3.424 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1cc(F)ccc1C ZINC000777885756 623579660 /nfs/dbraw/zinc/57/96/60/623579660.db2.gz BQFYMCAYZYRZFE-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN Clc1ccnc(NN=Cc2ccc(Br)cn2)c1 ZINC000777906782 623583603 /nfs/dbraw/zinc/58/36/03/623583603.db2.gz GHMHPGGKQPDESR-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN Clc1ccnc(N=NC2CCN(Cc3ccccn3)CC2)c1 ZINC000777913077 623584357 /nfs/dbraw/zinc/58/43/57/623584357.db2.gz IGBYUAWFZQLDDS-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN COc1ccc2nc(N=NC3CN4CCC3CC4)c(C)cc2c1 ZINC000777912583 623584677 /nfs/dbraw/zinc/58/46/77/623584677.db2.gz AWABHYMFMMFSDF-UHFFFAOYSA-N 0 1 310.401 3.045 20 30 DGEDMN O=C(/C=C\c1ccc([N+](=O)[O-])cc1F)c1cc(F)ccc1O ZINC000777920155 623585315 /nfs/dbraw/zinc/58/53/15/623585315.db2.gz WZEGBPKILFRINS-DJWKRKHSSA-N 0 1 305.236 3.475 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H](O)CC(C)(C)C ZINC000778169050 623619594 /nfs/dbraw/zinc/61/95/94/623619594.db2.gz KYNBHOPYVDCLMZ-ZDUSSCGKSA-N 0 1 309.837 3.239 20 30 DGEDMN CC1(CC(=O)C(C#N)C(=O)NCc2ccccc2)CCCCC1 ZINC000126078053 623662722 /nfs/dbraw/zinc/66/27/22/623662722.db2.gz CJLBMSQQLLKOCM-MRXNPFEDSA-N 0 1 312.413 3.372 20 30 DGEDMN C=C(Cl)C[C@H](N)C(=O)Nc1ccc(C(=O)C(C)(C)C)cc1 ZINC000876665344 623675402 /nfs/dbraw/zinc/67/54/02/623675402.db2.gz UKAZJZCQOGGXIX-ZDUSSCGKSA-N 0 1 308.809 3.324 20 30 DGEDMN C#C[C@H](N[C@@H]1CCCc2ncc(C(F)(F)F)cc21)[C@H]1CCCO1 ZINC000779053326 623725862 /nfs/dbraw/zinc/72/58/62/623725862.db2.gz ZZDPQLBIWUGYIZ-NUEKZKHPSA-N 0 1 324.346 3.248 20 30 DGEDMN C=C(Cl)C[C@@H](N)C(=O)Nc1ccc2c(c1)C(=O)CCCC2 ZINC000876929816 623747063 /nfs/dbraw/zinc/74/70/63/623747063.db2.gz NHKWTIAHWJYPJP-CQSZACIVSA-N 0 1 306.793 3.004 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1ccn(-c2ccccc2)n1 ZINC000876984405 623759755 /nfs/dbraw/zinc/75/97/55/623759755.db2.gz HAPNGOYCOUTASH-INIZCTEOSA-N 0 1 324.428 3.061 20 30 DGEDMN CC(C)CCCCN[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000779348235 623761679 /nfs/dbraw/zinc/76/16/79/623761679.db2.gz QPHASJUVPSJVJK-GJZGRUSLSA-N 0 1 309.454 3.161 20 30 DGEDMN N#Cc1cnc(Cl)c(CNCCOC2CCCCCC2)c1 ZINC000877035363 623771507 /nfs/dbraw/zinc/77/15/07/623771507.db2.gz FYJISSUYYWDHQI-UHFFFAOYSA-N 0 1 307.825 3.436 20 30 DGEDMN C[C@H](CNCc1cc(C#N)cnc1Cl)Oc1ccccc1 ZINC000877046403 623775549 /nfs/dbraw/zinc/77/55/49/623775549.db2.gz LJVGSFBBRNNCSS-GFCCVEGCSA-N 0 1 301.777 3.164 20 30 DGEDMN C[C@@H](CNCc1cc(C#N)cnc1Cl)Oc1ccccc1F ZINC000877067789 623782563 /nfs/dbraw/zinc/78/25/63/623782563.db2.gz IQALJSUUWLNGKY-NSHDSACASA-N 0 1 319.767 3.303 20 30 DGEDMN CCOc1cc(C=NNc2cccc(OC)n2)cc(Cl)c1O ZINC000779819194 623813934 /nfs/dbraw/zinc/81/39/34/623813934.db2.gz KQGMIKWWYLKAKB-UHFFFAOYSA-N 0 1 321.764 3.294 20 30 DGEDMN COCC[C@@H]1CCCCN(Cc2cc(C#N)cnc2Cl)C1 ZINC000877401051 623869394 /nfs/dbraw/zinc/86/93/94/623869394.db2.gz YYGHUWFHRLJQHL-ZDUSSCGKSA-N 0 1 307.825 3.245 20 30 DGEDMN Cc1ccnc(N=NCc2cnn(Cc3ccc(F)cc3)c2)c1 ZINC000780229739 623885252 /nfs/dbraw/zinc/88/52/52/623885252.db2.gz KXBZGKLYBLTISR-UHFFFAOYSA-N 0 1 309.348 3.220 20 30 DGEDMN CCCOc1cc(CN=Nc2ccc(OC)cn2)ccc1OC ZINC000780268858 623900482 /nfs/dbraw/zinc/90/04/82/623900482.db2.gz LLHNDHKOFNBOLE-UHFFFAOYSA-N 0 1 315.373 3.334 20 30 DGEDMN COc1ccc(N=NC(C)c2cnn(-c3ccccc3)c2)nc1 ZINC000780274470 623901707 /nfs/dbraw/zinc/90/17/07/623901707.db2.gz DCETUPPRFUAASV-UHFFFAOYSA-N 0 1 307.357 3.112 20 30 DGEDMN COc1ccc(N=NCc2ccc(SC)c(OC)c2)nc1 ZINC000780275085 623902456 /nfs/dbraw/zinc/90/24/56/623902456.db2.gz OHZVVVYBSUHENR-UHFFFAOYSA-N 0 1 303.387 3.267 20 30 DGEDMN O=[N+]([O-])c1ccc(Cl)c(C=NNc2ncncc2Cl)c1 ZINC000780282055 623903604 /nfs/dbraw/zinc/90/36/04/623903604.db2.gz BDHOHAOOEYCINH-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)[C@H]2CCc3ccccc32)c1 ZINC000780284348 623904193 /nfs/dbraw/zinc/90/41/93/623904193.db2.gz CLUUXEQBGYEEPH-IBGZPJMESA-N 0 1 304.393 3.226 20 30 DGEDMN C[C@@H](O)C[C@H]1CCCCCN1Cc1cc(C#N)cnc1Cl ZINC000877517835 623920645 /nfs/dbraw/zinc/92/06/45/623920645.db2.gz QQFUESSCNZMZIQ-IUODEOHRSA-N 0 1 307.825 3.122 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@H]1c1cccnc1 ZINC000877522925 623923575 /nfs/dbraw/zinc/92/35/75/623923575.db2.gz FJJGTEKTHGTEFF-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN CC[C@H](COCC(F)(F)F)NCc1cc(C#N)cnc1Cl ZINC000877558528 623941305 /nfs/dbraw/zinc/94/13/05/623941305.db2.gz AXSXSUFQVYIUHA-LLVKDONJSA-N 0 1 321.730 3.054 20 30 DGEDMN CO[C@@](C)(CNCc1cc(C#N)cnc1Cl)c1ccccc1 ZINC000877564945 623943681 /nfs/dbraw/zinc/94/36/81/623943681.db2.gz QFJXHVNDSAZFCG-KRWDZBQOSA-N 0 1 315.804 3.258 20 30 DGEDMN CCN(CC)c1ccc(CNCc2ccc(C#N)cn2)cc1F ZINC000780558466 623951409 /nfs/dbraw/zinc/95/14/09/623951409.db2.gz PALLIXDYBORWKS-UHFFFAOYSA-N 0 1 312.392 3.228 20 30 DGEDMN Cc1c(CNCc2ccc(C#N)cn2)sc2nc(C)nc(C)c12 ZINC000780558268 623951583 /nfs/dbraw/zinc/95/15/83/623951583.db2.gz NILXPMUESMWFHX-UHFFFAOYSA-N 0 1 323.425 3.173 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=Cc1ccccc1C#N ZINC000781357356 624059973 /nfs/dbraw/zinc/05/99/73/624059973.db2.gz NUFACJBCHIGXGE-VOTSOKGWSA-N 0 1 302.284 3.179 20 30 DGEDMN C[C@@H](NC[C@@H](O)c1ccccc1F)c1ccc(C#N)cc1F ZINC000781420197 624068819 /nfs/dbraw/zinc/06/88/19/624068819.db2.gz IXXXXIFIMSNDGS-PIGZYNQJSA-N 0 1 302.324 3.221 20 30 DGEDMN C[C@@H](NCc1n[nH]c(-c2ccccc2)n1)c1ccc(C#N)cc1F ZINC000781422993 624070027 /nfs/dbraw/zinc/07/00/27/624070027.db2.gz SFUMLSZYHPDFIQ-GFCCVEGCSA-N 0 1 321.359 3.333 20 30 DGEDMN C[C@@H](NCc1nc(-c2ccccc2)n[nH]1)c1ccc(C#N)cc1F ZINC000781422993 624070029 /nfs/dbraw/zinc/07/00/29/624070029.db2.gz SFUMLSZYHPDFIQ-GFCCVEGCSA-N 0 1 321.359 3.333 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H]1CCC2(CCOCC2)O1 ZINC000877907407 624087716 /nfs/dbraw/zinc/08/77/16/624087716.db2.gz ZLWJIOSQVMWCKX-HNNXBMFYSA-N 0 1 307.459 3.464 20 30 DGEDMN C#CCCCCC[N@@H+]1CCCN(C(=O)c2ccsc2)CC1 ZINC000877987049 624126500 /nfs/dbraw/zinc/12/65/00/624126500.db2.gz YHPPUUHLGWQBTJ-UHFFFAOYSA-N 0 1 304.459 3.090 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCO[C@H](C(C)C)C2)nc(C)n1 ZINC000781759470 624139249 /nfs/dbraw/zinc/13/92/49/624139249.db2.gz GTRYSUBTDCBKSR-MPGHIAIKSA-N 0 1 315.417 3.111 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1C[C@@H](C)S[C@@H](C)C1)c1ccccc1 ZINC000878137021 624184053 /nfs/dbraw/zinc/18/40/53/624184053.db2.gz MTHLESBTRBPSKE-DAYGRLMNSA-N 0 1 316.470 3.253 20 30 DGEDMN COc1cc(C(C)=NNc2ccc(C(F)(F)F)cn2)ccn1 ZINC000782128555 624206640 /nfs/dbraw/zinc/20/66/40/624206640.db2.gz ZNBMFFXYXLZIHU-UHFFFAOYSA-N 0 1 310.279 3.340 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000878219481 624219508 /nfs/dbraw/zinc/21/95/08/624219508.db2.gz MVPGELWHCVRJET-UHFFFAOYSA-N 0 1 300.362 3.021 20 30 DGEDMN CCC(=NNc1ccc(C(=O)OC)c(Cl)c1)c1nccn1C ZINC000782239339 624225859 /nfs/dbraw/zinc/22/58/59/624225859.db2.gz XOZBYULCYHFKII-UHFFFAOYSA-N 0 1 320.780 3.086 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)c1 ZINC000878401466 624267986 /nfs/dbraw/zinc/26/79/86/624267986.db2.gz WBNKZSBPTRHVRB-SJCJKPOMSA-N 0 1 311.385 3.279 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cn1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000783299882 624335786 /nfs/dbraw/zinc/33/57/86/624335786.db2.gz GMAGBAKFLMCBBQ-LBPRGKRZSA-N 0 1 320.396 3.034 20 30 DGEDMN CN(C[C@@H](O)c1ccccc1Br)CC1(CC#N)CC1 ZINC000878692090 624340786 /nfs/dbraw/zinc/34/07/86/624340786.db2.gz NGWLGAQQSOHMET-CQSZACIVSA-N 0 1 323.234 3.108 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCC(=O)[C@H](C)C3)[nH]c21 ZINC000783385494 624343018 /nfs/dbraw/zinc/34/30/18/624343018.db2.gz JDKNIMFAZFZFLM-FRRDWIJNSA-N 0 1 309.369 3.053 20 30 DGEDMN C[C@H](NC(=O)CC(C)(C)C#N)c1nc2ccc(Cl)cc2[nH]1 ZINC000783422547 624347684 /nfs/dbraw/zinc/34/76/84/624347684.db2.gz KMVBWVMHRSBYGT-VIFPVBQESA-N 0 1 304.781 3.333 20 30 DGEDMN N#CC1(c2ccc(COC(=O)c3ccc4cncn4c3)cc2)CC1 ZINC000790921977 625715735 /nfs/dbraw/zinc/71/57/35/625715735.db2.gz QPGUEMNZECUOFR-UHFFFAOYSA-N 0 1 317.348 3.246 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2ccc(Cl)nn2)c(Cl)c1 ZINC000783776699 624395638 /nfs/dbraw/zinc/39/56/38/624395638.db2.gz DMRUFIGOYUWCHZ-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN Cc1nc(C)c(CN2CCC(Nc3ccccc3C#N)CC2)o1 ZINC000878963081 624397418 /nfs/dbraw/zinc/39/74/18/624397418.db2.gz VVMMQCNFKYLWET-UHFFFAOYSA-N 0 1 310.401 3.240 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NN[C@@H](C)c1ccccc1 ZINC000783831497 624398471 /nfs/dbraw/zinc/39/84/71/624398471.db2.gz LEJXITXMJHFHQZ-AWEZNQCLSA-N 0 1 305.385 3.260 20 30 DGEDMN Clc1ccnc(N=NCc2cc(-n3ccnc3)cs2)c1 ZINC000783862703 624401960 /nfs/dbraw/zinc/40/19/60/624401960.db2.gz NVOYHUNCMPMJJT-UHFFFAOYSA-N 0 1 303.778 3.428 20 30 DGEDMN C=CCCCCCN1Cc2cccnc2N2C[C@H](OC)C[C@@H]2C1 ZINC000879269378 624477549 /nfs/dbraw/zinc/47/75/49/624477549.db2.gz MZZCZMCHOCLOQL-QZTJIDSGSA-N 0 1 315.461 3.237 20 30 DGEDMN C[C@@H](OC(=O)c1cccc(-c2nnc[nH]2)c1)c1ccc(C#N)cc1 ZINC000784473746 624529972 /nfs/dbraw/zinc/52/99/72/624529972.db2.gz WIOUIPXXNBGQLF-GFCCVEGCSA-N 0 1 318.336 3.261 20 30 DGEDMN CC(C)N(C(=O)[C@@H](C)N(C)CCc1cccc(C#N)c1)C(C)C ZINC000879435095 624546200 /nfs/dbraw/zinc/54/62/00/624546200.db2.gz VZNINHAAJFLVKU-MRXNPFEDSA-N 0 1 315.461 3.066 20 30 DGEDMN Cc1nc(C2C[C@H]3CC[C@@H](C2)N3CC#Cc2ccccc2)no1 ZINC000879681934 624638905 /nfs/dbraw/zinc/63/89/05/624638905.db2.gz OFZSBYBFDHSVFY-AYHJJNSGSA-N 0 1 307.397 3.140 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(OC(F)(F)F)cc1)c1ccnn1C ZINC000879685292 624640155 /nfs/dbraw/zinc/64/01/55/624640155.db2.gz FWHUWILTIIMMHK-GFCCVEGCSA-N 0 1 323.318 3.021 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@H]1CCC[N@H+]2CC1(CC#N)CC1 ZINC000879756012 624667821 /nfs/dbraw/zinc/66/78/21/624667821.db2.gz QCJVMNNNBUOKFE-LSDHHAIUSA-N 0 1 319.449 3.154 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H]2[C@H]1CCCN2CC1(CC#N)CC1 ZINC000879756012 624667822 /nfs/dbraw/zinc/66/78/22/624667822.db2.gz QCJVMNNNBUOKFE-LSDHHAIUSA-N 0 1 319.449 3.154 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1C=NNc1ncnc2nc[nH]c21 ZINC000785453282 624668437 /nfs/dbraw/zinc/66/84/37/624668437.db2.gz NMKKWENUHZSHCU-UHFFFAOYSA-N 0 1 320.278 3.126 20 30 DGEDMN C=CCCC[C@@H](C(=O)N[C@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000879965820 624738843 /nfs/dbraw/zinc/73/88/43/624738843.db2.gz AWQNUFJHPYWWGY-CZUORRHYSA-N 0 1 312.417 3.430 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@](C)(C(F)(F)F)C1 ZINC000880001238 624747371 /nfs/dbraw/zinc/74/73/71/624747371.db2.gz QUHUOHYKWKNIJZ-GXTWGEPZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCCC[C@H](C)OC(=O)CC1(N2CCOCC2)CCCC1 ZINC000786095646 624799491 /nfs/dbraw/zinc/79/94/91/624799491.db2.gz KRJXWPSIQQSBLO-INIZCTEOSA-N 0 1 309.450 3.310 20 30 DGEDMN COc1cc(C#N)ccc1COC(=O)c1c(C)n[nH]c1C(C)C ZINC000786342259 624858949 /nfs/dbraw/zinc/85/89/49/624858949.db2.gz GAHDNZSCAMIPBL-UHFFFAOYSA-N 0 1 313.357 3.079 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000786401996 624872131 /nfs/dbraw/zinc/87/21/31/624872131.db2.gz VJVTYZWWYKWSQV-JHJVBQTASA-N 0 1 323.845 3.146 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NCc2cccc(Cl)c2)C1 ZINC000786534805 624913397 /nfs/dbraw/zinc/91/33/97/624913397.db2.gz MVWKJPRMVZBYRJ-KYOSRNDESA-N 0 1 318.804 3.101 20 30 DGEDMN N#CC1(CCC[N@H+]2CCc3cc(F)c(F)cc3C2)CCOCC1 ZINC000880444634 624957731 /nfs/dbraw/zinc/95/77/31/624957731.db2.gz QEBRWMRUMOMSDV-UHFFFAOYSA-N 0 1 320.383 3.423 20 30 DGEDMN N#CC1(CCCN2CCc3cc(F)c(F)cc3C2)CCOCC1 ZINC000880444634 624957735 /nfs/dbraw/zinc/95/77/35/624957735.db2.gz QEBRWMRUMOMSDV-UHFFFAOYSA-N 0 1 320.383 3.423 20 30 DGEDMN N#Cc1ccc(F)c(COC(=O)[C@H]2CCCN2C2CCCC2)c1 ZINC000786885285 624961833 /nfs/dbraw/zinc/96/18/33/624961833.db2.gz VRFVETXXQSJWEV-QGZVFWFLSA-N 0 1 316.376 3.148 20 30 DGEDMN N#C[C@@H](OC(=O)[C@H]1CCCN1C1CCCC1)C1CCCCC1 ZINC000786889847 624962126 /nfs/dbraw/zinc/96/21/26/624962126.db2.gz KMDWKYUJQZEZMV-IAGOWNOFSA-N 0 1 304.434 3.409 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@H](C)C1CC1)c1ccccc1 ZINC000787039648 624973513 /nfs/dbraw/zinc/97/35/13/624973513.db2.gz SLSZXBXSFYEQPI-JQFCIGGWSA-N 0 1 312.413 3.399 20 30 DGEDMN CN(Cc1ccccc1)C(=O)C(C#N)C(=O)C1C(C)(C)C1(C)C ZINC000787071113 624975659 /nfs/dbraw/zinc/97/56/59/624975659.db2.gz APVSWURIUXTTAC-AWEZNQCLSA-N 0 1 312.413 3.036 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2csc(Cl)c2)nc1 ZINC000787162421 624984410 /nfs/dbraw/zinc/98/44/10/624984410.db2.gz KMRKBRJPEXUKKN-UHFFFAOYSA-N 0 1 309.778 3.419 20 30 DGEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC(C2CCCCC2)CC1 ZINC000787171967 624985127 /nfs/dbraw/zinc/98/51/27/624985127.db2.gz GQGBRHFFTNNMBQ-HNNXBMFYSA-N 0 1 317.477 3.230 20 30 DGEDMN C#CCN1CCC(C(=O)OC/C=C\c2ccc(Cl)cc2)CC1 ZINC000787704373 625037233 /nfs/dbraw/zinc/03/72/33/625037233.db2.gz HHGBLWMUSZHQKM-ARJAWSKDSA-N 0 1 317.816 3.242 20 30 DGEDMN C#CCC1(C(=O)Nc2cccc(-c3cn[nH]n3)c2)CCCCC1 ZINC000788591287 625222207 /nfs/dbraw/zinc/22/22/07/625222207.db2.gz NWRSVNFXJKEUQD-UHFFFAOYSA-N 0 1 308.385 3.384 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000130479505 625855956 /nfs/dbraw/zinc/85/59/56/625855956.db2.gz VKKGGLZRPZMNKA-NZXMKCKXSA-N 0 1 311.168 3.297 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nccc2ccccc21 ZINC000792094831 625909247 /nfs/dbraw/zinc/90/92/47/625909247.db2.gz HJCYCDIZJAWXSJ-KEGWNNHHSA-N 0 1 306.365 3.394 20 30 DGEDMN C[C@@H]1CCC[C@H](OCC(=O)C(C#N)c2cnc3ccccc3n2)C1 ZINC000792108265 625910091 /nfs/dbraw/zinc/91/00/91/625910091.db2.gz CISOUAAERVPSMN-QLFBSQMISA-N 0 1 323.396 3.401 20 30 DGEDMN O[C@H](CN(CC#Cc1ccccc1)C1CC1)c1ccc(F)cc1 ZINC000792238228 625929669 /nfs/dbraw/zinc/92/96/69/625929669.db2.gz YUIAMGCKUOHVIX-HXUWFJFHSA-N 0 1 309.384 3.375 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccccn2)n1)c1ccccn1 ZINC000131184674 625961344 /nfs/dbraw/zinc/96/13/44/625961344.db2.gz JNFABAFPOQPJGQ-NSHDSACASA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@H](C(=O)c1csc(-c2ccccn2)n1)c1ccccn1 ZINC000131184674 625961348 /nfs/dbraw/zinc/96/13/48/625961348.db2.gz JNFABAFPOQPJGQ-NSHDSACASA-N 0 1 306.350 3.090 20 30 DGEDMN N#CC(C(=O)[C@@H]1Cc2ccc(F)cc21)c1nc2ccccc2[nH]1 ZINC000796617342 626066555 /nfs/dbraw/zinc/06/65/55/626066555.db2.gz BLVNWPICDPSMIK-ZIAGYGMSSA-N 0 1 305.312 3.218 20 30 DGEDMN C#CCN(CCOc1ccccc1[N+](=O)[O-])Cc1ccccc1 ZINC000796641253 626069113 /nfs/dbraw/zinc/06/91/13/626069113.db2.gz NURVGDOIBRSHEM-UHFFFAOYSA-N 0 1 310.353 3.109 20 30 DGEDMN COC(=O)c1csc([C@H](C)NCc2ccc(C#N)cc2)c1 ZINC000796693095 626073963 /nfs/dbraw/zinc/07/39/63/626073963.db2.gz DRWKHQXJJRHQPB-NSHDSACASA-N 0 1 300.383 3.257 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H]3CCC(=O)[C@H]3C)[nH]c21 ZINC000796720184 626076062 /nfs/dbraw/zinc/07/60/62/626076062.db2.gz UFJHKYXFKNWYEK-YNEHKIRRSA-N 0 1 309.369 3.053 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CCCn2cccn2)s1 ZINC000797571282 626153813 /nfs/dbraw/zinc/15/38/13/626153813.db2.gz PKZCPLMHGUGDQE-LBPRGKRZSA-N 0 1 316.430 3.294 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)C[C@@H]2CCCOC2)s1 ZINC000797568183 626154173 /nfs/dbraw/zinc/15/41/73/626154173.db2.gz GEFZVIMPDOWKIF-RYUDHWBXSA-N 0 1 306.431 3.434 20 30 DGEDMN COc1ccc(NN=C(C)c2ccnn2-c2ccccc2)nc1 ZINC000799113853 626272897 /nfs/dbraw/zinc/27/28/97/626272897.db2.gz XDXKECLPGMMJDV-UHFFFAOYSA-N 0 1 307.357 3.112 20 30 DGEDMN C=C(C)[C@@H](NC[C@H](O)c1ccc(F)cn1)c1ccc(F)c(F)c1 ZINC000799321604 626289869 /nfs/dbraw/zinc/28/98/69/626289869.db2.gz IESUHYXMOKKVMW-DLBZAZTESA-N 0 1 322.330 3.439 20 30 DGEDMN COc1cc(CN[C@H](C)c2ccc(Cl)nc2)ccc1C#N ZINC000799342689 626293195 /nfs/dbraw/zinc/29/31/95/626293195.db2.gz WTYYDXCBLXOAEY-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN COc1cc(CN=Nc2cc(F)c(F)cc2F)ccc1C#N ZINC000799480079 626303994 /nfs/dbraw/zinc/30/39/94/626303994.db2.gz FNJHCLPKUUQNGX-UHFFFAOYSA-N 0 1 305.259 3.430 20 30 DGEDMN CC(=NNc1ccccc1S(C)(=O)=O)c1cc(C)c(C)o1 ZINC000799880216 626333148 /nfs/dbraw/zinc/33/31/48/626333148.db2.gz HQQWTYLLWHRDGF-UHFFFAOYSA-N 0 1 306.387 3.136 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cccc(OC)c2F)c(O)c1 ZINC000799893419 626334069 /nfs/dbraw/zinc/33/40/69/626334069.db2.gz YMDWTIGHXUCIQM-TWGQIWQCSA-N 0 1 302.301 3.445 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)O1 ZINC000800391997 626355875 /nfs/dbraw/zinc/35/58/75/626355875.db2.gz QCVVEEBJJIARSW-GEYZGQBZSA-N 0 1 318.373 3.425 20 30 DGEDMN CCc1cnccc1C(C)N=Nc1cccc(F)c1C(=O)OC ZINC000800817853 626376824 /nfs/dbraw/zinc/37/68/24/626376824.db2.gz WNCVBBHNMIZQMT-UHFFFAOYSA-N 0 1 315.348 3.406 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1cccc(C#N)c1 ZINC000800818979 626376869 /nfs/dbraw/zinc/37/68/69/626376869.db2.gz YRRSJJKKVFGHKX-UHFFFAOYSA-N 0 1 311.316 3.320 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=Cc1cc(C)ccc1OC ZINC000800818047 626377016 /nfs/dbraw/zinc/37/70/16/626377016.db2.gz FEHUNYGSTJKOEQ-UHFFFAOYSA-N 0 1 316.332 3.375 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1ccc(C#N)c(C)c1 ZINC000800817908 626377021 /nfs/dbraw/zinc/37/70/21/626377021.db2.gz ZDDKUBDREWZFJJ-UHFFFAOYSA-N 0 1 311.316 3.238 20 30 DGEDMN CC(=NNc1ccc(C#N)cc1C(F)(F)F)c1cn(C)c(C)n1 ZINC000800817616 626377080 /nfs/dbraw/zinc/37/70/80/626377080.db2.gz QHBPYVJQQJUZOR-UHFFFAOYSA-N 0 1 321.306 3.455 20 30 DGEDMN Cc1[nH]c(SCCO[C@H](C)C#N)nc1Cc1ccccc1 ZINC000801655133 626413489 /nfs/dbraw/zinc/41/34/89/626413489.db2.gz RRJHETNZBFAEDF-GFCCVEGCSA-N 0 1 301.415 3.330 20 30 DGEDMN C[C@H](C#N)OCCN1CCC[C@@H]1Cc1c(F)cccc1Cl ZINC000801912769 626425502 /nfs/dbraw/zinc/42/55/02/626425502.db2.gz CNRPEYGPTPJWTI-CHWSQXEVSA-N 0 1 310.800 3.415 20 30 DGEDMN C[C@H](C#N)OCCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000801941486 626427510 /nfs/dbraw/zinc/42/75/10/626427510.db2.gz OUTLBMWTWMMLNT-OLZOCXBDSA-N 0 1 301.415 3.389 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1CCC(C)(C)C1=O ZINC000839981725 626580589 /nfs/dbraw/zinc/58/05/89/626580589.db2.gz XLJRABVFQISBCP-UHFFFAOYSA-N 0 1 313.445 3.232 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H]2CCc3cc(Cl)ccc32)CC1 ZINC000803353119 626606009 /nfs/dbraw/zinc/60/60/09/626606009.db2.gz ZIVYHTSYMPPKQG-QGZVFWFLSA-N 0 1 317.816 3.216 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1c(O)cccc1F ZINC000131652410 626665730 /nfs/dbraw/zinc/66/57/30/626665730.db2.gz ZPAVDXBQTKOGRD-JTQLQIEISA-N 0 1 300.289 3.074 20 30 DGEDMN C#CCC(CC#C)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000806839370 626736650 /nfs/dbraw/zinc/73/66/50/626736650.db2.gz GBELXQVBFQSHNG-NSHDSACASA-N 0 1 313.788 3.056 20 30 DGEDMN C#Cc1cncc(C(=O)NCc2cc(Cl)cc(Cl)c2O)c1 ZINC000807840138 626771059 /nfs/dbraw/zinc/77/10/59/626771059.db2.gz QZTQOJYKCQXYAK-UHFFFAOYSA-N 0 1 321.163 3.005 20 30 DGEDMN Fc1cc(CN=Nc2ncccc2C(F)(F)F)c(F)cn1 ZINC000807963612 626780321 /nfs/dbraw/zinc/78/03/21/626780321.db2.gz ZAUOFRJVYRNYNB-UHFFFAOYSA-N 0 1 302.206 3.220 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2cnnc3ccccc32)c[nH]1 ZINC000807972602 626781531 /nfs/dbraw/zinc/78/15/31/626781531.db2.gz FTSFQSRTHKUIPA-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN COc1cc(F)ccc1N=NC(C)c1cn2c(n1)CCCC2 ZINC000807984895 626782644 /nfs/dbraw/zinc/78/26/44/626782644.db2.gz HHTFNAOSSDQQHH-UHFFFAOYSA-N 0 1 302.353 3.203 20 30 DGEDMN CCc1nn(C)c(Cl)c1C=NNc1nccnc1C1CCC1 ZINC000807991782 626783599 /nfs/dbraw/zinc/78/35/99/626783599.db2.gz RXXZGIMMNLHHOF-UHFFFAOYSA-N 0 1 318.812 3.139 20 30 DGEDMN Cc1nc(Cl)ccc1S(=O)(=O)Nc1cccc(C#N)c1C ZINC000808098445 626792664 /nfs/dbraw/zinc/79/26/64/626792664.db2.gz MOQHKYIGARQBCA-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC000884454490 626882582 /nfs/dbraw/zinc/88/25/82/626882582.db2.gz POKJKCRDERDMKC-MRXNPFEDSA-N 0 1 313.445 3.146 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCc2sccc2Cl)cc1 ZINC000809377194 626908182 /nfs/dbraw/zinc/90/81/82/626908182.db2.gz CTSWNQUELMOXGD-UHFFFAOYSA-N 0 1 309.822 3.305 20 30 DGEDMN C#CCC(C)(C)c1nc(-c2ccc(F)c(CN(C)C)c2)no1 ZINC000809407275 626910939 /nfs/dbraw/zinc/91/09/39/626910939.db2.gz VAKQATAMXZOZPM-UHFFFAOYSA-N 0 1 301.365 3.238 20 30 DGEDMN C#Cc1ccc(CNCc2cn(-c3ccccc3)nc2C)cc1 ZINC000809637069 626930588 /nfs/dbraw/zinc/93/05/88/626930588.db2.gz OJCOYTCSJBRQLL-UHFFFAOYSA-N 0 1 301.393 3.452 20 30 DGEDMN C#Cc1ccc(CNCc2nc3cccc(Cl)c3n2C)cc1 ZINC000809643730 626931796 /nfs/dbraw/zinc/93/17/96/626931796.db2.gz XQCISHZHQXHRLG-UHFFFAOYSA-N 0 1 309.800 3.498 20 30 DGEDMN C#C[C@@H](C)NCc1cn(-c2ccc(Br)cc2)nc1C ZINC000809683570 626935180 /nfs/dbraw/zinc/93/51/80/626935180.db2.gz GQJVVHKENLREEX-LLVKDONJSA-N 0 1 318.218 3.055 20 30 DGEDMN C#C[C@H](C)NCc1cn(-c2ccc(Br)cc2)nc1C ZINC000809683575 626935588 /nfs/dbraw/zinc/93/55/88/626935588.db2.gz GQJVVHKENLREEX-NSHDSACASA-N 0 1 318.218 3.055 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(COCCOC)cc1 ZINC000809717529 626940727 /nfs/dbraw/zinc/94/07/27/626940727.db2.gz JNQRFTKXDDVTJG-UHFFFAOYSA-N 0 1 323.436 3.485 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc4c(c3)CCC4)no2)CC1 ZINC000810320935 627000462 /nfs/dbraw/zinc/00/04/62/627000462.db2.gz BADBPGYSAPLFBZ-UHFFFAOYSA-N 0 1 307.397 3.038 20 30 DGEDMN C=CCOc1ccc(OC(=O)[C@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC000810599815 627021522 /nfs/dbraw/zinc/02/15/22/627021522.db2.gz OPWWXPVELJYDDC-SFHVURJKSA-N 0 1 321.392 3.369 20 30 DGEDMN N#CC1(C[C@H](O)CN(Cc2ccccc2F)C2CC2)CCC1 ZINC000885932972 627063457 /nfs/dbraw/zinc/06/34/57/627063457.db2.gz FUDUDJDBINVEFK-INIZCTEOSA-N 0 1 302.393 3.235 20 30 DGEDMN C[C@@H](c1cc(F)ccc1F)N(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885958407 627069536 /nfs/dbraw/zinc/06/95/36/627069536.db2.gz GVTBEHUKRFNVRK-JSGCOSHPSA-N 0 1 308.372 3.402 20 30 DGEDMN CCCn1nccc1CNCc1ccc(SC)c(C#N)c1 ZINC000886276927 627129249 /nfs/dbraw/zinc/12/92/49/627129249.db2.gz AKQNXUTZBLXQGU-UHFFFAOYSA-N 0 1 300.431 3.176 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000886363797 627143568 /nfs/dbraw/zinc/14/35/68/627143568.db2.gz DKOFYFHGQDCDQG-GFCCVEGCSA-N 0 1 303.203 3.166 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@H]3CCCc4[nH]ncc43)cc2n1 ZINC000886647646 627180165 /nfs/dbraw/zinc/18/01/65/627180165.db2.gz WPGDLOQSOJBMIT-AWEZNQCLSA-N 0 1 321.384 3.004 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H]([C@@H]3CCCO3)C2)c(OC(F)F)c1 ZINC000886829600 627209780 /nfs/dbraw/zinc/20/97/80/627209780.db2.gz DCWLUFPGEUHXAH-GJZGRUSLSA-N 0 1 322.355 3.161 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)OCCCCC(C)(C)C#N)C1 ZINC000811448268 627274708 /nfs/dbraw/zinc/27/47/08/627274708.db2.gz UTRVIFQRZBJCQL-QGZVFWFLSA-N 0 1 312.429 3.462 20 30 DGEDMN C=C(C)CNN=C(C)c1cc(Br)c(F)cc1O ZINC000811651277 627300181 /nfs/dbraw/zinc/30/01/81/627300181.db2.gz PFPBSRIZEGWJLQ-UHFFFAOYSA-N 0 1 301.159 3.184 20 30 DGEDMN CC(=NNC[C@@H]1CCSC1)c1ccc(N2CCCC2)cc1O ZINC000811659896 627301148 /nfs/dbraw/zinc/30/11/48/627301148.db2.gz AVTPQKFJHSJTOE-AWEZNQCLSA-N 0 1 319.474 3.059 20 30 DGEDMN N#Cc1ccc(CN2CCC(=NOC/C=C/Cl)CC2)cc1 ZINC000811670575 627304777 /nfs/dbraw/zinc/30/47/77/627304777.db2.gz VZEWOCSXPIAFIB-UNXLUWIOSA-N 0 1 303.793 3.279 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1 ZINC000887697321 627306790 /nfs/dbraw/zinc/30/67/90/627306790.db2.gz YFACACIOKGUDNP-MRXNPFEDSA-N 0 1 302.418 3.279 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cc2ccccc2o1 ZINC000812454271 627388308 /nfs/dbraw/zinc/38/83/08/627388308.db2.gz DNNCXOQTUSVDSZ-UHFFFAOYSA-N 0 1 321.361 3.314 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2c3c(nn2C)CCCC3)cc1 ZINC000812737909 627414523 /nfs/dbraw/zinc/41/45/23/627414523.db2.gz ULXOPUOZKULIEL-OAHLLOKOSA-N 0 1 323.440 3.162 20 30 DGEDMN Cc1cc(N[C@@H]2CN(C)Cc3ccccc32)c(C#N)cc1[N+](=O)[O-] ZINC000840279231 627445301 /nfs/dbraw/zinc/44/53/01/627445301.db2.gz YLWWXVINRRQUNI-QGZVFWFLSA-N 0 1 322.368 3.374 20 30 DGEDMN CO[C@@](C)(C(=O)[C@H](C#N)c1nc2cc(F)ccc2s1)C1CC1 ZINC000813067351 627445356 /nfs/dbraw/zinc/44/53/56/627445356.db2.gz OMTWIGMYBAMHFK-MEDUHNTESA-N 0 1 318.373 3.427 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)N[C@H](CC)c1ccccc1 ZINC000813091050 627447755 /nfs/dbraw/zinc/44/77/55/627447755.db2.gz TVTSZWZLRNTFQF-WCXIOVBPSA-N 0 1 312.413 3.399 20 30 DGEDMN N#CC(C(=O)CC[C@H]1CCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813211824 627467452 /nfs/dbraw/zinc/46/74/52/627467452.db2.gz RIYMPOKKCGCWCO-JQWIXIFHSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)CC[C@@H]1CCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813211825 627467666 /nfs/dbraw/zinc/46/76/66/627467666.db2.gz RIYMPOKKCGCWCO-PWSUYJOCSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813216746 627468137 /nfs/dbraw/zinc/46/81/37/627468137.db2.gz YDRVKYFVOLNJKJ-JQWIXIFHSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)CC1CCOCC1)c1cc(C(F)(F)F)ccn1 ZINC000813214943 627468201 /nfs/dbraw/zinc/46/82/01/627468201.db2.gz SCGHENREPIMXEE-LBPRGKRZSA-N 0 1 312.291 3.093 20 30 DGEDMN N#C[C@H](C(=O)Cc1ccc(F)cn1)c1cc(C(F)(F)F)ccn1 ZINC000813218152 627468650 /nfs/dbraw/zinc/46/86/50/627468650.db2.gz MKJBLWHMBZVRNA-LBPRGKRZSA-N 0 1 323.249 3.053 20 30 DGEDMN FC(F)(F)c1nc2ccccc2c(NN=Cc2cocn2)n1 ZINC000814769945 627589528 /nfs/dbraw/zinc/58/95/28/627589528.db2.gz MOYSWZKKOGHUKU-UHFFFAOYSA-N 0 1 307.235 3.083 20 30 DGEDMN CCC(N=Nc1nnc(-c2ccccc2)n1C)c1cccc(N)c1 ZINC000814806012 627593289 /nfs/dbraw/zinc/59/32/89/627593289.db2.gz NHOZCCPSKKMKKP-UHFFFAOYSA-N 0 1 320.400 3.291 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NC2COc3ccccc32)c(Cl)c1 ZINC000814832904 627596776 /nfs/dbraw/zinc/59/67/76/627596776.db2.gz RAVLPNJDYQYXJV-UHFFFAOYSA-N 0 1 303.705 3.457 20 30 DGEDMN C[C@@H]1C(=NOC[C@@H]2CCOC2)CCCN1Cc1ccccc1 ZINC000814912407 627606782 /nfs/dbraw/zinc/60/67/82/627606782.db2.gz RXANLOCUALWWAV-NVXWUHKLSA-N 0 1 302.418 3.080 20 30 DGEDMN OC[C@@H](NN=C1CC[C@@H]2CNc3cccc1c32)c1ccccc1 ZINC000814919454 627607642 /nfs/dbraw/zinc/60/76/42/627607642.db2.gz LYQXOKGBYYMSPQ-RDTXWAMCSA-N 0 1 307.397 3.017 20 30 DGEDMN Brc1cc2c(s1)CCCC2N=Nc1cncnc1 ZINC000814926871 627609428 /nfs/dbraw/zinc/60/94/28/627609428.db2.gz NVLUOBLUBIDNCZ-UHFFFAOYSA-N 0 1 323.219 3.453 20 30 DGEDMN COCc1cnc(CN=Nc2ccc(F)c(F)c2F)s1 ZINC000814945326 627612271 /nfs/dbraw/zinc/61/22/71/627612271.db2.gz AJCUGHPFCAJOTO-UHFFFAOYSA-N 0 1 301.293 3.153 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@H]2CCCCN2C2CCCC2)cc1 ZINC000815445471 627673893 /nfs/dbraw/zinc/67/38/93/627673893.db2.gz ZQJNWHAJIWFQTI-GOSISDBHSA-N 0 1 312.413 3.399 20 30 DGEDMN CCc1ccc(C#CC(=O)NCc2nc3ccc(C)cc3[nH]2)cc1 ZINC000815736465 627700957 /nfs/dbraw/zinc/70/09/57/627700957.db2.gz AJVCMEMFFNQMHM-UHFFFAOYSA-N 0 1 317.392 3.102 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C(C)(F)F ZINC000815907608 627711339 /nfs/dbraw/zinc/71/13/39/627711339.db2.gz FVUFVELPGDGDIH-DTWKUNHWSA-N 0 1 314.719 3.279 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F ZINC000815909021 627711879 /nfs/dbraw/zinc/71/18/79/627711879.db2.gz PFNFGWFXXWOUCS-WDEREUQCSA-N 0 1 324.327 3.024 20 30 DGEDMN N#C[C@@H](C(=O)Cc1c[nH]c2cccc(Cl)c12)c1ccncn1 ZINC000816018860 627726199 /nfs/dbraw/zinc/72/61/99/627726199.db2.gz SAFLYLAXHPWLER-LLVKDONJSA-N 0 1 310.744 3.030 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000925386019 627732348 /nfs/dbraw/zinc/73/23/48/627732348.db2.gz VDFDGODFEPVVQW-OCCSQVGLSA-N 0 1 319.836 3.363 20 30 DGEDMN C=CCC(F)(F)C(=O)N(CC)[C@H]1CCN(Cc2ccccc2)C1 ZINC000816105909 627739014 /nfs/dbraw/zinc/73/90/14/627739014.db2.gz XOGMKOYENLSILN-INIZCTEOSA-N 0 1 322.399 3.321 20 30 DGEDMN C=CCC(F)(F)C(=O)N(CC)[C@@H]1CCN(Cc2ccccc2)C1 ZINC000816105910 627739097 /nfs/dbraw/zinc/73/90/97/627739097.db2.gz XOGMKOYENLSILN-MRXNPFEDSA-N 0 1 322.399 3.321 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCC(c3cn[nH]c3C)CC2)cc1 ZINC000816606556 627813560 /nfs/dbraw/zinc/81/35/60/627813560.db2.gz NRPKOZWWTNMJMB-UHFFFAOYSA-N 0 1 321.424 3.038 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)NCc1ccncc1OCC(F)(F)F ZINC000926355838 627883562 /nfs/dbraw/zinc/88/35/62/627883562.db2.gz UDVBUWHWFVGZLJ-NSHDSACASA-N 0 1 315.339 3.441 20 30 DGEDMN COc1cc(CN[C@H](C)c2ccnn2CC2CCC2)ccc1C#N ZINC000926447336 627896834 /nfs/dbraw/zinc/89/68/34/627896834.db2.gz ASEINSVFVJQACC-CQSZACIVSA-N 0 1 324.428 3.414 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC23CC3)cc1 ZINC000817714607 627938083 /nfs/dbraw/zinc/93/80/83/627938083.db2.gz VHGPPRUODCLXEY-HOTGVXAUSA-N 0 1 310.397 3.477 20 30 DGEDMN COc1cc([C@H](C)N2CCN(CCC#N)CC2)cc2ccccc21 ZINC000926806727 627959114 /nfs/dbraw/zinc/95/91/14/627959114.db2.gz HKRUXPOQNHOJEH-INIZCTEOSA-N 0 1 323.440 3.441 20 30 DGEDMN C[C@H](C1CC(F)(F)C1)N1CCN(c2ccc(F)cc2C#N)CC1 ZINC000926808896 627959789 /nfs/dbraw/zinc/95/97/89/627959789.db2.gz UHSVQRLCSAAUTN-GFCCVEGCSA-N 0 1 323.362 3.253 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC[C@H](C#N)C3)n[nH]2)cc1C ZINC000928219385 628126563 /nfs/dbraw/zinc/12/65/63/628126563.db2.gz VQQNVPVFYIYUFO-CQSZACIVSA-N 0 1 308.385 3.069 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@H](CC)CC2CCCCC2)CC1 ZINC000928655129 628173124 /nfs/dbraw/zinc/17/31/24/628173124.db2.gz LEVMAUXVSFQQBR-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCCC[N@@H+](C)Cc1ccc(F)c(-c2nnn[n-]2)c1 ZINC000819739594 628184691 /nfs/dbraw/zinc/18/46/91/628184691.db2.gz AKCSLDBCMFSDGX-UHFFFAOYSA-N 0 1 303.385 3.184 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1ccc(F)c(-c2nnn[n-]2)c1 ZINC000819739594 628184694 /nfs/dbraw/zinc/18/46/94/628184694.db2.gz AKCSLDBCMFSDGX-UHFFFAOYSA-N 0 1 303.385 3.184 20 30 DGEDMN C=CCN(Cc1ccc2nonc2c1)Cc1cccc(C#N)c1 ZINC000821362721 628360605 /nfs/dbraw/zinc/36/06/05/628360605.db2.gz OPZQHIFSVZOEGG-UHFFFAOYSA-N 0 1 304.353 3.283 20 30 DGEDMN C=C(Br)CN1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000823660690 628584423 /nfs/dbraw/zinc/58/44/23/628584423.db2.gz NMMBSDOGUIJYAL-CYBMUJFWSA-N 0 1 300.240 3.176 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000823811463 628598701 /nfs/dbraw/zinc/59/87/01/628598701.db2.gz QOANQGQUUQESMK-AWEZNQCLSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000823811463 628598702 /nfs/dbraw/zinc/59/87/02/628598702.db2.gz QOANQGQUUQESMK-AWEZNQCLSA-N 0 1 318.465 3.312 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@@H]2CCCC[C@H]2C)o1 ZINC000824352495 628653948 /nfs/dbraw/zinc/65/39/48/628653948.db2.gz FYNVTGZNMGTSQK-MNOVXSKESA-N 0 1 317.397 3.202 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@](C)(COC)C1 ZINC000824583175 628673933 /nfs/dbraw/zinc/67/39/33/628673933.db2.gz IRIHROOJPKKLMD-QGZVFWFLSA-N 0 1 307.821 3.210 20 30 DGEDMN CCCC[C@H](CC)CCN=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000825261386 628743571 /nfs/dbraw/zinc/74/35/71/628743571.db2.gz YLFRICWNEPKTDC-NSHDSACASA-N 0 1 305.386 3.167 20 30 DGEDMN COC(=O)/C=C\c1ccc(CNC(C)(C)c2cccc(C#N)c2)o1 ZINC000826911541 628902795 /nfs/dbraw/zinc/90/27/95/628902795.db2.gz ZZVURPXVXUKKGM-KTKRTIGZSA-N 0 1 324.380 3.362 20 30 DGEDMN CCC[N@@H+](Cc1ccc(F)cc1C#N)C1CCN(C(=O)[O-])CC1 ZINC000826912044 628902870 /nfs/dbraw/zinc/90/28/70/628902870.db2.gz NEQRFNQUYHIDRH-UHFFFAOYSA-N 0 1 319.380 3.052 20 30 DGEDMN CN(C(=O)c1ccc(CN2CCCCC2)o1)[C@H]1CCC[C@H]1C#N ZINC000828141061 629027024 /nfs/dbraw/zinc/02/70/24/629027024.db2.gz JOWTXXYKDXUTAO-HOCLYGCPSA-N 0 1 315.417 3.030 20 30 DGEDMN Cc1nc2cccc(Cl)c2cc1C(=O)NC1=NO[C@H](C)C1 ZINC000867063479 629179581 /nfs/dbraw/zinc/17/95/81/629179581.db2.gz ZJONAKJYXHDXBH-MRVPVSSYSA-N 0 1 303.749 3.049 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc3oc4ccccc4c3c2)C1 ZINC000829969306 629213308 /nfs/dbraw/zinc/21/33/08/629213308.db2.gz OQTRFZABMKVCOZ-LJQANCHMSA-N 0 1 306.365 3.436 20 30 DGEDMN C[N@@H+](Cc1cccc(Cl)c1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830845386 629311246 /nfs/dbraw/zinc/31/12/46/629311246.db2.gz WBNSBPOQQIAQEU-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN C[C@@H](C#N)OCCN1CC[C@@H](c2cccc(Br)c2)C1 ZINC000831597588 629395469 /nfs/dbraw/zinc/39/54/69/629395469.db2.gz MECBPZZHGWDALT-GXTWGEPZSA-N 0 1 323.234 3.167 20 30 DGEDMN C[C@H](C#N)OCCN1CC[C@@H](c2cccc(Br)c2)C1 ZINC000831597591 629395540 /nfs/dbraw/zinc/39/55/40/629395540.db2.gz MECBPZZHGWDALT-TZMCWYRMSA-N 0 1 323.234 3.167 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)CC(C)(C)C#N)C2)n[nH]1 ZINC000831892694 629424360 /nfs/dbraw/zinc/42/43/60/629424360.db2.gz PLDOZXRSDGCVGH-CYBMUJFWSA-N 0 1 302.422 3.179 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)c3coc(C#N)c3)C2)n[nH]1 ZINC000831926295 629429349 /nfs/dbraw/zinc/42/93/49/629429349.db2.gz SLDLYXVCBUUIRL-GFCCVEGCSA-N 0 1 312.373 3.018 20 30 DGEDMN Cc1ccccc1[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000832977268 629544020 /nfs/dbraw/zinc/54/40/20/629544020.db2.gz GSBGPYZAFFOZQP-PKOBYXMFSA-N 0 1 320.392 3.482 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](Cc3ccccc3)C2)c1 ZINC000833074479 629555288 /nfs/dbraw/zinc/55/52/88/629555288.db2.gz YSXHIBXIDOPGGC-HKUYNNGSSA-N 0 1 320.392 3.249 20 30 DGEDMN C#CCOc1ccccc1C[N@@H+]1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000833366518 629592896 /nfs/dbraw/zinc/59/28/96/629592896.db2.gz BAIYCGVJIUHAOI-MRXNPFEDSA-N 0 1 315.413 3.021 20 30 DGEDMN C=CC[N@H+](Cc1coc(-c2ccc(C(=O)[O-])cc2)n1)C(C)C ZINC000833376531 629594286 /nfs/dbraw/zinc/59/42/86/629594286.db2.gz ZMMGAVKIIBCTCL-UHFFFAOYSA-N 0 1 300.358 3.436 20 30 DGEDMN C=CC[N@@H+](Cc1coc(-c2ccc(C(=O)[O-])cc2)n1)C(C)C ZINC000833376531 629594290 /nfs/dbraw/zinc/59/42/90/629594290.db2.gz ZMMGAVKIIBCTCL-UHFFFAOYSA-N 0 1 300.358 3.436 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1ccc(C(=O)[O-])c(F)c1 ZINC000833374683 629594355 /nfs/dbraw/zinc/59/43/55/629594355.db2.gz XSXPLHUWSIMVQJ-UHFFFAOYSA-N 0 1 303.333 3.348 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000833413110 629601477 /nfs/dbraw/zinc/60/14/77/629601477.db2.gz JSPVJIKEJSWOJQ-INIZCTEOSA-N 0 1 300.402 3.317 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCCC[C@H]2[C@@H]2CCCN2C(=O)[O-])s1 ZINC000833972269 629664851 /nfs/dbraw/zinc/66/48/51/629664851.db2.gz YBJWQACMBGDYBV-GJZGRUSLSA-N 0 1 319.430 3.117 20 30 DGEDMN C=CCC[C@H](CO)[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC000834903868 629804025 /nfs/dbraw/zinc/80/40/25/629804025.db2.gz KPJFUXQWLLJCAH-ZYHUDNBSSA-N 0 1 314.223 3.132 20 30 DGEDMN Cc1cc(NN=C2CCCOc3cc(F)cc(F)c32)nc(C)n1 ZINC000834940112 629810400 /nfs/dbraw/zinc/81/04/00/629810400.db2.gz DKZXJSWTBJTUTH-UHFFFAOYSA-N 0 1 318.327 3.360 20 30 DGEDMN Cc1cc(NN=C2CCCOc3cc(F)cc(F)c32)ncn1 ZINC000834958540 629812976 /nfs/dbraw/zinc/81/29/76/629812976.db2.gz SHVXTJYLPUACKL-UHFFFAOYSA-N 0 1 304.300 3.052 20 30 DGEDMN COc1ccc(N=NC2CCn3ccnc32)c(C(F)(F)F)c1 ZINC000834981352 629817069 /nfs/dbraw/zinc/81/70/69/629817069.db2.gz NDYQTRRDCQAUEK-UHFFFAOYSA-N 0 1 310.279 3.130 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2CC(C)C)c1 ZINC000835061226 629840787 /nfs/dbraw/zinc/84/07/87/629840787.db2.gz JUPAEPWZLWBRBZ-WQVCFCJDSA-N 0 1 314.385 3.025 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CC2CC(F)(F)C2)c1 ZINC000835063176 629841792 /nfs/dbraw/zinc/84/17/92/629841792.db2.gz IABVSEVNAMXHEK-CYBMUJFWSA-N 0 1 306.312 3.078 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000835064060 629842719 /nfs/dbraw/zinc/84/27/19/629842719.db2.gz ARRFVPZCJSTOKU-YUSALJHKSA-N 0 1 320.776 3.042 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CCCC(C)C ZINC000835064998 629842883 /nfs/dbraw/zinc/84/28/83/629842883.db2.gz JSSWSDHKSKNCKK-CYBMUJFWSA-N 0 1 302.374 3.169 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CC3CC(F)(F)C3)[nH]c21 ZINC000835171491 629868876 /nfs/dbraw/zinc/86/88/76/629868876.db2.gz FUOYABPXNNWUOC-NSHDSACASA-N 0 1 303.312 3.483 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2CC(C)C)c1 ZINC000835339034 629909728 /nfs/dbraw/zinc/90/97/28/629909728.db2.gz DMAGSDSLPXTQBY-BRWVUGGUSA-N 0 1 312.413 3.002 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)N[C@H](CC)c1ccccc1 ZINC000835340405 629910078 /nfs/dbraw/zinc/91/00/78/629910078.db2.gz NFCDIFJKIWBKKX-HZPDHXFCSA-N 0 1 300.402 3.399 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C1CCCCCC1 ZINC000102120220 629951427 /nfs/dbraw/zinc/95/14/27/629951427.db2.gz FBNLLPRZGFGHQN-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)O[C@H]2CC[C@@](C)(C#N)CC2)C1 ZINC000836152031 630074906 /nfs/dbraw/zinc/07/49/06/630074906.db2.gz WJZZHAOPTQQOMR-XKQJLSEDSA-N 0 1 310.413 3.215 20 30 DGEDMN C=C(CC)CNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000836653320 630146109 /nfs/dbraw/zinc/14/61/09/630146109.db2.gz IIMUEVYNYKFPIA-UHFFFAOYSA-N 0 1 303.189 3.464 20 30 DGEDMN C=CCONC(=O)C(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000837048931 630206975 /nfs/dbraw/zinc/20/69/75/630206975.db2.gz SAPZZZLVJDEURG-UHFFFAOYSA-N 0 1 303.280 3.097 20 30 DGEDMN N#Cc1ccccc1-c1ccc(CN2CCC3(C2)OCCO3)cc1 ZINC000104080384 630390914 /nfs/dbraw/zinc/39/09/14/630390914.db2.gz OOPHWFFYRJBGAG-UHFFFAOYSA-N 0 1 320.392 3.174 20 30 DGEDMN CC(C)c1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c(C(C)C)c1 ZINC000841032286 630399008 /nfs/dbraw/zinc/39/90/08/630399008.db2.gz BZTGTANUCIPWMR-RHSMWYFYSA-N 0 1 314.433 3.166 20 30 DGEDMN CN(C)c1ccc(C(N)=NOC[C@@H]2CCCCC2(F)F)cc1 ZINC000842022440 630479092 /nfs/dbraw/zinc/47/90/92/630479092.db2.gz GUADCBDXPNWUIW-ZDUSSCGKSA-N 0 1 311.376 3.215 20 30 DGEDMN CC(C)(C)c1[nH]n(CCc2cscn2)c(=O)c1CCC#N ZINC000842034796 630480684 /nfs/dbraw/zinc/48/06/84/630480684.db2.gz XLULUQFDOBDYHW-UHFFFAOYSA-N 0 1 304.419 3.042 20 30 DGEDMN N#CC1(CON=C(N)c2ccc(N3CCCCC3)cc2)CCC1 ZINC000842036907 630481127 /nfs/dbraw/zinc/48/11/27/630481127.db2.gz REMLWGGOKPVEAI-UHFFFAOYSA-N 0 1 312.417 3.008 20 30 DGEDMN CCC(CC)CN(CCC#N)Cc1cc2c(cc1O)OCO2 ZINC000104655481 630500041 /nfs/dbraw/zinc/50/00/41/630500041.db2.gz HSOSQNMSAUMJMI-UHFFFAOYSA-N 0 1 304.390 3.273 20 30 DGEDMN C[C@H](CO)N(C)N=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000842537630 630545286 /nfs/dbraw/zinc/54/52/86/630545286.db2.gz CVSUJNWYTFHMCY-CQSZACIVSA-N 0 1 317.458 3.031 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)C1(F)CC1 ZINC000842684307 630563302 /nfs/dbraw/zinc/56/33/02/630563302.db2.gz BMOZJQMWIYEUTO-MRVPVSSYSA-N 0 1 315.131 3.143 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)C1(F)CC1 ZINC000842690297 630563815 /nfs/dbraw/zinc/56/38/15/630563815.db2.gz SBSCSPOQZUZVAG-JTQLQIEISA-N 0 1 315.131 3.143 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2cc3c(cc2OC(F)F)OCO3)C1 ZINC000843424158 630656540 /nfs/dbraw/zinc/65/65/40/630656540.db2.gz PUZCCWXVDQBALV-GFCCVEGCSA-N 0 1 323.339 3.252 20 30 DGEDMN C#CC1CCN(Cc2ccc(C(=O)OCc3ccccc3)[nH]2)CC1 ZINC000843426744 630657061 /nfs/dbraw/zinc/65/70/61/630657061.db2.gz IWKZKVRPMQYNIC-UHFFFAOYSA-N 0 1 322.408 3.217 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCCN1Cc1ccccc1)c1ccccc1 ZINC000843640002 630682537 /nfs/dbraw/zinc/68/25/37/630682537.db2.gz WPAOGOZXJPNGOA-PMACEKPBSA-N 0 1 318.420 3.142 20 30 DGEDMN C=C(Br)CNCc1cccc(OCCCC#N)c1 ZINC000105453377 630712550 /nfs/dbraw/zinc/71/25/50/630712550.db2.gz GVYDPHDCVMCFSJ-UHFFFAOYSA-N 0 1 309.207 3.367 20 30 DGEDMN C=CCNC(=O)[C@@H](C)Nc1ccc(N(CCC)CCC)cc1 ZINC000844634281 630745543 /nfs/dbraw/zinc/74/55/43/630745543.db2.gz NJRJYVXAWLQQJW-OAHLLOKOSA-N 0 1 303.450 3.416 20 30 DGEDMN N#Cc1cccc(CN2CCC(NC(=O)C=C3CCCC3)CC2)c1 ZINC000845006982 631032078 /nfs/dbraw/zinc/03/20/78/631032078.db2.gz PHIYSOUQPGDJKU-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCc3ccccc3C2)nc(C)n1 ZINC000845444156 631175320 /nfs/dbraw/zinc/17/53/20/631175320.db2.gz LMECOPOPUUTNHS-DLBZAZTESA-N 0 1 305.381 3.075 20 30 DGEDMN CCCCCCCCCS(=O)(=O)N(C)C1CCN(C)CC1 ZINC000845790190 631196537 /nfs/dbraw/zinc/19/65/37/631196537.db2.gz QXBWEFXVTNSYHO-UHFFFAOYSA-N 0 1 318.527 3.093 20 30 DGEDMN N#CC(C(=O)CCc1cscn1)=C(O)C1(c2ccccc2)CC1 ZINC000845838848 631198430 /nfs/dbraw/zinc/19/84/30/631198430.db2.gz PXQWAGVODGRXEO-HNNXBMFYSA-N 0 1 324.405 3.085 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)CCC1=O ZINC000845840528 631198559 /nfs/dbraw/zinc/19/85/59/631198559.db2.gz DGHJCHULVLVADR-YCPHGPKFSA-N 0 1 323.392 3.001 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)CCC1=O ZINC000845840526 631198658 /nfs/dbraw/zinc/19/86/58/631198658.db2.gz DGHJCHULVLVADR-IIAWOOMASA-N 0 1 323.392 3.001 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@@](O)(c3ccc(Cl)cc3)C2)o1 ZINC000846009464 631205444 /nfs/dbraw/zinc/20/54/44/631205444.db2.gz AELQPXHQEVLMQZ-KRWDZBQOSA-N 0 1 316.788 3.288 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@](O)(c3ccc(Cl)cc3)C2)o1 ZINC000846009464 631205445 /nfs/dbraw/zinc/20/54/45/631205445.db2.gz AELQPXHQEVLMQZ-KRWDZBQOSA-N 0 1 316.788 3.288 20 30 DGEDMN C[C@@H]1C[C@@H](NCC2(C#N)CCCC2)CN(C(=O)OC(C)(C)C)C1 ZINC000846010985 631205800 /nfs/dbraw/zinc/20/58/00/631205800.db2.gz PQQAHBOTIONZIM-HUUCEWRRSA-N 0 1 321.465 3.305 20 30 DGEDMN C=CC[N@H+](CC/C=C\c1ccc(Br)cc1)CC(=O)[O-] ZINC000846263375 631217501 /nfs/dbraw/zinc/21/75/01/631217501.db2.gz KODFSQGOGDEUHY-HYXAFXHYSA-N 0 1 324.218 3.425 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2cc(=O)oc3cc4c(cc23)CCC4)C1 ZINC000847033773 631385966 /nfs/dbraw/zinc/38/59/66/631385966.db2.gz ZSPBZGAZUFJGQY-CQSZACIVSA-N 0 1 307.393 3.127 20 30 DGEDMN C[C@@H](CC(=O)Nc1cc(C#N)ccc1O)N1CCc2ccccc21 ZINC000847617091 631496951 /nfs/dbraw/zinc/49/69/51/631496951.db2.gz YPVXFJWLTSRSKY-ZDUSSCGKSA-N 0 1 321.380 3.044 20 30 DGEDMN CCCCCCCCC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000848274567 631637989 /nfs/dbraw/zinc/63/79/89/631637989.db2.gz DEODIAWRXWJFSS-CYBMUJFWSA-N 0 1 308.426 3.023 20 30 DGEDMN C=CC[C@H](NCc1cc(C(=O)OC)oc1C)c1ccncc1 ZINC000848897921 631777457 /nfs/dbraw/zinc/77/74/57/631777457.db2.gz WLIHVXGDNAGXRV-HNNXBMFYSA-N 0 1 300.358 3.177 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c(C)nn(CC(C)C)c2OCC)C1 ZINC000848923609 631789471 /nfs/dbraw/zinc/78/94/71/631789471.db2.gz OERWHXPVBGSOKS-INIZCTEOSA-N 0 1 303.450 3.091 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](NCC2(C#N)CCCCC2)C1 ZINC000849350141 631900870 /nfs/dbraw/zinc/90/08/70/631900870.db2.gz LBPUIVSADZLOHF-HNNXBMFYSA-N 0 1 321.465 3.450 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](NCC2(C#N)CCCCC2)C1 ZINC000849350721 631901516 /nfs/dbraw/zinc/90/15/16/631901516.db2.gz TVGZHEZPVGXMQO-AWEZNQCLSA-N 0 1 307.438 3.059 20 30 DGEDMN C=CCCn1cc(CN[C@H](C)Cc2ccccc2Cl)nn1 ZINC000850031069 632033068 /nfs/dbraw/zinc/03/30/68/632033068.db2.gz PQDVCPSLYUXAIS-CYBMUJFWSA-N 0 1 304.825 3.228 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2c(C3CC3)cnn2C)c1 ZINC000850137591 632064210 /nfs/dbraw/zinc/06/42/10/632064210.db2.gz SNZZONBHTAJEHR-MRXNPFEDSA-N 0 1 324.428 3.268 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2scnc2C)cc1)[C@@H]1CCCO1 ZINC000850251127 632094178 /nfs/dbraw/zinc/09/41/78/632094178.db2.gz MMDAQFPLDDIPHN-SJORKVTESA-N 0 1 312.438 3.389 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850372568 632130293 /nfs/dbraw/zinc/13/02/93/632130293.db2.gz WIRUYXJDIBAPHQ-UPRAQXHNSA-N 0 1 308.381 3.245 20 30 DGEDMN COc1cccc([C@@H](OC)C(=O)[C@H](C#N)c2nc(C)cs2)c1 ZINC000850737172 632209862 /nfs/dbraw/zinc/20/98/62/632209862.db2.gz SBDQCKHKCGPEPN-DZGCQCFKSA-N 0 1 316.382 3.024 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCC2(CC2)C1 ZINC000850752313 632215039 /nfs/dbraw/zinc/21/50/39/632215039.db2.gz MYODJAJNYBBIFD-CABCVRRESA-N 0 1 304.434 3.362 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCc3cc(F)ccc32)nc(C)n1 ZINC000850754933 632215644 /nfs/dbraw/zinc/21/56/44/632215644.db2.gz AMWRMTVHEWQAFS-JKSUJKDBSA-N 0 1 309.344 3.139 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@H]1CCCC2(CC2)C1 ZINC000850758130 632216861 /nfs/dbraw/zinc/21/68/61/632216861.db2.gz YSPRMSDHQCJOKR-ZFWWWQNUSA-N 0 1 302.418 3.115 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCC[C@@H]1Cc1ccccc1)c1ccncn1 ZINC000850946942 632265205 /nfs/dbraw/zinc/26/52/05/632265205.db2.gz BGLQYBWQIQDYKC-ZACQAIPSSA-N 0 1 305.381 3.312 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000850985779 632277907 /nfs/dbraw/zinc/27/79/07/632277907.db2.gz MACHJLSJILVJJW-FZKQIMNGSA-N 0 1 309.369 3.011 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1cc(Cl)ccc1OC ZINC000852099479 632554370 /nfs/dbraw/zinc/55/43/70/632554370.db2.gz AGEHAHXVTDFCSR-BDJLRTHQSA-N 0 1 308.809 3.067 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1nc2ccc(F)cc2s1 ZINC000852099727 632554757 /nfs/dbraw/zinc/55/47/57/632554757.db2.gz DDBBKRDDMARLIR-QFYYESIMSA-N 0 1 319.405 3.154 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2Cc3ccc(NC(=O)C(F)(F)F)cc3C2)C1 ZINC000852336452 632590743 /nfs/dbraw/zinc/59/07/43/632590743.db2.gz VPCKNQKVTAYRIQ-QMTHXVAHSA-N 0 1 323.318 3.195 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2cc(CC)ccc12)[C@@H](C)COC ZINC000852357061 632594187 /nfs/dbraw/zinc/59/41/87/632594187.db2.gz WNTBEMCXGWYMAK-AWEZNQCLSA-N 0 1 315.413 3.378 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2ccc([N+](=O)[O-])cc2[N+](=O)[O-])C1 ZINC000852411440 632600889 /nfs/dbraw/zinc/60/08/89/632600889.db2.gz UOJBFNWNRKKIME-GFCCVEGCSA-N 0 1 305.334 3.291 20 30 DGEDMN C[C@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)[C@H]1CCO ZINC000852457706 632605511 /nfs/dbraw/zinc/60/55/11/632605511.db2.gz VCPUJMUZSXFHJY-BBRMVZONSA-N 0 1 322.836 3.225 20 30 DGEDMN C[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)[C@H]1CCO ZINC000852457707 632606009 /nfs/dbraw/zinc/60/60/09/632606009.db2.gz VCPUJMUZSXFHJY-CJNGLKHVSA-N 0 1 322.836 3.225 20 30 DGEDMN CN(Cc1cc(Cl)ccc1OCC#N)CC1=CCCOC1 ZINC000852518673 632616103 /nfs/dbraw/zinc/61/61/03/632616103.db2.gz MDEKUXQMFXJRBO-UHFFFAOYSA-N 0 1 306.793 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C)c2)CC1(C)C ZINC000995394186 660201847 /nfs/dbraw/zinc/20/18/47/660201847.db2.gz DYTKXYRWTSWULJ-OAHLLOKOSA-N 0 1 306.837 3.188 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@H](C)C1 ZINC000944192393 660215066 /nfs/dbraw/zinc/21/50/66/660215066.db2.gz LFDFTFHCRFNRBW-NVXWUHKLSA-N 0 1 324.424 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC2=CCCCC2)CC1(C)C ZINC000995565610 660228924 /nfs/dbraw/zinc/22/89/24/660228924.db2.gz SDRMFVDHPQLDNK-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(CC)oc3ccccc32)[C@@H](C)C1 ZINC000945178221 660242783 /nfs/dbraw/zinc/24/27/83/660242783.db2.gz FIUXMVJJKASDOF-HOCLYGCPSA-N 0 1 324.424 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(C)(C)C2)CC1(C)C ZINC000996250824 660278569 /nfs/dbraw/zinc/27/85/69/660278569.db2.gz YYGRLUREXNVHPW-ZIAGYGMSSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCCN1CC[C@@H](NC(=O)c2cc3cc(C)ccc3s2)C1 ZINC001015929278 660272868 /nfs/dbraw/zinc/27/28/68/660272868.db2.gz RWUBQRZUELDAMZ-OAHLLOKOSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CCCC23CC3)CC1(C)C ZINC000995959280 660273158 /nfs/dbraw/zinc/27/31/58/660273158.db2.gz PSZREJGJJBRDKQ-KGLIPLIRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(F)ccc2C)CC1(C)C ZINC000996118739 660275793 /nfs/dbraw/zinc/27/57/93/660275793.db2.gz XLRLPTCOQOGWLU-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1(C)C ZINC000995836441 660271078 /nfs/dbraw/zinc/27/10/78/660271078.db2.gz AHXOANOPYDUZGH-QKPAOTATSA-N 0 1 322.880 3.168 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CC23CCCC3)CC1(C)C ZINC000996483371 660283365 /nfs/dbraw/zinc/28/33/65/660283365.db2.gz FPTYUJYGAHIYBY-UONOGXRCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H](F)c2ccccc2)CC1(C)C ZINC000996362658 660280099 /nfs/dbraw/zinc/28/00/99/660280099.db2.gz ZEJBZWMJASCXTF-GJZGRUSLSA-N 0 1 324.827 3.276 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cscc1C(F)F ZINC001032407781 660312345 /nfs/dbraw/zinc/31/23/45/660312345.db2.gz NYDBIXGPSXUMFI-QWRGUYRKSA-N 0 1 312.385 3.161 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2c1cccc2Cl ZINC001038519291 660321927 /nfs/dbraw/zinc/32/19/27/660321927.db2.gz WPIZRVWZTIRHBV-XJKSGUPXSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)c2ccccc12 ZINC001032507454 660333496 /nfs/dbraw/zinc/33/34/96/660333496.db2.gz BICAHOXQGKCJDD-HOTGVXAUSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc2ccccc2o1 ZINC001032508793 660333700 /nfs/dbraw/zinc/33/37/00/660333700.db2.gz LMCKLXTYEQGTGG-IRXDYDNUSA-N 0 1 324.424 3.227 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2cc1F ZINC001032514559 660335369 /nfs/dbraw/zinc/33/53/69/660335369.db2.gz VYKGTNYTGYCTHZ-IRXDYDNUSA-N 0 1 324.399 3.454 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C2CCCC2)cc1 ZINC001032523385 660337198 /nfs/dbraw/zinc/33/71/98/660337198.db2.gz WHDYPHVRFWRIBX-PMACEKPBSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2c(cc(C)cc2C)[nH]1 ZINC001032545302 660342224 /nfs/dbraw/zinc/34/22/24/660342224.db2.gz AVOGRHPYWDMGSG-HOTGVXAUSA-N 0 1 323.440 3.260 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@@H]2CC2(F)F)CC1 ZINC000998011111 660363738 /nfs/dbraw/zinc/36/37/38/660363738.db2.gz GAUVUZHEFUDCTL-STQMWFEESA-N 0 1 320.811 3.097 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(C)c1 ZINC001032605613 660374259 /nfs/dbraw/zinc/37/42/59/660374259.db2.gz DHOULQRVGQZXIS-CMKODMSKSA-N 0 1 312.457 3.382 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)[C@H]2CCC(C)(C)C2)CC1 ZINC000998112234 660370664 /nfs/dbraw/zinc/37/06/64/660370664.db2.gz SRLMWPJPUQAXKV-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2ccc(F)cc2)C1 ZINC000999620483 660423413 /nfs/dbraw/zinc/42/34/13/660423413.db2.gz BBNUDWHDLSKMIK-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2c(C)oc(C)c2C)C1 ZINC000999649435 660423852 /nfs/dbraw/zinc/42/38/52/660423852.db2.gz HHCBYZRKQYLLOZ-CQSZACIVSA-N 0 1 310.825 3.152 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001016268805 660456001 /nfs/dbraw/zinc/45/60/01/660456001.db2.gz OMCXZSDNLMCPRO-GOSISDBHSA-N 0 1 312.457 3.102 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2csc(C)c2C)C[C@@H]1C ZINC001054680498 660467533 /nfs/dbraw/zinc/46/75/33/660467533.db2.gz NIMQGQUQORJWNM-XPTSAGLGSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001016383631 660490227 /nfs/dbraw/zinc/49/02/27/660490227.db2.gz LCUSWQQGPGVYFF-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001016383631 660490228 /nfs/dbraw/zinc/49/02/28/660490228.db2.gz LCUSWQQGPGVYFF-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(CCCC)cc2)CC1 ZINC001003271446 660490265 /nfs/dbraw/zinc/49/02/65/660490265.db2.gz HPPXEEYMEUCCDF-UHFFFAOYSA-N 0 1 312.457 3.247 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001003804065 660509804 /nfs/dbraw/zinc/50/98/04/660509804.db2.gz COCNOZIVXHVLAR-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC001003826904 660511850 /nfs/dbraw/zinc/51/18/50/660511850.db2.gz IXQFIWYDTQOWIK-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001004119576 660529774 /nfs/dbraw/zinc/52/97/74/660529774.db2.gz BAPMLQLEDJOGSK-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(C)c(F)cc2Cl)CC1 ZINC001004060001 660527329 /nfs/dbraw/zinc/52/73/29/660527329.db2.gz VEJYDHRAIXQMCD-UHFFFAOYSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2coc3ccc(CC)cc23)C1 ZINC001033081337 660537094 /nfs/dbraw/zinc/53/70/94/660537094.db2.gz IKUHUJVVDUUROG-MRXNPFEDSA-N 0 1 324.424 3.165 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ccc(CCC)s2)C1 ZINC001033115684 660549410 /nfs/dbraw/zinc/54/94/10/660549410.db2.gz AWMOILLXYYBMLH-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001033127263 660553696 /nfs/dbraw/zinc/55/36/96/660553696.db2.gz TVLSWMKABIHSIM-IBGZPJMESA-N 0 1 321.424 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2conc2C2CCCC2)C1 ZINC001033140987 660556735 /nfs/dbraw/zinc/55/67/35/660556735.db2.gz YSRUYBLLIIRQBR-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccccc2C(C)(C)C)C1 ZINC001033177434 660572547 /nfs/dbraw/zinc/57/25/47/660572547.db2.gz QQHSIKZUOSIQES-MRXNPFEDSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2-c2ccncc2)C1 ZINC001033189548 660583041 /nfs/dbraw/zinc/58/30/41/660583041.db2.gz AZCOZNQCROISAO-KRWDZBQOSA-N 0 1 321.424 3.081 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001033196483 660583580 /nfs/dbraw/zinc/58/35/80/660583580.db2.gz MCYWFOZAWCSRBC-OAHLLOKOSA-N 0 1 313.445 3.102 20 30 DGEDMN Cc1noc([C@H]2CCCN(Cc3cc(Cl)cc(C#N)c3)C2)n1 ZINC000929332144 660591003 /nfs/dbraw/zinc/59/10/03/660591003.db2.gz DNKPONBJSVCJLL-AWEZNQCLSA-N 0 1 316.792 3.283 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2csc(C(F)(F)F)c2)C1 ZINC001033229581 660593630 /nfs/dbraw/zinc/59/36/30/660593630.db2.gz UDYFOEVEHGLEHJ-LLVKDONJSA-N 0 1 318.364 3.099 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2csc(C(F)(F)F)c2)C1 ZINC001033229581 660593632 /nfs/dbraw/zinc/59/36/32/660593632.db2.gz UDYFOEVEHGLEHJ-LLVKDONJSA-N 0 1 318.364 3.099 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ccc(Cl)cc2F)C1 ZINC001033250602 660599228 /nfs/dbraw/zinc/59/92/28/660599228.db2.gz YNBDWJSWGHHCAV-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001033326197 660608967 /nfs/dbraw/zinc/60/89/67/660608967.db2.gz AZBSEWMKRVSIMC-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001033486830 660626928 /nfs/dbraw/zinc/62/69/28/660626928.db2.gz OXTKXMUBXAQGEM-CEXWTWQISA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001033474978 660627753 /nfs/dbraw/zinc/62/77/53/660627753.db2.gz MMSSVRAYWCTZCZ-FCEWJHQRSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2csc(C(C)(C)C)n2)C1 ZINC001033502502 660627794 /nfs/dbraw/zinc/62/77/94/660627794.db2.gz CMRCQZYXQLZQOT-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc3sccc3c2)C1 ZINC001033547461 660630961 /nfs/dbraw/zinc/63/09/61/660630961.db2.gz IXZKOGWEFWOPOO-HNNXBMFYSA-N 0 1 300.427 3.234 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001033568218 660632103 /nfs/dbraw/zinc/63/21/03/660632103.db2.gz GFCQWWZMQSXCFO-DOTOQJQBSA-N 0 1 324.424 3.092 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001033583497 660633606 /nfs/dbraw/zinc/63/36/06/660633606.db2.gz QTQFKUTVMKWSSE-CQSZACIVSA-N 0 1 306.837 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3ccccc3C)CCC2)C1 ZINC001033624463 660640827 /nfs/dbraw/zinc/64/08/27/660640827.db2.gz LSPKDWQIASMCIC-KRWDZBQOSA-N 0 1 312.457 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001033682736 660649577 /nfs/dbraw/zinc/64/95/77/660649577.db2.gz ZLAGMZFXDQXIAL-BBRMVZONSA-N 0 1 306.837 3.162 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700417 660651843 /nfs/dbraw/zinc/65/18/43/660651843.db2.gz YIMQTQNKOUHIQQ-MJGOQNOKSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700417 660651844 /nfs/dbraw/zinc/65/18/44/660651844.db2.gz YIMQTQNKOUHIQQ-MJGOQNOKSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700418 660651910 /nfs/dbraw/zinc/65/19/10/660651910.db2.gz YIMQTQNKOUHIQQ-PKOBYXMFSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC001033700418 660651911 /nfs/dbraw/zinc/65/19/11/660651911.db2.gz YIMQTQNKOUHIQQ-PKOBYXMFSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001033830823 660667925 /nfs/dbraw/zinc/66/79/25/660667925.db2.gz PFPBUGBAPGILRN-XMTFNYHQSA-N 0 1 302.462 3.088 20 30 DGEDMN CCN(C(=O)C1=CCCC1)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001033797385 660665252 /nfs/dbraw/zinc/66/52/52/660665252.db2.gz XXJQZTKSXTYKRK-IBGZPJMESA-N 0 1 323.440 3.091 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001033828614 660668732 /nfs/dbraw/zinc/66/87/32/660668732.db2.gz QMBUFJAIIBHXBX-HNNXBMFYSA-N 0 1 319.449 3.219 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001033828614 660668734 /nfs/dbraw/zinc/66/87/34/660668734.db2.gz QMBUFJAIIBHXBX-HNNXBMFYSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3nccc(C)c3c2)C1 ZINC001033850211 660670349 /nfs/dbraw/zinc/67/03/49/660670349.db2.gz LTBLFEQGIRBVDZ-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2coc3c2cccc3C)C1 ZINC001033855418 660671471 /nfs/dbraw/zinc/67/14/71/660671471.db2.gz KCFVPEVFSBXGAO-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001033880032 660675052 /nfs/dbraw/zinc/67/50/52/660675052.db2.gz YCCFHXCFTIUXGS-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001033880032 660675053 /nfs/dbraw/zinc/67/50/53/660675053.db2.gz YCCFHXCFTIUXGS-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001033901742 660675659 /nfs/dbraw/zinc/67/56/59/660675659.db2.gz ZRSDYBYHMAJSJU-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001033913336 660677309 /nfs/dbraw/zinc/67/73/09/660677309.db2.gz RODVDBQTSAGMEL-OAHLLOKOSA-N 0 1 315.392 3.029 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001033915715 660677521 /nfs/dbraw/zinc/67/75/21/660677521.db2.gz KKYKAWWBVOBMAL-KRWDZBQOSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(OC)cc2Cl)C1 ZINC001033988429 660692672 /nfs/dbraw/zinc/69/26/72/660692672.db2.gz KWTBPRJZNLEABU-ZDUSSCGKSA-N 0 1 322.836 3.071 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc3ccccc32)C1 ZINC001034037284 660698293 /nfs/dbraw/zinc/69/82/93/660698293.db2.gz ROBSKEPBZBBFMU-KRWDZBQOSA-N 0 1 306.409 3.009 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCCC2(C)C)C1 ZINC001034051859 660699566 /nfs/dbraw/zinc/69/95/66/660699566.db2.gz BTBCNLRSEZTJCQ-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc3[nH]ccc3s2)C1 ZINC001034029643 660696109 /nfs/dbraw/zinc/69/61/09/660696109.db2.gz PRPKEFAYWVIYNJ-ZDUSSCGKSA-N 0 1 317.458 3.342 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ccc(F)cc2F)C1 ZINC001034030289 660696531 /nfs/dbraw/zinc/69/65/31/660696531.db2.gz ZLPPXNXDEKELGW-CQSZACIVSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2CCC(C3CC3)CC2)C1 ZINC001034034510 660697520 /nfs/dbraw/zinc/69/75/20/660697520.db2.gz FOMIKYKNOQAHGY-DAWZGUTISA-N 0 1 304.478 3.312 20 30 DGEDMN N#CC1(CCCCN2CCN(Cc3cscn3)CC2)CCC1 ZINC000929465521 661309345 /nfs/dbraw/zinc/30/93/45/661309345.db2.gz YXBMBGKZGXLVHY-UHFFFAOYSA-N 0 1 318.490 3.125 20 30 DGEDMN O=C(C=C1CCC1)N[C@H]1CCCCN(CC#Cc2ccccc2)C1 ZINC001034160346 660718357 /nfs/dbraw/zinc/71/83/57/660718357.db2.gz OXXORUZVPWPTDM-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001034244028 660728944 /nfs/dbraw/zinc/72/89/44/660728944.db2.gz PPHUVRBYIWSCSK-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001034258933 660729356 /nfs/dbraw/zinc/72/93/56/660729356.db2.gz JUDDKQKCQCSBDX-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001034267277 660730367 /nfs/dbraw/zinc/73/03/67/660730367.db2.gz VAFUONUWYMYHDU-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001034301049 660734316 /nfs/dbraw/zinc/73/43/16/660734316.db2.gz FWLHRPDESYENMQ-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001034327296 660737644 /nfs/dbraw/zinc/73/76/44/660737644.db2.gz GXHWNEISYHQVQL-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001034374823 660743567 /nfs/dbraw/zinc/74/35/67/660743567.db2.gz FJHOCKVUFQDXMM-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001034376502 660745194 /nfs/dbraw/zinc/74/51/94/660745194.db2.gz XWFMVDCYWRHVMC-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3occc3s2)C1 ZINC001034390026 660746767 /nfs/dbraw/zinc/74/67/67/660746767.db2.gz BDLDSPPIMVLYSL-GFCCVEGCSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(C(CC)CC)no2)C1 ZINC001034428695 660749510 /nfs/dbraw/zinc/74/95/10/660749510.db2.gz QBNLSGUDEKOJDZ-HNNXBMFYSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001034418269 660750963 /nfs/dbraw/zinc/75/09/63/660750963.db2.gz SUZOMHHGEIDNKC-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001034430867 660752917 /nfs/dbraw/zinc/75/29/17/660752917.db2.gz BLGLGAWTYXQBJJ-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001034432082 660753055 /nfs/dbraw/zinc/75/30/55/660753055.db2.gz BMJLAXFTFPKHOL-HSALFYBXSA-N 0 1 324.468 3.011 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001034505608 660763502 /nfs/dbraw/zinc/76/35/02/660763502.db2.gz MKBYYSBXONVRKO-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC001034434202 660753991 /nfs/dbraw/zinc/75/39/91/660753991.db2.gz YXDCDNXQGSZLBX-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CCCCN(Cc2ccc(C#N)cc2)C1 ZINC001034496849 660760145 /nfs/dbraw/zinc/76/01/45/660760145.db2.gz XWFIKIWKHLAAFA-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc(C3CCC3)c2)C1 ZINC001034483258 660761133 /nfs/dbraw/zinc/76/11/33/660761133.db2.gz CLQPUDUKHJFTAA-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(C3CC3)s2)C1 ZINC001034485990 660761714 /nfs/dbraw/zinc/76/17/14/660761714.db2.gz VLJFZAZGOIYPBV-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001034505449 660763119 /nfs/dbraw/zinc/76/31/19/660763119.db2.gz KWPZBHQYFRYAQU-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2ccccc2C)C1 ZINC001034537204 660769341 /nfs/dbraw/zinc/76/93/41/660769341.db2.gz LLGWFZUHBFZYHW-ZWKOTPCHSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001034544366 660771354 /nfs/dbraw/zinc/77/13/54/660771354.db2.gz QQCZGBABTGHLEV-OAHLLOKOSA-N 0 1 324.424 3.213 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNCc1ccncc1Cl ZINC001035013689 660809052 /nfs/dbraw/zinc/80/90/52/660809052.db2.gz DPICJEXHRNVVGE-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001035035248 660810922 /nfs/dbraw/zinc/81/09/22/660810922.db2.gz HSYBGLJLXCZFTO-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2csc3ccccc32)CC1 ZINC001005791901 660817171 /nfs/dbraw/zinc/81/71/71/660817171.db2.gz PJZBQQLWJJCBOM-UHFFFAOYSA-N 0 1 312.438 3.071 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H](C)C1CCC1)CC2 ZINC001035202061 660825159 /nfs/dbraw/zinc/82/51/59/660825159.db2.gz YYQDGLBOZXOHRT-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@@]1(C)CC)CC2 ZINC001035245463 660833078 /nfs/dbraw/zinc/83/30/78/660833078.db2.gz RANMTQPEVBJGPX-DOTOQJQBSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(C)oc3ccccc31)CC2 ZINC001035713304 660846440 /nfs/dbraw/zinc/84/64/40/660846440.db2.gz BKOJAEMAVPWXKG-UHFFFAOYSA-N 0 1 324.424 3.465 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(C)=C(C)C1)CC2 ZINC001035713707 660846513 /nfs/dbraw/zinc/84/65/13/660846513.db2.gz JNIWATIHMASAQC-QGZVFWFLSA-N 0 1 302.462 3.233 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(F)ccc1CC)CC2 ZINC001035738306 660848814 /nfs/dbraw/zinc/84/88/14/660848814.db2.gz CATZIUZMENFYDV-UHFFFAOYSA-N 0 1 316.420 3.112 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CC1(C)CCCCC1)CC2 ZINC001035749461 660850885 /nfs/dbraw/zinc/85/08/85/660850885.db2.gz PQXOHMNDVAQEEQ-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(F)cc(Cl)c1)CC2 ZINC001035806148 660854726 /nfs/dbraw/zinc/85/47/26/660854726.db2.gz VLSBPLBSDDBYGI-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C(C)(C)c1ccccc1)CC2 ZINC001035847218 660855774 /nfs/dbraw/zinc/85/57/74/660855774.db2.gz ITEKXDNIEQXDEC-UHFFFAOYSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(C)cc(C)cc1C)CC2 ZINC001035847251 660855879 /nfs/dbraw/zinc/85/58/79/660855879.db2.gz JODFQXDKIBQHCQ-UHFFFAOYSA-N 0 1 312.457 3.336 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1ccc(C)cc1)CC2 ZINC001035838580 660857438 /nfs/dbraw/zinc/85/74/38/660857438.db2.gz UPECCRPCNIAJRW-QGZVFWFLSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(C3CC3)s1)CC2 ZINC001035836490 660857471 /nfs/dbraw/zinc/85/74/71/660857471.db2.gz JQKJBEJWEIYNDV-UHFFFAOYSA-N 0 1 316.470 3.350 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(C)CCC(C)CC1)CC2 ZINC001035859567 660860696 /nfs/dbraw/zinc/86/06/96/660860696.db2.gz CXDBPEGEXHCZQP-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1ccccc1C#N ZINC001036495275 660911921 /nfs/dbraw/zinc/91/19/21/660911921.db2.gz OGBWWCUGGSGACE-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccccc3F)CC[C@H]21 ZINC001036631446 660933381 /nfs/dbraw/zinc/93/33/81/660933381.db2.gz XDDNIMQLYACBLF-XJKSGUPXSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cccc(C)c3)CC[C@@H]21 ZINC001036631510 660933429 /nfs/dbraw/zinc/93/34/29/660933429.db2.gz YHDRETQRXIWGQC-SJORKVTESA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(C)s3)CC[C@H]21 ZINC001036629533 660937811 /nfs/dbraw/zinc/93/78/11/660937811.db2.gz SDDOOTWFXCOKMR-UONOGXRCSA-N 0 1 324.877 3.345 20 30 DGEDMN CN(C(=O)C1(C)CCC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005831119 660941155 /nfs/dbraw/zinc/94/11/55/660941155.db2.gz DGIRVFCFCBOENX-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN CC[C@](O)(CN(Cc1ccc(C#N)cc1)C1CC1)C(F)(F)F ZINC000929367684 660953430 /nfs/dbraw/zinc/95/34/30/660953430.db2.gz QEQWOWZHVPVPMR-HNNXBMFYSA-N 0 1 312.335 3.226 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001006089216 660962606 /nfs/dbraw/zinc/96/26/06/660962606.db2.gz WLVUMNDTFLWIQE-JXFKEZNVSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc(F)c2Cl)CC1 ZINC001006051464 660959504 /nfs/dbraw/zinc/95/95/04/660959504.db2.gz GJSWEHKPVONEMY-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2coc3ccc(F)cc23)C[C@@H]1C ZINC000947975796 660959614 /nfs/dbraw/zinc/95/96/14/660959614.db2.gz QCHQFOWOKKVMPV-GXTWGEPZSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)c2ccc(C)s2)CC1 ZINC001006288793 660972730 /nfs/dbraw/zinc/97/27/30/660972730.db2.gz IUMAVLCIZMMJDR-OAHLLOKOSA-N 0 1 318.486 3.106 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCCc3ccccc32)CC1 ZINC001006321756 660974657 /nfs/dbraw/zinc/97/46/57/660974657.db2.gz ZLFUQOYKJVXJQU-SFHVURJKSA-N 0 1 324.468 3.053 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000792928015 660994683 /nfs/dbraw/zinc/99/46/83/660994683.db2.gz RKSYPWFIHJGQDD-RDJZCZTQSA-N 0 1 320.820 3.386 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CCC(CC(F)(F)F)CC2)cc1 ZINC000792933917 660995204 /nfs/dbraw/zinc/99/52/04/660995204.db2.gz PJVVASOREFJPIC-HNNXBMFYSA-N 0 1 312.335 3.256 20 30 DGEDMN C[C@H](NN=C1CCN(Cc2ccccn2)C[C@H]1C)C(C)(C)C ZINC000793114586 661005564 /nfs/dbraw/zinc/00/55/64/661005564.db2.gz HSYRKVZNXZOFEA-CABCVRRESA-N 0 1 302.466 3.304 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1cccc(Cl)n1)CCO2 ZINC000793174138 661009870 /nfs/dbraw/zinc/00/98/70/661009870.db2.gz IUPXFJKIXMVCDS-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@](C)(O)CC1 ZINC000793249161 661017743 /nfs/dbraw/zinc/01/77/43/661017743.db2.gz DVCQGBWAGGESJH-QGZVFWFLSA-N 0 1 307.821 3.089 20 30 DGEDMN COc1ncc([C@@H](C)NCc2ccc(C#N)cc2)cc1Cl ZINC000793525439 661041830 /nfs/dbraw/zinc/04/18/30/661041830.db2.gz OEWJTAADHCZIDQ-LLVKDONJSA-N 0 1 301.777 3.466 20 30 DGEDMN CCCCN(Cn1cc2c(c(C#N)c1=O)CCCC2)[C@H](C)CC ZINC000793486453 661038082 /nfs/dbraw/zinc/03/80/82/661038082.db2.gz NJPIJHAFQXUXIX-OAHLLOKOSA-N 0 1 315.461 3.457 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000793610823 661049645 /nfs/dbraw/zinc/04/96/45/661049645.db2.gz YPEOWWKUUMCTBW-FUHWJXTLSA-N 0 1 319.832 3.495 20 30 DGEDMN Oc1ccc(F)c(CN=Nc2ncnc3sccc32)c1F ZINC000794477620 661086483 /nfs/dbraw/zinc/08/64/83/661086483.db2.gz DJFDUDVUDRLYFE-UHFFFAOYSA-N 0 1 306.297 3.121 20 30 DGEDMN CCc1cc(NN=Cc2cnccc2C)nc(-c2ccccn2)n1 ZINC000794506902 661088080 /nfs/dbraw/zinc/08/80/80/661088080.db2.gz ARMQIGVBIJFFAV-UHFFFAOYSA-N 0 1 318.384 3.250 20 30 DGEDMN C#C[C@H](N[C@@H]1CCCc2nn(-c3ccccc3)cc21)[C@@H]1CCCO1 ZINC000794812788 661106907 /nfs/dbraw/zinc/10/69/07/661106907.db2.gz GIYKRWJAIRVIMZ-NSHGMRRFSA-N 0 1 321.424 3.020 20 30 DGEDMN CCOC(=O)c1scnc1/C=C/C(=O)c1cc(F)ccc1O ZINC000794941244 661115180 /nfs/dbraw/zinc/11/51/80/661115180.db2.gz LZCBDPADIVLNQF-GQCTYLIASA-N 0 1 321.329 3.061 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2CCOc3cc(F)ccc32)n1 ZINC000794912010 661113199 /nfs/dbraw/zinc/11/31/99/661113199.db2.gz VLDFUJFZQODVLY-UHFFFAOYSA-N 0 1 306.728 3.176 20 30 DGEDMN COCCN(C)N=C(C)c1ccc(N2CCCCC2)c(F)c1 ZINC000794973858 661118033 /nfs/dbraw/zinc/11/80/33/661118033.db2.gz JUHFZOAKMAREPK-UHFFFAOYSA-N 0 1 307.413 3.118 20 30 DGEDMN Cc1cc(C=NNc2cncnc2)ccc1Oc1cccnc1 ZINC000794973589 661118096 /nfs/dbraw/zinc/11/80/96/661118096.db2.gz WSKGZLNKBLOOKS-UHFFFAOYSA-N 0 1 305.341 3.418 20 30 DGEDMN COc1ccc(C=NNc2cncnc2)cc1OCCC(C)C ZINC000794979841 661118387 /nfs/dbraw/zinc/11/83/87/661118387.db2.gz VUCGPFKBNOIURN-UHFFFAOYSA-N 0 1 314.389 3.356 20 30 DGEDMN CCOc1ccc(C(C)N=Nc2cccc(F)n2)cc1[N+](=O)[O-] ZINC000795006467 661121089 /nfs/dbraw/zinc/12/10/89/661121089.db2.gz ADLBOLOKKJCFNM-UHFFFAOYSA-N 0 1 318.308 3.364 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c(C)csc1Cl ZINC000969127518 655526433 /nfs/dbraw/zinc/52/64/33/655526433.db2.gz YUNQXIKETWWBAR-ZDUSSCGKSA-N 0 1 324.877 3.318 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(C)(C)C)s1 ZINC001039042383 655550003 /nfs/dbraw/zinc/55/00/03/655550003.db2.gz IOPUWNLFDOVYJU-ZDUSSCGKSA-N 0 1 306.475 3.426 20 30 DGEDMN O=C(/C=C\c1cn2cc(Cl)ccc2n1)c1ccc(O)cc1O ZINC000255007773 655557667 /nfs/dbraw/zinc/55/76/67/655557667.db2.gz ZKPIJFUWOGHAKL-DJWKRKHSSA-N 0 1 314.728 3.295 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC000969830006 655558933 /nfs/dbraw/zinc/55/89/33/655558933.db2.gz CBLJJDRKVOWHRV-RHSMWYFYSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC000970162308 655582911 /nfs/dbraw/zinc/58/29/11/655582911.db2.gz JZOVGODVQDCGBG-INIZCTEOSA-N 0 1 324.468 3.418 20 30 DGEDMN CC(=O)NC[C@H]1CCCCCN1CC#Cc1cccc(Cl)c1 ZINC000968720002 655585587 /nfs/dbraw/zinc/58/55/87/655585587.db2.gz VCJLBYSOGASGJF-GOSISDBHSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC000970215962 655589094 /nfs/dbraw/zinc/58/90/94/655589094.db2.gz DJFCKUHZURKGBL-CQSZACIVSA-N 0 1 306.494 3.461 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C2CN(CCC(F)(F)F)C2)CC1 ZINC000970376071 655596801 /nfs/dbraw/zinc/59/68/01/655596801.db2.gz JYFJNUWPCQXUEX-LBPRGKRZSA-N 0 1 318.383 3.122 20 30 DGEDMN COCCOc1ccccc1/C=C/C(=O)c1ccc(O)c(F)c1 ZINC000177615011 655602999 /nfs/dbraw/zinc/60/29/99/655602999.db2.gz VJYYIRYTDDAZQA-SOFGYWHQSA-N 0 1 316.328 3.453 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000970497648 655603091 /nfs/dbraw/zinc/60/30/91/655603091.db2.gz UCCAEVWYBUBCCM-HNNXBMFYSA-N 0 1 308.425 3.384 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC000970500393 655603132 /nfs/dbraw/zinc/60/31/32/655603132.db2.gz DIYNQCAPFFQCBO-GJZGRUSLSA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)csc2Cl)C1 ZINC000970584054 655607207 /nfs/dbraw/zinc/60/72/07/655607207.db2.gz OTOMSAYJKAKWSC-SNVBAGLBSA-N 0 1 319.257 3.267 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCN(C/C=C/Cl)[C@H](C)C1 ZINC000947390925 655608245 /nfs/dbraw/zinc/60/82/45/655608245.db2.gz CQLSVPCBENOLKS-VZLFFANISA-N 0 1 321.852 3.009 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)c2ccccc2)C1 ZINC000970640685 655610720 /nfs/dbraw/zinc/61/07/20/655610720.db2.gz UESPOIWQDYNPON-XJKSGUPXSA-N 0 1 306.837 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)cc(C)cc2C)C1 ZINC000970653885 655611264 /nfs/dbraw/zinc/61/12/64/655611264.db2.gz JWRFDJYXZNKCQI-OAHLLOKOSA-N 0 1 306.837 3.168 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC000970783423 655620150 /nfs/dbraw/zinc/62/01/50/655620150.db2.gz JSIYVUWZXKPISD-OAHLLOKOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2sccc2C(F)F)C1 ZINC000970814427 655624724 /nfs/dbraw/zinc/62/47/24/655624724.db2.gz QFFKYJGTDYWZEG-SNVBAGLBSA-N 0 1 314.401 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C3CC3)c2)C1 ZINC000970822229 655626444 /nfs/dbraw/zinc/62/64/44/655626444.db2.gz MDRGODLFSOPXIV-MRXNPFEDSA-N 0 1 304.821 3.121 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC000970836000 655628050 /nfs/dbraw/zinc/62/80/50/655628050.db2.gz MGMALLONMPPLPD-QWHCGFSZSA-N 0 1 318.486 3.109 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2oc3ccccc3c2C)C1 ZINC000970846688 655629555 /nfs/dbraw/zinc/62/95/55/655629555.db2.gz VXIKXBFQGRHTQW-CQSZACIVSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@H](CCCN2C(=O)C(F)=C(C)C)C1 ZINC001021559337 655632416 /nfs/dbraw/zinc/63/24/16/655632416.db2.gz QZAPYLPIBTVVBD-KGLIPLIRSA-N 0 1 314.832 3.315 20 30 DGEDMN C[C@H](CCC(=O)C(C#N)C(=O)NC1CCCC1)c1ccccc1 ZINC000160433840 655632693 /nfs/dbraw/zinc/63/26/93/655632693.db2.gz APNYFJUVWFGVCV-PBHICJAKSA-N 0 1 312.413 3.338 20 30 DGEDMN Cc1ccn(CN2C[C@@H](C)C[C@@H]2c2ccccc2)c(=O)c1C#N ZINC000795743306 661170795 /nfs/dbraw/zinc/17/07/95/661170795.db2.gz JOVARFFFRRDBJE-KBXCAEBGSA-N 0 1 307.397 3.069 20 30 DGEDMN C#Cc1cccc(NC(=O)CN[C@H](CC)c2ccc(F)cc2)c1 ZINC000160795013 655678158 /nfs/dbraw/zinc/67/81/58/655678158.db2.gz ADJFNKGJGDYCQG-GOSISDBHSA-N 0 1 310.372 3.486 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)CC2=CCCCC2)C1 ZINC001021902047 655693737 /nfs/dbraw/zinc/69/37/37/655693737.db2.gz FARWAJRBRHYSQG-KDURUIRLSA-N 0 1 323.440 3.186 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000933364907 655705433 /nfs/dbraw/zinc/70/54/33/655705433.db2.gz NIIDLAKYYCIJML-CQSZACIVSA-N 0 1 314.776 3.244 20 30 DGEDMN COc1cccc(CN2CCC(c3ccc(C#N)cc3)CC2)n1 ZINC000933613172 655727093 /nfs/dbraw/zinc/72/70/93/655727093.db2.gz QYMCWGCTPJAIBO-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CCN(CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC000065044856 655731649 /nfs/dbraw/zinc/73/16/49/655731649.db2.gz PVHGVRFEWLZMQU-UHFFFAOYSA-N 0 1 311.345 3.179 20 30 DGEDMN CN(CCC(=O)OCc1ccccc1)Cc1cc(C#N)cs1 ZINC000933773346 655732687 /nfs/dbraw/zinc/73/26/87/655732687.db2.gz KIAUCDJXXSOVLW-UHFFFAOYSA-N 0 1 314.410 3.185 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC000972079569 655763057 /nfs/dbraw/zinc/76/30/57/655763057.db2.gz PDEGFNCJBDPHQF-AWEZNQCLSA-N 0 1 322.399 3.210 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCCO1)c1nc2ccccc2n1C(F)F ZINC000051656174 655776230 /nfs/dbraw/zinc/77/62/30/655776230.db2.gz BSWWKDOPUHDBMD-WDEREUQCSA-N 0 1 319.311 3.177 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934493406 655780360 /nfs/dbraw/zinc/78/03/60/655780360.db2.gz IWCUUQAGHHGFEY-YJBOKZPZSA-N 0 1 318.424 3.499 20 30 DGEDMN C=CCCCN(CC)Cc1nnc(-c2ccccc2[N+](=O)[O-])o1 ZINC000934646506 655794282 /nfs/dbraw/zinc/79/42/82/655794282.db2.gz HJPAFQJFAYQNEO-UHFFFAOYSA-N 0 1 316.361 3.433 20 30 DGEDMN CC(C)Oc1ccccc1CC(=O)Nc1cc(C#N)ccc1O ZINC000176816278 655847623 /nfs/dbraw/zinc/84/76/23/655847623.db2.gz NTHLEPYFRNVKRW-UHFFFAOYSA-N 0 1 310.353 3.232 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2c[nH]c3ccccc23)c1 ZINC000176832445 655849763 /nfs/dbraw/zinc/84/97/63/655849763.db2.gz WTZCPKOKXHQWKH-UHFFFAOYSA-N 0 1 305.337 3.316 20 30 DGEDMN CCN(CC)c1ccc(/C=N\[C@@H](C)C(=O)OC(C)(C)C)c(O)c1 ZINC000255594807 655853365 /nfs/dbraw/zinc/85/33/65/655853365.db2.gz ZERRFVKKSSVKKT-QXGSTRBFSA-N 0 1 320.433 3.388 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2ccc3sccc3c2)C1 ZINC000972650453 655858780 /nfs/dbraw/zinc/85/87/80/655858780.db2.gz ISEFULXODAGCCP-OAHLLOKOSA-N 0 1 300.427 3.234 20 30 DGEDMN Cc1csc(C(C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000255617842 655872835 /nfs/dbraw/zinc/87/28/35/655872835.db2.gz VKSAEGIPOWFFJH-DTRQGZQOSA-N 0 1 312.350 3.070 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000255617842 655872837 /nfs/dbraw/zinc/87/28/37/655872837.db2.gz VKSAEGIPOWFFJH-DTRQGZQOSA-N 0 1 312.350 3.070 20 30 DGEDMN CCc1ccc(C(=O)/C=C\c2cc(OC)c(O)c([N+](=O)[O-])c2)o1 ZINC000255727098 655891935 /nfs/dbraw/zinc/89/19/35/655891935.db2.gz FSOSNQXLQGFNFK-XQRVVYSFSA-N 0 1 317.297 3.361 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C3CCC3)CC[C@H]21 ZINC001036780796 661200302 /nfs/dbraw/zinc/20/03/02/661200302.db2.gz HXVSIKQOJJGZKN-GOEBONIOSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(C)CCCC3)CC[C@H]21 ZINC001036783489 661201009 /nfs/dbraw/zinc/20/10/09/661201009.db2.gz ZSGDYEALUVOXDF-HUUCEWRRSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC001024463698 655907790 /nfs/dbraw/zinc/90/77/90/655907790.db2.gz XRWOXOMUUXBIJN-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(F)c(C)cc1F ZINC001024494001 655910682 /nfs/dbraw/zinc/91/06/82/655910682.db2.gz IFSBRRJWZMEWCI-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c2ccccc2n1 ZINC001024513787 655912087 /nfs/dbraw/zinc/91/20/87/655912087.db2.gz SMVHUMJIOVJAHU-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@@H](C)C2)cc1 ZINC000947611236 655913797 /nfs/dbraw/zinc/91/37/97/655913797.db2.gz IQBPWIGWULRYTO-UJKKYWQTSA-N 0 1 316.832 3.003 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)cc1F ZINC001024539543 655914339 /nfs/dbraw/zinc/91/43/39/655914339.db2.gz AEDTVKRVWVDJGZ-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001024578035 655919580 /nfs/dbraw/zinc/91/95/80/655919580.db2.gz JMGJOTHXYKZMTH-SNVBAGLBSA-N 0 1 316.232 3.092 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1cccc2ncccc21 ZINC001024581874 655920256 /nfs/dbraw/zinc/92/02/56/655920256.db2.gz NOMVZOQDIHJPJG-INIZCTEOSA-N 0 1 323.440 3.395 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001024593028 655921783 /nfs/dbraw/zinc/92/17/83/655921783.db2.gz YJUGGXBPBOTKGG-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)c(C)c1 ZINC001024634152 655926378 /nfs/dbraw/zinc/92/63/78/655926378.db2.gz RRTPWUMOAWTYSF-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc(F)c1Cl ZINC001024679409 655929794 /nfs/dbraw/zinc/92/97/94/655929794.db2.gz WDVSFQBMMQTIDY-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1oc(C(C)C)nc1C ZINC001024697109 655931563 /nfs/dbraw/zinc/93/15/63/655931563.db2.gz PUXHCHMQBCHCIL-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001024698856 655931781 /nfs/dbraw/zinc/93/17/81/655931781.db2.gz LWPXSHUSRWYSGI-SLFFLAALSA-N 0 1 324.468 3.011 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC001024702647 655931789 /nfs/dbraw/zinc/93/17/89/655931789.db2.gz YVLBWMOXHBMKDZ-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001024704675 655931961 /nfs/dbraw/zinc/93/19/61/655931961.db2.gz QBCCQVUEIGJIHG-YZGWKJHDSA-N 0 1 324.468 3.093 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001024709787 655932625 /nfs/dbraw/zinc/93/26/25/655932625.db2.gz KPQFCLNYIQQLDE-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(F)F)cc1 ZINC001024710403 655932676 /nfs/dbraw/zinc/93/26/76/655932676.db2.gz ADUCJMJILVCEAV-MRXNPFEDSA-N 0 1 320.383 3.232 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C(F)F)cc1 ZINC001024709861 655932715 /nfs/dbraw/zinc/93/27/15/655932715.db2.gz NIBRSMLANDTYSQ-HNNXBMFYSA-N 0 1 308.372 3.395 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001024729401 655933434 /nfs/dbraw/zinc/93/34/34/655933434.db2.gz NQCRZVXWFIXQKR-FHWLQOOXSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(F)cccc1Cl ZINC001024774089 655937188 /nfs/dbraw/zinc/93/71/88/655937188.db2.gz MRNKKEGVRLWFPJ-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001024792948 655939058 /nfs/dbraw/zinc/93/90/58/655939058.db2.gz NZGFXHFHIDVXFB-HNNXBMFYSA-N 0 1 318.486 3.227 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC001024791107 655939785 /nfs/dbraw/zinc/93/97/85/655939785.db2.gz UWSDRJJMAZZHCR-QGZVFWFLSA-N 0 1 300.446 3.382 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(F)c2occc21 ZINC001024808536 655941095 /nfs/dbraw/zinc/94/10/95/655941095.db2.gz HQXWHORUYZJASC-CYBMUJFWSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1csc(CC(C)C)n1 ZINC001024824267 655943017 /nfs/dbraw/zinc/94/30/17/655943017.db2.gz FCBURPVYOSCIHI-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001024830030 655943683 /nfs/dbraw/zinc/94/36/83/655943683.db2.gz KDFGAVPOKHULOJ-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN N#CC(C(=O)C1(c2ccc(F)cc2)CCOCC1)c1ccccn1 ZINC000066506923 655952714 /nfs/dbraw/zinc/95/27/14/655952714.db2.gz ZOLVNQBODSNFPW-MRXNPFEDSA-N 0 1 324.355 3.145 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001025069880 655963570 /nfs/dbraw/zinc/96/35/70/655963570.db2.gz RJBQIUVXBWCDCU-MAUKXSAKSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1cccs1 ZINC001025195885 655975665 /nfs/dbraw/zinc/97/56/65/655975665.db2.gz ODDBCEMOJXNKRD-JKSUJKDBSA-N 0 1 316.470 3.231 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2ccccc2)C1 ZINC001025325588 655994237 /nfs/dbraw/zinc/99/42/37/655994237.db2.gz IPDSIKHTASPMDE-GOEBONIOSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CC[C@@H](C(F)(F)F)C2)CC1 ZINC000949892614 656002386 /nfs/dbraw/zinc/00/23/86/656002386.db2.gz YPQMXCOZUWCNAU-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2nccc3ccccc32)C[C@@H]1C ZINC000947666779 656009822 /nfs/dbraw/zinc/00/98/22/656009822.db2.gz KNJJVPWOTVFINZ-HOCLYGCPSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2ccc(C(F)F)o2)C1 ZINC001025606494 656045369 /nfs/dbraw/zinc/04/53/69/656045369.db2.gz OJLXNOAHQOVHRG-OLZOCXBDSA-N 0 1 324.371 3.071 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)Cc1ccc(F)cc1 ZINC000122695762 656079323 /nfs/dbraw/zinc/07/93/23/656079323.db2.gz HGNRVPJLFHIHLX-CQSZACIVSA-N 0 1 307.328 3.131 20 30 DGEDMN COc1cc(-c2nc3ccc(C#N)cc3[nH]2)ccc1OCC#N ZINC000123219983 656177486 /nfs/dbraw/zinc/17/74/86/656177486.db2.gz QNEGBXFWYKOKKD-UHFFFAOYSA-N 0 1 304.309 3.013 20 30 DGEDMN C=CCC[N@H+]1CCC[C@H](NC(=O)c2cc3occc3s2)C1 ZINC001008516949 656186246 /nfs/dbraw/zinc/18/62/46/656186246.db2.gz JPYPJNWCCCCFJL-LBPRGKRZSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2cc3occc3s2)C1 ZINC001008516949 656186247 /nfs/dbraw/zinc/18/62/47/656186247.db2.gz JPYPJNWCCCCFJL-LBPRGKRZSA-N 0 1 304.415 3.265 20 30 DGEDMN N#CC1(CCCCN2CCN(Cc3cncs3)CC2)CCC1 ZINC000931357307 656215145 /nfs/dbraw/zinc/21/51/45/656215145.db2.gz VILRNBVZMDPWEH-UHFFFAOYSA-N 0 1 318.490 3.125 20 30 DGEDMN C#CC1CCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)CC1 ZINC000844048825 661237846 /nfs/dbraw/zinc/23/78/46/661237846.db2.gz QBJHXNHIISVRMK-UHFFFAOYSA-N 0 1 313.788 3.216 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C(C)C)C(C)(C)C1 ZINC000974643967 656218962 /nfs/dbraw/zinc/21/89/62/656218962.db2.gz MGPAJFKQPMFMHB-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001008657624 656219894 /nfs/dbraw/zinc/21/98/94/656219894.db2.gz HWZDXCBJWDGQTA-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(F)c(C)cc2F)C(C)(C)C1 ZINC000974711657 656224136 /nfs/dbraw/zinc/22/41/36/656224136.db2.gz LKNNVCVHOSCMPD-INIZCTEOSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCc3ccccc32)C(C)(C)C1 ZINC000974748613 656226532 /nfs/dbraw/zinc/22/65/32/656226532.db2.gz CBUCGCDPIZKKRI-ZWKOTPCHSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2F)C(C)(C)C1 ZINC000974811860 656231688 /nfs/dbraw/zinc/23/16/88/656231688.db2.gz NOMVCVRBNQDFEX-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccccc3c2C)C(C)(C)C1 ZINC000974831077 656233551 /nfs/dbraw/zinc/23/35/51/656233551.db2.gz ZLUAZSDCUJEKFE-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nc3ccccc3cc2C)C(C)(C)C1 ZINC000974849412 656234477 /nfs/dbraw/zinc/23/44/77/656234477.db2.gz TZHFHJCEDHCYNB-KRWDZBQOSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nc3ccccc3cc2C)C(C)(C)C1 ZINC000974849414 656234659 /nfs/dbraw/zinc/23/46/59/656234659.db2.gz TZHFHJCEDHCYNB-QGZVFWFLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)ccc2C2CC2)C(C)(C)C1 ZINC000974861530 656235599 /nfs/dbraw/zinc/23/55/99/656235599.db2.gz GVXCJGPCGSOTMW-SFHVURJKSA-N 0 1 312.457 3.499 20 30 DGEDMN N#Cc1ccc(C2=CCN(Cc3cnn(CCF)c3)CC2)cc1 ZINC000930158213 661240221 /nfs/dbraw/zinc/24/02/21/661240221.db2.gz KXKAOHDYJFKVPB-UHFFFAOYSA-N 0 1 310.376 3.014 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C2CCC2)C2CCC2)C(C)(C)C1 ZINC000974978124 656246143 /nfs/dbraw/zinc/24/61/43/656246143.db2.gz YHMBYYLWMDUCDB-MRXNPFEDSA-N 0 1 304.478 3.215 20 30 DGEDMN Cn1c(N=NCc2ccc(F)cc2F)nnc1-c1ccccc1 ZINC000864241565 661242779 /nfs/dbraw/zinc/24/27/79/661242779.db2.gz XNXGXAKVBALAQA-UHFFFAOYSA-N 0 1 313.311 3.206 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C)cc2F)C(C)(C)C1 ZINC000975102757 656256729 /nfs/dbraw/zinc/25/67/29/656256729.db2.gz DTUAKXVQIVTMQD-INIZCTEOSA-N 0 1 304.409 3.150 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](NC(=O)CC2CCCCCC2)C(C)(C)C1 ZINC000975098887 656257505 /nfs/dbraw/zinc/25/75/05/656257505.db2.gz SLSUOCRFTNBFDW-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)C(C)(C)C1 ZINC000977256527 656319049 /nfs/dbraw/zinc/31/90/49/656319049.db2.gz XQVBTFGTXWMQJU-XAUUPUKJSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2scnc2C(C)C)C(C)(C)C1 ZINC000977270977 656319687 /nfs/dbraw/zinc/31/96/87/656319687.db2.gz CZLYAAMIFBSIKC-CYBMUJFWSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2scnc2C)C1 ZINC001027522266 656329640 /nfs/dbraw/zinc/32/96/40/656329640.db2.gz VKBKWWVCTSLGNJ-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977440465 656331544 /nfs/dbraw/zinc/33/15/44/656331544.db2.gz AFXVLCFQCICMHQ-UKRRQHHQSA-N 0 1 306.475 3.173 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2occ3c2CCCC3)C(C)(C)C1 ZINC000977516798 656338928 /nfs/dbraw/zinc/33/89/28/656338928.db2.gz VPXNYZFPHHHCSO-INIZCTEOSA-N 0 1 316.445 3.175 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000977530607 656340128 /nfs/dbraw/zinc/34/01/28/656340128.db2.gz KCJHYESALFYTSB-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2oc3ccccc3c2C)C(C)(C)C1 ZINC000977572910 656343893 /nfs/dbraw/zinc/34/38/93/656343893.db2.gz ZGJHDYLXEFZDBM-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2oc3ccccc3c2C)C(C)(C)C1 ZINC000977564194 656345020 /nfs/dbraw/zinc/34/50/20/656345020.db2.gz HMHPUVUMEYSGRB-INIZCTEOSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657266 656353836 /nfs/dbraw/zinc/35/38/36/656353836.db2.gz YIFFARGMGWTRCE-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657266 656353838 /nfs/dbraw/zinc/35/38/38/656353838.db2.gz YIFFARGMGWTRCE-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3csc(C)c3C)[C@@H]2C1 ZINC000978209774 656370356 /nfs/dbraw/zinc/37/03/56/656370356.db2.gz XXFXFZUVNVUUHV-ZSOGYDGISA-N 0 1 324.877 3.025 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3cc(C)sc3C)[C@@H]2C1 ZINC000978418729 656377818 /nfs/dbraw/zinc/37/78/18/656377818.db2.gz AJFOYGCCACLVEF-QKDCVEJESA-N 0 1 324.877 3.025 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3CCCCCCC3)[C@@H]2C1 ZINC000978441377 656379154 /nfs/dbraw/zinc/37/91/54/656379154.db2.gz HZVXIAUVWFLYNF-OSYLJGHBSA-N 0 1 324.896 3.393 20 30 DGEDMN CCC(=O)N[C@H]1CCCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC001052126801 656411064 /nfs/dbraw/zinc/41/10/64/656411064.db2.gz QUVNKAGMNATPJK-KRWDZBQOSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2cccs2)CC1 ZINC001052158147 656417802 /nfs/dbraw/zinc/41/78/02/656417802.db2.gz INEVSYCHSWJWMY-ZDUSSCGKSA-N 0 1 312.866 3.014 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001052412189 656434098 /nfs/dbraw/zinc/43/40/98/656434098.db2.gz RESYLGDNJYDUGS-WBVHZDCISA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc[nH]c2CCC)CC1 ZINC001052645096 656452505 /nfs/dbraw/zinc/45/25/05/656452505.db2.gz FWFMUMDURQQWAC-CQSZACIVSA-N 0 1 323.868 3.304 20 30 DGEDMN C=CCC1(O)CCN(Cc2cccc(Br)c2)CC1 ZINC000717431836 661264550 /nfs/dbraw/zinc/26/45/50/661264550.db2.gz LIIPEQDCHJMDIC-UHFFFAOYSA-N 0 1 310.235 3.352 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC001027908927 656480187 /nfs/dbraw/zinc/48/01/87/656480187.db2.gz FQAOQMFZFNPIMO-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC001027908927 656480188 /nfs/dbraw/zinc/48/01/88/656480188.db2.gz FQAOQMFZFNPIMO-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sc(C)cc1C ZINC001039381232 656491450 /nfs/dbraw/zinc/49/14/50/656491450.db2.gz AHYXPCXDHYIJDK-CABCVRRESA-N 0 1 304.459 3.230 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)CCCCCC1 ZINC001039387591 656492476 /nfs/dbraw/zinc/49/24/76/656492476.db2.gz ZNQTZOPFSIUOOD-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)sc1C ZINC001039401270 656493937 /nfs/dbraw/zinc/49/39/37/656493937.db2.gz RVLHAFIOLIDQOO-CVEARBPZSA-N 0 1 316.470 3.067 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001039409636 656495254 /nfs/dbraw/zinc/49/52/54/656495254.db2.gz BWHWPPBRPFPUHA-FLXSYLCISA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)on1 ZINC001039413690 656496072 /nfs/dbraw/zinc/49/60/72/656496072.db2.gz YOTLJQPUSPAFCL-CABCVRRESA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001039461153 656506177 /nfs/dbraw/zinc/50/61/77/656506177.db2.gz AIDWDRUKSBVACO-YDZRNGNQSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccc(C)s1 ZINC001039460070 656506335 /nfs/dbraw/zinc/50/63/35/656506335.db2.gz XUEOMGOPGRHZJV-XHSDSOJGSA-N 0 1 318.486 3.411 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)c(C)c1 ZINC001039466699 656508849 /nfs/dbraw/zinc/50/88/49/656508849.db2.gz ZJTVRVZLKDSZOC-MOPGFXCFSA-N 0 1 310.441 3.006 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1CC(C)C ZINC001039476386 656511678 /nfs/dbraw/zinc/51/16/78/656511678.db2.gz QKCULMXAPIBUHW-MOPGFXCFSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC000980521960 656519686 /nfs/dbraw/zinc/51/96/86/656519686.db2.gz RIXAGFLRZHFMPH-OAHLLOKOSA-N 0 1 318.848 3.243 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCC[C@H]3CCC)C[C@@H]2C1 ZINC001048905177 656545725 /nfs/dbraw/zinc/54/57/25/656545725.db2.gz WSGGSNWDJKRKGE-NCOADZHNSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC000950051153 656567239 /nfs/dbraw/zinc/56/72/39/656567239.db2.gz GATBSTGTTLAUCV-GDBMZVCRSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@@]2(C1)CCCN(CCF)C2 ZINC001040224323 656570073 /nfs/dbraw/zinc/57/00/73/656570073.db2.gz JALPPZYCLLXICI-INIZCTEOSA-N 0 1 316.848 3.049 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CCC[N@@H+](Cc2ccc3ccccc3c2)CC1 ZINC000980838447 656576832 /nfs/dbraw/zinc/57/68/32/656576832.db2.gz RDXDXTGSZWCCFZ-INIZCTEOSA-N 0 1 321.424 3.034 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CCCN(Cc2ccc3ccccc3c2)CC1 ZINC000980838447 656576836 /nfs/dbraw/zinc/57/68/36/656576836.db2.gz RDXDXTGSZWCCFZ-INIZCTEOSA-N 0 1 321.424 3.034 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(F)F)cc1F ZINC001038844808 656608247 /nfs/dbraw/zinc/60/82/47/656608247.db2.gz GFGRUEZGSVJYTF-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C1CCC(C(=O)N2CCC3(C2)CCN(CCF)CC3)CC1 ZINC001040831384 656609896 /nfs/dbraw/zinc/60/98/96/656609896.db2.gz SCLGJCNETXYKJA-UHFFFAOYSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049496764 656631205 /nfs/dbraw/zinc/63/12/05/656631205.db2.gz DUTYAJUQPPXUIN-HUUCEWRRSA-N 0 1 304.459 3.230 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)C1CCCC1 ZINC001049523613 656635221 /nfs/dbraw/zinc/63/52/21/656635221.db2.gz LYRLRCUSEVXQBS-QZTJIDSGSA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cscc1Cl ZINC001049531356 656636727 /nfs/dbraw/zinc/63/67/27/656636727.db2.gz AZPBFXCWCVNPDT-GJZGRUSLSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2coc3ccc(CC)cc32)CC1 ZINC000981168171 656637928 /nfs/dbraw/zinc/63/79/28/656637928.db2.gz GIYNJONPXBOVPK-UHFFFAOYSA-N 0 1 324.424 3.166 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1coc2cc(C)c(C)cc12 ZINC001028050058 656646290 /nfs/dbraw/zinc/64/62/90/656646290.db2.gz XGPADJYLMWBTOU-OAHLLOKOSA-N 0 1 312.413 3.430 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C2CCC2)CCC1 ZINC001049622261 656651292 /nfs/dbraw/zinc/65/12/92/656651292.db2.gz SVFVGGSPHZYABB-ROUUACIJSA-N 0 1 314.473 3.045 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@]3(CCN(C/C=C/Cl)C3)C2)C1 ZINC001041653381 656663401 /nfs/dbraw/zinc/66/34/01/656663401.db2.gz RFCPYPKBXKTJJW-ZVVFDCPKSA-N 0 1 308.853 3.020 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001028071958 656664084 /nfs/dbraw/zinc/66/40/84/656664084.db2.gz BIATZJRGDWFNON-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1c(C)cccc1C ZINC001049744185 656676216 /nfs/dbraw/zinc/67/62/16/656676216.db2.gz WJFNLZWKUQTVHL-MSOLQXFVSA-N 0 1 310.441 3.006 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041800823 656677613 /nfs/dbraw/zinc/67/76/13/656677613.db2.gz YWAPJNVDQOCYKP-OAQYLSRUSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1scnc1C(C)C ZINC001049773273 656684881 /nfs/dbraw/zinc/68/48/81/656684881.db2.gz OFYDBPBHFZXZQG-KGLIPLIRSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)c2nc(C(C)(C)C)cs2)CC1 ZINC000981383902 656687502 /nfs/dbraw/zinc/68/75/02/656687502.db2.gz ZWDSBRROHNPGOR-UHFFFAOYSA-N 0 1 321.490 3.165 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2nc(C(C)(C)C)cs2)CC1 ZINC000981383902 656687505 /nfs/dbraw/zinc/68/75/05/656687505.db2.gz ZWDSBRROHNPGOR-UHFFFAOYSA-N 0 1 321.490 3.165 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001049790023 656687659 /nfs/dbraw/zinc/68/76/59/656687659.db2.gz JHRGYWYEDGURSR-LSTHTHJFSA-N 0 1 324.468 3.051 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(CC)(CC)CC ZINC001049816169 656691713 /nfs/dbraw/zinc/69/17/13/656691713.db2.gz SZZXNTWPUXZOPG-IRXDYDNUSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(C(C)(C)C)cc1 ZINC001049863324 656703656 /nfs/dbraw/zinc/70/36/56/656703656.db2.gz LUBHHKXNKDEHMO-RBUKOAKNSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(C(C)C)cc1 ZINC001049866132 656705080 /nfs/dbraw/zinc/70/50/80/656705080.db2.gz ZATDHXJYEVXCNR-RTBURBONSA-N 0 1 310.441 3.122 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2ccccc21 ZINC001049872147 656707028 /nfs/dbraw/zinc/70/70/28/656707028.db2.gz QFAUPQGJDKFCSZ-VQTJNVASSA-N 0 1 318.420 3.152 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000981517743 656713304 /nfs/dbraw/zinc/71/33/04/656713304.db2.gz NYLWEWMOKWPMPN-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000981517742 656713611 /nfs/dbraw/zinc/71/36/11/656713611.db2.gz NYLWEWMOKWPMPN-KBPBESRZSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049885703 656714180 /nfs/dbraw/zinc/71/41/80/656714180.db2.gz OOFPTMYRZNPIAB-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sccc1C(C)C ZINC001049907988 656717970 /nfs/dbraw/zinc/71/79/70/656717970.db2.gz FXMOLARDQRRRAE-CVEARBPZSA-N 0 1 316.470 3.184 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(CCC)s3)C[C@H]21 ZINC001042079501 656720718 /nfs/dbraw/zinc/72/07/18/656720718.db2.gz DQRLIPBFYJVEOM-GOEBONIOSA-N 0 1 318.486 3.423 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(C(C)C)s1 ZINC001049917245 656722406 /nfs/dbraw/zinc/72/24/06/656722406.db2.gz KXMLRNHTLXOJPO-HUUCEWRRSA-N 0 1 316.470 3.184 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2c(cccc2C)o1 ZINC001049922336 656723924 /nfs/dbraw/zinc/72/39/24/656723924.db2.gz GSVIJCIJIQHXHP-SJORKVTESA-N 0 1 322.408 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(F)s1 ZINC001049927772 656725899 /nfs/dbraw/zinc/72/58/99/656725899.db2.gz YHHPJGRXJSCFNL-QWHCGFSZSA-N 0 1 308.422 3.142 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccc(-n3cccc3)c2)CC1 ZINC000981570116 656726683 /nfs/dbraw/zinc/72/66/83/656726683.db2.gz KCURKHYISQRAGN-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2cc3ccccc3o2)CC1 ZINC000981586896 656726940 /nfs/dbraw/zinc/72/69/40/656726940.db2.gz MFVYHJKVQZPDRX-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H]3CCN(C/C=C/Cl)[C@@H]3C2)CC1 ZINC001042216284 656737948 /nfs/dbraw/zinc/73/79/48/656737948.db2.gz NSXVGDNSCUGCHW-UQEQFRAVSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc4occc4s3)C[C@@H]21 ZINC001042230050 656741315 /nfs/dbraw/zinc/74/13/15/656741315.db2.gz LQDYORADFJBSQA-OLZOCXBDSA-N 0 1 316.426 3.217 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCCC[C@H]2C2CC2)CC1 ZINC000981685784 656748716 /nfs/dbraw/zinc/74/87/16/656748716.db2.gz XXBLZMMCNRJYOU-ROUUACIJSA-N 0 1 304.478 3.313 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@@H]2CCN(CC#Cc3ccccc3)[C@@H]2C1 ZINC001042322144 656752428 /nfs/dbraw/zinc/75/24/28/656752428.db2.gz MHBJBAMPLPEFAU-RBUKOAKNSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCN1CCCN(C(=O)c2csc3ccccc23)CC1 ZINC000981708983 656753029 /nfs/dbraw/zinc/75/30/29/656753029.db2.gz QBQPTVBIGIJRST-UHFFFAOYSA-N 0 1 300.427 3.235 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CCc2cccs2)CC1 ZINC000981741328 656756725 /nfs/dbraw/zinc/75/67/25/656756725.db2.gz XVQDJGNUHFITES-UHFFFAOYSA-N 0 1 320.502 3.427 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(C)nc2C)CC1 ZINC001052954741 656796012 /nfs/dbraw/zinc/79/60/12/656796012.db2.gz RDYILNVMPCFXKA-HNNXBMFYSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c(C)oc3ccccc32)C1 ZINC001042777457 656797929 /nfs/dbraw/zinc/79/79/29/656797929.db2.gz BPVKGQYXNQRFTP-UHFFFAOYSA-N 0 1 312.413 3.464 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C2CN(CCC3=CCCCC3)C2)cc1 ZINC001043506184 656833800 /nfs/dbraw/zinc/83/38/00/656833800.db2.gz RCGFOINFEXFIIJ-UHFFFAOYSA-N 0 1 322.452 3.315 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC000981987929 656846415 /nfs/dbraw/zinc/84/64/15/656846415.db2.gz NVXOENRXQDYLOK-DZFYGRHCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC001028187948 656848456 /nfs/dbraw/zinc/84/84/56/656848456.db2.gz QVMWSWQCOADNJC-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(C)CC[N@H+]1CCCN(C(=O)[C@H](F)c2ccccc2)CC1 ZINC000982040714 656873708 /nfs/dbraw/zinc/87/37/08/656873708.db2.gz DIVRUTLASUVPHC-QGZVFWFLSA-N 0 1 304.409 3.198 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001044071369 656877697 /nfs/dbraw/zinc/87/76/97/656877697.db2.gz MIMPSXPQKNOVJT-KRWDZBQOSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCN1CCCN(C(=O)C(C)(C)c2cccc(Cl)c2)CC1 ZINC000982047476 656881621 /nfs/dbraw/zinc/88/16/21/656881621.db2.gz UKRBXJZTEKDMSH-UHFFFAOYSA-N 0 1 320.864 3.338 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC001044204457 656895499 /nfs/dbraw/zinc/89/54/99/656895499.db2.gz ZKDJQCRICLCYLZ-INIZCTEOSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)c1c[nH]cc1C ZINC001054060200 656897844 /nfs/dbraw/zinc/89/78/44/656897844.db2.gz ITHGNABGFZHDFR-GOSISDBHSA-N 0 1 323.440 3.056 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3c(C)cc(C)cc3C)C2)C1 ZINC000982141494 656904543 /nfs/dbraw/zinc/90/45/43/656904543.db2.gz GLHLVPXJQCQEIS-UHFFFAOYSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(CC(C)C)cc3)C2)C1 ZINC000982144607 656904642 /nfs/dbraw/zinc/90/46/42/656904642.db2.gz XRSBGTWSHWZTPD-UHFFFAOYSA-N 0 1 324.468 3.056 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C3(C)C(C)(C)C3(C)C)C2)C1 ZINC000982174066 656912112 /nfs/dbraw/zinc/91/21/12/656912112.db2.gz JQYGNKGZWPVTLK-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)c2ccccc2F)CC1 ZINC000982227483 656921960 /nfs/dbraw/zinc/92/19/60/656921960.db2.gz WVYUTYCAEWLNDL-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)c1cc(-c2ccccc2)ccn1 ZINC001028226368 656925201 /nfs/dbraw/zinc/92/52/01/656925201.db2.gz QBDHQWVGQNDNSV-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(-c2ccccc2)ccn1 ZINC001028226368 656925203 /nfs/dbraw/zinc/92/52/03/656925203.db2.gz QBDHQWVGQNDNSV-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001028226285 656925314 /nfs/dbraw/zinc/92/53/14/656925314.db2.gz JDVKPTKBPWEJKJ-BZSNNMDCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001028226285 656925316 /nfs/dbraw/zinc/92/53/16/656925316.db2.gz JDVKPTKBPWEJKJ-BZSNNMDCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1cccc2ccncc21 ZINC001028234080 656931648 /nfs/dbraw/zinc/93/16/48/656931648.db2.gz QKNBJNNPDZXFSX-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1oc2ccccc2c1Cl ZINC001028254744 656946985 /nfs/dbraw/zinc/94/69/85/656946985.db2.gz CAUZYIZEJWVGDM-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccc(C)s3)cc2C1 ZINC001054252379 656949075 /nfs/dbraw/zinc/94/90/75/656949075.db2.gz OBUFRNHMTCSSSZ-UHFFFAOYSA-N 0 1 324.449 3.325 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3CCCCC3)cc2C1 ZINC001054252178 656949537 /nfs/dbraw/zinc/94/95/37/656949537.db2.gz DQURYJAEAVKQCI-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ncccc3C)cc2C1 ZINC001054259659 656953275 /nfs/dbraw/zinc/95/32/75/656953275.db2.gz MFYCANPVHPBPTE-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2c(s1)CCCCC2 ZINC001038993496 656955618 /nfs/dbraw/zinc/95/56/18/656955618.db2.gz ZVDLIQNHSGVLMD-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)cc2C1 ZINC001054271716 656957110 /nfs/dbraw/zinc/95/71/10/656957110.db2.gz WGBAEQRTMANXIN-ZHALLVOQSA-N 0 1 322.452 3.078 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)cc2C1 ZINC001054278005 656959123 /nfs/dbraw/zinc/95/91/23/656959123.db2.gz HUWLZZGWVGOTTI-PIIMJCKOSA-N 0 1 310.441 3.241 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001028280415 656968645 /nfs/dbraw/zinc/96/86/45/656968645.db2.gz MIQCYJIPROGZQE-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccccc1-c1ccccc1 ZINC001028301048 656985453 /nfs/dbraw/zinc/98/54/53/656985453.db2.gz NLEYJMHLHNQUMH-GOSISDBHSA-N 0 1 318.420 3.181 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001028304907 656986440 /nfs/dbraw/zinc/98/64/40/656986440.db2.gz BOMBTDKSNVASQJ-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC001028302936 656987434 /nfs/dbraw/zinc/98/74/34/656987434.db2.gz YYAMUSAAULTRJO-QGZVFWFLSA-N 0 1 300.446 3.382 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001028311469 656989683 /nfs/dbraw/zinc/98/96/83/656989683.db2.gz PGOHOHTZDQQLCL-QGZVFWFLSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1sc(CCC)nc1C ZINC001028315807 656990918 /nfs/dbraw/zinc/99/09/18/656990918.db2.gz VWSOQWQNYUKWFA-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sc(C)cc2C)C[C@@H]1C ZINC001054696356 657002012 /nfs/dbraw/zinc/00/20/12/657002012.db2.gz NCNZLTQYAKDIBT-GWCFXTLKSA-N 0 1 312.866 3.168 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C(C)C)nc2)C[C@@H]1C ZINC001054733579 657004314 /nfs/dbraw/zinc/00/43/14/657004314.db2.gz SUCWEIJIZNWYDN-LRDDRELGSA-N 0 1 321.852 3.008 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(C)(F)F)cc1 ZINC001028358277 657006663 /nfs/dbraw/zinc/00/66/63/657006663.db2.gz UJBSZDRMMBDXGW-MRXNPFEDSA-N 0 1 320.383 3.016 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2ccc(C)cc2)C[C@H]1C ZINC001054883608 657018815 /nfs/dbraw/zinc/01/88/15/657018815.db2.gz FYZZGJHMQAJIRM-RHSMWYFYSA-N 0 1 320.864 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2ccc(F)cc2F)C1 ZINC001054976922 657027499 /nfs/dbraw/zinc/02/74/99/657027499.db2.gz QUXRWEWEQNJQJN-WBMJQRKESA-N 0 1 322.399 3.114 20 30 DGEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)[C@H](C)CC3CCCC3)C[C@@]2(C)C1 ZINC000982609924 657061781 /nfs/dbraw/zinc/06/17/81/657061781.db2.gz JTTUTMZAYSFIKJ-AHRSYUTCSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001000093177 657093629 /nfs/dbraw/zinc/09/36/29/657093629.db2.gz UVLDIZVXJFOAHG-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C1(C)CC1)C2 ZINC001045940103 657100693 /nfs/dbraw/zinc/10/06/93/657100693.db2.gz QCKSKGZMEVJEQD-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2c(C)cccc2C)C1 ZINC001000273372 657107495 /nfs/dbraw/zinc/10/74/95/657107495.db2.gz GZVTUKGDRHINOF-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@H]1CC13CC3)C2 ZINC001045969405 657109688 /nfs/dbraw/zinc/10/96/88/657109688.db2.gz PUXJPKXYMARJHX-NVXWUHKLSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001000321602 657112502 /nfs/dbraw/zinc/11/25/02/657112502.db2.gz UGVNEDJQVOGNIS-HNNXBMFYSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](F)c2ccccc2)C1 ZINC001000328916 657114182 /nfs/dbraw/zinc/11/41/82/657114182.db2.gz LZMAAXBAUXVKDX-CABCVRRESA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccsc2Cl)C1 ZINC001000387642 657122562 /nfs/dbraw/zinc/12/25/62/657122562.db2.gz HPIFWFCOJQLCKW-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2ccccc2F)C1 ZINC001000481848 657129900 /nfs/dbraw/zinc/12/99/00/657129900.db2.gz AOZYQVDMXOMJAM-KGLIPLIRSA-N 0 1 324.827 3.262 20 30 DGEDMN N#CCOc1ccc(CNCc2ccc(Br)o2)cc1 ZINC000178901549 657130409 /nfs/dbraw/zinc/13/04/09/657130409.db2.gz IEMWATNDVCLAKP-UHFFFAOYSA-N 0 1 321.174 3.234 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2c(C)oc3ccccc32)CC1 ZINC001000721853 657146515 /nfs/dbraw/zinc/14/65/15/657146515.db2.gz UGKCQWNDZGRFGH-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001000732662 657147930 /nfs/dbraw/zinc/14/79/30/657147930.db2.gz LNHXEWDOBXISCW-IXDOHACOSA-N 0 1 318.848 3.123 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001046361908 657153150 /nfs/dbraw/zinc/15/31/50/657153150.db2.gz LXHHMOORSCSZAP-FQEVSTJZSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C)cc2C)C1 ZINC001000832270 657156439 /nfs/dbraw/zinc/15/64/39/657156439.db2.gz QIPWASJOWDBVDF-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc3c2CCCCC3)C1 ZINC001046401751 657157400 /nfs/dbraw/zinc/15/74/00/657157400.db2.gz COCLKEFLCKEAPA-NRFANRHFSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001046472152 657166886 /nfs/dbraw/zinc/16/68/86/657166886.db2.gz CBXPBGHFRRHJKM-SFHVURJKSA-N 0 1 314.385 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001000972902 657170274 /nfs/dbraw/zinc/17/02/74/657170274.db2.gz PSXALAIKADUGDP-WMLDXEAASA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2c(C)cccc2F)C1 ZINC001001069019 657180095 /nfs/dbraw/zinc/18/00/95/657180095.db2.gz ASYALJPVSBYHQZ-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc3sccc3c2)C1 ZINC001046743091 657210806 /nfs/dbraw/zinc/21/08/06/657210806.db2.gz PCCDLWRAHNUXKX-SFHVURJKSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046757003 657213037 /nfs/dbraw/zinc/21/30/37/657213037.db2.gz CWRQFEKXOHXWDM-FQEVSTJZSA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046757003 657213043 /nfs/dbraw/zinc/21/30/43/657213043.db2.gz CWRQFEKXOHXWDM-FQEVSTJZSA-N 0 1 322.408 3.164 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001046779878 657216465 /nfs/dbraw/zinc/21/64/65/657216465.db2.gz RPZOURHABSVAFW-LJQANCHMSA-N 0 1 312.413 3.430 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001046799715 657223795 /nfs/dbraw/zinc/22/37/95/657223795.db2.gz UVPZCRNXXJHBTM-KRWDZBQOSA-N 0 1 322.811 3.005 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2csc3c2CCCC3)C1 ZINC001046819695 657229716 /nfs/dbraw/zinc/22/97/16/657229716.db2.gz ZYNRGEOUVJFAHP-KRWDZBQOSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001046819800 657229880 /nfs/dbraw/zinc/22/98/80/657229880.db2.gz FLZBJQMLIBQFBA-KRWDZBQOSA-N 0 1 304.459 3.007 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001046869275 657241287 /nfs/dbraw/zinc/24/12/87/657241287.db2.gz NBLKHQCAZSJNAN-GOSISDBHSA-N 0 1 318.848 3.291 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001046881883 657242309 /nfs/dbraw/zinc/24/23/09/657242309.db2.gz YQIOTPYMCNMDPI-KRWDZBQOSA-N 0 1 320.383 3.016 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C2=CCCCCC2)C1 ZINC001046933152 657246094 /nfs/dbraw/zinc/24/60/94/657246094.db2.gz AMLAGRVZSJJDQE-OAHLLOKOSA-N 0 1 312.404 3.136 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3cc(C)c(C)o3)C2)CC1 ZINC001050493901 657316954 /nfs/dbraw/zinc/31/69/54/657316954.db2.gz VZPKTRNCVFEPMP-UHFFFAOYSA-N 0 1 322.836 3.187 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)C(C)(C)C)C2)CC1 ZINC001050562939 657324219 /nfs/dbraw/zinc/32/42/19/657324219.db2.gz DSQSMNSLCFAOFO-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001050623771 657332799 /nfs/dbraw/zinc/33/27/99/657332799.db2.gz UVXWGOOHWFWNTN-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCCC[C@H]3C)C2)CC1 ZINC001050637545 657334774 /nfs/dbraw/zinc/33/47/74/657334774.db2.gz BBHNYGCHMXXVJK-ZBFHGGJFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(C)CC(=C)C3)C2)CC1 ZINC001050657317 657339769 /nfs/dbraw/zinc/33/97/69/657339769.db2.gz OTVBQXKLWRLGGQ-UHFFFAOYSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CC[C@@H](C)C3)C2)CC1 ZINC001050686495 657343727 /nfs/dbraw/zinc/34/37/27/657343727.db2.gz JGDFEGXRNQVDOL-HIFRSBDPSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccc(F)cc3)cccc2C1 ZINC001051278113 657378553 /nfs/dbraw/zinc/37/85/53/657378553.db2.gz HSTRPEUNTISHDX-UHFFFAOYSA-N 0 1 324.399 3.300 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cc(C)oc3C)cccc2C1 ZINC001051279480 657379845 /nfs/dbraw/zinc/37/98/45/657379845.db2.gz COWYONLBNAAKIQ-UHFFFAOYSA-N 0 1 324.424 3.371 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCCC3(C)C)cccc2C1 ZINC001051296928 657383479 /nfs/dbraw/zinc/38/34/79/657383479.db2.gz FAFNJRLTMKDMKM-IBGZPJMESA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3C[C@H]3C(C)C)cccc2C1 ZINC001051306334 657385641 /nfs/dbraw/zinc/38/56/41/657385641.db2.gz TUNIIPLLGQPLJK-RBUKOAKNSA-N 0 1 312.457 3.139 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)CC2CCCCCC2)C1 ZINC000968354531 657392418 /nfs/dbraw/zinc/39/24/18/657392418.db2.gz UTPBPVZCDLDNPN-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC000968366376 657396466 /nfs/dbraw/zinc/39/64/66/657396466.db2.gz WXSVPFLHABQWOB-JXFKEZNVSA-N 0 1 320.436 3.222 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC000968405530 657407168 /nfs/dbraw/zinc/40/71/68/657407168.db2.gz NKCDWUFBHQIEJV-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2ccc(F)cc2)CC1 ZINC000957370946 657409706 /nfs/dbraw/zinc/40/97/06/657409706.db2.gz QCTJVOLGPVMGSN-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=CCCN1CC(N(CC)C(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC000957390392 657410466 /nfs/dbraw/zinc/41/04/66/657410466.db2.gz JWHNNOUNFCNEDJ-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968471891 657414626 /nfs/dbraw/zinc/41/46/26/657414626.db2.gz MRHYUQIEASDQAX-XLAORIBOSA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC000968486840 657415526 /nfs/dbraw/zinc/41/55/26/657415526.db2.gz AGTJWOYACXPNRA-BBRMVZONSA-N 0 1 304.434 3.338 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC000968486843 657415613 /nfs/dbraw/zinc/41/56/13/657415613.db2.gz AGTJWOYACXPNRA-XJKSGUPXSA-N 0 1 304.434 3.338 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000249744877 657426951 /nfs/dbraw/zinc/42/69/51/657426951.db2.gz ZFLHVNVIOXVSFA-FXAINCCUSA-N 0 1 324.352 3.305 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968562834 657427399 /nfs/dbraw/zinc/42/73/99/657427399.db2.gz XEUHIRILXAASEM-CZUORRHYSA-N 0 1 320.383 3.088 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968569699 657428887 /nfs/dbraw/zinc/42/88/87/657428887.db2.gz KUQWHPSKYBFRAZ-IUODEOHRSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(OCC(C)C)cc2)CC1 ZINC000957548097 657430283 /nfs/dbraw/zinc/43/02/83/657430283.db2.gz SWSJLYHTBQWUHX-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000957550519 657431093 /nfs/dbraw/zinc/43/10/93/657431093.db2.gz HDNONLNDLOTLPV-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968579241 657432321 /nfs/dbraw/zinc/43/23/21/657432321.db2.gz LSMKAEBVYHXICX-KXBFYZLASA-N 0 1 308.425 3.466 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968592011 657435039 /nfs/dbraw/zinc/43/50/39/657435039.db2.gz JHBAQPSMVFHLPA-AAEUAGOBSA-N 0 1 324.877 3.173 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968591763 657435108 /nfs/dbraw/zinc/43/51/08/657435108.db2.gz FJXPQNHXLMCFKA-GXTWGEPZSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592749 657435170 /nfs/dbraw/zinc/43/51/70/657435170.db2.gz PQENURNRODXJLQ-OCCSQVGLSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592749 657435173 /nfs/dbraw/zinc/43/51/73/657435173.db2.gz PQENURNRODXJLQ-OCCSQVGLSA-N 0 1 317.458 3.246 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597525 657435889 /nfs/dbraw/zinc/43/58/89/657435889.db2.gz ZFAAKGXGXWJYBZ-DOTOQJQBSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2ccc3ccccc3c2)CC1 ZINC000957599491 657439733 /nfs/dbraw/zinc/43/97/33/657439733.db2.gz XTKWZWMMSDDZPH-UHFFFAOYSA-N 0 1 308.425 3.103 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968605526 657440001 /nfs/dbraw/zinc/44/00/01/657440001.db2.gz AELRIJDGCBELBU-OXQOHEQNSA-N 0 1 320.436 3.303 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607107 657441144 /nfs/dbraw/zinc/44/11/44/657441144.db2.gz OUXJGABCBHSGOY-KXBFYZLASA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607107 657441148 /nfs/dbraw/zinc/44/11/48/657441148.db2.gz OUXJGABCBHSGOY-KXBFYZLASA-N 0 1 308.425 3.466 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc3ccccc3o2)C1 ZINC000968618544 657443792 /nfs/dbraw/zinc/44/37/92/657443792.db2.gz HGEYGAKGEFFHFT-GOEBONIOSA-N 0 1 312.413 3.449 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968620271 657444823 /nfs/dbraw/zinc/44/48/23/657444823.db2.gz SBYCCKZQDQNBCM-PBHICJAKSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692896 657460754 /nfs/dbraw/zinc/46/07/54/657460754.db2.gz QPIHKBMWCPFJJV-JZFKGDSASA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CC(C)(C)c2ccccc2)CC1 ZINC000957778311 657462393 /nfs/dbraw/zinc/46/23/93/657462393.db2.gz JPJKVCBBOUJPOX-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2cc(C)sc2C)CC1 ZINC000957836021 657467140 /nfs/dbraw/zinc/46/71/40/657467140.db2.gz VGNPAJFUROJRMI-UHFFFAOYSA-N 0 1 320.502 3.408 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(-c3ccccc3)[nH]2)CC1 ZINC000957893358 657472416 /nfs/dbraw/zinc/47/24/16/657472416.db2.gz MDWCGASTMNQKIW-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cccc(F)c1C ZINC000968868687 657504496 /nfs/dbraw/zinc/50/44/96/657504496.db2.gz AZTPAAALCULMMU-INIZCTEOSA-N 0 1 316.420 3.132 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc2c1CCCC2 ZINC000968871376 657506669 /nfs/dbraw/zinc/50/66/69/657506669.db2.gz SREXURSQLXDSIW-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccccc1C(C)C ZINC000968884870 657510042 /nfs/dbraw/zinc/51/00/42/657510042.db2.gz DZGYXOUVUHKPAN-KRWDZBQOSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC000968917492 657515420 /nfs/dbraw/zinc/51/54/20/657515420.db2.gz YFWDXPCCQAEYEK-UYAOXDASSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1ccc(CC)s1 ZINC000968938576 657518079 /nfs/dbraw/zinc/51/80/79/657518079.db2.gz MBEDBMZUGYNZIE-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(C)cc(Cl)c1 ZINC000968951618 657521974 /nfs/dbraw/zinc/52/19/74/657521974.db2.gz BSEGBROBAOYNHG-KRWDZBQOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@H]1CNC(=O)c1ccoc1C1CC1 ZINC000969005204 657531558 /nfs/dbraw/zinc/53/15/58/657531558.db2.gz NOFKGWGKNRDRSF-HNNXBMFYSA-N 0 1 302.418 3.317 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(Cl)c(F)c1 ZINC000969046088 657542176 /nfs/dbraw/zinc/54/21/76/657542176.db2.gz ABAVZPPTDSABTD-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccsc1Cl ZINC000969059171 657545461 /nfs/dbraw/zinc/54/54/61/657545461.db2.gz HTLOTDIMHVHRAH-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1csc(C)c1 ZINC000969070940 657550466 /nfs/dbraw/zinc/55/04/66/657550466.db2.gz XVNMGGVXXHKECX-MRXNPFEDSA-N 0 1 304.459 3.054 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000969085275 657551898 /nfs/dbraw/zinc/55/18/98/657551898.db2.gz RTCGPHFFSIUCCN-GUDVDZBRSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(C(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1)=C1CCC1 ZINC001007299344 657592318 /nfs/dbraw/zinc/59/23/18/657592318.db2.gz GFLSXYJSEGSRPN-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001007341993 657596219 /nfs/dbraw/zinc/59/62/19/657596219.db2.gz CJLVBRKUOZMSCJ-KSZLIROESA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2coc3ccc(C)cc23)C1 ZINC001007441409 657609652 /nfs/dbraw/zinc/60/96/52/657609652.db2.gz PGGKNKQVRHIGBC-MRXNPFEDSA-N 0 1 312.413 3.050 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC001007668015 657627165 /nfs/dbraw/zinc/62/71/65/657627165.db2.gz GXRATQSJZJJLIH-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001007889219 657644380 /nfs/dbraw/zinc/64/43/80/657644380.db2.gz HTWSXFJNMGABMR-AWEZNQCLSA-N 0 1 314.385 3.310 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC001007979654 657651448 /nfs/dbraw/zinc/65/14/48/657651448.db2.gz BIRXYQSIFSLRKV-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001008397727 657691713 /nfs/dbraw/zinc/69/17/13/657691713.db2.gz FIMIYFYHDXSFNF-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001008664045 657714715 /nfs/dbraw/zinc/71/47/15/657714715.db2.gz IMXLULWSGOSLKZ-SFHVURJKSA-N 0 1 314.473 3.293 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001008664044 657715401 /nfs/dbraw/zinc/71/54/01/657715401.db2.gz IMXLULWSGOSLKZ-GOSISDBHSA-N 0 1 314.473 3.293 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001008710114 657723613 /nfs/dbraw/zinc/72/36/13/657723613.db2.gz KMPBMBUWPVIVGS-MRXNPFEDSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC001008809886 657731323 /nfs/dbraw/zinc/73/13/23/657731323.db2.gz JLZSGMUGPQNANE-HYVNUMGLSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(C(C)C)n2)C1 ZINC001008895723 657737569 /nfs/dbraw/zinc/73/75/69/657737569.db2.gz SBDMGAMFQTVRIW-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3ccsc32)C1 ZINC001009095632 657747206 /nfs/dbraw/zinc/74/72/06/657747206.db2.gz KCAJULLNSRBPID-CQSZACIVSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001009219611 657757369 /nfs/dbraw/zinc/75/73/69/657757369.db2.gz KYDIZGQOBULXCX-HNNXBMFYSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCCC2(C)C)CC1 ZINC000985584734 657771832 /nfs/dbraw/zinc/77/18/32/657771832.db2.gz GCDHWFYROXLKNQ-HNNXBMFYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CCC2)C1 ZINC001009363338 657772221 /nfs/dbraw/zinc/77/22/21/657772221.db2.gz QYDKTFAVZBXSPJ-KRWDZBQOSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@]2(C)CCC[C@@H]2C)CC1 ZINC000985635296 657790251 /nfs/dbraw/zinc/79/02/51/657790251.db2.gz YRNODAQYGDZQGP-SUMWQHHRSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001009476653 657791091 /nfs/dbraw/zinc/79/10/91/657791091.db2.gz OBALFAQUFGMDNU-MRXNPFEDSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001009583743 657796943 /nfs/dbraw/zinc/79/69/43/657796943.db2.gz CCHJTFQBXBYQMH-OIAUPDTQSA-N 0 1 316.489 3.215 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001009632337 657802229 /nfs/dbraw/zinc/80/22/29/657802229.db2.gz KUWUUCBEKURNSK-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001009720750 657812233 /nfs/dbraw/zinc/81/22/33/657812233.db2.gz OVLPALIPYZLPPZ-MRXNPFEDSA-N 0 1 309.413 3.062 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001009760609 657815076 /nfs/dbraw/zinc/81/50/76/657815076.db2.gz JZTSEJPJORBARW-SFHVURJKSA-N 0 1 322.408 3.164 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC001009779883 657817915 /nfs/dbraw/zinc/81/79/15/657817915.db2.gz LMCBDSOSCIVUOV-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2C[C@H]2C2CCCC2)CC1 ZINC000985736062 657827490 /nfs/dbraw/zinc/82/74/90/657827490.db2.gz QUAAJMKIVNSMBK-IRXDYDNUSA-N 0 1 324.896 3.488 20 30 DGEDMN CN(Cc1ccc(C#N)s1)C[C@@H]1CCCN1C(=O)C(C)(C)C ZINC000960990656 657922213 /nfs/dbraw/zinc/92/22/13/657922213.db2.gz FPQLXPOBGHYUDT-ZDUSSCGKSA-N 0 1 319.474 3.089 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@H](NCc2ccccc2C#N)[C@H]1C ZINC000986003419 657950263 /nfs/dbraw/zinc/95/02/63/657950263.db2.gz NRHREEHDAGKAAN-KDOFPFPSSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)/C=C\C3CC3)C2)C1 ZINC000961136040 657960730 /nfs/dbraw/zinc/96/07/30/657960730.db2.gz GTGBQRFTRYHSFM-YHLLGKTKSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C(C)(C)C)C2)C1 ZINC000961273084 657991067 /nfs/dbraw/zinc/99/10/67/657991067.db2.gz MZEHMYLCIKUTQR-CABCVRRESA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)c2ccc[nH]2)C1 ZINC001029918064 657998012 /nfs/dbraw/zinc/99/80/12/657998012.db2.gz VUIWTJIIFKGVDW-SFHVURJKSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(F)=C2CCCC2)[C@H]1C ZINC000986148320 658002050 /nfs/dbraw/zinc/00/20/50/658002050.db2.gz KYPGDXDDUWRMOG-AAEUAGOBSA-N 0 1 300.805 3.116 20 30 DGEDMN CC(C(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C)=C1CCC1 ZINC000986287119 658031630 /nfs/dbraw/zinc/03/16/30/658031630.db2.gz PSMNRHUBFYBPRA-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2c(C)cccc2C)[C@H]1C ZINC000986317690 658037647 /nfs/dbraw/zinc/03/76/47/658037647.db2.gz PZQRXTRUTLBFNE-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2csc(C)c2C)[C@@H]1C ZINC000986322090 658037688 /nfs/dbraw/zinc/03/76/88/658037688.db2.gz HBSKGJXBRFBLBX-RISCZKNCSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(F)c2C)[C@H]1C ZINC000986316644 658037765 /nfs/dbraw/zinc/03/77/65/658037765.db2.gz QMOBLTSNNKGJQO-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccsc2)[C@@H]1C ZINC000986358392 658040311 /nfs/dbraw/zinc/04/03/11/658040311.db2.gz IZMPISLNFYBOMS-TZMCWYRMSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccsc3[nH]2)[C@H]1C ZINC000986628901 658084657 /nfs/dbraw/zinc/08/46/57/658084657.db2.gz OFPACTWKFRNJJI-JQWIXIFHSA-N 0 1 323.849 3.175 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2sc3ccccc3c2C)C1 ZINC001015174884 658093424 /nfs/dbraw/zinc/09/34/24/658093424.db2.gz QDICEPFBYFGMCR-AWEZNQCLSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccoc2C2CC2)[C@H]1C ZINC000986737985 658095855 /nfs/dbraw/zinc/09/58/55/658095855.db2.gz ZSGLSOMOHJNUOS-FZMZJTMJSA-N 0 1 308.809 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001015467850 658123742 /nfs/dbraw/zinc/12/37/42/658123742.db2.gz RFPSMCITCAJNCW-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1sc(C)nc1CC(C)C ZINC001038469630 658124126 /nfs/dbraw/zinc/12/41/26/658124126.db2.gz XDGNXUMLXXGKMH-CQSZACIVSA-N 0 1 321.490 3.030 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001015508734 658126240 /nfs/dbraw/zinc/12/62/40/658126240.db2.gz KKAOCLIXKOMVKB-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3cc[nH]c3c2)[C@@H]1C ZINC000987073731 658151877 /nfs/dbraw/zinc/15/18/77/658151877.db2.gz CEUVPZDPBODLSF-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2csc(Cl)c2)[C@H]1C ZINC000987121796 658161593 /nfs/dbraw/zinc/16/15/93/658161593.db2.gz APUQZUREIPPVEM-ONGXEEELSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2oc(CC)cc2C)[C@@H]1C ZINC000987354908 658195536 /nfs/dbraw/zinc/19/55/36/658195536.db2.gz GDTXQOMOIGUNFL-OCCSQVGLSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2occ3c2CCCC3)[C@H]1C ZINC000987410932 658205095 /nfs/dbraw/zinc/20/50/95/658205095.db2.gz UAHZIQBUQBDOAE-SWLSCSKDSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)s2)[C@H]1C ZINC000987467908 658215981 /nfs/dbraw/zinc/21/59/81/658215981.db2.gz UMDCKUSSHSRLJR-UWVGGRQHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccn2C(C)C)[C@H]1C ZINC000987539280 658227329 /nfs/dbraw/zinc/22/73/29/658227329.db2.gz RVGWUTDGSCSCPM-UONOGXRCSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(F)c(C)c2)[C@@H]1C ZINC000987555576 658229869 /nfs/dbraw/zinc/22/98/69/658229869.db2.gz GOLKLPWAKSVNQN-UKRRQHHQSA-N 0 1 324.827 3.388 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2ccccc2s1 ZINC001038472757 658231967 /nfs/dbraw/zinc/23/19/67/658231967.db2.gz FVVHNSKVMQDIHN-CQSZACIVSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(C2CC2)C2CC2)[C@H]1C ZINC000987584729 658236058 /nfs/dbraw/zinc/23/60/58/658236058.db2.gz KGXJLAXRKJMHCQ-BLLLJJGKSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001016213105 658255718 /nfs/dbraw/zinc/25/57/18/658255718.db2.gz MXXJSXIHELKTDS-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN CCC(CC)C(=O)N1C[C@H](NCc2ccccc2C#N)C[C@H]1C ZINC000988108272 658301776 /nfs/dbraw/zinc/30/17/76/658301776.db2.gz FYYPDKWMYRMRID-RDTXWAMCSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@@H]2CC=CCC2)CC1 ZINC001016768216 658325776 /nfs/dbraw/zinc/32/57/76/658325776.db2.gz DXJWWVHKFVWVPK-HZPDHXFCSA-N 0 1 322.880 3.456 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cnc3c(C)cccn23)c(O)c1 ZINC000263633246 658351895 /nfs/dbraw/zinc/35/18/95/658351895.db2.gz RVYLPTADDHYNQO-YVMONPNESA-N 0 1 308.337 3.253 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1occc1-c1ccccc1 ZINC001038477847 658368084 /nfs/dbraw/zinc/36/80/84/658368084.db2.gz QJPNELWOCWGFKL-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc(C)c2F)C1 ZINC000988864406 658410351 /nfs/dbraw/zinc/41/03/51/658410351.db2.gz GSUDUKIYFHHHKJ-QWHCGFSZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2ccccc2CC)C1 ZINC000989034618 658426183 /nfs/dbraw/zinc/42/61/83/658426183.db2.gz NBMXGEIMSCMPGG-WMLDXEAASA-N 0 1 320.864 3.123 20 30 DGEDMN CC[C@H](c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017536679 658436809 /nfs/dbraw/zinc/43/68/09/658436809.db2.gz XFLIDEJIJOJXKT-HSALFYBXSA-N 0 1 322.452 3.226 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cs1 ZINC001017533534 658436926 /nfs/dbraw/zinc/43/69/26/658436926.db2.gz OVHWXPRDKGRNGB-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2ccc(F)cc2)C1 ZINC000989115127 658438099 /nfs/dbraw/zinc/43/80/99/658438099.db2.gz RYNHEPJMVFAMTA-CJNGLKHVSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3ccsc3[nH]2)C1 ZINC000989267502 658460481 /nfs/dbraw/zinc/46/04/81/658460481.db2.gz UVOSCVUSKMYHOH-ZYHUDNBSSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCC1(F)F ZINC001017849188 658467661 /nfs/dbraw/zinc/46/76/61/658467661.db2.gz GYQKQTQPBWSPIV-KFWWJZLASA-N 0 1 312.404 3.063 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC000966494482 658478481 /nfs/dbraw/zinc/47/84/81/658478481.db2.gz XNVKBCYDZGESMG-IFXJQAMLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cccs1 ZINC001017934687 658480366 /nfs/dbraw/zinc/48/03/66/658480366.db2.gz LOMWCVKVIPIGIY-SOUVJXGZSA-N 0 1 304.459 3.103 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(O[C@@H](C)CC)cc2)CC1 ZINC000989427682 658480379 /nfs/dbraw/zinc/48/03/79/658480379.db2.gz QSTCHUYRLWJGHQ-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3occc3s2)C1 ZINC000989439537 658484119 /nfs/dbraw/zinc/48/41/19/658484119.db2.gz CPXMLXGWWPGOGL-GHMZBOCLSA-N 0 1 324.833 3.439 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(Cl)c3occc32)CC1 ZINC000989462532 658492270 /nfs/dbraw/zinc/49/22/70/658492270.db2.gz IZXUKFVBCFELIM-UHFFFAOYSA-N 0 1 318.804 3.420 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)C)nc2)C1 ZINC000966719935 658493918 /nfs/dbraw/zinc/49/39/18/658493918.db2.gz GFCAHZVGFLOEQV-YJBOKZPZSA-N 0 1 315.461 3.221 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)ccc2F)C1 ZINC000989487446 658500143 /nfs/dbraw/zinc/50/01/43/658500143.db2.gz ZLIYIAZAJGZYNM-CHWSQXEVSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc3cc[nH]c3c2)C1 ZINC000989487910 658500249 /nfs/dbraw/zinc/50/02/49/658500249.db2.gz OFJLGQTXQUOGRC-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC000989487667 658500557 /nfs/dbraw/zinc/50/05/57/658500557.db2.gz FPNCSMBPAHBTBC-KRWDZBQOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccncc2c1 ZINC001018143015 658506291 /nfs/dbraw/zinc/50/62/91/658506291.db2.gz XMZRPEVYIRLKPG-KDURUIRLSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CCCN(C(=O)C(C)(C)c2ccccc2Cl)CC1 ZINC000989516175 658506332 /nfs/dbraw/zinc/50/63/32/658506332.db2.gz LGSIOYHMDSNGKU-UHFFFAOYSA-N 0 1 320.864 3.338 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccccc2OC(C)C)CC1 ZINC000989522300 658510045 /nfs/dbraw/zinc/51/00/45/658510045.db2.gz UXIOEOXBNCJZHG-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(C3CCCCC3)CC2)CC1 ZINC000989567350 658517254 /nfs/dbraw/zinc/51/72/54/658517254.db2.gz FSQAZUKBFPRGAK-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(c2cccc(C)c2)CC1 ZINC001018310342 658533901 /nfs/dbraw/zinc/53/39/01/658533901.db2.gz KWNKETYGTWBPPX-KDURUIRLSA-N 0 1 324.468 3.278 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(F)cc2C)C1 ZINC000989699446 658545228 /nfs/dbraw/zinc/54/52/28/658545228.db2.gz HJQWFOXYDJKKJA-GXTWGEPZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC000967283462 658563042 /nfs/dbraw/zinc/56/30/42/658563042.db2.gz HKEFHFAIMMHPOY-CXAGYDPISA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](CC)c2ccc(F)cc2)CC1 ZINC000989757043 658563418 /nfs/dbraw/zinc/56/34/18/658563418.db2.gz IDTAROIMEUPMTB-KRWDZBQOSA-N 0 1 304.409 3.040 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(C(C)C)s2)CC1 ZINC000989757321 658563910 /nfs/dbraw/zinc/56/39/10/658563910.db2.gz WOUYYWNFACNOPQ-UHFFFAOYSA-N 0 1 304.459 3.043 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2CCC)C1 ZINC000967294219 658564980 /nfs/dbraw/zinc/56/49/80/658564980.db2.gz YNDMIGSMGMKNGD-QFBILLFUSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2ccc(C)c(C)c2)C1 ZINC000989763923 658566442 /nfs/dbraw/zinc/56/64/42/658566442.db2.gz VWXOPRQPSZQDAZ-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@]2(C)CCc3ccccc32)CC1 ZINC000989765979 658567879 /nfs/dbraw/zinc/56/78/79/658567879.db2.gz PFODABSWVAPTDI-FQEVSTJZSA-N 0 1 312.457 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2sccc2CC)C1 ZINC000989822673 658584558 /nfs/dbraw/zinc/58/45/58/658584558.db2.gz KMVNINPDTLJHCB-YPMHNXCESA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CC(C2CC2)C2CC2)C1 ZINC000989832530 658585748 /nfs/dbraw/zinc/58/57/48/658585748.db2.gz SVUQUZXLTBPRBQ-SWLSCSKDSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)oc3ccccc32)C1 ZINC001018903504 658596461 /nfs/dbraw/zinc/59/64/61/658596461.db2.gz HJDSJSGNMVUGJV-ZDUSSCGKSA-N 0 1 318.804 3.298 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C2CCC2)C2CCC2)C1 ZINC001019293529 658628194 /nfs/dbraw/zinc/62/81/94/658628194.db2.gz BFEYIUSBILDWSL-HNNXBMFYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001019332202 658633925 /nfs/dbraw/zinc/63/39/25/658633925.db2.gz MQKQKRMJXKXGQU-MAUKXSAKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccn2C2CCCC2)C1 ZINC001019569667 658654154 /nfs/dbraw/zinc/65/41/54/658654154.db2.gz QOIFTPILDLLAOG-AWEZNQCLSA-N 0 1 321.852 3.160 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2coc(C(F)F)c2)C1 ZINC000968034979 658655446 /nfs/dbraw/zinc/65/54/46/658655446.db2.gz KJOGWFDPBRMVML-WCQYABFASA-N 0 1 312.360 3.234 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C(C)C)c2)C1 ZINC001019603959 658656273 /nfs/dbraw/zinc/65/62/73/658656273.db2.gz AMEPCTNOZLWXRQ-INIZCTEOSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccc(C)s2)C1 ZINC001019647504 658658921 /nfs/dbraw/zinc/65/89/21/658658921.db2.gz PMYBMNUTJVKSJL-OLZOCXBDSA-N 0 1 312.866 3.103 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)cc(C)cc2C)C1 ZINC001019742421 658671423 /nfs/dbraw/zinc/67/14/23/658671423.db2.gz JWRFDJYXZNKCQI-HNNXBMFYSA-N 0 1 306.837 3.168 20 30 DGEDMN CCC(C)(CC)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001019794133 658675860 /nfs/dbraw/zinc/67/58/60/658675860.db2.gz RBQLSPOKEFDUSY-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968174266 658677976 /nfs/dbraw/zinc/67/79/76/658677976.db2.gz KENVKDRFIASHRH-CXAGYDPISA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001019842630 658678138 /nfs/dbraw/zinc/67/81/38/658678138.db2.gz DRDHRQZXJYWGJK-YOEHRIQHSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CC(c3ccccc3)C2)C1 ZINC001019859805 658678848 /nfs/dbraw/zinc/67/88/48/658678848.db2.gz KXXFVTPQIGZGFA-JCYILVPMSA-N 0 1 318.848 3.123 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC000968323425 658696736 /nfs/dbraw/zinc/69/67/36/658696736.db2.gz YXUINPIZLOBLDM-YOEHRIQHSA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC000968345939 658704173 /nfs/dbraw/zinc/70/41/73/658704173.db2.gz PVLOAJZMDZRWRX-WFASDCNBSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC000968345935 658704311 /nfs/dbraw/zinc/70/43/11/658704311.db2.gz PVLOAJZMDZRWRX-IUODEOHRSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968349812 658706782 /nfs/dbraw/zinc/70/67/82/658706782.db2.gz OZCNEHAJSUCISF-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCN1[C@@H](C)c1ccccc1 ZINC001038343561 658743568 /nfs/dbraw/zinc/74/35/68/658743568.db2.gz ATQNVJUFHJRIRF-WMZOPIPTSA-N 0 1 323.440 3.239 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2sccc2s1 ZINC001038417716 658751519 /nfs/dbraw/zinc/75/15/19/658751519.db2.gz SUYZVVLYJUTZBL-LLVKDONJSA-N 0 1 306.456 3.343 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(OC)c(C(C)C)c1 ZINC001038469331 658754923 /nfs/dbraw/zinc/75/49/23/658754923.db2.gz VOKFUTSONBCPAI-INIZCTEOSA-N 0 1 316.445 3.199 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C2CCCC2)cc1 ZINC001038524784 658759639 /nfs/dbraw/zinc/75/96/39/658759639.db2.gz IBODZPPOPFKOCE-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cccc4c3CCCC4)CCC[C@@H]12 ZINC000990851608 658768763 /nfs/dbraw/zinc/76/87/63/658768763.db2.gz OPMCDQSMKFLZOB-CTNGQTDRSA-N 0 1 324.468 3.478 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3scc(C)c3Cl)CCC[C@H]12 ZINC000990875573 658774067 /nfs/dbraw/zinc/77/40/67/658774067.db2.gz ZJGARSVTDFONGG-BLLLJJGKSA-N 0 1 322.861 3.070 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C4CCC4)cc3)CCC[C@@H]12 ZINC000990970587 658785045 /nfs/dbraw/zinc/78/50/45/658785045.db2.gz APKQLXJLUFMVSB-CTNGQTDRSA-N 0 1 322.452 3.314 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccccc1C(C)(C)C ZINC001038383863 658877679 /nfs/dbraw/zinc/87/76/79/658877679.db2.gz WMCUQQHWNDFWKI-OAHLLOKOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCOC3(CCCC3)C2)c1O ZINC000164517464 658936559 /nfs/dbraw/zinc/93/65/59/658936559.db2.gz FVLHSNHIRSACNV-UHFFFAOYSA-N 0 1 317.429 3.274 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(Cl)c2occc21 ZINC001038910853 658955427 /nfs/dbraw/zinc/95/54/27/658955427.db2.gz FGXLEVHZEUWLKL-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC001038998193 658978053 /nfs/dbraw/zinc/97/80/53/658978053.db2.gz UNRSBWSRDKPUFV-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001039015953 658982424 /nfs/dbraw/zinc/98/24/24/658982424.db2.gz UCKFSGIPPGMDHC-AWEZNQCLSA-N 0 1 321.490 3.345 20 30 DGEDMN C[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC000129689392 658998705 /nfs/dbraw/zinc/99/87/05/658998705.db2.gz QGGLFFMCSGMVQS-FKTZTGRPSA-N 0 1 311.168 3.297 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C(C)(F)F)C1 ZINC001039178033 659024784 /nfs/dbraw/zinc/02/47/84/659024784.db2.gz KUJIAKZTSOFQST-CHWSQXEVSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc[nH]c2C2CCC2)CC1 ZINC000950498672 659077877 /nfs/dbraw/zinc/07/78/77/659077877.db2.gz HQUDONDOLOFJSQ-UHFFFAOYSA-N 0 1 301.434 3.006 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(OC(C)C)nc1 ZINC000061046862 659230058 /nfs/dbraw/zinc/23/00/58/659230058.db2.gz WTDQVTMQFQZXQH-UHFFFAOYSA-N 0 1 310.397 3.171 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C)cc1 ZINC000171037453 659256404 /nfs/dbraw/zinc/25/64/04/659256404.db2.gz MJZZBAAZKDBHRG-BBRMVZONSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1cc(/C=C/C(=O)c2ccc(O)c(F)c2)ccc1-n1cncn1 ZINC000172818537 659358077 /nfs/dbraw/zinc/35/80/77/659358077.db2.gz QZZABAXEBGBEGA-ZZXKWVIFSA-N 0 1 323.327 3.317 20 30 DGEDMN C#CCSCCNCc1c(F)ccc(Br)c1F ZINC000231946884 659406460 /nfs/dbraw/zinc/40/64/60/659406460.db2.gz OQEHXRCYMMOHCZ-UHFFFAOYSA-N 0 1 320.202 3.183 20 30 DGEDMN CCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000173972410 659407601 /nfs/dbraw/zinc/40/76/01/659407601.db2.gz OYSJOZVSCFCSIM-OLZOCXBDSA-N 0 1 302.374 3.169 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCN(C[C@H](C)CC)CC2)cc1 ZINC000948919010 659415239 /nfs/dbraw/zinc/41/52/39/659415239.db2.gz OQDBIPBXTWITDR-MRXNPFEDSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3coc(C(F)F)c3)CCC[C@H]12 ZINC000992137686 659471542 /nfs/dbraw/zinc/47/15/42/659471542.db2.gz OUNHWSKPCPDCFF-XJKSGUPXSA-N 0 1 310.344 3.130 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C)cc3Cl)CCC[C@H]12 ZINC000992263029 659502913 /nfs/dbraw/zinc/50/29/13/659502913.db2.gz LSLNRLCHBVBXFG-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc(Cl)cs3)CCC[C@@H]12 ZINC000992292165 659513875 /nfs/dbraw/zinc/51/38/75/659513875.db2.gz CNKFYZGVLGOCBK-UKRRQHHQSA-N 0 1 310.850 3.314 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C(C)C)c3)CCC[C@H]12 ZINC000992383697 659538030 /nfs/dbraw/zinc/53/80/30/659538030.db2.gz SLYHRVVDVWNIDM-AZUAARDMSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C(C)C)c3)CCC[C@@H]12 ZINC000992383710 659538414 /nfs/dbraw/zinc/53/84/14/659538414.db2.gz SLYHRVVDVWNIDM-QUCCMNQESA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3CCCCC3(C)C)CCC[C@H]12 ZINC000992508536 659566323 /nfs/dbraw/zinc/56/63/23/659566323.db2.gz GTNDIFLCDDLDCU-ABSDTBQOSA-N 0 1 316.489 3.339 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cnc(C(C)C)s3)CCC[C@H]12 ZINC000992572961 659579455 /nfs/dbraw/zinc/57/94/55/659579455.db2.gz LZYVMCUDXDTZBZ-WMLDXEAASA-N 0 1 319.474 3.179 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)c1 ZINC000929810261 659617391 /nfs/dbraw/zinc/61/73/91/659617391.db2.gz PZBCAWZDBOUZJM-HZPDHXFCSA-N 0 1 313.426 3.103 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H]2C[C@H](O)c2cccs2)c1 ZINC000929810257 659617648 /nfs/dbraw/zinc/61/76/48/659617648.db2.gz PZBCAWZDBOUZJM-HOTGVXAUSA-N 0 1 313.426 3.103 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C=C2CCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993189928 659694867 /nfs/dbraw/zinc/69/48/67/659694867.db2.gz WIGUGAJLPYUSJZ-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C2=CCCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993193661 659695675 /nfs/dbraw/zinc/69/56/75/659695675.db2.gz BLBWXMKOXYJWFW-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cccc(Cl)c2C)[C@H]1C ZINC000993347402 659718536 /nfs/dbraw/zinc/71/85/36/659718536.db2.gz BYAYVIAWTIDJMJ-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2C)[C@H]1C ZINC000993347402 659718539 /nfs/dbraw/zinc/71/85/39/659718539.db2.gz BYAYVIAWTIDJMJ-CJNGLKHVSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cccc(Cl)c2C)[C@@H]1C ZINC000993347399 659719068 /nfs/dbraw/zinc/71/90/68/659719068.db2.gz BYAYVIAWTIDJMJ-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(Cl)c2C)[C@@H]1C ZINC000993347399 659719073 /nfs/dbraw/zinc/71/90/73/659719073.db2.gz BYAYVIAWTIDJMJ-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(OCCC)c2)[C@@H]1C ZINC000993381158 659725007 /nfs/dbraw/zinc/72/50/07/659725007.db2.gz NVCYWXMZCYJPEP-YJBOKZPZSA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3occc3c2)[C@@H]1C ZINC000993387359 659726231 /nfs/dbraw/zinc/72/62/31/659726231.db2.gz WRILUUZJNBYOGH-YOEHRIQHSA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2conc2C2CCCC2)[C@H]1C ZINC000993428890 659737297 /nfs/dbraw/zinc/73/72/97/659737297.db2.gz KAVBEVYHOQHZAZ-CZUORRHYSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)[C@H]1C ZINC000993486368 659752904 /nfs/dbraw/zinc/75/29/04/659752904.db2.gz MTCHPFMWDYZSCK-CRAIPNDOSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3cccnc32)[C@@H]1C ZINC000993531362 659757028 /nfs/dbraw/zinc/75/70/28/659757028.db2.gz CJYFAEYWYNMVLD-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C(C)(C)C)on2)[C@@H]1C ZINC000993582659 659759729 /nfs/dbraw/zinc/75/97/29/659759729.db2.gz AEQWFDLSTDLKLE-UONOGXRCSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3ccccc3c2C)[C@H]1C ZINC000993608996 659764996 /nfs/dbraw/zinc/76/49/96/659764996.db2.gz XFRLOLQBSLVXOL-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)[nH]c3ccccc32)[C@@H]1C ZINC000993594382 659768624 /nfs/dbraw/zinc/76/86/24/659768624.db2.gz ZPEHDSHBJZGZFM-RDJZCZTQSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1C ZINC000993635160 659769353 /nfs/dbraw/zinc/76/93/53/659769353.db2.gz UFIZFQQPMNTCDQ-UZLBHIALSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)nc2C2CC2)[C@@H]1C ZINC000993655653 659771948 /nfs/dbraw/zinc/77/19/48/659771948.db2.gz HOOIAAFABQQACI-WMLDXEAASA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(F)ccc3[nH]2)[C@H]1C ZINC000993658399 659777265 /nfs/dbraw/zinc/77/72/65/659777265.db2.gz GZECCBQDROFLJE-DOMZBBRYSA-N 0 1 315.392 3.076 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(F)c2F)[C@H]1C ZINC000993712518 659779484 /nfs/dbraw/zinc/77/94/84/659779484.db2.gz INANGXGRIQHCEV-DOMZBBRYSA-N 0 1 308.372 3.124 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@H]1C ZINC000993697617 659780893 /nfs/dbraw/zinc/78/08/93/659780893.db2.gz DZBGNHKQZLVSAX-WBVHZDCISA-N 0 1 323.440 3.001 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccoc2C2CC2)[C@H]1C ZINC000993699776 659782290 /nfs/dbraw/zinc/78/22/90/659782290.db2.gz AKYDGNRXHWGYOZ-CZUORRHYSA-N 0 1 302.418 3.316 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)[C@H]1C ZINC000993729137 659785961 /nfs/dbraw/zinc/78/59/61/659785961.db2.gz JKBLUAKQPTXAQV-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ncccc3c2)[C@H]1C ZINC000993731311 659786303 /nfs/dbraw/zinc/78/63/03/659786303.db2.gz MNLVXIAZFQRIEX-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)[C@@H]1C ZINC000993764958 659788699 /nfs/dbraw/zinc/78/86/99/659788699.db2.gz VCZXBQFTQKJCIG-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c(F)c2F)[C@@H]1C ZINC000993862628 659794779 /nfs/dbraw/zinc/79/47/79/659794779.db2.gz QYFYTQKXJFAJKF-JSGCOSHPSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(CC)CC)no2)[C@H]1C ZINC000993933898 659799717 /nfs/dbraw/zinc/79/97/17/659799717.db2.gz LBTMCFYPWWOKFJ-HIFRSBDPSA-N 0 1 319.449 3.347 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C(F)F)cc2)[C@H]1C ZINC000994002191 659808123 /nfs/dbraw/zinc/80/81/23/659808123.db2.gz DHDACKACFQVCGN-CZUORRHYSA-N 0 1 320.383 3.230 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sc(CC)nc2C)[C@H]1C ZINC000993972332 659808428 /nfs/dbraw/zinc/80/84/28/659808428.db2.gz MCACCYOJCXHYPL-KGLIPLIRSA-N 0 1 321.490 3.173 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@@H]1C ZINC000994096063 659812451 /nfs/dbraw/zinc/81/24/51/659812451.db2.gz UOXVWMAKWQSSQJ-VJANTYMQSA-N 0 1 318.505 3.441 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nc(C3CC3)oc2C)[C@@H]1C ZINC000994123693 659813043 /nfs/dbraw/zinc/81/30/43/659813043.db2.gz LMZIZUUJCHSFNO-SWLSCSKDSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C(C)C)c2)[C@H]1C ZINC000994143361 659814907 /nfs/dbraw/zinc/81/49/07/659814907.db2.gz FKDRDKKPLORSOX-APWZRJJASA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccn2C2CCCC2)[C@@H]1C ZINC000994114051 659815101 /nfs/dbraw/zinc/81/51/01/659815101.db2.gz NYBFNBZRSVESGC-RDJZCZTQSA-N 0 1 315.461 3.372 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cc3c(C)cccn3c2)[C@H]1C ZINC000994216667 659820882 /nfs/dbraw/zinc/82/08/82/659820882.db2.gz WJURJMVNXRPUGH-NVXWUHKLSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(C)cccn3c2)[C@H]1C ZINC000994216667 659820883 /nfs/dbraw/zinc/82/08/83/659820883.db2.gz WJURJMVNXRPUGH-NVXWUHKLSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cc3c(C)cccn3c2)[C@@H]1C ZINC000994216674 659820921 /nfs/dbraw/zinc/82/09/21/659820921.db2.gz WJURJMVNXRPUGH-RDJZCZTQSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(C)cccn3c2)[C@@H]1C ZINC000994216674 659820922 /nfs/dbraw/zinc/82/09/22/659820922.db2.gz WJURJMVNXRPUGH-RDJZCZTQSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ccccc3n2)[C@@H]1C ZINC000994270981 659829900 /nfs/dbraw/zinc/82/99/00/659829900.db2.gz AXPAKEZPHFUXLQ-GOEBONIOSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc(C3CC3)s2)[C@@H]1C ZINC000994271164 659829996 /nfs/dbraw/zinc/82/99/96/659829996.db2.gz JAEGQCAJYZDSFZ-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2occ3c2CCCC3)[C@@H]1C ZINC000994296361 659834345 /nfs/dbraw/zinc/83/43/45/659834345.db2.gz RWCIGODUNDCFIP-WMLDXEAASA-N 0 1 316.445 3.317 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(C3CCCCC3)CC2)[C@@H]1C ZINC000994300063 659835443 /nfs/dbraw/zinc/83/54/43/659835443.db2.gz ZBGMPHMJTPFXJW-FUHWJXTLSA-N 0 1 316.489 3.339 20 30 DGEDMN C=CCC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(F)F)o2)[C@H]1C ZINC000994354118 659843302 /nfs/dbraw/zinc/84/33/02/659843302.db2.gz SQQTUTWCQLEBNG-NEPJUHHUSA-N 0 1 312.360 3.376 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2oc3ccccc3c2C)[C@@H]1C ZINC000994360374 659843314 /nfs/dbraw/zinc/84/33/14/659843314.db2.gz AIBPDQQYUOOARH-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2)[C@@H]1C ZINC000994382035 659850101 /nfs/dbraw/zinc/85/01/01/659850101.db2.gz ZSPHFXRHQYNEOY-RDJZCZTQSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2)[C@H]1C ZINC000994382038 659850175 /nfs/dbraw/zinc/85/01/75/659850175.db2.gz ZSPHFXRHQYNEOY-WBVHZDCISA-N 0 1 300.446 3.119 20 30 DGEDMN C[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000130479097 659851380 /nfs/dbraw/zinc/85/13/80/659851380.db2.gz VKKGGLZRPZMNKA-VSYUYLJXSA-N 0 1 311.168 3.297 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)[C@H]1C ZINC000994402371 659851741 /nfs/dbraw/zinc/85/17/41/659851741.db2.gz OWHZXAJTJLNBOG-AEFFLSMTSA-N 0 1 317.477 3.455 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)cc2)[C@@H]1C ZINC000994461152 659856796 /nfs/dbraw/zinc/85/67/96/659856796.db2.gz JCMMEGQRTGBGBI-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3c(cccc3C)o2)[C@H]1C ZINC000994471668 659858932 /nfs/dbraw/zinc/85/89/32/659858932.db2.gz MDXSQIAPIDSDPD-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)[C@@H]1C ZINC000994494039 659861776 /nfs/dbraw/zinc/86/17/76/659861776.db2.gz LSLWQHAABYHZSA-QVKFIVLNSA-N 0 1 324.468 3.263 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H]1C ZINC000994519014 659868651 /nfs/dbraw/zinc/86/86/51/659868651.db2.gz NUSKLYXJYFNPSK-WBVHZDCISA-N 0 1 323.440 3.450 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CC2)[C@@H]1C ZINC000994530466 659873469 /nfs/dbraw/zinc/87/34/69/659873469.db2.gz IUFZDEZFFLAVRO-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(CC)c(CC)c2)[C@H]1C ZINC000994556836 659881970 /nfs/dbraw/zinc/88/19/70/659881970.db2.gz FTHBCYYBZFXGNY-BEFAXECRSA-N 0 1 312.457 3.027 20 30 DGEDMN C[C@@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@@H]1n1ccnc1 ZINC000930055604 659901376 /nfs/dbraw/zinc/90/13/76/659901376.db2.gz PYQDNXSRLJTBGS-DYVFJYSZSA-N 0 1 314.820 3.491 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCC1CCC1 ZINC000175110543 659916174 /nfs/dbraw/zinc/91/61/74/659916174.db2.gz GVVWEBOBQIGQSC-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3c(cccc3C)c2C)C[C@@H]1C ZINC000948070926 659916404 /nfs/dbraw/zinc/91/64/04/659916404.db2.gz UDIDHJVYQDVPFY-GOEBONIOSA-N 0 1 324.424 3.266 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(O)c(F)c2)cc(OC)c1 ZINC000170851886 659928169 /nfs/dbraw/zinc/92/81/69/659928169.db2.gz KQDFHNXUTBNZQU-HWKANZROSA-N 0 1 302.301 3.445 20 30 DGEDMN C#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000180738619 659969832 /nfs/dbraw/zinc/96/98/32/659969832.db2.gz RWDWVQHFVPGUQE-LBPRGKRZSA-N 0 1 320.751 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CCC)cc2)C[C@H]1C ZINC000939040577 659977722 /nfs/dbraw/zinc/97/77/22/659977722.db2.gz SGXDUCLICDOAKA-CXAGYDPISA-N 0 1 320.864 3.442 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2cc(C)cc(C)c2)C[C@H]1C ZINC000939054994 659979410 /nfs/dbraw/zinc/97/94/10/659979410.db2.gz RXWNIHGMXNRALN-PBHICJAKSA-N 0 1 320.864 3.035 20 30 DGEDMN CCOc1ncccc1CN[C@H](C)c1ccc(OCC#N)cc1 ZINC000181469392 660001843 /nfs/dbraw/zinc/00/18/43/660001843.db2.gz HAIZIDGEJPDQNK-CQSZACIVSA-N 0 1 311.385 3.233 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2CC)C[C@@H]1C ZINC000939807482 660015088 /nfs/dbraw/zinc/01/50/88/660015088.db2.gz YWQGCMQRBFHYOB-GXFFZTMASA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C3CC3)c2)C[C@H]1C ZINC000939826950 660015943 /nfs/dbraw/zinc/01/59/43/660015943.db2.gz SGAZMODOUNHEDM-SJKOYZFVSA-N 0 1 318.848 3.367 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CC2=CCCCC2)C(C)(C)C1 ZINC000940632581 660053531 /nfs/dbraw/zinc/05/35/31/660053531.db2.gz LLOIXTLNEQQIDP-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2C(C)C)C(C)(C)C1 ZINC000940791497 660065720 /nfs/dbraw/zinc/06/57/20/660065720.db2.gz NVJHORRWTUKRCJ-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000940880825 660070317 /nfs/dbraw/zinc/07/03/17/660070317.db2.gz BYIAINKRIKWWHC-MOPGFXCFSA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2occc2C(C)C)C(C)(C)C1 ZINC000940971913 660075607 /nfs/dbraw/zinc/07/56/07/660075607.db2.gz GGHSYXZWADCBMN-MRXNPFEDSA-N 0 1 316.445 3.257 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C(C)(C)C1 ZINC000941058516 660083322 /nfs/dbraw/zinc/08/33/22/660083322.db2.gz NVAALDICNQAGPX-XDNAFOTISA-N 0 1 316.489 3.053 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)Cc2cc(C)ccc2F)C(C)(C)C1 ZINC000941174917 660091169 /nfs/dbraw/zinc/09/11/69/660091169.db2.gz NFJDYOGIDRLWRA-KRWDZBQOSA-N 0 1 318.436 3.079 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cccc3cnccc32)C(C)(C)C1 ZINC000941220980 660096484 /nfs/dbraw/zinc/09/64/84/660096484.db2.gz JHXSDLMUZKCBDW-SFHVURJKSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000941243581 660097731 /nfs/dbraw/zinc/09/77/31/660097731.db2.gz AJWDFFOBSVWGFW-XLIONFOSSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cc(C)ccc3o2)C(C)(C)C1 ZINC000941237778 660098735 /nfs/dbraw/zinc/09/87/35/660098735.db2.gz QXEMSAILXFRQLS-SFHVURJKSA-N 0 1 324.424 3.205 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)c1cc(F)cc2[nH]cnc21 ZINC000183101930 660101724 /nfs/dbraw/zinc/10/17/24/660101724.db2.gz NBIVESYOMSQVMF-JTQLQIEISA-N 0 1 324.315 3.245 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cccc2cn[nH]c21 ZINC000183102314 660101759 /nfs/dbraw/zinc/10/17/59/660101759.db2.gz PEAQDRMYVXYYFS-LLVKDONJSA-N 0 1 306.325 3.106 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(F)c3ccccc23)C1 ZINC001031997356 660139756 /nfs/dbraw/zinc/13/97/56/660139756.db2.gz NUQWXHGJLUDMHA-UHFFFAOYSA-N 0 1 312.388 3.217 20 30 DGEDMN CN(Cc1cc(Cl)cc(C#N)c1)[C@@H]1CCCc2c1cnn2C ZINC000930065051 661362911 /nfs/dbraw/zinc/36/29/11/661362911.db2.gz FLRXEOOQYFEZHZ-MRXNPFEDSA-N 0 1 314.820 3.455 20 30 DGEDMN COc1cc(C)c([C@H](C)NCc2cncc(C#N)c2)cc1OC ZINC000930196997 661376795 /nfs/dbraw/zinc/37/67/95/661376795.db2.gz MTXUUKCHKFXGSD-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCOC[C@H](C3CCC3)C2)c1 ZINC000930556717 661410170 /nfs/dbraw/zinc/41/01/70/661410170.db2.gz YZWTYSBKMFMMTI-MRXNPFEDSA-N 0 1 304.821 3.460 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc(C(C)(F)F)c2)CC1 ZINC000931006103 661445022 /nfs/dbraw/zinc/44/50/22/661445022.db2.gz MDSVTHYOGKPZRO-UHFFFAOYSA-N 0 1 321.371 3.017 20 30 DGEDMN CC1(C)[C@H]2[C@H](CCCN2Cc2cc(Cl)cc(C#N)c2)[C@@H]1O ZINC000931380545 661472984 /nfs/dbraw/zinc/47/29/84/661472984.db2.gz UXPYWLFKBKLIJY-XHSDSOJGSA-N 0 1 304.821 3.193 20 30 DGEDMN C#CC[N@H+](C)CCCNC(=O)Nc1c(F)cccc1SCC ZINC000931501312 661482959 /nfs/dbraw/zinc/48/29/59/661482959.db2.gz XHRZMBCTSIRTCQ-UHFFFAOYSA-N 0 1 323.437 3.014 20 30 DGEDMN COc1ccc([C@@H]2COCCN2CC2CCC(C#N)CC2)cc1 ZINC000931565103 661489439 /nfs/dbraw/zinc/48/94/39/661489439.db2.gz XUDUSQHHBINMSA-RJYAGPCLSA-N 0 1 314.429 3.398 20 30 DGEDMN CO[C@]1(C(F)(F)F)CCN(Cc2c(C)cc(C#N)cc2C)C1 ZINC000931687358 661498528 /nfs/dbraw/zinc/49/85/28/661498528.db2.gz WFZAKRMYBSOLIE-OAHLLOKOSA-N 0 1 312.335 3.328 20 30 DGEDMN CN(C)[C@@H](CNC(=O)Nc1sccc1C#N)c1cccs1 ZINC000931849151 661515758 /nfs/dbraw/zinc/51/57/58/661515758.db2.gz WUJBVMADYIBTFJ-NSHDSACASA-N 0 1 320.443 3.106 20 30 DGEDMN N#Cc1ccc(CN2CC[C@]3(CC(F)(F)C3(F)F)C2)s1 ZINC000931910503 661520831 /nfs/dbraw/zinc/52/08/31/661520831.db2.gz FEOJJTCJYDPQNF-NSHDSACASA-N 0 1 304.312 3.486 20 30 DGEDMN C=C1CCC(CNC(=O)Nc2cc(CN(C)C)ccn2)CC1 ZINC000932168350 661543619 /nfs/dbraw/zinc/54/36/19/661543619.db2.gz XGQQRRHWJOYNQL-UHFFFAOYSA-N 0 1 302.422 3.011 20 30 DGEDMN N#Cc1cncc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)c1 ZINC000932212898 661549939 /nfs/dbraw/zinc/54/99/39/661549939.db2.gz JAGNWXFKGSWETH-QGZVFWFLSA-N 0 1 305.381 3.489 20 30 DGEDMN C=CC1CCN(C(=O)c2ccc(CN3CCCCC3)o2)CC1 ZINC000932506427 661574855 /nfs/dbraw/zinc/57/48/55/661574855.db2.gz QFYNXYASIIRRAQ-UHFFFAOYSA-N 0 1 302.418 3.304 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](C)c1ccc2c(c1)CCO2 ZINC000933488465 661636632 /nfs/dbraw/zinc/63/66/32/661636632.db2.gz KWDAPCRNVLVZQZ-CYBMUJFWSA-N 0 1 308.381 3.353 20 30 DGEDMN COc1cc(CN2CCC(c3ccc(C#N)cc3)CC2)sn1 ZINC000933608313 661644484 /nfs/dbraw/zinc/64/44/84/661644484.db2.gz XYOSZSDYGUTQEZ-UHFFFAOYSA-N 0 1 313.426 3.403 20 30 DGEDMN N#Cc1ccc([C@H]2CN(CC3CCC(C#N)CC3)CCO2)cc1 ZINC000933629308 661646654 /nfs/dbraw/zinc/64/66/54/661646654.db2.gz BHQAVRFBNFMOPN-GXOAENINSA-N 0 1 309.413 3.262 20 30 DGEDMN Cc1cc(Cl)ccc1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933644096 661647515 /nfs/dbraw/zinc/64/75/15/661647515.db2.gz JYKOKPYKCWIKDO-UHFFFAOYSA-N 0 1 305.805 3.486 20 30 DGEDMN Cc1cccc(Cl)c1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933648453 661647708 /nfs/dbraw/zinc/64/77/08/661647708.db2.gz ZROPVFUISVIZCA-UHFFFAOYSA-N 0 1 305.805 3.486 20 30 DGEDMN O=C1C=COC2(CCN(CCSc3ccccc3F)CC2)C1 ZINC000933654415 661648672 /nfs/dbraw/zinc/64/86/72/661648672.db2.gz WDZOZHSVQNEZPK-UHFFFAOYSA-N 0 1 321.417 3.256 20 30 DGEDMN C[C@H]1CSCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000934299856 661694628 /nfs/dbraw/zinc/69/46/28/661694628.db2.gz LSPQATFGOMMNQZ-NSHDSACASA-N 0 1 312.385 3.487 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](Cc3nccs3)C2)c1F ZINC000934334934 661698684 /nfs/dbraw/zinc/69/86/84/661698684.db2.gz ZQNAQDCSEVWAJH-LBPRGKRZSA-N 0 1 301.390 3.218 20 30 DGEDMN N#Cc1c(CN2CC[C@H](Cc3nccs3)C2)cn2ccccc12 ZINC000934335015 661698764 /nfs/dbraw/zinc/69/87/64/661698764.db2.gz PDESJDRZZGYCHR-CQSZACIVSA-N 0 1 322.437 3.332 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Cc3nccs3)C2)c(F)c1 ZINC000934338467 661699083 /nfs/dbraw/zinc/69/90/83/661699083.db2.gz ZRYNNQWNFQAJFY-CYBMUJFWSA-N 0 1 301.390 3.218 20 30 DGEDMN CN(CCCSCC#N)Cc1cc(-c2ccccc2)n[nH]1 ZINC000934418214 661705258 /nfs/dbraw/zinc/70/52/58/661705258.db2.gz WPMWLKWTKUXKNL-UHFFFAOYSA-N 0 1 300.431 3.155 20 30 DGEDMN C=CC[C@H]1CCN1[C@@H](C)C(=O)N(CC=C)Cc1cccs1 ZINC000934465183 661708392 /nfs/dbraw/zinc/70/83/92/661708392.db2.gz KSOHFNPJONKKQM-GJZGRUSLSA-N 0 1 304.459 3.302 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1=O ZINC000934458753 661708414 /nfs/dbraw/zinc/70/84/14/661708414.db2.gz CYNXDUGFCFTZJZ-XYPHTWIQSA-N 0 1 302.393 3.138 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@H+]1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC000934837662 661739603 /nfs/dbraw/zinc/73/96/03/661739603.db2.gz VHFQNBAGTXYNMN-GDBMZVCRSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@H](C#N)CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)C1CC1 ZINC000935068837 661758059 /nfs/dbraw/zinc/75/80/59/661758059.db2.gz JCVQIZHTCBCYSW-SECBINFHSA-N 0 1 318.327 3.265 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)CC2CCC2)CCN1CC#Cc1ccccc1 ZINC000947163207 661829872 /nfs/dbraw/zinc/82/98/72/661829872.db2.gz RYPJTVNYNBANLN-XLIONFOSSA-N 0 1 324.468 3.197 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000155464883 661847525 /nfs/dbraw/zinc/84/75/25/661847525.db2.gz QHGYSORQILPOGU-DOMZBBRYSA-N 0 1 302.374 3.169 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2ccc(F)cc2Cl)c1 ZINC000044256602 661857677 /nfs/dbraw/zinc/85/76/77/661857677.db2.gz DSHRKGNYWMTSNV-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN COCCOc1cccc(/C=C/C(=O)c2ccc(O)cc2O)c1 ZINC000156633866 661863945 /nfs/dbraw/zinc/86/39/45/661863945.db2.gz SPGPAVCIINCHQZ-VMPITWQZSA-N 0 1 314.337 3.019 20 30 DGEDMN C=C(Cl)CSc1nc(-c2ccc(OC)cc2OC)n[nH]1 ZINC000049274884 661900291 /nfs/dbraw/zinc/90/02/91/661900291.db2.gz ZLDVBZKNJNWNIK-UHFFFAOYSA-N 0 1 311.794 3.334 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C[C@@H]1C ZINC000947460746 661910239 /nfs/dbraw/zinc/91/02/39/661910239.db2.gz YMPCYEVZAVEOPW-AAEUAGOBSA-N 0 1 322.811 3.003 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cscc3s2)C[C@@H]1C ZINC000947551349 661915920 /nfs/dbraw/zinc/91/59/20/661915920.db2.gz IXPXBSQZQLVLSY-WCQYABFASA-N 0 1 318.467 3.179 20 30 DGEDMN CC(C)C(=O)N(C)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948205812 661959775 /nfs/dbraw/zinc/95/97/75/661959775.db2.gz NQOBTABQIGCZQF-LJQANCHMSA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1ccc(C#N)c(O)c1 ZINC000189269573 661971699 /nfs/dbraw/zinc/97/16/99/661971699.db2.gz LZPJOMGXVBXCCB-UHFFFAOYSA-N 0 1 322.364 3.414 20 30 DGEDMN CN(C(=O)C1(C)CC1)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948397282 661972967 /nfs/dbraw/zinc/97/29/67/661972967.db2.gz OUULORMBXCHLOT-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2CCC2(F)F)CC1 ZINC000948473186 661977625 /nfs/dbraw/zinc/97/76/25/661977625.db2.gz BLBNSEDMRIGOLA-STQMWFEESA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H]2CCC2(F)F)CC1 ZINC000948473183 661977633 /nfs/dbraw/zinc/97/76/33/661977633.db2.gz BLBNSEDMRIGOLA-CHWSQXEVSA-N 0 1 320.811 3.097 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948687900 661990897 /nfs/dbraw/zinc/99/08/97/661990897.db2.gz FCQQVYKSDAJEJP-IHPCNDPISA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@]2(C)C=CCC2)CC1 ZINC000948694901 661991273 /nfs/dbraw/zinc/99/12/73/661991273.db2.gz VTZHVTHABPIGLV-DOTOQJQBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2(C)CC=CC2)CC1 ZINC000948747246 661995027 /nfs/dbraw/zinc/99/50/27/661995027.db2.gz SIZLRCNCQHNKEK-HNNXBMFYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC000949631587 662021901 /nfs/dbraw/zinc/02/19/01/662021901.db2.gz HWCQRVDGHXDBKY-CQSZACIVSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1cn[nH]c1C1CC1 ZINC000191086030 662054092 /nfs/dbraw/zinc/05/40/92/662054092.db2.gz FIQUPFICFMKCPL-UHFFFAOYSA-N 0 1 311.385 3.437 20 30 DGEDMN CC(C)N(C)c1ccc(/C=C/C(=O)c2ccc(O)cc2O)cn1 ZINC000191319099 662059442 /nfs/dbraw/zinc/05/94/42/662059442.db2.gz WJYXZFPGLUCSTI-XBXARRHUSA-N 0 1 312.369 3.234 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cccc(Cl)c2F)CC1 ZINC000950711004 662079392 /nfs/dbraw/zinc/07/93/92/662079392.db2.gz IWAOZUQNHDPXQF-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccnc(C3CC3)c2)C1 ZINC000950779439 662087202 /nfs/dbraw/zinc/08/72/02/662087202.db2.gz OIKXNCLNRCNVTF-UHFFFAOYSA-N 0 1 313.445 3.072 20 30 DGEDMN C=CCCN1CC(N(CC)C(=O)c2ccccc2-n2cccc2)C1 ZINC000951606666 662141975 /nfs/dbraw/zinc/14/19/75/662141975.db2.gz YBSYGIZRIZHRPC-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCCCC2(C)C)CC1 ZINC001006478663 662142106 /nfs/dbraw/zinc/14/21/06/662142106.db2.gz QERDBIBTNAHJPH-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN O=C(C#Cc1ccccc1)NC[C@H](c1cccs1)N1CCCC1 ZINC000080499826 662145296 /nfs/dbraw/zinc/14/52/96/662145296.db2.gz JDULEODJFUHSBC-QGZVFWFLSA-N 0 1 324.449 3.053 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)c2ccccc2F)CC1 ZINC000951777501 662151282 /nfs/dbraw/zinc/15/12/82/662151282.db2.gz RAGQWOLJMRESDN-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3cc[nH]c32)C1 ZINC000952314447 662181496 /nfs/dbraw/zinc/18/14/96/662181496.db2.gz WFJWKEBSDVRZCD-UHFFFAOYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)C1 ZINC000952423227 662189318 /nfs/dbraw/zinc/18/93/18/662189318.db2.gz WCQRNAYHKMLULN-XUVXKRRUSA-N 0 1 324.468 3.071 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000246424074 662259267 /nfs/dbraw/zinc/25/92/67/662259267.db2.gz GDKGANKLIKDSIY-BARDWOONSA-N 0 1 316.788 3.424 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@@]23CCc2ccccc23)CC1 ZINC000956973582 662340863 /nfs/dbraw/zinc/34/08/63/662340863.db2.gz GNHPFKGTBYEJLR-PZJWPPBQSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3cccnc3c2)C1 ZINC000956975902 662341461 /nfs/dbraw/zinc/34/14/61/662341461.db2.gz XXEWOIYJCWAORF-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC000957119968 662351205 /nfs/dbraw/zinc/35/12/05/662351205.db2.gz HXCPXCLAOGVQIM-GFCCVEGCSA-N 0 1 312.866 3.225 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc3occc3c2)C1 ZINC000988873804 685356859 /nfs/dbraw/zinc/35/68/59/685356859.db2.gz CZJZIYYWZRSCSW-WFASDCNBSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3cc[nH]c32)[C@H]1C ZINC000987011495 685355332 /nfs/dbraw/zinc/35/53/32/685355332.db2.gz IVIWWMPJGFEECG-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCC[C@H]2CC)CC1 ZINC001006209161 685366182 /nfs/dbraw/zinc/36/61/82/685366182.db2.gz ARHBEWOMPXVTDH-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C2=CCCC2)CN1Cc1cccc(C#N)c1 ZINC001071417525 686658368 /nfs/dbraw/zinc/65/83/68/686658368.db2.gz VVCVPANKHLOECO-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C=C2CCC2)CN1Cc1ccc(C#N)cc1 ZINC001071420598 686658878 /nfs/dbraw/zinc/65/88/78/686658878.db2.gz PTSZMMKCOXHZFQ-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)CC[C@@H]1C ZINC001071513301 686672688 /nfs/dbraw/zinc/67/26/88/686672688.db2.gz DFKKTZOVSQDQLZ-GOEBONIOSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)CC[C@@H]1C ZINC001071561765 686688682 /nfs/dbraw/zinc/68/86/82/686688682.db2.gz URNVVGUGAIETCN-HOCLYGCPSA-N 0 1 316.445 3.317 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3c(o2)CCCC3)CC[C@H]1C ZINC001071561763 686688975 /nfs/dbraw/zinc/68/89/75/686688975.db2.gz URNVVGUGAIETCN-GDBMZVCRSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2F)CC[C@@H]1C ZINC001071660149 686714768 /nfs/dbraw/zinc/71/47/68/686714768.db2.gz QQSJWXPGJNIJMC-WCQYABFASA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)cc(Cl)c2)CC[C@@H]1C ZINC001071685462 686722373 /nfs/dbraw/zinc/72/23/73/686722373.db2.gz CAPABWMMDLYUTM-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc(C)c(Cl)cc2C)CC[C@H]1C ZINC001071725077 686733933 /nfs/dbraw/zinc/73/39/33/686733933.db2.gz QADLNUHOXBZIOA-HUUCEWRRSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(CCC)cc2)CC[C@H]1C ZINC001071780375 686751066 /nfs/dbraw/zinc/75/10/66/686751066.db2.gz XQDCGISJWLEZIC-CRAIPNDOSA-N 0 1 300.446 3.408 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@@H]1C ZINC001071796213 686756716 /nfs/dbraw/zinc/75/67/16/686756716.db2.gz QPHBXVKHVMZUIW-KBPBESRZSA-N 0 1 322.399 3.432 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@@H]1C ZINC001071796217 686756968 /nfs/dbraw/zinc/75/69/68/686756968.db2.gz QPHBXVKHVMZUIW-UONOGXRCSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@H]1C ZINC001071883448 686781120 /nfs/dbraw/zinc/78/11/20/686781120.db2.gz LMDTZWCIMMVMAL-DNVCBOLYSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@@H]1C ZINC001071890965 686783785 /nfs/dbraw/zinc/78/37/85/686783785.db2.gz UZHWQFXUAYLPJZ-SWLSCSKDSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(C(C)(C)C)n2)CC[C@H]1C ZINC001071892989 686784658 /nfs/dbraw/zinc/78/46/58/686784658.db2.gz JWIVEXBDMSWDNM-CHWSQXEVSA-N 0 1 321.490 3.209 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2C)CC[C@H]1C ZINC001071901064 686787783 /nfs/dbraw/zinc/78/77/83/686787783.db2.gz NADRCUYMHOEBSW-ZBFHGGJFSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3scnc3c2)CC[C@@H]1C ZINC001071961651 686798262 /nfs/dbraw/zinc/79/82/62/686798262.db2.gz UDTQZJNGAARGQC-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C)c(CC)o2)CC[C@H]1C ZINC001071985430 686805123 /nfs/dbraw/zinc/80/51/23/686805123.db2.gz MAUQGXSPSAFUAC-HUUCEWRRSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ncsc2C(C)C)CC[C@@H]1C ZINC001071986138 686806168 /nfs/dbraw/zinc/80/61/68/686806168.db2.gz RFHQIMDTOUOTNH-STQMWFEESA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncsc2C(C)C)CC[C@H]1C ZINC001071985038 686806215 /nfs/dbraw/zinc/80/62/15/686806215.db2.gz IVRPWAGRUCYVPT-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC001072012662 686817796 /nfs/dbraw/zinc/81/77/96/686817796.db2.gz QUJFYKHBIIUEGB-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)CC[C@@H]1C ZINC001072022464 686827729 /nfs/dbraw/zinc/82/77/29/686827729.db2.gz AIYRYUAKIOXPTO-MAUKXSAKSA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2occ3c2CCCC3)CC[C@@H]1C ZINC001072026773 686829087 /nfs/dbraw/zinc/82/90/87/686829087.db2.gz OBSKSMHLNMEVFC-HOCLYGCPSA-N 0 1 316.445 3.317 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2occ3c2CCCC3)CC[C@@H]1C ZINC001072026772 686829410 /nfs/dbraw/zinc/82/94/10/686829410.db2.gz OBSKSMHLNMEVFC-GOEBONIOSA-N 0 1 316.445 3.317 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(F)cc2Cl)CC[C@@H]1C ZINC001072045206 686842185 /nfs/dbraw/zinc/84/21/85/686842185.db2.gz PMTKLOJIDHHSTI-JSGCOSHPSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2sccc2C2CC2)CC[C@@H]1C ZINC001072046148 686842262 /nfs/dbraw/zinc/84/22/62/686842262.db2.gz VPJPJWJKIBWUNX-DZGCQCFKSA-N 0 1 316.470 3.232 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@@H]1C ZINC001072050127 686846557 /nfs/dbraw/zinc/84/65/57/686846557.db2.gz SZKQKQCDEVWKJJ-KXBFYZLASA-N 0 1 312.457 3.334 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(C)c(CC)s2)CC[C@H]1C ZINC001072058293 686851504 /nfs/dbraw/zinc/85/15/04/686851504.db2.gz JSUIXKDINWKANE-HUUCEWRRSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C3CCCC3)no2)CC[C@@H]1C ZINC001072088183 686860134 /nfs/dbraw/zinc/86/01/34/686860134.db2.gz HINKOQIZAZFETP-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)c3occc32)CC[C@H]1C ZINC001072088735 686860379 /nfs/dbraw/zinc/86/03/79/686860379.db2.gz PCGUVYAMLMUSPJ-CHWSQXEVSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(c3ccccc3C)CC2)CC[C@H]1C ZINC001072115804 686874339 /nfs/dbraw/zinc/87/43/39/686874339.db2.gz CSOGIZVPOKCZGU-QZTJIDSGSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C4=CCCC4)cc3)C2)C1 ZINC001073499584 687207596 /nfs/dbraw/zinc/20/75/96/687207596.db2.gz PSVGQWBBLLLWNH-UHFFFAOYSA-N 0 1 320.436 3.035 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]3CN(C/C=C\Cl)C[C@H]32)CC1 ZINC001076208969 687495315 /nfs/dbraw/zinc/49/53/15/687495315.db2.gz SOGDVKSDTIVTDF-JWQRCYGOSA-N 0 1 308.853 3.018 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(CCC)c(C)s3)[C@@H]2C1 ZINC001076545152 687537186 /nfs/dbraw/zinc/53/71/86/687537186.db2.gz LNHKDUKVMKQJSL-JKSUJKDBSA-N 0 1 318.486 3.341 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001080838766 688018323 /nfs/dbraw/zinc/01/83/23/688018323.db2.gz GBEVRBSNAJNZCA-QMTHXVAHSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001080864615 688020262 /nfs/dbraw/zinc/02/02/62/688020262.db2.gz OKTYKZWNPOYUFM-ZYHUDNBSSA-N 0 1 314.401 3.312 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC001080891358 688023370 /nfs/dbraw/zinc/02/33/70/688023370.db2.gz GRDQPVZDPFOWKQ-IUODEOHRSA-N 0 1 304.459 3.252 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001080888394 688023772 /nfs/dbraw/zinc/02/37/72/688023772.db2.gz AXYQUWKTSYHJMD-XJKCOSOUSA-N 0 1 318.486 3.109 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c3ccccc3oc2CC)C1 ZINC001081007841 688033523 /nfs/dbraw/zinc/03/35/23/688033523.db2.gz KFTWSQRMNGWJEU-GDBMZVCRSA-N 0 1 324.424 3.069 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(Cc1cc(C)ns1)C1CC1 ZINC001660712944 1196514987 /nfs/dbraw/zinc/51/49/87/1196514987.db2.gz ZHQLIZMYOVYLOR-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2cc3cc(N)ccc3s2)c1 ZINC001580078327 1192006301 /nfs/dbraw/zinc/00/63/01/1192006301.db2.gz USGBUPMZGFXKRA-UHFFFAOYSA-N 0 1 309.350 3.079 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cscc1Cl)C1CC1 ZINC001661135934 1196571178 /nfs/dbraw/zinc/57/11/78/1196571178.db2.gz BUJVBZQNYNNVDB-GFCCVEGCSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1ccsc1)C1CC1 ZINC001661166873 1196574934 /nfs/dbraw/zinc/57/49/34/1196574934.db2.gz AZBCVUPUJFETRK-SMDDNHRTSA-N 0 1 312.866 3.089 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1nc(C)cs1)C1CC1 ZINC001661172851 1196575848 /nfs/dbraw/zinc/57/58/48/1196575848.db2.gz TVYJPPDWMYZKIN-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCCN1CCc2cccc(NC(=O)OC(C)(C)C)c2C1 ZINC001620687284 1192067141 /nfs/dbraw/zinc/06/71/41/1192067141.db2.gz MPWWUPHWXZYPRK-UHFFFAOYSA-N 0 1 300.402 3.415 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C)C(C)(C)C1)c1ccccc1 ZINC001583610870 1192153370 /nfs/dbraw/zinc/15/33/70/1192153370.db2.gz GDRQMJBPRHSRGP-KRWDZBQOSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(CNc1nc(-c2nn[nH]n2)c(Cl)s1)c1ccccc1 ZINC001588444308 1192447974 /nfs/dbraw/zinc/44/79/74/1192447974.db2.gz WSMWJJQROZBKLK-UHFFFAOYSA-N 0 1 318.793 3.102 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2cccc(-c3nn[nH]n3)c2)CCCC1(C)C ZINC001588564415 1192449758 /nfs/dbraw/zinc/44/97/58/1192449758.db2.gz NCZGBKNJADDVSG-MRXNPFEDSA-N 0 1 311.433 3.433 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)CC[C@H]2C)o1 ZINC001590228642 1192494821 /nfs/dbraw/zinc/49/48/21/1192494821.db2.gz ZBQIKAISQURNIB-NXEZZACHSA-N 0 1 317.397 3.202 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C2(CCC)CC2)C1 ZINC001671968736 1176023887 /nfs/dbraw/zinc/02/38/87/1176023887.db2.gz OIACRFCXQHNURU-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN Cc1cc(C)cc(C(=O)NCCN(C)Cc2cccc(C#N)c2)c1 ZINC001690991183 1176073889 /nfs/dbraw/zinc/07/38/89/1176073889.db2.gz QVNBLGJVALRJOR-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1cccc(C)c1)C1CC1 ZINC001670529835 1176160772 /nfs/dbraw/zinc/16/07/72/1176160772.db2.gz WSXGJQKUXTZUED-YOEHRIQHSA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(Cl)s1)C1CC1 ZINC001670550345 1176167717 /nfs/dbraw/zinc/16/77/17/1176167717.db2.gz LWENKMJGYUUKNK-SNVBAGLBSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H]2CNC/C(Cl)=C\Cl)C1 ZINC001671347477 1176196868 /nfs/dbraw/zinc/19/68/68/1176196868.db2.gz UTBUYAHJGIUASN-RPHSKFLZSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(CC)Cc1cccc(F)c1 ZINC001691042934 1176211518 /nfs/dbraw/zinc/21/15/18/1176211518.db2.gz YWHRKZBPMQOPFA-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001670677160 1176213362 /nfs/dbraw/zinc/21/33/62/1176213362.db2.gz LIYDRIHLJAGCJR-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001670714392 1176230346 /nfs/dbraw/zinc/23/03/46/1176230346.db2.gz QKSDFAFAKWCVAR-AZRLCKCBSA-N 0 1 316.489 3.360 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCc1ccncc1Cl ZINC001754069000 1176233241 /nfs/dbraw/zinc/23/32/41/1176233241.db2.gz HKDVRRJNYBNWFN-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1ccsc1 ZINC001671308648 1176235125 /nfs/dbraw/zinc/23/51/25/1176235125.db2.gz KRTWBMBDMOOYEX-CQSZACIVSA-N 0 1 312.866 3.014 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001691066798 1176290087 /nfs/dbraw/zinc/29/00/87/1176290087.db2.gz VKKRKAOJYMBZPC-STQMWFEESA-N 0 1 324.877 3.061 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C[C@@H]1CCN1CC=C(C)C)c1ccccc1 ZINC001691074744 1176296643 /nfs/dbraw/zinc/29/66/43/1176296643.db2.gz HIDPARZCVQUMRC-RBUKOAKNSA-N 0 1 312.457 3.455 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001691075231 1176297900 /nfs/dbraw/zinc/29/79/00/1176297900.db2.gz QJFCNWGXHLMLSF-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001691075231 1176297905 /nfs/dbraw/zinc/29/79/05/1176297905.db2.gz QJFCNWGXHLMLSF-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H](C)C1CCCCC1 ZINC001691100351 1176312677 /nfs/dbraw/zinc/31/26/77/1176312677.db2.gz RWDBSCGFMODGTB-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCC(=O)NCCN(CC#Cc1ccccc1Cl)CCC ZINC001691173689 1176358776 /nfs/dbraw/zinc/35/87/76/1176358776.db2.gz JVAUGHOCKJTMQS-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN CCC[C@H](C)C(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001691177949 1176361865 /nfs/dbraw/zinc/36/18/65/1176361865.db2.gz UMDXDSLAPIFKFO-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C[C@H]1C)CCN(CCF)CC2 ZINC001691275303 1176421139 /nfs/dbraw/zinc/42/11/39/1176421139.db2.gz OOQJXVMWCGQNNB-HZPDHXFCSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1cscn1 ZINC001691293308 1176427640 /nfs/dbraw/zinc/42/76/40/1176427640.db2.gz BEJCEYDLCYWKEB-HOCLYGCPSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H]1CC[N@H+]1CCc1cccs1 ZINC001691395285 1176462653 /nfs/dbraw/zinc/46/26/53/1176462653.db2.gz IDEIRISSJVXTRA-HZPDHXFCSA-N 0 1 320.502 3.426 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1ccc(F)cc1 ZINC001691402488 1176468315 /nfs/dbraw/zinc/46/83/15/1176468315.db2.gz LWXMLUFYNLCKCY-GOSISDBHSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)C1CCCCC1 ZINC001731624979 1176622103 /nfs/dbraw/zinc/62/21/03/1176622103.db2.gz WWQLVZCMFIKMGO-OCCSQVGLSA-N 0 1 300.874 3.440 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2ccc(C#N)cc2C)n[nH]1 ZINC001597893422 1192753836 /nfs/dbraw/zinc/75/38/36/1192753836.db2.gz UMCHUGWGCWOWTM-QGZVFWFLSA-N 0 1 308.385 3.266 20 30 DGEDMN Cc1cccc(C)c1C(=O)N(C)CCNCc1ccccc1C#N ZINC001691486412 1176722340 /nfs/dbraw/zinc/72/23/40/1176722340.db2.gz MFQDBVSKKLGMIW-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001671313111 1176762006 /nfs/dbraw/zinc/76/20/06/1176762006.db2.gz NEXFTOHJINLERR-QLFBSQMISA-N 0 1 324.877 3.185 20 30 DGEDMN C#CCCCC(=O)NCC1(N[C@@H](C)c2cc(F)ccc2F)CC1 ZINC001670772353 1176803546 /nfs/dbraw/zinc/80/35/46/1176803546.db2.gz RMPSIIVNRJBDLP-ZDUSSCGKSA-N 0 1 320.383 3.068 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2c(C)noc2C)[C@@H]1CC ZINC001691495919 1176830818 /nfs/dbraw/zinc/83/08/18/1176830818.db2.gz CDJDQXRBPARKHW-DLBZAZTESA-N 0 1 319.449 3.117 20 30 DGEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001755327098 1176898977 /nfs/dbraw/zinc/89/89/77/1176898977.db2.gz AQECEIBYUAAUEW-CYBMUJFWSA-N 0 1 306.837 3.147 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001755327098 1176898979 /nfs/dbraw/zinc/89/89/79/1176898979.db2.gz AQECEIBYUAAUEW-CYBMUJFWSA-N 0 1 306.837 3.147 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001755605933 1177096233 /nfs/dbraw/zinc/09/62/33/1177096233.db2.gz HCLKDCROXYJUHB-OAHLLOKOSA-N 0 1 313.445 3.097 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCCN1CCC(F)(F)F ZINC001752815848 1177191238 /nfs/dbraw/zinc/19/12/38/1177191238.db2.gz ICCTYXLVQAXCFK-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCC[C@H]2C2CC2)CC1 ZINC001670829518 1177213071 /nfs/dbraw/zinc/21/30/71/1177213071.db2.gz OXZRNLFOBDBWFT-GJZGRUSLSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc2sccc2c1 ZINC001691538303 1177296593 /nfs/dbraw/zinc/29/65/93/1177296593.db2.gz LWKNNRGPUFYCSD-UHFFFAOYSA-N 0 1 308.834 3.315 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CCN(C/C=C\Cl)C[C@H]3C2)CCC1 ZINC001691570794 1177316878 /nfs/dbraw/zinc/31/68/78/1177316878.db2.gz UMXOXTUEJRGLGP-LFWKBAGKSA-N 0 1 322.880 3.266 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ccccn2)CCCCC1 ZINC001691615972 1177329754 /nfs/dbraw/zinc/32/97/54/1177329754.db2.gz YUHUWUHRLDBRGU-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001691633465 1177361725 /nfs/dbraw/zinc/36/17/25/1177361725.db2.gz BILPTRRKUOAFMF-UHFFFAOYSA-N 0 1 317.820 3.096 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc(C)cc1Cl ZINC001691646662 1177472994 /nfs/dbraw/zinc/47/29/94/1177472994.db2.gz QPQVOSYYLLHSDT-INIZCTEOSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)CC1 ZINC001687475867 1177538548 /nfs/dbraw/zinc/53/85/48/1177538548.db2.gz YMPHKKNWQVVCSA-MSOLQXFVSA-N 0 1 312.457 3.147 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H](CC)c1ccccc1 ZINC001691669920 1177628515 /nfs/dbraw/zinc/62/85/15/1177628515.db2.gz DHJBWHKLUMCFMN-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(CC/C=C/CC)CC1)c1ccccc1 ZINC001113665010 1177727819 /nfs/dbraw/zinc/72/78/19/1177727819.db2.gz KUBCWTXFVFXBSW-UEKDZROGSA-N 0 1 312.457 3.457 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(CCCCC)CC1)c1ccccc1 ZINC001113665108 1177728415 /nfs/dbraw/zinc/72/84/15/1177728415.db2.gz PXFHGMSIGJYWRQ-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN CN1CCc2ccc(NC(=S)Nc3ccccc3C#N)cc2C1 ZINC001196332073 1177841538 /nfs/dbraw/zinc/84/15/38/1177841538.db2.gz XXJBQCCOWGBNSO-UHFFFAOYSA-N 0 1 322.437 3.355 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc(C#N)c(Cl)c2)c(C)c1 ZINC001259096778 1178083458 /nfs/dbraw/zinc/08/34/58/1178083458.db2.gz HIQOWVHWRDWQBE-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1nc(Cl)ccc1O ZINC001641473604 1194855987 /nfs/dbraw/zinc/85/59/87/1194855987.db2.gz NGHWJEITCPBQQG-UHFFFAOYSA-N 0 1 301.733 3.181 20 30 DGEDMN C=CCCC[C@@H](C(=O)NCc1cc(N)ccn1)c1ccccc1 ZINC001330982534 1178105474 /nfs/dbraw/zinc/10/54/74/1178105474.db2.gz BQPXOIDYBFOWLJ-GOSISDBHSA-N 0 1 309.413 3.420 20 30 DGEDMN Cc1ccc(OCC[N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001600471144 1192859602 /nfs/dbraw/zinc/85/96/02/1192859602.db2.gz YUHWXAKKZBMOIT-UHFFFAOYSA-N 0 1 324.380 3.076 20 30 DGEDMN Cc1ccc(OCC[N@H+](C)Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001600471144 1192859604 /nfs/dbraw/zinc/85/96/04/1192859604.db2.gz YUHWXAKKZBMOIT-UHFFFAOYSA-N 0 1 324.380 3.076 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CC1=CCCCC1 ZINC001331257329 1178167289 /nfs/dbraw/zinc/16/72/89/1178167289.db2.gz GMWMMIUFPWKPKT-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1cc(OC)ccc1Cl ZINC001331404381 1178205754 /nfs/dbraw/zinc/20/57/54/1178205754.db2.gz GUMSHWUIAIEBOX-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)c(F)cc1F ZINC001331490932 1178225754 /nfs/dbraw/zinc/22/57/54/1178225754.db2.gz RSJVCNGWSUMZOX-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2ccccc2COCc2ccccc2)NO1 ZINC001331502154 1178228508 /nfs/dbraw/zinc/22/85/08/1178228508.db2.gz BQNWDNDFGQAZBF-CQSZACIVSA-N 0 1 324.380 3.256 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001331574952 1178250864 /nfs/dbraw/zinc/25/08/64/1178250864.db2.gz BLZRMBVJNYVMOS-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C#C[C@H](NCc1cc2ccnc(Cl)c2s1)[C@H]1CCCO1 ZINC001332737781 1178487978 /nfs/dbraw/zinc/48/79/78/1178487978.db2.gz WWSWEWJJNVLTIJ-QWHCGFSZSA-N 0 1 306.818 3.220 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CNCc1oc(C)nc1C)C(C)(C)C ZINC001332840610 1178507134 /nfs/dbraw/zinc/50/71/34/1178507134.db2.gz FOWYFJKTWXNHKO-INIZCTEOSA-N 0 1 321.465 3.268 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN[C@H](C)c2ncc(C)s2)cc1 ZINC001332852162 1178507696 /nfs/dbraw/zinc/50/76/96/1178507696.db2.gz BYFGMBJEUNWSMD-CYBMUJFWSA-N 0 1 313.426 3.112 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C)CC(F)(F)C1)C(C)(C)C ZINC001332881956 1178514190 /nfs/dbraw/zinc/51/41/90/1178514190.db2.gz ONRZAQHCTKEQGQ-LLVKDONJSA-N 0 1 322.827 3.295 20 30 DGEDMN CC(=NN[C@@H]1CCCSC1)c1ccc(N2CCCC2)cc1O ZINC001333218799 1178573082 /nfs/dbraw/zinc/57/30/82/1178573082.db2.gz HWSQNFPBNWSHFX-CQSZACIVSA-N 0 1 319.474 3.202 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCc2ccc(C)cc2C)CC1 ZINC001333378173 1178596811 /nfs/dbraw/zinc/59/68/11/1178596811.db2.gz MIIJVGOFHBGSNM-UHFFFAOYSA-N 0 1 324.468 3.008 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@H](CCO)c2cccs2)c1 ZINC001334049943 1178712881 /nfs/dbraw/zinc/71/28/81/1178712881.db2.gz VLBKBONMFMQNMA-AWEZNQCLSA-N 0 1 306.818 3.486 20 30 DGEDMN Cc1sc(C(=O)[O-])cc1C[N@H+](C)Cc1cc(C#N)cs1 ZINC001600866327 1192905420 /nfs/dbraw/zinc/90/54/20/1192905420.db2.gz LLWSMWBROUPMQX-UHFFFAOYSA-N 0 1 306.412 3.320 20 30 DGEDMN Cc1sc(C(=O)[O-])cc1C[N@@H+](C)Cc1cc(C#N)cs1 ZINC001600866327 1192905433 /nfs/dbraw/zinc/90/54/33/1192905433.db2.gz LLWSMWBROUPMQX-UHFFFAOYSA-N 0 1 306.412 3.320 20 30 DGEDMN Cc1ccccc1C(F)(F)C(=O)N[C@H](CC#N)C(F)(F)F ZINC001334440119 1178763890 /nfs/dbraw/zinc/76/38/90/1178763890.db2.gz POHZZIWDVWSRLS-SNVBAGLBSA-N 0 1 306.234 3.048 20 30 DGEDMN C=CC(C)(C)NC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC001334919622 1178829326 /nfs/dbraw/zinc/82/93/26/1178829326.db2.gz YYSZQETZRULXFX-UHFFFAOYSA-N 0 1 319.424 3.054 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)c(F)c1 ZINC001601100192 1192918841 /nfs/dbraw/zinc/91/88/41/1192918841.db2.gz HZPGYHRHONFRFE-VYDXJSESSA-N 0 1 316.376 3.163 20 30 DGEDMN N#C[C@H]1CC[C@@H]([N@@H+]2C[C@@H](c3ccc(Cl)cc3)[C@H](C(=O)[O-])C2)C1 ZINC001601059649 1192914899 /nfs/dbraw/zinc/91/48/99/1192914899.db2.gz JDDNRGZZZDUPMI-BJKJVOPESA-N 0 1 318.804 3.132 20 30 DGEDMN N#CC[C@H]1CCC[N@@H+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064311 1192915342 /nfs/dbraw/zinc/91/53/42/1192915342.db2.gz SNKRJSOXBSTOLD-QMTHXVAHSA-N 0 1 310.756 3.230 20 30 DGEDMN N#CC[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2c(F)cccc2Cl)C1 ZINC001601064311 1192915343 /nfs/dbraw/zinc/91/53/43/1192915343.db2.gz SNKRJSOXBSTOLD-QMTHXVAHSA-N 0 1 310.756 3.230 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@@H](c3cccc(F)c3)C2)cccc1C(=O)[O-] ZINC001601082322 1192916739 /nfs/dbraw/zinc/91/67/39/1192916739.db2.gz YEOFHBNHUVNRJD-CQSZACIVSA-N 0 1 324.355 3.385 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1CNCc1cccc(OCC#N)c1 ZINC001335467460 1178915234 /nfs/dbraw/zinc/91/52/34/1178915234.db2.gz OXMZIMXHXONVRD-UHFFFAOYSA-N 0 1 312.417 3.273 20 30 DGEDMN N#Cc1ccc(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)cc1 ZINC001601098437 1192918805 /nfs/dbraw/zinc/91/88/05/1192918805.db2.gz XPGWXXMJDDKDPL-OKZBNKHCSA-N 0 1 312.413 3.066 20 30 DGEDMN N#Cc1cccc(OCCC[N@H+](CC(=O)[O-])C2CCCCC2)c1 ZINC001601123822 1192920033 /nfs/dbraw/zinc/92/00/33/1192920033.db2.gz UINPSTZOERXJKD-UHFFFAOYSA-N 0 1 316.401 3.046 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C1CCC1)C1CCC1 ZINC001479240613 1179116930 /nfs/dbraw/zinc/11/69/30/1179116930.db2.gz IEEYRRUZJJLJGZ-KRWDZBQOSA-N 0 1 304.478 3.312 20 30 DGEDMN N#CC(C(=O)CSC(F)F)c1nccn1Cc1ccccc1 ZINC001342268021 1179123931 /nfs/dbraw/zinc/12/39/31/1179123931.db2.gz JNQAMCFPCDKKDC-LBPRGKRZSA-N 0 1 321.352 3.063 20 30 DGEDMN N#CC(C(=O)C=Cc1cccs1)c1nc(N)c2ccccc2n1 ZINC001342521616 1179149269 /nfs/dbraw/zinc/14/92/69/1179149269.db2.gz SGUIXGSJLXIGQK-SBDDDAINSA-N 0 1 320.377 3.163 20 30 DGEDMN CC(C)COc1ncccc1C(=O)[C@@H](C#N)c1cccc(F)n1 ZINC001342575895 1179155724 /nfs/dbraw/zinc/15/57/24/1179155724.db2.gz QOJCZFHNVZEODY-ZDUSSCGKSA-N 0 1 313.332 3.141 20 30 DGEDMN CC(C)COc1ncccc1C(=O)C(C#N)c1cccc(F)n1 ZINC001342575895 1179155729 /nfs/dbraw/zinc/15/57/29/1179155729.db2.gz QOJCZFHNVZEODY-ZDUSSCGKSA-N 0 1 313.332 3.141 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H]3CC[C@@H](C4CC4)O3)[nH]c21 ZINC001342604070 1179159473 /nfs/dbraw/zinc/15/94/73/1179159473.db2.gz NOGFVSNVEQDFCY-CPUCHLNUSA-N 0 1 323.396 3.395 20 30 DGEDMN N#CC(C(=O)CSCc1cccs1)c1cccc(F)n1 ZINC001343312306 1179254069 /nfs/dbraw/zinc/25/40/69/1179254069.db2.gz MLEMYCZLEWJNTB-NSHDSACASA-N 0 1 306.387 3.392 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2ccccc2Cl)CCC1 ZINC001343705459 1179320239 /nfs/dbraw/zinc/32/02/39/1179320239.db2.gz RLAHUPNXINIMSJ-GFCCVEGCSA-N 0 1 313.788 3.372 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@@H]1c1cccc(F)c1F)c1cccc(F)n1 ZINC001344007986 1179363614 /nfs/dbraw/zinc/36/36/14/1179363614.db2.gz SOSIXGIBRJGUQK-WOPDTQHZSA-N 0 1 316.282 3.479 20 30 DGEDMN C#CC[C@@H](C)OC(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1 ZINC001344376942 1179422498 /nfs/dbraw/zinc/42/24/98/1179422498.db2.gz XZBAYYLJVFJQDE-SECBINFHSA-N 0 1 310.375 3.059 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCOC2(CCC2)C1)c1cnc2ccccc2n1 ZINC001344850172 1179485997 /nfs/dbraw/zinc/48/59/97/1179485997.db2.gz KBCNDXNQJIMWMB-KGLIPLIRSA-N 0 1 321.380 3.155 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(C)CCC(F)(F)CC1 ZINC001344865091 1179488378 /nfs/dbraw/zinc/48/83/78/1179488378.db2.gz YZLOPBVTIIBKTM-VIFPVBQESA-N 0 1 315.751 3.465 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@]1(c2ccccc2)CC1(C)C ZINC001346096818 1179652608 /nfs/dbraw/zinc/65/26/08/1179652608.db2.gz VAVOYAVVSPXCFP-DNVCBOLYSA-N 0 1 307.397 3.447 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccn1 ZINC001346221810 1179672260 /nfs/dbraw/zinc/67/22/60/1179672260.db2.gz UNALCWINHZTRJP-SUNKGSAMSA-N 0 1 312.291 3.148 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CNCCC(=O)Nc2cccc(C#N)c2)o1 ZINC001348950423 1179854555 /nfs/dbraw/zinc/85/45/55/1179854555.db2.gz CNUBPMRRQZCRAG-CXAGYDPISA-N 0 1 323.396 3.393 20 30 DGEDMN C[C@H]1C[C@H]1c1ccc(CNCCC(=O)Nc2cccc(C#N)c2)o1 ZINC001348950439 1179854981 /nfs/dbraw/zinc/85/49/81/1179854981.db2.gz CNUBPMRRQZCRAG-SUMWQHHRSA-N 0 1 323.396 3.393 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)CC1 ZINC001349557233 1179916047 /nfs/dbraw/zinc/91/60/47/1179916047.db2.gz UMUGOAZLAPYVCK-HDJSIYSDSA-N 0 1 316.449 3.041 20 30 DGEDMN C#CC[C@@H]([NH2+][C@H](C)c1ncc(C(=O)[O-])s1)c1ccccc1 ZINC001602693953 1192994471 /nfs/dbraw/zinc/99/44/71/1192994471.db2.gz DLUJWFMRCRKYLC-DGCLKSJQSA-N 0 1 300.383 3.257 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])c(CC)[nH]1)[C@@H]1CCc2ccccc21 ZINC001602719454 1192995016 /nfs/dbraw/zinc/99/50/16/1192995016.db2.gz MBRKUSRPKAXYBL-LJQANCHMSA-N 0 1 322.408 3.398 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])c(CC)[nH]1)[C@H]1CCc2ccccc21 ZINC001602719453 1192995035 /nfs/dbraw/zinc/99/50/35/1192995035.db2.gz MBRKUSRPKAXYBL-IBGZPJMESA-N 0 1 322.408 3.398 20 30 DGEDMN C=CC[N@@H+](CCc1ccco1)[C@H](C)c1ncc(C(=O)[O-])s1 ZINC001602894196 1193001902 /nfs/dbraw/zinc/00/19/02/1193001902.db2.gz WOSQJOCIGUDRTD-LLVKDONJSA-N 0 1 306.387 3.226 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)[C@H](C)c1ncc(C(=O)[O-])s1 ZINC001602894196 1193001905 /nfs/dbraw/zinc/00/19/05/1193001905.db2.gz WOSQJOCIGUDRTD-LLVKDONJSA-N 0 1 306.387 3.226 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001603230363 1193011746 /nfs/dbraw/zinc/01/17/46/1193011746.db2.gz UBQUGJNTGZPSTA-LBPRGKRZSA-N 0 1 321.446 3.351 20 30 DGEDMN C[C@H](CN=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1)C(C)(C)C ZINC001603437404 1193017756 /nfs/dbraw/zinc/01/77/56/1193017756.db2.gz WXZOKAVBPPVCRE-SECBINFHSA-N 0 1 319.413 3.232 20 30 DGEDMN C=CCN(c1nnc(-c2ccc3nc[nH]c3c2)n1CC=C)C1CC1 ZINC001352074778 1180291354 /nfs/dbraw/zinc/29/13/54/1180291354.db2.gz NCUIKAVUPWTETP-UHFFFAOYSA-N 0 1 320.400 3.162 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100367372 1180621638 /nfs/dbraw/zinc/62/16/38/1180621638.db2.gz FADZXHKLLDDFJY-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN CC[C@@]1(C)CCCC1N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001604081988 1193049140 /nfs/dbraw/zinc/04/91/40/1193049140.db2.gz WYYMWUAHPBLJFN-HNNXBMFYSA-N 0 1 317.397 3.346 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N(C)Cc1c(F)cccc1Cl ZINC001648549956 1195308220 /nfs/dbraw/zinc/30/82/20/1195308220.db2.gz AVDNZVRFBQCMMD-CHWSQXEVSA-N 0 1 324.827 3.476 20 30 DGEDMN CC[C@@](O)(CN[C@H](CCC#N)c1ccccc1)C(F)(F)F ZINC001356031681 1181128435 /nfs/dbraw/zinc/12/84/35/1181128435.db2.gz DANPALHGHLGLMF-ZIAGYGMSSA-N 0 1 300.324 3.324 20 30 DGEDMN C=CCn1c(C2=NC(=O)SC2)nnc1N1CCC[C@H]1CCC ZINC001356040855 1181130302 /nfs/dbraw/zinc/13/03/02/1181130302.db2.gz NLELTFSRLAXQOW-LLVKDONJSA-N 0 1 319.434 3.062 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@@H](CC)C1 ZINC001356143683 1181148400 /nfs/dbraw/zinc/14/84/00/1181148400.db2.gz ONWNSMGSLKTOJY-CYBMUJFWSA-N 0 1 322.416 3.244 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@H](F)CC)cc1 ZINC001356264411 1181167062 /nfs/dbraw/zinc/16/70/62/1181167062.db2.gz BDQPBMRARYLJCM-LSDHHAIUSA-N 0 1 304.365 3.425 20 30 DGEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CCc2ccccc21 ZINC001604786747 1193092715 /nfs/dbraw/zinc/09/27/15/1193092715.db2.gz QNIWPRXTTBWPBN-KUHUBIRLSA-N 0 1 320.392 3.346 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@@H+](CCc1ccc(C#N)cc1)CC1CC1 ZINC001604890137 1193098401 /nfs/dbraw/zinc/09/84/01/1193098401.db2.gz SWZASXSVERZCAO-GOSISDBHSA-N 0 1 300.402 3.066 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1cc(C#N)ccc1F)CC1CC1 ZINC001604888320 1193098821 /nfs/dbraw/zinc/09/88/21/1193098821.db2.gz BVJFGBQCBPKZPJ-QGZVFWFLSA-N 0 1 304.365 3.163 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC001604980983 1193103721 /nfs/dbraw/zinc/10/37/21/1193103721.db2.gz QNNLQVIQAVZEJK-VXGBXAGGSA-N 0 1 301.173 3.401 20 30 DGEDMN C#CCN(Cc1ccc(OCC(F)(F)F)nc1)C(C)(C)C ZINC001473808243 1181574735 /nfs/dbraw/zinc/57/47/35/1181574735.db2.gz CGCYMLVPANXSKG-UHFFFAOYSA-N 0 1 300.324 3.256 20 30 DGEDMN Cc1cccc(C(=O)NCCN(C)Cc2cccc(C#N)c2)c1C ZINC001492835746 1181718934 /nfs/dbraw/zinc/71/89/34/1181718934.db2.gz QOCKRURZOFDGLA-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4cnccc43)[nH]c2c1 ZINC001438139161 1181959122 /nfs/dbraw/zinc/95/91/22/1181959122.db2.gz XYZAXMDVHHOUIW-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN C=CCN(Cc1ccccc1F)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001442076976 1182075134 /nfs/dbraw/zinc/07/51/34/1182075134.db2.gz MUWRXQLWWSIGKV-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@H]2c2cccc(F)c2F)c1 ZINC001454682528 1182350938 /nfs/dbraw/zinc/35/09/38/1182350938.db2.gz MBHXVUIIBHXYOW-KBPBESRZSA-N 0 1 314.291 3.050 20 30 DGEDMN Cc1ccc(C(=O)/C=C/C(=O)Nc2ccc3cncn3c2)cc1 ZINC001456443689 1182416835 /nfs/dbraw/zinc/41/68/35/1182416835.db2.gz PVJIGYURSPGGDC-CMDGGOBGSA-N 0 1 305.337 3.020 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC001456974610 1182438164 /nfs/dbraw/zinc/43/81/64/1182438164.db2.gz CKULKOIYMSYGMQ-KRWDZBQOSA-N 0 1 313.445 3.222 20 30 DGEDMN Cc1ccccc1CN1CCN(c2cc(F)ccc2C#N)CC1 ZINC001458060030 1182493136 /nfs/dbraw/zinc/49/31/36/1182493136.db2.gz DTMZVBKPYYPMGQ-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C#CCN(Cc1ccc([N+](=O)[O-])cc1OC)C1CCCCC1 ZINC001459391279 1182584328 /nfs/dbraw/zinc/58/43/28/1182584328.db2.gz KPJRAFDWSVRXCY-UHFFFAOYSA-N 0 1 302.374 3.371 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CC[C@@H]2[C@H](C1)C2(F)F ZINC001459790175 1182616496 /nfs/dbraw/zinc/61/64/96/1182616496.db2.gz DOSPZWVOUGUYNA-OLZOCXBDSA-N 0 1 312.747 3.329 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OC)cs1 ZINC001459830952 1182620969 /nfs/dbraw/zinc/62/09/69/1182620969.db2.gz NXQMUEXNKNFBLL-UHFFFAOYSA-N 0 1 305.399 3.358 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc([N+](=O)[O-])cc2)C1 ZINC001460361075 1182681331 /nfs/dbraw/zinc/68/13/31/1182681331.db2.gz LDNWCMUIDQHURO-ZIAGYGMSSA-N 0 1 317.389 3.210 20 30 DGEDMN CCC#C[C@@H](C)N1CCN(c2ccc(Br)cc2)CC1 ZINC001460358756 1182681741 /nfs/dbraw/zinc/68/17/41/1182681741.db2.gz YLZLYUOLBCOUIL-CQSZACIVSA-N 0 1 321.262 3.373 20 30 DGEDMN CCc1ccc([C@H](C#N)N(C)C(=O)c2cccc(F)c2O)cc1 ZINC001460592205 1182698175 /nfs/dbraw/zinc/69/81/75/1182698175.db2.gz AALPFOIERLRTKT-INIZCTEOSA-N 0 1 312.344 3.431 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc(-n2cncn2)cc1 ZINC001461205406 1182782430 /nfs/dbraw/zinc/78/24/30/1182782430.db2.gz UUYKUIYXHPAUHE-UHFFFAOYSA-N 0 1 320.396 3.122 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC001461303041 1182793716 /nfs/dbraw/zinc/79/37/16/1182793716.db2.gz ZTBBHRDWHKBWFY-YESZJQIVSA-N 0 1 300.446 3.463 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccnc(C(F)(F)F)c1 ZINC001461524739 1182823421 /nfs/dbraw/zinc/82/34/21/1182823421.db2.gz XCSRDQUUGYLWOL-UHFFFAOYSA-N 0 1 320.314 3.402 20 30 DGEDMN C#C[C@H]1CC[C@H]([NH2+]Cc2c([O-])cccc2Br)CC1 ZINC001461728124 1182846936 /nfs/dbraw/zinc/84/69/36/1182846936.db2.gz KVZYCQJTKOMZNA-HAQNSBGRSA-N 0 1 308.219 3.436 20 30 DGEDMN COc1ccc(C=CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001461924217 1182878276 /nfs/dbraw/zinc/87/82/76/1182878276.db2.gz ZPJRKSASYIZHAP-UITAMQMPSA-N 0 1 318.336 3.095 20 30 DGEDMN C=C(C)[C@@H](N[C@H]1C[C@@H](OCC)[C@@H]1OC)c1ccc(F)c(F)c1 ZINC001462109391 1182908517 /nfs/dbraw/zinc/90/85/17/1182908517.db2.gz DXQDBXMLBQHNFW-YLFCFFPRSA-N 0 1 311.372 3.364 20 30 DGEDMN C=C(C)[C@H](N[C@H]1C[C@@H](OCC)[C@@H]1OC)c1ccc(F)c(F)c1 ZINC001462109387 1182908758 /nfs/dbraw/zinc/90/87/58/1182908758.db2.gz DXQDBXMLBQHNFW-VVLHAWIVSA-N 0 1 311.372 3.364 20 30 DGEDMN C=C[C@H](C(=O)NCCc1nc2ccc(F)cc2[nH]1)c1ccccc1 ZINC001465435012 1183149868 /nfs/dbraw/zinc/14/98/68/1183149868.db2.gz MOIZRLHUTDCOKG-HNNXBMFYSA-N 0 1 323.371 3.331 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1ccc(CN2CCCC2)o1 ZINC001467448406 1183262988 /nfs/dbraw/zinc/26/29/88/1183262988.db2.gz CLBRTMSDMFMCHU-UHFFFAOYSA-N 0 1 324.384 3.041 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1cc(CCCCC)[nH]n1 ZINC001467877856 1183295208 /nfs/dbraw/zinc/29/52/08/1183295208.db2.gz ZYXUZPLJNDZGJS-UHFFFAOYSA-N 0 1 309.413 3.418 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NC(=O)c1cccc2nc[nH]c21 ZINC001469107842 1183384282 /nfs/dbraw/zinc/38/42/82/1183384282.db2.gz POFWYCFMURYMMC-INIZCTEOSA-N 0 1 316.364 3.242 20 30 DGEDMN C=CCCC[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])c1ccccc1 ZINC001471588026 1183575646 /nfs/dbraw/zinc/57/56/46/1183575646.db2.gz DIHVZSRTTRRSOM-CYBMUJFWSA-N 0 1 314.345 3.145 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC001607565362 1193237701 /nfs/dbraw/zinc/23/77/01/1193237701.db2.gz IIBUEGKSJWCBPZ-MRXNPFEDSA-N 0 1 314.429 3.498 20 30 DGEDMN C#CC[N@@H+](C[C@H]1COc2ccccc2O1)[C@@H]1CCc2ccccc21 ZINC001473122208 1183718513 /nfs/dbraw/zinc/71/85/13/1183718513.db2.gz SUENDKWAXCWXSE-PKOBYXMFSA-N 0 1 319.404 3.449 20 30 DGEDMN C#CCN(C[C@H]1COc2ccccc2O1)[C@@H]1CCc2ccccc21 ZINC001473122208 1183718516 /nfs/dbraw/zinc/71/85/16/1183718516.db2.gz SUENDKWAXCWXSE-PKOBYXMFSA-N 0 1 319.404 3.449 20 30 DGEDMN CN(C)c1cccc([C@@H]2CCCN2Cc2cc(C#N)ccn2)c1 ZINC001473172065 1183724216 /nfs/dbraw/zinc/72/42/16/1183724216.db2.gz CLIFBGGPIVIZLC-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN C#CCN(Cc1nc2ccc(Br)cc2[nH]1)CC1CC1 ZINC001473287575 1183738953 /nfs/dbraw/zinc/73/89/53/1183738953.db2.gz NLEXAXBVNQDLEC-UHFFFAOYSA-N 0 1 318.218 3.171 20 30 DGEDMN O[C@H]1C[C@](NCC#Cc2ccccc2Cl)(c2ccccc2)C1 ZINC001473776737 1183800455 /nfs/dbraw/zinc/80/04/55/1183800455.db2.gz QSVGVHCAYMWRFC-IZAXUBKRSA-N 0 1 311.812 3.331 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H](C3CCCC3)C2)cc1 ZINC001473888558 1183819912 /nfs/dbraw/zinc/81/99/12/1183819912.db2.gz WYAOBFDMMLAAFE-QGZVFWFLSA-N 0 1 311.429 3.399 20 30 DGEDMN C=C(Br)CNCc1cn(C)nc1-c1cccs1 ZINC001473988974 1183845974 /nfs/dbraw/zinc/84/59/74/1183845974.db2.gz YQGVEAJNNVRRFU-UHFFFAOYSA-N 0 1 312.236 3.147 20 30 DGEDMN CC#CC[C@@H](CO)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474196140 1183881923 /nfs/dbraw/zinc/88/19/23/1183881923.db2.gz KVZAXNQIPGHNOR-HNNXBMFYSA-N 0 1 303.789 3.464 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1CCC[C@@H](C)O1 ZINC001474213003 1183883209 /nfs/dbraw/zinc/88/32/09/1183883209.db2.gz FFQIULXLABJBBN-KGLIPLIRSA-N 0 1 307.821 3.399 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C#N)c(F)c2)cc1C ZINC001474579178 1183943742 /nfs/dbraw/zinc/94/37/42/1183943742.db2.gz APYKWNLUBAWTRP-UHFFFAOYSA-N 0 1 312.344 3.082 20 30 DGEDMN Cc1ccc2c(c1)CN(Cc1cc(C#N)ccc1N(C)C)CC2 ZINC001474617595 1183951271 /nfs/dbraw/zinc/95/12/71/1183951271.db2.gz GKYMMKPRJYLHFE-UHFFFAOYSA-N 0 1 305.425 3.491 20 30 DGEDMN Cc1ccc([C@H]2CC[N@H+]([C@H](C(=O)[O-])c3cccc(C#N)c3)C2)cc1 ZINC001607768993 1193255019 /nfs/dbraw/zinc/25/50/19/1193255019.db2.gz COSLLTWXECTQKH-OALUTQOASA-N 0 1 320.392 3.482 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cc(CC)ccc2CC)CC1 ZINC001476214915 1184030468 /nfs/dbraw/zinc/03/04/68/1184030468.db2.gz KHLAXLIQGOADCF-UHFFFAOYSA-N 0 1 313.445 3.031 20 30 DGEDMN C[C@H]1CC(C)(C)c2cccc(NC(=O)NCC#CCN(C)C)c21 ZINC001477110953 1184069147 /nfs/dbraw/zinc/06/91/47/1184069147.db2.gz GOBWUQILTNPAEK-AWEZNQCLSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1cccc([C@H]2CCC[N@@H+]2Cc2cccc(C(=O)[O-])c2C#N)n1 ZINC001607847245 1193262694 /nfs/dbraw/zinc/26/26/94/1193262694.db2.gz IZUZVWJMIAZCAG-GOSISDBHSA-N 0 1 321.380 3.297 20 30 DGEDMN Cc1cccc([C@H]2CCC[N@H+]2Cc2cccc(C(=O)[O-])c2C#N)n1 ZINC001607847245 1193262697 /nfs/dbraw/zinc/26/26/97/1193262697.db2.gz IZUZVWJMIAZCAG-GOSISDBHSA-N 0 1 321.380 3.297 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001496070181 1184130896 /nfs/dbraw/zinc/13/08/96/1184130896.db2.gz DZICQFMMTMCWOF-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(CC)CNC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC001478813421 1184178351 /nfs/dbraw/zinc/17/83/51/1184178351.db2.gz RGEKJNXNZPESHT-UHFFFAOYSA-N 0 1 315.461 3.259 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CCC[N@@H+](CCF)[C@H]2C1(C)C ZINC001479610621 1184273953 /nfs/dbraw/zinc/27/39/53/1184273953.db2.gz CUGQIVCDERBXDU-FVQBIDKESA-N 0 1 310.457 3.163 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CCCN(CCF)[C@H]2C1(C)C ZINC001479610621 1184273961 /nfs/dbraw/zinc/27/39/61/1184273961.db2.gz CUGQIVCDERBXDU-FVQBIDKESA-N 0 1 310.457 3.163 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1[C@@H]2CCCN(CCF)[C@@H]2C1(C)C ZINC001479612882 1184275888 /nfs/dbraw/zinc/27/58/88/1184275888.db2.gz LEJNXQPZMBRWML-BBWFWOEESA-N 0 1 322.468 3.330 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)CC2CCCCC2)[C@H]1CC ZINC001479645525 1184285293 /nfs/dbraw/zinc/28/52/93/1184285293.db2.gz QSRSCFRBTDNHRU-BPQIPLTHSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001479742245 1184309340 /nfs/dbraw/zinc/30/93/40/1184309340.db2.gz WJHGDKXZEGSVSQ-UHFFFAOYSA-N 0 1 321.490 3.128 20 30 DGEDMN CCCN(C(=O)C#CC(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001479992710 1184405945 /nfs/dbraw/zinc/40/59/45/1184405945.db2.gz ANVOACGNXPYGDX-INIZCTEOSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@H](NCc2sccc2Cl)C1 ZINC001480108179 1184437181 /nfs/dbraw/zinc/43/71/81/1184437181.db2.gz ADWKIIDRENYXJA-ZDUSSCGKSA-N 0 1 324.877 3.286 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001480160102 1184448737 /nfs/dbraw/zinc/44/87/37/1184448737.db2.gz UMGZXKDHZNJYTB-JOCQHMNTSA-N 0 1 322.399 3.304 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@@H]1CCCCN1CC#CC)c1ccccc1 ZINC001480329616 1184495521 /nfs/dbraw/zinc/49/55/21/1184495521.db2.gz BUAWQEHEQSYLTF-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C(Br)CNC[C@@H]1CCCCN1C(=O)CCCC ZINC001480339353 1184498605 /nfs/dbraw/zinc/49/86/05/1184498605.db2.gz VOOUDQSANOIGBD-ZDUSSCGKSA-N 0 1 317.271 3.056 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)c2ccccc2n1 ZINC001480488360 1184536136 /nfs/dbraw/zinc/53/61/36/1184536136.db2.gz IYVNQIRTXJPJCW-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001480522704 1184542227 /nfs/dbraw/zinc/54/22/27/1184542227.db2.gz SVXNMLKDRAZEEQ-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@H](C)NCc1ccccn1)c1ccccc1 ZINC001480595948 1184555178 /nfs/dbraw/zinc/55/51/78/1184555178.db2.gz RXAKTNQSCSAQGF-LPHOPBHVSA-N 0 1 323.440 3.036 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001480618710 1184559858 /nfs/dbraw/zinc/55/98/58/1184559858.db2.gz QEKOZKFKONQOCK-KRWDZBQOSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NCc1csc(CC)n1 ZINC001480783515 1184605730 /nfs/dbraw/zinc/60/57/30/1184605730.db2.gz IJEMYVGDSSNTMI-CYBMUJFWSA-N 0 1 323.506 3.292 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@@H](CC)c1ccccc1 ZINC001493042312 1184638305 /nfs/dbraw/zinc/63/83/05/1184638305.db2.gz LHMFTZHDDTYPOL-QGZVFWFLSA-N 0 1 300.446 3.032 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001493106812 1184650081 /nfs/dbraw/zinc/65/00/81/1184650081.db2.gz JDRMDOFNIXCPPM-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC001493183785 1184663911 /nfs/dbraw/zinc/66/39/11/1184663911.db2.gz CTWNXUIITZKZDZ-LBPRGKRZSA-N 0 1 312.866 3.306 20 30 DGEDMN CC(C)CCC(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001493472332 1184711584 /nfs/dbraw/zinc/71/15/84/1184711584.db2.gz DJEOZMZYZXYZOR-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)C1CCCCCC1 ZINC001493495848 1184712665 /nfs/dbraw/zinc/71/26/65/1184712665.db2.gz QXXODJIHFCBTHT-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccsc1C(F)F ZINC001493778306 1184738077 /nfs/dbraw/zinc/73/80/77/1184738077.db2.gz HINGSLONGVAJQX-UHFFFAOYSA-N 0 1 308.781 3.100 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(CC)c(CC)c1 ZINC001493815775 1184742186 /nfs/dbraw/zinc/74/21/86/1184742186.db2.gz QZBGLDONHHSMHK-UHFFFAOYSA-N 0 1 308.853 3.226 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)C(C)C ZINC001493865251 1184752897 /nfs/dbraw/zinc/75/28/97/1184752897.db2.gz SEZUHOSLMONXQC-OAGGEKHMSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCC(C)=C(C)C1)c1ccccc1 ZINC001493889629 1184759815 /nfs/dbraw/zinc/75/98/15/1184759815.db2.gz UFBZBEXZUGWBHB-RTBURBONSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)CCCC)c1ccccc1 ZINC001493888979 1184759954 /nfs/dbraw/zinc/75/99/54/1184759954.db2.gz JIMBYXVOIWNISJ-FUHWJXTLSA-N 0 1 300.446 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C12CCC(CC1)CC2)c1ccccc1 ZINC001493904469 1184762634 /nfs/dbraw/zinc/76/26/34/1184762634.db2.gz HWDKEGAUXUWVND-IPCDKGFNSA-N 0 1 310.441 3.037 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001494149045 1184816442 /nfs/dbraw/zinc/81/64/42/1184816442.db2.gz YOXZCQRGOSMBSJ-NXOAAHMSSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@@H](C)c2ccco2)C1 ZINC001494172323 1184825043 /nfs/dbraw/zinc/82/50/43/1184825043.db2.gz ORPPUERRVMWVAV-UKRRQHHQSA-N 0 1 324.852 3.306 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC001494457253 1184871092 /nfs/dbraw/zinc/87/10/92/1184871092.db2.gz SUKCSGPGVMDOCT-GFCCVEGCSA-N 0 1 324.827 3.177 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC001494457254 1184871216 /nfs/dbraw/zinc/87/12/16/1184871216.db2.gz SUKCSGPGVMDOCT-LBPRGKRZSA-N 0 1 324.827 3.177 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC001494508868 1184877388 /nfs/dbraw/zinc/87/73/88/1184877388.db2.gz OKARNWRJYCGSCV-OAHLLOKOSA-N 0 1 312.457 3.252 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C/CCC)C1 ZINC001494673429 1184904290 /nfs/dbraw/zinc/90/42/90/1184904290.db2.gz USNFBOIBHDPUGE-SGUAIBKKSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001494773562 1184924906 /nfs/dbraw/zinc/92/49/06/1184924906.db2.gz XVAOJJDHQWMGBX-IEBWSBKVSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CC2(C)CCCCC2)C1 ZINC001494902677 1184959510 /nfs/dbraw/zinc/95/95/10/1184959510.db2.gz ZKKCRROCENMUAO-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C[C@@H](CC(=O)N(CC)[C@@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001494923848 1184964279 /nfs/dbraw/zinc/96/42/79/1184964279.db2.gz ILLGNBFTOCMLBN-AZUAARDMSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C)cc(Cl)c1 ZINC001495023943 1184980743 /nfs/dbraw/zinc/98/07/43/1184980743.db2.gz MJFAMCQZBWSIKO-CQSZACIVSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2c(c1)CCC2 ZINC001495104491 1184997591 /nfs/dbraw/zinc/99/75/91/1184997591.db2.gz ZQLKNOPVWSZZFZ-INIZCTEOSA-N 0 1 306.837 3.026 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)Cc1ccccc1C ZINC001495117083 1184999990 /nfs/dbraw/zinc/99/99/90/1184999990.db2.gz KBCQRXUVSAOGKN-RHSMWYFYSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(C)CCC(=O)NCCC1CCN(Cc2cc(C)no2)CC1 ZINC001495522278 1185069151 /nfs/dbraw/zinc/06/91/51/1185069151.db2.gz PMCOMWXUKSLJKC-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CC[C@H](C)N1C[C@@H](C(F)(F)F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001654812483 1195843363 /nfs/dbraw/zinc/84/33/63/1195843363.db2.gz AJWLYCRVBZHDTI-QJPTWQEYSA-N 0 1 322.371 3.338 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)CC2=CCCCC2)C1 ZINC001495837883 1185107651 /nfs/dbraw/zinc/10/76/51/1185107651.db2.gz NDFYEUIOTDYPSJ-SJLPKXTDSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001495870870 1185109502 /nfs/dbraw/zinc/10/95/02/1185109502.db2.gz QSZQUCZSTOKUMU-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1nsc2ccccc21 ZINC001496066027 1185130584 /nfs/dbraw/zinc/13/05/84/1185130584.db2.gz IIJQDLWTXKENBX-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CC(C)(C)C(F)(F)F ZINC001496218413 1185150722 /nfs/dbraw/zinc/15/07/22/1185150722.db2.gz OGJZGIDARJTCNK-JTQLQIEISA-N 0 1 314.779 3.154 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCN(C)Cc1cccc(F)c1 ZINC001496767153 1185243263 /nfs/dbraw/zinc/24/32/63/1185243263.db2.gz NIZNXXGGLJSCTA-HNNXBMFYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCNC/C(Cl)=C/Cl ZINC001497281420 1185309012 /nfs/dbraw/zinc/30/90/12/1185309012.db2.gz FKNYFICQMBCQQG-AWPPVZKDSA-N 0 1 307.265 3.392 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C1=CCCCCC1 ZINC001497374483 1185323762 /nfs/dbraw/zinc/32/37/62/1185323762.db2.gz JMLRLABBPSCGPW-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@@H+](C)C2(C(=O)[O-])CCCCC2)cc1 ZINC001609631427 1193346690 /nfs/dbraw/zinc/34/66/90/1193346690.db2.gz CAQUOVQAFZPUIM-CQSZACIVSA-N 0 1 316.401 3.197 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H]1CCc2ccccc21 ZINC001497705300 1185379701 /nfs/dbraw/zinc/37/97/01/1185379701.db2.gz HMDBAHIRRSXUIR-APHBUQMISA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C)(C)Cc1ccccc1 ZINC001497720863 1185381348 /nfs/dbraw/zinc/38/13/48/1185381348.db2.gz BOIPPTMLLHVONW-BQYQJAHWSA-N 0 1 320.864 3.270 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(Cc2ccccc2)CCC1 ZINC001497830321 1185397937 /nfs/dbraw/zinc/39/79/37/1185397937.db2.gz ULNRIOZMDPMQJZ-OAHLLOKOSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001498122167 1185438297 /nfs/dbraw/zinc/43/82/97/1185438297.db2.gz JOAOLFFAEHYYHS-ZDUSSCGKSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1cc(Cl)cs1 ZINC001498128282 1185439397 /nfs/dbraw/zinc/43/93/97/1185439397.db2.gz ZRTFMYYBBFBHNK-JTQLQIEISA-N 0 1 321.273 3.181 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(-c2ccco2)s1 ZINC001498417767 1185491987 /nfs/dbraw/zinc/49/19/87/1185491987.db2.gz HANIXBWFKAHBEV-ZDUSSCGKSA-N 0 1 316.426 3.082 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCC[C@@H]1CNCc1nc(C)oc1C ZINC001498474731 1185504583 /nfs/dbraw/zinc/50/45/83/1185504583.db2.gz QJZXTJOHSVJXGV-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1ncc(C)o1 ZINC001498491653 1185507462 /nfs/dbraw/zinc/50/74/62/1185507462.db2.gz QROYOLVSLLPMQF-HZPDHXFCSA-N 0 1 319.449 3.104 20 30 DGEDMN CC(C(=O)N[C@@H](CNCc1ccccc1C#N)C1CC1)=C1CCC1 ZINC001498757489 1185541602 /nfs/dbraw/zinc/54/16/02/1185541602.db2.gz BYCPVEPZDMESHZ-IBGZPJMESA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001499047484 1185597888 /nfs/dbraw/zinc/59/78/88/1185597888.db2.gz ILQQVBAKAPNEKK-KRWDZBQOSA-N 0 1 311.429 3.215 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001499042010 1185598503 /nfs/dbraw/zinc/59/85/03/1185598503.db2.gz IAEFGVQXEPXYJK-SJLPKXTDSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001499047485 1185598616 /nfs/dbraw/zinc/59/86/16/1185598616.db2.gz ILQQVBAKAPNEKK-QGZVFWFLSA-N 0 1 311.429 3.215 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)/C=C\c2ccco2)C1 ZINC001499627133 1185679951 /nfs/dbraw/zinc/67/99/51/1185679951.db2.gz INSYTPWWWHGPGJ-KHPPLWFESA-N 0 1 302.418 3.182 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2csc(C)c2C)C1 ZINC001499672795 1185683433 /nfs/dbraw/zinc/68/34/33/1185683433.db2.gz OUKPFNOIFOROKP-GFCCVEGCSA-N 0 1 306.475 3.476 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2cnccc2C)C1 ZINC001499689257 1185684683 /nfs/dbraw/zinc/68/46/83/1185684683.db2.gz GKXAMPMVAHDIDC-UHFFFAOYSA-N 0 1 317.477 3.046 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](F)Cc2ccccc2)C1 ZINC001499794884 1185696260 /nfs/dbraw/zinc/69/62/60/1185696260.db2.gz DNJDAQIQIOQHTK-QAPCUYQASA-N 0 1 318.436 3.065 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](CC)C2CCC(C)CC2)CC1 ZINC001499985233 1185728142 /nfs/dbraw/zinc/72/81/42/1185728142.db2.gz LSROMYAYBFIKKB-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN(C(=O)CCCCCC(C)C)C1CCN(CC#C)CC1 ZINC001500051231 1185735548 /nfs/dbraw/zinc/73/55/48/1185735548.db2.gz BRHIVXNNEQASOA-UHFFFAOYSA-N 0 1 316.489 3.152 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001500276190 1185768641 /nfs/dbraw/zinc/76/86/41/1185768641.db2.gz YHCPGMQARPYBNG-QUCCMNQESA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001500281073 1185770199 /nfs/dbraw/zinc/77/01/99/1185770199.db2.gz RQLBKEKSKIPTEO-LJQANCHMSA-N 0 1 304.478 3.197 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1C#N)[C@H](C(=O)[O-])c1ccccc1 ZINC001610122178 1193377930 /nfs/dbraw/zinc/37/79/30/1193377930.db2.gz KPAUMCMBTCDUPL-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN C[C@]1(CNC(=O)CC2CC2)CCCN(CC#Cc2ccccc2)C1 ZINC001500470267 1185798971 /nfs/dbraw/zinc/79/89/71/1185798971.db2.gz YWICQUYPOVNNTN-OAQYLSRUSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC2CCCC2)C1 ZINC001500603816 1185825861 /nfs/dbraw/zinc/82/58/61/1185825861.db2.gz JLSOBRFMUAPEKZ-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C[C@@H](C)CCC)C1 ZINC001500606708 1185826747 /nfs/dbraw/zinc/82/67/47/1185826747.db2.gz CQDNXSDTTSKGBG-KBPBESRZSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C2(CCC)CCC2)C1 ZINC001500613410 1185828326 /nfs/dbraw/zinc/82/83/26/1185828326.db2.gz HOTHZEVXOXSQOG-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1(C)CC(=C)C1)C2 ZINC001501170497 1185878941 /nfs/dbraw/zinc/87/89/41/1185878941.db2.gz XDOYTCSTTYLBDU-MUJYYYPQSA-N 0 1 322.880 3.454 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](CCC)C(C)C)C[C@@H]2C1 ZINC001501232217 1185886876 /nfs/dbraw/zinc/88/68/76/1185886876.db2.gz CHYZYMIECDUERT-XHSDSOJGSA-N 0 1 312.885 3.201 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C(C)C ZINC001501668671 1185918720 /nfs/dbraw/zinc/91/87/20/1185918720.db2.gz JSBZGMFEWHPTHG-INIZCTEOSA-N 0 1 300.446 3.050 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C[C@H]3C=CCC3)cccc2C1 ZINC001501851879 1185931833 /nfs/dbraw/zinc/93/18/33/1185931833.db2.gz VLOCAYNDDXRNMF-KRWDZBQOSA-N 0 1 322.452 3.041 20 30 DGEDMN CC(C)CCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001502158193 1185966646 /nfs/dbraw/zinc/96/66/46/1185966646.db2.gz IKJJYNFJAWYWAR-UHFFFAOYSA-N 0 1 314.473 3.255 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2conc2C)[C@H](C)C1 ZINC001502966600 1186032082 /nfs/dbraw/zinc/03/20/82/1186032082.db2.gz FAJDMRHEMAPPCH-CZUORRHYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2conc2C)[C@@H](C)C1 ZINC001502966601 1186032972 /nfs/dbraw/zinc/03/29/72/1186032972.db2.gz FAJDMRHEMAPPCH-XJKSGUPXSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)/C(C)=C/CC ZINC001503667849 1186049396 /nfs/dbraw/zinc/04/93/96/1186049396.db2.gz LNMCTSFIFCRARS-SCFJZMLDSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C(C)=C/CC ZINC001503667849 1186049400 /nfs/dbraw/zinc/04/94/00/1186049400.db2.gz LNMCTSFIFCRARS-SCFJZMLDSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001505401417 1186068114 /nfs/dbraw/zinc/06/81/14/1186068114.db2.gz NOWIVQGKRQJDKQ-ZDUSSCGKSA-N 0 1 312.866 3.393 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1oc(C(F)F)cc1C ZINC001505433603 1186078338 /nfs/dbraw/zinc/07/83/38/1186078338.db2.gz APHBHTOQBYCIAG-CYBMUJFWSA-N 0 1 324.371 3.085 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(C)CCCCCC1 ZINC001505434359 1186078351 /nfs/dbraw/zinc/07/83/51/1186078351.db2.gz POKVWPMHWLAHAC-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001505588868 1186109505 /nfs/dbraw/zinc/10/95/05/1186109505.db2.gz RBEWHDGQPYUWKD-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(CC(C)C)CCC1 ZINC001505652635 1186118404 /nfs/dbraw/zinc/11/84/04/1186118404.db2.gz LESHNUVTOIHKST-KGLIPLIRSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001505671267 1186122286 /nfs/dbraw/zinc/12/22/86/1186122286.db2.gz PHKLQCUYLJEDLC-HGXPIFGLSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(F)c(Cl)c1 ZINC001505678133 1186123638 /nfs/dbraw/zinc/12/36/38/1186123638.db2.gz LGVXVQYXYDXXJY-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2cccc(F)c2o1 ZINC001505761220 1186135061 /nfs/dbraw/zinc/13/50/61/1186135061.db2.gz RLJPAZPQHOHOJC-QWRGUYRKSA-N 0 1 324.783 3.421 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001506065346 1186192793 /nfs/dbraw/zinc/19/27/93/1186192793.db2.gz NMXLTKDMYPMJPJ-KLAILNCOSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCc2ccc(C(C)C)cc2)C1 ZINC001506634986 1186264568 /nfs/dbraw/zinc/26/45/68/1186264568.db2.gz IIMMQRIAWBCONN-MRXNPFEDSA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001506680930 1186274142 /nfs/dbraw/zinc/27/41/42/1186274142.db2.gz GVEMIEUPYSUZLA-QGZVFWFLSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001506680928 1186274552 /nfs/dbraw/zinc/27/45/52/1186274552.db2.gz GVEMIEUPYSUZLA-KRWDZBQOSA-N 0 1 314.473 3.330 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)CCC)C2)C1 ZINC001506712082 1186276806 /nfs/dbraw/zinc/27/68/06/1186276806.db2.gz SJUQRDFQATWFRZ-SFHVURJKSA-N 0 1 310.482 3.060 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](CC)c2ccc(F)cc2)C(C)(C)C1 ZINC001506751783 1186288149 /nfs/dbraw/zinc/28/81/49/1186288149.db2.gz DWGSDAHLEBYXNI-SJORKVTESA-N 0 1 318.436 3.332 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C/C=C\c2ccc(C)cc2)C(C)(C)C1 ZINC001506757677 1186289696 /nfs/dbraw/zinc/28/96/96/1186289696.db2.gz UCHLTGKGQCLYCA-QULHZPMSSA-N 0 1 324.468 3.248 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC001506864286 1186297531 /nfs/dbraw/zinc/29/75/31/1186297531.db2.gz CQAQFROVJQPNFZ-PMGBHYCQSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001507019212 1186341568 /nfs/dbraw/zinc/34/15/68/1186341568.db2.gz VVXRTHRJTVBISZ-YVSFHVDLSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H]1CCc2ccccc21 ZINC001507139595 1186369748 /nfs/dbraw/zinc/36/97/48/1186369748.db2.gz XDCQLRQOSXECLA-HOCLYGCPSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H]1CCc2ccccc21 ZINC001507139593 1186370210 /nfs/dbraw/zinc/37/02/10/1186370210.db2.gz XDCQLRQOSXECLA-GDBMZVCRSA-N 0 1 320.864 3.296 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2c(C)cccn2c1 ZINC001507142304 1186370399 /nfs/dbraw/zinc/37/03/99/1186370399.db2.gz DCBSUMMGUOUUIQ-CQSZACIVSA-N 0 1 319.836 3.050 20 30 DGEDMN C[C@@H](CN(C)C(=O)C(C)(C)C)NCC#Cc1ccccc1Cl ZINC001507148105 1186372614 /nfs/dbraw/zinc/37/26/14/1186372614.db2.gz OKPISBLHLGMPHK-AWEZNQCLSA-N 0 1 320.864 3.174 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001507344836 1186396820 /nfs/dbraw/zinc/39/68/20/1186396820.db2.gz ZQHWLTYPFGTDLY-PZJWPPBQSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001507443271 1186430278 /nfs/dbraw/zinc/43/02/78/1186430278.db2.gz NOUICCJHPLOMGI-LBPRGKRZSA-N 0 1 319.276 3.488 20 30 DGEDMN CC(C)C#CC(=O)N(CCN(C)Cc1cccc(F)c1)C(C)C ZINC001507786624 1186443776 /nfs/dbraw/zinc/44/37/76/1186443776.db2.gz FLPDTZZJXGPYLM-UHFFFAOYSA-N 0 1 318.436 3.154 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)sc1C)C(C)C ZINC001507819228 1186446365 /nfs/dbraw/zinc/44/63/65/1186446365.db2.gz PGUMONNJXAHIAV-UHFFFAOYSA-N 0 1 306.475 3.171 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ncc(C)cc1C)C(C)(C)C ZINC001507983801 1186464872 /nfs/dbraw/zinc/46/48/72/1186464872.db2.gz GOWWAJXMBWPJAI-AWEZNQCLSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C[C@H](C(=O)N[C@H](C)C1CCN(CCF)CC1)c1ccccc1 ZINC001508069818 1186476535 /nfs/dbraw/zinc/47/65/35/1186476535.db2.gz PHRCTCIYKPWQMY-QAPCUYQASA-N 0 1 318.436 3.142 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CCN(Cc2conc2C)CC1 ZINC001508074516 1186478070 /nfs/dbraw/zinc/47/80/70/1186478070.db2.gz QALYRKJOOZYREI-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)[C@H]3CCCCN3CC)cc21 ZINC001611674867 1193439689 /nfs/dbraw/zinc/43/96/89/1193439689.db2.gz WSUPPQJEGUHPIC-MRXNPFEDSA-N 0 1 312.417 3.035 20 30 DGEDMN CC1(CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CCCC1 ZINC001611704345 1193440260 /nfs/dbraw/zinc/44/02/60/1193440260.db2.gz YQKWUDGWQKDSPM-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CCC1 ZINC001514868719 1186733353 /nfs/dbraw/zinc/73/33/53/1186733353.db2.gz MNAAYXYKLYZCCG-SMDDNHRTSA-N 0 1 306.431 3.432 20 30 DGEDMN N#C[C@@H](C(=O)c1ccn(-c2cccc(F)c2)n1)c1cccc(F)n1 ZINC001516711145 1186789975 /nfs/dbraw/zinc/78/99/75/1186789975.db2.gz RSOSDZVIGMIRAR-CYBMUJFWSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2cccc(F)c2)n1)c1cccc(F)n1 ZINC001516711145 1186789981 /nfs/dbraw/zinc/78/99/81/1186789981.db2.gz RSOSDZVIGMIRAR-CYBMUJFWSA-N 0 1 324.290 3.036 20 30 DGEDMN N#C[C@H](C(=O)c1ccn(-c2ccc(F)cc2)n1)c1cccc(F)n1 ZINC001516711539 1186790116 /nfs/dbraw/zinc/79/01/16/1186790116.db2.gz WOUHADOUMGRFQT-ZDUSSCGKSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccc(F)cc2)n1)c1cccc(F)n1 ZINC001516711539 1186790120 /nfs/dbraw/zinc/79/01/20/1186790120.db2.gz WOUHADOUMGRFQT-ZDUSSCGKSA-N 0 1 324.290 3.036 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSCc1cccc(C)c1 ZINC001517065162 1186800716 /nfs/dbraw/zinc/80/07/16/1186800716.db2.gz TUWMOJQTGKPHSC-OAHLLOKOSA-N 0 1 313.426 3.321 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCc2cc(Br)cc(C)c2C1 ZINC001602836452 1186815179 /nfs/dbraw/zinc/81/51/79/1186815179.db2.gz SHZKIMHPWMNGBK-AWEZNQCLSA-N 0 1 324.218 3.145 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1nc(C2CC2)cs1 ZINC001518387425 1186840604 /nfs/dbraw/zinc/84/06/04/1186840604.db2.gz MSJKQPSLSZTQHP-XOULXFPDSA-N 0 1 301.396 3.367 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1nc(C2CC2)cs1 ZINC001518387421 1186840650 /nfs/dbraw/zinc/84/06/50/1186840650.db2.gz MSJKQPSLSZTQHP-FSIBCCDJSA-N 0 1 301.396 3.367 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSc1ccc(F)cc1 ZINC001520036706 1186912896 /nfs/dbraw/zinc/91/28/96/1186912896.db2.gz PLTZXYWXLVLPDG-CYBMUJFWSA-N 0 1 303.362 3.011 20 30 DGEDMN C#CCN1CCC(OC(=O)CCc2c[nH]c3c2cccc3C)CC1 ZINC001520077748 1186916005 /nfs/dbraw/zinc/91/60/05/1186916005.db2.gz PTCBZFASLGSZGK-UHFFFAOYSA-N 0 1 324.424 3.050 20 30 DGEDMN CN1CCCC(C)(C)[C@@H]1CNc1cc(C#N)sc1[N+](=O)[O-] ZINC001612349047 1193450840 /nfs/dbraw/zinc/45/08/40/1193450840.db2.gz PBHOYMVTIPQTBL-LBPRGKRZSA-N 0 1 308.407 3.060 20 30 DGEDMN CCc1ccc(CN2CCN(c3cc(C)c(C#N)cn3)CC2)cc1 ZINC001612825258 1193461913 /nfs/dbraw/zinc/46/19/13/1193461913.db2.gz XIPLTUPZHSZPOQ-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN C=CC[C@H](Sc1nc2ccc(OCC)cc2[nH]1)C(=O)OC ZINC001613264013 1193469644 /nfs/dbraw/zinc/46/96/44/1193469644.db2.gz LMHFZQAUYBRLFB-ZDUSSCGKSA-N 0 1 306.387 3.171 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC001526809538 1187391218 /nfs/dbraw/zinc/39/12/18/1187391218.db2.gz SWKCKWMXKIHKPZ-HNNXBMFYSA-N 0 1 311.389 3.230 20 30 DGEDMN Cc1c(C(=O)N(C)[C@@H](C)c2cccc(C#N)c2)ccc2cncn21 ZINC001529665604 1187572388 /nfs/dbraw/zinc/57/23/88/1187572388.db2.gz GNALSVBBGNOBPB-ZDUSSCGKSA-N 0 1 318.380 3.348 20 30 DGEDMN CC(C)c1nc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cs1 ZINC001530616073 1187637296 /nfs/dbraw/zinc/63/72/96/1187637296.db2.gz ZXGXMHLQEMYMER-UHFFFAOYSA-N 0 1 311.370 3.267 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2cccc(F)c2)CC1 ZINC001615181164 1193517222 /nfs/dbraw/zinc/51/72/22/1193517222.db2.gz NPBAZZWOQQLIAS-KRWDZBQOSA-N 0 1 305.393 3.198 20 30 DGEDMN CCCCCCO[C@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001534068055 1187873018 /nfs/dbraw/zinc/87/30/18/1187873018.db2.gz SLSADESDFZFACY-GFCCVEGCSA-N 0 1 314.389 3.358 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)/C=C/SCc3ccco3)[nH]c2c1 ZINC001534067642 1187873141 /nfs/dbraw/zinc/87/31/41/1187873141.db2.gz BBAXKUKGFMLEMT-FNORWQNLSA-N 0 1 324.365 3.413 20 30 DGEDMN CC(C)[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1cccnc1 ZINC001534067826 1187873431 /nfs/dbraw/zinc/87/34/31/1187873431.db2.gz JIJGFXNEXPKFIV-MRXNPFEDSA-N 0 1 319.368 3.208 20 30 DGEDMN N#Cc1ccsc1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001534185078 1187881213 /nfs/dbraw/zinc/88/12/13/1187881213.db2.gz XBESZBWPUGEJGD-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN CC(C)c1nsc(NC(=O)c2c(F)cc(C#N)cc2F)n1 ZINC001537493227 1188078550 /nfs/dbraw/zinc/07/85/50/1188078550.db2.gz FOAJHINNWRIFKC-UHFFFAOYSA-N 0 1 308.313 3.064 20 30 DGEDMN C#Cc1cccc(NC(=O)c2cc(-c3ccccc3O)n[nH]2)c1 ZINC001540259943 1188226854 /nfs/dbraw/zinc/22/68/54/1188226854.db2.gz GSALHYRBTJBWEM-UHFFFAOYSA-N 0 1 303.321 3.016 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)Nc2sccc2C#N)n[nH]1 ZINC001563025037 1188876839 /nfs/dbraw/zinc/87/68/39/1188876839.db2.gz YJUMXJZWIHDBMK-BDAKNGLRSA-N 0 1 318.406 3.134 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC001557333724 1189057997 /nfs/dbraw/zinc/05/79/97/1189057997.db2.gz DLPJGXQXRWSFAM-KSZLIROESA-N 0 1 312.457 3.156 20 30 DGEDMN CN(Cc1cnc[nH]1)C(=O)c1ccc(C#Cc2ccccc2)cc1 ZINC001557464683 1189065738 /nfs/dbraw/zinc/06/57/38/1189065738.db2.gz IGRIKIRHTRSTAJ-UHFFFAOYSA-N 0 1 315.376 3.082 20 30 DGEDMN C=C[C@H](CC(=O)NC1CN(C[C@H](C)CC)C1)c1ccccc1 ZINC001567818182 1189130035 /nfs/dbraw/zinc/13/00/35/1189130035.db2.gz DMNHBSJTVHXTOU-HZPDHXFCSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCN(C(=O)c1cc(CC)[nH]n1)[C@@H]1CCCc2ccccc21 ZINC001558543007 1189132334 /nfs/dbraw/zinc/13/23/34/1189132334.db2.gz PPZCFGRFPSZTTI-GOSISDBHSA-N 0 1 307.397 3.125 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)CC3CCCCC3)n2)c1 ZINC001559045382 1189165290 /nfs/dbraw/zinc/16/52/90/1189165290.db2.gz PHIKYJGKBFVOGK-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CC(C)(C)C1(C)C ZINC001559581935 1189220975 /nfs/dbraw/zinc/22/09/75/1189220975.db2.gz UEOZWLIDEOXXAO-HVEKOLDVSA-N 0 1 319.493 3.426 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(Cc1ccc(CF)cc1)C2 ZINC001560200846 1189290102 /nfs/dbraw/zinc/29/01/02/1189290102.db2.gz OYSBGVITLYKSLK-UHFFFAOYSA-N 0 1 301.390 3.230 20 30 DGEDMN CN(Cc1cccc(F)c1C#N)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001560877622 1189399131 /nfs/dbraw/zinc/39/91/31/1189399131.db2.gz YCDGFYCKJGNARU-KRWDZBQOSA-N 0 1 321.359 3.037 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CCN1Cc1ccccc1 ZINC001560884630 1189400114 /nfs/dbraw/zinc/40/01/14/1189400114.db2.gz BOFLGLXRUMTJCW-LJQANCHMSA-N 0 1 304.437 3.244 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c[nH]c(C(=O)OCc3ccccc3)c2)C1 ZINC001560906248 1189403451 /nfs/dbraw/zinc/40/34/51/1189403451.db2.gz GKQLGKQGQKZWMT-INIZCTEOSA-N 0 1 322.408 3.217 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CSC(C)C ZINC001565959122 1189707522 /nfs/dbraw/zinc/70/75/22/1189707522.db2.gz PEHQGJACLNWZGE-OCCSQVGLSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@H]1CCN(CCF)[C@@H]1CC)c1ccccc1 ZINC001566029025 1189718807 /nfs/dbraw/zinc/71/88/07/1189718807.db2.gz OMOJOFVMWYHDRT-RYQLBKOJSA-N 0 1 318.436 3.285 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@@H](NCc2ocnc2C)C1 ZINC001566072727 1189726924 /nfs/dbraw/zinc/72/69/24/1189726924.db2.gz ZLDVEEVVZPQEEL-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001566664463 1189847787 /nfs/dbraw/zinc/84/77/87/1189847787.db2.gz OKKDKFCKSSVPEJ-FUHWJXTLSA-N 0 1 316.420 3.360 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001566674063 1189853250 /nfs/dbraw/zinc/85/32/50/1189853250.db2.gz CGCPRBXCUZLQQT-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1c(F)cccc1F)C1CC1 ZINC001566719946 1189873239 /nfs/dbraw/zinc/87/32/39/1189873239.db2.gz PCWCKFQBCBENPW-INIZCTEOSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1cccs1)C1CC1 ZINC001566724697 1189875500 /nfs/dbraw/zinc/87/55/00/1189875500.db2.gz OGVYBQFLNIXDBY-WCQYABFASA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(CCF)CC2)C1 ZINC001566741989 1189885538 /nfs/dbraw/zinc/88/55/38/1189885538.db2.gz NBBOHUMIXUFYAX-CQSZACIVSA-N 0 1 316.848 3.049 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN(C)Cc2cnc(C)o2)C1 ZINC001566742229 1189886274 /nfs/dbraw/zinc/88/62/74/1189886274.db2.gz RLGJUYYVDBJHFG-MRXNPFEDSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001566800943 1189905280 /nfs/dbraw/zinc/90/52/80/1189905280.db2.gz LJDBVEAKTHBMNZ-RBSFLKMASA-N 0 1 321.490 3.099 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001566849491 1189921863 /nfs/dbraw/zinc/92/18/63/1189921863.db2.gz LJDBVEAKTHBMNZ-SOUVJXGZSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1cccc(F)c1 ZINC001566918845 1189951625 /nfs/dbraw/zinc/95/16/25/1189951625.db2.gz ZEMJTHFDIQJZGD-KRWDZBQOSA-N 0 1 304.409 3.263 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCCCN1Cc1ccsc1 ZINC001566921959 1189952989 /nfs/dbraw/zinc/95/29/89/1189952989.db2.gz ULEJUXVEQCJKQN-INIZCTEOSA-N 0 1 318.486 3.268 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)NCc1cc(Cl)ccc1F ZINC001567010138 1189992309 /nfs/dbraw/zinc/99/23/09/1189992309.db2.gz NKOZESNHIMQQAW-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1cccc(Cl)c1F ZINC001567020163 1189996948 /nfs/dbraw/zinc/99/69/48/1189996948.db2.gz NSADWSMKTGYWEI-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC1CC(c2ccccc2)C1 ZINC001567029891 1190001822 /nfs/dbraw/zinc/00/18/22/1190001822.db2.gz ULIMSFGJCNURRJ-UQPPLGOBSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(C)C)nc1CC ZINC001567054670 1190011537 /nfs/dbraw/zinc/01/15/37/1190011537.db2.gz WRUNXYRCDDNVLE-ZDUSSCGKSA-N 0 1 323.868 3.228 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001567066459 1190017667 /nfs/dbraw/zinc/01/76/67/1190017667.db2.gz XRRXTOMHWCKWHO-FXAWDEMLSA-N 0 1 314.473 3.349 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001567078642 1190024158 /nfs/dbraw/zinc/02/41/58/1190024158.db2.gz DUSCBWSXQGZZKC-CYBMUJFWSA-N 0 1 317.820 3.142 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001567350203 1190145266 /nfs/dbraw/zinc/14/52/66/1190145266.db2.gz FQBSGIXQZZFPNF-LJQANCHMSA-N 0 1 314.473 3.278 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@H](C)c1cccc(F)c1 ZINC001567366946 1190154984 /nfs/dbraw/zinc/15/49/84/1190154984.db2.gz SCMZGHKZQQQZQM-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001567380667 1190160998 /nfs/dbraw/zinc/16/09/98/1190160998.db2.gz UJOFPQULMGZTSC-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN(CCNC(=O)CC[C@@H]1CCc2ccccc21)C1CC1 ZINC001567455600 1190203854 /nfs/dbraw/zinc/20/38/54/1190203854.db2.gz DDWKJZSVMFQRRD-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1ccc(Cl)cc1OC ZINC001567544544 1190215464 /nfs/dbraw/zinc/21/54/64/1190215464.db2.gz GFTCEQMMNBKAPQ-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(CC(C)C)CCCC1 ZINC001567577261 1190220847 /nfs/dbraw/zinc/22/08/47/1190220847.db2.gz KNQAJWAZRANFNV-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(Cc2ccns2)C(C)C)C1 ZINC001567648608 1190235872 /nfs/dbraw/zinc/23/58/72/1190235872.db2.gz ALGUIYBMWNPFHJ-UHFFFAOYSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(C2CC2)cc1)c1ccccc1 ZINC001567666055 1190243074 /nfs/dbraw/zinc/24/30/74/1190243074.db2.gz QXMHILDLLFXGIA-HXUWFJFHSA-N 0 1 318.420 3.258 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccccc2)C1 ZINC001567688544 1190254024 /nfs/dbraw/zinc/25/40/24/1190254024.db2.gz JJBNAHJFUJUFLU-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN CC#CCCCC(=O)NCC1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001567837389 1190315650 /nfs/dbraw/zinc/31/56/50/1190315650.db2.gz IAOVFUUSXZMRKP-HNNXBMFYSA-N 0 1 316.420 3.128 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001567972730 1190382276 /nfs/dbraw/zinc/38/22/76/1190382276.db2.gz UUVNZNHZSMOXDT-CQSZACIVSA-N 0 1 319.836 3.327 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001568105152 1190422490 /nfs/dbraw/zinc/42/24/90/1190422490.db2.gz AOSZLRMTJXUYLE-HDJSIYSDSA-N 0 1 307.463 3.016 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)CCC2CCCC2)C1 ZINC001568213199 1190453220 /nfs/dbraw/zinc/45/32/20/1190453220.db2.gz NOMHJMFCPBJHIB-WMZOPIPTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C(C)(C)CC(F)(F)F)CC2 ZINC001568252466 1190463247 /nfs/dbraw/zinc/46/32/47/1190463247.db2.gz KBBNEDZIQASOPO-UHFFFAOYSA-N 0 1 318.383 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C#CC(C)(C)C)C1CCCCC1 ZINC001568341942 1190497590 /nfs/dbraw/zinc/49/75/90/1190497590.db2.gz DZOTWTSINQERLL-MRXNPFEDSA-N 0 1 324.896 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@@H](C)NCc2ncc(C)o2)C1 ZINC001568406017 1190512447 /nfs/dbraw/zinc/51/24/47/1190512447.db2.gz BTCDHWWFWXQMAQ-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CCc1ccco1 ZINC001568419307 1190516008 /nfs/dbraw/zinc/51/60/08/1190516008.db2.gz NVERGFJYLNXBDB-BBRMVZONSA-N 0 1 324.852 3.181 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001568442328 1190523210 /nfs/dbraw/zinc/52/32/10/1190523210.db2.gz UEKNXIZKDAKKJW-GOSISDBHSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C1CCC1)C1CCC1 ZINC001568769982 1190587195 /nfs/dbraw/zinc/58/71/95/1190587195.db2.gz REKBAIRZLWCCTP-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2nc(C)c(C)s2)C1 ZINC001568775407 1190587524 /nfs/dbraw/zinc/58/75/24/1190587524.db2.gz XEDNBHZHFQWMBS-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN CC1(C)CC(CC(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001568906201 1190598677 /nfs/dbraw/zinc/59/86/77/1190598677.db2.gz NJZJGTWMXPRBPY-VOTSOKGWSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccc(CC(C)C)cc1 ZINC001569005319 1190607179 /nfs/dbraw/zinc/60/71/79/1190607179.db2.gz YJNMNDUTUUWNLG-OAHLLOKOSA-N 0 1 322.880 3.274 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1oc(CCC)nc1C ZINC001569011151 1190607568 /nfs/dbraw/zinc/60/75/68/1190607568.db2.gz DPOLIMOEGYROSS-CYBMUJFWSA-N 0 1 321.465 3.132 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1C1(C)CC1 ZINC001569018807 1190609120 /nfs/dbraw/zinc/60/91/20/1190609120.db2.gz FZEKUPKCXYGJFL-CYBMUJFWSA-N 0 1 306.837 3.199 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001569024990 1190609945 /nfs/dbraw/zinc/60/99/45/1190609945.db2.gz GBUNMKUOMMPCSN-KGLIPLIRSA-N 0 1 308.853 3.199 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1c(F)cccc1Cl ZINC001569074358 1190616844 /nfs/dbraw/zinc/61/68/44/1190616844.db2.gz RSRPLGOAPCQQKP-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C(C)(C)c1ccccc1Cl ZINC001569146815 1190641794 /nfs/dbraw/zinc/64/17/94/1190641794.db2.gz LVWDMWYGQLHOCR-AWEZNQCLSA-N 0 1 320.864 3.077 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(Cl)cc(Cl)c1 ZINC001569148364 1190642919 /nfs/dbraw/zinc/64/29/19/1190642919.db2.gz FESDBUQLUFBDQM-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCNC/C(Cl)=C/Cl)C1 ZINC001569238997 1190655984 /nfs/dbraw/zinc/65/59/84/1190655984.db2.gz YFUPAWBXNDLJSZ-XFXZXTDPSA-N 0 1 305.249 3.100 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCCC2(CC2)C1)C1CC1 ZINC001569303483 1190662636 /nfs/dbraw/zinc/66/26/36/1190662636.db2.gz QGACPFJHNROTAR-CABCVRRESA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(C)c(F)cc1F ZINC001569362612 1190675989 /nfs/dbraw/zinc/67/59/89/1190675989.db2.gz DRGWIJWPPJAQAD-KGLIPLIRSA-N 0 1 322.399 3.432 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001569378346 1190684963 /nfs/dbraw/zinc/68/49/63/1190684963.db2.gz PQOIGVGAQZSMGR-YJBOKZPZSA-N 0 1 300.446 3.119 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccccc2C)CC1 ZINC001569432209 1190697252 /nfs/dbraw/zinc/69/72/52/1190697252.db2.gz OEVGNLYBWKVPMQ-AWEZNQCLSA-N 0 1 306.837 3.089 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CC(C)(C)C(F)(F)F)C1 ZINC001569504411 1190709955 /nfs/dbraw/zinc/70/99/55/1190709955.db2.gz LDGCGLFWOKTWMH-GFCCVEGCSA-N 0 1 320.399 3.462 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC=CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001569582094 1190719885 /nfs/dbraw/zinc/71/98/85/1190719885.db2.gz VMGLUUWLFBUOFG-BDJZEXMMSA-N 0 1 320.864 3.021 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCCC)C1CCN(C[C@H](F)CC)CC1 ZINC001569586188 1190720341 /nfs/dbraw/zinc/72/03/41/1190720341.db2.gz AHWHSMJAXKNSJQ-DLBZAZTESA-N 0 1 324.484 3.487 20 30 DGEDMN C#CCN(C(=O)[C@H](CCC)C(C)C)C1CCN(CC#CC)CC1 ZINC001569593985 1190720815 /nfs/dbraw/zinc/72/08/15/1190720815.db2.gz SLTZVGLKLGMOSI-LJQANCHMSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2ncccc2C)C1 ZINC001569647019 1190732148 /nfs/dbraw/zinc/73/21/48/1190732148.db2.gz WUFAYBCRWVWWBW-APWZRJJASA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C/C=C/Cc2ccccc2)C1 ZINC001569716396 1190747850 /nfs/dbraw/zinc/74/78/50/1190747850.db2.gz XDGQYRFKEGNHMQ-QQUYBBDPSA-N 0 1 324.468 3.027 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)C(C)C)c1ccccc1CC ZINC001569801677 1190755677 /nfs/dbraw/zinc/75/56/77/1190755677.db2.gz GGEMTAJORSFQFA-APWZRJJASA-N 0 1 314.473 3.311 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(CC(C)C)CC(C)C ZINC001569915625 1190773893 /nfs/dbraw/zinc/77/38/93/1190773893.db2.gz SWUJVJHLGLDCRH-MOPGFXCFSA-N 0 1 318.505 3.393 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(CCCC)CC1 ZINC001570028104 1190783352 /nfs/dbraw/zinc/78/33/52/1190783352.db2.gz JLGMXHYCZINVRL-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccccc1C ZINC001570025832 1190783753 /nfs/dbraw/zinc/78/37/53/1190783753.db2.gz OKWDHGWTUYWHCK-UHFFFAOYSA-N 0 1 320.436 3.380 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@H](CC)OC)cc1 ZINC001570029650 1190784798 /nfs/dbraw/zinc/78/47/98/1190784798.db2.gz FQOHRTHCQGCYJV-CJNGLKHVSA-N 0 1 324.852 3.131 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CCCC3CC3)C2)CC1 ZINC001570054824 1190788434 /nfs/dbraw/zinc/78/84/34/1190788434.db2.gz GBXAEZPHWMLLIY-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CC(C)(C)C)cccc2C1 ZINC001570078279 1190791115 /nfs/dbraw/zinc/79/11/15/1190791115.db2.gz RLSKQPHWNDKDIG-UHFFFAOYSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001570089829 1190793132 /nfs/dbraw/zinc/79/31/32/1190793132.db2.gz RUECZLOFTZPXNG-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C1 ZINC001570119995 1190800118 /nfs/dbraw/zinc/80/01/18/1190800118.db2.gz TTZMEBNXXITMAJ-HKUYNNGSSA-N 0 1 324.468 3.103 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C1 ZINC001570119996 1190800243 /nfs/dbraw/zinc/80/02/43/1190800243.db2.gz TTZMEBNXXITMAJ-IEBWSBKVSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)c2ccccc2)CCC1 ZINC001570126186 1190801253 /nfs/dbraw/zinc/80/12/53/1190801253.db2.gz DXQAEZZLMNQQNA-UHFFFAOYSA-N 0 1 320.864 3.345 20 30 DGEDMN C=CCCCC(=O)N1CCC(C)(NCc2ncc(CC)o2)CC1 ZINC001570379450 1190847435 /nfs/dbraw/zinc/84/74/35/1190847435.db2.gz PBCUGYPSMMMJCN-UHFFFAOYSA-N 0 1 319.449 3.064 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cccc(C)c1 ZINC001570518972 1190873809 /nfs/dbraw/zinc/87/38/09/1190873809.db2.gz VVROCZKBZLKYBL-WOJBJXKFSA-N 0 1 318.420 3.004 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(C)nc1C(C)C ZINC001570536359 1190878941 /nfs/dbraw/zinc/87/89/41/1190878941.db2.gz NQCFXTJCNDQJBU-INIZCTEOSA-N 0 1 315.461 3.236 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1cccc(CC)c1 ZINC001570614423 1190901933 /nfs/dbraw/zinc/90/19/33/1190901933.db2.gz NYUQZWADUFBTGY-KGLIPLIRSA-N 0 1 308.853 3.027 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001570628843 1190905188 /nfs/dbraw/zinc/90/51/88/1190905188.db2.gz XAEPBICMHJXGGN-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2[nH]ccc2c1 ZINC001570630717 1190906058 /nfs/dbraw/zinc/90/60/58/1190906058.db2.gz LADQZJLRAVQJDV-RYUDHWBXSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(Cl)cc1C ZINC001570631755 1190906405 /nfs/dbraw/zinc/90/64/05/1190906405.db2.gz YXZRQBKDMQBWDZ-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1cn(C(C)C)nc1C ZINC001570714631 1190928159 /nfs/dbraw/zinc/92/81/59/1190928159.db2.gz SFMQKQVATLCIAV-HNNXBMFYSA-N 0 1 320.481 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc(C)cc(C)n1 ZINC001570810762 1190954210 /nfs/dbraw/zinc/95/42/10/1190954210.db2.gz WIZJLIYIMOOARP-HNNXBMFYSA-N 0 1 323.868 3.329 20 30 DGEDMN CCCC[C@@H](CNCc1ccccc1C#N)NC(=O)C(C)(C)C ZINC001570814150 1190954844 /nfs/dbraw/zinc/95/48/44/1190954844.db2.gz NDKBFPBUETWWCP-KRWDZBQOSA-N 0 1 315.461 3.369 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C(C)(C)C1CCC1 ZINC001570823110 1190958826 /nfs/dbraw/zinc/95/88/26/1190958826.db2.gz RETKPEHRXHSRMO-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001570845254 1190964207 /nfs/dbraw/zinc/96/42/07/1190964207.db2.gz FQFVXJXFCKPFRX-ZDUSSCGKSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001570852092 1190966013 /nfs/dbraw/zinc/96/60/13/1190966013.db2.gz NYIKNDKGNGXPFG-QWHCGFSZSA-N 0 1 306.837 3.066 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@@H](CC)CCCC)C2)C1 ZINC001570882358 1190969264 /nfs/dbraw/zinc/96/92/64/1190969264.db2.gz RJYZAIOSPROONA-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C/C=C(\C)C(=O)N1CC[C@@]2(C1)CN(CCCCCCC)CCO2 ZINC001570882176 1190969423 /nfs/dbraw/zinc/96/94/23/1190969423.db2.gz NYAPFARPKSSANJ-ZHDLZBQGSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@]2(C1)CN(CCCCCC)CCO2 ZINC001570884374 1190969819 /nfs/dbraw/zinc/96/98/19/1190969819.db2.gz JILBBGPFSDHTSL-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H](C)Cc1ccco1 ZINC001571370201 1191004363 /nfs/dbraw/zinc/00/43/63/1191004363.db2.gz QCILXBGILISQDI-BLLLJJGKSA-N 0 1 324.852 3.037 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001571399110 1191013764 /nfs/dbraw/zinc/01/37/64/1191013764.db2.gz KJQSHLDZIDMEGZ-NSHDSACASA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001571408741 1191017762 /nfs/dbraw/zinc/01/77/62/1191017762.db2.gz XLVRKCLTRPJJRF-DOTOQJQBSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C[C@H](C(=O)N(C)C[C@H](C)NCC(=C)Cl)c1ccccc1 ZINC001571417789 1191020499 /nfs/dbraw/zinc/02/04/99/1191020499.db2.gz KAWALHWYNKHEIV-HOCLYGCPSA-N 0 1 306.837 3.145 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001571479363 1191027875 /nfs/dbraw/zinc/02/78/75/1191027875.db2.gz PJIUQJGSRBAKDF-NWDGAFQWSA-N 0 1 305.249 3.241 20 30 DGEDMN C=C[C@H](C(=O)N[C@@]12CCC[C@H]1N(CCF)CC2)c1ccccc1 ZINC001571505079 1191035069 /nfs/dbraw/zinc/03/50/69/1191035069.db2.gz MSHNLLHNNXQJBF-YQVWRLOYSA-N 0 1 316.420 3.039 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC1CCC(C)(C)CC1)C(C)C ZINC001571616693 1191064265 /nfs/dbraw/zinc/06/42/65/1191064265.db2.gz KHAOAJOQXIPSOJ-UHFFFAOYSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCCC1CC1)C(C)(C)C ZINC001571630140 1191068493 /nfs/dbraw/zinc/06/84/93/1191068493.db2.gz AQHBJZLHEDIWLN-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2ccc(F)cc2)CC1 ZINC001571683187 1191081675 /nfs/dbraw/zinc/08/16/75/1191081675.db2.gz XURDESRJCVJFHD-UHFFFAOYSA-N 0 1 302.393 3.040 20 30 DGEDMN C#CCSc1ccc(COC(=O)C2(N)CC3(CCC3)C2)cc1 ZINC001574759716 1191449794 /nfs/dbraw/zinc/44/97/94/1191449794.db2.gz OPEPTIGGANVLAH-UHFFFAOYSA-N 0 1 315.438 3.117 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(N2CCCC[C@@H]2C)cc1C ZINC001574970456 1191460464 /nfs/dbraw/zinc/46/04/64/1191460464.db2.gz WDNCVHQIKRKVAP-RDJZCZTQSA-N 0 1 313.445 3.053 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC001574970131 1191460857 /nfs/dbraw/zinc/46/08/57/1191460857.db2.gz PWZAYFVMPIQYME-INIZCTEOSA-N 0 1 302.443 3.401 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(Cc1c[nH]nn1)Cc1ccccc1 ZINC001575900810 1191515993 /nfs/dbraw/zinc/51/59/93/1191515993.db2.gz YDZILLLIQCRKOE-HNNXBMFYSA-N 0 1 317.396 3.440 20 30 DGEDMN CC(C)(C)c1csc(NC(=O)N2CCNC(C)(C)C2)c1C#N ZINC001576453164 1191804522 /nfs/dbraw/zinc/80/45/22/1191804522.db2.gz LYEDLUKVEQPLGA-UHFFFAOYSA-N 0 1 320.462 3.133 20 30 DGEDMN CN(CCCN(C(=O)OC(C)(C)C)C1CC1)[C@H]1CCC[C@H]1C#N ZINC001620487905 1193772215 /nfs/dbraw/zinc/77/22/15/1193772215.db2.gz IZSNSNBQAQFVNE-HOCLYGCPSA-N 0 1 321.465 3.400 20 30 DGEDMN Cn1cc(Cl)c(CNC(C)(C)Cc2ccc(C#N)cc2)n1 ZINC001621077656 1193798979 /nfs/dbraw/zinc/79/89/79/1193798979.db2.gz LWIBHJSJRQXGTN-UHFFFAOYSA-N 0 1 302.809 3.056 20 30 DGEDMN CC[C@H](CC#N)N[C@@H]1CCN(C(=O)OC(C)(C)C)C12CCC2 ZINC001621227040 1193818403 /nfs/dbraw/zinc/81/84/03/1193818403.db2.gz JLZDQOMYFASKMJ-ZIAGYGMSSA-N 0 1 307.438 3.200 20 30 DGEDMN Cc1cc(CN(C)[C@H](c2nnc[nH]2)c2ccccc2)ccc1C#N ZINC001621382892 1193835973 /nfs/dbraw/zinc/83/59/73/1193835973.db2.gz ZOYIGSZAOKATLE-SFHVURJKSA-N 0 1 317.396 3.206 20 30 DGEDMN Cc1ccccc1CCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001623605190 1193940639 /nfs/dbraw/zinc/94/06/39/1193940639.db2.gz UBJAJVXAIKXKLU-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCN(C3CCCCC3)CC2)cc1 ZINC001623620921 1193941820 /nfs/dbraw/zinc/94/18/20/1193941820.db2.gz WNFCCIJPCDKWGB-UHFFFAOYSA-N 0 1 324.468 3.077 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1 ZINC001625135094 1194034575 /nfs/dbraw/zinc/03/45/75/1194034575.db2.gz KBDHXKHPEHEBPG-JKSUJKDBSA-N 0 1 316.364 3.485 20 30 DGEDMN C=CC1(CC(=O)Nc2nc(-c3ccccn3)n[nH]2)CCCCC1 ZINC001625347359 1194045436 /nfs/dbraw/zinc/04/54/36/1194045436.db2.gz UBCTVUQBVFGHCR-UHFFFAOYSA-N 0 1 311.389 3.332 20 30 DGEDMN C=C[C@H](C(=O)Nc1cnn(-c2ccncc2)c1)c1ccccc1 ZINC001626747313 1194093413 /nfs/dbraw/zinc/09/34/13/1194093413.db2.gz RJOJBPIKFPMKKC-KRWDZBQOSA-N 0 1 304.353 3.176 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3c(c2)CCCC3=O)cc1O ZINC001627342701 1194124021 /nfs/dbraw/zinc/12/40/21/1194124021.db2.gz XXUFOIYVZXDJHZ-UHFFFAOYSA-N 0 1 306.321 3.035 20 30 DGEDMN C=C(CC)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC001628342382 1194173674 /nfs/dbraw/zinc/17/36/74/1194173674.db2.gz UYJMRHLZBOVWPL-ULQDDVLXSA-N 0 1 300.446 3.463 20 30 DGEDMN Cc1cc(-c2ncco2)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001628436542 1194178162 /nfs/dbraw/zinc/17/81/62/1194178162.db2.gz CVHZBYBGXBXDFH-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1cccc2nc[nH]c21 ZINC001631141549 1194321625 /nfs/dbraw/zinc/32/16/25/1194321625.db2.gz JQGOHCKDMMHKRJ-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)[C@H](C)N2CCc3ccccc3C2)C1 ZINC001632373160 1194385327 /nfs/dbraw/zinc/38/53/27/1194385327.db2.gz CCVHQULBFJTLPQ-IRXDYDNUSA-N 0 1 312.457 3.248 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2cccc(O)c2F)c1 ZINC001633875649 1194461047 /nfs/dbraw/zinc/46/10/47/1194461047.db2.gz LGWMCQUHKAINJX-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN COc1cc(C(=O)Nc2cc3cn[nH]c3cc2C)ccc1C#N ZINC001634009668 1194469864 /nfs/dbraw/zinc/46/98/64/1194469864.db2.gz SEXSEOCNVQSUOF-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=C(C)CCNC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001635426094 1194551533 /nfs/dbraw/zinc/55/15/33/1194551533.db2.gz ADOPZJNUUCERBL-UHFFFAOYSA-N 0 1 310.401 3.013 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@H]2c2cc(F)ccc2F)c1 ZINC001635506804 1194555322 /nfs/dbraw/zinc/55/53/22/1194555322.db2.gz UPDJLYFSKCZUNG-ZFWWWQNUSA-N 0 1 314.291 3.050 20 30 DGEDMN CCCCCCCCCC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC001635683401 1194565834 /nfs/dbraw/zinc/56/58/34/1194565834.db2.gz YJJUYOOPLZMTBF-CALCHBBNSA-N 0 1 312.498 3.041 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC001635805068 1194573350 /nfs/dbraw/zinc/57/33/50/1194573350.db2.gz FYYQHPOKTXHUMT-UHFFFAOYSA-N 0 1 303.365 3.424 20 30 DGEDMN CCCCCCCCCCC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001636501532 1194616643 /nfs/dbraw/zinc/61/66/43/1194616643.db2.gz HIDRFCUKPSEABG-CQSZACIVSA-N 0 1 307.442 3.046 20 30 DGEDMN CCCCCCCCCCC(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001636501532 1194616645 /nfs/dbraw/zinc/61/66/45/1194616645.db2.gz HIDRFCUKPSEABG-CQSZACIVSA-N 0 1 307.442 3.046 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC001637543859 1194675046 /nfs/dbraw/zinc/67/50/46/1194675046.db2.gz QGBYTLPKBZJRRB-UHFFFAOYSA-N 0 1 324.336 3.007 20 30 DGEDMN CC(C)(C(=O)Nc1cc(C#N)ccc1O)c1ccc(F)cc1F ZINC001637619883 1194678519 /nfs/dbraw/zinc/67/85/19/1194678519.db2.gz DKSNUXRGYDTJMB-UHFFFAOYSA-N 0 1 316.307 3.458 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)c2ncc3ccccc3c2O)c1 ZINC001637919539 1194693819 /nfs/dbraw/zinc/69/38/19/1194693819.db2.gz DYKQPLUDXCITHJ-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CSc1ccc(O)c(C(=O)N2CCc3cc(C#N)ccc32)c1 ZINC001638931996 1194744302 /nfs/dbraw/zinc/74/43/02/1194744302.db2.gz RIWYHYMYWUMURX-UHFFFAOYSA-N 0 1 310.378 3.189 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C[C@H]3C=CCCC3)n2)c1 ZINC001639135708 1194752916 /nfs/dbraw/zinc/75/29/16/1194752916.db2.gz OXSUSGRIZAJTCU-LBPRGKRZSA-N 0 1 307.357 3.028 20 30 DGEDMN C=C[C@@H](CC(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1)c1ccccc1 ZINC001639405495 1194763904 /nfs/dbraw/zinc/76/39/04/1194763904.db2.gz FAQXQVWMZRIMNN-BBWFWOEESA-N 0 1 300.446 3.287 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)c(C)c2)C1 ZINC001671193465 1194813832 /nfs/dbraw/zinc/81/38/32/1194813832.db2.gz SYCQLNYVZOCCGN-INIZCTEOSA-N 0 1 300.446 3.414 20 30 DGEDMN N#C[C@@H](CCc1ccccc1)Sc1nc(-c2cccnc2)n[nH]1 ZINC001640873603 1194830609 /nfs/dbraw/zinc/83/06/09/1194830609.db2.gz UNMFHMMXDDKDLL-OAHLLOKOSA-N 0 1 321.409 3.484 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001641354043 1194849839 /nfs/dbraw/zinc/84/98/39/1194849839.db2.gz ONORTMSZSKBJDB-NFAWXSAZSA-N 0 1 301.434 3.399 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(Nc4ccccc4)CC3)[nH]c2c1 ZINC001641796955 1194871397 /nfs/dbraw/zinc/87/13/97/1194871397.db2.gz PVZMNGOJLYYSNU-UHFFFAOYSA-N 0 1 317.352 3.018 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCCN2CCc3ccccc3C2)c1 ZINC001642044536 1194885686 /nfs/dbraw/zinc/88/56/86/1194885686.db2.gz CVWVEMOKIKLSGX-UHFFFAOYSA-N 0 1 309.388 3.476 20 30 DGEDMN CC[C@@H](C)N1CCN(c2nc(C(C)(C)C)ccc2C#N)CC1 ZINC001642693030 1194929872 /nfs/dbraw/zinc/92/98/72/1194929872.db2.gz HYPYBLWYCWIUPF-CQSZACIVSA-N 0 1 300.450 3.171 20 30 DGEDMN C[Si](C)(C)CCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001642732821 1194933398 /nfs/dbraw/zinc/93/33/98/1194933398.db2.gz NLXXJOMHTAJXPM-UHFFFAOYSA-N 0 1 300.438 3.491 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(CCC(=C)C)C2)CCCCC1 ZINC001671206172 1194951837 /nfs/dbraw/zinc/95/18/37/1194951837.db2.gz KDLCBEKINCBXQB-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN Cc1cc(Oc2ccccc2)ccc1C(=O)NCC#CCN(C)C ZINC001643409296 1195021391 /nfs/dbraw/zinc/02/13/91/1195021391.db2.gz CRTQBOCEAYSMCU-UHFFFAOYSA-N 0 1 322.408 3.082 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@@H]3CCCC[C@@H]32)c1C#N ZINC001643911027 1195063334 /nfs/dbraw/zinc/06/33/34/1195063334.db2.gz YMMHKSDLZDXBBI-KSSFIOAISA-N 0 1 312.413 3.499 20 30 DGEDMN COc1ncc(NC(=O)c2ccc3ccccc3c2O)cc1C#N ZINC001644426741 1195107990 /nfs/dbraw/zinc/10/79/90/1195107990.db2.gz LLWGXZQFLWXZLA-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CC=C(C)CC1 ZINC001644706972 1195128092 /nfs/dbraw/zinc/12/80/92/1195128092.db2.gz XSCSAIFXVWHPBR-ZIFCJYIRSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(CC)CN1CCN(C(=O)Cc2cccc(Cl)c2)[C@@H](C)C1 ZINC001644713778 1195128925 /nfs/dbraw/zinc/12/89/25/1195128925.db2.gz SUBCCMRDBGHJIY-HNNXBMFYSA-N 0 1 320.864 3.381 20 30 DGEDMN N#Cc1ccnc(C[N@@H+]2CCC[C@@H]2C[C@@H](O)c2cccs2)c1 ZINC001644743482 1195130699 /nfs/dbraw/zinc/13/06/99/1195130699.db2.gz HMHANPGBLNRBQG-HZPDHXFCSA-N 0 1 313.426 3.103 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)c1 ZINC001644743482 1195130701 /nfs/dbraw/zinc/13/07/01/1195130701.db2.gz HMHANPGBLNRBQG-HZPDHXFCSA-N 0 1 313.426 3.103 20 30 DGEDMN Cc1ccc(CCN2CCN([C@H](C#N)c3ccccc3)CC2)cc1 ZINC001644837541 1195137191 /nfs/dbraw/zinc/13/71/91/1195137191.db2.gz LILHVZFLGVULBI-OAQYLSRUSA-N 0 1 319.452 3.420 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1ccco1 ZINC001645107326 1195157458 /nfs/dbraw/zinc/15/74/58/1195157458.db2.gz BSTQJBXBHFWRSS-KRWDZBQOSA-N 0 1 310.397 3.185 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H](c3ccc([N+](=O)[O-])cc3)C2)c1 ZINC001645728610 1195199093 /nfs/dbraw/zinc/19/90/93/1195199093.db2.gz VJCVKXVPKYCKDH-INIZCTEOSA-N 0 1 322.368 3.241 20 30 DGEDMN CCCOc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1F ZINC001648215111 1195284922 /nfs/dbraw/zinc/28/49/22/1195284922.db2.gz VHAMSJHFKLATNV-UHFFFAOYSA-N 0 1 314.316 3.444 20 30 DGEDMN C#C[C@@H]1CCCCN1CCCC(=O)Nc1ccccc1C(C)=O ZINC001648565531 1195309877 /nfs/dbraw/zinc/30/98/77/1195309877.db2.gz NZPNXGPZXLHZOE-MRXNPFEDSA-N 0 1 312.413 3.096 20 30 DGEDMN C#CCO[C@@H](C)C(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC001651000511 1195402191 /nfs/dbraw/zinc/40/21/91/1195402191.db2.gz BIBZSPNGSIBVBL-ZDUSSCGKSA-N 0 1 319.364 3.207 20 30 DGEDMN N#Cc1ccc(C(=O)N(O)Cc2cccc3ccccc32)cc1O ZINC001651235952 1195430080 /nfs/dbraw/zinc/43/00/80/1195430080.db2.gz WRAOQMHQKODUJJ-UHFFFAOYSA-N 0 1 318.332 3.449 20 30 DGEDMN C[C@H](C#N)CNC[C@H](CCC(C)(C)C)NC(=O)OC(C)(C)C ZINC001652170423 1195558182 /nfs/dbraw/zinc/55/81/82/1195558182.db2.gz ZGRVSHPKKFUDFE-KGLIPLIRSA-N 0 1 311.470 3.455 20 30 DGEDMN COCCCCN(Cc1ccccc1)Cc1cc(C#N)ccn1 ZINC001652347674 1195585937 /nfs/dbraw/zinc/58/59/37/1195585937.db2.gz MRHPPUBUUMGVLF-UHFFFAOYSA-N 0 1 309.413 3.382 20 30 DGEDMN C#C[C@H](NCc1cccc(C)c1Br)C1CCOCC1 ZINC001652855317 1195661317 /nfs/dbraw/zinc/66/13/17/1195661317.db2.gz IMCUAWLDXZOWSO-HNNXBMFYSA-N 0 1 322.246 3.276 20 30 DGEDMN N#CCn1c(C(=O)Nc2ccc3cncn3c2)cc2ccccc21 ZINC001652862569 1195662485 /nfs/dbraw/zinc/66/24/85/1195662485.db2.gz WIHPQXBJOLHQHT-UHFFFAOYSA-N 0 1 315.336 3.065 20 30 DGEDMN C#CCCCCCCN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC001653639538 1195739835 /nfs/dbraw/zinc/73/98/35/1195739835.db2.gz YAPHLSSPBMIFQI-HXUWFJFHSA-N 0 1 309.457 3.453 20 30 DGEDMN Cc1nsc(N2CCN(CCC3=CCCCC3)CC2)c1C#N ZINC001653758857 1195747924 /nfs/dbraw/zinc/74/79/24/1195747924.db2.gz ZPTJCPAHLFHGRB-UHFFFAOYSA-N 0 1 316.474 3.336 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN([C@H](C)c2ccc3c(c2)CCC3)CC1 ZINC001654015627 1195767204 /nfs/dbraw/zinc/76/72/04/1195767204.db2.gz VIGTUIYZLLUMPW-UZLBHIALSA-N 0 1 311.473 3.402 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN([C@@H](C)c2ccc3c(c2)CCC3)CC1 ZINC001654015624 1195767336 /nfs/dbraw/zinc/76/73/36/1195767336.db2.gz VIGTUIYZLLUMPW-JXFKEZNVSA-N 0 1 311.473 3.402 20 30 DGEDMN CC[C@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccccc1F ZINC001654105250 1195775079 /nfs/dbraw/zinc/77/50/79/1195775079.db2.gz YMIPZTAOKBOLMB-KRWDZBQOSA-N 0 1 311.360 3.377 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC001654177750 1195781543 /nfs/dbraw/zinc/78/15/43/1195781543.db2.gz JXQRYNAUMXHYQQ-RHSMWYFYSA-N 0 1 302.393 3.219 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(C)c2ncccc2C1 ZINC001654604395 1195823055 /nfs/dbraw/zinc/82/30/55/1195823055.db2.gz UZUBJZYSXANLPF-UHFFFAOYSA-N 0 1 306.413 3.022 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@H]1C(=O)OC(C)(C)C ZINC001654847180 1195846786 /nfs/dbraw/zinc/84/67/86/1195846786.db2.gz DVEITTXIEZCXJH-RHSMWYFYSA-N 0 1 314.429 3.358 20 30 DGEDMN N#Cc1cccc(CN[C@H](Cn2ccnc2)c2ccccc2)c1 ZINC001655430733 1195916062 /nfs/dbraw/zinc/91/60/62/1195916062.db2.gz FQWHGWBXFJCMOP-LJQANCHMSA-N 0 1 302.381 3.286 20 30 DGEDMN Cc1c(Cl)cnc(NCCCCc2ccnc(N)c2)c1C#N ZINC001655896157 1195974763 /nfs/dbraw/zinc/97/47/63/1195974763.db2.gz AEAGVSLFPAFSOT-UHFFFAOYSA-N 0 1 315.808 3.327 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1ccccc1 ZINC001656088127 1195997889 /nfs/dbraw/zinc/99/78/89/1195997889.db2.gz HGVXTOYURHQUPT-GOSISDBHSA-N 0 1 300.446 3.118 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC[C@H]1CCc2ccccc21 ZINC001656109226 1196003445 /nfs/dbraw/zinc/00/34/45/1196003445.db2.gz GZLPSAKXTAVXLP-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC1CCC(C)(C)CC1 ZINC001656112956 1196005161 /nfs/dbraw/zinc/00/51/61/1196005161.db2.gz NLUHCTKKBAJMLE-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#C[C@H](NCc1ccc(OCCC(C)C)cc1)[C@@H]1CCCO1 ZINC001656122228 1196007585 /nfs/dbraw/zinc/00/75/85/1196007585.db2.gz ZNNZVNCUZXVMFX-OALUTQOASA-N 0 1 301.430 3.382 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(C[C@H]1CCCC3(CCC3)O1)C2 ZINC001656381247 1196033963 /nfs/dbraw/zinc/03/39/63/1196033963.db2.gz VVYWMJOTYMOMQE-GFCCVEGCSA-N 0 1 317.458 3.052 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)NCCc1ccc(C(C)(C)C)cc1 ZINC001656411336 1196036781 /nfs/dbraw/zinc/03/67/81/1196036781.db2.gz QANDAMYKTHZAAD-GOSISDBHSA-N 0 1 314.473 3.293 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@]2(CO)c2ccccc2)ccc1F ZINC001656540077 1196048449 /nfs/dbraw/zinc/04/84/49/1196048449.db2.gz CNKMDTKDXIJXLG-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN C=C1CCC(CNS(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC001656835303 1196074398 /nfs/dbraw/zinc/07/43/98/1196074398.db2.gz FEIDDUCCVQZGGH-UHFFFAOYSA-N 0 1 319.348 3.129 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C(CC(C)C)CC(C)C)[C@H]1C ZINC001657048644 1196096658 /nfs/dbraw/zinc/09/66/58/1196096658.db2.gz XGIGJYASUATDEN-AEFFLSMTSA-N 0 1 306.494 3.297 20 30 DGEDMN N#CCOc1cccc(CNCc2ccnn2-c2ccccc2)c1 ZINC001657211233 1196119122 /nfs/dbraw/zinc/11/91/22/1196119122.db2.gz WWONCYVKZHKWBE-UHFFFAOYSA-N 0 1 318.380 3.064 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@]1(C)CCCCO1 ZINC001658084850 1196210750 /nfs/dbraw/zinc/21/07/50/1196210750.db2.gz DGNLOTFHYQDAMG-QGZVFWFLSA-N 0 1 307.821 3.401 20 30 DGEDMN C#Cc1ccc(CN(C)[C@H]2CCCN(c3ccccc3)C2=O)cc1 ZINC001658494045 1196264910 /nfs/dbraw/zinc/26/49/10/1196264910.db2.gz CPGKSWGYWRAHES-FQEVSTJZSA-N 0 1 318.420 3.295 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(Cc2ccsc2)C1 ZINC001658622770 1196281918 /nfs/dbraw/zinc/28/19/18/1196281918.db2.gz IWZZUTSFNBIIPJ-UHFFFAOYSA-N 0 1 311.454 3.360 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@H](CO)c2ccc(F)cc2)c1 ZINC001658758776 1196296429 /nfs/dbraw/zinc/29/64/29/1196296429.db2.gz LCMFWFJGFFHMKZ-INIZCTEOSA-N 0 1 304.752 3.174 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1CC#Cc1ccccc1 ZINC001658845393 1196308107 /nfs/dbraw/zinc/30/81/07/1196308107.db2.gz TZRXTLQOZZDMJG-LJQANCHMSA-N 0 1 304.437 3.244 20 30 DGEDMN C[C@@H](c1ccc(F)nc1)N(C)CCOCc1ccc(C#N)cc1 ZINC001658846171 1196308509 /nfs/dbraw/zinc/30/85/09/1196308509.db2.gz OUCWVIVPVORKII-AWEZNQCLSA-N 0 1 313.376 3.302 20 30 DGEDMN C=C(C)C[C@H](NCc1cc(Cl)c(F)cc1O)C(=O)OCC ZINC001659921344 1196429751 /nfs/dbraw/zinc/42/97/51/1196429751.db2.gz OAHMGBACADDCCC-ZDUSSCGKSA-N 0 1 315.772 3.172 20 30 DGEDMN N#Cc1c(F)cccc1CNCCc1ccnn1-c1ccccc1 ZINC001660030394 1196439414 /nfs/dbraw/zinc/43/94/14/1196439414.db2.gz QBGRNSVSCXDZLV-UHFFFAOYSA-N 0 1 320.371 3.215 20 30 DGEDMN C[C@@H]1CN(Cc2cc(C#N)ccc2N(C)C)CC2(CCCC2)O1 ZINC001660195720 1196456101 /nfs/dbraw/zinc/45/61/01/1196456101.db2.gz XXNVZFWEEFRGHC-OAHLLOKOSA-N 0 1 313.445 3.158 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(C)Cc1ccc(F)cc1F ZINC001660253896 1196462246 /nfs/dbraw/zinc/46/22/46/1196462246.db2.gz NVHBBGRZXUHBHB-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(CCC1(C#N)CCCCC1)C2 ZINC001660489580 1196490071 /nfs/dbraw/zinc/49/00/71/1196490071.db2.gz NYPRJNAICTXLDZ-UHFFFAOYSA-N 0 1 314.458 3.424 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H](C)CCC=C(C)C)CC1 ZINC001660787360 1196523816 /nfs/dbraw/zinc/52/38/16/1196523816.db2.gz ILLZFGOOUJNNNF-QGZVFWFLSA-N 0 1 304.478 3.315 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC001660814074 1196528604 /nfs/dbraw/zinc/52/86/04/1196528604.db2.gz DSJYHPXGCGICKX-QGZVFWFLSA-N 0 1 318.486 3.331 20 30 DGEDMN C=CCCCCCN1CCC[C@H](NC(=O)c2ccns2)C1 ZINC001660826632 1196531037 /nfs/dbraw/zinc/53/10/37/1196531037.db2.gz VJBCVULOOUPHHA-AWEZNQCLSA-N 0 1 307.463 3.084 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H]2CC=CCC2)C1 ZINC001661201378 1196580055 /nfs/dbraw/zinc/58/00/55/1196580055.db2.gz AJYIGXXKEYYGLJ-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN CC1(C)CCC[C@H]1C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001661336129 1196595242 /nfs/dbraw/zinc/59/52/42/1196595242.db2.gz HIXGDSPBIAQEHP-OALUTQOASA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN(Cc2ccccc2F)C1 ZINC001661729035 1196637111 /nfs/dbraw/zinc/63/71/11/1196637111.db2.gz WSBSFKKPXZSPDR-HNNXBMFYSA-N 0 1 318.436 3.366 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001661896994 1196660593 /nfs/dbraw/zinc/66/05/93/1196660593.db2.gz YHALZAXMIGACAN-MJGOQNOKSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C(C)=C2CCC2)C1 ZINC001661990989 1196671793 /nfs/dbraw/zinc/67/17/93/1196671793.db2.gz ZGGCDDLKAJXGDI-HOCLYGCPSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(CCF)CC2)C1 ZINC001661994864 1196672776 /nfs/dbraw/zinc/67/27/76/1196672776.db2.gz ALRIMZLCTNBXCW-KGLIPLIRSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(CCF)CC2)C1 ZINC001661994866 1196673165 /nfs/dbraw/zinc/67/31/65/1196673165.db2.gz ALRIMZLCTNBXCW-ZIAGYGMSSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)Cc2ccccc2)C1 ZINC001661998206 1196673651 /nfs/dbraw/zinc/67/36/51/1196673651.db2.gz WWIJSZISANOUGU-NVXWUHKLSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCc2ccccc2F)CC1 ZINC001662328311 1196708103 /nfs/dbraw/zinc/70/81/03/1196708103.db2.gz ABLVBUNCRXTERR-AWEZNQCLSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCC=C(Cl)Cl)CC1 ZINC001662330070 1196709208 /nfs/dbraw/zinc/70/92/08/1196709208.db2.gz SCGBXIZJDSQHOJ-LLVKDONJSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1CC(C)C ZINC001662429364 1196721645 /nfs/dbraw/zinc/72/16/45/1196721645.db2.gz IPYHGJGLERGOSN-AWEZNQCLSA-N 0 1 308.853 3.346 20 30 DGEDMN Cc1ccccc1-n1nccc1CNCc1ccc(C#N)s1 ZINC001662686689 1196740401 /nfs/dbraw/zinc/74/04/01/1196740401.db2.gz OLBFFRIJRWDIHD-UHFFFAOYSA-N 0 1 308.410 3.404 20 30 DGEDMN CCC[C@@H](C(=O)NCCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001663287910 1196827479 /nfs/dbraw/zinc/82/74/79/1196827479.db2.gz CRKVGEYAPJZFCU-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001663532954 1196898573 /nfs/dbraw/zinc/89/85/73/1196898573.db2.gz TXILWWWIVBKICI-FCEWJHQRSA-N 0 1 304.478 3.053 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1coc(C)c1 ZINC001663661304 1196923247 /nfs/dbraw/zinc/92/32/47/1196923247.db2.gz OPMPMJJIQGVURK-UHFFFAOYSA-N 0 1 324.424 3.082 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@H]1CCCc2ccccc21)C1CC1 ZINC001663769226 1196942457 /nfs/dbraw/zinc/94/24/57/1196942457.db2.gz QTYGFQDUNAJLBP-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1oc2ccc(F)cc2c1C ZINC001663981515 1196962948 /nfs/dbraw/zinc/96/29/48/1196962948.db2.gz JJHQDWUTFBSAGC-UHFFFAOYSA-N 0 1 324.783 3.294 20 30 DGEDMN C=CCCC(=O)N1CCC([C@@H](C)N[C@@H](C)c2ncc(C)o2)CC1 ZINC001664424680 1196997382 /nfs/dbraw/zinc/99/73/82/1196997382.db2.gz CYXXJHOXMSZYCA-CABCVRRESA-N 0 1 319.449 3.227 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C=C1CCC1)c1ccc(C(C)C)cc1 ZINC001664561745 1197014159 /nfs/dbraw/zinc/01/41/59/1197014159.db2.gz MFTRGQFYEOSXKM-IBGZPJMESA-N 0 1 310.441 3.300 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CC1(C)C)c1ccc(C(C)C)cc1 ZINC001664561950 1197014192 /nfs/dbraw/zinc/01/41/92/1197014192.db2.gz SNGMWFSJRXIVDE-QZTJIDSGSA-N 0 1 312.457 3.236 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001664666978 1197030575 /nfs/dbraw/zinc/03/05/75/1197030575.db2.gz DJNBMYULMCOYMW-RBUKOAKNSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001664668365 1197031788 /nfs/dbraw/zinc/03/17/88/1197031788.db2.gz XAJQAWIMUHDSHH-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001664746253 1197049112 /nfs/dbraw/zinc/04/91/12/1197049112.db2.gz WHCLXPPWQWZVPW-CABCVRRESA-N 0 1 324.852 3.272 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2CCC3(CC3)CC2)C1 ZINC001664823497 1197064391 /nfs/dbraw/zinc/06/43/91/1197064391.db2.gz HQOBMGQLLJPWEO-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN COC1(CN2CC(Oc3ccc(C#N)cc3)C2)CCCCCC1 ZINC001664955268 1197080514 /nfs/dbraw/zinc/08/05/14/1197080514.db2.gz VDUNPUCABSTRCO-UHFFFAOYSA-N 0 1 314.429 3.361 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001665080729 1197096075 /nfs/dbraw/zinc/09/60/75/1197096075.db2.gz HGFBEIINQRFEEE-AEFFLSMTSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001665200341 1197107521 /nfs/dbraw/zinc/10/75/21/1197107521.db2.gz RHUHHKMOBKGPHK-TZGCNFNXSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C)cc2Cl)C1 ZINC001665196436 1197107876 /nfs/dbraw/zinc/10/78/76/1197107876.db2.gz QPYRAKDUDKPTNT-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@H](C)c2cccc(F)c2)C1 ZINC001665324202 1197122891 /nfs/dbraw/zinc/12/28/91/1197122891.db2.gz JHZXXVXVXXYOHG-CQSZACIVSA-N 0 1 304.409 3.291 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001665456783 1197139318 /nfs/dbraw/zinc/13/93/18/1197139318.db2.gz RBIVDWYNZVYMLW-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001665567162 1197151852 /nfs/dbraw/zinc/15/18/52/1197151852.db2.gz LHPUMAQLSCGXQC-YFZQEUPRSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001665658795 1197166342 /nfs/dbraw/zinc/16/63/42/1197166342.db2.gz BXXFEJYIVJNQBJ-RDJZCZTQSA-N 0 1 318.436 3.461 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C(CC(C)C)CC(C)C)C1 ZINC001665686757 1197171534 /nfs/dbraw/zinc/17/15/34/1197171534.db2.gz QEEOZDWNOTUTIP-GOSISDBHSA-N 0 1 306.494 3.251 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CCC2CCCCCC2)C1 ZINC001665726360 1197181434 /nfs/dbraw/zinc/18/14/34/1197181434.db2.gz OPXRPAKKGZJRAU-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)cc(OC)c1C ZINC001665813811 1197201031 /nfs/dbraw/zinc/20/10/31/1197201031.db2.gz KCQCUUFMZWIWGK-AWEZNQCLSA-N 0 1 324.852 3.163 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NCc1nc(C)cs1 ZINC001665839425 1197205104 /nfs/dbraw/zinc/20/51/04/1197205104.db2.gz OMNLGPSBLOIVNF-XJKSGUPXSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001665887733 1197212119 /nfs/dbraw/zinc/21/21/19/1197212119.db2.gz HBMUFZRIBVVJLU-AWEZNQCLSA-N 0 1 312.816 3.293 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@H](CC)NCC(=C)Cl)c1ccccc1 ZINC001665888470 1197212147 /nfs/dbraw/zinc/21/21/47/1197212147.db2.gz SQCCMLNYVQZZPK-JKSUJKDBSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](C)c2ccco2)CC1 ZINC001666379613 1197256941 /nfs/dbraw/zinc/25/69/41/1197256941.db2.gz RWLVBMDFYCOHHY-AWEZNQCLSA-N 0 1 324.852 3.354 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cccn2CC)CC1 ZINC001666389970 1197258338 /nfs/dbraw/zinc/25/83/38/1197258338.db2.gz SFLAJAPCNWAMPL-UHFFFAOYSA-N 0 1 323.868 3.092 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)CCC=C(C)C)CC2 ZINC001666829557 1197288596 /nfs/dbraw/zinc/28/85/96/1197288596.db2.gz OUTVWXZWVBGQME-SFHVURJKSA-N 0 1 316.489 3.317 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001666894811 1197296587 /nfs/dbraw/zinc/29/65/87/1197296587.db2.gz YJMFLVUEDKQRFW-ZIAGYGMSSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1coc(C(F)F)c1 ZINC001666978083 1197304278 /nfs/dbraw/zinc/30/42/78/1197304278.db2.gz YYBBXNPFBCARCT-VIFPVBQESA-N 0 1 306.740 3.020 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](F)C(C)C)C1CCCCC1 ZINC001667140727 1197323131 /nfs/dbraw/zinc/32/31/31/1197323131.db2.gz XZJSWLQAAGGFOS-LSDHHAIUSA-N 0 1 318.864 3.388 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@H]1CCCC[C@H]1C ZINC001668656894 1197423487 /nfs/dbraw/zinc/42/34/87/1197423487.db2.gz PXBGNGPJDBAQPM-UKRRQHHQSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001668652991 1197423661 /nfs/dbraw/zinc/42/36/61/1197423661.db2.gz YOSLZHRMLALSTI-HNNXBMFYSA-N 0 1 322.880 3.364 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1ccc(Cl)cc1F ZINC001668739048 1197427362 /nfs/dbraw/zinc/42/73/62/1197427362.db2.gz LZVHTKQPEGNKSE-SNAWJCMRSA-N 0 1 310.800 3.207 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1cc(F)cc(F)c1 ZINC001669063894 1197439229 /nfs/dbraw/zinc/43/92/29/1197439229.db2.gz NXAZIXICZAWCMJ-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c(C)cccc1Cl ZINC001669387860 1197452430 /nfs/dbraw/zinc/45/24/30/1197452430.db2.gz CGZBHHNZZGTMBO-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN CC(C)CCCC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001669668994 1197470124 /nfs/dbraw/zinc/47/01/24/1197470124.db2.gz YCHSVBJPGUGCHP-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCN(C(=O)/C=C\C(C)(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001671465341 1197541870 /nfs/dbraw/zinc/54/18/70/1197541870.db2.gz QMSBPHRLWDCUOM-JXMNSVBMSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC=CCC1)C1CCCC1 ZINC001671519413 1197544634 /nfs/dbraw/zinc/54/46/34/1197544634.db2.gz SAPBTWPEBJIFDV-CVEARBPZSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@H](C)C2CC2)CC1 ZINC001671867675 1197577223 /nfs/dbraw/zinc/57/72/23/1197577223.db2.gz HUOODQQBWUNOHO-ZDUSSCGKSA-N 0 1 312.885 3.393 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccc(F)cc1 ZINC001672921765 1197628704 /nfs/dbraw/zinc/62/87/04/1197628704.db2.gz UJMSZWPBKFFKLZ-UHFFFAOYSA-N 0 1 324.399 3.211 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C(C)=C3CCC3)cccc2C1 ZINC001673080035 1197637346 /nfs/dbraw/zinc/63/73/46/1197637346.db2.gz XRXZTYBRHYKCCM-UHFFFAOYSA-N 0 1 322.452 3.185 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@H]1CCCN(CCF)C1 ZINC001673496610 1197670173 /nfs/dbraw/zinc/67/01/73/1197670173.db2.gz PDTBNLQXDHPZGP-DLBZAZTESA-N 0 1 310.457 3.405 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2Cc3ccccc3CN2CCC)C1 ZINC001673634333 1197681711 /nfs/dbraw/zinc/68/17/11/1197681711.db2.gz PSKCRYSWAJNMTH-GOSISDBHSA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)C(C)(C)C1 ZINC001674039047 1197726541 /nfs/dbraw/zinc/72/65/41/1197726541.db2.gz GPKAQCNMFYHGFE-RURPWVQCSA-N 0 1 324.468 3.248 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cc(C)ns2)[C@@H](C)C1 ZINC001674140145 1197737829 /nfs/dbraw/zinc/73/78/29/1197737829.db2.gz DWCHUKSYTMGJBG-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1csc(Cl)n1 ZINC001674192292 1197744332 /nfs/dbraw/zinc/74/43/32/1197744332.db2.gz OFAKRLYVOFXLDR-NSHDSACASA-N 0 1 315.870 3.137 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)ccc1F ZINC001674396274 1197767671 /nfs/dbraw/zinc/76/76/71/1197767671.db2.gz AJJOXGYTGPQEFZ-AWEZNQCLSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1cc(C)cc(C)c1 ZINC001674722542 1197849331 /nfs/dbraw/zinc/84/93/31/1197849331.db2.gz OLGHDKJOMCNMFR-CABCVRRESA-N 0 1 308.853 3.081 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)c(OC)c1 ZINC001674901227 1197907770 /nfs/dbraw/zinc/90/77/70/1197907770.db2.gz NDDYOIXBPDPAID-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1ccccn1 ZINC001675253405 1198021484 /nfs/dbraw/zinc/02/14/84/1198021484.db2.gz QXWPZGNMUPSXQG-GOSISDBHSA-N 0 1 315.461 3.299 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(CCc2ccccc2Cl)C1 ZINC001675284364 1198035165 /nfs/dbraw/zinc/03/51/65/1198035165.db2.gz CUWHNKVIOKUUKL-AWEZNQCLSA-N 0 1 320.864 3.285 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C(C)(C)CCCCC)C2)C1 ZINC001675678644 1198113366 /nfs/dbraw/zinc/11/33/66/1198113366.db2.gz UODISOIPLCDQKM-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H](CC)C(C)C)C2)C1 ZINC001675678337 1198114143 /nfs/dbraw/zinc/11/41/43/1198114143.db2.gz OVAGCXRZEKZZSA-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001676261997 1198264995 /nfs/dbraw/zinc/26/49/95/1198264995.db2.gz HHPXBDNVCBMADF-ARFHVFGLSA-N 0 1 310.869 3.002 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001676306083 1198283502 /nfs/dbraw/zinc/28/35/02/1198283502.db2.gz ZJHFMQPBLDOWRI-LSDHHAIUSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)C=C(CC)CC ZINC001676329423 1198290569 /nfs/dbraw/zinc/29/05/69/1198290569.db2.gz AZOCXBAKUBACQF-LBPRGKRZSA-N 0 1 317.271 3.078 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001676407534 1198314675 /nfs/dbraw/zinc/31/46/75/1198314675.db2.gz VRMJNMVBQGNXIW-WFASDCNBSA-N 0 1 323.506 3.299 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001676456039 1198324912 /nfs/dbraw/zinc/32/49/12/1198324912.db2.gz ZSKVNZSVKUHARR-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001676456041 1198325202 /nfs/dbraw/zinc/32/52/02/1198325202.db2.gz ZSKVNZSVKUHARR-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(C)CCC(C)CC1 ZINC001676487818 1198335353 /nfs/dbraw/zinc/33/53/53/1198335353.db2.gz IZRXZLZSDUMWHT-CXOJTPOUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC001676679779 1198363170 /nfs/dbraw/zinc/36/31/70/1198363170.db2.gz LHZRGVQNKYKTIF-QGZVFWFLSA-N 0 1 321.440 3.426 20 30 DGEDMN C=CCCCC(=O)N[C@@]12CCC[C@H]1N(Cc1cncs1)CC2 ZINC001676816603 1198399989 /nfs/dbraw/zinc/39/99/89/1198399989.db2.gz SSYZPYIQYJESJZ-NVXWUHKLSA-N 0 1 319.474 3.113 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C)c(Cl)c1)C(C)C ZINC001677209556 1198489212 /nfs/dbraw/zinc/48/92/12/1198489212.db2.gz ZFRRBRTXTHNSSZ-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN CC(C)=CC(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001677226981 1198494725 /nfs/dbraw/zinc/49/47/25/1198494725.db2.gz HQTASLWWIUVKAL-KRWDZBQOSA-N 0 1 313.445 3.145 20 30 DGEDMN C#CCN1CCC(NC(=O)N[C@H](CCCCC)C(C)(C)C)CC1 ZINC001677504181 1198544339 /nfs/dbraw/zinc/54/43/39/1198544339.db2.gz GSCPMSIBOZVNNE-QGZVFWFLSA-N 0 1 321.509 3.378 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccncc2c1 ZINC001683859308 1199311799 /nfs/dbraw/zinc/31/17/99/1199311799.db2.gz CYBFFSFCNQNKTG-MOPGFXCFSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C1CCC(CNC(=O)N[C@@H](C)c2n[nH]c([C@H](C)CC)n2)CC1 ZINC001685083903 1199441283 /nfs/dbraw/zinc/44/12/83/1199441283.db2.gz ODRXDWTXGJEALB-OLZOCXBDSA-N 0 1 319.453 3.425 20 30 DGEDMN C=C(CC)CNC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001686779886 1199587890 /nfs/dbraw/zinc/58/78/90/1199587890.db2.gz NAOKXPUEFXDVKO-DLBZAZTESA-N 0 1 315.461 3.257 20 30 DGEDMN C[C@@H](CC1CCCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000114618621 1199706242 /nfs/dbraw/zinc/70/62/42/1199706242.db2.gz NQYZTSRTZOHOMQ-ZFWWWQNUSA-N 0 1 313.401 3.335 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC1CC(c2ccccc2)C1 ZINC001688878929 1199938914 /nfs/dbraw/zinc/93/89/14/1199938914.db2.gz SAIIMGXWFRVHLN-UUKMXZOPSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CCCCC)C(C)C)[C@H]1CC ZINC001689192924 1200056545 /nfs/dbraw/zinc/05/65/45/1200056545.db2.gz YLBBDVCHJSCXGG-FGTMMUONSA-N 0 1 306.494 3.441 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@H](NCc2conc2C)C1 ZINC001689261613 1200073252 /nfs/dbraw/zinc/07/32/52/1200073252.db2.gz ADXJPKDVJHUBLJ-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cccc(C(C)C)n2)[C@@H]1C ZINC001689280853 1200082144 /nfs/dbraw/zinc/08/21/44/1200082144.db2.gz PVZGOTWUMQGLBR-QAPCUYQASA-N 0 1 315.461 3.250 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(C[C@H](F)CC)[C@@H]2C)CCCC1 ZINC001689342232 1200098633 /nfs/dbraw/zinc/09/86/33/1200098633.db2.gz LYGWFUBBWWMBIN-OAGGEKHMSA-N 0 1 310.457 3.450 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(C)c1Cl ZINC001689353346 1200107230 /nfs/dbraw/zinc/10/72/30/1200107230.db2.gz DWRWSRAWVSSTFJ-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1ccncc1Cl ZINC001754125947 1200110747 /nfs/dbraw/zinc/11/07/47/1200110747.db2.gz SDBPQDGXMVNLGF-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1coc2c1cccc2C ZINC001754131354 1200110813 /nfs/dbraw/zinc/11/08/13/1200110813.db2.gz LNPKNDCOZYMGBS-ARJAWSKDSA-N 0 1 318.804 3.369 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1ccccc1F ZINC001754157124 1200117530 /nfs/dbraw/zinc/11/75/30/1200117530.db2.gz RHIKMPAZMIMERJ-BQYQJAHWSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2cc(F)ccc2o1 ZINC001754178786 1200124435 /nfs/dbraw/zinc/12/44/35/1200124435.db2.gz UUIJBKVGMOWZOB-IHWYPQMZSA-N 0 1 322.767 3.200 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1sccc1C1CC1 ZINC001754204839 1200130736 /nfs/dbraw/zinc/13/07/36/1200130736.db2.gz ZMAZRNOKSRADIB-NSCUHMNNSA-N 0 1 310.850 3.254 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1ccc(C)o1 ZINC001689508343 1200161905 /nfs/dbraw/zinc/16/19/05/1200161905.db2.gz DSQPJCCAPKQLQD-GOSISDBHSA-N 0 1 324.424 3.493 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001754326218 1200215847 /nfs/dbraw/zinc/21/58/47/1200215847.db2.gz FLUXGTZBRGJBOB-WMZOPIPTSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc2[nH]ccc21)C1CC1 ZINC001754452472 1200271190 /nfs/dbraw/zinc/27/11/90/1200271190.db2.gz CVEKNOPYFTVLDW-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1[C@H](C)c1ccc2c(c1)CCC2 ZINC001754480885 1200291120 /nfs/dbraw/zinc/29/11/20/1200291120.db2.gz NXYICEUUYGHCCQ-OXQOHEQNSA-N 0 1 324.468 3.230 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001754484873 1200293777 /nfs/dbraw/zinc/29/37/77/1200293777.db2.gz KBLDXFIOZZGJQO-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001754485668 1200296049 /nfs/dbraw/zinc/29/60/49/1200296049.db2.gz ZUFHICYONUNIQY-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001754486365 1200296849 /nfs/dbraw/zinc/29/68/49/1200296849.db2.gz NNMOTEXCENUZOX-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H]1CCCC1(F)F ZINC001689952061 1200343213 /nfs/dbraw/zinc/34/32/13/1200343213.db2.gz SUDOWOJWFLWYKW-CYBMUJFWSA-N 0 1 322.827 3.393 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC1CCCCC1 ZINC001754544180 1200352160 /nfs/dbraw/zinc/35/21/60/1200352160.db2.gz YGMPAPLRLAMVFS-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C/C=C/c2ccc(F)cc2)C1 ZINC001754578666 1200374191 /nfs/dbraw/zinc/37/41/91/1200374191.db2.gz OWBPAAIQSYYPHW-VOTSOKGWSA-N 0 1 316.420 3.338 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(C(C)C)n2)C1 ZINC001754577417 1200374786 /nfs/dbraw/zinc/37/47/86/1200374786.db2.gz UYCBXBJAZFFRES-OAHLLOKOSA-N 0 1 315.461 3.316 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3cnccc3c2)C1 ZINC001754584527 1200380404 /nfs/dbraw/zinc/38/04/04/1200380404.db2.gz DOGKETCGSGWIIO-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cscc2C)CC1 ZINC001690134131 1200413670 /nfs/dbraw/zinc/41/36/70/1200413670.db2.gz GFLVBDZMJITWFO-UHFFFAOYSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](CC)SC)C1CCCC1 ZINC001754648196 1200414721 /nfs/dbraw/zinc/41/47/21/1200414721.db2.gz WJRPRPWUZOZDMO-KGLIPLIRSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C12CC(C(C)(C)C)(C1)C2)C1CC1 ZINC001690180830 1200437976 /nfs/dbraw/zinc/43/79/76/1200437976.db2.gz WKJLXGVSJVOROU-NNGSBXSVSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H]2CCC2(C)C)C1 ZINC001690192302 1200447406 /nfs/dbraw/zinc/44/74/06/1200447406.db2.gz MCRILNXNYPLXOV-LSDHHAIUSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc3ccccc32)C1 ZINC001754733743 1200479714 /nfs/dbraw/zinc/47/97/14/1200479714.db2.gz OYAOOTMFAHOTPX-NRFANRHFSA-N 0 1 320.436 3.305 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)(C)CC)c1ccccc1CC ZINC001754785808 1200487230 /nfs/dbraw/zinc/48/72/30/1200487230.db2.gz PQXHJUWHNMTGDX-GOSISDBHSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CC12CCCC2)c1ccccc1CC ZINC001754790870 1200490869 /nfs/dbraw/zinc/49/08/69/1200490869.db2.gz SLIZBWRYMROTGF-RBUKOAKNSA-N 0 1 324.468 3.209 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001690337954 1200501117 /nfs/dbraw/zinc/50/11/17/1200501117.db2.gz GJYUINBAZCSAJJ-KDURUIRLSA-N 0 1 323.440 3.063 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccsc1 ZINC001754944510 1200546842 /nfs/dbraw/zinc/54/68/42/1200546842.db2.gz YOMJIFIQFJWZIL-UHFFFAOYSA-N 0 1 312.438 3.133 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)Cc1ccccc1 ZINC001754945610 1200547800 /nfs/dbraw/zinc/54/78/00/1200547800.db2.gz CEPGDRLQLYOLND-UHFFFAOYSA-N 0 1 320.436 3.001 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC1CC(C)(C)C1 ZINC001754946779 1200548167 /nfs/dbraw/zinc/54/81/67/1200548167.db2.gz WNPJLNXRVMOVAL-UHFFFAOYSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3(CC)CCCC3)cccc2C1 ZINC001754975580 1200562505 /nfs/dbraw/zinc/56/25/05/1200562505.db2.gz DJOAPKKYKGAETF-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN CCCC(=O)NCC1(NCC#Cc2ccc(Cl)cc2)CCC1 ZINC001754976654 1200565453 /nfs/dbraw/zinc/56/54/53/1200565453.db2.gz PYRNUGOTFFBBPG-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)Cc1ccccc1F ZINC001690455299 1200570882 /nfs/dbraw/zinc/57/08/82/1200570882.db2.gz RTYHWELYSFGTKT-WBVHZDCISA-N 0 1 318.436 3.161 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001754985167 1200571926 /nfs/dbraw/zinc/57/19/26/1200571926.db2.gz KDRCXBRSNJMEIX-PDGQHHTCSA-N 0 1 322.452 3.189 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001690468938 1200578327 /nfs/dbraw/zinc/57/83/27/1200578327.db2.gz YLMJPHCNGQLTER-ZDUSSCGKSA-N 0 1 312.866 3.393 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cccc2F)CCC1 ZINC001754993498 1200579822 /nfs/dbraw/zinc/57/98/22/1200579822.db2.gz QYZNDZMEBUSLPF-UHFFFAOYSA-N 0 1 310.800 3.129 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1sccc1Cl ZINC001690618383 1200651673 /nfs/dbraw/zinc/65/16/73/1200651673.db2.gz YPDAJTVMXJGBHB-LLVKDONJSA-N 0 1 300.855 3.352 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2ccns2)C1 ZINC001690499566 1200594058 /nfs/dbraw/zinc/59/40/58/1200594058.db2.gz XNHCPBPGOOKTMF-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)C(C)(CC)CC)CO2 ZINC001755066179 1200621027 /nfs/dbraw/zinc/62/10/27/1200621027.db2.gz WGFVZWOZXUVBED-JKSUJKDBSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCN1Cc2ccccc2C[C@H]1CNC(=O)C(C)(C)F ZINC001755074371 1200627181 /nfs/dbraw/zinc/62/71/81/1200627181.db2.gz XABJPVPSMSSIPU-KRWDZBQOSA-N 0 1 318.436 3.244 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCCC#CC)cc2C1 ZINC001755102451 1200636652 /nfs/dbraw/zinc/63/66/52/1200636652.db2.gz UTSSKQRBSCZYTA-UHFFFAOYSA-N 0 1 310.441 3.388 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001755157375 1200661741 /nfs/dbraw/zinc/66/17/41/1200661741.db2.gz HQDWUSGSUYPWKJ-MJGOQNOKSA-N 0 1 312.457 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001690636925 1200661758 /nfs/dbraw/zinc/66/17/58/1200661758.db2.gz JFFIBGFGCZPDTC-CQSZACIVSA-N 0 1 308.853 3.102 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C=C1CCCCC1 ZINC001755185426 1200682919 /nfs/dbraw/zinc/68/29/19/1200682919.db2.gz GSKXPXTUDZXRSG-TZIWHRDSSA-N 0 1 322.452 3.272 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1CCCCCC1 ZINC001755185870 1200684970 /nfs/dbraw/zinc/68/49/70/1200684970.db2.gz WSTVGYVBJPYVCH-WOJBJXKFSA-N 0 1 324.468 3.352 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C\Cl ZINC001755187043 1200685198 /nfs/dbraw/zinc/68/51/98/1200685198.db2.gz SLQWSXDXVLMRBI-LWALAHDXSA-N 0 1 318.848 3.323 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC001755194869 1200692464 /nfs/dbraw/zinc/69/24/64/1200692464.db2.gz DHQGOOMYGGNIHH-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1ccccc1C1(C)CC1 ZINC001755199107 1200696279 /nfs/dbraw/zinc/69/62/79/1200696279.db2.gz PAAUQNLIGYTVTL-QGZVFWFLSA-N 0 1 324.468 3.298 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccccc1C1(C)CC1 ZINC001755199107 1200696281 /nfs/dbraw/zinc/69/62/81/1200696281.db2.gz PAAUQNLIGYTVTL-QGZVFWFLSA-N 0 1 324.468 3.298 20 30 DGEDMN CCC1(C(=O)N2CCC[C@@H]2C[N@@H+](C)CC#Cc2ccccc2)CC1 ZINC001755198599 1200697455 /nfs/dbraw/zinc/69/74/55/1200697455.db2.gz CVSMQZPNZHVJGD-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN CCC1(C(=O)N2CCC[C@@H]2CN(C)CC#Cc2ccccc2)CC1 ZINC001755198599 1200697457 /nfs/dbraw/zinc/69/74/57/1200697457.db2.gz CVSMQZPNZHVJGD-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1c(Cl)cccc1OC ZINC001755229006 1200719067 /nfs/dbraw/zinc/71/90/67/1200719067.db2.gz WBQJFKJJNFMCTC-QWHCGFSZSA-N 0 1 324.852 3.298 20 30 DGEDMN CCC(=CC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N)CC ZINC001755229388 1200719133 /nfs/dbraw/zinc/71/91/33/1200719133.db2.gz BJCKRXNDNMOSLB-CABCVRRESA-N 0 1 313.445 3.287 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1CCC(C)(C)CC1 ZINC001755271659 1200753493 /nfs/dbraw/zinc/75/34/93/1200753493.db2.gz VHBIDPIGQIGMHD-OLZOCXBDSA-N 0 1 300.874 3.438 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2ccsc2)CCC1 ZINC001755314257 1200797589 /nfs/dbraw/zinc/79/75/89/1200797589.db2.gz DKQIKTCZZNTNBL-AWEZNQCLSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(C)Cc2cncc(C)c2)CC1 ZINC001755315060 1200799879 /nfs/dbraw/zinc/79/98/79/1200799879.db2.gz LBFBOBNGQFMKPO-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(F)cc1C ZINC001691798917 1200811992 /nfs/dbraw/zinc/81/19/92/1200811992.db2.gz VCYVXUYNHJIUBP-KRWDZBQOSA-N 0 1 316.420 3.084 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C2CCCCC2)CC1)c1ccccc1 ZINC001691801091 1200813373 /nfs/dbraw/zinc/81/33/73/1200813373.db2.gz OKFKWHJVVNGGGX-LJQANCHMSA-N 0 1 324.468 3.427 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691842448 1200830609 /nfs/dbraw/zinc/83/06/09/1200830609.db2.gz UIFCKJSPZIKRGJ-ZUGOVCDKSA-N 0 1 322.452 3.116 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(F)c2C)C1 ZINC001691852710 1200838576 /nfs/dbraw/zinc/83/85/76/1200838576.db2.gz KWAVIKODFQFBNX-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001691864685 1200843936 /nfs/dbraw/zinc/84/39/36/1200843936.db2.gz RMCPMZWZDMNSGB-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCCCCN1C/C=C\Cl ZINC001755364120 1200850378 /nfs/dbraw/zinc/85/03/78/1200850378.db2.gz QVIPOJAKNPLCEG-OCOPJHETSA-N 0 1 310.869 3.149 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001755373180 1200861499 /nfs/dbraw/zinc/86/14/99/1200861499.db2.gz JIRJHABJSWAXEM-CABCVRRESA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(F)ccc2C)C1 ZINC001691900968 1200863416 /nfs/dbraw/zinc/86/34/16/1200863416.db2.gz JMEWDFTXHQMZIY-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001755382296 1200867215 /nfs/dbraw/zinc/86/72/15/1200867215.db2.gz NCGYESLVQLZSGV-AUUYWEPGSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(F)c(C)c2)C1 ZINC001691975510 1200893406 /nfs/dbraw/zinc/89/34/06/1200893406.db2.gz IKJNSUTWVHQQIB-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)[C@@H](C)C(C)(C)C)C2)C1 ZINC001755457925 1200905154 /nfs/dbraw/zinc/90/51/54/1200905154.db2.gz XJNITWZBQAVWEU-DLBZAZTESA-N 0 1 318.505 3.252 20 30 DGEDMN CCCCC(=O)N1CCC(N(C)Cc2ccccc2C#N)CC1 ZINC001755527531 1200934526 /nfs/dbraw/zinc/93/45/26/1200934526.db2.gz GNDQPGVHYXYNEC-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001692178908 1200959695 /nfs/dbraw/zinc/95/96/95/1200959695.db2.gz FTRFYTQBQCWTBS-YIVRLKKSSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1c(F)cccc1Cl ZINC001755580531 1200967831 /nfs/dbraw/zinc/96/78/31/1200967831.db2.gz YYFHVNOQQFBKOG-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)C(C)(C)C(C)C ZINC001755589170 1200973400 /nfs/dbraw/zinc/97/34/00/1200973400.db2.gz NVGKJVWCKLULLK-LBPRGKRZSA-N 0 1 319.287 3.014 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1F ZINC001755599161 1200978739 /nfs/dbraw/zinc/97/87/39/1200978739.db2.gz FOJRSRKEUANMDU-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(Cl)cs1 ZINC001755607261 1200985997 /nfs/dbraw/zinc/98/59/97/1200985997.db2.gz QXJZMIRSMOHMIR-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C[C@@H]1C ZINC001755672670 1201008249 /nfs/dbraw/zinc/00/82/49/1201008249.db2.gz KWPDRVDLFNHYGC-WFASDCNBSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cccc(F)c2)[C@@H]1C ZINC001755714276 1201034152 /nfs/dbraw/zinc/03/41/52/1201034152.db2.gz KHUIVVLUGNYWEB-RHSMWYFYSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cccc(F)c2)[C@@H]1C ZINC001692383153 1201045712 /nfs/dbraw/zinc/04/57/12/1201045712.db2.gz NJASELYFGIOGGC-CJNGLKHVSA-N 0 1 304.409 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C)c(C)n1)C(C)(C)C ZINC001755828648 1201091415 /nfs/dbraw/zinc/09/14/15/1201091415.db2.gz MMZWZDKDKIASLV-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)CC2CCCCC2)C1 ZINC001698576099 1201247295 /nfs/dbraw/zinc/24/72/95/1201247295.db2.gz VOAWVBGOXJMKJF-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](c1cccnc1)C(C)C ZINC001698616679 1201288600 /nfs/dbraw/zinc/28/86/00/1201288600.db2.gz WVRONGXMJDZTBM-JKSUJKDBSA-N 0 1 323.868 3.058 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1csc(Cl)c1 ZINC001698622567 1201292640 /nfs/dbraw/zinc/29/26/40/1201292640.db2.gz RSFVAMOZVGXNGL-SNVBAGLBSA-N 0 1 307.246 3.252 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C(C)(C)C2CC2)CC1 ZINC001698724842 1201366329 /nfs/dbraw/zinc/36/63/29/1201366329.db2.gz PAKUWOWXULCBGV-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](CCC)C(C)C ZINC001698733253 1201372572 /nfs/dbraw/zinc/37/25/72/1201372572.db2.gz SIHSKKLAHPERSL-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](CCC)C(C)C)CC2 ZINC001698809385 1201445289 /nfs/dbraw/zinc/44/52/89/1201445289.db2.gz KNAFVYQVPQUZEC-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccn(C(C)C)n1 ZINC001698843096 1201496650 /nfs/dbraw/zinc/49/66/50/1201496650.db2.gz LZYHTGHCGSEHRO-MAUKXSAKSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(C)cc1 ZINC001698877890 1201539541 /nfs/dbraw/zinc/53/95/41/1201539541.db2.gz GQTJRTDQJNJNNI-INIZCTEOSA-N 0 1 308.853 3.482 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1csc(C)n1 ZINC001698937093 1201588888 /nfs/dbraw/zinc/58/88/88/1201588888.db2.gz ANBTUWKQZCXGPB-XJKSGUPXSA-N 0 1 321.490 3.134 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001752085499 1201774285 /nfs/dbraw/zinc/77/42/85/1201774285.db2.gz SOUYRONXOSRXLR-KGLIPLIRSA-N 0 1 321.490 3.240 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001752085161 1201774330 /nfs/dbraw/zinc/77/43/30/1201774330.db2.gz QEVOCBRRPCIYTP-HOTGVXAUSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(CCC)cc1 ZINC001699299296 1201782047 /nfs/dbraw/zinc/78/20/47/1201782047.db2.gz HTZKKPAIBPXGSN-PLNGDYQASA-N 0 1 306.837 3.267 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001699351737 1201800637 /nfs/dbraw/zinc/80/06/37/1201800637.db2.gz ZFGNLRQLIHRHTA-OUPQRBNQSA-N 0 1 318.848 3.413 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001752228886 1201806270 /nfs/dbraw/zinc/80/62/70/1201806270.db2.gz ZROXVBDQDYNYRZ-CTYIDZIISA-N 0 1 300.874 3.393 20 30 DGEDMN C[C@@H](NC[C@H](C)NC(=O)C#CC(C)(C)C)c1c(F)cccc1F ZINC001699403359 1201840645 /nfs/dbraw/zinc/84/06/45/1201840645.db2.gz UURFLUALPBKYLG-QWHCGFSZSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001699404557 1201842311 /nfs/dbraw/zinc/84/23/11/1201842311.db2.gz QIWDGOXBGWTMBT-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001699425104 1201859387 /nfs/dbraw/zinc/85/93/87/1201859387.db2.gz GPJXPFVQHPFLRJ-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001699428005 1201861076 /nfs/dbraw/zinc/86/10/76/1201861076.db2.gz AJVWQQUHXDXEFE-IILDEKEXSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C/C=C/c1ccc(C)cc1 ZINC001699435041 1201865703 /nfs/dbraw/zinc/86/57/03/1201865703.db2.gz DFEBCBCKZDADFF-RGDDUWESSA-N 0 1 306.837 3.245 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001752520494 1201870057 /nfs/dbraw/zinc/87/00/57/1201870057.db2.gz ZLKQHQDRYBGXAM-YQCJOKCJSA-N 0 1 319.276 3.392 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2cccc(C)c2s1 ZINC001699451507 1201889836 /nfs/dbraw/zinc/88/98/36/1201889836.db2.gz UAVPKOYAGZBFOU-CQSZACIVSA-N 0 1 314.454 3.283 20 30 DGEDMN CCCCCCCN1CCCC[C@@H]1CNC(=O)c1cnns1 ZINC001752818231 1201942951 /nfs/dbraw/zinc/94/29/51/1201942951.db2.gz YUIRZCPCXQVWJV-CQSZACIVSA-N 0 1 324.494 3.093 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1c(F)cccc1Cl)C1CC1 ZINC001699573526 1201953437 /nfs/dbraw/zinc/95/34/37/1201953437.db2.gz HJTCCDBEFLRXFV-INIZCTEOSA-N 0 1 324.827 3.430 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H](C)C1CCCCC1 ZINC001752824315 1201953586 /nfs/dbraw/zinc/95/35/86/1201953586.db2.gz UPCJIHQMHBNTRX-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1cnc(C)s1 ZINC001699612081 1201977301 /nfs/dbraw/zinc/97/73/01/1201977301.db2.gz CMOXOIQIKARMRN-AWEZNQCLSA-N 0 1 309.479 3.226 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001752853864 1201977516 /nfs/dbraw/zinc/97/75/16/1201977516.db2.gz OLIYRJYLKQHSET-JTTJXQCZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C)c2cccnc12 ZINC001752888431 1201995264 /nfs/dbraw/zinc/99/52/64/1201995264.db2.gz CIWVCEGNQFIIQP-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1csc2ccccc21 ZINC001752890645 1201996379 /nfs/dbraw/zinc/99/63/79/1201996379.db2.gz RPZRHNAEHSKFOV-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001699636039 1202001376 /nfs/dbraw/zinc/00/13/76/1202001376.db2.gz VGPRGQLLERNLDK-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1cc(C)cc(C)c1 ZINC001699638388 1202004353 /nfs/dbraw/zinc/00/43/53/1202004353.db2.gz YCKNYCJSZFMWCM-GOSISDBHSA-N 0 1 300.446 3.003 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1CC(c2ccc(F)cc2)C1 ZINC001752924176 1202015287 /nfs/dbraw/zinc/01/52/87/1202015287.db2.gz MJBXBSZQBXPQSX-LRVUVFPRSA-N 0 1 324.827 3.166 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCCC)C(C)C ZINC001699682604 1202031000 /nfs/dbraw/zinc/03/10/00/1202031000.db2.gz PKSHAURPPBXTNI-FGTMMUONSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2cc(C)cc(C)c2)C1 ZINC001699720186 1202050936 /nfs/dbraw/zinc/05/09/36/1202050936.db2.gz HBNZYKURESOVCZ-UHFFFAOYSA-N 0 1 314.473 3.345 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001699723958 1202054465 /nfs/dbraw/zinc/05/44/65/1202054465.db2.gz CGYYDXZZSYPUNI-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1nc(C)c(C)s1 ZINC001699753373 1202065468 /nfs/dbraw/zinc/06/54/68/1202065468.db2.gz OYLKGMBRTCBILS-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2scnc2C)CC1 ZINC001699771343 1202073806 /nfs/dbraw/zinc/07/38/06/1202073806.db2.gz XHPGWEGDVBPZMD-UHFFFAOYSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCN(C(=O)CC1CCCC1)C1CCN(C/C=C/Cl)CC1 ZINC001699778065 1202076606 /nfs/dbraw/zinc/07/66/06/1202076606.db2.gz HRAVMWCODQXHEA-BJMVGYQFSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCCCC(=O)NCCN(C)[C@@H]1CCc2c1cc(F)cc2F ZINC001753060946 1202090094 /nfs/dbraw/zinc/09/00/94/1202090094.db2.gz HYBRQBOHTZITJN-QGZVFWFLSA-N 0 1 322.399 3.356 20 30 DGEDMN CC#CCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC001699826918 1202102319 /nfs/dbraw/zinc/10/23/19/1202102319.db2.gz ZCXHRQYFIMYHJE-IBGZPJMESA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccccc1C(C)(C)CC ZINC001753085111 1202114166 /nfs/dbraw/zinc/11/41/66/1202114166.db2.gz NIEVWPPCPRTCBQ-UHFFFAOYSA-N 0 1 314.473 3.449 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C(CC)CC)C1 ZINC001699887353 1202136204 /nfs/dbraw/zinc/13/62/04/1202136204.db2.gz LFBSIOTXYAGTGC-UKRRQHHQSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](CC)CC(C)C)C1 ZINC001699888754 1202136412 /nfs/dbraw/zinc/13/64/12/1202136412.db2.gz UKGVSHXYMSYSBQ-HUUCEWRRSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC(C)(C)C)C1 ZINC001699890237 1202137650 /nfs/dbraw/zinc/13/76/50/1202137650.db2.gz QEOBCXNYBFYYPH-ZDUSSCGKSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCC[N@@H+]1Cc1cnccc1C ZINC001753106470 1202138472 /nfs/dbraw/zinc/13/84/72/1202138472.db2.gz PHLQUKIXOGEWJB-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001753106470 1202138474 /nfs/dbraw/zinc/13/84/74/1202138474.db2.gz PHLQUKIXOGEWJB-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1oc(C)cc1C)c1ccccc1CC ZINC001699932284 1202139996 /nfs/dbraw/zinc/13/99/96/1202139996.db2.gz HGUBOESXULLDNO-GOSISDBHSA-N 0 1 324.424 3.153 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@@H](C)CC)c1ccccc1CC ZINC001699934016 1202140275 /nfs/dbraw/zinc/14/02/75/1202140275.db2.gz NKISEWWONWRCTC-LPHOPBHVSA-N 0 1 314.473 3.455 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)c1ccco1)c1ccccc1CC ZINC001699932901 1202140544 /nfs/dbraw/zinc/14/05/44/1202140544.db2.gz UIIOXEGBWHZENH-CRAIPNDOSA-N 0 1 324.424 3.026 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001753111936 1202142124 /nfs/dbraw/zinc/14/21/24/1202142124.db2.gz RVZMMKZVGLZKFA-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001753111880 1202142381 /nfs/dbraw/zinc/14/23/81/1202142381.db2.gz QRGXFRUCYRHJAX-IEBWSBKVSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001753111882 1202142655 /nfs/dbraw/zinc/14/26/55/1202142655.db2.gz QRGXFRUCYRHJAX-PKOBYXMFSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001753111934 1202142753 /nfs/dbraw/zinc/14/27/53/1202142753.db2.gz RVZMMKZVGLZKFA-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C=C(C)C ZINC001699980420 1202156559 /nfs/dbraw/zinc/15/65/59/1202156559.db2.gz BFACVMDCBJLIHB-UHFFFAOYSA-N 0 1 306.837 3.324 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C(C)(F)F ZINC001699980525 1202156801 /nfs/dbraw/zinc/15/68/01/1202156801.db2.gz GLJCOHMAOCMWAL-UHFFFAOYSA-N 0 1 316.779 3.013 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)/C(C)=C/C ZINC001699981714 1202156819 /nfs/dbraw/zinc/15/68/19/1202156819.db2.gz NIUMNYWGGMDQMB-LNKIKWGQSA-N 0 1 306.837 3.324 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CCNC/C(Cl)=C\Cl)C1 ZINC001700064362 1202171432 /nfs/dbraw/zinc/17/14/32/1202171432.db2.gz BXOPMMVWRYVMLV-FYQHACEVSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCc1ccccc1CN(C)CC#CC ZINC001700091891 1202177034 /nfs/dbraw/zinc/17/70/34/1202177034.db2.gz SCCXIBHZMCZGSM-FQEVSTJZSA-N 0 1 312.457 3.360 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC[C@H]1CC ZINC001700091329 1202177082 /nfs/dbraw/zinc/17/70/82/1202177082.db2.gz MRERQYZEERGTEI-VQIMIIECSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@H]1C1CCCC1 ZINC001700091085 1202177355 /nfs/dbraw/zinc/17/73/55/1202177355.db2.gz KSROOHWKHGXKGG-PMACEKPBSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1c(C)cccc1F ZINC001700091011 1202177395 /nfs/dbraw/zinc/17/73/95/1202177395.db2.gz JLHSRGYSUBABLN-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN CN(CCNCC#Cc1cccc(Cl)c1)C(=O)CC(C)(C)C ZINC001753170195 1202179930 /nfs/dbraw/zinc/17/99/30/1202179930.db2.gz NDFUGGWOBLTIND-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CCCC[C@](C)(F)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001753204999 1202183425 /nfs/dbraw/zinc/18/34/25/1202183425.db2.gz CVALAZKPUUPRNF-IBGZPJMESA-N 0 1 318.436 3.005 20 30 DGEDMN CC(C)(C)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001700126525 1202185877 /nfs/dbraw/zinc/18/58/77/1202185877.db2.gz CHOOXJZWLZXLJR-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001700137313 1202189496 /nfs/dbraw/zinc/18/94/96/1202189496.db2.gz UESMSXJDFBPMEL-UHFFFAOYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccn2C(C)C)CCC1 ZINC001700140001 1202190373 /nfs/dbraw/zinc/19/03/73/1202190373.db2.gz DXUUDWIGEFFZHO-UHFFFAOYSA-N 0 1 309.841 3.064 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ccns2)CCCCC1 ZINC001753263970 1202190901 /nfs/dbraw/zinc/19/09/01/1202190901.db2.gz CRIGSLIVHAQSAK-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)c1ccc(C(C)C)cc1 ZINC001753294180 1202198374 /nfs/dbraw/zinc/19/83/74/1202198374.db2.gz GGTFBPOUDGKVGI-ZCNNSNEGSA-N 0 1 324.468 3.236 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)c2ccco2)C1 ZINC001753320236 1202208770 /nfs/dbraw/zinc/20/87/70/1202208770.db2.gz WMXFCYKYJJUETJ-DLBZAZTESA-N 0 1 316.445 3.109 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCC2(CN(CCC(C)(C)C)C2)O1 ZINC001700207076 1202211285 /nfs/dbraw/zinc/21/12/85/1202211285.db2.gz YSWCWPQXISHEHX-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)CCC(=C)C)O2 ZINC001700208122 1202211572 /nfs/dbraw/zinc/21/15/72/1202211572.db2.gz ZVUDOWDZPIFMOW-IRXDYDNUSA-N 0 1 320.477 3.047 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2coc(C(F)F)c2)C1 ZINC001753329081 1202213146 /nfs/dbraw/zinc/21/31/46/1202213146.db2.gz UFLVNJQDAYMWTK-CQSZACIVSA-N 0 1 324.371 3.167 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C(C)(C)F)C1 ZINC001753361379 1202229374 /nfs/dbraw/zinc/22/93/74/1202229374.db2.gz DYPDQRIXTORADH-QGZVFWFLSA-N 0 1 318.436 3.414 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2conc2C)[C@H](C)C1 ZINC001700317892 1202234658 /nfs/dbraw/zinc/23/46/58/1202234658.db2.gz NLPAEFURIYLTNQ-CZUORRHYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2c(F)cccc2Cl)C1 ZINC001753392047 1202238572 /nfs/dbraw/zinc/23/85/72/1202238572.db2.gz IHIKZXKTHXZBMK-LBPRGKRZSA-N 0 1 324.827 3.177 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C(C)(C)C1CC1 ZINC001700329504 1202238688 /nfs/dbraw/zinc/23/86/88/1202238688.db2.gz FPQOXZFJKYELOF-IBGZPJMESA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001753407399 1202243073 /nfs/dbraw/zinc/24/30/73/1202243073.db2.gz HXAGOZSTAJGZPQ-UHFFFAOYSA-N 0 1 314.473 3.454 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccccc1C(C)C ZINC001700353461 1202246392 /nfs/dbraw/zinc/24/63/92/1202246392.db2.gz FXESYKULCGJRSP-KRWDZBQOSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1CC ZINC001753424057 1202249620 /nfs/dbraw/zinc/24/96/20/1202249620.db2.gz JMVBBSSWSPNAKP-OALUTQOASA-N 0 1 312.457 3.390 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CC[C@H](C)F)CCCC1 ZINC001753427208 1202250751 /nfs/dbraw/zinc/25/07/51/1202250751.db2.gz FGTNKMZIMZLSHO-JYJNAYRXSA-N 0 1 308.441 3.156 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)[C@@H]1CC1(C)C ZINC001700367508 1202252727 /nfs/dbraw/zinc/25/27/27/1202252727.db2.gz QIUZJUGKELUBPK-OALUTQOASA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001753443522 1202256258 /nfs/dbraw/zinc/25/62/58/1202256258.db2.gz XXENRPUUPDWTHY-AWEZNQCLSA-N 0 1 321.490 3.005 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001753460636 1202263764 /nfs/dbraw/zinc/26/37/64/1202263764.db2.gz DABWTRNOVBCOCN-LYBXBRPPSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(C)[nH]c2ccccc21 ZINC001700407801 1202264396 /nfs/dbraw/zinc/26/43/96/1202264396.db2.gz GDYFMEUFNLMJTE-NWDGAFQWSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(Cl)c1F ZINC001700417586 1202267605 /nfs/dbraw/zinc/26/76/05/1202267605.db2.gz HXZLHSLOVZZBRN-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)N[C@@H](C)c1c(F)cccc1F ZINC001753472088 1202268579 /nfs/dbraw/zinc/26/85/79/1202268579.db2.gz ZLZMYOPUVLGWKV-UONOGXRCSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CC1(c2ccccc2)CC1 ZINC001753474840 1202269170 /nfs/dbraw/zinc/26/91/70/1202269170.db2.gz FCZRVODTCHOXKN-INIZCTEOSA-N 0 1 320.864 3.345 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2ocnc2C)CCCC1 ZINC001753497840 1202276230 /nfs/dbraw/zinc/27/62/30/1202276230.db2.gz KSSKEAJIXZYBJQ-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)C=C(C)C)C2)C1 ZINC001700564030 1202313354 /nfs/dbraw/zinc/31/33/54/1202313354.db2.gz QGEXIVVCGFETCJ-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(C)cc(C)n1 ZINC001753735651 1202324989 /nfs/dbraw/zinc/32/49/89/1202324989.db2.gz AVVLWOILBNSGMM-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1ccccc1C ZINC001753741283 1202326352 /nfs/dbraw/zinc/32/63/52/1202326352.db2.gz TUWZVAGTYFHLAZ-QGZVFWFLSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCNCc2csc(C)n2)C1 ZINC001700675956 1202332833 /nfs/dbraw/zinc/33/28/33/1202332833.db2.gz SKAVXLQOOADIHR-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CCC(C)(F)F ZINC001700724907 1202343433 /nfs/dbraw/zinc/34/34/33/1202343433.db2.gz NEMVQABPQPIZOK-LBPRGKRZSA-N 0 1 308.800 3.001 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001700743539 1202347004 /nfs/dbraw/zinc/34/70/04/1202347004.db2.gz IGMJAKBTUHIVMI-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2cc(F)ccc2F)C1 ZINC001700765780 1202350806 /nfs/dbraw/zinc/35/08/06/1202350806.db2.gz CFAOVUMJSRWZQM-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(CCC)cc1)C(C)C ZINC001700972571 1202373333 /nfs/dbraw/zinc/37/33/33/1202373333.db2.gz MSVMZZSTOCACDG-UHFFFAOYSA-N 0 1 314.473 3.445 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1csc(C)c1C)C(C)C ZINC001700977414 1202375058 /nfs/dbraw/zinc/37/50/58/1202375058.db2.gz PAGZHDREVSBPHB-UHFFFAOYSA-N 0 1 306.475 3.171 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001700999644 1202378964 /nfs/dbraw/zinc/37/89/64/1202378964.db2.gz ZJONKHTUMADKJN-YJBOKZPZSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2ccsc2)CC1 ZINC001701056138 1202385466 /nfs/dbraw/zinc/38/54/66/1202385466.db2.gz XXNLKZCQKPGYCH-UHFFFAOYSA-N 0 1 304.459 3.353 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](c2ccccc2)C(C)C)CC1 ZINC001701060864 1202386274 /nfs/dbraw/zinc/38/62/74/1202386274.db2.gz WWQMZQQNHBJPRK-HXUWFJFHSA-N 0 1 324.468 3.198 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C2CCCCCC2)c(F)c1 ZINC001701063837 1202386603 /nfs/dbraw/zinc/38/66/03/1202386603.db2.gz YENDTEUYABPKQA-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1cc2ccccc2o1 ZINC001707046581 1202568869 /nfs/dbraw/zinc/56/88/69/1202568869.db2.gz IQCNBLCGXGCSKL-MRXNPFEDSA-N 0 1 312.413 3.084 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001707063871 1202571079 /nfs/dbraw/zinc/57/10/79/1202571079.db2.gz RTHXCIIEFIUMPL-ROUUACIJSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1cc(C)no1 ZINC001707161528 1202574943 /nfs/dbraw/zinc/57/49/43/1202574943.db2.gz MUAUVQJUXAPOOU-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC/C=C\c2ccccc2)[C@H]1C ZINC001707259081 1202578975 /nfs/dbraw/zinc/57/89/75/1202578975.db2.gz YLDRLSLTMAUNOJ-DOMOKVQJSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2occc2s1 ZINC001707275306 1202579997 /nfs/dbraw/zinc/57/99/97/1202579997.db2.gz MJHWKJLMTYBYPD-ZDUSSCGKSA-N 0 1 316.426 3.054 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(C2CC2)c1 ZINC001707275461 1202580189 /nfs/dbraw/zinc/58/01/89/1202580189.db2.gz AWMNCAHIOLMAEV-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)Cc1ccc(Cl)cc1F ZINC001707369537 1202581251 /nfs/dbraw/zinc/58/12/51/1202581251.db2.gz JRVSYBGLLABKPU-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN CCN(CCCNC(=O)CC(C)C)Cc1ccc(C#N)cc1F ZINC001707440992 1202585073 /nfs/dbraw/zinc/58/50/73/1202585073.db2.gz SMCYROMDRGPFMX-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1cccc(Cl)n1 ZINC001712950149 1202606049 /nfs/dbraw/zinc/60/60/49/1202606049.db2.gz OZCQECKOYZBWTI-UHFFFAOYSA-N 0 1 309.841 3.029 20 30 DGEDMN C#CCCCC(=O)N(C)C1CCN(Cc2ccc(F)cc2)CC1 ZINC001713054093 1202613059 /nfs/dbraw/zinc/61/30/59/1202613059.db2.gz SOVAUGXIQKXNIA-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC[C@H]2CCc3ccccc32)CC1 ZINC001713056455 1202613207 /nfs/dbraw/zinc/61/32/07/1202613207.db2.gz ATUIQEYLYGBMSZ-GOSISDBHSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)/C=C/C(C)(C)C)CC1 ZINC001713099460 1202616841 /nfs/dbraw/zinc/61/68/41/1202616841.db2.gz QPSBBSQSPFJTKV-FMIVXFBMSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC23CCN(CCF)CC3)CCCC1 ZINC001713098856 1202616880 /nfs/dbraw/zinc/61/68/80/1202616880.db2.gz WTKMAXJVQPNJQO-HNNXBMFYSA-N 0 1 308.441 3.063 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1csc(C)c1C)C1CC1 ZINC001713112676 1202618194 /nfs/dbraw/zinc/61/81/94/1202618194.db2.gz XZFWXTPVJPYUTG-CQSZACIVSA-N 0 1 312.866 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1cccc(F)c1F)C1CC1 ZINC001713116640 1202618425 /nfs/dbraw/zinc/61/84/25/1202618425.db2.gz HUFDDJXYUJCCBS-OAHLLOKOSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc(C2CC2)c1)C1CC1 ZINC001713126787 1202619368 /nfs/dbraw/zinc/61/93/68/1202619368.db2.gz OXKSIBLWLPKPKN-KRWDZBQOSA-N 0 1 318.848 3.415 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](CC)CC(F)(F)F ZINC001713257278 1202632464 /nfs/dbraw/zinc/63/24/64/1202632464.db2.gz YWMCGUYNSZZHKG-STQMWFEESA-N 0 1 306.372 3.122 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001713307278 1202637963 /nfs/dbraw/zinc/63/79/63/1202637963.db2.gz MLWFLSPMPBOIJM-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001713471521 1202655051 /nfs/dbraw/zinc/65/50/51/1202655051.db2.gz PIOKEFIBAQXCFW-LJQANCHMSA-N 0 1 315.461 3.323 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1[C@@H](C)c1ccccc1F ZINC001713504826 1202661762 /nfs/dbraw/zinc/66/17/62/1202661762.db2.gz QGDIVYKJLDCBLK-JKSUJKDBSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2cnoc2C)CCCCC1 ZINC001713642918 1202674682 /nfs/dbraw/zinc/67/46/82/1202674682.db2.gz AAYPGVNVUQVCMV-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CC[C@H](CC(=O)N(C)CCNCc1ccccc1C#N)C(C)C ZINC001713655360 1202675063 /nfs/dbraw/zinc/67/50/63/1202675063.db2.gz AIFDIYBZCJNKMV-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)c(C)c1)c1ccccc1 ZINC001713703630 1202678895 /nfs/dbraw/zinc/67/88/95/1202678895.db2.gz HWYGXZSOUYQZHE-HXUWFJFHSA-N 0 1 320.436 3.387 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccccc2)C1 ZINC001713721003 1202681611 /nfs/dbraw/zinc/68/16/11/1202681611.db2.gz HPPMLTBKPFKROR-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](CC)CC(F)F)C1 ZINC001713731913 1202683591 /nfs/dbraw/zinc/68/35/91/1202683591.db2.gz VBVCANCRCLTCFJ-OLZOCXBDSA-N 0 1 322.827 3.249 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(CCCCC(F)(F)F)C1 ZINC001713795863 1202691849 /nfs/dbraw/zinc/69/18/49/1202691849.db2.gz MPUYZTGMRFRHTO-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001713822260 1202692897 /nfs/dbraw/zinc/69/28/97/1202692897.db2.gz JPIISVPXTYAEAJ-PBHICJAKSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001713852374 1202695821 /nfs/dbraw/zinc/69/58/21/1202695821.db2.gz UKDAXHUKJJJXDD-OAHLLOKOSA-N 0 1 323.440 3.450 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2c(C)coc2C)C1 ZINC001713890080 1202699444 /nfs/dbraw/zinc/69/94/44/1202699444.db2.gz BDUJYZLWQAHZLP-OAHLLOKOSA-N 0 1 324.852 3.433 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(C)C)no1 ZINC001713912496 1202701536 /nfs/dbraw/zinc/70/15/36/1202701536.db2.gz ZRWCFQRSXNZKQO-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001713948958 1202704698 /nfs/dbraw/zinc/70/46/98/1202704698.db2.gz ZAGXZMUMKOTCKE-SFHVURJKSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001713954864 1202705338 /nfs/dbraw/zinc/70/53/38/1202705338.db2.gz DUANBPXMQMVSEJ-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001714004924 1202723130 /nfs/dbraw/zinc/72/31/30/1202723130.db2.gz YKQVAQLGBRBCKI-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001714004925 1202723490 /nfs/dbraw/zinc/72/34/90/1202723490.db2.gz YKQVAQLGBRBCKI-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001714011302 1202726417 /nfs/dbraw/zinc/72/64/17/1202726417.db2.gz JKKYQVRUYLPZHQ-ZMHGOHSBSA-N 0 1 323.440 3.113 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001714013112 1202727261 /nfs/dbraw/zinc/72/72/61/1202727261.db2.gz KNMIVVJCZTXSBQ-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001714043645 1202746157 /nfs/dbraw/zinc/74/61/57/1202746157.db2.gz BNZMAZTYQLBVIC-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1ccc(F)cc1F ZINC001714076520 1202763737 /nfs/dbraw/zinc/76/37/37/1202763737.db2.gz XKWXCUBCZGIFIO-OAHLLOKOSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C/C=C\c1ccc(F)cc1 ZINC001714082124 1202765441 /nfs/dbraw/zinc/76/54/41/1202765441.db2.gz HTVYBMUPXRZXJR-AWFCHZOYSA-N 0 1 324.827 3.466 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CC1CCCCCC1 ZINC001714113510 1202784100 /nfs/dbraw/zinc/78/41/00/1202784100.db2.gz GGTMMWAJRUNPBL-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCCN2C[C@@H](F)CC)CCC1 ZINC001714114149 1202785885 /nfs/dbraw/zinc/78/58/85/1202785885.db2.gz KPFYPVIMSWLEPI-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCC(NCc2cscn2)CC1 ZINC001714189951 1202824033 /nfs/dbraw/zinc/82/40/33/1202824033.db2.gz GCTPRVLETVCWGR-UHFFFAOYSA-N 0 1 321.490 3.262 20 30 DGEDMN CCCC[C@](C)(F)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001714248379 1202847359 /nfs/dbraw/zinc/84/73/59/1202847359.db2.gz DTXDKUHQLZQNRG-KSSFIOAISA-N 0 1 319.424 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cn(CC)c2ccccc12 ZINC001714252778 1202848197 /nfs/dbraw/zinc/84/81/97/1202848197.db2.gz FGKCJPJBPNKNME-ZDUSSCGKSA-N 0 1 319.836 3.122 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001714261063 1202852762 /nfs/dbraw/zinc/85/27/62/1202852762.db2.gz CMWHYWKNWLEKOZ-NEPJUHHUSA-N 0 1 310.388 3.332 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1ccc(Cl)cc1F ZINC001714265508 1202854391 /nfs/dbraw/zinc/85/43/91/1202854391.db2.gz XUKFRPGZGFGOBQ-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ccccc2F)CCC1 ZINC001714270229 1202856378 /nfs/dbraw/zinc/85/63/78/1202856378.db2.gz LZRBPYPRGURSTK-CQSZACIVSA-N 0 1 304.409 3.166 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001714270090 1202856748 /nfs/dbraw/zinc/85/67/48/1202856748.db2.gz VWCSWWCWHIXHPJ-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2ccns2)CCCCC1 ZINC001714284241 1202863805 /nfs/dbraw/zinc/86/38/05/1202863805.db2.gz LNPSZLLBHPJRCH-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN CC[C@@H](CC(=O)NC[C@@H](C)NCC#Cc1ccccc1)C(C)C ZINC001714299012 1202869856 /nfs/dbraw/zinc/86/98/56/1202869856.db2.gz HQVOHBUZQQVJMW-MJGOQNOKSA-N 0 1 314.473 3.205 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001714350510 1202892132 /nfs/dbraw/zinc/89/21/32/1202892132.db2.gz MQIMIUUJWYGXIV-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CN(CCNC(=O)C#CC(C)(C)C)Cc1cc2ccccc2o1 ZINC001714537657 1202985777 /nfs/dbraw/zinc/98/57/77/1202985777.db2.gz WTRLGEFEMSHTDF-UHFFFAOYSA-N 0 1 312.413 3.030 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001714540337 1202987113 /nfs/dbraw/zinc/98/71/13/1202987113.db2.gz WWXZSWSIIWAPGA-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001714566780 1203008107 /nfs/dbraw/zinc/00/81/07/1203008107.db2.gz YFJSPFYZTROMJD-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001714581253 1203022758 /nfs/dbraw/zinc/02/27/58/1203022758.db2.gz AQBXAFDVPXPGRX-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2ccc(F)c(Cl)c2)C1 ZINC001714628656 1203062614 /nfs/dbraw/zinc/06/26/14/1203062614.db2.gz JESXGVMOBGTAAA-AWEZNQCLSA-N 0 1 324.827 3.383 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)Cc1ccc(C)cc1Cl ZINC001714643100 1203068833 /nfs/dbraw/zinc/06/88/33/1203068833.db2.gz BFHDNVDVAWXSCQ-AWEZNQCLSA-N 0 1 321.852 3.136 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2nc(C)sc2C)C1 ZINC001714650085 1203075377 /nfs/dbraw/zinc/07/53/77/1203075377.db2.gz XAWJUFZHNITHBG-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1cc(C)co1 ZINC001714657549 1203080780 /nfs/dbraw/zinc/08/07/80/1203080780.db2.gz WUOHIFOKGUVNAA-UHFFFAOYSA-N 0 1 324.424 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)CCC(F)(F)F ZINC001714657276 1203081414 /nfs/dbraw/zinc/08/14/14/1203081414.db2.gz YXUQJXUDYWRZGZ-UHFFFAOYSA-N 0 1 308.388 3.369 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1occc1-c1ccccc1 ZINC001714733829 1203112329 /nfs/dbraw/zinc/11/23/29/1203112329.db2.gz KKQKZBWJZWKLKN-UHFFFAOYSA-N 0 1 318.804 3.361 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2ncc(C)s2)CCC1 ZINC001714744971 1203115489 /nfs/dbraw/zinc/11/54/89/1203115489.db2.gz GBGUZTUITWYAEZ-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccsc1)C(C)C ZINC001714816146 1203136214 /nfs/dbraw/zinc/13/62/14/1203136214.db2.gz GVHTZMMTWAHMRG-UHFFFAOYSA-N 0 1 300.855 3.331 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ncsc1C(C)C ZINC001714816451 1203136745 /nfs/dbraw/zinc/13/67/45/1203136745.db2.gz JDOJXCHAWXVNKU-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1C[C@@H]1C(C)C)C(C)C ZINC001714832551 1203144179 /nfs/dbraw/zinc/14/41/79/1203144179.db2.gz VMJWNZSLOHDIHL-HUUCEWRRSA-N 0 1 300.874 3.248 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC1CCC1)c1ccc(C(C)C)cc1 ZINC001714833445 1203144918 /nfs/dbraw/zinc/14/49/18/1203144918.db2.gz QMPDYHSWJVFGMV-LJQANCHMSA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccsc1C)c1ccccc1 ZINC001714837426 1203145409 /nfs/dbraw/zinc/14/54/09/1203145409.db2.gz SJWBFMWBQRPUTL-QGZVFWFLSA-N 0 1 312.438 3.141 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1occc1C(C)C)c1ccccc1 ZINC001714840742 1203147260 /nfs/dbraw/zinc/14/72/60/1203147260.db2.gz XSJNOHUMHUHBFH-QGZVFWFLSA-N 0 1 310.397 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)c(C)s1 ZINC001714842875 1203148706 /nfs/dbraw/zinc/14/87/06/1203148706.db2.gz PAQIRAFIGAKSSE-NSHDSACASA-N 0 1 300.855 3.168 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)Cc2ccccc2)C1 ZINC001714861539 1203161601 /nfs/dbraw/zinc/16/16/01/1203161601.db2.gz JLXOAOFKASVKDV-IEBWSBKVSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc[nH]c2C(C)C)C1 ZINC001714872467 1203169245 /nfs/dbraw/zinc/16/92/45/1203169245.db2.gz AVEMUCYGDPEITG-AWEZNQCLSA-N 0 1 323.868 3.332 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001714873647 1203169864 /nfs/dbraw/zinc/16/98/64/1203169864.db2.gz KOFARRWWBPETIF-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(OCC)c2)C1 ZINC001714876379 1203171612 /nfs/dbraw/zinc/17/16/12/1203171612.db2.gz XERJUOJLIMVMNS-KRWDZBQOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(C)c(OC)c(C)c2)C1 ZINC001714935122 1203206984 /nfs/dbraw/zinc/20/69/84/1203206984.db2.gz HBLSXAMKZQPJAY-OAHLLOKOSA-N 0 1 316.445 3.081 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001715041669 1203250507 /nfs/dbraw/zinc/25/05/07/1203250507.db2.gz GACYOPXVNFIROE-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001715042761 1203251213 /nfs/dbraw/zinc/25/12/13/1203251213.db2.gz GPNNZTUNNWZFLM-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001715044562 1203251992 /nfs/dbraw/zinc/25/19/92/1203251992.db2.gz KUIPGIZXFMZYGI-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)[C@H]1CCN(Cc2ccccn2)C1 ZINC001715045034 1203252535 /nfs/dbraw/zinc/25/25/35/1203252535.db2.gz PQXSKQPRYOHCEB-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1ccc(F)cc1F ZINC001715067041 1203261210 /nfs/dbraw/zinc/26/12/10/1203261210.db2.gz DZXAPTZEZJTATG-AWEZNQCLSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(F)c(Cl)c1 ZINC001715071613 1203263182 /nfs/dbraw/zinc/26/31/82/1203263182.db2.gz IQPDUPUFUKCHHF-NSHDSACASA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(Cl)c(F)c1 ZINC001715076396 1203264716 /nfs/dbraw/zinc/26/47/16/1203264716.db2.gz OCEHAIFYRPFFDG-LLVKDONJSA-N 0 1 319.207 3.330 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2cccc(Cl)c2)C1 ZINC001753383653 1203277820 /nfs/dbraw/zinc/27/78/20/1203277820.db2.gz JQPREYUBIAZHMI-UHFFFAOYSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C(C)(C)CC(C)C)C1 ZINC001715289272 1203307278 /nfs/dbraw/zinc/30/72/78/1203307278.db2.gz CCBHKSUDLVLVAB-SJORKVTESA-N 0 1 324.509 3.230 20 30 DGEDMN C#CCC1(C(=O)N2CCC3(CN(CC=C)C3)CC2)CCCCC1 ZINC001715315533 1203313431 /nfs/dbraw/zinc/31/34/31/1203313431.db2.gz AAWORNYOZIGBBL-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001715363101 1203325022 /nfs/dbraw/zinc/32/50/22/1203325022.db2.gz SJUJOJSCPPQJFF-KXBFYZLASA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C1CC1)C1CCCCC1 ZINC001715399454 1203334743 /nfs/dbraw/zinc/33/47/43/1203334743.db2.gz KQLOVQOXWQYKCW-XJKSGUPXSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1cc(C)no1 ZINC001715479939 1203348637 /nfs/dbraw/zinc/34/86/37/1203348637.db2.gz SYIJMSITUNMULY-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1cc(C)ccc1OC ZINC001715531481 1203363923 /nfs/dbraw/zinc/36/39/23/1203363923.db2.gz PFNRBQOAAXTVGP-UHFFFAOYSA-N 0 1 318.461 3.106 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715535553 1203365128 /nfs/dbraw/zinc/36/51/28/1203365128.db2.gz UEJBJZIXURDXDW-JKSUJKDBSA-N 0 1 315.461 3.131 20 30 DGEDMN CCN(CCNCc1cccc(F)c1Cl)C(=O)C#CC(C)C ZINC001715730606 1203385129 /nfs/dbraw/zinc/38/51/29/1203385129.db2.gz JYOIVYZRTZDYLW-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C2CC2)nc1C ZINC001715758572 1203389272 /nfs/dbraw/zinc/38/92/72/1203389272.db2.gz ZVTAVMSISZQCFM-UHFFFAOYSA-N 0 1 321.852 3.072 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1cccc(Cl)n1 ZINC001715866403 1203398977 /nfs/dbraw/zinc/39/89/77/1203398977.db2.gz SDHMGFCFVTXZHP-JTGQJZMRSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(F)cc2ccoc21 ZINC001716225377 1203450871 /nfs/dbraw/zinc/45/08/71/1203450871.db2.gz CXUOXKCSSOKXCZ-UHFFFAOYSA-N 0 1 324.783 3.376 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)NC/C=C\CNCC#Cc2ccccc2)CC1 ZINC001716365373 1203462564 /nfs/dbraw/zinc/46/25/64/1203462564.db2.gz HSDYBSMBXRLIMH-UIWLVAICSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001716407287 1203467826 /nfs/dbraw/zinc/46/78/26/1203467826.db2.gz GFXAYILQIAEZGM-IAQYHMDHSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001716409347 1203468000 /nfs/dbraw/zinc/46/80/00/1203468000.db2.gz DBFNNPYHTXXFTH-WBMJQRKESA-N 0 1 322.399 3.403 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001716459382 1203477948 /nfs/dbraw/zinc/47/79/48/1203477948.db2.gz HPCKVJZSGZTRGK-CVEARBPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001716489633 1203488850 /nfs/dbraw/zinc/48/88/50/1203488850.db2.gz MKRAAEYEOWRMBE-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C[C@H]1CCC[C@H](CCN2CC(N(C)C(=O)C#CC(C)(C)C)C2)C1 ZINC001716740986 1203535476 /nfs/dbraw/zinc/53/54/76/1203535476.db2.gz IHADGLUBMWSQQM-DLBZAZTESA-N 0 1 318.505 3.395 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C1CN(CCCC)C1)c1ccccc1 ZINC001716753906 1203538184 /nfs/dbraw/zinc/53/81/84/1203538184.db2.gz UHWPDRUNZDNLML-SFHVURJKSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2nocc2C)C[C@H]1C ZINC001716761251 1203539555 /nfs/dbraw/zinc/53/95/55/1203539555.db2.gz IUCTUEQCQGROLS-CABCVRRESA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)oc2C)C[C@@H]1C ZINC001716764747 1203540060 /nfs/dbraw/zinc/54/00/60/1203540060.db2.gz RAOFWLYBQXHHMJ-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC2(C=C)CCCCC2)CC1 ZINC001716797991 1203544632 /nfs/dbraw/zinc/54/46/32/1203544632.db2.gz DHQYEVOEAOVVFI-UHFFFAOYSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001716829909 1203549288 /nfs/dbraw/zinc/54/92/88/1203549288.db2.gz FRXUGYDADJWAES-AEFFLSMTSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CCC(C)C)CC1 ZINC001716882818 1203560771 /nfs/dbraw/zinc/56/07/71/1203560771.db2.gz ZRRVFQAADITJBU-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001716889074 1203562262 /nfs/dbraw/zinc/56/22/62/1203562262.db2.gz VQTBKMSBLDMBJV-LSDHHAIUSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)C2CC2)C1 ZINC001716912491 1203568400 /nfs/dbraw/zinc/56/84/00/1203568400.db2.gz JKXOIZVWPMLNCB-AWEZNQCLSA-N 0 1 314.420 3.072 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@@H]1C=CCC1)c1ccccc1CC ZINC001716954372 1203569370 /nfs/dbraw/zinc/56/93/70/1203569370.db2.gz SUSKZZKPOFSPCM-XLIONFOSSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)Cc3ccco3)cc2C1 ZINC001717270792 1203611277 /nfs/dbraw/zinc/61/12/77/1203611277.db2.gz LOLOEMONQUGNIF-UHFFFAOYSA-N 0 1 310.397 3.030 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)CCCCC)C(C)(C)C1 ZINC001717311752 1203617796 /nfs/dbraw/zinc/61/77/96/1203617796.db2.gz HEPOEOMQQDPXNZ-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1cc(C2CC2)no1 ZINC001717407870 1203637925 /nfs/dbraw/zinc/63/79/25/1203637925.db2.gz CPTVAWKHQPFIAO-STQMWFEESA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1csc(C(C)C)n1 ZINC001717430462 1203642409 /nfs/dbraw/zinc/64/24/09/1203642409.db2.gz GGRKFPVHGAIXIT-WDEREUQCSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCCc1cccs1 ZINC001717438077 1203644470 /nfs/dbraw/zinc/64/44/70/1203644470.db2.gz VGCVYPWGXWTRAJ-QWHCGFSZSA-N 0 1 314.882 3.306 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2cnoc2C)CCCC1 ZINC001717444220 1203645769 /nfs/dbraw/zinc/64/57/69/1203645769.db2.gz JELNBVFUCRXXBL-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cccc(C)n1 ZINC001717529604 1203672816 /nfs/dbraw/zinc/67/28/16/1203672816.db2.gz XTWBAXHSJLONJQ-CQSZACIVSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001717558983 1203681897 /nfs/dbraw/zinc/68/18/97/1203681897.db2.gz NJIALOTUCGHWQY-CVEARBPZSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001717558986 1203682027 /nfs/dbraw/zinc/68/20/27/1203682027.db2.gz NJIALOTUCGHWQY-JKSUJKDBSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCN[C@@H](C)c2ncc(C)o2)C1 ZINC001717684342 1203698608 /nfs/dbraw/zinc/69/86/08/1203698608.db2.gz KRHPDOUSGOVZGC-ZFWWWQNUSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001717703996 1203702582 /nfs/dbraw/zinc/70/25/82/1203702582.db2.gz FJVSLWBJEJLNKC-GXTWGEPZSA-N 0 1 300.874 3.344 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1nccc2ccccc21 ZINC001717738819 1203707569 /nfs/dbraw/zinc/70/75/69/1203707569.db2.gz NHTXJXVCZMBSMB-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(C)CCC(=O)N[C@]12CCC[C@H]1N(Cc1ccns1)CC2 ZINC001717837241 1203719263 /nfs/dbraw/zinc/71/92/63/1203719263.db2.gz MHZCOZBIDNTOJD-WBVHZDCISA-N 0 1 319.474 3.113 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C(C)(C)C1 ZINC001717889686 1203728759 /nfs/dbraw/zinc/72/87/59/1203728759.db2.gz DUVSIXWPZKBFKP-MRXNPFEDSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCCCC(=O)N(CCN(C)Cc1ccsc1)C(C)C ZINC001717947308 1203732798 /nfs/dbraw/zinc/73/27/98/1203732798.db2.gz QWLGBPIXSGBYAF-UHFFFAOYSA-N 0 1 306.475 3.220 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H]1CCCCC1(C)C)C(C)C ZINC001717952974 1203734806 /nfs/dbraw/zinc/73/48/06/1203734806.db2.gz UJHYDYBXIWNXOT-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001717965052 1203737188 /nfs/dbraw/zinc/73/71/88/1203737188.db2.gz IPMKDUYMHXIZOK-UONOGXRCSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1occc1C)C(C)(C)C ZINC001717967190 1203737436 /nfs/dbraw/zinc/73/74/36/1203737436.db2.gz ZCGQWJPJXOTXPA-AWEZNQCLSA-N 0 1 312.841 3.004 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2ocnc2C)CC1 ZINC001717979128 1203739298 /nfs/dbraw/zinc/73/92/98/1203739298.db2.gz WSUBIPIPJQUAGC-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](F)CC2CCCCC2)CC1 ZINC001718031090 1203744685 /nfs/dbraw/zinc/74/46/85/1203744685.db2.gz LDOSQZTZJDBFMM-GOSISDBHSA-N 0 1 320.452 3.067 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001722917804 1203951899 /nfs/dbraw/zinc/95/18/99/1203951899.db2.gz XGXRRCMIMXVPPE-JKSUJKDBSA-N 0 1 300.446 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc2occc2s1)C1CC1 ZINC001723333315 1203964178 /nfs/dbraw/zinc/96/41/78/1203964178.db2.gz PNLMPTHDBGISCB-LLVKDONJSA-N 0 1 324.833 3.345 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cc(C)sc1C ZINC001723445931 1203972736 /nfs/dbraw/zinc/97/27/36/1203972736.db2.gz GZEKBNJXDUERHR-HNNXBMFYSA-N 0 1 306.475 3.268 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1[C@@H](C)c1cccc(C)c1 ZINC001723446292 1203973002 /nfs/dbraw/zinc/97/30/02/1203973002.db2.gz JRHATHVLZIKBLU-HKUYNNGSSA-N 0 1 312.457 3.440 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001723450741 1203973739 /nfs/dbraw/zinc/97/37/39/1203973739.db2.gz KZKYPNXYRBKTNW-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2CCCc2ccsc2)C1 ZINC001723458704 1203974821 /nfs/dbraw/zinc/97/48/21/1203974821.db2.gz UIYFXPRSSJHFHW-MRXNPFEDSA-N 0 1 318.486 3.228 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3cccnc3c2)C1 ZINC001723801472 1203993573 /nfs/dbraw/zinc/99/35/73/1203993573.db2.gz DGDRBKQASGMROO-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@@H](C)c2ccco2)C1 ZINC001723812458 1203994158 /nfs/dbraw/zinc/99/41/58/1203994158.db2.gz DSKYXZBFJGGTMQ-CABCVRRESA-N 0 1 304.434 3.271 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc3ccccc3[nH]2)C1 ZINC001723815409 1203994651 /nfs/dbraw/zinc/99/46/51/1203994651.db2.gz RGRKXTPXLBWOSL-AWEZNQCLSA-N 0 1 311.429 3.279 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(C)n1)C1CCCC1 ZINC001724071960 1204002945 /nfs/dbraw/zinc/00/29/45/1204002945.db2.gz IRQMNHOGIHWRNK-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001724174548 1204010945 /nfs/dbraw/zinc/01/09/45/1204010945.db2.gz IVOBKNNHISLNGD-APWZRJJASA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001724342238 1204023526 /nfs/dbraw/zinc/02/35/26/1204023526.db2.gz GDDFWCPDONFKMF-APWZRJJASA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)CCCCCC ZINC001724656136 1204036806 /nfs/dbraw/zinc/03/68/06/1204036806.db2.gz QYTKDEULRMXIKD-KZNAEPCWSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC[C@@H](C)C1 ZINC001724737668 1204041540 /nfs/dbraw/zinc/04/15/40/1204041540.db2.gz DAWLPCWVUHHDPH-IAGOWNOFSA-N 0 1 312.457 3.194 20 30 DGEDMN C=CCCCN1Cc2ccccc2C[C@H]1CNC(=O)C(C)(F)F ZINC001724892743 1204063372 /nfs/dbraw/zinc/06/33/72/1204063372.db2.gz HSTCJYWKBHEBRH-INIZCTEOSA-N 0 1 322.399 3.151 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001724896320 1204064849 /nfs/dbraw/zinc/06/48/49/1204064849.db2.gz ZDHFHXLNWSLJQE-IBGZPJMESA-N 0 1 312.457 3.318 20 30 DGEDMN C#CCCCCCC(=O)NCc1ccc2c(c1)CN(CCC#C)C2 ZINC001724921742 1204066030 /nfs/dbraw/zinc/06/60/30/1204066030.db2.gz AWBAXNKRTKKXMC-UHFFFAOYSA-N 0 1 322.452 3.225 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2conc2C)CC1(C)C ZINC001724970275 1204073586 /nfs/dbraw/zinc/07/35/86/1204073586.db2.gz JQLJFJMBIMLPEA-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1sccc1C1CC1 ZINC001725053879 1204086395 /nfs/dbraw/zinc/08/63/95/1204086395.db2.gz PRPBQSVMDNXOFC-HNNXBMFYSA-N 0 1 316.470 3.185 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1oc(CC)nc1C ZINC001725088631 1204092402 /nfs/dbraw/zinc/09/24/02/1204092402.db2.gz ILAHJGNJHBCTHT-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2ccc(F)cc2F)C1 ZINC001725112554 1204097115 /nfs/dbraw/zinc/09/71/15/1204097115.db2.gz WRONBWYTAUGZAQ-QWHCGFSZSA-N 0 1 322.399 3.304 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)s1 ZINC001725155368 1204105278 /nfs/dbraw/zinc/10/52/78/1204105278.db2.gz ILYKXCQCVLGWAX-LBPRGKRZSA-N 0 1 312.866 3.142 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)Cc1ccc(CCCC)cc1 ZINC001725175042 1204111765 /nfs/dbraw/zinc/11/17/65/1204111765.db2.gz UEKAYGQHWQRHOV-QGZVFWFLSA-N 0 1 314.473 3.032 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(C)Cc2ccsc2)CC1 ZINC001725180123 1204112512 /nfs/dbraw/zinc/11/25/12/1204112512.db2.gz NVDCXRBLMLHULU-CQSZACIVSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725261833 1204129951 /nfs/dbraw/zinc/12/99/51/1204129951.db2.gz ZQLAJOBYODADHO-LIRRHRJNSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725261830 1204130004 /nfs/dbraw/zinc/13/00/04/1204130004.db2.gz ZQLAJOBYODADHO-AUUYWEPGSA-N 0 1 318.436 3.365 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@@H](C)CCC=C(C)C)C(C)(C)C1 ZINC001725286523 1204132822 /nfs/dbraw/zinc/13/28/22/1204132822.db2.gz FYVLLCJTTAJTEC-DLBZAZTESA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C(CC(C)C)CC(C)C)C2)C1 ZINC001725344687 1204139332 /nfs/dbraw/zinc/13/93/32/1204139332.db2.gz RVQPFJGXZBWVER-UHFFFAOYSA-N 0 1 318.505 3.252 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001725363859 1204141171 /nfs/dbraw/zinc/14/11/71/1204141171.db2.gz PYMBZVQXQIBVEI-ZQIUZPCESA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C/C=C/Cc1ccccc1 ZINC001725499639 1204159800 /nfs/dbraw/zinc/15/98/00/1204159800.db2.gz XEWWOBNOMOXHFK-WAVCKPEOSA-N 0 1 320.864 3.364 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001725510794 1204162537 /nfs/dbraw/zinc/16/25/37/1204162537.db2.gz VSFVWPQEUNYCIA-HYVNUMGLSA-N 0 1 320.864 3.288 20 30 DGEDMN Cc1cc(CN2CCC[C@H](NC(=O)C#CC(C)C)[C@@H]2C)cs1 ZINC001725614417 1204180167 /nfs/dbraw/zinc/18/01/67/1204180167.db2.gz AZLUBQMMNVMENK-RDJZCZTQSA-N 0 1 318.486 3.185 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CC2(C=C)CCCCC2)[C@@H]1C ZINC001725630943 1204186159 /nfs/dbraw/zinc/18/61/59/1204186159.db2.gz OCDDNHAAOHBLOS-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC/C=C\c2ccccc2)[C@@H]1C ZINC001725642252 1204188845 /nfs/dbraw/zinc/18/88/45/1204188845.db2.gz UZHCBOIQFYEWEM-NYVSTNCNSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)C(F)(F)F)C(C)(C)C ZINC001725787923 1204222986 /nfs/dbraw/zinc/22/29/86/1204222986.db2.gz DWXHHDNALBIKHI-NXEZZACHSA-N 0 1 314.779 3.058 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2ccccc2F)CC1 ZINC001725815821 1204226378 /nfs/dbraw/zinc/22/63/78/1204226378.db2.gz LARAGCGTGQKCIR-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc[nH]c1C(C)C ZINC001731316627 1204371899 /nfs/dbraw/zinc/37/18/99/1204371899.db2.gz XUASCNYNTNWFJA-UHFFFAOYSA-N 0 1 311.857 3.332 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](CCCC)C(C)C)CC1 ZINC001731350982 1204381500 /nfs/dbraw/zinc/38/15/00/1204381500.db2.gz QSJNCGFWFXIIQE-GOSISDBHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001731379925 1204389422 /nfs/dbraw/zinc/38/94/22/1204389422.db2.gz ZGVSKTQCWOAVPY-AWEZNQCLSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2Cc3ccccc32)CC1 ZINC001731387154 1204391572 /nfs/dbraw/zinc/39/15/72/1204391572.db2.gz HFFYULHMIJNCPA-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)c2ccccc2)CC1 ZINC001731388000 1204392673 /nfs/dbraw/zinc/39/26/73/1204392673.db2.gz QORWOJCKFQUYIN-QGZVFWFLSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1ncc(C)s1)C1CC1 ZINC001731405076 1204399248 /nfs/dbraw/zinc/39/92/48/1204399248.db2.gz JBTNOEDNEBODAI-RHSMWYFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CN(C)Cc2cncs2)C1 ZINC001731422200 1204408062 /nfs/dbraw/zinc/40/80/62/1204408062.db2.gz SNXLSYJADIVBEM-OAHLLOKOSA-N 0 1 321.490 3.170 20 30 DGEDMN CC(C)CC(=O)NC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001731528086 1204443864 /nfs/dbraw/zinc/44/38/64/1204443864.db2.gz JIJIGVSTAFEIAZ-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)c1ccccc1C ZINC001731542923 1204453892 /nfs/dbraw/zinc/45/38/92/1204453892.db2.gz SDGUBSBUCWIAAA-KRWDZBQOSA-N 0 1 314.473 3.429 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H]1CCCN(CC=C(Cl)Cl)C1 ZINC001731554465 1204459728 /nfs/dbraw/zinc/45/97/28/1204459728.db2.gz FFKVXFFXRHZCDS-CHWSQXEVSA-N 0 1 319.276 3.488 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731580543 1204471016 /nfs/dbraw/zinc/47/10/16/1204471016.db2.gz DNXSEFKLGHQALQ-KEQVLUGWSA-N 0 1 318.848 3.142 20 30 DGEDMN CCC(CC)CC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001731626717 1204488308 /nfs/dbraw/zinc/48/83/08/1204488308.db2.gz LTTJDMRDGKAALQ-OAHLLOKOSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(F)(F)F)cc1 ZINC001731631281 1204490441 /nfs/dbraw/zinc/49/04/41/1204490441.db2.gz PCPQNNKMIBVEAC-SNVBAGLBSA-N 0 1 320.742 3.166 20 30 DGEDMN C=CCCC(=O)NCC[C@@H](C)NCc1csc(C(C)(C)C)n1 ZINC001731724110 1204524247 /nfs/dbraw/zinc/52/42/47/1204524247.db2.gz XMDYLSROUMCPII-CYBMUJFWSA-N 0 1 323.506 3.391 20 30 DGEDMN CCN(CCNC(=O)C(C)(C)CC)Cc1ccc(F)c(C#N)c1 ZINC001731809177 1204575990 /nfs/dbraw/zinc/57/59/90/1204575990.db2.gz FVEQKHFBMARIRQ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1sc(C)nc1C ZINC001731832991 1204591758 /nfs/dbraw/zinc/59/17/58/1204591758.db2.gz NIGCIVKKGNUNKH-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001731833805 1204592774 /nfs/dbraw/zinc/59/27/74/1204592774.db2.gz RENKQVDINYAQHL-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN([C@@H](C)c2cc(F)ccc2F)C1 ZINC001731841981 1204598453 /nfs/dbraw/zinc/59/84/53/1204598453.db2.gz JDGDNPFRMLCDKE-UONOGXRCSA-N 0 1 322.399 3.430 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cc(C)ccc2F)CCC1 ZINC001731950326 1204634027 /nfs/dbraw/zinc/63/40/27/1204634027.db2.gz FJBUFUHECASJOU-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)C[C@H](CC)C(C)C ZINC001731975323 1204638754 /nfs/dbraw/zinc/63/87/54/1204638754.db2.gz YRVJUHDSXBYHHG-ZDUSSCGKSA-N 0 1 319.287 3.015 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCc1ccc(C)cc1)c1ccccc1 ZINC001732023709 1204653174 /nfs/dbraw/zinc/65/31/74/1204653174.db2.gz SNAGIGGPELZLRQ-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCCCC1(C)C)c1ccccc1 ZINC001732026776 1204654222 /nfs/dbraw/zinc/65/42/22/1204654222.db2.gz MYNWBGVUVPTLEN-ROUUACIJSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@@H](C)CCC)C1 ZINC001732045750 1204666523 /nfs/dbraw/zinc/66/65/23/1204666523.db2.gz BPRDREOXACSWNW-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@@H](C)CCC)C1 ZINC001732067239 1204677702 /nfs/dbraw/zinc/67/77/02/1204677702.db2.gz PMUWQHZFAPMKQW-ZFWWWQNUSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3cccnc3c2)C1 ZINC001732112981 1204698800 /nfs/dbraw/zinc/69/88/00/1204698800.db2.gz JBXGIDZFTSNJDU-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCCCN1CC(NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001732117468 1204701541 /nfs/dbraw/zinc/70/15/41/1204701541.db2.gz WSNYHDVSTQODPK-UHFFFAOYSA-N 0 1 309.413 3.062 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001732134318 1204708779 /nfs/dbraw/zinc/70/87/79/1204708779.db2.gz OKOLIONTFARAHR-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCCc2ccc(C)cc2)C1 ZINC001732139903 1204710522 /nfs/dbraw/zinc/71/05/22/1204710522.db2.gz QLKDCXNVDSOAJJ-UHFFFAOYSA-N 0 1 314.473 3.332 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCCc2ccccc21 ZINC001732142942 1204711504 /nfs/dbraw/zinc/71/15/04/1204711504.db2.gz LQOXPPDFYGDPPN-CMKODMSKSA-N 0 1 322.452 3.009 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCc2ccccc21 ZINC001732144745 1204713113 /nfs/dbraw/zinc/71/31/13/1204713113.db2.gz GSTDCGOUQXTSME-JENIJYKNSA-N 0 1 310.441 3.315 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001732169906 1204726267 /nfs/dbraw/zinc/72/62/67/1204726267.db2.gz WZPUTNGAKHZRNL-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2c(c1)CCCC2 ZINC001732218646 1204755997 /nfs/dbraw/zinc/75/59/97/1204755997.db2.gz GZGBQWGJDPONHW-KRWDZBQOSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](C)C2CCC2)CC1 ZINC001732323663 1204791656 /nfs/dbraw/zinc/79/16/56/1204791656.db2.gz UQYZNGACRRIYJI-CQSZACIVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(Cl)cc1C ZINC001732438302 1204840439 /nfs/dbraw/zinc/84/04/39/1204840439.db2.gz WKHUNSDYKRETRA-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C12CCC(CC1)C2 ZINC001732465641 1204858047 /nfs/dbraw/zinc/85/80/47/1204858047.db2.gz DEHAKYXGZGHIHO-CKDBGZEDSA-N 0 1 312.885 3.440 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732594184 1204915027 /nfs/dbraw/zinc/91/50/27/1204915027.db2.gz FRZJRJVIBPRBLS-HOTGVXAUSA-N 0 1 315.461 3.131 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(CC)CC)CC[C@H]21 ZINC001732612040 1204922299 /nfs/dbraw/zinc/92/22/99/1204922299.db2.gz YCWMHNQZUBRTLR-HZPDHXFCSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCN[C@H](C)c1ncc(C)o1 ZINC001732719139 1204933341 /nfs/dbraw/zinc/93/33/41/1204933341.db2.gz GDFSMIUPJTZLNM-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C(C)C)nc1C ZINC001732898848 1204956742 /nfs/dbraw/zinc/95/67/42/1204956742.db2.gz FGGNBPDMEPWKBF-AATRIKPKSA-N 0 1 321.852 3.142 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(F)c2occc21 ZINC001732937310 1204960413 /nfs/dbraw/zinc/96/04/13/1204960413.db2.gz QQDWGHOKSLETRR-NSCUHMNNSA-N 0 1 322.767 3.200 20 30 DGEDMN O=C(NC/C=C/CNCC#Cc1ccccc1)C1CCC=CCC1 ZINC001732935976 1204960740 /nfs/dbraw/zinc/96/07/40/1204960740.db2.gz MCCIXJNDEVULDM-CMDGGOBGSA-N 0 1 322.452 3.047 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1ccc(F)cc1Cl ZINC001732950789 1204963500 /nfs/dbraw/zinc/96/35/00/1204963500.db2.gz UFMZGJJFYNOCFO-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001733238708 1205064512 /nfs/dbraw/zinc/06/45/12/1205064512.db2.gz VKEXYDLZXHFPMC-ROUUACIJSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001733238706 1205064834 /nfs/dbraw/zinc/06/48/34/1205064834.db2.gz VKEXYDLZXHFPMC-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001733260344 1205072662 /nfs/dbraw/zinc/07/26/62/1205072662.db2.gz NMHQLUJHIDGUET-CHWSQXEVSA-N 0 1 300.874 3.296 20 30 DGEDMN CCC(C)(CC)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001733263952 1205073769 /nfs/dbraw/zinc/07/37/69/1205073769.db2.gz QBYMUQGYKBAEKL-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@H]1CCN(CC(=C)Cl)C1 ZINC001733269958 1205077009 /nfs/dbraw/zinc/07/70/09/1205077009.db2.gz UEEDICVCIALSRJ-DLBZAZTESA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001733304170 1205088646 /nfs/dbraw/zinc/08/86/46/1205088646.db2.gz HEOAUHINRJPDAL-JKSUJKDBSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001733304164 1205088777 /nfs/dbraw/zinc/08/87/77/1205088777.db2.gz HEOAUHINRJPDAL-CVEARBPZSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC001733313009 1205092077 /nfs/dbraw/zinc/09/20/77/1205092077.db2.gz MIJSMPJABZIVIG-OAGGEKHMSA-N 0 1 304.478 3.168 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3ccncc3c2)C1 ZINC001733314691 1205092183 /nfs/dbraw/zinc/09/21/83/1205092183.db2.gz CMWBRWRDURJSJZ-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)c(C)o2)C[C@H]1C ZINC001733328345 1205097611 /nfs/dbraw/zinc/09/76/11/1205097611.db2.gz PUOADOMRSFJXPV-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1cnoc1C)C1CCCC1 ZINC001733378027 1205109869 /nfs/dbraw/zinc/10/98/69/1205109869.db2.gz AKTZLABWOBEVFY-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC001733391588 1205114934 /nfs/dbraw/zinc/11/49/34/1205114934.db2.gz LQURDQUMEFLDTC-CABCVRRESA-N 0 1 319.474 3.065 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)CCCCCc2cccs2)C1 ZINC001733419441 1205127876 /nfs/dbraw/zinc/12/78/76/1205127876.db2.gz XGSZLLWXPKNSDG-SFHVURJKSA-N 0 1 318.486 3.065 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C[C@H](C)CC)C1 ZINC001733474066 1205148624 /nfs/dbraw/zinc/14/86/24/1205148624.db2.gz BWVKKCHQCNTSPW-ZIAGYGMSSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](CCC)C(C)C)C1 ZINC001733476591 1205149674 /nfs/dbraw/zinc/14/96/74/1205149674.db2.gz JDFCFTJWBATEIS-GJZGRUSLSA-N 0 1 316.436 3.318 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(C)(F)F)c1ccccc1CC ZINC001733519299 1205152422 /nfs/dbraw/zinc/15/24/22/1205152422.db2.gz WQOKPUBAVYWQKC-INIZCTEOSA-N 0 1 322.399 3.065 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cocc1C)c1ccccc1CC ZINC001733518885 1205152892 /nfs/dbraw/zinc/15/28/92/1205152892.db2.gz ROHPDZQNTPSDSS-IBGZPJMESA-N 0 1 324.424 3.234 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(CC)CC1)c1ccccc1CC ZINC001733519092 1205152908 /nfs/dbraw/zinc/15/29/08/1205152908.db2.gz UHTMXDHUAWBQSS-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN CCCCCC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001733712709 1205190985 /nfs/dbraw/zinc/19/09/85/1205190985.db2.gz PZNIQDKNVQSLMG-UHFFFAOYSA-N 0 1 312.457 3.247 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1c(C)noc1C ZINC001733777364 1205212993 /nfs/dbraw/zinc/21/29/93/1205212993.db2.gz LOJSFZNCHOOMDN-UHFFFAOYSA-N 0 1 321.465 3.174 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CC(C)(C)C=C ZINC001733809486 1205223028 /nfs/dbraw/zinc/22/30/28/1205223028.db2.gz WTUUPXNROBZGRC-IBGZPJMESA-N 0 1 324.468 3.155 20 30 DGEDMN CC(C)C#CC(=O)NCc1ccc2c(c1)CN(CC[C@@H](C)F)C2 ZINC001733835268 1205227509 /nfs/dbraw/zinc/22/75/09/1205227509.db2.gz AWOONSGUQBLFHP-OAHLLOKOSA-N 0 1 316.420 3.026 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccnc(Cl)c1 ZINC001733924016 1205256178 /nfs/dbraw/zinc/25/61/78/1205256178.db2.gz CBXHHOFZAWICKK-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001733924512 1205256852 /nfs/dbraw/zinc/25/68/52/1205256852.db2.gz BKPIEQDBQBVRCZ-PKOBYXMFSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c2ccc(F)cc12 ZINC001734001851 1205284693 /nfs/dbraw/zinc/28/46/93/1205284693.db2.gz AWDXLIMBOPHVIS-WDEREUQCSA-N 0 1 323.799 3.156 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(C2CC2)c1 ZINC001734003672 1205285629 /nfs/dbraw/zinc/28/56/29/1205285629.db2.gz GQPVXCFXZOUZFJ-OLZOCXBDSA-N 0 1 306.837 3.413 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1ccc(Cl)cc1 ZINC001734010223 1205287930 /nfs/dbraw/zinc/28/79/30/1205287930.db2.gz YNPMEISMSOEWJU-RYUDHWBXSA-N 0 1 315.244 3.118 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H](CCCC)CNCc1ccccc1C#N ZINC001734094195 1205320381 /nfs/dbraw/zinc/32/03/81/1205320381.db2.gz GNQSDDQIARSVHR-LQBXZKJLSA-N 0 1 313.445 3.289 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001734102945 1205324132 /nfs/dbraw/zinc/32/41/32/1205324132.db2.gz CSGJBSCIRQWKEA-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CC)CCCCCC)C(C)(C)C1 ZINC001734151246 1205336271 /nfs/dbraw/zinc/33/62/71/1205336271.db2.gz ZIMUELRQESEMJB-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734152154 1205336672 /nfs/dbraw/zinc/33/66/72/1205336672.db2.gz JTESVHCUTFLPGL-FUHWJXTLSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734155018 1205337354 /nfs/dbraw/zinc/33/73/54/1205337354.db2.gz WATHYYLSWPGMGH-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001734214990 1205344846 /nfs/dbraw/zinc/34/48/46/1205344846.db2.gz FIBYCBYMQXUNSJ-XZQWCENXSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNCc1csc(C)n1 ZINC001734246368 1205349254 /nfs/dbraw/zinc/34/92/54/1205349254.db2.gz HEJRKNWUYYJZDF-ZDUSSCGKSA-N 0 1 323.506 3.428 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccccc1F ZINC001734293161 1205358192 /nfs/dbraw/zinc/35/81/92/1205358192.db2.gz VFHOMRQQECXPIU-OAHLLOKOSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(F)cc1C ZINC001734312602 1205362490 /nfs/dbraw/zinc/36/24/90/1205362490.db2.gz SYXCZIIUXHSXAF-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001734346009 1205368581 /nfs/dbraw/zinc/36/85/81/1205368581.db2.gz SNNCBJJQUVMIRV-SJCJKPOMSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(c2cccc(F)c2)CC1 ZINC001734347457 1205368965 /nfs/dbraw/zinc/36/89/65/1205368965.db2.gz RYTPLNAAGRJUGN-ZDUSSCGKSA-N 0 1 324.827 3.046 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ncc(C)s2)[C@H]1C ZINC001734396059 1205372169 /nfs/dbraw/zinc/37/21/69/1205372169.db2.gz PRRADMXHSPTCNR-ZIAGYGMSSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cccc(OC)c2)[C@H]1C ZINC001734451180 1205379174 /nfs/dbraw/zinc/37/91/74/1205379174.db2.gz QVUDJDSOYXRJJJ-YJBOKZPZSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cccnc2C)[C@@H]1C ZINC001734454586 1205379883 /nfs/dbraw/zinc/37/98/83/1205379883.db2.gz CYVVUAGNIATQCZ-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(Cc3ccccc3)CC2)[C@@H]1C ZINC001734459777 1205381398 /nfs/dbraw/zinc/38/13/98/1205381398.db2.gz OHLDSCIALZNDQP-HKUYNNGSSA-N 0 1 324.468 3.002 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC/C=C/c2ccccc2)[C@H]1C ZINC001734460899 1205381596 /nfs/dbraw/zinc/38/15/96/1205381596.db2.gz QNEPXINOQKJHGP-ZWSFKOMGSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C(C)(C)C1 ZINC001734491481 1205386556 /nfs/dbraw/zinc/38/65/56/1205386556.db2.gz UACUFHFASFAABR-INIZCTEOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001734559604 1205394643 /nfs/dbraw/zinc/39/46/43/1205394643.db2.gz DFVACMCEDRZOIY-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCc1ccc(CNCC#CC)cc1F ZINC001734641161 1205408828 /nfs/dbraw/zinc/40/88/28/1205408828.db2.gz DXBDXTULSDXQEV-CHHVJCJISA-N 0 1 314.404 3.077 20 30 DGEDMN C[C@H]1CN(c2nc3ccccc3c(Cl)c2C#N)[C@@H](C)CN1C ZINC001164633090 719232220 /nfs/dbraw/zinc/23/22/20/719232220.db2.gz CWWMRMFZXCSWNR-RYUDHWBXSA-N 0 1 314.820 3.289 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)CNCc2cscn2)CCCC1 ZINC001666678554 1197279205 /nfs/dbraw/zinc/27/92/05/1197279205.db2.gz RIXKRFCLAPYELW-CQSZACIVSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001671683579 1197561684 /nfs/dbraw/zinc/56/16/84/1197561684.db2.gz CHMYVSUPTRSSJM-ABAIWWIYSA-N 0 1 312.866 3.272 20 30 DGEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC001589604795 949229549 /nfs/dbraw/zinc/22/95/49/949229549.db2.gz HLBXCNHVHXZJNT-KRWDZBQOSA-N 0 1 305.805 3.268 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588607402 959486423 /nfs/dbraw/zinc/48/64/23/959486423.db2.gz CIOQUQUXWUYZST-JKSUJKDBSA-N 0 1 312.413 3.030 20 30 DGEDMN O=C([O-])Cc1ccc(N[NH+]=Cc2ccc(N3CCCC3)cc2)cc1 ZINC001349825044 962483457 /nfs/dbraw/zinc/48/34/57/962483457.db2.gz VVXZKKRIUCPMNB-UHFFFAOYSA-N 0 1 323.396 3.360 20 30 DGEDMN CC[C@]([NH2+]Cc1ccc(C#N)s1)(C(=O)[O-])c1ccccc1 ZINC001595299150 980424470 /nfs/dbraw/zinc/42/44/70/980424470.db2.gz LPYWMUOCYDISBW-MRXNPFEDSA-N 0 1 300.383 3.099 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1cc(C#N)cnc1Cl)CC1CC1 ZINC001594787903 981829552 /nfs/dbraw/zinc/82/95/52/981829552.db2.gz PJSBEAMATDCFPW-MRXNPFEDSA-N 0 1 321.808 3.072 20 30 DGEDMN C#CC[N@H+](Cc1cc(C)c(C(=O)[O-])[nH]1)[C@@H]1CCc2ccccc21 ZINC001588469719 983502688 /nfs/dbraw/zinc/50/26/88/983502688.db2.gz OOYXBPXEOKADSV-QGZVFWFLSA-N 0 1 308.381 3.144 20 30 DGEDMN C#CC[N@H+](Cc1ccccc1O[C@@H](C)C(=O)[O-])C1CCCCC1 ZINC001588471185 983504856 /nfs/dbraw/zinc/50/48/56/983504856.db2.gz DCOKTOGVNWVAQK-HNNXBMFYSA-N 0 1 315.413 3.306 20 30 DGEDMN C#CC[N@@H+](Cc1ccccc1)Cc1ccc(C2(C(=O)[O-])CC2)cc1 ZINC001588471268 983505789 /nfs/dbraw/zinc/50/57/89/983505789.db2.gz GXRLKIYGKCFQQQ-UHFFFAOYSA-N 0 1 319.404 3.438 20 30 DGEDMN CC[N@@H+](Cc1ccc(OC)cc1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001596362760 983842558 /nfs/dbraw/zinc/84/25/58/983842558.db2.gz NTOQCHBZUOIOJT-SFHVURJKSA-N 0 1 324.380 3.215 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](CC)Cc1cccc(Br)c1 ZINC001588728403 983981855 /nfs/dbraw/zinc/98/18/55/983981855.db2.gz JQZIVUOIAIYMRL-CYBMUJFWSA-N 0 1 312.207 3.300 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC001588731504 984009723 /nfs/dbraw/zinc/00/97/23/984009723.db2.gz JDXIRGWSUGWMFT-OAHLLOKOSA-N 0 1 304.777 3.209 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ccccc2O[C@@H](C)C(=O)[O-])C1 ZINC001588772938 984145836 /nfs/dbraw/zinc/14/58/36/984145836.db2.gz RAABTZXGWIIAOW-GJZGRUSLSA-N 0 1 303.402 3.327 20 30 DGEDMN C=CC[N@@H+](CCOc1ccccc1C(=O)[O-])CCc1ccco1 ZINC001588828746 984309174 /nfs/dbraw/zinc/30/91/74/984309174.db2.gz MGYXCXMSOBXZKC-UHFFFAOYSA-N 0 1 315.369 3.087 20 30 DGEDMN C=CCOc1ccccc1C[NH2+]Cc1cc(C)c(C(=O)[O-])o1 ZINC001588877411 984453344 /nfs/dbraw/zinc/45/33/44/984453344.db2.gz NTXYDIDDQUVPMY-UHFFFAOYSA-N 0 1 301.342 3.141 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC001599879033 989457949 /nfs/dbraw/zinc/45/79/49/989457949.db2.gz YQZINICSSBZDDO-ZIAGYGMSSA-N 0 1 300.402 3.173 20 30 DGEDMN CC(C)(CC[N@H+]1CCC[C@@H]1[C@@H](C#N)c1ccccc1)C(=O)[O-] ZINC001590670719 990374178 /nfs/dbraw/zinc/37/41/78/990374178.db2.gz IRFCQTMCSXPTEU-JKSUJKDBSA-N 0 1 300.402 3.259 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1sccc1Cl ZINC001132302922 1082873042 /nfs/dbraw/zinc/87/30/42/1082873042.db2.gz ZZXYCGCWGZAKRM-UHFFFAOYSA-N 0 1 300.855 3.210 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN(CCOC(C)C)C2)CCCC1 ZINC001266254600 1081583927 /nfs/dbraw/zinc/58/39/27/1081583927.db2.gz OUHXIOPKPPNIKR-KRWDZBQOSA-N 0 1 322.493 3.129 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1c(Cl)cccc1C(F)(F)F ZINC000088475767 1081599387 /nfs/dbraw/zinc/59/93/87/1081599387.db2.gz IIJLGKLJUSNJIH-LURJTMIESA-N 0 1 312.700 3.013 20 30 DGEDMN COC(=O)c1ccc(Nc2ccc(Cl)c(O)c2)c(C#N)c1 ZINC001212595499 1081661244 /nfs/dbraw/zinc/66/12/44/1081661244.db2.gz WXDNJOGBOHOBBX-UHFFFAOYSA-N 0 1 302.717 3.447 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)C/C=C\c2ccccc2)CC1 ZINC001266320595 1081663643 /nfs/dbraw/zinc/66/36/43/1081663643.db2.gz NAHBQSAKYRNXAT-TWGQIWQCSA-N 0 1 312.457 3.494 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001282451721 1081670374 /nfs/dbraw/zinc/67/03/74/1081670374.db2.gz YYNFWZMQUHDWAY-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CCCCN1CCN(c2ccc(C(=O)OC)cc2Cl)CC1 ZINC001209163292 1081693975 /nfs/dbraw/zinc/69/39/75/1081693975.db2.gz BRUJTSHCCLMVAP-UHFFFAOYSA-N 0 1 322.836 3.215 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001109015427 1081752219 /nfs/dbraw/zinc/75/22/19/1081752219.db2.gz BTRCHTKIEHLLJE-JFDXMZFWSA-N 0 1 323.440 3.136 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C/c1ccccc1 ZINC001109211787 1081783918 /nfs/dbraw/zinc/78/39/18/1081783918.db2.gz HNTXLEUJBZLSKF-BLWZFOPHSA-N 0 1 322.452 3.225 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C\c1ccccc1 ZINC001109211788 1081784812 /nfs/dbraw/zinc/78/48/12/1081784812.db2.gz HNTXLEUJBZLSKF-OROBCMPDSA-N 0 1 322.452 3.225 20 30 DGEDMN CCc1ccc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)C)C3)cc1 ZINC001109250532 1081791932 /nfs/dbraw/zinc/79/19/32/1081791932.db2.gz RQBSVCJVAAZWQR-AABGKKOBSA-N 0 1 324.468 3.130 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cccs2)C1 ZINC001266448295 1081834615 /nfs/dbraw/zinc/83/46/15/1081834615.db2.gz KETVQXTWAOMJHR-CYBMUJFWSA-N 0 1 312.866 3.285 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001266488407 1081889760 /nfs/dbraw/zinc/88/97/60/1081889760.db2.gz IVFDAHSOIOPCNC-DOTOQJQBSA-N 0 1 324.424 3.140 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109633214 1081891275 /nfs/dbraw/zinc/89/12/75/1081891275.db2.gz SQLWQNGFTQPBBH-MQYQWHSLSA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109657559 1081893567 /nfs/dbraw/zinc/89/35/67/1081893567.db2.gz UMHQCZAAWCBNQJ-AGIUHOORSA-N 0 1 304.356 3.017 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001266495529 1081896319 /nfs/dbraw/zinc/89/63/19/1081896319.db2.gz ONJJYHFVFCBIME-PKOBYXMFSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCc1ccccc1)C2 ZINC001109663672 1081897246 /nfs/dbraw/zinc/89/72/46/1081897246.db2.gz WNFSRLBNVRFOKR-QYZOEREBSA-N 0 1 312.457 3.307 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnoc1C(C)C ZINC001266499252 1081902973 /nfs/dbraw/zinc/90/29/73/1081902973.db2.gz VISWXHXAAYXTCQ-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001109767572 1081910961 /nfs/dbraw/zinc/91/09/61/1081910961.db2.gz ATOQWLPEKPBCNT-IKGGRYGDSA-N 0 1 322.493 3.127 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C2 ZINC001109981139 1081942650 /nfs/dbraw/zinc/94/26/50/1081942650.db2.gz MMGYDSWXPLDCTQ-YVSFHVDLSA-N 0 1 304.478 3.194 20 30 DGEDMN C=CCCC(=O)N1CCN(CC[C@@H]2CCc3ccccc32)CC1 ZINC001112688312 1081990028 /nfs/dbraw/zinc/99/00/28/1081990028.db2.gz NBBCMEFJUIIJMG-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCCC(=O)N1CCN([C@@H](C)c2cc(C)ccc2C)CC1 ZINC001112688786 1081990261 /nfs/dbraw/zinc/99/02/61/1081990261.db2.gz YUQMBQWEYINQDG-KRWDZBQOSA-N 0 1 300.446 3.475 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)CSC)CC1 ZINC001112716214 1081991814 /nfs/dbraw/zinc/99/18/14/1081991814.db2.gz RFMPHDBBAIFGGL-OAHLLOKOSA-N 0 1 300.512 3.100 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)n2cccc2)CC1 ZINC001112767997 1081999276 /nfs/dbraw/zinc/99/92/76/1081999276.db2.gz MZJOFKSAHZLSFN-QGZVFWFLSA-N 0 1 305.466 3.164 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H](C)CC(C)C)C1 ZINC001210615332 1081999693 /nfs/dbraw/zinc/99/96/93/1081999693.db2.gz NDMAFNVWRGOYCX-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCCCCC(=O)N1CCN([C@H](C)c2ccccc2C)CC1 ZINC001112773897 1082001773 /nfs/dbraw/zinc/00/17/73/1082001773.db2.gz AAUGAWHHVXNJIL-GOSISDBHSA-N 0 1 312.457 3.394 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC[C@H]2CCC[C@H](C)C2)CC1 ZINC001112809750 1082008517 /nfs/dbraw/zinc/00/85/17/1082008517.db2.gz PBUQNQWMMMJRBU-ZWKOTPCHSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCNCc1nc2ccccc2o1 ZINC001167359534 1082024903 /nfs/dbraw/zinc/02/49/03/1082024903.db2.gz GFDTVBHFABNJQG-UHFFFAOYSA-N 0 1 315.417 3.026 20 30 DGEDMN CC(C)(C)C#CC(=O)NCCN(C/C=C\c1ccccc1)C1CC1 ZINC001266592052 1082043188 /nfs/dbraw/zinc/04/31/88/1082043188.db2.gz HBTREPCURBYHER-YFHOEESVSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CCCC2CCC2)CC1)OCC ZINC001112906476 1082047848 /nfs/dbraw/zinc/04/78/48/1082047848.db2.gz FBTREVKTBHJWLJ-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CCCCCC)CC1)OCC ZINC001112908602 1082049578 /nfs/dbraw/zinc/04/95/78/1082049578.db2.gz BODVKYVPBDDILQ-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCCO[C@H]2CC)CC1 ZINC001112940174 1082057796 /nfs/dbraw/zinc/05/77/96/1082057796.db2.gz XSAZTDHTSIKLLT-ROUUACIJSA-N 0 1 324.509 3.306 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[N@H+](Cc2cccc(C)c2)C2CC2)C1 ZINC001266609427 1082063156 /nfs/dbraw/zinc/06/31/56/1082063156.db2.gz NIBPZXQZMWMQFK-UHFFFAOYSA-N 0 1 312.457 3.432 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCCC(C)(C)C)CC2)CCC1 ZINC001112953017 1082064872 /nfs/dbraw/zinc/06/48/72/1082064872.db2.gz ACAKPWPSISVPDH-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C\c2ccc(F)cc2)CC1 ZINC001113011960 1082084765 /nfs/dbraw/zinc/08/47/65/1082084765.db2.gz IIPSWDOVKGLWMS-ARJAWSKDSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccc(F)cc2)C1 ZINC001086571750 1082096817 /nfs/dbraw/zinc/09/68/17/1082096817.db2.gz MMCBSHUCGXHOEJ-RBUKOAKNSA-N 0 1 324.399 3.210 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C(C)(C)C)on2)CC1 ZINC001113092982 1082113606 /nfs/dbraw/zinc/11/36/06/1082113606.db2.gz VLXJGRSPVKRABU-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN N#CCc1cc(NCc2ccccc2-c2cccnc2)ccn1 ZINC001170114866 1082114645 /nfs/dbraw/zinc/11/46/45/1082114645.db2.gz RHDIWYLYBIJOIL-UHFFFAOYSA-N 0 1 300.365 3.244 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(CCCC(C)(C)C)CC1 ZINC001113164452 1082129999 /nfs/dbraw/zinc/12/99/99/1082129999.db2.gz PBODUZHGFZETOT-IBGZPJMESA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cc3ccccc3o2)CC1 ZINC001113174514 1082131206 /nfs/dbraw/zinc/13/12/06/1082131206.db2.gz OCRGGFFCGVNBGR-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C#CCCCC(=O)N(C)CCN[C@H](C)c1cccc(Cl)c1F ZINC001266686430 1082146256 /nfs/dbraw/zinc/14/62/56/1082146256.db2.gz BQZJBJKWGZFAAZ-CYBMUJFWSA-N 0 1 324.827 3.392 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113239906 1082146475 /nfs/dbraw/zinc/14/64/75/1082146475.db2.gz WYALYRDGMRZGAK-GOSISDBHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001113246784 1082147502 /nfs/dbraw/zinc/14/75/02/1082147502.db2.gz VDTWYVNHDOLYHT-GOSISDBHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113243743 1082149243 /nfs/dbraw/zinc/14/92/43/1082149243.db2.gz MZLCNEKGTKRIOJ-INIZCTEOSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCC[C@@H](OC)C2)CC1 ZINC001113270157 1082157659 /nfs/dbraw/zinc/15/76/59/1082157659.db2.gz DFTVRPKIJWKVPD-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(F)ccc(C)c2F)CC1 ZINC001113294790 1082168820 /nfs/dbraw/zinc/16/88/20/1082168820.db2.gz PTVGXERFFBOXSS-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCCC1(C(=O)N2CCN(CCCC3CCC3)CC2)CC1 ZINC001113312912 1082175511 /nfs/dbraw/zinc/17/55/11/1082175511.db2.gz XTMKDHIPYOYXIU-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(F)cc2)cc([N+](=O)[O-])c1O ZINC000211739482 1082181071 /nfs/dbraw/zinc/18/10/71/1082181071.db2.gz LBMPNDYVOVLXQM-UQCOIBPSSA-N 0 1 317.272 3.344 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccn(C)c2CC)CC1 ZINC001113346085 1082182410 /nfs/dbraw/zinc/18/24/10/1082182410.db2.gz OYNVGJIRRGALDH-UHFFFAOYSA-N 0 1 319.493 3.316 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccco2)CC1 ZINC001113367247 1082184882 /nfs/dbraw/zinc/18/48/82/1082184882.db2.gz GIRIBOKVPAGCNL-IAGOWNOFSA-N 0 1 318.461 3.498 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C(C)C)nc2C)CC1 ZINC001113353069 1082184896 /nfs/dbraw/zinc/18/48/96/1082184896.db2.gz KMOLLOJCGPOMGV-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2CCC(F)(F)CC2)CC1 ZINC001113380549 1082189976 /nfs/dbraw/zinc/18/99/76/1082189976.db2.gz PBYSBNZRHDIZSZ-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cnc3ccccc3c2)CC1 ZINC001113382893 1082192056 /nfs/dbraw/zinc/19/20/56/1082192056.db2.gz ZPQAQIXHWFPCQF-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H](C)c2ccccc2F)CC1 ZINC001113434372 1082212378 /nfs/dbraw/zinc/21/23/78/1082212378.db2.gz CVCFNTTVYNUZCZ-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(C)c2Cl)CC1 ZINC001113443105 1082212688 /nfs/dbraw/zinc/21/26/88/1082212688.db2.gz YSVHPQOFFZWSMI-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2oc(CC)cc2C)CC1 ZINC001113543804 1082243440 /nfs/dbraw/zinc/24/34/40/1082243440.db2.gz FUQWFHJYSQVQIS-OAHLLOKOSA-N 0 1 304.434 3.263 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2occ3c2CCCC3)CC1 ZINC001113580529 1082253954 /nfs/dbraw/zinc/25/39/54/1082253954.db2.gz RXIZXBLVGFMESC-OAHLLOKOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(C3CCCCC3)CC2)CC1 ZINC001113583539 1082255251 /nfs/dbraw/zinc/25/52/51/1082255251.db2.gz QUVNSOTTXOIROX-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cccc3ccccc32)CC1 ZINC001113575658 1082255298 /nfs/dbraw/zinc/25/52/98/1082255298.db2.gz BGNPHTJTZJDUQO-UHFFFAOYSA-N 0 1 322.452 3.493 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C3CC3)cn2)CC1 ZINC001113594797 1082257196 /nfs/dbraw/zinc/25/71/96/1082257196.db2.gz BQANNKJFHARPBC-OAHLLOKOSA-N 0 1 313.445 3.072 20 30 DGEDMN C#Cc1ccccc1CC(=O)N1CCN(CC/C=C/CCC)CC1 ZINC001113581954 1082257701 /nfs/dbraw/zinc/25/77/01/1082257701.db2.gz QRHITIHGABEFKF-VOTSOKGWSA-N 0 1 324.468 3.101 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@H]2CNC(=O)C=C2CCC2)c1 ZINC001266839887 1082257981 /nfs/dbraw/zinc/25/79/81/1082257981.db2.gz KSCMKWHXGYGJTH-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](OC)C2CCCC2)CC1 ZINC001113589765 1082258701 /nfs/dbraw/zinc/25/87/01/1082258701.db2.gz CURSQZPWIPPIBY-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(C)c2C)CC1 ZINC001113598863 1082262411 /nfs/dbraw/zinc/26/24/11/1082262411.db2.gz OGJPOQYOCSHUBX-INIZCTEOSA-N 0 1 300.446 3.416 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001266848803 1082262657 /nfs/dbraw/zinc/26/26/57/1082262657.db2.gz FXRGIPMDBXYCRV-AWEZNQCLSA-N 0 1 314.420 3.052 20 30 DGEDMN C=CCCCN1CCN(C(=O)C23CC(C(C)(C)C)(C2)C3)CC1 ZINC001113699940 1082287289 /nfs/dbraw/zinc/28/72/89/1082287289.db2.gz BVFGHIMRCQBPLA-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)C[C@@H]2CCCO2)CC1 ZINC001113699978 1082287926 /nfs/dbraw/zinc/28/79/26/1082287926.db2.gz FCQMEDRUTWVNKQ-ROUUACIJSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2ccccc2C)CC1 ZINC001113733242 1082292844 /nfs/dbraw/zinc/29/28/44/1082292844.db2.gz VOTJAXDJBYWRJD-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccoc1C1CC1 ZINC001266878595 1082297199 /nfs/dbraw/zinc/29/71/99/1082297199.db2.gz ZYKVGXGWIGMDSD-AWEZNQCLSA-N 0 1 322.836 3.494 20 30 DGEDMN COc1ccc(C[C@H](C)NCC2(C#N)CCCC2)c([N+](=O)[O-])c1 ZINC001170300394 1082338547 /nfs/dbraw/zinc/33/85/47/1082338547.db2.gz ZSOHSLMVCQUMTM-ZDUSSCGKSA-N 0 1 317.389 3.208 20 30 DGEDMN CCCCCC(=O)NC[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266923356 1082343566 /nfs/dbraw/zinc/34/35/66/1082343566.db2.gz FJVOTLLUNVCRRA-HNNXBMFYSA-N 0 1 320.864 3.366 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C/C=C/c1ccc(F)cc1 ZINC001266947472 1082365354 /nfs/dbraw/zinc/36/53/54/1082365354.db2.gz HNSVBIFUMMZZLM-OOPCZODUSA-N 0 1 310.800 3.076 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1oc(CCC)nc1C ZINC001266962238 1082379850 /nfs/dbraw/zinc/37/98/50/1082379850.db2.gz PSRIYSBEAVAFLM-CYBMUJFWSA-N 0 1 321.465 3.132 20 30 DGEDMN C=CC1(CC(=O)NC[C@H](C)NCc2cncs2)CCCCC1 ZINC001266977652 1082396311 /nfs/dbraw/zinc/39/63/11/1082396311.db2.gz BSASCTUMBRYHIL-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)c(CC)s1 ZINC001266992106 1082414161 /nfs/dbraw/zinc/41/41/61/1082414161.db2.gz YYSVNFVYFJFTMY-NSHDSACASA-N 0 1 300.855 3.079 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2ncc(C)o2)C1 ZINC001267085934 1082491321 /nfs/dbraw/zinc/49/13/21/1082491321.db2.gz KUCCADLYXAIOBM-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@@H](NCc2nc(C)oc2C)C1 ZINC001267086585 1082491912 /nfs/dbraw/zinc/49/19/12/1082491912.db2.gz POEMIVKCURAIDK-CVEARBPZSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cccc2c1CCCCC2 ZINC001129997869 1082517487 /nfs/dbraw/zinc/51/74/87/1082517487.db2.gz FPGVCSKYEBVUPV-UHFFFAOYSA-N 0 1 306.837 3.027 20 30 DGEDMN CC[C@@H](C)CC(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001267125279 1082542368 /nfs/dbraw/zinc/54/23/68/1082542368.db2.gz OURVQMLQUHNPKG-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@@H](C)NC/C(Cl)=C\Cl ZINC001267143035 1082560545 /nfs/dbraw/zinc/56/05/45/1082560545.db2.gz JNZSPZCBUCRWHJ-XEGJVCIASA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCc1ccc(C(C)C)cc1 ZINC001131245324 1082657761 /nfs/dbraw/zinc/65/77/61/1082657761.db2.gz JJDQRDHCIJASCS-UHFFFAOYSA-N 0 1 308.853 3.201 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@]2(C1)CN(C(=O)CC(C)=C(C)C)C[C@@H](C)O2 ZINC001131674182 1082704689 /nfs/dbraw/zinc/70/46/89/1082704689.db2.gz VKHVCYVMORCTEW-IEBWSBKVSA-N 0 1 320.477 3.001 20 30 DGEDMN C[C@@H]1Cc2cc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)ccc2O1 ZINC001131673868 1082705010 /nfs/dbraw/zinc/70/50/10/1082705010.db2.gz CSCRXQFNCDVHLW-SNVBAGLBSA-N 0 1 318.336 3.010 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCCc3cccs3)[nH]c2c1 ZINC001131674094 1082705305 /nfs/dbraw/zinc/70/53/05/1082705305.db2.gz IUAXBEKRTOCNAU-UHFFFAOYSA-N 0 1 310.382 3.457 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)nc3ccccc31)C2 ZINC001098328593 1082711318 /nfs/dbraw/zinc/71/13/18/1082711318.db2.gz USIXMMGRGMLVOD-ZMYBRWDISA-N 0 1 321.424 3.064 20 30 DGEDMN C#CCN(CC)CCNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001267256778 1082711683 /nfs/dbraw/zinc/71/16/83/1082711683.db2.gz GKTNLCPANRBJAU-UHFFFAOYSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCC1(C(=O)NCC[N@H+](CC)C[C@H](F)CC)CCCCC1 ZINC001267257471 1082712925 /nfs/dbraw/zinc/71/29/25/1082712925.db2.gz WYUKIEZBBVMLFX-MRXNPFEDSA-N 0 1 310.457 3.146 20 30 DGEDMN C#CCC1(C(=O)NCCN(CC)C[C@H](F)CC)CCCCC1 ZINC001267257471 1082712928 /nfs/dbraw/zinc/71/29/28/1082712928.db2.gz WYUKIEZBBVMLFX-MRXNPFEDSA-N 0 1 310.457 3.146 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CC[C@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131751534 1082718655 /nfs/dbraw/zinc/71/86/55/1082718655.db2.gz KXBXICHPWSMKTP-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1cc(C)ccc1F ZINC001267267306 1082727986 /nfs/dbraw/zinc/72/79/86/1082727986.db2.gz PRZDPELGFNMQQM-QGZVFWFLSA-N 0 1 316.420 3.018 20 30 DGEDMN CC(C)N(C)c1ccc(/C=C\C(=O)c2ccc(O)cc2O)cn1 ZINC000588579632 1082734270 /nfs/dbraw/zinc/73/42/70/1082734270.db2.gz WJYXZFPGLUCSTI-YWEYNIOJSA-N 0 1 312.369 3.234 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC)CC1CCCC1 ZINC001267279056 1082741152 /nfs/dbraw/zinc/74/11/52/1082741152.db2.gz WGRKJKBGBWZORY-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2c(C)nsc2C)C1 ZINC001131899756 1082758176 /nfs/dbraw/zinc/75/81/76/1082758176.db2.gz PELQIJLRUOVGRK-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(F)c(F)cc3F)[nH]c2c1 ZINC001131906673 1082759264 /nfs/dbraw/zinc/75/92/64/1082759264.db2.gz QZHREIWXVGMXKN-UHFFFAOYSA-N 0 1 316.242 3.104 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(CC)c(CC)o1)C2 ZINC001098224902 1082769116 /nfs/dbraw/zinc/76/91/16/1082769116.db2.gz MEAGJCFGJZZROU-OAGGEKHMSA-N 0 1 316.445 3.316 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001267319036 1082789283 /nfs/dbraw/zinc/78/92/83/1082789283.db2.gz CPVAAXQRKREAEE-UHFFFAOYSA-N 0 1 323.440 3.147 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccc(F)cc2)C1 ZINC001132003465 1082790747 /nfs/dbraw/zinc/79/07/47/1082790747.db2.gz WAAKESBZDUWIIK-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1ccc(Cl)cc1)C1CC1 ZINC001267323566 1082795859 /nfs/dbraw/zinc/79/58/59/1082795859.db2.gz XYMPKJAZBFOEDI-UHFFFAOYSA-N 0 1 306.837 3.387 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc2sccc2s1)C1CC1 ZINC001267327932 1082800977 /nfs/dbraw/zinc/80/09/77/1082800977.db2.gz FLAAWSGBEYTJOW-UHFFFAOYSA-N 0 1 318.467 3.180 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C#CC2CC2)CN1C/C=C/c1ccccc1 ZINC001132045761 1082806797 /nfs/dbraw/zinc/80/67/97/1082806797.db2.gz IJLQMYHQZRISOG-KZJOSYIESA-N 0 1 322.452 3.082 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132072912 1082809183 /nfs/dbraw/zinc/80/91/83/1082809183.db2.gz RKOGWHXMEZNRGG-STQMWFEESA-N 0 1 306.372 3.264 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@H]1C ZINC001132111029 1082820684 /nfs/dbraw/zinc/82/06/84/1082820684.db2.gz NXRDQDOYJKIQGZ-MJGOQNOKSA-N 0 1 324.468 3.002 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@H]1C ZINC001132110219 1082820750 /nfs/dbraw/zinc/82/07/50/1082820750.db2.gz FSMLHTOSUWCYTC-AEFFLSMTSA-N 0 1 312.457 3.164 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001132234542 1082843248 /nfs/dbraw/zinc/84/32/48/1082843248.db2.gz DFWNMTRVYACHBC-RDJZCZTQSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2cnccc2C)C1 ZINC001132218606 1082844261 /nfs/dbraw/zinc/84/42/61/1082844261.db2.gz SPWUEZIDNLGHKK-NVXWUHKLSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN[C@@H](C)c1ccc(F)cc1F ZINC001267379875 1082851212 /nfs/dbraw/zinc/85/12/12/1082851212.db2.gz JLUVTHYZXRQMCM-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCCCc2ccccc2)CC[C@H]1C ZINC001132252682 1082854407 /nfs/dbraw/zinc/85/44/07/1082854407.db2.gz ILAAWRSMOYSXDO-MJGOQNOKSA-N 0 1 312.457 3.002 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132301944 1082870729 /nfs/dbraw/zinc/87/07/29/1082870729.db2.gz ISNCFLUPZGEQEK-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)C1(C2CCC2)CCC1 ZINC001267409104 1082872847 /nfs/dbraw/zinc/87/28/47/1082872847.db2.gz UWMFKYWFHXXJTG-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H](C)c1cccc(F)c1 ZINC001267426086 1082879742 /nfs/dbraw/zinc/87/97/42/1082879742.db2.gz ORCYWKCOEFCPNF-GFCCVEGCSA-N 0 1 312.816 3.120 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccc(F)cc2)C1 ZINC001267466814 1082919271 /nfs/dbraw/zinc/91/92/71/1082919271.db2.gz RIAZZRSFOZHKCV-SFHVURJKSA-N 0 1 318.436 3.257 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC)CCCCC2)CC[C@H]1C ZINC001132509484 1082931149 /nfs/dbraw/zinc/93/11/49/1082931149.db2.gz VWYFYTZZZQTPFU-IAGOWNOFSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](CC)CC(C)C)C1 ZINC001267487783 1082944921 /nfs/dbraw/zinc/94/49/21/1082944921.db2.gz GNGRZDFIYYPXBM-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@H](CC)C(C)C)C1 ZINC001267488716 1082945911 /nfs/dbraw/zinc/94/59/11/1082945911.db2.gz OLCWIXSMSYGVHM-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](CC)C(C)C)C1 ZINC001267488714 1082946042 /nfs/dbraw/zinc/94/60/42/1082946042.db2.gz OLCWIXSMSYGVHM-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132573123 1082949518 /nfs/dbraw/zinc/94/95/18/1082949518.db2.gz JLISUJNOFDRLMT-ZIAGYGMSSA-N 0 1 308.853 3.312 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001267496007 1082953987 /nfs/dbraw/zinc/95/39/87/1082953987.db2.gz KBCRDWIKCKHEPR-HIEASXQVSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN(C)CCNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001480954994 1082954948 /nfs/dbraw/zinc/95/49/48/1082954948.db2.gz SBRWSOMOJPPWRL-UHFFFAOYSA-N 0 1 306.837 3.148 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001267496660 1082955180 /nfs/dbraw/zinc/95/51/80/1082955180.db2.gz XWSLUXASHIQAHE-HCZHMGAMSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1sccc1Cl ZINC001132653310 1082967624 /nfs/dbraw/zinc/96/76/24/1082967624.db2.gz JMALMUASFZWLEW-LLVKDONJSA-N 0 1 300.855 3.210 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001132653562 1082968006 /nfs/dbraw/zinc/96/80/06/1082968006.db2.gz ONTJOZUBCYBGQU-MLGOLLRUSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccccc1OC)C(C)C ZINC001267510890 1082970012 /nfs/dbraw/zinc/97/00/12/1082970012.db2.gz DCPDVJCEUVPVPH-UHFFFAOYSA-N 0 1 324.852 3.278 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001132671341 1082976637 /nfs/dbraw/zinc/97/66/37/1082976637.db2.gz OECRRKCTHLKOBI-QWHCGFSZSA-N 0 1 310.388 3.334 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@H](NCC#CC)c2ccccc2)cc1 ZINC001267522296 1082982381 /nfs/dbraw/zinc/98/23/81/1082982381.db2.gz CEDBQTRKZQFXLD-FQEVSTJZSA-N 0 1 318.420 3.414 20 30 DGEDMN CC#CCN[C@H](CNC(=O)Cc1ccccc1C)c1ccccc1 ZINC001267525462 1082987469 /nfs/dbraw/zinc/98/74/69/1082987469.db2.gz MILGVMQLFADRTA-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccccc2C)C1 ZINC001267548084 1083018684 /nfs/dbraw/zinc/01/86/84/1083018684.db2.gz YBDOYLHMCAUQSR-IBGZPJMESA-N 0 1 314.473 3.427 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cc(C)ccc2C)C1 ZINC001267548421 1083018917 /nfs/dbraw/zinc/01/89/17/1083018917.db2.gz FJYZXXYEPQWTLZ-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)ccc2C)C1 ZINC001267548421 1083018923 /nfs/dbraw/zinc/01/89/23/1083018923.db2.gz FJYZXXYEPQWTLZ-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)C1CCCC1 ZINC001158744086 1083021184 /nfs/dbraw/zinc/02/11/84/1083021184.db2.gz ABERZQXLDMLKGZ-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC001267562930 1083042148 /nfs/dbraw/zinc/04/21/48/1083042148.db2.gz OLAQVJQBFOEXSW-HUUCEWRRSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1cc(C)cc(C)c1 ZINC001283664667 1083068465 /nfs/dbraw/zinc/06/84/65/1083068465.db2.gz NBVADJOSGCNOIJ-HNNXBMFYSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)oc2C)C1 ZINC001267576929 1083080382 /nfs/dbraw/zinc/08/03/82/1083080382.db2.gz BNSHEHWSPQUNBO-CQSZACIVSA-N 0 1 310.825 3.043 20 30 DGEDMN C=CCC1(C(=O)NCCN[C@@H](C)c2ccc(F)cc2F)CCC1 ZINC001133398662 1083112853 /nfs/dbraw/zinc/11/28/53/1083112853.db2.gz IEBZNFRUMKJBPH-ZDUSSCGKSA-N 0 1 322.399 3.478 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(F)s2)C1 ZINC001267597174 1083129275 /nfs/dbraw/zinc/12/92/75/1083129275.db2.gz YACGWWPDXCWUJF-NSHDSACASA-N 0 1 316.829 3.034 20 30 DGEDMN CC1(C)CC(=O)C=C(Nc2nc[nH]c3nc(=S)sc2-3)C1 ZINC001158953538 1083179748 /nfs/dbraw/zinc/17/97/48/1083179748.db2.gz FOWDFLVQLDLDAP-UHFFFAOYSA-N 0 1 306.416 3.060 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(Cc3ccccc3)CC2)C1 ZINC001267615585 1083204167 /nfs/dbraw/zinc/20/41/67/1083204167.db2.gz RNGNVEQQLNFVJO-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C(C)C)ns1 ZINC001133885415 1083206041 /nfs/dbraw/zinc/20/60/41/1083206041.db2.gz ZLJORQUTFUAWHK-OLZOCXBDSA-N 0 1 324.494 3.001 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C(C)C)ns1 ZINC001133885418 1083206271 /nfs/dbraw/zinc/20/62/71/1083206271.db2.gz ZLJORQUTFUAWHK-STQMWFEESA-N 0 1 324.494 3.001 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H](C)c1ccccc1Cl ZINC001481132415 1083206831 /nfs/dbraw/zinc/20/68/31/1083206831.db2.gz OFFGKKREKNYKAB-KBPBESRZSA-N 0 1 321.852 3.389 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(C2CC2)n1 ZINC001133889453 1083208106 /nfs/dbraw/zinc/20/81/06/1083208106.db2.gz WTVLBNQFBIIXQL-CHWSQXEVSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1cc(C(C)(C)C)on1 ZINC001133889546 1083208142 /nfs/dbraw/zinc/20/81/42/1083208142.db2.gz XWZFNNCLQHNDBX-KGLIPLIRSA-N 0 1 321.465 3.311 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001267628966 1083231566 /nfs/dbraw/zinc/23/15/66/1083231566.db2.gz GKOXWAFRLRCQDC-HVRVZBDQSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)c1ccccc1C ZINC001283723852 1083243387 /nfs/dbraw/zinc/24/33/87/1083243387.db2.gz QJNRBRRBXRQRMB-CABCVRRESA-N 0 1 308.853 3.288 20 30 DGEDMN C#CCCCCCC(=O)NC1CN(CC2CCC(C)CC2)C1 ZINC001267654886 1083248324 /nfs/dbraw/zinc/24/83/24/1083248324.db2.gz JNZLCEJUZAWTQI-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC[C@@H]2CCC[C@H](C)C2)C1 ZINC001267656769 1083249519 /nfs/dbraw/zinc/24/95/19/1083249519.db2.gz XAXHQLJMDZEFLB-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(Cl)cc2C)C1 ZINC001267656938 1083249780 /nfs/dbraw/zinc/24/97/80/1083249780.db2.gz AVRDZNDGDQYJFF-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001267661318 1083251769 /nfs/dbraw/zinc/25/17/69/1083251769.db2.gz GASRGHJGWBIIII-MRXNPFEDSA-N 0 1 300.446 3.255 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@H](CC)c2ccc(F)cc2)C1 ZINC001267676575 1083259332 /nfs/dbraw/zinc/25/93/32/1083259332.db2.gz MWRWYUVBYGVGEF-QGZVFWFLSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001267687519 1083264986 /nfs/dbraw/zinc/26/49/86/1083264986.db2.gz GQGLFGCDOMWGDH-UHFFFAOYSA-N 0 1 300.446 3.009 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CCCC(C)C)C1 ZINC001267689317 1083265337 /nfs/dbraw/zinc/26/53/37/1083265337.db2.gz DDQIXUKTGUSGRR-HNNXBMFYSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C2(C)CC2)C1 ZINC001267714806 1083278641 /nfs/dbraw/zinc/27/86/41/1083278641.db2.gz RIRQDVLZULALOA-GOSISDBHSA-N 0 1 312.457 3.466 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001134428185 1083284709 /nfs/dbraw/zinc/28/47/09/1083284709.db2.gz CEMIYBVLVZZMGX-GFCCVEGCSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1ccc(Cl)cc1OC ZINC001134412017 1083285248 /nfs/dbraw/zinc/28/52/48/1083285248.db2.gz QETYYQNDABDBTQ-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@H](C)NCc1cscn1 ZINC001134418878 1083287231 /nfs/dbraw/zinc/28/72/31/1083287231.db2.gz QZGKFRKTXMUZNX-UONOGXRCSA-N 0 1 321.490 3.284 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@H](C)NCc1cscn1 ZINC001134457095 1083295951 /nfs/dbraw/zinc/29/59/51/1083295951.db2.gz JQOBSCVSXPOWDG-STQMWFEESA-N 0 1 309.479 3.118 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001134485716 1083296527 /nfs/dbraw/zinc/29/65/27/1083296527.db2.gz KOYCTNNEBWAFAB-OLZOCXBDSA-N 0 1 309.479 3.181 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001134485717 1083296696 /nfs/dbraw/zinc/29/66/96/1083296696.db2.gz KOYCTNNEBWAFAB-QWHCGFSZSA-N 0 1 309.479 3.181 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001481227252 1083308223 /nfs/dbraw/zinc/30/82/23/1083308223.db2.gz SRAVQONKXTUJPE-CCEZHUSRSA-N 0 1 318.848 3.096 20 30 DGEDMN CN1CCN(c2ccccc2Nc2ccc(OCC#N)cc2)CC1 ZINC001212607931 1083313806 /nfs/dbraw/zinc/31/38/06/1083313806.db2.gz HKMPCZYTAJCEKV-UHFFFAOYSA-N 0 1 322.412 3.084 20 30 DGEDMN CC[C@@H](C)CCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001267751270 1083318385 /nfs/dbraw/zinc/31/83/85/1083318385.db2.gz QEMDZJDVQLVDKB-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC1CCCC1)C(C)C ZINC001134692144 1083320568 /nfs/dbraw/zinc/32/05/68/1083320568.db2.gz DPOATTPWIJQKLL-OAHLLOKOSA-N 0 1 300.874 3.297 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001134693918 1083321092 /nfs/dbraw/zinc/32/10/92/1083321092.db2.gz WTZKRNCQDMQDDP-HNNXBMFYSA-N 0 1 300.874 3.441 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001267793454 1083351767 /nfs/dbraw/zinc/35/17/67/1083351767.db2.gz INHXVPCVIPRZIP-UKRRQHHQSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1nsc2ccccc21 ZINC001267805311 1083356071 /nfs/dbraw/zinc/35/60/71/1083356071.db2.gz OXVAVVLLELFDIG-LLVKDONJSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001267809826 1083359277 /nfs/dbraw/zinc/35/92/77/1083359277.db2.gz SXBSXYGSNWZXTQ-LBPRGKRZSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001267814092 1083364316 /nfs/dbraw/zinc/36/43/16/1083364316.db2.gz XAJFLLOLVNRZJB-GOEBONIOSA-N 0 1 324.827 3.092 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(CNCc2nocc2C)CCCC1 ZINC001267842354 1083428204 /nfs/dbraw/zinc/42/82/04/1083428204.db2.gz QXBSQHQDWDZPLL-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC[C@H](C)CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001181501092 1083479339 /nfs/dbraw/zinc/47/93/39/1083479339.db2.gz GUQZHRQONUOHMS-PKOBYXMFSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ccc(C)cc2Cl)C1 ZINC001181517039 1083492595 /nfs/dbraw/zinc/49/25/95/1083492595.db2.gz HXKATCYPXIGKRO-OAHLLOKOSA-N 0 1 306.837 3.305 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCc3cc(C(C)C)ccc3C2)CC1 ZINC001181642555 1083499821 /nfs/dbraw/zinc/49/98/21/1083499821.db2.gz JKCDVUFJGSMROS-UHFFFAOYSA-N 0 1 324.468 3.040 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@](C)(CNCc2cscn2)C1 ZINC001181628893 1083503370 /nfs/dbraw/zinc/50/33/70/1083503370.db2.gz DBSDSSMCDKOACX-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(C(=O)OC)cs2)cc1 ZINC001181689298 1083504480 /nfs/dbraw/zinc/50/44/80/1083504480.db2.gz XKVIUGRQDQSJTG-UHFFFAOYSA-N 0 1 317.410 3.389 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@H]1CCCCN1CC ZINC001182002144 1083603135 /nfs/dbraw/zinc/60/31/35/1083603135.db2.gz YMRUCTVYIOEXMU-DOTOQJQBSA-N 0 1 316.445 3.303 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)Cc1n[nH]c2ccccc12 ZINC001182059874 1083618761 /nfs/dbraw/zinc/61/87/61/1083618761.db2.gz BNMRVSNYJCLPQI-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN C#CCCCCC(=O)NCCNCc1ccc(C)cc1Cl ZINC001125939201 1083659791 /nfs/dbraw/zinc/65/97/91/1083659791.db2.gz XJPVHCBIRWXYAM-UHFFFAOYSA-N 0 1 306.837 3.048 20 30 DGEDMN CCC[C@@H](C(=O)N(C)CCNCC#Cc1ccccc1)C(C)C ZINC001481287889 1083668390 /nfs/dbraw/zinc/66/83/90/1083668390.db2.gz OQKISFWGLGYKGK-LJQANCHMSA-N 0 1 314.473 3.158 20 30 DGEDMN COc1ccc2nc(NC(=O)CCc3cccc(C#N)c3)[nH]c2c1 ZINC001182336686 1083698741 /nfs/dbraw/zinc/69/87/41/1083698741.db2.gz IRQVKHHURMDPKU-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CC2(C)CCCCC2)C1 ZINC001268022975 1083757136 /nfs/dbraw/zinc/75/71/36/1083757136.db2.gz AZGROIWYFXRCFJ-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCCC(F)(F)F)c1ccccc1 ZINC001481359604 1083814628 /nfs/dbraw/zinc/81/46/28/1083814628.db2.gz FLCZUDZYOGUDLI-AWEZNQCLSA-N 0 1 314.351 3.352 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)C1CC1)c1ccc(C(C)C)cc1 ZINC001481357750 1083818393 /nfs/dbraw/zinc/81/83/93/1083818393.db2.gz JQYGCLGTWUTZHR-DNVCBOLYSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCCC#CC)c1ccc(C(C)C)cc1 ZINC001481361048 1083820105 /nfs/dbraw/zinc/82/01/05/1083820105.db2.gz VPEOXEBQSAAGSN-HXUWFJFHSA-N 0 1 324.468 3.384 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001182841056 1083820960 /nfs/dbraw/zinc/82/09/60/1083820960.db2.gz FWBAXWANSCEVEY-LJQANCHMSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2ccc[nH]2)C1 ZINC001087420956 1083832824 /nfs/dbraw/zinc/83/28/24/1083832824.db2.gz FFKGFJWUAUPQHN-IEBWSBKVSA-N 0 1 323.440 3.179 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1c(C)cccc1F)c1ccccc1 ZINC001481374650 1083835680 /nfs/dbraw/zinc/83/56/80/1083835680.db2.gz VKUWDVGAIXSWPV-SFHVURJKSA-N 0 1 324.399 3.218 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001481407998 1083877046 /nfs/dbraw/zinc/87/70/46/1083877046.db2.gz YVDWBZFNZFFJHB-QRVBRYPASA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccc(F)c(F)c2)C1 ZINC001481406170 1083878074 /nfs/dbraw/zinc/87/80/74/1083878074.db2.gz QKWVYZZBSSTBHU-HNNXBMFYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2c(C)nsc2C)C1 ZINC001481411166 1083883956 /nfs/dbraw/zinc/88/39/56/1083883956.db2.gz AJRZXWPWSQSZCF-OAHLLOKOSA-N 0 1 321.490 3.263 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CN(C)Cc2nc(C)sc2C)C1 ZINC001481480070 1083988953 /nfs/dbraw/zinc/98/89/53/1083988953.db2.gz PBOFCDJMXFSEDA-HNNXBMFYSA-N 0 1 321.490 3.006 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)c2snnc2C)C1 ZINC001481522582 1084066530 /nfs/dbraw/zinc/06/65/30/1084066530.db2.gz DTQLCFOUIFVJQR-CQSZACIVSA-N 0 1 324.494 3.011 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001481536371 1084103921 /nfs/dbraw/zinc/10/39/21/1084103921.db2.gz MNZUPTXTPUHSGU-HCWHUNCVSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccn(C(C)C)c1C ZINC001268081862 1084121717 /nfs/dbraw/zinc/12/17/17/1084121717.db2.gz SJXJTSTZHYEZKC-CYBMUJFWSA-N 0 1 311.857 3.180 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C)(C)CC(F)(F)F ZINC001268093773 1084153515 /nfs/dbraw/zinc/15/35/15/1084153515.db2.gz ZMPWZLVZLHSYKL-SNVBAGLBSA-N 0 1 314.779 3.154 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001481587717 1084228417 /nfs/dbraw/zinc/22/84/17/1084228417.db2.gz XYUUKWBEYZEYLU-MRXNPFEDSA-N 0 1 300.446 3.337 20 30 DGEDMN N#Cc1ccc(NC(=O)Nc2cnccc2N2CCCC2)cc1 ZINC001184721176 1084247527 /nfs/dbraw/zinc/24/75/27/1084247527.db2.gz NRCAZCLAGSHFMR-UHFFFAOYSA-N 0 1 307.357 3.197 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(C)c(OC)c2)C1 ZINC001268168986 1084274373 /nfs/dbraw/zinc/27/43/73/1084274373.db2.gz ACSFUQGDSVZBRI-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cccc(F)c1 ZINC001268179235 1084287786 /nfs/dbraw/zinc/28/77/86/1084287786.db2.gz IRNVLNJTFISQSR-MLGOLLRUSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCc2ccc(C(C)C)cc2)C1 ZINC001481634476 1084294267 /nfs/dbraw/zinc/29/42/67/1084294267.db2.gz FXYBAYOUWNRZKH-UHFFFAOYSA-N 0 1 314.473 3.367 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCC(=C)Cl ZINC001268186693 1084300063 /nfs/dbraw/zinc/30/00/63/1084300063.db2.gz QNYZQJZFRAPFHY-ZBFHGGJFSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001481639340 1084327400 /nfs/dbraw/zinc/32/74/00/1084327400.db2.gz VOEUYPXOOKKSGH-UHFFFAOYSA-N 0 1 300.446 3.009 20 30 DGEDMN C[C@H](C(=O)N(C)CCN(C)Cc1cccc(C#N)c1)C(C)(C)C ZINC001268239296 1084389145 /nfs/dbraw/zinc/38/91/45/1084389145.db2.gz AQYGAIGHJVVHCB-OAHLLOKOSA-N 0 1 315.461 3.131 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)SC ZINC001481668301 1084461286 /nfs/dbraw/zinc/46/12/86/1084461286.db2.gz HHJGSKDBJWPKTG-HRCADAONSA-N 0 1 312.523 3.384 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csnc1C ZINC001481669182 1084462160 /nfs/dbraw/zinc/46/21/60/1084462160.db2.gz ZAPFDJIPXUROKH-GJZGRUSLSA-N 0 1 319.474 3.097 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@](C)(CC)CCCCC ZINC001481684665 1084473561 /nfs/dbraw/zinc/47/35/61/1084473561.db2.gz VPEFIYBAORFLIX-LNLFQRSKSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001481705609 1084497293 /nfs/dbraw/zinc/49/72/93/1084497293.db2.gz FLEJDDVCJSJXOT-SJLPKXTDSA-N 0 1 316.420 3.360 20 30 DGEDMN CC(C)CCCC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001185834070 1084534487 /nfs/dbraw/zinc/53/44/87/1084534487.db2.gz SPGSQSISVMYVJF-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001185968457 1084561342 /nfs/dbraw/zinc/56/13/42/1084561342.db2.gz KUXJXRUGVINBKX-OAHLLOKOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)CCc2ccsc2)C1 ZINC001481768662 1084621199 /nfs/dbraw/zinc/62/11/99/1084621199.db2.gz RAJUHJIIZPKKTP-INIZCTEOSA-N 0 1 306.475 3.180 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001481772995 1084625229 /nfs/dbraw/zinc/62/52/29/1084625229.db2.gz NCYNHXWYBFWAJN-KGLIPLIRSA-N 0 1 320.399 3.464 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2cc(C#N)sc2[N+](=O)[O-])n[nH]1 ZINC001186360100 1084633384 /nfs/dbraw/zinc/63/33/84/1084633384.db2.gz RGTSJENQJCXMJZ-SFYZADRCSA-N 0 1 320.378 3.333 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C12CCC(CC1)C2 ZINC001268463182 1084655958 /nfs/dbraw/zinc/65/59/58/1084655958.db2.gz WMBBFJFHVJWRIP-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001481842220 1084661003 /nfs/dbraw/zinc/66/10/03/1084661003.db2.gz UZASBJGZRVPKGE-QGZVFWFLSA-N 0 1 313.445 3.145 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(Cc2ccccc2)cc1 ZINC001186534255 1084662545 /nfs/dbraw/zinc/66/25/45/1084662545.db2.gz CDBBNAIWMLAVSK-UHFFFAOYSA-N 0 1 302.337 3.124 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)C(C)(C)CCC ZINC001481849854 1084668247 /nfs/dbraw/zinc/66/82/47/1084668247.db2.gz OBSAWQZTUCWCIB-LBPRGKRZSA-N 0 1 319.287 3.206 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)c(F)c(C)c1 ZINC001268498824 1084727770 /nfs/dbraw/zinc/72/77/70/1084727770.db2.gz WMOREDOOPNBFFK-UHFFFAOYSA-N 0 1 312.816 3.247 20 30 DGEDMN N#Cc1cnc(NCc2ccc(Nc3ccncc3)cc2)c(F)c1 ZINC001187181981 1084766171 /nfs/dbraw/zinc/76/61/71/1084766171.db2.gz ADQPGINCBJWLFD-UHFFFAOYSA-N 0 1 319.343 3.265 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN(Cc3ccc(Cl)cc3)C[C@H]21 ZINC001187233269 1084772878 /nfs/dbraw/zinc/77/28/78/1084772878.db2.gz OLIUTZZHAMXMAI-DOTOQJQBSA-N 0 1 318.848 3.339 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@H](NCc2cnoc2C)C1 ZINC001187340954 1084793906 /nfs/dbraw/zinc/79/39/06/1084793906.db2.gz JHHOIDGJFMQYMO-QGZVFWFLSA-N 0 1 321.465 3.424 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C\CNCc1cc(F)ccc1F ZINC001268546354 1084797601 /nfs/dbraw/zinc/79/76/01/1084797601.db2.gz YYVLINZEWGFDQH-ARJAWSKDSA-N 0 1 308.372 3.083 20 30 DGEDMN CN1CCN(c2ncc(C#N)c3ccsc32)C[C@H]1C(C)(C)C ZINC001187446391 1084803803 /nfs/dbraw/zinc/80/38/03/1084803803.db2.gz XNXCXEVDGHTCAP-AWEZNQCLSA-N 0 1 314.458 3.334 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2nc(C)sc2C)CCC1 ZINC001268661041 1084924606 /nfs/dbraw/zinc/92/46/06/1084924606.db2.gz GOEMKRWLPCXLGH-GFCCVEGCSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CNCc2cnc(C)o2)CCCCC1 ZINC001268665263 1084928080 /nfs/dbraw/zinc/92/80/80/1084928080.db2.gz VSXVINTZQDAKGZ-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@](C)(CC)CCC ZINC001268667867 1084928715 /nfs/dbraw/zinc/92/87/15/1084928715.db2.gz ZULBWLGVXVVYKJ-TZMCWYRMSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001268701848 1084959030 /nfs/dbraw/zinc/95/90/30/1084959030.db2.gz IPQQTEFWBYLMJW-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)n(C(C)C)c1C ZINC001268715134 1084966858 /nfs/dbraw/zinc/96/68/58/1084966858.db2.gz ZJKDTLNCJQNWMU-LBPRGKRZSA-N 0 1 311.857 3.146 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001268727517 1084984744 /nfs/dbraw/zinc/98/47/44/1084984744.db2.gz ZKGXABCAVYCVPH-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC001268753566 1085028590 /nfs/dbraw/zinc/02/85/90/1085028590.db2.gz VXLNYEFIQHROND-UIAACRFSSA-N 0 1 320.436 3.013 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CC)Cc1ccccc1C ZINC001268753695 1085028866 /nfs/dbraw/zinc/02/88/66/1085028866.db2.gz WURNCVNIZTUPFZ-ROUUACIJSA-N 0 1 314.473 3.024 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1C ZINC001268934281 1085081378 /nfs/dbraw/zinc/08/13/78/1085081378.db2.gz AWKVFJDOCABIRW-IRXDYDNUSA-N 0 1 315.461 3.259 20 30 DGEDMN Cc1cccc(C)c1CN1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001268939760 1085083029 /nfs/dbraw/zinc/08/30/29/1085083029.db2.gz QFUIORLUFZPRRX-ROUUACIJSA-N 0 1 324.468 3.138 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001482203418 1085109984 /nfs/dbraw/zinc/10/99/84/1085109984.db2.gz RPRVDTBRRVLSOK-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001482203420 1085110023 /nfs/dbraw/zinc/11/00/23/1085110023.db2.gz RPRVDTBRRVLSOK-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001482203966 1085116813 /nfs/dbraw/zinc/11/68/13/1085116813.db2.gz XMRIGWRVMMQNCH-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001482203966 1085116817 /nfs/dbraw/zinc/11/68/17/1085116817.db2.gz XMRIGWRVMMQNCH-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C12CCC(CC1)CC2)C1CC1 ZINC001269148546 1085164459 /nfs/dbraw/zinc/16/44/59/1085164459.db2.gz FFQKMSLNFUMWPS-GULBITTBSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001269172342 1085178140 /nfs/dbraw/zinc/17/81/40/1085178140.db2.gz NPTXVOFCUGRSPY-IBWPDUFMSA-N 0 1 312.457 3.493 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCN1CC/C=C/c1ccccc1 ZINC001269235336 1085226259 /nfs/dbraw/zinc/22/62/59/1085226259.db2.gz JVJNPYCWCJJKHA-SSVWKNEZSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001269239965 1085227608 /nfs/dbraw/zinc/22/76/08/1085227608.db2.gz GKOANEDEDALWSC-QGZVFWFLSA-N 0 1 311.429 3.215 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001269269426 1085253597 /nfs/dbraw/zinc/25/35/97/1085253597.db2.gz ZTXUQFYXSQVNNN-CRAIPNDOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001269303223 1085285512 /nfs/dbraw/zinc/28/55/12/1085285512.db2.gz ZWLNDZKFIZIYSR-IEBWSBKVSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)ccc1Br ZINC001225683374 1085341487 /nfs/dbraw/zinc/34/14/87/1085341487.db2.gz BZRGSEGKRWMBGI-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN CC[C@H](CNC(=O)C(C)=C1CCC1)NCc1ccccc1C#N ZINC001269403565 1085367225 /nfs/dbraw/zinc/36/72/25/1085367225.db2.gz XYKWHLLPROWYAQ-GOSISDBHSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@]3(CCN(CCF)C3)C2)CCCC1 ZINC001269745197 1085550613 /nfs/dbraw/zinc/55/06/13/1085550613.db2.gz MUNVABRWYCWQBR-QGZVFWFLSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@]3(CCN(CCF)C3)C2)CCCC1 ZINC001269745196 1085551457 /nfs/dbraw/zinc/55/14/57/1085551457.db2.gz MUNVABRWYCWQBR-KRWDZBQOSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCCCC(=O)N1CCC2(CN(CCC(F)(F)F)C2)CC1 ZINC001482243624 1085569389 /nfs/dbraw/zinc/56/93/89/1085569389.db2.gz QENQQLBZIFQGKP-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccsc2)C1 ZINC001269849652 1085584828 /nfs/dbraw/zinc/58/48/28/1085584828.db2.gz ZCMHKJQEKKYPSE-KBPBESRZSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(CF)cc2)C1 ZINC001269900510 1085603433 /nfs/dbraw/zinc/60/34/33/1085603433.db2.gz YPCFYRIQGOHZQY-CQSZACIVSA-N 0 1 304.409 3.267 20 30 DGEDMN C=CCCCC(=O)N1CCC(CCNCc2nocc2C)CC1 ZINC001269902306 1085603675 /nfs/dbraw/zinc/60/36/75/1085603675.db2.gz OYHARJHBLOBRHZ-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1c(C)cc(F)cc1C ZINC001270104969 1085692484 /nfs/dbraw/zinc/69/24/84/1085692484.db2.gz HLWRPNRTYZQQBT-OAHLLOKOSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccoc2CC)CC1 ZINC001270111761 1085695250 /nfs/dbraw/zinc/69/52/50/1085695250.db2.gz UVERDVOSXSCDTG-UHFFFAOYSA-N 0 1 324.852 3.426 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001270137997 1085707760 /nfs/dbraw/zinc/70/77/60/1085707760.db2.gz LNKBWQSRHCRKGV-HUUCEWRRSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001270144335 1085709999 /nfs/dbraw/zinc/70/99/99/1085709999.db2.gz OARJILXWGRWGCT-BBRMVZONSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001270255926 1085749846 /nfs/dbraw/zinc/74/98/46/1085749846.db2.gz RTMLENGEPMVJBV-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)n1cccc1)C1CCCC1 ZINC001270396306 1085797734 /nfs/dbraw/zinc/79/77/34/1085797734.db2.gz ICIHITBSTWQVGG-HOCLYGCPSA-N 0 1 323.868 3.066 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)n1cccc1)C1CCCC1 ZINC001270396304 1085797953 /nfs/dbraw/zinc/79/79/53/1085797953.db2.gz ICIHITBSTWQVGG-GDBMZVCRSA-N 0 1 323.868 3.066 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(C)C)nc1 ZINC001482293652 1085841385 /nfs/dbraw/zinc/84/13/85/1085841385.db2.gz XUQNBUHJIHJEPT-CYBMUJFWSA-N 0 1 309.841 3.008 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2ccc(F)cc2C)C1 ZINC001270559572 1085859792 /nfs/dbraw/zinc/85/97/92/1085859792.db2.gz YVHFKENEDFJBGK-GOSISDBHSA-N 0 1 304.409 3.181 20 30 DGEDMN CC(C)C#CC(=O)N[C@@]1(C)CCN(Cc2cc3ccccc3o2)C1 ZINC001270581885 1085873197 /nfs/dbraw/zinc/87/31/97/1085873197.db2.gz BDSBESYHZJYHQO-FQEVSTJZSA-N 0 1 324.424 3.173 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001270614506 1085884374 /nfs/dbraw/zinc/88/43/74/1085884374.db2.gz GPHOZOOHDYVJLR-NRFANRHFSA-N 0 1 324.468 3.101 20 30 DGEDMN CCC(=O)N[C@H]1CCN(CC#Cc2ccc(Cl)cc2)[C@@H]1CC ZINC001087478602 1085950761 /nfs/dbraw/zinc/95/07/61/1085950761.db2.gz ZJDXBFBZWISOEA-DLBZAZTESA-N 0 1 318.848 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1cc(O)ccc1Cl ZINC001482318671 1085956721 /nfs/dbraw/zinc/95/67/21/1085956721.db2.gz FPYAISNWJNKIKY-GFCCVEGCSA-N 0 1 324.852 3.194 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001270857076 1086063135 /nfs/dbraw/zinc/06/31/35/1086063135.db2.gz CYWONGPFUSAERC-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(C)oc1C ZINC001482376970 1086099015 /nfs/dbraw/zinc/09/90/15/1086099015.db2.gz XJLQVCSXOIGDRX-CQSZACIVSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CNCc2nccs2)CC(C)C)C1 ZINC001482394645 1086122562 /nfs/dbraw/zinc/12/25/62/1086122562.db2.gz USDJEYRCFGJXNP-AWEZNQCLSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2cccnc2)C1 ZINC001270982240 1086230682 /nfs/dbraw/zinc/23/06/82/1086230682.db2.gz GUJPFMVQEZQBPI-LJQANCHMSA-N 0 1 315.461 3.156 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(F)c(C)cc1F ZINC001271005332 1086243877 /nfs/dbraw/zinc/24/38/77/1086243877.db2.gz OSWYLTOOJKWIOQ-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@H]2N(CCF)CC23CCC3)CC1 ZINC001105394097 1086253487 /nfs/dbraw/zinc/25/34/87/1086253487.db2.gz OGPDDMYMFXGSRH-GOSISDBHSA-N 0 1 322.468 3.405 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@](C)(CNC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001271017460 1086253888 /nfs/dbraw/zinc/25/38/88/1086253888.db2.gz QEWWMVHOHZFAFF-PXNSSMCTSA-N 0 1 314.473 3.270 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl)CCC1 ZINC001271091264 1086286773 /nfs/dbraw/zinc/28/67/73/1086286773.db2.gz DNAPECXRZYLKGE-FNWMBBJUSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccn(C(C)C)n1 ZINC001271122649 1086304188 /nfs/dbraw/zinc/30/41/88/1086304188.db2.gz XMGTXOXVXJWXJL-HNNXBMFYSA-N 0 1 320.481 3.003 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2CC(c3ccccc3)C2)[C@H]1CC ZINC001087631655 1086333414 /nfs/dbraw/zinc/33/34/14/1086333414.db2.gz NIJPYJPAHNSEFR-DXBWTPJFSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(Cl)cs1 ZINC001271128812 1086349957 /nfs/dbraw/zinc/34/99/57/1086349957.db2.gz ILJDJFJGYLGRIG-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)c2cccs2)[C@H]1CC ZINC001087685313 1086359104 /nfs/dbraw/zinc/35/91/04/1086359104.db2.gz JOJARAXKEKLWPD-LSDHHAIUSA-N 0 1 318.486 3.018 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C(C2CCC2)C2CCC2)[C@H]1CC ZINC001087704117 1086363666 /nfs/dbraw/zinc/36/36/66/1086363666.db2.gz DGXPDDJJXYIBCT-ZWKOTPCHSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2scnc2C(C)C)[C@H]1CC ZINC001087753942 1086397854 /nfs/dbraw/zinc/39/78/54/1086397854.db2.gz GNPVKORBGSESFX-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H]1CC ZINC001087758044 1086401303 /nfs/dbraw/zinc/40/13/03/1086401303.db2.gz ZSAOXKQBDXOYOK-VQTJNVASSA-N 0 1 322.452 3.252 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1CC ZINC001087774095 1086404993 /nfs/dbraw/zinc/40/49/93/1086404993.db2.gz XVOJRRYAQSUAQP-CADBVGFASA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cccc(F)c3o2)[C@H]1CC ZINC001087836010 1086435106 /nfs/dbraw/zinc/43/51/06/1086435106.db2.gz ANOOIWYTIYWKLX-LSDHHAIUSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C(C)(C)C)s2)[C@H]1CC ZINC001087842009 1086438493 /nfs/dbraw/zinc/43/84/93/1086438493.db2.gz YYFWARKJYHSOEL-QWHCGFSZSA-N 0 1 321.490 3.209 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)[C@H]1CC ZINC001087843255 1086440153 /nfs/dbraw/zinc/44/01/53/1086440153.db2.gz MPZCRVPBWTXVOD-HSALFYBXSA-N 0 1 324.468 3.099 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2CCC(C)(C)CC2)[C@H]1CC ZINC001087852999 1086447279 /nfs/dbraw/zinc/44/72/79/1086447279.db2.gz SUICYOXXTOXEEZ-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001271172053 1086522711 /nfs/dbraw/zinc/52/27/11/1086522711.db2.gz UYEBEKLUFVVQIS-AWEZNQCLSA-N 0 1 318.848 3.449 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1ccc(CC)cc1 ZINC001271206088 1086544726 /nfs/dbraw/zinc/54/47/26/1086544726.db2.gz ATMLPTDWUMIDCW-HNNXBMFYSA-N 0 1 322.880 3.371 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccc(F)cc1 ZINC001482484882 1086604431 /nfs/dbraw/zinc/60/44/31/1086604431.db2.gz YBKIBBOMBOIEQA-LRDDRELGSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC001482506941 1086733517 /nfs/dbraw/zinc/73/35/17/1086733517.db2.gz NSHSXRGAGBPFGP-HNNXBMFYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1oc(C)nc1C)C(C)C ZINC001271907393 1086827459 /nfs/dbraw/zinc/82/74/59/1086827459.db2.gz DNUIZCDVANFGHK-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@H](NCc2cnc(C)o2)C1 ZINC001088360209 1086860409 /nfs/dbraw/zinc/86/04/09/1086860409.db2.gz TTZZALJPMCWIIP-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1nc(C)oc1C)C(C)C ZINC001482555612 1086883306 /nfs/dbraw/zinc/88/33/06/1086883306.db2.gz CCCSHEQMTJQWAX-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3ccsc3)[C@@H]2C)C1 ZINC001088844630 1086971315 /nfs/dbraw/zinc/97/13/15/1086971315.db2.gz ZJEBFIJNJYVYDF-HIFRSBDPSA-N 0 1 304.459 3.183 20 30 DGEDMN COC(=O)C1(C#N)CCN([C@H](C)Cc2ccc(Cl)cc2)CC1 ZINC001168192057 1087038540 /nfs/dbraw/zinc/03/85/40/1087038540.db2.gz MAOHDXZQZBYMKF-CYBMUJFWSA-N 0 1 320.820 3.050 20 30 DGEDMN CC(C)C(C)(C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001482599145 1087041996 /nfs/dbraw/zinc/04/19/96/1087041996.db2.gz QQJJHBZRRQWUCA-UHFFFAOYSA-N 0 1 315.461 3.131 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2conc2C2CCCC2)[C@H]1C ZINC001088614972 1087168242 /nfs/dbraw/zinc/16/82/42/1087168242.db2.gz PJWUKMDJUGARSK-CJNGLKHVSA-N 0 1 317.433 3.101 20 30 DGEDMN CCC(CC)C(=O)N(CC)CCNCc1ccc(C#N)cc1F ZINC001482911408 1087187004 /nfs/dbraw/zinc/18/70/04/1087187004.db2.gz SVVPDLCIYZAFLI-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@@]1(C)CC=CCC1 ZINC001482929228 1087190328 /nfs/dbraw/zinc/19/03/28/1087190328.db2.gz UMEZCHMWZNIFEC-NRFANRHFSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)[C@@H](CCC)CC1CCCCC1 ZINC001496794076 1087193736 /nfs/dbraw/zinc/19/37/36/1087193736.db2.gz SAVGAYKIFOTJOL-SFHVURJKSA-N 0 1 306.494 3.397 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CCCC[C@@H]1C1CC1 ZINC001483039155 1087251444 /nfs/dbraw/zinc/25/14/44/1087251444.db2.gz ZJVIJJQEOKSLDP-KSLSASGISA-N 0 1 310.869 3.217 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1cc(Cl)ccc1F ZINC001483043635 1087273514 /nfs/dbraw/zinc/27/35/14/1087273514.db2.gz KFJNEDNGWRMSBC-WAYWQWQTSA-N 0 1 324.827 3.453 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCC=C(Cl)Cl ZINC001483058206 1087309027 /nfs/dbraw/zinc/30/90/27/1087309027.db2.gz UQAUHEVIEMPLSI-AFNCTOJWSA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCCC(C)C)CC[C@H]21 ZINC001272216034 1087315627 /nfs/dbraw/zinc/31/56/27/1087315627.db2.gz NPHZIEAMHHLIKF-JKSUJKDBSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H](C)c1ccccc1 ZINC001483089263 1087336986 /nfs/dbraw/zinc/33/69/86/1087336986.db2.gz GIIVFRJWPPYCDS-AFNCTOJWSA-N 0 1 306.837 3.195 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H](C)c1ccccc1 ZINC001483089264 1087337214 /nfs/dbraw/zinc/33/72/14/1087337214.db2.gz GIIVFRJWPPYCDS-LMVHVUTASA-N 0 1 306.837 3.195 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001483112925 1087355221 /nfs/dbraw/zinc/35/52/21/1087355221.db2.gz VYHQGHGMDMBBGH-CKNOQMABSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1scc(C)c1Cl ZINC001483131475 1087394722 /nfs/dbraw/zinc/39/47/22/1087394722.db2.gz YICJGAOLVOMWLV-SECBINFHSA-N 0 1 307.246 3.170 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2ccc(F)cc2F)CC1 ZINC001483164229 1087409297 /nfs/dbraw/zinc/40/92/97/1087409297.db2.gz ZWMPZECMVQGDCS-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)CCC(C)C)CC2)C1 ZINC001105687501 1087424225 /nfs/dbraw/zinc/42/42/25/1087424225.db2.gz JERNQSAKIRHPGJ-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1ccc(Cl)cc1F ZINC001483254676 1087451504 /nfs/dbraw/zinc/45/15/04/1087451504.db2.gz HSSZWOYGIDKELE-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001483264642 1087453602 /nfs/dbraw/zinc/45/36/02/1087453602.db2.gz ZHCBYJFLPXVKRN-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(-c2ccccc2)o1 ZINC001483268096 1087454478 /nfs/dbraw/zinc/45/44/78/1087454478.db2.gz MNJWGINBPNKAOR-ZDUSSCGKSA-N 0 1 318.804 3.407 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccccc1C(F)(F)F ZINC001483285453 1087458236 /nfs/dbraw/zinc/45/82/36/1087458236.db2.gz BILIVDVPRNKTJN-JTQLQIEISA-N 0 1 320.742 3.166 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)/C=C(/C)CC)CC2)C1 ZINC001105707153 1087458513 /nfs/dbraw/zinc/45/85/13/1087458513.db2.gz ORFJCNWOHNTPAT-VBKFSLOCSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1coc2cc(C)ccc12 ZINC001483290266 1087459739 /nfs/dbraw/zinc/45/97/39/1087459739.db2.gz ILHMEXREWKCYOT-ZDUSSCGKSA-N 0 1 320.820 3.131 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC001483336760 1087474756 /nfs/dbraw/zinc/47/47/56/1087474756.db2.gz UVFMTDUHFCSPKA-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@H]1CNCc1coc(C)n1 ZINC001483433429 1087501491 /nfs/dbraw/zinc/50/14/91/1087501491.db2.gz FWHHEEOTQQLTOW-RDJZCZTQSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC[C@@H](F)CC)C1 ZINC001112109597 1087562066 /nfs/dbraw/zinc/56/20/66/1087562066.db2.gz YCRXYOMUKMKESD-YNEHKIRRSA-N 0 1 324.362 3.070 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)[C@H]1C ZINC001088885597 1087597959 /nfs/dbraw/zinc/59/79/59/1087597959.db2.gz FXPZHVKZNHUBCQ-DOMZBBRYSA-N 0 1 304.434 3.264 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1cc(C)sn1 ZINC001483645874 1087601263 /nfs/dbraw/zinc/60/12/63/1087601263.db2.gz RSNWMVJOTFHAQD-CQSZACIVSA-N 0 1 307.463 3.002 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)[C@H]1C ZINC001088967970 1087611790 /nfs/dbraw/zinc/61/17/90/1087611790.db2.gz ARZDAYQEXJRGDZ-FMKPAKJESA-N 0 1 312.413 3.301 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)[C@H]1C ZINC001089018358 1087621858 /nfs/dbraw/zinc/62/18/58/1087621858.db2.gz DTGQAYSKJRQKAK-CPFNUKBASA-N 0 1 318.505 3.441 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2c3ccccc3oc2CC)[C@H]1C ZINC001089064094 1087629536 /nfs/dbraw/zinc/62/95/36/1087629536.db2.gz IZXXIBZQKUMGMD-ZBFHGGJFSA-N 0 1 324.424 3.211 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2cnc(CC(C)C)s2)[C@H]1C ZINC001089073653 1087631802 /nfs/dbraw/zinc/63/18/02/1087631802.db2.gz QZLZAHDBXWWADJ-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(CC(C)C)s2)[C@H]1C ZINC001089073653 1087631805 /nfs/dbraw/zinc/63/18/05/1087631805.db2.gz QZLZAHDBXWWADJ-KGLIPLIRSA-N 0 1 321.490 3.110 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@H]1C ZINC001089094503 1087636776 /nfs/dbraw/zinc/63/67/76/1087636776.db2.gz YPEZDVRSXMZNIY-UZLBHIALSA-N 0 1 322.452 3.470 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2cc(C)ccc2C)C1 ZINC001483679737 1087639350 /nfs/dbraw/zinc/63/93/50/1087639350.db2.gz NMBDODAOTJXZPY-SFHVURJKSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2[C@H]2CCc3ccccc32)C1 ZINC001483680329 1087641881 /nfs/dbraw/zinc/64/18/81/1087641881.db2.gz ZUPKLVPXUCLUTD-WMZOPIPTSA-N 0 1 310.441 3.221 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H]1CNC(=O)c1sc(CC)nc1C ZINC001483684471 1087650101 /nfs/dbraw/zinc/65/01/01/1087650101.db2.gz GWUVJFYRDSUQDC-TZMCWYRMSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)Cc1coc2ccccc12 ZINC001483685904 1087652452 /nfs/dbraw/zinc/65/24/52/1087652452.db2.gz DFANPLDVNKEZGC-INIZCTEOSA-N 0 1 312.413 3.132 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099170020 1087727688 /nfs/dbraw/zinc/72/76/88/1087727688.db2.gz BXGINFSQZQZTBM-MRXNPFEDSA-N 0 1 304.478 3.219 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2cccnc2)CC(C)(C)C1 ZINC001089286223 1087734788 /nfs/dbraw/zinc/73/47/88/1087734788.db2.gz YGKJCPUIEBNVOJ-OAHLLOKOSA-N 0 1 321.852 3.055 20 30 DGEDMN CC[N@@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CCC(C)C ZINC001151767220 1087771418 /nfs/dbraw/zinc/77/14/18/1087771418.db2.gz WUBDGBXIUFLSQD-CQSZACIVSA-N 0 1 321.490 3.383 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CCC(C)C ZINC001151767220 1087771425 /nfs/dbraw/zinc/77/14/25/1087771425.db2.gz WUBDGBXIUFLSQD-CQSZACIVSA-N 0 1 321.490 3.383 20 30 DGEDMN CCCCC[C@@H](CC)C(=O)NCCNCC#Cc1ccccc1 ZINC001151889565 1087810427 /nfs/dbraw/zinc/81/04/27/1087810427.db2.gz FCYQNRYWPCEOMS-LJQANCHMSA-N 0 1 314.473 3.350 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(F)c(Cl)c1 ZINC001158425733 1087827691 /nfs/dbraw/zinc/82/76/91/1087827691.db2.gz DOXXYPVYGVJMHP-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099193983 1087861852 /nfs/dbraw/zinc/86/18/52/1087861852.db2.gz LDSYSJXYBUMHDW-IAGOWNOFSA-N 0 1 304.478 3.219 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1nc2cccc(C)c2[nH]1 ZINC001151992868 1087862531 /nfs/dbraw/zinc/86/25/31/1087862531.db2.gz SGOVERFELDBISJ-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)c1ccc(C)o1 ZINC001158565429 1087918421 /nfs/dbraw/zinc/91/84/21/1087918421.db2.gz MXDDMXKVGPLDST-IAGOWNOFSA-N 0 1 316.445 3.028 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1ccc2cncn2c1 ZINC001152206978 1087922138 /nfs/dbraw/zinc/92/21/38/1087922138.db2.gz RALTVSCNVXMQIU-AWEZNQCLSA-N 0 1 321.380 3.390 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cn(C(C)C)nc1C ZINC001152313099 1087944419 /nfs/dbraw/zinc/94/44/19/1087944419.db2.gz GSYBUJWWLBNUKE-OAHLLOKOSA-N 0 1 320.481 3.065 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1ccc(Cl)nc1 ZINC001152346271 1087952375 /nfs/dbraw/zinc/95/23/75/1087952375.db2.gz OMVDTONMVAUAQF-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN CCN(Cc1c(C)cccc1Cl)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152414286 1087970127 /nfs/dbraw/zinc/97/01/27/1087970127.db2.gz YVHNOYWIOOZQJS-UONOGXRCSA-N 0 1 321.852 3.135 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1oc(CC)cc1C ZINC001158744729 1088004998 /nfs/dbraw/zinc/00/49/98/1088004998.db2.gz RUOVUVXBRYRADK-MRXNPFEDSA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCC1CCCCC1 ZINC001158819726 1088046480 /nfs/dbraw/zinc/04/64/80/1088046480.db2.gz WTETZWSLOJIIQU-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCCC1CCCC1 ZINC001158928239 1088105123 /nfs/dbraw/zinc/10/51/23/1088105123.db2.gz PDXXTUYPPRLSPT-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1CC(C(C)(C)C)C1 ZINC001158943459 1088111374 /nfs/dbraw/zinc/11/13/74/1088111374.db2.gz XRWFJSMWOXADAX-OFLPRAFFSA-N 0 1 304.478 3.005 20 30 DGEDMN CC(C)=CC(=O)NCCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001159187100 1088227265 /nfs/dbraw/zinc/22/72/65/1088227265.db2.gz AFZSEWWNVQGETJ-UHFFFAOYSA-N 0 1 323.440 3.163 20 30 DGEDMN CN1CC(CNc2nc3cc(F)c(F)cc3c(Cl)c2C#N)C1 ZINC001159221968 1088238563 /nfs/dbraw/zinc/23/85/63/1088238563.db2.gz DYTIYHQSXBWBQH-UHFFFAOYSA-N 0 1 322.746 3.012 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccc(F)c(C#N)c3F)c2[nH]1 ZINC001153608359 1088300965 /nfs/dbraw/zinc/30/09/65/1088300965.db2.gz HHMJTLYLZSVLJC-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1cccc(C#N)c1 ZINC001272651656 1088441617 /nfs/dbraw/zinc/44/16/17/1088441617.db2.gz VHQBBJAHYSDQCW-FQEVSTJZSA-N 0 1 323.440 3.091 20 30 DGEDMN COc1cccc(NC2(C#N)CCN(Cc3ccccc3)CC2)n1 ZINC001160100345 1088639511 /nfs/dbraw/zinc/63/95/11/1088639511.db2.gz YKTKQDOWXRQMAN-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)/C=C(/C)CC)[C@H](OC)C1 ZINC001212237500 1088650549 /nfs/dbraw/zinc/65/05/49/1088650549.db2.gz QBEFSGXPJUEWCK-FBCVODPVSA-N 0 1 310.482 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2CC)CC(C)(C)C1 ZINC001089498227 1088694143 /nfs/dbraw/zinc/69/41/43/1088694143.db2.gz PENLWSFJWBVULH-RBSFLKMASA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC001483770970 1088730380 /nfs/dbraw/zinc/73/03/80/1088730380.db2.gz LJWZQLLIXFOCOW-KBPBESRZSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001154751366 1088744584 /nfs/dbraw/zinc/74/45/84/1088744584.db2.gz TXQWKINYIXOGFW-UKRRQHHQSA-N 0 1 323.506 3.380 20 30 DGEDMN COc1cc2[nH]ncc2cc1C(=O)Nc1ccc(F)c(CC#N)c1 ZINC001154801448 1088764986 /nfs/dbraw/zinc/76/49/86/1088764986.db2.gz UIEUZAHWYQADAP-UHFFFAOYSA-N 0 1 324.315 3.029 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1F ZINC001089600777 1088799861 /nfs/dbraw/zinc/79/98/61/1088799861.db2.gz GUJJAJXRENUBDP-HNNXBMFYSA-N 0 1 316.420 3.069 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1C ZINC001089602973 1088803868 /nfs/dbraw/zinc/80/38/68/1088803868.db2.gz GPALZPKHSVJJCZ-KRWDZBQOSA-N 0 1 312.457 3.239 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1oc(C)cc1C ZINC001089622093 1088835783 /nfs/dbraw/zinc/83/57/83/1088835783.db2.gz DWWWHKKIGOINPR-HNNXBMFYSA-N 0 1 304.434 3.303 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001089626383 1088845705 /nfs/dbraw/zinc/84/57/05/1088845705.db2.gz HBRPNYIZXCBQAB-OAHLLOKOSA-N 0 1 304.434 3.303 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1ccnc(Cl)c1 ZINC001154957986 1088854273 /nfs/dbraw/zinc/85/42/73/1088854273.db2.gz UEDPIRXOXKBFCI-CQSZACIVSA-N 0 1 323.868 3.418 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)N(CC)Cc2cccnc2)CC1 ZINC001155027993 1088903888 /nfs/dbraw/zinc/90/38/88/1088903888.db2.gz GSTKYIHNZPFYQE-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C1CCC(F)=CC1=NC(F)(F)c1cc(Cl)nnc1OC ZINC001160720434 1088931484 /nfs/dbraw/zinc/93/14/84/1088931484.db2.gz PFGHZJQXKHRFRH-UHFFFAOYSA-N 0 1 317.698 3.475 20 30 DGEDMN C=C1CCC(F)=CC1=NC(F)(F)COc1cncc(Cl)n1 ZINC001160721072 1088931507 /nfs/dbraw/zinc/93/15/07/1088931507.db2.gz WHISRFPVHKVONC-UHFFFAOYSA-N 0 1 317.698 3.388 20 30 DGEDMN C=C1CCC(F)=CC1=NC(F)(F)c1cnc(Cl)nc1OC ZINC001160720141 1088932801 /nfs/dbraw/zinc/93/28/01/1088932801.db2.gz MYEXXXRLGPNGGN-UHFFFAOYSA-N 0 1 317.698 3.475 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001483834249 1088936995 /nfs/dbraw/zinc/93/69/95/1088936995.db2.gz ZPMFVSRQENLIJC-DBEXCURXSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc(CC)n1 ZINC001089652123 1088947455 /nfs/dbraw/zinc/94/74/55/1088947455.db2.gz XINYWIFREJYIQO-MRXNPFEDSA-N 0 1 315.461 3.050 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001089651952 1088947541 /nfs/dbraw/zinc/94/75/41/1088947541.db2.gz RWQNTRGFPIAPEX-AWEZNQCLSA-N 0 1 322.399 3.371 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCc2ccc(C)s2)C1 ZINC001483894153 1088993559 /nfs/dbraw/zinc/99/35/59/1088993559.db2.gz LLEXEVNEOCMBQG-UHFFFAOYSA-N 0 1 306.475 3.098 20 30 DGEDMN CCCCCCN1CC(N(C)C(=O)CC#Cc2ccccc2)C1 ZINC001483893344 1088994916 /nfs/dbraw/zinc/99/49/16/1088994916.db2.gz QIOZPKWXPAWNQS-UHFFFAOYSA-N 0 1 312.457 3.151 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1nc(C)sc1C ZINC001089656911 1089015059 /nfs/dbraw/zinc/01/50/59/1089015059.db2.gz PDECQJNAZPIPLE-CQSZACIVSA-N 0 1 321.490 3.166 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C12CCC(CC1)C2 ZINC001089657422 1089019311 /nfs/dbraw/zinc/01/93/11/1089019311.db2.gz CZAMSZYZGHPTIY-GNZRSQJKSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(F)(F)c1ccc(F)c(Cl)n1 ZINC001160952915 1089038440 /nfs/dbraw/zinc/03/84/40/1089038440.db2.gz IKYHUHAHFMKVDQ-JTQLQIEISA-N 0 1 319.758 3.163 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@H](C)c1ccco1 ZINC001483951435 1089041971 /nfs/dbraw/zinc/04/19/71/1089041971.db2.gz FOMZRGTVHZMZAW-GXTWGEPZSA-N 0 1 310.825 3.106 20 30 DGEDMN C#CCN(C(=O)[C@H](C)C1CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001483985073 1089070121 /nfs/dbraw/zinc/07/01/21/1089070121.db2.gz JZYHCGFDWPSPDL-HYJLXUOHSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(Cl)c(C)c2)CC1 ZINC001161084722 1089110280 /nfs/dbraw/zinc/11/02/80/1089110280.db2.gz RFVLNDJWQINUDO-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2c(C)cccc2Cl)CC1 ZINC001161088496 1089110417 /nfs/dbraw/zinc/11/04/17/1089110417.db2.gz AZMZXRSDDMIWHZ-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2cc(C)ccc2F)C1 ZINC001484034303 1089116684 /nfs/dbraw/zinc/11/66/84/1089116684.db2.gz ITIGZWARONZCTC-SFHVURJKSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(CCc2ccccc2Cl)C1 ZINC001484035143 1089117634 /nfs/dbraw/zinc/11/76/34/1089117634.db2.gz RKKCDFVYEOWWLD-SFHVURJKSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001161121193 1089124616 /nfs/dbraw/zinc/12/46/16/1089124616.db2.gz BBBNFSYRFUDRQA-XBMZPMPPSA-N 0 1 310.869 3.480 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC001089691646 1089187841 /nfs/dbraw/zinc/18/78/41/1089187841.db2.gz UKUOUKXPIUGOBG-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCCCCC(=O)NCC1(C)CCN(CC(=C)Cl)CC1 ZINC001484133677 1089219804 /nfs/dbraw/zinc/21/98/04/1089219804.db2.gz GCQZRSNUPOOAPJ-UHFFFAOYSA-N 0 1 310.869 3.151 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CC2(C=C)CCCCC2)C1 ZINC001484171176 1089266127 /nfs/dbraw/zinc/26/61/27/1089266127.db2.gz GQGYDYHEQGRVNZ-LJQANCHMSA-N 0 1 316.489 3.365 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CC=C(C)C)CCC1(F)F ZINC001484197313 1089286349 /nfs/dbraw/zinc/28/63/49/1089286349.db2.gz ZBHRCCBQQBYCEY-OAHLLOKOSA-N 0 1 314.420 3.382 20 30 DGEDMN CCN(CC)C(=O)c1cc(Cl)nc(N=C(C)CC(C)=O)c1 ZINC001155890714 1089287859 /nfs/dbraw/zinc/28/78/59/1089287859.db2.gz ZFDORUYMSGAFTL-YFHOEESVSA-N 0 1 309.797 3.122 20 30 DGEDMN CCOC(=O)c1cnc(Cl)c(N=C(C)CC(C)=O)c1Cl ZINC001155887885 1089287946 /nfs/dbraw/zinc/28/79/46/1089287946.db2.gz SSUHRSCISGPQJW-ALCCZGGFSA-N 0 1 317.172 3.470 20 30 DGEDMN CCOc1cc2ncnc(NC(C)=CC(C)=O)c2cc1OCC ZINC001155890088 1089288523 /nfs/dbraw/zinc/28/85/23/1089288523.db2.gz CIAFXYPLVDJUHT-XFFZJAGNSA-N 0 1 315.373 3.332 20 30 DGEDMN C=C(C)C[N@H+]1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)CCC)C1 ZINC001484199575 1089290118 /nfs/dbraw/zinc/29/01/18/1089290118.db2.gz YOFQUAWKJZRBAL-AWEZNQCLSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)CCC)C1 ZINC001484199575 1089290125 /nfs/dbraw/zinc/29/01/25/1089290125.db2.gz YOFQUAWKJZRBAL-AWEZNQCLSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)c1n[nH]c2ccccc21 ZINC001161580412 1089296352 /nfs/dbraw/zinc/29/63/52/1089296352.db2.gz LOCLBTWTTYFSEI-OAHLLOKOSA-N 0 1 305.381 3.480 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)nc1C ZINC001089735122 1089315090 /nfs/dbraw/zinc/31/50/90/1089315090.db2.gz FXSBDYCDEWXHFT-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC(C)(C)C1 ZINC001089737555 1089320126 /nfs/dbraw/zinc/32/01/26/1089320126.db2.gz WTONIVITCDLKLY-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)C(C)(F)F)cc1 ZINC001272682076 1089373206 /nfs/dbraw/zinc/37/32/06/1089373206.db2.gz HAKPKAOOUQXGDT-NSHDSACASA-N 0 1 316.779 3.361 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C2(C3CCCCC3)CC2)CC1 ZINC001161790169 1089402572 /nfs/dbraw/zinc/40/25/72/1089402572.db2.gz NBNZASFAUMWNTK-UHFFFAOYSA-N 0 1 314.473 3.119 20 30 DGEDMN O=C(c1[nH]nc2ccccc21)N(O)CCCCc1ccccc1 ZINC001161808105 1089415494 /nfs/dbraw/zinc/41/54/94/1089415494.db2.gz WBSVOJLCENUHKS-UHFFFAOYSA-N 0 1 309.369 3.417 20 30 DGEDMN C#CC[N@@H+]1CC=C(CCNC(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001161844764 1089438509 /nfs/dbraw/zinc/43/85/09/1089438509.db2.gz RXWWLGTVCZGCKO-UHFFFAOYSA-N 0 1 324.468 3.270 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(CC(C)C)cc2)CC1 ZINC001161844764 1089438516 /nfs/dbraw/zinc/43/85/16/1089438516.db2.gz RXWWLGTVCZGCKO-UHFFFAOYSA-N 0 1 324.468 3.270 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@@H]3CCCC[N@@H+]3CC)cc2n1 ZINC001161854336 1089443855 /nfs/dbraw/zinc/44/38/55/1089443855.db2.gz LKUOBRKTDRHRCR-KRWDZBQOSA-N 0 1 312.417 3.035 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@@H]3CCCCN3CC)cc2n1 ZINC001161854336 1089443877 /nfs/dbraw/zinc/44/38/77/1089443877.db2.gz LKUOBRKTDRHRCR-KRWDZBQOSA-N 0 1 312.417 3.035 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C[C@H]1C ZINC001161914576 1089466377 /nfs/dbraw/zinc/46/63/77/1089466377.db2.gz VZMPBZMZDBEMFX-JMSVASOKSA-N 0 1 323.400 3.354 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2ccc(F)c(C)c2)C1=O ZINC001272685599 1089524857 /nfs/dbraw/zinc/52/48/57/1089524857.db2.gz CHHHGRBGUXTCDC-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001484649839 1089565999 /nfs/dbraw/zinc/56/59/99/1089565999.db2.gz MOJIXCNRMFLOJV-KRWDZBQOSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(c3ccccc3)CC2)CCC1 ZINC001484661624 1089579641 /nfs/dbraw/zinc/57/96/41/1089579641.db2.gz ZPQUVWBXIFXGLY-UHFFFAOYSA-N 0 1 318.848 3.099 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001484715768 1089605154 /nfs/dbraw/zinc/60/51/54/1089605154.db2.gz WTFCANIGEQMQRU-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN Cc1ccc(C)c(-c2ccc(NC3(C#N)CCN(C)CC3)nn2)c1 ZINC001162231604 1089622104 /nfs/dbraw/zinc/62/21/04/1089622104.db2.gz WJHNQPCUOIJFHP-UHFFFAOYSA-N 0 1 321.428 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1conc1CC ZINC001484752972 1089657102 /nfs/dbraw/zinc/65/71/02/1089657102.db2.gz KRPGBHRLIPAOGZ-UHFFFAOYSA-N 0 1 321.465 3.120 20 30 DGEDMN C[C@@H](C#N)C(=O)NCc1ccc2c(c1)CN(CC1=CCCCC1)C2 ZINC001484873985 1089716589 /nfs/dbraw/zinc/71/65/89/1089716589.db2.gz STSNLIBKOREZNS-HNNXBMFYSA-N 0 1 323.440 3.278 20 30 DGEDMN C[C@H]1CN(C(=O)c2n[nH]c3cc(C#N)ccc32)Cc2ccccc21 ZINC001156878880 1089727795 /nfs/dbraw/zinc/72/77/95/1089727795.db2.gz SUCNGZJJFHDWES-LBPRGKRZSA-N 0 1 316.364 3.194 20 30 DGEDMN C=C(C)C[N@H+]1CCOC2(CCN(C(=O)[C@H](C)CCCC)CC2)C1 ZINC001485008649 1089844952 /nfs/dbraw/zinc/84/49/52/1089844952.db2.gz QCLKZRLUQFKYBM-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)[C@H](C)CCCC)CC2)C1 ZINC001485008649 1089844971 /nfs/dbraw/zinc/84/49/71/1089844971.db2.gz QCLKZRLUQFKYBM-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCC(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001157432108 1089964070 /nfs/dbraw/zinc/96/40/70/1089964070.db2.gz SNZSEDDAHFTBNZ-LJQANCHMSA-N 0 1 312.457 3.151 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001485120609 1089973616 /nfs/dbraw/zinc/97/36/16/1089973616.db2.gz LJLSSKCHDYTPLI-ZIAGYGMSSA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001485120607 1089973757 /nfs/dbraw/zinc/97/37/57/1089973757.db2.gz LJLSSKCHDYTPLI-UONOGXRCSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)C(C)(C)CCC ZINC001485189057 1090021148 /nfs/dbraw/zinc/02/11/48/1090021148.db2.gz NAYJGADYLLKWBY-RYUDHWBXSA-N 0 1 319.287 3.204 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001485285499 1090067476 /nfs/dbraw/zinc/06/74/76/1090067476.db2.gz DCUMOPWZXPAJMW-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN N#Cc1cc(C(F)(F)F)cnc1N[C@H]1CNCc2ccsc21 ZINC001163219771 1090119529 /nfs/dbraw/zinc/11/95/29/1090119529.db2.gz GGCRGHLUXVSHFL-NSHDSACASA-N 0 1 324.331 3.290 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001485359198 1090132709 /nfs/dbraw/zinc/13/27/09/1090132709.db2.gz WFELWDONPZFLMD-PKOBYXMFSA-N 0 1 314.473 3.024 20 30 DGEDMN CN(C/C=C/Cl)C[C@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001157920142 1090150374 /nfs/dbraw/zinc/15/03/74/1090150374.db2.gz SESBLLAFKWEJGZ-XKOZXHHJSA-N 0 1 310.869 3.101 20 30 DGEDMN CC[C@H](F)CN(C)C[C@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001157964915 1090159009 /nfs/dbraw/zinc/15/90/09/1090159009.db2.gz IEBRUVBZLFSFMW-JKSUJKDBSA-N 0 1 310.457 3.097 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001485505942 1090195923 /nfs/dbraw/zinc/19/59/23/1090195923.db2.gz JHRGTXRCTRKLGE-HNNXBMFYSA-N 0 1 318.436 3.427 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)[C@H](C)CC)C2)C1 ZINC001485553594 1090207431 /nfs/dbraw/zinc/20/74/31/1090207431.db2.gz SXUQHURGUCXVGN-MJGOQNOKSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)CC[C@H](C)CC)C2)C1 ZINC001485554915 1090207846 /nfs/dbraw/zinc/20/78/46/1090207846.db2.gz FTKKXCFGLNMWCA-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2cncc(C)c2)CC1(C)C ZINC001485576040 1090220800 /nfs/dbraw/zinc/22/08/00/1090220800.db2.gz BAEZWLQOMISQQU-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@]3(C)CC=C(C)CC3)[C@@H]2C1 ZINC001485626089 1090226152 /nfs/dbraw/zinc/22/61/52/1090226152.db2.gz FGGNXNPUDNPYQY-CUSZFKRNSA-N 0 1 322.880 3.169 20 30 DGEDMN CC(=O)CC(C)=Nc1nc(C)c(Br)c(C)c1C#N ZINC001163738008 1090246329 /nfs/dbraw/zinc/24/63/29/1090246329.db2.gz ORKWAQCJGRNESL-ALCCZGGFSA-N 0 1 308.179 3.237 20 30 DGEDMN C=CCCC(=O)NCCC1(CNCc2nc(C)sc2C)CC1 ZINC001163767847 1090254172 /nfs/dbraw/zinc/25/41/72/1090254172.db2.gz VTRFBFKNSVOAOK-UHFFFAOYSA-N 0 1 321.490 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1c(F)cccc1F ZINC001163855433 1090274595 /nfs/dbraw/zinc/27/45/95/1090274595.db2.gz BBIADOQBTAQJQN-UHFFFAOYSA-N 0 1 310.388 3.163 20 30 DGEDMN C=CC(=NCOC)N[C@H](C)c1ccc(Br)s1 ZINC001164086665 1090337839 /nfs/dbraw/zinc/33/78/39/1090337839.db2.gz SMSVTNQDRZFUHW-MRVPVSSYSA-N 0 1 303.225 3.350 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1c(F)cccc1F ZINC001164222902 1090377143 /nfs/dbraw/zinc/37/71/43/1090377143.db2.gz OFQKQWBPZUTAOH-CHWSQXEVSA-N 0 1 310.388 3.019 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CCC(F)F)CCC[C@@H]12 ZINC001107475754 1090444097 /nfs/dbraw/zinc/44/40/97/1090444097.db2.gz ULUKKNMUNIOEKE-IUODEOHRSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@]3(C)C=CCC3)CCC[C@@H]12 ZINC001107502659 1090452209 /nfs/dbraw/zinc/45/22/09/1090452209.db2.gz MAAPQTUCPHRBJK-KBAYOESNSA-N 0 1 322.880 3.456 20 30 DGEDMN C=CCC1(C(=O)NC[C@]23CCC[C@H]2[N@@H+](CCF)CC3)CCC1 ZINC001107536821 1090456992 /nfs/dbraw/zinc/45/69/92/1090456992.db2.gz CYZOEUCPBJZTPK-CRAIPNDOSA-N 0 1 308.441 3.063 20 30 DGEDMN Cc1ccc(C#N)c(N2C[C@@H](C)N(Cc3ccccc3)C[C@@H]2C)n1 ZINC001165201452 1090478867 /nfs/dbraw/zinc/47/88/67/1090478867.db2.gz MSEXDXRQUUYLTJ-SJORKVTESA-N 0 1 320.440 3.361 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CC2CCCCC2)C1 ZINC001107716535 1090491911 /nfs/dbraw/zinc/49/19/11/1090491911.db2.gz KKBMLACNDQKJML-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)CC2CCCCC2)C1 ZINC001107716139 1090492238 /nfs/dbraw/zinc/49/22/38/1090492238.db2.gz AXBMJNZHTHTIQE-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CC2CCCCC2)C1 ZINC001107716139 1090492243 /nfs/dbraw/zinc/49/22/43/1090492243.db2.gz AXBMJNZHTHTIQE-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)C2CC2)C1 ZINC001107849577 1090515437 /nfs/dbraw/zinc/51/54/37/1090515437.db2.gz ZCHPNFSIZDBNDH-APWZRJJASA-N 0 1 324.509 3.210 20 30 DGEDMN N#Cc1ccc(Cl)nc1NCC1CN(Cc2ccccc2)C1 ZINC001165412446 1090517722 /nfs/dbraw/zinc/51/77/22/1090517722.db2.gz JYUZPASWYFUWJD-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN C=CCCC1(C(=O)NCCCNCc2ncc(C(C)C)o2)CC1 ZINC001165921024 1090561733 /nfs/dbraw/zinc/56/17/33/1090561733.db2.gz DBZOTXBVQXDFHX-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1nc2ccccc2o1 ZINC001165921062 1090561932 /nfs/dbraw/zinc/56/19/32/1090561932.db2.gz FARYYIBGROLSMQ-SFHVURJKSA-N 0 1 315.417 3.026 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@]1(C)CN(CC/C=C/CC)CCO1 ZINC001107971760 1090595540 /nfs/dbraw/zinc/59/55/40/1090595540.db2.gz YAALTSMXANISID-CSHXORCISA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(C)cc1 ZINC001485831431 1090612370 /nfs/dbraw/zinc/61/23/70/1090612370.db2.gz BPXFRUKLDVYLSF-INIZCTEOSA-N 0 1 306.837 3.188 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1(CNC/C(Cl)=C/Cl)CC1 ZINC001166579635 1090623492 /nfs/dbraw/zinc/62/34/92/1090623492.db2.gz MOTJTCKUGSPLGE-XFXZXTDPSA-N 0 1 319.276 3.394 20 30 DGEDMN Cc1cnc(N2CCC(Cc3ccc(C#N)cc3)CC2)c(N)c1 ZINC001166767244 1090654113 /nfs/dbraw/zinc/65/41/13/1090654113.db2.gz UFHZUEBHOXBKDR-UHFFFAOYSA-N 0 1 306.413 3.303 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(C#N)cc1 ZINC001272912255 1090678486 /nfs/dbraw/zinc/67/84/86/1090678486.db2.gz UWBLGWXWJQPOIR-FQEVSTJZSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(Cl)cc1 ZINC001272915355 1090679241 /nfs/dbraw/zinc/67/92/41/1090679241.db2.gz OANFTXUGQIMQEP-HZPDHXFCSA-N 0 1 304.821 3.091 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(Cl)cc1 ZINC001272915978 1090679283 /nfs/dbraw/zinc/67/92/83/1090679283.db2.gz XVAXZRVPIPMJFW-SFHVURJKSA-N 0 1 318.848 3.483 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cccc(C(C)C)n1 ZINC001485880179 1090716050 /nfs/dbraw/zinc/71/60/50/1090716050.db2.gz KXMLZIFNUNIDPI-INIZCTEOSA-N 0 1 317.477 3.498 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1oc(CC)nc1C ZINC001485877744 1090718951 /nfs/dbraw/zinc/71/89/51/1090718951.db2.gz PSMRTMGUCWQIOI-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCN1C(=O)CCC[C@@]12CCCN(Cc1ccccc1F)C2 ZINC001203348568 1090724198 /nfs/dbraw/zinc/72/41/98/1090724198.db2.gz TWJIUUFFFSUXNH-IBGZPJMESA-N 0 1 316.420 3.359 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1oc(CC)nc1C ZINC001485920180 1090728009 /nfs/dbraw/zinc/72/80/09/1090728009.db2.gz RJXJTNMPZWGWFB-ACJLOTCBSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1ccccc1Cl)CC2 ZINC001203378337 1090728053 /nfs/dbraw/zinc/72/80/53/1090728053.db2.gz DUXQGZZEBKAAGU-UHFFFAOYSA-N 0 1 318.848 3.483 20 30 DGEDMN C=CCOCc1ncn2c1CN(Cc1ccccc1C)CCC2 ZINC001203701470 1090784894 /nfs/dbraw/zinc/78/48/94/1090784894.db2.gz TVVBEIZAGCNXBE-UHFFFAOYSA-N 0 1 311.429 3.300 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2ncc(C)s2)C(C)(C)C1 ZINC001486239470 1090797227 /nfs/dbraw/zinc/79/72/27/1090797227.db2.gz BTWBNZULBREUOS-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCN1C(=O)CCC12CCN(Cc1cccc(Cl)c1)CC2 ZINC001203798855 1090807990 /nfs/dbraw/zinc/80/79/90/1090807990.db2.gz KVJHFNXJXFXLLF-UHFFFAOYSA-N 0 1 318.848 3.483 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C(C)(C)C ZINC001486394019 1090823318 /nfs/dbraw/zinc/82/33/18/1090823318.db2.gz XMEVTSZZLGLGBB-LJISPDSOSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001486407927 1090824086 /nfs/dbraw/zinc/82/40/86/1090824086.db2.gz ZEEUPSBBVBTPRC-CYBMUJFWSA-N 0 1 300.874 3.462 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](Oc3ccccc3C#N)C2)cc1 ZINC001203905093 1090835198 /nfs/dbraw/zinc/83/51/98/1090835198.db2.gz QXQHEGSPJPZHTA-LJQANCHMSA-N 0 1 317.392 3.473 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](Oc3ccccc3C#N)C2)cc1 ZINC001203905092 1090835250 /nfs/dbraw/zinc/83/52/50/1090835250.db2.gz QXQHEGSPJPZHTA-IBGZPJMESA-N 0 1 317.392 3.473 20 30 DGEDMN C=CCCC(=O)N(C)C1CC(NCc2csc(C3CC3)n2)C1 ZINC001486457297 1090844527 /nfs/dbraw/zinc/84/45/27/1090844527.db2.gz OWAFBPQIUXPCJO-UHFFFAOYSA-N 0 1 319.474 3.066 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(OCc3ccccc3)cc2)CCC1=O ZINC001203962703 1090851988 /nfs/dbraw/zinc/85/19/88/1090851988.db2.gz PXXOEAHTWPBAJM-GOSISDBHSA-N 0 1 320.392 3.180 20 30 DGEDMN CC(C)(C)C#CC(=O)NC1(C2CCN(C/C=C/Cl)CC2)CC1 ZINC001486488781 1090854693 /nfs/dbraw/zinc/85/46/93/1090854693.db2.gz OBWZDCAQCFBWQI-NYYWCZLTSA-N 0 1 322.880 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1sccc1Cl ZINC001128242695 1090921873 /nfs/dbraw/zinc/92/18/73/1090921873.db2.gz UDXDQAIOOMKXTJ-UHFFFAOYSA-N 0 1 300.855 3.210 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001128263806 1090927041 /nfs/dbraw/zinc/92/70/41/1090927041.db2.gz ILTIFJKHKVWGRT-LBPRGKRZSA-N 0 1 310.388 3.334 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccccc3C#N)CC2)cc1 ZINC001204369873 1090957621 /nfs/dbraw/zinc/95/76/21/1090957621.db2.gz HUZACNXICPSSOT-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2C#N)CCC[C@@]1(O)C(F)(F)F ZINC001204368302 1090957689 /nfs/dbraw/zinc/95/76/89/1090957689.db2.gz MZGVXBOBLQYVPB-DOMZBBRYSA-N 0 1 312.335 3.084 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2C#N)CCC[C@@]1(O)C(F)(F)F ZINC001204368302 1090957691 /nfs/dbraw/zinc/95/76/91/1090957691.db2.gz MZGVXBOBLQYVPB-DOMZBBRYSA-N 0 1 312.335 3.084 20 30 DGEDMN COC(=O)[C@@H]1CC[N@@H+](Cc2ccccc2C#N)[C@H]1c1ccccc1 ZINC001204368309 1090957828 /nfs/dbraw/zinc/95/78/28/1090957828.db2.gz NAOFJBOCBRVZQP-MOPGFXCFSA-N 0 1 320.392 3.294 20 30 DGEDMN COC(=O)[C@@H]1CCN(Cc2ccccc2C#N)[C@H]1c1ccccc1 ZINC001204368309 1090957831 /nfs/dbraw/zinc/95/78/31/1090957831.db2.gz NAOFJBOCBRVZQP-MOPGFXCFSA-N 0 1 320.392 3.294 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CC2(C)CCCCC2)C1 ZINC001108064329 1091000955 /nfs/dbraw/zinc/00/09/55/1091000955.db2.gz FYZNDDQPGRODPJ-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1nc(-c2ccc(O)cc2C(F)(F)F)cc2[nH]cnc21 ZINC001205066865 1091093755 /nfs/dbraw/zinc/09/37/55/1091093755.db2.gz XHKLCIVRFUMKEA-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN C=C(Cl)CNCCNC(=O)CCCc1ccccc1Cl ZINC001128923036 1091107672 /nfs/dbraw/zinc/10/76/72/1091107672.db2.gz OZGSJGRVBGUPDM-UHFFFAOYSA-N 0 1 315.244 3.121 20 30 DGEDMN C#CCOc1ccc(CN(CC)Cc2ccccn2)cc1OC ZINC001138349463 1091148524 /nfs/dbraw/zinc/14/85/24/1091148524.db2.gz ZORBDIWWBVWJAM-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108147878 1091153908 /nfs/dbraw/zinc/15/39/08/1091153908.db2.gz FOMXJMJUPBOTNK-MQCDJQTNSA-N 0 1 322.493 3.152 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108150476 1091154027 /nfs/dbraw/zinc/15/40/27/1091154027.db2.gz DCMDHMAQEFAPAZ-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C#CCC1(C(=O)NCCN[C@H](C)c2ccccc2Cl)CCC1 ZINC001129142498 1091201036 /nfs/dbraw/zinc/20/10/36/1091201036.db2.gz JFTPZGSZEAUAFL-CQSZACIVSA-N 0 1 318.848 3.300 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)C1CCC(C)CC1 ZINC001129155695 1091204502 /nfs/dbraw/zinc/20/45/02/1091204502.db2.gz RFJFPGGBSTXHCT-ZALBZXLWSA-N 0 1 300.874 3.297 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](c2cccc(F)c2)[C@H](NCCF)C1 ZINC001205412236 1091216111 /nfs/dbraw/zinc/21/61/11/1091216111.db2.gz DRCFFLGUJKBBKW-DLBZAZTESA-N 0 1 322.399 3.036 20 30 DGEDMN CCc1noc([C@H]2CCCN(Cc3ccc(F)c(C#N)c3)C2)n1 ZINC001205493706 1091234598 /nfs/dbraw/zinc/23/45/98/1091234598.db2.gz KZXUSROYYZLZJC-ZDUSSCGKSA-N 0 1 314.364 3.022 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2ccc(F)c(C#N)c2)C[C@H]1C ZINC001205502384 1091236448 /nfs/dbraw/zinc/23/64/48/1091236448.db2.gz SZLWBJJKABXFAH-HIFRSBDPSA-N 0 1 318.392 3.109 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccsc2)CCC1 ZINC001273154200 1091250416 /nfs/dbraw/zinc/25/04/16/1091250416.db2.gz KVZOPBNKWBUODI-LBPRGKRZSA-N 0 1 312.866 3.233 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1cc(F)cc(F)c1O ZINC001293524801 1091254588 /nfs/dbraw/zinc/25/45/88/1091254588.db2.gz IOEUEHGXZCVONA-UHFFFAOYSA-N 0 1 319.307 3.165 20 30 DGEDMN C=CCc1cccc(CN(C)[C@H](C(=O)OC)[C@@H](C)CC)c1O ZINC001138630067 1091264245 /nfs/dbraw/zinc/26/42/45/1091264245.db2.gz POVWYOUPAZLXLY-BBRMVZONSA-N 0 1 305.418 3.140 20 30 DGEDMN N#Cc1ccccc1CO[C@H]1CCN2Cc3ccccc3N=C12 ZINC001205585041 1091268508 /nfs/dbraw/zinc/26/85/08/1091268508.db2.gz MIZOMFYDIUYYBH-SFHVURJKSA-N 0 1 303.365 3.393 20 30 DGEDMN N#Cc1cccc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)c1 ZINC001205605769 1091276072 /nfs/dbraw/zinc/27/60/72/1091276072.db2.gz HERKXKXPTRABOE-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(NC(=O)CC)CC1 ZINC001138664318 1091279772 /nfs/dbraw/zinc/27/97/72/1091279772.db2.gz NCMPBPQULDZGIN-UHFFFAOYSA-N 0 1 316.445 3.132 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1cc(C)ccc1F)C2 ZINC001205648638 1091295960 /nfs/dbraw/zinc/29/59/60/1091295960.db2.gz WIHKKFXNJZBYEK-HKUYNNGSSA-N 0 1 319.420 3.460 20 30 DGEDMN Cn1ccc2cc(Nc3sc4c(c3C#N)CCN(C)C4)cnc21 ZINC001205680701 1091310983 /nfs/dbraw/zinc/31/09/83/1091310983.db2.gz ZJCXKUQKMWLTKX-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc3occc3c2)C1 ZINC001138826005 1091347245 /nfs/dbraw/zinc/34/72/45/1091347245.db2.gz LUCYNTXVRIRHNA-MRXNPFEDSA-N 0 1 314.385 3.309 20 30 DGEDMN C=CCOc1ccccc1CN(C)CCc1noc(C(C)C)n1 ZINC001139028740 1091423357 /nfs/dbraw/zinc/42/33/57/1091423357.db2.gz APBGKZMWNCPKTG-UHFFFAOYSA-N 0 1 315.417 3.432 20 30 DGEDMN ON=Cc1ccccc1-c1c(F)cc(C2OCCO2)cc1F ZINC001205942681 1091423853 /nfs/dbraw/zinc/42/38/53/1091423853.db2.gz SDPVWNOOCQYPKY-UHFFFAOYSA-N 0 1 305.280 3.485 20 30 DGEDMN C#CCN1CCN(Cc2cccc(-c3ccc(F)cc3)c2)CC1 ZINC001139069301 1091437791 /nfs/dbraw/zinc/43/77/91/1091437791.db2.gz IMNXRIVXCCCTQZ-UHFFFAOYSA-N 0 1 308.400 3.244 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1ccc(C)c(C#N)c1 ZINC001273214602 1091439431 /nfs/dbraw/zinc/43/94/31/1091439431.db2.gz ZPWLOFANVXVGLV-FQEVSTJZSA-N 0 1 323.440 3.010 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CC[C@@H](c4ccccc4)C3)[C@@H]2C1 ZINC001084446816 1091493012 /nfs/dbraw/zinc/49/30/12/1091493012.db2.gz QBUVJEHFZJPEMF-UAFMIMERSA-N 0 1 324.468 3.289 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccc(Cl)cc3)[C@@H]2C1 ZINC001084628493 1091519463 /nfs/dbraw/zinc/51/94/63/1091519463.db2.gz KDPIQJRTJOEZLW-YSVLISHTSA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccc(Cl)cc3)[C@@H]2C1 ZINC001084628493 1091519469 /nfs/dbraw/zinc/51/94/69/1091519469.db2.gz KDPIQJRTJOEZLW-YSVLISHTSA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC(C3CCC3)C3CCC3)[C@@H]2C1 ZINC001084666601 1091529754 /nfs/dbraw/zinc/52/97/54/1091529754.db2.gz LCHHPDJVYSVXFO-IEBWSBKVSA-N 0 1 316.489 3.312 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3C[C@@H]4C[C@@H]4[C@H](F)C3)cc2)c1 ZINC001139401741 1091547792 /nfs/dbraw/zinc/54/77/92/1091547792.db2.gz GIWOJWKNUPYRMF-OKZBNKHCSA-N 0 1 307.372 3.410 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C(F)F)cc3F)[C@@H]2C1 ZINC001084740552 1091551815 /nfs/dbraw/zinc/55/18/15/1091551815.db2.gz OOLPAMJHKTXKFT-IUODEOHRSA-N 0 1 324.346 3.096 20 30 DGEDMN C=CCN1CC[C@]2(CC[N@H+](Cc3ccc(Cl)cc3F)C2)C1=O ZINC001273229677 1091554192 /nfs/dbraw/zinc/55/41/92/1091554192.db2.gz BWEJWPAQFJLEGX-KRWDZBQOSA-N 0 1 322.811 3.090 20 30 DGEDMN C=CCN1CC[C@]2(CCN(Cc3ccc(Cl)cc3F)C2)C1=O ZINC001273229677 1091554197 /nfs/dbraw/zinc/55/41/97/1091554197.db2.gz BWEJWPAQFJLEGX-KRWDZBQOSA-N 0 1 322.811 3.090 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(c4ccccc4C)CCC3)[C@@H]2C1 ZINC001084801104 1091573405 /nfs/dbraw/zinc/57/34/05/1091573405.db2.gz LSYLKTYSXGBNEP-IEBWSBKVSA-N 0 1 324.468 3.135 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3coc4ccccc34)[C@@H]2C1 ZINC001084867522 1091599604 /nfs/dbraw/zinc/59/96/04/1091599604.db2.gz REDBXUPIGIEZSL-RHSMWYFYSA-N 0 1 310.397 3.155 20 30 DGEDMN COc1ccncc1CN1CCC(c2ccc(C#N)cc2)CC1 ZINC001139609584 1091619382 /nfs/dbraw/zinc/61/93/82/1091619382.db2.gz JCQOGUUIQOWODM-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN COc1ccncc1CN1CCC(Cc2ccc(C#N)cc2)CC1 ZINC001139609359 1091619655 /nfs/dbraw/zinc/61/96/55/1091619655.db2.gz XQMVGGOUQQNPOQ-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C#C[C@H]1CCCN(Cc2[nH]nc3ccc(Br)cc32)C1 ZINC001139658383 1091636735 /nfs/dbraw/zinc/63/67/35/1091636735.db2.gz JIWQYFXICCJOQH-NSHDSACASA-N 0 1 318.218 3.171 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)CC3CCCCC3)C[C@@H]21 ZINC001085046925 1091650582 /nfs/dbraw/zinc/65/05/82/1091650582.db2.gz KTDMHIBUBOUJDF-QRQLOZEOSA-N 0 1 316.489 3.149 20 30 DGEDMN CCC(=O)N(CC)C1CCN(Cc2ccc(C)c(C#N)c2)CC1 ZINC001139727589 1091656659 /nfs/dbraw/zinc/65/66/59/1091656659.db2.gz VZAKFSOATIPGTP-UHFFFAOYSA-N 0 1 313.445 3.090 20 30 DGEDMN Cn1c2ccccc2c(CN(CCC#N)C2CCCC2)cc1=O ZINC001139756845 1091665549 /nfs/dbraw/zinc/66/55/49/1091665549.db2.gz DTAZUNBBUOCJLU-UHFFFAOYSA-N 0 1 309.413 3.197 20 30 DGEDMN C=CCn1cc(CN2CCC3(CCc4ccccc4O3)CC2)cn1 ZINC001139770814 1091669602 /nfs/dbraw/zinc/66/96/02/1091669602.db2.gz BVNAMBIHKNBTJG-UHFFFAOYSA-N 0 1 323.440 3.429 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCC#Cc1ccccc1Cl ZINC001273294572 1091709257 /nfs/dbraw/zinc/70/92/57/1091709257.db2.gz XIJOAGTVVQZHOU-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001085402288 1091765922 /nfs/dbraw/zinc/76/59/22/1091765922.db2.gz DHMXCLUQFBXVRS-IAGOWNOFSA-N 0 1 313.445 3.121 20 30 DGEDMN Cn1cc(CN2CCC(Sc3ccncc3)CC2)cc1C#N ZINC001207108845 1091845735 /nfs/dbraw/zinc/84/57/35/1091845735.db2.gz JYFPVIZOGSJUCA-UHFFFAOYSA-N 0 1 312.442 3.048 20 30 DGEDMN COC(=O)c1cccc([C@@H]2CCCN2Cc2cc(C#N)n(C)c2)c1 ZINC001207109012 1091847414 /nfs/dbraw/zinc/84/74/14/1091847414.db2.gz SFMRNLFPFFBXMX-SFHVURJKSA-N 0 1 323.396 3.020 20 30 DGEDMN COC(=O)c1ccc([C@@H]2CCCN2Cc2cc(C#N)n(C)c2)cc1 ZINC001207109450 1091848101 /nfs/dbraw/zinc/84/81/01/1091848101.db2.gz ZIDMGCKMUPYHKL-SFHVURJKSA-N 0 1 323.396 3.020 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C2CCC2)cc1 ZINC001085630250 1091862533 /nfs/dbraw/zinc/86/25/33/1091862533.db2.gz ORKXFYZYQOCBBU-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1oc(C(F)F)cc1C ZINC001085652267 1091871867 /nfs/dbraw/zinc/87/18/67/1091871867.db2.gz QZDGZHKIIFAOCK-GFCCVEGCSA-N 0 1 312.360 3.248 20 30 DGEDMN C=CCN(CCN1CCC[C@H](C#N)CC1)C(=O)OC(C)(C)C ZINC001207203957 1091887827 /nfs/dbraw/zinc/88/78/27/1091887827.db2.gz WUXSOAOUUBEQDG-HNNXBMFYSA-N 0 1 307.438 3.035 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCCC1(F)F ZINC001085741255 1091908886 /nfs/dbraw/zinc/90/88/86/1091908886.db2.gz LAZCLMZPMADJOY-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2CCCC2CCC2)cc1 ZINC001085757639 1091915617 /nfs/dbraw/zinc/91/56/17/1091915617.db2.gz NDQDMJNKVZAKFK-HXUWFJFHSA-N 0 1 324.468 3.395 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(F)c(Cl)c1 ZINC001085791961 1091938110 /nfs/dbraw/zinc/93/81/10/1091938110.db2.gz XWUNUFBKVWPXNG-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccccc1-n1cccc1 ZINC001085796027 1091942658 /nfs/dbraw/zinc/94/26/58/1091942658.db2.gz HLMWWMMKMBUDOV-QGZVFWFLSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C1CCC(F)(F)CC1 ZINC001085840049 1091965422 /nfs/dbraw/zinc/96/54/22/1091965422.db2.gz YSOLQNYGJVVHON-OAHLLOKOSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c2ccccc12 ZINC001085842973 1091971265 /nfs/dbraw/zinc/97/12/65/1091971265.db2.gz ALXKCAGAEMCMCG-MRXNPFEDSA-N 0 1 308.425 3.481 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2cc(F)ccc2o1 ZINC001085849941 1091978256 /nfs/dbraw/zinc/97/82/56/1091978256.db2.gz KCJCWQFMBJPIIZ-OAHLLOKOSA-N 0 1 316.376 3.294 20 30 DGEDMN N#Cc1c(F)cc(CN2CC[C@@H](COCC3CC3)C2)cc1F ZINC001140756287 1091987318 /nfs/dbraw/zinc/98/73/18/1091987318.db2.gz JGYDKMJNTWGLLD-CYBMUJFWSA-N 0 1 306.356 3.085 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1[nH]ccc1-c1ccccc1 ZINC001085918865 1092011197 /nfs/dbraw/zinc/01/11/97/1092011197.db2.gz OGCADBRYNXUTNE-INIZCTEOSA-N 0 1 309.413 3.014 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC001085951701 1092040849 /nfs/dbraw/zinc/04/08/49/1092040849.db2.gz LAPGHSZFHLREFY-NVXWUHKLSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2ncsc2c1 ZINC001085957968 1092048492 /nfs/dbraw/zinc/04/84/92/1092048492.db2.gz OUCKOEGTOFPJCN-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1csc2c1CCCC2 ZINC001085961567 1092058032 /nfs/dbraw/zinc/05/80/32/1092058032.db2.gz IZIQNUTXCGSRQU-CQSZACIVSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001085990541 1092076782 /nfs/dbraw/zinc/07/67/82/1092076782.db2.gz SDNYSEXSLBSHAC-PELRDEGISA-N 0 1 324.468 3.217 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(c2ccccc2)C1 ZINC001085998282 1092087459 /nfs/dbraw/zinc/08/74/59/1092087459.db2.gz UDXCBGLOPQOONX-ACBHZAAOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1CC(c2ccccc2)C1 ZINC001085998282 1092087467 /nfs/dbraw/zinc/08/74/67/1092087467.db2.gz UDXCBGLOPQOONX-ACBHZAAOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1csc(CC(C)C)n1 ZINC001085999177 1092091417 /nfs/dbraw/zinc/09/14/17/1092091417.db2.gz BQAJYDLGCCRLOF-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN CSC1CCN(Cc2sc(N)c(C#N)c2Cl)CC1 ZINC001141114286 1092141320 /nfs/dbraw/zinc/14/13/20/1092141320.db2.gz KRFWYZCKKGOFPH-UHFFFAOYSA-N 0 1 301.868 3.183 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1ccc2c(c1)OC(F)(F)O2 ZINC001141155133 1092154121 /nfs/dbraw/zinc/15/41/21/1092154121.db2.gz CBLCBFOMFONMAE-XYYAHUGASA-N 0 1 306.312 3.275 20 30 DGEDMN C=CCN1CCN(Cc2ccc3c(c2)CCC(C)(C)O3)CC1 ZINC001141183431 1092164970 /nfs/dbraw/zinc/16/49/70/1092164970.db2.gz GWNRTMIFBLPABW-UHFFFAOYSA-N 0 1 300.446 3.094 20 30 DGEDMN Cc1cccc(C)c1C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001491588100 1092183884 /nfs/dbraw/zinc/18/38/84/1092183884.db2.gz SCKNIGUNCRHSCG-GOSISDBHSA-N 0 1 320.436 3.063 20 30 DGEDMN Cc1cccc(C)c1C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001491588101 1092184330 /nfs/dbraw/zinc/18/43/30/1092184330.db2.gz SCKNIGUNCRHSCG-SFHVURJKSA-N 0 1 320.436 3.063 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001491593278 1092185691 /nfs/dbraw/zinc/18/56/91/1092185691.db2.gz RFYMCWFGWMWSCU-CYBMUJFWSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@]1(C)CCc2ccccc2C1 ZINC001491699189 1092201350 /nfs/dbraw/zinc/20/13/50/1092201350.db2.gz MIOFFKBQPFCRKQ-KBXCAEBGSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)CCCCC)C1 ZINC001108262085 1092210718 /nfs/dbraw/zinc/21/07/18/1092210718.db2.gz CSYPCDFLQUPFBS-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@H](NCc2sccc2Cl)C1 ZINC001491744841 1092217661 /nfs/dbraw/zinc/21/76/61/1092217661.db2.gz CWLSVRPIIHYTIM-ZDUSSCGKSA-N 0 1 324.877 3.286 20 30 DGEDMN C#CC[NH+](CC#C)Cc1ccc(-c2cccc(C(=O)[O-])c2)cc1 ZINC001141360677 1092219387 /nfs/dbraw/zinc/21/93/87/1092219387.db2.gz GMDZRDNEEZVPGH-UHFFFAOYSA-N 0 1 303.361 3.120 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2Cc3cn(C)nc3[C@@H](COC)C2)CC1 ZINC001208170014 1092238876 /nfs/dbraw/zinc/23/88/76/1092238876.db2.gz BTAFLZXQLMTWIX-SJLPKXTDSA-N 0 1 315.461 3.268 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2nc(C)oc2C)C1 ZINC001491882614 1092272561 /nfs/dbraw/zinc/27/25/61/1092272561.db2.gz OXQNWZDWDIBRBZ-HUUCEWRRSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001208239590 1092288049 /nfs/dbraw/zinc/28/80/49/1092288049.db2.gz DGHIKHUOPOZGGP-CNELAYHGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H](N(C)CCC(F)(F)F)C2)C1 ZINC001491946218 1092314370 /nfs/dbraw/zinc/31/43/70/1092314370.db2.gz AZCIAQBNKKXVET-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1CN(Cc2cccc(C#N)c2)C[C@H]1C ZINC001208350640 1092323880 /nfs/dbraw/zinc/32/38/80/1092323880.db2.gz NUKXRTKQKGYJSL-CGPNCGHOSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208388458 1092339128 /nfs/dbraw/zinc/33/91/28/1092339128.db2.gz MUEIYDOHAAGUJD-HDMKZQKVSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350243 1092351794 /nfs/dbraw/zinc/35/17/94/1092351794.db2.gz VVAOWKNRZISMKL-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350243 1092351802 /nfs/dbraw/zinc/35/18/02/1092351802.db2.gz VVAOWKNRZISMKL-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H](C)N[C@@H](C)c1ncc(C)o1 ZINC001492089673 1092400586 /nfs/dbraw/zinc/40/05/86/1092400586.db2.gz FCVLHBBVWCCCOO-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN N#C[C@H]1CN(CCCc2ccc(C(F)(F)F)cc2)CCC1=O ZINC001208690863 1092459525 /nfs/dbraw/zinc/45/95/25/1092459525.db2.gz VMULSCAWOVQLHV-ZDUSSCGKSA-N 0 1 310.319 3.053 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001492381828 1092490195 /nfs/dbraw/zinc/49/01/95/1092490195.db2.gz SYXCOPHFNLRBGQ-CQSZACIVSA-N 0 1 308.853 3.490 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CN(C[C@H](F)CC)CC1(C)C ZINC001276586413 1092499554 /nfs/dbraw/zinc/49/95/54/1092499554.db2.gz ISUPDGXQJOWTJM-CVEARBPZSA-N 0 1 310.457 3.330 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(c3cccc(C#N)c3)CC2)o1 ZINC001142624953 1092512713 /nfs/dbraw/zinc/51/27/13/1092512713.db2.gz VQBZXNJPUKYBSV-UHFFFAOYSA-N 0 1 324.380 3.317 20 30 DGEDMN N#C[C@H]1CN(CCCc2ccc(Cl)c(Cl)c2)CCC1=O ZINC001208836517 1092535768 /nfs/dbraw/zinc/53/57/68/1092535768.db2.gz GGZLPAWBOCBQOL-LBPRGKRZSA-N 0 1 311.212 3.341 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)CC1(C)C ZINC001276601800 1092601262 /nfs/dbraw/zinc/60/12/62/1092601262.db2.gz SRVVAGQIDUQGRU-MRXNPFEDSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001208961192 1092610919 /nfs/dbraw/zinc/61/09/19/1092610919.db2.gz ILUGQEHQYGKNIM-JATZPVMKSA-N 0 1 305.249 3.098 20 30 DGEDMN N#C[C@@H]1CCCN(C2CCC(OC(=O)c3ccccc3)CC2)C1 ZINC001169304975 1092641906 /nfs/dbraw/zinc/64/19/06/1092641906.db2.gz UTCTWRNZECFGIH-ZLPCBKJTSA-N 0 1 312.413 3.390 20 30 DGEDMN N#CCCN(Cc1ccccc1)CC1CCC2(CC1)OCCO2 ZINC001209096676 1092650255 /nfs/dbraw/zinc/65/02/55/1092650255.db2.gz KODHTHQYPQPSFK-UHFFFAOYSA-N 0 1 314.429 3.336 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001209115753 1092658832 /nfs/dbraw/zinc/65/88/32/1092658832.db2.gz IHIGDGGEHNXIIZ-BHIYHBOVSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001209115753 1092658837 /nfs/dbraw/zinc/65/88/37/1092658837.db2.gz IHIGDGGEHNXIIZ-BHIYHBOVSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001209126063 1092664151 /nfs/dbraw/zinc/66/41/51/1092664151.db2.gz WSUWUTMMAQYCHM-KBAYOESNSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001209146520 1092673224 /nfs/dbraw/zinc/67/32/24/1092673224.db2.gz PFTJDCVUHXFMHF-ZFWWWQNUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCN1CCN(CCCC(=O)c2ccc(F)cc2)CC1 ZINC001209166410 1092679606 /nfs/dbraw/zinc/67/96/06/1092679606.db2.gz ZOTNSUCSPRVTGO-UHFFFAOYSA-N 0 1 318.436 3.372 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](NCc2ncc(C)s2)C1 ZINC001209183444 1092684431 /nfs/dbraw/zinc/68/44/31/1092684431.db2.gz MVGKAFXRGSKHTB-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)c1[nH]nc2ncccc21 ZINC001149396265 1092690456 /nfs/dbraw/zinc/69/04/56/1092690456.db2.gz SUPBDXCAPIDXAM-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN C=CCCCCN1Cc2ncn(C)c2[C@@H](COCC(C)C)C1 ZINC001209200362 1092691591 /nfs/dbraw/zinc/69/15/91/1092691591.db2.gz ILCHOTXSLVZWKY-MRXNPFEDSA-N 0 1 305.466 3.348 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4ccccc4[nH]3)[nH]c2c1 ZINC001149883962 1092739174 /nfs/dbraw/zinc/73/91/74/1092739174.db2.gz MALUHIACSKSELD-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)CCC2CCCCCC2)C1 ZINC001149982292 1092746855 /nfs/dbraw/zinc/74/68/55/1092746855.db2.gz HLEUIEGILGYKHE-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCCc2ccccc2)C1 ZINC001209399676 1092756481 /nfs/dbraw/zinc/75/64/81/1092756481.db2.gz YQNRTWAWMSIDEL-QGZVFWFLSA-N 0 1 320.864 3.342 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001209425450 1092769811 /nfs/dbraw/zinc/76/98/11/1092769811.db2.gz WINFZXGLJQLREF-LZLYRXPVSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCCCCN1Cc2nn(C)cc2[C@H](COCC2CC2)C1 ZINC001209423548 1092770201 /nfs/dbraw/zinc/77/02/01/1092770201.db2.gz WWFMZPMENSNBES-KRWDZBQOSA-N 0 1 317.477 3.492 20 30 DGEDMN C=CCCCCCCN1Cc2nnn(CC)c2[C@@H](COCC)C1 ZINC001209436094 1092772476 /nfs/dbraw/zinc/77/24/76/1092772476.db2.gz QICDYVJRPZPKBZ-MRXNPFEDSA-N 0 1 320.481 3.370 20 30 DGEDMN C=CCCCCCCCCN1CCC[C@H](S(C)(=O)=O)C1 ZINC001209444949 1092777238 /nfs/dbraw/zinc/77/72/38/1092777238.db2.gz APMBYTBHECRWLG-INIZCTEOSA-N 0 1 301.496 3.412 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1oc2ccc(C)cc2c1C ZINC001150786064 1092825312 /nfs/dbraw/zinc/82/53/12/1092825312.db2.gz LRKJXGIQXZKQGO-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@](C)(CC)CCCCC)C1 ZINC001150793172 1092826217 /nfs/dbraw/zinc/82/62/17/1092826217.db2.gz BABMSPWBZFOFIX-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN Cc1ccc(-c2ccc(C(=O)NCC#CCN(C)C)c(F)c2)cc1 ZINC001151241572 1092878508 /nfs/dbraw/zinc/87/85/08/1092878508.db2.gz FFYOJGVQUAUOAT-UHFFFAOYSA-N 0 1 324.399 3.096 20 30 DGEDMN Cn1cc(CN2CCc3ccc(C#N)cc3C2)c2cccnc21 ZINC001209742970 1092899536 /nfs/dbraw/zinc/89/95/36/1092899536.db2.gz ZKABRYSLTYPBJM-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCCC(F)(F)F)C1 ZINC001209795002 1092923340 /nfs/dbraw/zinc/92/33/40/1092923340.db2.gz ASOBFVKWIGTSOV-LLVKDONJSA-N 0 1 312.763 3.052 20 30 DGEDMN CC(C)(C)c1noc(Nc2ccc(O)c([N+](=O)[O-])c2)c1C#N ZINC001210394188 1093152146 /nfs/dbraw/zinc/15/21/46/1093152146.db2.gz RAFLBNIBCIGMQP-UHFFFAOYSA-N 0 1 302.290 3.201 20 30 DGEDMN N#Cc1c(Nc2ccc(O)c([N+](=O)[O-])c2)sc2c1CCC2 ZINC001210392230 1093152205 /nfs/dbraw/zinc/15/22/05/1093152205.db2.gz ATTXIWGYYCMPOD-UHFFFAOYSA-N 0 1 301.327 3.466 20 30 DGEDMN Cc1cc(Nc2cccc(N3CCN(C)CC3)c2)ccc1C#N ZINC001210807928 1093288452 /nfs/dbraw/zinc/28/84/52/1093288452.db2.gz PBIPBADDWIGBCS-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C(CN1CCCCC1)c1nc(-c2ccccc2C(C)=O)no1 ZINC001211436715 1093494618 /nfs/dbraw/zinc/49/46/18/1093494618.db2.gz UJIXPKXHYFIKGW-UHFFFAOYSA-N 0 1 311.385 3.438 20 30 DGEDMN Cc1nc2ccc(Nc3c(C#N)cnn3C3CCOCC3)cc2[nH]1 ZINC001213072334 1093510071 /nfs/dbraw/zinc/51/00/71/1093510071.db2.gz OELJXRBFXQEKMC-UHFFFAOYSA-N 0 1 322.372 3.035 20 30 DGEDMN Cc1ccc(O)cc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001214348348 1093758344 /nfs/dbraw/zinc/75/83/44/1093758344.db2.gz UYHRGQUNMXQWSF-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2cccc(F)c2F)C1 ZINC001214741389 1093845037 /nfs/dbraw/zinc/84/50/37/1093845037.db2.gz IZLBBQQCMQYKFB-CZUORRHYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C2)C1 ZINC001215503801 1093992476 /nfs/dbraw/zinc/99/24/76/1093992476.db2.gz ZAUGGLOIPZJPCZ-VWVDBLKVSA-N 0 1 317.260 3.098 20 30 DGEDMN N#Cc1cnoc1Nc1cccc(CN2CCCCC2)c1F ZINC001215675514 1094029979 /nfs/dbraw/zinc/02/99/79/1094029979.db2.gz KKSJOKZMANBUMH-UHFFFAOYSA-N 0 1 300.337 3.415 20 30 DGEDMN CNC(=O)c1ccc(Nc2cc(C#N)c(F)cc2O)c(Cl)c1 ZINC001215885044 1094081337 /nfs/dbraw/zinc/08/13/37/1094081337.db2.gz HQDUJFLRMWZSPL-UHFFFAOYSA-N 0 1 319.723 3.160 20 30 DGEDMN COc1c(O)cccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001216347243 1094179512 /nfs/dbraw/zinc/17/95/12/1094179512.db2.gz WUKYTFMHVLPOQZ-UHFFFAOYSA-N 0 1 315.398 3.065 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001134846651 1094309766 /nfs/dbraw/zinc/30/97/66/1094309766.db2.gz CARIXWNKSRLIMZ-INIZCTEOSA-N 0 1 308.853 3.022 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3cnc4[nH]cccc3-4)n2)c1 ZINC001217146286 1094320257 /nfs/dbraw/zinc/32/02/57/1094320257.db2.gz RJHWALJLIPPOIT-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001134913565 1094337244 /nfs/dbraw/zinc/33/72/44/1094337244.db2.gz WUQGKOIBYHBKSW-INIZCTEOSA-N 0 1 308.853 3.275 20 30 DGEDMN Cc1cccc2nc(-c3nc(-c4cccc(C#N)c4)no3)[nH]c21 ZINC001217336413 1094380137 /nfs/dbraw/zinc/38/01/37/1094380137.db2.gz VDPIGXQORMUAMV-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001135230424 1094459351 /nfs/dbraw/zinc/45/93/51/1094459351.db2.gz NGDUMDRIIDDOID-CHWSQXEVSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@H](C)NCc2cc(C)on2)CC1 ZINC001135383737 1094511740 /nfs/dbraw/zinc/51/17/40/1094511740.db2.gz VVXSVBBGRKAXJS-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@H](C)NCc2cscn2)CC1 ZINC001135386110 1094519487 /nfs/dbraw/zinc/51/94/87/1094519487.db2.gz BKKAJWJWHIGECK-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@H](C)NCc2nocc2C)CC1 ZINC001135414607 1094526939 /nfs/dbraw/zinc/52/69/39/1094526939.db2.gz BNMJDECYLIKVEK-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C[C@H](C)NCc2nc(C)oc2C)C1 ZINC001135426861 1094535250 /nfs/dbraw/zinc/53/52/50/1094535250.db2.gz IUKQQEHSPYJTMM-STQMWFEESA-N 0 1 319.449 3.021 20 30 DGEDMN CCCCCC[C@@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001135548770 1094573214 /nfs/dbraw/zinc/57/32/14/1094573214.db2.gz WBGACRJTZHBNRG-MRXNPFEDSA-N 0 1 315.461 3.371 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218199338 1094654267 /nfs/dbraw/zinc/65/42/67/1094654267.db2.gz DTMPXAHWCKIJOW-CRAIPNDOSA-N 0 1 312.800 3.421 20 30 DGEDMN CC(C)c1cc(O[C@@H]2CNC[C@@H]2C#N)ccc1Br ZINC001218199869 1094654789 /nfs/dbraw/zinc/65/47/89/1094654789.db2.gz CKZSJVUHOPXWGQ-IINYFYTJSA-N 0 1 309.207 3.063 20 30 DGEDMN CC(C)c1cc(O[C@H]2CNC[C@@H]2C#N)ccc1Br ZINC001218199868 1094655184 /nfs/dbraw/zinc/65/51/84/1094655184.db2.gz CKZSJVUHOPXWGQ-HZMBPMFUSA-N 0 1 309.207 3.063 20 30 DGEDMN CC1(C)c2cc(O)ccc2-c2ccc(O[C@H]3CNC[C@@H]3C#N)cc21 ZINC001218201311 1094656918 /nfs/dbraw/zinc/65/69/18/1094656918.db2.gz QHSLDZXUVRLKOL-BUXKBTBVSA-N 0 1 320.392 3.189 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(Br)c2ccccc12 ZINC001218201848 1094656946 /nfs/dbraw/zinc/65/69/46/1094656946.db2.gz VVMOFKFRAUNTBX-BONVTDFDSA-N 0 1 317.186 3.093 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC(C(C)C)CC1 ZINC001121509823 1094702424 /nfs/dbraw/zinc/70/24/24/1094702424.db2.gz OAQWBTRNXHKTBA-UHFFFAOYSA-N 0 1 314.437 3.035 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135864499 1094715197 /nfs/dbraw/zinc/71/51/97/1094715197.db2.gz XFSVXGGCDSJMOR-CABCVRRESA-N 0 1 320.864 3.080 20 30 DGEDMN C[C@@H]1CCC(=CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001649146712 1094842157 /nfs/dbraw/zinc/84/21/57/1094842157.db2.gz QRHCGQTZYJTOOE-ZGSOTFDTSA-N 0 1 307.357 3.028 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)C[C@@H](N)c2ccccc2OCC)C1 ZINC001122139234 1094909567 /nfs/dbraw/zinc/90/95/67/1094909567.db2.gz WIELIILJFOXEQY-DOTOQJQBSA-N 0 1 316.445 3.290 20 30 DGEDMN N#Cc1ccc(NC(=O)[C@@H]2CCC[C@@H](c3ccccc3)[NH2+]2)c([O-])c1 ZINC001218992437 1094919446 /nfs/dbraw/zinc/91/94/46/1094919446.db2.gz LLKAPDYVMGLSNJ-RDJZCZTQSA-N 0 1 321.380 3.086 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCc2ccccc21 ZINC001122531623 1095000557 /nfs/dbraw/zinc/00/05/57/1095000557.db2.gz KRGLACRSVUXJPO-UHFFFAOYSA-N 0 1 320.400 3.247 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(c2cccc(Cl)c2)CCC1 ZINC001122610262 1095018611 /nfs/dbraw/zinc/01/86/11/1095018611.db2.gz TYLXDEVYGDLPMF-AWEZNQCLSA-N 0 1 313.788 3.372 20 30 DGEDMN Cc1c(C(=O)N(C)c2cc(Cl)ccc2C#N)ccc2cncn21 ZINC001337637386 1095045020 /nfs/dbraw/zinc/04/50/20/1095045020.db2.gz ZBMKSJHMOYTZEV-UHFFFAOYSA-N 0 1 324.771 3.444 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSc1ccc(F)c(F)c1 ZINC001123234281 1095196560 /nfs/dbraw/zinc/19/65/60/1095196560.db2.gz SXCPYPOQQNBPEU-NSHDSACASA-N 0 1 321.352 3.150 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)Sc1ccc(F)cc1 ZINC001123234600 1095196602 /nfs/dbraw/zinc/19/66/02/1095196602.db2.gz YDBJSZLYHPMEFT-FZMZJTMJSA-N 0 1 317.389 3.399 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(C)[nH]c2ccccc21 ZINC001123234570 1095196738 /nfs/dbraw/zinc/19/67/38/1095196738.db2.gz XPHAHYPXVAIBKQ-OAHLLOKOSA-N 0 1 306.369 3.112 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSc1ccc(F)c(F)c1 ZINC001123234280 1095196817 /nfs/dbraw/zinc/19/68/17/1095196817.db2.gz SXCPYPOQQNBPEU-LLVKDONJSA-N 0 1 321.352 3.150 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@@H](C)c1nc(C)no1 ZINC001171052991 1095204267 /nfs/dbraw/zinc/20/42/67/1095204267.db2.gz MIGSSJOOGGTEQW-KGLIPLIRSA-N 0 1 322.453 3.060 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)SCc1ccccc1 ZINC001123335945 1095244496 /nfs/dbraw/zinc/24/44/96/1095244496.db2.gz AFOPGOHFNAGBTH-UKRRQHHQSA-N 0 1 313.426 3.401 20 30 DGEDMN C[C@@H]1CCC[C@@H](OCC(=O)[C@@H](C#N)c2ncc(F)cc2F)C1 ZINC001123382916 1095272788 /nfs/dbraw/zinc/27/27/88/1095272788.db2.gz XWALBMKZJNKZRW-RAIGVLPGSA-N 0 1 308.328 3.131 20 30 DGEDMN N#C[C@@H]1CN([C@@H]2CCc3cc(Cl)cc(Cl)c3C2)CCC1=O ZINC001171083406 1095294046 /nfs/dbraw/zinc/29/40/46/1095294046.db2.gz UJDDERKYQJDNRQ-DGCLKSJQSA-N 0 1 323.223 3.265 20 30 DGEDMN N#C[C@H]1CN([C@H]2CCc3cc(Cl)cc(Cl)c3C2)CCC1=O ZINC001171083404 1095294910 /nfs/dbraw/zinc/29/49/10/1095294910.db2.gz UJDDERKYQJDNRQ-AAEUAGOBSA-N 0 1 323.223 3.265 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1c(Cl)cccc1C#N)C2 ZINC001143723050 1095356703 /nfs/dbraw/zinc/35/67/03/1095356703.db2.gz AOWHTSJWCFASBT-PBHICJAKSA-N 0 1 304.821 3.460 20 30 DGEDMN CO[C@@H]1CCC[C@@H]2CN(Cc3c(Cl)cccc3C#N)C[C@@H]21 ZINC001143723633 1095357952 /nfs/dbraw/zinc/35/79/52/1095357952.db2.gz RHZLCHQXRZKVCP-UKPHBRMFSA-N 0 1 304.821 3.459 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC/C=C/c3ccccc3)[C@@H]2C1 ZINC001221813771 1095364759 /nfs/dbraw/zinc/36/47/59/1095364759.db2.gz YQKVHGAVSDVRTA-DGDLXGGDSA-N 0 1 322.452 3.036 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C(C)(C)CC(F)(F)F)[C@@H]2C1 ZINC001221920691 1095388183 /nfs/dbraw/zinc/38/81/83/1095388183.db2.gz QXHUVQGBMNFNAB-CHWSQXEVSA-N 0 1 318.383 3.074 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](CCC)CC(C)C)[C@@H]2C1 ZINC001222062811 1095419072 /nfs/dbraw/zinc/41/90/72/1095419072.db2.gz MDYLVTTVRXORLH-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CCN(CC(=C)C)C[C@H]32)CCCC1 ZINC001222143010 1095453927 /nfs/dbraw/zinc/45/39/27/1095453927.db2.gz WNSWOJDYRZNZEX-IAGOWNOFSA-N 0 1 302.462 3.232 20 30 DGEDMN COc1ccc(OC(F)(F)F)cc1CN1CCC(S)CC1 ZINC001144004935 1095462846 /nfs/dbraw/zinc/46/28/46/1095462846.db2.gz JPSXLLAFXNHJHX-UHFFFAOYSA-N 0 1 321.364 3.488 20 30 DGEDMN C=CC[C@H](C)C(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001144008193 1095464165 /nfs/dbraw/zinc/46/41/65/1095464165.db2.gz DXJMPLJDMWVULZ-BBWFWOEESA-N 0 1 300.446 3.320 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](NCC(=C)Cl)C2)CCCC1 ZINC001222158320 1095465061 /nfs/dbraw/zinc/46/50/61/1095465061.db2.gz SHSDBXOAEYRDSW-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1ccc2cncn2c1C ZINC001116486289 1095574421 /nfs/dbraw/zinc/57/44/21/1095574421.db2.gz FAVIUVWGHOECRO-UHFFFAOYSA-N 0 1 323.327 3.046 20 30 DGEDMN C=CCCC(=O)NCCNCc1cc(Cl)ccc1Cl ZINC001124636493 1095611893 /nfs/dbraw/zinc/61/18/93/1095611893.db2.gz QBZLFYOXENXAIH-UHFFFAOYSA-N 0 1 301.217 3.165 20 30 DGEDMN Cc1cc(CN2CCc3cc(C#N)ccc3C2)ccc1N(C)C ZINC001139670000 1095615547 /nfs/dbraw/zinc/61/55/47/1095615547.db2.gz UHOWCTVTLCUJQO-UHFFFAOYSA-N 0 1 305.425 3.491 20 30 DGEDMN C=C[C@@H](COC)N[C@H](COC)c1cccc(C(F)(F)F)c1 ZINC001116642938 1095623420 /nfs/dbraw/zinc/62/34/20/1095623420.db2.gz FABXMTVCEYVBMO-UONOGXRCSA-N 0 1 303.324 3.184 20 30 DGEDMN C=C(Cc1ccccc1)C(=O)Nc1nc2ccc(OC)cc2[nH]1 ZINC001144388595 1095637466 /nfs/dbraw/zinc/63/74/66/1095637466.db2.gz DPBGWTUUVZSOCQ-UHFFFAOYSA-N 0 1 307.353 3.309 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)NCc1nnc(-c2ccccc2)o1 ZINC001171179315 1095653149 /nfs/dbraw/zinc/65/31/49/1095653149.db2.gz RGSXWLQPDAURSB-CQSZACIVSA-N 0 1 318.380 3.329 20 30 DGEDMN COc1cccc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)n1 ZINC001137536562 1095676684 /nfs/dbraw/zinc/67/66/84/1095676684.db2.gz LEVCPRAZHMEHKK-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc2ccccc2c1 ZINC001276834896 1095736262 /nfs/dbraw/zinc/73/62/62/1095736262.db2.gz OOCQDAURDAVNPD-FQEVSTJZSA-N 0 1 320.436 3.334 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C=CC(=O)c3ccccc3)cc(F)c12 ZINC001144708652 1095781810 /nfs/dbraw/zinc/78/18/10/1095781810.db2.gz JHMWAGWAHPMPHV-BQYQJAHWSA-N 0 1 323.327 3.388 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)N1CCOC[C@H]1Cc1ccccc1 ZINC001171229981 1095866302 /nfs/dbraw/zinc/86/63/02/1095866302.db2.gz AAQGRKYKZAFBSL-LAUBAEHRSA-N 0 1 320.436 3.433 20 30 DGEDMN CC(C)c1ncsc1NS(=O)(=O)c1ccc(CC#N)cc1 ZINC001117805505 1095872447 /nfs/dbraw/zinc/87/24/47/1095872447.db2.gz XLKMGQMFIPUNEB-UHFFFAOYSA-N 0 1 321.427 3.133 20 30 DGEDMN C[C@@H](c1ccccn1)[N@H+]1CC[C@@H](OCc2ccc(C#N)s2)C1 ZINC001223290817 1095926503 /nfs/dbraw/zinc/92/65/03/1095926503.db2.gz CLUFHBLLKQUZBX-UONOGXRCSA-N 0 1 313.426 3.367 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](OCc2ccc(C#N)s2)C1 ZINC001223290817 1095926512 /nfs/dbraw/zinc/92/65/12/1095926512.db2.gz CLUFHBLLKQUZBX-UONOGXRCSA-N 0 1 313.426 3.367 20 30 DGEDMN C=C(C)C(C)(C)C(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001118088329 1095933585 /nfs/dbraw/zinc/93/35/85/1095933585.db2.gz KGYHBHJRYSAMIC-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001223337842 1095940659 /nfs/dbraw/zinc/94/06/59/1095940659.db2.gz ATULOPHDFTUJKD-XPJRORGTSA-N 0 1 310.869 3.264 20 30 DGEDMN Cc1ccc2ccc(NC(=N)c3ccc(C(N)=O)cc3)cc2c1 ZINC001171258496 1095952166 /nfs/dbraw/zinc/95/21/66/1095952166.db2.gz ASDNCANLQXWUPH-UHFFFAOYSA-N 0 1 303.365 3.284 20 30 DGEDMN C=C[C@@H](C)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223398384 1095957753 /nfs/dbraw/zinc/95/77/53/1095957753.db2.gz BJTKHMJVCRWDDH-XLKFXECMSA-N 0 1 312.728 3.259 20 30 DGEDMN Cc1cnc(C)c(NC2(C#N)CCN(Cc3ccccc3)CC2)n1 ZINC001171275260 1096008138 /nfs/dbraw/zinc/00/81/38/1096008138.db2.gz QZLARWPJMJGALH-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001276915627 1096049227 /nfs/dbraw/zinc/04/92/27/1096049227.db2.gz DEWXZORRWFFEKA-YOEHRIQHSA-N 0 1 306.475 3.083 20 30 DGEDMN C[C@@H]1NCCn2c(C(=O)Nc3ccc(C4CC4)c(C#N)c3)ccc21 ZINC001340854853 1096051838 /nfs/dbraw/zinc/05/18/38/1096051838.db2.gz NBTCLNRSKQNQNQ-LBPRGKRZSA-N 0 1 320.396 3.154 20 30 DGEDMN CCc1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)ccn1 ZINC001171282670 1096086347 /nfs/dbraw/zinc/08/63/47/1096086347.db2.gz XQOIPONPHOPNOD-UHFFFAOYSA-N 0 1 320.440 3.036 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H](C)Cc2ccccc2)c1 ZINC001223892785 1096090108 /nfs/dbraw/zinc/09/01/08/1096090108.db2.gz MQHPRBRMJDPMEA-LTFJSZEESA-N 0 1 315.369 3.487 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H](C)c1ccccc1C ZINC001276933803 1096103081 /nfs/dbraw/zinc/10/30/81/1096103081.db2.gz ZTCYGLLMOGSRJD-IAGOWNOFSA-N 0 1 300.446 3.255 20 30 DGEDMN C#CCCCCCC(=O)NCC1CCN(C/C=C/Cl)CC1 ZINC001224062262 1096126932 /nfs/dbraw/zinc/12/69/32/1096126932.db2.gz VGPLIISXOYAUPZ-YRNVUSSQSA-N 0 1 310.869 3.151 20 30 DGEDMN Cc1ncsc1CN1C[C@H]2CC[C@@H](C1)N2c1cccc(C#N)c1 ZINC001276953986 1096168239 /nfs/dbraw/zinc/16/82/39/1096168239.db2.gz UCHWIZLKFPJHSE-CALCHBBNSA-N 0 1 324.453 3.176 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1CN=Nc1ccnc2cccnc12 ZINC001119362784 1096179154 /nfs/dbraw/zinc/17/91/54/1096179154.db2.gz IWKUWBPCBPQKQU-UHFFFAOYSA-N 0 1 322.416 3.066 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224385309 1096200645 /nfs/dbraw/zinc/20/06/45/1096200645.db2.gz BFECFZRKFQGBMK-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2cccnc2N(C)C)c1 ZINC001119556014 1096225321 /nfs/dbraw/zinc/22/53/21/1096225321.db2.gz WEDCFRVSCUTYHI-KHPPLWFESA-N 0 1 309.413 3.105 20 30 DGEDMN CCC[C@H](C)CC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001277013141 1096343676 /nfs/dbraw/zinc/34/36/76/1096343676.db2.gz DDLQIHVUFIJATO-ZDUSSCGKSA-N 0 1 319.474 3.184 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1ccns1 ZINC001148820189 1096453358 /nfs/dbraw/zinc/45/33/58/1096453358.db2.gz FIAZZHZJFCRIOI-UHFFFAOYSA-N 0 1 311.495 3.490 20 30 DGEDMN CCCCCCCCCC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001148820564 1096453413 /nfs/dbraw/zinc/45/34/13/1096453413.db2.gz IUFGTFHTEXZRFE-CQSZACIVSA-N 0 1 323.485 3.021 20 30 DGEDMN CCCCCCCCCC(=O)NCCN[C@H](C)c1cnccn1 ZINC001148820699 1096454990 /nfs/dbraw/zinc/45/49/90/1096454990.db2.gz LUPSRLHUQPCDEB-MRXNPFEDSA-N 0 1 320.481 3.384 20 30 DGEDMN CCC(=O)N1CC2(C[C@@H]1C)CCN(CC#Cc1ccccc1)CC2 ZINC001086894375 1096493440 /nfs/dbraw/zinc/49/34/40/1096493440.db2.gz KXZSCLJLEIKGTG-SFHVURJKSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C3CC(C)C3)C2)CC1 ZINC001086913978 1096507005 /nfs/dbraw/zinc/50/70/05/1096507005.db2.gz CJNBIEIBPQIMFA-OGVSOVDVSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)/C(C)=C/C)C2)CC1 ZINC001086913952 1096507402 /nfs/dbraw/zinc/50/74/02/1096507402.db2.gz BQBRWZPZWWXOMA-WQPBDQAGSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001091910885 1096547426 /nfs/dbraw/zinc/54/74/26/1096547426.db2.gz IGWDNKPDFPWDMY-FRAJWHGFSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)cc(F)c1F ZINC001225906918 1096560034 /nfs/dbraw/zinc/56/00/34/1096560034.db2.gz HKUPBMZENHWKMW-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001110131085 1096603604 /nfs/dbraw/zinc/60/36/04/1096603604.db2.gz SEUHNYMQXICBCG-XWSJACJDSA-N 0 1 310.441 3.254 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)cnc1Cl ZINC001226104120 1096613341 /nfs/dbraw/zinc/61/33/41/1096613341.db2.gz IXUDCWYNBBGKNJ-YFKPBYRVSA-N 0 1 318.554 3.096 20 30 DGEDMN Cc1cc(=O)[n-]c(O[C@@H]2CCC[N@@H+](Cc3ccccc3)C2)c1C#N ZINC001226221440 1096640699 /nfs/dbraw/zinc/64/06/99/1096640699.db2.gz LKSOYKULYRXHQE-MRXNPFEDSA-N 0 1 323.396 3.011 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(F)c(C)c2)[C@H](C)C1 ZINC001092927576 1096643233 /nfs/dbraw/zinc/64/32/33/1096643233.db2.gz RSWDUGNLRNZMKP-IUODEOHRSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1noc2cc(Br)ccc21 ZINC001226312533 1096659637 /nfs/dbraw/zinc/65/96/37/1096659637.db2.gz SSICSXOHPWHOJD-ZCFIWIBFSA-N 0 1 324.130 3.188 20 30 DGEDMN O=c1ccnc(O[C@H](C#Cc2ccccc2)c2ccccc2)[nH]1 ZINC001226326670 1096662329 /nfs/dbraw/zinc/66/23/29/1096662329.db2.gz MJUNHTVZCFDVKU-QGZVFWFLSA-N 0 1 302.333 3.354 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3c(c2)CCO3)C1 ZINC001226363257 1096671099 /nfs/dbraw/zinc/67/10/99/1096671099.db2.gz LWTJORVYCJXZQF-IBGZPJMESA-N 0 1 320.392 3.146 20 30 DGEDMN C=C(Cl)CN1CC2(CCC2)[C@@H]1C1CCN(C(=O)C2CC2)CC1 ZINC001093351537 1096677369 /nfs/dbraw/zinc/67/73/69/1096677369.db2.gz MKBRIGDPKYGPLO-INIZCTEOSA-N 0 1 322.880 3.242 20 30 DGEDMN Cc1[nH]c(=O)nc(O[C@H](C)CC(C)(C)S)c1Br ZINC001226788629 1096778533 /nfs/dbraw/zinc/77/85/33/1096778533.db2.gz ZMMCZXKJMCUZTC-ZCFIWIBFSA-N 0 1 321.240 3.119 20 30 DGEDMN Cc1[nH]c(=O)nc(O[C@@H](C)CC(C)(C)S)c1Br ZINC001226788628 1096778547 /nfs/dbraw/zinc/77/85/47/1096778547.db2.gz ZMMCZXKJMCUZTC-LURJTMIESA-N 0 1 321.240 3.119 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3coc(C)c3)CCC[C@@H]12 ZINC001094400519 1096814393 /nfs/dbraw/zinc/81/43/93/1096814393.db2.gz YUMRTFVKUNSUBY-NVXWUHKLSA-N 0 1 322.836 3.315 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1C[C@H]2CC[C@@H](C1)[N@H+]2C ZINC001227120272 1096873848 /nfs/dbraw/zinc/87/38/48/1096873848.db2.gz JEUFHTOGFNNUIP-FICVDOATSA-N 0 1 315.413 3.327 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2C ZINC001227120272 1096873854 /nfs/dbraw/zinc/87/38/54/1096873854.db2.gz JEUFHTOGFNNUIP-FICVDOATSA-N 0 1 315.413 3.327 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C(C)(C)C)oc2C)CC1 ZINC001277182775 1096875734 /nfs/dbraw/zinc/87/57/34/1096875734.db2.gz BMINCVFQGOVJJJ-UHFFFAOYSA-N 0 1 324.852 3.490 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cc(C#N)ccc2O)C1 ZINC001227351744 1096919497 /nfs/dbraw/zinc/91/94/97/1096919497.db2.gz YQPYLSCHLGSWIE-GDBMZVCRSA-N 0 1 323.396 3.263 20 30 DGEDMN Cc1cc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cnc1C#N ZINC001227349183 1096919677 /nfs/dbraw/zinc/91/96/77/1096919677.db2.gz FKXIRJYAXVQZCC-JKSUJKDBSA-N 0 1 322.412 3.261 20 30 DGEDMN C=CC(C=C)Oc1cc(O)c(Br)cc1C(=O)OC ZINC001227359270 1096920439 /nfs/dbraw/zinc/92/04/39/1096920439.db2.gz HYHIEPIWCNGAKA-UHFFFAOYSA-N 0 1 313.147 3.061 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)[C@H](Cc2ccccc2)C1 ZINC001171735758 1096970967 /nfs/dbraw/zinc/97/09/67/1096970967.db2.gz XUEOPYCWYOTFIE-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1nccc(C)n1 ZINC001171822816 1096975190 /nfs/dbraw/zinc/97/51/90/1096975190.db2.gz OLQCCLCPLQSPMR-UHFFFAOYSA-N 0 1 320.481 3.132 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2cccc3c[nH]nc32)C1 ZINC001227985403 1097025833 /nfs/dbraw/zinc/02/58/33/1097025833.db2.gz OHMHWZHZYNKNQX-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NC/C(Cl)=C/Cl)[C@@H](C)C1 ZINC001281410035 1097079364 /nfs/dbraw/zinc/07/93/64/1097079364.db2.gz VZIVHZUTDOTJKP-SLZKOVEISA-N 0 1 319.276 3.344 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)NCC(=O)c1ccc(F)cc1F ZINC001172302918 1097094544 /nfs/dbraw/zinc/09/45/44/1097094544.db2.gz SLTSUJDGMPPEKA-GFCCVEGCSA-N 0 1 314.335 3.240 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1C#N ZINC001228308614 1097094543 /nfs/dbraw/zinc/09/45/43/1097094543.db2.gz LBPMWVFLYYTTNX-HZPDHXFCSA-N 0 1 322.412 3.261 20 30 DGEDMN COC(=O)c1ccc2c(c1)CN([C@@H](C)Cc1ccc(C#N)cc1)C2 ZINC001172311903 1097098511 /nfs/dbraw/zinc/09/85/11/1097098511.db2.gz CFYZYAPSGJFZSE-AWEZNQCLSA-N 0 1 320.392 3.292 20 30 DGEDMN CCOC(=O)[C@H]1C[C@H](C)CCN1[C@@H](C)Cc1ccc(C#N)cc1 ZINC001172321052 1097099741 /nfs/dbraw/zinc/09/97/41/1097099741.db2.gz YDXDFXDBJIJZJT-RVKKMQEKSA-N 0 1 314.429 3.153 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228413483 1097116045 /nfs/dbraw/zinc/11/60/45/1097116045.db2.gz LPWUFDFPKQRZMP-NUJGCVRESA-N 0 1 319.449 3.102 20 30 DGEDMN CCCCCCC[C@H](CC)N1CCN(C2CCOCC2)C(=O)C1 ZINC001172353762 1097123484 /nfs/dbraw/zinc/12/34/84/1097123484.db2.gz BHQIQUBDFCCATO-KRWDZBQOSA-N 0 1 324.509 3.449 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc2c(C)c(Cl)c(=O)oc2c1 ZINC001228508597 1097132771 /nfs/dbraw/zinc/13/27/71/1097132771.db2.gz IFJHPOWJTQPUOU-MRVPVSSYSA-N 0 1 320.728 3.353 20 30 DGEDMN N#C[C@@H]1CC[C@@H](Oc2nc3cccc(Br)c3[nH]2)C1 ZINC001228682169 1097167229 /nfs/dbraw/zinc/16/72/29/1097167229.db2.gz RTJIPJCFXSWBDW-RKDXNWHRSA-N 0 1 306.163 3.396 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001172499066 1097172077 /nfs/dbraw/zinc/17/20/77/1097172077.db2.gz AIQSPIFKKVBEBX-AWEZNQCLSA-N 0 1 319.836 3.180 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(C(=O)OC(C)(C)C)C2(CC2)C1 ZINC001172502176 1097172920 /nfs/dbraw/zinc/17/29/20/1097172920.db2.gz SSRGCSLMTIXAST-AWEZNQCLSA-N 0 1 307.438 3.154 20 30 DGEDMN C[C@H](CCCC#N)N1C[C@@H]2CC[C@H]1CN2C(=O)OC(C)(C)C ZINC001172509681 1097174978 /nfs/dbraw/zinc/17/49/78/1097174978.db2.gz NXONBHLDXSMPOM-ILXRZTDVSA-N 0 1 307.438 3.152 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228748605 1097182297 /nfs/dbraw/zinc/18/22/97/1097182297.db2.gz MOTNUZXRHJTGBG-KGLIPLIRSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001228786788 1097190053 /nfs/dbraw/zinc/19/00/53/1097190053.db2.gz QIEHIGJPLCXPID-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001228808744 1097194707 /nfs/dbraw/zinc/19/47/07/1097194707.db2.gz ATQMUUFTIYPZQF-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H](NCc2cscn2)C12CCC2 ZINC001203340496 1097196900 /nfs/dbraw/zinc/19/69/00/1097196900.db2.gz LUQJONTZEMOKTL-LSDHHAIUSA-N 0 1 319.474 3.016 20 30 DGEDMN C#C[C@@H](CC(C)C)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228860224 1097205166 /nfs/dbraw/zinc/20/51/66/1097205166.db2.gz RFQOEQTWHBYMGG-QMMMGPOBSA-N 0 1 318.251 3.141 20 30 DGEDMN C[C@H](CCCNCc1cc(F)ccc1C#N)NC(=O)C(C)(C)C ZINC001277341790 1097218473 /nfs/dbraw/zinc/21/84/73/1097218473.db2.gz ALLFGLOCLBRQSD-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3c(c2)OCC3)C1 ZINC001228997707 1097233574 /nfs/dbraw/zinc/23/35/74/1097233574.db2.gz RLTOFAJLKOURMI-IBGZPJMESA-N 0 1 320.392 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001229048934 1097244571 /nfs/dbraw/zinc/24/45/71/1097244571.db2.gz QDWIWUVFINCZEM-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001229049186 1097245391 /nfs/dbraw/zinc/24/53/91/1097245391.db2.gz VHPJWJLWMLYTRJ-KRWDZBQOSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1ccc2c(c1)nc(=O)[nH]c2O[C@@H](C)CC(C)(C)S ZINC001229139618 1097262516 /nfs/dbraw/zinc/26/25/16/1097262516.db2.gz JDXAHKHDXWWDNC-VIFPVBQESA-N 0 1 308.403 3.210 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)cc(F)c1Br ZINC001229589533 1097349937 /nfs/dbraw/zinc/34/99/37/1097349937.db2.gz BIHCVQKTULSMDY-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C#CC1CCN([C@@H](C)Cc2c(F)c(OC)ccc2[N+](=O)[O-])CC1 ZINC001173192661 1097358097 /nfs/dbraw/zinc/35/80/97/1097358097.db2.gz MPYWUDIIHUYQOQ-LBPRGKRZSA-N 0 1 320.364 3.019 20 30 DGEDMN CNc1ccc(C#N)cc1Nc1cccc(N2CCN(C)CC2)c1 ZINC001212715747 1097392553 /nfs/dbraw/zinc/39/25/53/1097392553.db2.gz GTTLEWGRGVYFSE-UHFFFAOYSA-N 0 1 321.428 3.095 20 30 DGEDMN CC1=CC(=O)c2c(O[C@@H]3C[C@H]4CC[C@@H](C3)N4C)cccc2C1=O ZINC001229913826 1097410607 /nfs/dbraw/zinc/41/06/07/1097410607.db2.gz JFEIAZJJACMKRX-BTTYYORXSA-N 0 1 311.381 3.016 20 30 DGEDMN C=CCOC1CCC(N2CCN(C)c3nc(C)ccc3C2)CC1 ZINC001173321017 1097416105 /nfs/dbraw/zinc/41/61/05/1097416105.db2.gz HOVMFMJRTJQYFS-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=CCOC1CCC([N@@H+](CC(=O)OC)Cc2ccccc2)CC1 ZINC001173327026 1097418483 /nfs/dbraw/zinc/41/84/83/1097418483.db2.gz ZTJQTOWJGLTHQT-UHFFFAOYSA-N 0 1 317.429 3.175 20 30 DGEDMN C=CCOC1CCC(N(CC(=O)OC)Cc2ccccc2)CC1 ZINC001173327026 1097418486 /nfs/dbraw/zinc/41/84/86/1097418486.db2.gz ZTJQTOWJGLTHQT-UHFFFAOYSA-N 0 1 317.429 3.175 20 30 DGEDMN N#Cc1cccc(O[C@@H]2CCN(Cc3ccc(Cl)cc3)C2)n1 ZINC001230043225 1097435398 /nfs/dbraw/zinc/43/53/98/1097435398.db2.gz ISGGXYMGEKHJEG-MRXNPFEDSA-N 0 1 313.788 3.260 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001230194295 1097456298 /nfs/dbraw/zinc/45/62/98/1097456298.db2.gz ZOVHIUFCDQCXMO-LJQANCHMSA-N 0 1 312.457 3.174 20 30 DGEDMN CSc1ncc2c(n1)C[N@@H+](C1CCC(C)(C#N)CC1)CC2 ZINC001173600684 1097468724 /nfs/dbraw/zinc/46/87/24/1097468724.db2.gz JFRIJERXLCQCIS-UHFFFAOYSA-N 0 1 302.447 3.029 20 30 DGEDMN CSc1ncc2c(n1)CN(C1CCC(C)(C#N)CC1)CC2 ZINC001173600684 1097468727 /nfs/dbraw/zinc/46/87/27/1097468727.db2.gz JFRIJERXLCQCIS-UHFFFAOYSA-N 0 1 302.447 3.029 20 30 DGEDMN C=C[C@@H](C(=O)NC1CCN(C/C=C\Cl)CC1)c1ccccc1 ZINC001230333986 1097478457 /nfs/dbraw/zinc/47/84/57/1097478457.db2.gz BLDALFPNQIRLQV-ACAQERRYSA-N 0 1 318.848 3.289 20 30 DGEDMN C[C@@H]1CC(N2CCC[C@H](C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173681926 1097488370 /nfs/dbraw/zinc/48/83/70/1097488370.db2.gz OZSWQLUDXYISSO-RBSFLKMASA-N 0 1 321.465 3.398 20 30 DGEDMN C[C@@H]1CC(N2CC[C@@H](C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173681961 1097488473 /nfs/dbraw/zinc/48/84/73/1097488473.db2.gz QBOWTZAAIULXBR-MCIONIFRSA-N 0 1 307.438 3.008 20 30 DGEDMN C[C@@H](Oc1cc2[nH]ncc2cc1[N+](=O)[O-])c1ccccc1C#N ZINC001230601888 1097527228 /nfs/dbraw/zinc/52/72/28/1097527228.db2.gz SMEPTSLMJVSMON-SNVBAGLBSA-N 0 1 308.297 3.483 20 30 DGEDMN C=CCN(CCCNC(=O)c1cccc(C)c1)Cc1cccnc1 ZINC001230660153 1097548148 /nfs/dbraw/zinc/54/81/48/1097548148.db2.gz HDIFXDKIRUDVSY-UHFFFAOYSA-N 0 1 323.440 3.198 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@H](C)CC)Cc1cccnc1 ZINC001230746411 1097571803 /nfs/dbraw/zinc/57/18/03/1097571803.db2.gz WFVUNHMZSYKVBM-MRXNPFEDSA-N 0 1 303.450 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)C(C)(C)C)Cc1cccnc1 ZINC001230789993 1097587459 /nfs/dbraw/zinc/58/74/59/1097587459.db2.gz PQNVYLDESSWESM-MRXNPFEDSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H]1CCC1(C)C)Cc1cccnc1 ZINC001230820105 1097597398 /nfs/dbraw/zinc/59/73/98/1097597398.db2.gz RWWBIWWBKRKFPO-QGZVFWFLSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)[C@@H](C)C=C)Cc1cccnc1 ZINC001230820208 1097598279 /nfs/dbraw/zinc/59/82/79/1097598279.db2.gz XOBDZUVRSKHYHW-IRXDYDNUSA-N 0 1 315.461 3.034 20 30 DGEDMN Cc1ccc2c(c1)nc(=O)[nH]c2O[C@@H](C#N)c1ccccc1F ZINC001230889706 1097614900 /nfs/dbraw/zinc/61/49/00/1097614900.db2.gz WBZSQDIXNQKVHW-HNNXBMFYSA-N 0 1 309.300 3.427 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC001230906579 1097619182 /nfs/dbraw/zinc/61/91/82/1097619182.db2.gz MOVJGTHCLKBCCK-WBVHZDCISA-N 0 1 305.466 3.001 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC001230906574 1097619231 /nfs/dbraw/zinc/61/92/31/1097619231.db2.gz MOVJGTHCLKBCCK-NVXWUHKLSA-N 0 1 305.466 3.001 20 30 DGEDMN CC(C)NC(=O)c1cccc(Nc2cc(C#N)c(F)cc2O)c1 ZINC001174187405 1097637219 /nfs/dbraw/zinc/63/72/19/1097637219.db2.gz VRXSRFXFRYMPIO-UHFFFAOYSA-N 0 1 313.332 3.285 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1[C@@H](C)c1cccc(OC)c1 ZINC001231178237 1097689296 /nfs/dbraw/zinc/68/92/96/1097689296.db2.gz KMRAQTZLIOSXIA-DOTOQJQBSA-N 0 1 316.445 3.255 20 30 DGEDMN C=C[C@@H](CCC)Oc1nc2ccc(NC(=O)CC(C)=O)cc2[nH]1 ZINC001231184436 1097691687 /nfs/dbraw/zinc/69/16/87/1097691687.db2.gz BOPVXODOYSVQLT-ZDUSSCGKSA-N 0 1 315.373 3.214 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2n[nH]c3cc(Br)ccc32)C1 ZINC001231617446 1097793113 /nfs/dbraw/zinc/79/31/13/1097793113.db2.gz DWAPEYSJPNBKTC-JTQLQIEISA-N 0 1 319.206 3.061 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@@H](OCc2ccccc2)C1 ZINC001231618337 1097793538 /nfs/dbraw/zinc/79/35/38/1097793538.db2.gz HGPJCJSDNDWWHH-LJQANCHMSA-N 0 1 322.408 3.358 20 30 DGEDMN C#CC1(NC(=O)c2cc3c(Cl)[nH]ccc-3n2)CCCCC1 ZINC001174616609 1097794955 /nfs/dbraw/zinc/79/49/55/1097794955.db2.gz JUTVQXLCEAVNOV-UHFFFAOYSA-N 0 1 301.777 3.282 20 30 DGEDMN C=CCOc1cccc(CN2CC[C@]3(C2)CC(F)(F)CO3)c1 ZINC001231625620 1097795915 /nfs/dbraw/zinc/79/59/15/1097795915.db2.gz XXXQXLIOUKIPGO-MRXNPFEDSA-N 0 1 309.356 3.252 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(O)c(F)c3)sc2C1 ZINC001174733409 1097823018 /nfs/dbraw/zinc/82/30/18/1097823018.db2.gz JFPKTRZMVRKPSD-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001174834360 1097852709 /nfs/dbraw/zinc/85/27/09/1097852709.db2.gz ZJEHDEGVLXXQHB-HOCLYGCPSA-N 0 1 321.465 3.350 20 30 DGEDMN CN1CCN(c2ccc(Nc3c(F)cccc3C#N)cc2)CC1 ZINC001174813680 1097862530 /nfs/dbraw/zinc/86/25/30/1097862530.db2.gz ALPBAMACTNVKIF-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001174848310 1097866759 /nfs/dbraw/zinc/86/67/59/1097866759.db2.gz BFUHXHSPCTWNFX-ZBFHGGJFSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001174849012 1097867944 /nfs/dbraw/zinc/86/79/44/1097867944.db2.gz IUMJDSRCBCGIRW-LSDHHAIUSA-N 0 1 321.465 3.350 20 30 DGEDMN COc1cc(F)cc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001175007196 1097909321 /nfs/dbraw/zinc/90/93/21/1097909321.db2.gz GOTKFIWSOZPDKF-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN N#Cc1ccc(CN(CCO)Cc2ccccc2)cc1Cl ZINC001232110409 1097940469 /nfs/dbraw/zinc/94/04/69/1097940469.db2.gz NAWUJTBAUSVFQZ-UHFFFAOYSA-N 0 1 300.789 3.206 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001175081251 1097940831 /nfs/dbraw/zinc/94/08/31/1097940831.db2.gz CUBSUGKGNJDRKZ-RDTXWAMCSA-N 0 1 321.465 3.350 20 30 DGEDMN CCOC(=O)[C@H]1CCCN(Cc2ccc(C#N)c(Cl)c2)[C@@H]1C ZINC001232115382 1097941781 /nfs/dbraw/zinc/94/17/81/1097941781.db2.gz NGHJIYNRJXMCDA-DOMZBBRYSA-N 0 1 320.820 3.375 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1ccns1)[C@@H]2CC ZINC001232190190 1097960388 /nfs/dbraw/zinc/96/03/88/1097960388.db2.gz NPDOKVSJGZLLJE-WBVHZDCISA-N 0 1 319.474 3.065 20 30 DGEDMN N#CCCN(Cc1n[nH]c2c1CCC2)Cc1ccc(Cl)cc1 ZINC001232429340 1098036313 /nfs/dbraw/zinc/03/63/13/1098036313.db2.gz MDCJBHDIZAQHES-UHFFFAOYSA-N 0 1 314.820 3.468 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1c[nH]c2nccc(Cl)c12 ZINC001232457862 1098044704 /nfs/dbraw/zinc/04/47/04/1098044704.db2.gz AGYBUUPJLFUGGJ-UOJUARBOSA-N 0 1 300.793 3.483 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cc(C#N)ccn3)CC2)cc1 ZINC001232480368 1098052032 /nfs/dbraw/zinc/05/20/32/1098052032.db2.gz UDGLVVVQLAWRPJ-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN COc1ccccc1C1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001232479641 1098052666 /nfs/dbraw/zinc/05/26/66/1098052666.db2.gz UTFDAIDYPXQQBL-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001175468199 1098054710 /nfs/dbraw/zinc/05/47/10/1098054710.db2.gz CZDUIFALJXHVAI-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN Cc1ccc2[nH]ncc2c1Nc1c(C#N)cnn1-c1ccccn1 ZINC001175523496 1098083071 /nfs/dbraw/zinc/08/30/71/1098083071.db2.gz IPGVKGMLEVBBML-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN Cc1ccccc1O[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232679722 1098113393 /nfs/dbraw/zinc/11/33/93/1098113393.db2.gz QXROSJOHSMTMTK-QGZVFWFLSA-N 0 1 308.381 3.226 20 30 DGEDMN C[N@@H+](Cc1cc(C#N)ccc1[O-])Cc1cccc2cccnc21 ZINC001232679389 1098113520 /nfs/dbraw/zinc/11/35/20/1098113520.db2.gz IQRXYUMVPSZSEP-UHFFFAOYSA-N 0 1 303.365 3.444 20 30 DGEDMN Cc1cccc(O[C@@H]2CCC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)n1 ZINC001232681244 1098113802 /nfs/dbraw/zinc/11/38/02/1098113802.db2.gz OBSFZGVNJXHXRQ-QGZVFWFLSA-N 0 1 323.396 3.011 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1ccc2c(C)[nH]nc2c1 ZINC001175657815 1098127578 /nfs/dbraw/zinc/12/75/78/1098127578.db2.gz ZSUSUBCZVYTVRH-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN COC(=O)c1ccc(Nc2ccc3c(C)[nH]nc3c2)c(C#N)c1 ZINC001175666541 1098131810 /nfs/dbraw/zinc/13/18/10/1098131810.db2.gz YWSCYNAUUJBOHM-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN C#Cc1cccc(Nc2ccc(CCN3CCOCC3)cc2)c1 ZINC001175977693 1098217833 /nfs/dbraw/zinc/21/78/33/1098217833.db2.gz YABIEVCSBPHZJG-UHFFFAOYSA-N 0 1 306.409 3.286 20 30 DGEDMN COC(=O)CCN(Cc1ccco1)Cc1ccc(C#N)cc1C ZINC001233081329 1098222746 /nfs/dbraw/zinc/22/27/46/1098222746.db2.gz UUSIAHZUMWGGQP-UHFFFAOYSA-N 0 1 312.369 3.025 20 30 DGEDMN N#Cc1cc(Br)ccc1Nc1ccc2nn[nH]c2c1 ZINC001176005522 1098230005 /nfs/dbraw/zinc/23/00/05/1098230005.db2.gz GTRDALIIWXBCOG-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cc(Br)ccc1Nc1ccc2n[nH]nc2c1 ZINC001176005522 1098230008 /nfs/dbraw/zinc/23/00/08/1098230008.db2.gz GTRDALIIWXBCOG-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1n[nH]c3cc(F)ccc31)CC2 ZINC001233120288 1098230906 /nfs/dbraw/zinc/23/09/06/1098230906.db2.gz XOGWVOQTSCJFSI-UHFFFAOYSA-N 0 1 306.344 3.132 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1cnc(C)c(C)c1)C2 ZINC001233163997 1098240269 /nfs/dbraw/zinc/24/02/69/1098240269.db2.gz OVVFWGAAGHJNAD-RTBURBONSA-N 0 1 316.445 3.025 20 30 DGEDMN N#CCCN(Cc1cc(Br)c[nH]c1=O)C1CCCC1 ZINC001233186917 1098247652 /nfs/dbraw/zinc/24/76/52/1098247652.db2.gz RQDKZSLDRBJRBH-UHFFFAOYSA-N 0 1 324.222 3.208 20 30 DGEDMN Cc1ccnc(O[C@H]2CCC[N@@H+](Cc3ccc(C#N)cc3[O-])C2)c1 ZINC001233387837 1098310533 /nfs/dbraw/zinc/31/05/33/1098310533.db2.gz ASDNTVICIXSPGT-KRWDZBQOSA-N 0 1 323.396 3.011 20 30 DGEDMN CC(C)Oc1cc(Nc2cc(C#N)c(F)cc2O)c(F)cn1 ZINC001176484070 1098363613 /nfs/dbraw/zinc/36/36/13/1098363613.db2.gz XQFLGKHUSRSEAU-UHFFFAOYSA-N 0 1 305.284 3.468 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)N(CC(F)(F)F)C(C)C ZINC001176767792 1098435922 /nfs/dbraw/zinc/43/59/22/1098435922.db2.gz UITUAUDOYFGJKT-CYBMUJFWSA-N 0 1 306.372 3.216 20 30 DGEDMN CC(C)CCC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001277804993 1098421965 /nfs/dbraw/zinc/42/19/65/1098421965.db2.gz PIZMWHYTGHKBIV-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@H]1CCN1Cc1cccc(C)c1 ZINC001233761952 1098425054 /nfs/dbraw/zinc/42/50/54/1098425054.db2.gz NXWOEDIHKHZLOA-LJQANCHMSA-N 0 1 312.457 3.221 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@]2(CO)c2ccccc2)cc1F ZINC001176958527 1098456966 /nfs/dbraw/zinc/45/69/66/1098456966.db2.gz HRRRDRKJAQRFDN-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H]2OCCN(CC(CC)CC)[C@@H]2C1 ZINC001177023713 1098464172 /nfs/dbraw/zinc/46/41/72/1098464172.db2.gz BOGKCOOACZUNEO-QZTJIDSGSA-N 0 1 322.493 3.081 20 30 DGEDMN C#Cc1ccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)nc1 ZINC001233965729 1098468107 /nfs/dbraw/zinc/46/81/07/1098468107.db2.gz KXVCSBMAAGTUDG-WBVHZDCISA-N 0 1 307.397 3.062 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1cc2ccccc2o1 ZINC001233967082 1098468234 /nfs/dbraw/zinc/46/82/34/1098468234.db2.gz UVFIOHCGJYGUCU-QGZVFWFLSA-N 0 1 324.424 3.269 20 30 DGEDMN CCCCCC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001233977947 1098470021 /nfs/dbraw/zinc/47/00/21/1098470021.db2.gz LIUHJCLABNTALJ-UHFFFAOYSA-N 0 1 301.434 3.077 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H]2OCCN(CCC(C)(C)C)[C@@H]2C1 ZINC001177089843 1098475939 /nfs/dbraw/zinc/47/59/39/1098475939.db2.gz VZLZHQUGDNWSDX-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001234115275 1098497456 /nfs/dbraw/zinc/49/74/56/1098497456.db2.gz AJOHGMMYAHOSNG-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN CN(C[C@H]1CCN1Cc1ccc(C2CC2)cc1)C(=O)C#CC1CC1 ZINC001234186556 1098510809 /nfs/dbraw/zinc/51/08/09/1098510809.db2.gz KTUAMIQOBKHZIR-HXUWFJFHSA-N 0 1 322.452 3.010 20 30 DGEDMN CN(C[C@H]1CC[N@H+]1CC1CC2(CCC2)C1)C(=O)C#CC(C)(C)C ZINC001234215452 1098515631 /nfs/dbraw/zinc/51/56/31/1098515631.db2.gz LJRLYIHUTAXQBH-QGZVFWFLSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(Cl)c(OC)cc1F ZINC001234321120 1098536239 /nfs/dbraw/zinc/53/62/39/1098536239.db2.gz IBHWSOPYRLBQRO-JTQLQIEISA-N 0 1 300.713 3.476 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)Cc1cccc(F)c1 ZINC001234322482 1098536676 /nfs/dbraw/zinc/53/66/76/1098536676.db2.gz IQZTXIYCIKYKBD-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C[C@@H](Oc1[nH]c(=O)nc2cc(C(F)(F)F)ccc21)[C@H](C)S ZINC001234411990 1098550462 /nfs/dbraw/zinc/55/04/62/1098550462.db2.gz NYWCHUMXKGAAQP-RQJHMYQMSA-N 0 1 318.320 3.440 20 30 DGEDMN N#Cc1ccc2nc(=O)[nH]c(O[C@H](c3cccnc3)C3CC3)c2c1 ZINC001234531272 1098584902 /nfs/dbraw/zinc/58/49/02/1098584902.db2.gz XBGKXVJXFMFREJ-INIZCTEOSA-N 0 1 318.336 3.132 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(OCCOC2CC2)cc1 ZINC001234538699 1098590646 /nfs/dbraw/zinc/59/06/46/1098590646.db2.gz MVGYJHDJMRURIS-INIZCTEOSA-N 0 1 318.369 3.233 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CCCC[C@@H](C)CC ZINC001234544741 1098592624 /nfs/dbraw/zinc/59/26/24/1098592624.db2.gz CDBNHLQIZRPORB-ROUUACIJSA-N 0 1 324.509 3.328 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2cc3cccnc3[nH]2)C1 ZINC001234630196 1098624318 /nfs/dbraw/zinc/62/43/18/1098624318.db2.gz QPDBJVPGBZICCH-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN CCC[C@H](C#Cc1ccccc1)Oc1nc(F)nc2nc[nH]c21 ZINC001234693591 1098646378 /nfs/dbraw/zinc/64/63/78/1098646378.db2.gz QHOZZRDHEYEDIJ-CYBMUJFWSA-N 0 1 310.332 3.091 20 30 DGEDMN Cc1ccc(CNC(=O)C(C#N)Cc2cccs2)c(F)c1F ZINC001177916464 1098648195 /nfs/dbraw/zinc/64/81/95/1098648195.db2.gz WVPYUIDBSQSHQD-LBPRGKRZSA-N 0 1 320.364 3.333 20 30 DGEDMN C[C@@H](NC(=O)C(C#N)Cc1cccs1)c1nc2ccccc2[nH]1 ZINC001177916008 1098648233 /nfs/dbraw/zinc/64/82/33/1098648233.db2.gz CPVIYHQJQMOLIE-VXGBXAGGSA-N 0 1 324.409 3.184 20 30 DGEDMN CC(C)[C@H](NC(=O)C(C#N)Cc1cccs1)c1cccnc1 ZINC001177917890 1098648751 /nfs/dbraw/zinc/64/87/51/1098648751.db2.gz NAIIGJKHRRFAJG-ZBFHGGJFSA-N 0 1 313.426 3.339 20 30 DGEDMN Cc1ccc(CCNC(=O)C(C#N)Cc2cccs2)c(C)c1 ZINC001177916837 1098648943 /nfs/dbraw/zinc/64/89/43/1098648943.db2.gz LBABISUUXCILQT-MRXNPFEDSA-N 0 1 312.438 3.406 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(OC)cc(OC)c1Cl ZINC001234885998 1098697804 /nfs/dbraw/zinc/69/78/04/1098697804.db2.gz SGNRYXQXAIATTC-SNVBAGLBSA-N 0 1 312.749 3.345 20 30 DGEDMN C=CC[C@@H](C)Oc1ccc(C(=O)N2CCN(C(C)C)CC2)cc1 ZINC001234901676 1098701678 /nfs/dbraw/zinc/70/16/78/1098701678.db2.gz VSSOBLJAFJHGAL-MRXNPFEDSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cnc(Cl)c(C(F)(F)F)c1 ZINC001234958678 1098726872 /nfs/dbraw/zinc/72/68/72/1098726872.db2.gz SSTWHXQGWFKLTJ-YFKPBYRVSA-N 0 1 307.655 3.352 20 30 DGEDMN C=CCC[C@H](CO)NCc1c(Cl)cccc1OC(F)F ZINC001178709655 1098918568 /nfs/dbraw/zinc/91/85/68/1098918568.db2.gz IHZWFDNCGCAEIX-SNVBAGLBSA-N 0 1 305.752 3.358 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001178795065 1098933833 /nfs/dbraw/zinc/93/38/33/1098933833.db2.gz HWVVEOVTKDOTNC-ZSCUQQAQSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)[C@@H]1C ZINC001178802640 1098935525 /nfs/dbraw/zinc/93/55/25/1098935525.db2.gz RGJZFHFQILZDIR-GUYCJALGSA-N 0 1 322.399 3.400 20 30 DGEDMN N#CC1CCN(Cc2cc(F)cc(Br)c2O)CC1 ZINC001235300479 1098949436 /nfs/dbraw/zinc/94/94/36/1098949436.db2.gz ZKIOKSLXOXVPDN-UHFFFAOYSA-N 0 1 313.170 3.029 20 30 DGEDMN N#CC1CC[NH+](Cc2cc(F)cc(Br)c2[O-])CC1 ZINC001235300479 1098949447 /nfs/dbraw/zinc/94/94/47/1098949447.db2.gz ZKIOKSLXOXVPDN-UHFFFAOYSA-N 0 1 313.170 3.029 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2cc(F)cc(Br)c2O)C1 ZINC001235303493 1098950942 /nfs/dbraw/zinc/95/09/42/1098950942.db2.gz NJMQXDJAEJJSOV-VIFPVBQESA-N 0 1 313.170 3.029 20 30 DGEDMN N#Cc1ccc(CNCc2cnc3ccc(Cl)cn23)s1 ZINC001178981537 1098975739 /nfs/dbraw/zinc/97/57/39/1098975739.db2.gz LQYRSMYNNVLJQD-UHFFFAOYSA-N 0 1 302.790 3.211 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1cccs1 ZINC001277940877 1098985477 /nfs/dbraw/zinc/98/54/77/1098985477.db2.gz KMGGNAHOXMGEPJ-CHWSQXEVSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179212809 1099037537 /nfs/dbraw/zinc/03/75/37/1099037537.db2.gz XHCOOTKDWFCELS-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1cc(Cl)ccc1OC ZINC001235464774 1099052321 /nfs/dbraw/zinc/05/23/21/1099052321.db2.gz PPTDYNDCICHWIB-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179306250 1099056497 /nfs/dbraw/zinc/05/64/97/1099056497.db2.gz FPBSJAJMBDKWCQ-GDBMZVCRSA-N 0 1 321.465 3.207 20 30 DGEDMN Cc1ccccc1N1CCN(Cc2ccccc2CC#N)CC1 ZINC001235511022 1099068665 /nfs/dbraw/zinc/06/86/65/1099068665.db2.gz DTPVDUGFDTZUOZ-UHFFFAOYSA-N 0 1 305.425 3.383 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccccc3CC#N)CC2)cc1 ZINC001235523929 1099073082 /nfs/dbraw/zinc/07/30/82/1099073082.db2.gz WMFLPVDZAUKKIE-UHFFFAOYSA-N 0 1 319.452 3.379 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NCc2nc(C)cs2)[C@@H]1C ZINC001179363892 1099084466 /nfs/dbraw/zinc/08/44/66/1099084466.db2.gz AARMULUQVDSYRA-SNPRPXQTSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C\Cc1ccccc1 ZINC001235551564 1099085242 /nfs/dbraw/zinc/08/52/42/1099085242.db2.gz VGWCWFJNFANTTP-FQQSSWHASA-N 0 1 312.457 3.284 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2c(F)cccc2F)[C@@H]1C ZINC001179455154 1099105252 /nfs/dbraw/zinc/10/52/52/1099105252.db2.gz VBOAURAYHARYDB-GUYCJALGSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCc2ccccc2)[C@H]1C ZINC001179459462 1099106026 /nfs/dbraw/zinc/10/60/26/1099106026.db2.gz BFTNRDLCFNOKML-RDJZCZTQSA-N 0 1 320.864 3.341 20 30 DGEDMN C=CCCC1(C(=O)NCCCCCCNCc2ccon2)CC1 ZINC001179481583 1099113492 /nfs/dbraw/zinc/11/34/92/1099113492.db2.gz IJHLJJURUNGKMA-UHFFFAOYSA-N 0 1 319.449 3.187 20 30 DGEDMN C=CCOc1cccc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)c1 ZINC001179519953 1099116205 /nfs/dbraw/zinc/11/62/05/1099116205.db2.gz FMVPLZRWRMZPBU-PUZFROQSSA-N 0 1 309.409 3.391 20 30 DGEDMN CCCn1cc(CNCc2ccc(SC)c(C#N)c2)c(C)n1 ZINC001179584495 1099150650 /nfs/dbraw/zinc/15/06/50/1099150650.db2.gz SBLOZJWAKYQUGP-UHFFFAOYSA-N 0 1 314.458 3.485 20 30 DGEDMN C=CCCCCCCCCCN1CCc2c([nH]nc2C(N)=O)C1 ZINC001277977820 1099343090 /nfs/dbraw/zinc/34/30/90/1099343090.db2.gz OTCNVKOPCBKSGX-UHFFFAOYSA-N 0 1 318.465 3.174 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1-c1ccc(C#N)cn1)N1CCCC1 ZINC001180581727 1099453021 /nfs/dbraw/zinc/45/30/21/1099453021.db2.gz CFNOVILSXGKRLP-AWEZNQCLSA-N 0 1 320.396 3.043 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cc(C)c(F)c(C)c1 ZINC001273542932 1099545800 /nfs/dbraw/zinc/54/58/00/1099545800.db2.gz RHEQQMGXJMIFBC-IAGOWNOFSA-N 0 1 316.420 3.194 20 30 DGEDMN CCCCCCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001187581912 1099555558 /nfs/dbraw/zinc/55/55/58/1099555558.db2.gz KSKFFPABULAGCU-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN CCC1(C(=O)N(C)CCCN(C)Cc2ccccc2C#N)CC1 ZINC001273559940 1099765288 /nfs/dbraw/zinc/76/52/88/1099765288.db2.gz HVPAJRKAGPDJCA-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN CCCC(=O)N1CC[C@@H](N(C)CC#Cc2ccc(Cl)cc2)C1 ZINC001188626089 1099816070 /nfs/dbraw/zinc/81/60/70/1099816070.db2.gz MGPGQOWGHFRAQI-QGZVFWFLSA-N 0 1 318.848 3.024 20 30 DGEDMN N#Cc1ccc(-c2ccc(C(=O)NCc3cnc[nH]3)cc2)cc1F ZINC001188689635 1099828820 /nfs/dbraw/zinc/82/88/20/1099828820.db2.gz YEZQARJILXAIOO-UHFFFAOYSA-N 0 1 320.327 3.017 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)CC2CCCC2)C1 ZINC001188699474 1099830762 /nfs/dbraw/zinc/83/07/62/1099830762.db2.gz ACRFRMUUNABQMJ-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C[C@@]1(O)CCCN(Cc2ccc(C#N)c(Br)c2)CC1 ZINC001236856368 1099836673 /nfs/dbraw/zinc/83/66/73/1099836673.db2.gz LPPUWQSLGRCHDW-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1sccc1CC ZINC001278025408 1099864605 /nfs/dbraw/zinc/86/46/05/1099864605.db2.gz NEORKOOKAPBHDS-NSHDSACASA-N 0 1 300.855 3.113 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001188896162 1099895310 /nfs/dbraw/zinc/89/53/10/1099895310.db2.gz KPCXNBJSKWGOSN-HYVNUMGLSA-N 0 1 318.486 3.383 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cn[nH]c2-c2ccccc2)cc1F ZINC001189697256 1100114564 /nfs/dbraw/zinc/11/45/64/1100114564.db2.gz SDWBTNWBVWXPHU-UHFFFAOYSA-N 0 1 305.312 3.449 20 30 DGEDMN Cn1cc(C[N@H+]2CCc3cc(O)ccc3C2)c2cc(C#N)ccc21 ZINC001237464168 1100131446 /nfs/dbraw/zinc/13/14/46/1100131446.db2.gz BDHDCSWXEYZBGD-UHFFFAOYSA-N 0 1 317.392 3.314 20 30 DGEDMN Cn1cc(CN2CCc3cc(O)ccc3C2)c2cc(C#N)ccc21 ZINC001237464168 1100131452 /nfs/dbraw/zinc/13/14/52/1100131452.db2.gz BDHDCSWXEYZBGD-UHFFFAOYSA-N 0 1 317.392 3.314 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H](Sc3ccncc3)C2)ccn1 ZINC001237470705 1100135567 /nfs/dbraw/zinc/13/55/67/1100135567.db2.gz URPXTICXHLIJRM-QGZVFWFLSA-N 0 1 310.426 3.105 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCC[N@H+](Cc1c(C)cccc1O)C2 ZINC001237488513 1100141458 /nfs/dbraw/zinc/14/14/58/1100141458.db2.gz MPEPTAPJIXFPMS-VQIMIIECSA-N 0 1 317.429 3.027 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189893939 1100155893 /nfs/dbraw/zinc/15/58/93/1100155893.db2.gz RCSZGFICYLZYGZ-KGLIPLIRSA-N 0 1 319.474 3.089 20 30 DGEDMN CC/C(C)=C/C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001190025798 1100184505 /nfs/dbraw/zinc/18/45/05/1100184505.db2.gz VUHFLGZXDPGDDL-KADHNRKRSA-N 0 1 317.458 3.009 20 30 DGEDMN CC[C@H](C)CN1CC[C@H]2C[C@]21C(=O)Nc1cc(C)ccc1C#N ZINC001278124843 1100197759 /nfs/dbraw/zinc/19/77/59/1100197759.db2.gz KCSVSFCZMYBSRZ-IYJAJMOOSA-N 0 1 311.429 3.316 20 30 DGEDMN C=CCCCCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ocnc1C)C2 ZINC001110421901 1100199486 /nfs/dbraw/zinc/19/94/86/1100199486.db2.gz PQEWZLQSBNQRQD-OAGGEKHMSA-N 0 1 317.433 3.065 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001190354501 1100253448 /nfs/dbraw/zinc/25/34/48/1100253448.db2.gz KRLMGVZRRZEFTK-AWEZNQCLSA-N 0 1 318.383 3.240 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](CCCC)C(C)C)[C@@H]2C1 ZINC001190394343 1100258435 /nfs/dbraw/zinc/25/84/35/1100258435.db2.gz NTXFWHNCMDXFGA-OKZBNKHCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccc2C)C1 ZINC001190398534 1100259260 /nfs/dbraw/zinc/25/92/60/1100259260.db2.gz XAFWLSWVPVRAHD-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001190398534 1100259266 /nfs/dbraw/zinc/25/92/66/1100259266.db2.gz XAFWLSWVPVRAHD-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]([N@@H+](C)Cc2ncccc2C)C1 ZINC001190398533 1100260079 /nfs/dbraw/zinc/26/00/79/1100260079.db2.gz XAFWLSWVPVRAHD-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC001190398533 1100260089 /nfs/dbraw/zinc/26/00/89/1100260089.db2.gz XAFWLSWVPVRAHD-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2ccnc(Cl)c2)C1 ZINC001190423212 1100270859 /nfs/dbraw/zinc/27/08/59/1100270859.db2.gz OENWSUQVXOXYFW-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN COc1cc(C(=O)Nc2ccsc2C#N)cc(Cl)c1O ZINC001191129917 1100403561 /nfs/dbraw/zinc/40/35/61/1100403561.db2.gz ZPNWHWIAWKXGJL-UHFFFAOYSA-N 0 1 308.746 3.240 20 30 DGEDMN COc1cc(C(=O)Nc2[nH]c(C)c(C)c2C#N)cc(Cl)c1O ZINC001191129605 1100409556 /nfs/dbraw/zinc/40/95/56/1100409556.db2.gz QJMBOCGUAHNJEY-UHFFFAOYSA-N 0 1 319.748 3.123 20 30 DGEDMN C=C(Br)CNCCNC(=O)C(C)(C)CC(C)(C)C ZINC001151938973 1100417380 /nfs/dbraw/zinc/41/73/80/1100417380.db2.gz WYKGSQVQZALSAA-UHFFFAOYSA-N 0 1 319.287 3.063 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(CCCC)cc2)C1 ZINC001191226478 1100434733 /nfs/dbraw/zinc/43/47/33/1100434733.db2.gz JMEZAMFUPMFHSP-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)ccc2C2CC2)C1 ZINC001191500069 1100482465 /nfs/dbraw/zinc/48/24/65/1100482465.db2.gz NJKUVWWFHFOKQX-QGZVFWFLSA-N 0 1 310.441 3.042 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191684390 1100505179 /nfs/dbraw/zinc/50/51/79/1100505179.db2.gz QLFJTZGXHCFRJU-LJQANCHMSA-N 0 1 324.468 3.173 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(C)ccc2OCC)c1 ZINC001191820759 1100527865 /nfs/dbraw/zinc/52/78/65/1100527865.db2.gz FSSZMVJFPCBTPU-UHFFFAOYSA-N 0 1 315.394 3.176 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC001191868614 1100536423 /nfs/dbraw/zinc/53/64/23/1100536423.db2.gz WUMYKOGCLIWUIK-IRXDYDNUSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC001191867880 1100537453 /nfs/dbraw/zinc/53/74/53/1100537453.db2.gz KLLBQZMSMKCEBN-QAPCUYQASA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCCCCNC(=O)N1CCNC[C@@H]1c1ccccc1 ZINC001239268606 1100616357 /nfs/dbraw/zinc/61/63/57/1100616357.db2.gz HTARBCBZBLJFIM-QGZVFWFLSA-N 0 1 303.450 3.313 20 30 DGEDMN CCCCCCC[C@H](C)NC(=O)N1CC[C@@H](N)C(F)(F)C1 ZINC001239288254 1100622243 /nfs/dbraw/zinc/62/22/43/1100622243.db2.gz KYSVBYHIBSCBEL-QWHCGFSZSA-N 0 1 305.413 3.113 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(F)c3ccccc23)C1 ZINC001192464730 1100626031 /nfs/dbraw/zinc/62/60/31/1100626031.db2.gz STOSQFGFKXGKOD-HNNXBMFYSA-N 0 1 324.399 3.149 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)c1cnc(C(F)F)cn1 ZINC001192558860 1100633639 /nfs/dbraw/zinc/63/36/39/1100633639.db2.gz XLNFEVVSIVLMFR-UHFFFAOYSA-N 0 1 314.251 3.284 20 30 DGEDMN CCCCCCCNC(=S)N[C@@H]1CNCc2ccsc21 ZINC001239367215 1100644776 /nfs/dbraw/zinc/64/47/76/1100644776.db2.gz KVGFQMDEOBBNPE-CYBMUJFWSA-N 0 1 311.520 3.327 20 30 DGEDMN CC(C)Oc1cc(NS(=O)(=O)CC#N)c(Cl)cc1Cl ZINC001192982559 1100683895 /nfs/dbraw/zinc/68/38/95/1100683895.db2.gz SNTDYPSHETXLGN-UHFFFAOYSA-N 0 1 323.201 3.046 20 30 DGEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2c(c1)oc1ccccc12 ZINC001193146664 1100712561 /nfs/dbraw/zinc/71/25/61/1100712561.db2.gz QCGALEGLGOSRMQ-SNVBAGLBSA-N 0 1 300.339 3.240 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001193340156 1100729282 /nfs/dbraw/zinc/72/92/82/1100729282.db2.gz ZNNXHTNNVOJBOI-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001193365884 1100734251 /nfs/dbraw/zinc/73/42/51/1100734251.db2.gz GQLSXYNJGNCQSX-QWHCGFSZSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(C)cc1 ZINC001110459538 1100745526 /nfs/dbraw/zinc/74/55/26/1100745526.db2.gz PSFALHFAIHWQBC-AQNXPRMDSA-N 0 1 324.468 3.410 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccnc(Cl)c2Cl)ccc1O ZINC001193497834 1100752974 /nfs/dbraw/zinc/75/29/74/1100752974.db2.gz BNQQYYLUHSLSEZ-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccccc2-c2cnco2)ccc1O ZINC001193505147 1100757526 /nfs/dbraw/zinc/75/75/26/1100757526.db2.gz JSVKIDADJFBPMG-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN Cc1cc(C)cc(OC[C@@H](C)NC(=O)c2ccc(O)c(C#N)c2)c1 ZINC001193513005 1100759278 /nfs/dbraw/zinc/75/92/78/1100759278.db2.gz WQVKGSFBWHXISR-CQSZACIVSA-N 0 1 324.380 3.078 20 30 DGEDMN N#Cc1c(F)ccc(NC(=O)c2cc(O)c(O)c(Cl)c2)c1F ZINC001193624665 1100774285 /nfs/dbraw/zinc/77/42/85/1100774285.db2.gz IVFKUHMZWTUQBK-UHFFFAOYSA-N 0 1 324.670 3.153 20 30 DGEDMN N#Cc1cccc(C(=O)N2CCC[C@H](Cc3ccccc3)C2)c1O ZINC001193644172 1100780041 /nfs/dbraw/zinc/78/00/41/1100780041.db2.gz RHVTYRPAECQCKM-MRXNPFEDSA-N 0 1 320.392 3.359 20 30 DGEDMN CC[C@H]1CCN(CC(=O)Nc2sc(C)c(C)c2C#N)[C@@H]1C ZINC001193604796 1100782074 /nfs/dbraw/zinc/78/20/74/1100782074.db2.gz HFXPBDAHQBVFDX-YPMHNXCESA-N 0 1 305.447 3.296 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001193652842 1100785129 /nfs/dbraw/zinc/78/51/29/1100785129.db2.gz XQDLCUFXPKWNKJ-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C[C@@H](NC(=O)c1cccc(C#N)c1O)c1cc(F)cc(F)c1 ZINC001193657770 1100785801 /nfs/dbraw/zinc/78/58/01/1100785801.db2.gz FDVBXINDRQSAHG-SECBINFHSA-N 0 1 302.280 3.033 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1cccc(C#N)c1O ZINC001193659743 1100786106 /nfs/dbraw/zinc/78/61/06/1100786106.db2.gz QAZZCHZVMMWESY-ZDUSSCGKSA-N 0 1 322.364 3.320 20 30 DGEDMN CC(C)CNC(=O)c1ccc(-c2nccc3[nH]cc(C#N)c32)cc1 ZINC001239911557 1100795257 /nfs/dbraw/zinc/79/52/57/1100795257.db2.gz GPJAMICGXTZQDX-UHFFFAOYSA-N 0 1 318.380 3.487 20 30 DGEDMN C[C@H](NC(=O)c1ccc(F)c(O)c1F)c1cccc(C#N)c1 ZINC001193794074 1100804989 /nfs/dbraw/zinc/80/49/89/1100804989.db2.gz WYADUFQFYHATBO-VIFPVBQESA-N 0 1 302.280 3.033 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001193866206 1100832095 /nfs/dbraw/zinc/83/20/95/1100832095.db2.gz YCIRGRUZXDQXCQ-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCC2CCCCC2)C1 ZINC001193872600 1100833326 /nfs/dbraw/zinc/83/33/26/1100833326.db2.gz CDSRACRRDOYMPV-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](CC)CCCCC)C1 ZINC001194182636 1100884852 /nfs/dbraw/zinc/88/48/52/1100884852.db2.gz BYVPOBXTCBUDTN-ZWKOTPCHSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C[C@H](C(=O)N1CC[C@@H](N(C)C/C=C\Cl)C1)c1ccccc1 ZINC001194184068 1100886369 /nfs/dbraw/zinc/88/63/69/1100886369.db2.gz YETQDRVLNOIZOV-YZBXERDGSA-N 0 1 318.848 3.241 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2sccc2C(C)C)C1 ZINC001194201038 1100891801 /nfs/dbraw/zinc/89/18/01/1100891801.db2.gz QVYFGDFPLDRMRT-CQSZACIVSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCOC(=O)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001194311503 1100910635 /nfs/dbraw/zinc/91/06/35/1100910635.db2.gz PQDYPMVIOJVHHF-KRWDZBQOSA-N 0 1 300.402 3.004 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2ccccc2Cl)CC1 ZINC001194334467 1100925206 /nfs/dbraw/zinc/92/52/06/1100925206.db2.gz MZNDTHFEHJKLNY-UHFFFAOYSA-N 0 1 308.809 3.217 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2cc(C)ccc2F)CC1 ZINC001194343220 1100927611 /nfs/dbraw/zinc/92/76/11/1100927611.db2.gz LBPYMJGYHFYVBR-UHFFFAOYSA-N 0 1 306.381 3.011 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001194547111 1100962763 /nfs/dbraw/zinc/96/27/63/1100962763.db2.gz WGSRCUDPQVFGSJ-SFHVURJKSA-N 0 1 322.408 3.116 20 30 DGEDMN COc1ccnc(C2=CCCN(Cc3ccccc3)C2)c1C#N ZINC001240384697 1100969019 /nfs/dbraw/zinc/96/90/19/1100969019.db2.gz PMPVFCWZYTVHHK-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN CCCCCCCN1CCCN(C(=O)CCCC(C)=O)CC1 ZINC001194863395 1101024803 /nfs/dbraw/zinc/02/48/03/1101024803.db2.gz KZWFNZVXHQAXQK-UHFFFAOYSA-N 0 1 310.482 3.250 20 30 DGEDMN Cc1ncc(C#N)cc1NS(=O)(=O)c1cccc(C(C)C)c1 ZINC001195121077 1101076834 /nfs/dbraw/zinc/07/68/34/1101076834.db2.gz ZVPIBYRKERDYEY-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN CC[C@@H](C)OC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001195136660 1101080369 /nfs/dbraw/zinc/08/03/69/1101080369.db2.gz HTDWWEIHLXHXRI-CQSZACIVSA-N 0 1 315.417 3.047 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCO[C@@H]2CCCC[C@@H]2C)CC1 ZINC001195182864 1101084644 /nfs/dbraw/zinc/08/46/44/1101084644.db2.gz XXZVUWHVOOKPSB-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2cc(F)ccc2C)CC1 ZINC001195191313 1101087240 /nfs/dbraw/zinc/08/72/40/1101087240.db2.gz HODNDMWBCYAMIU-UHFFFAOYSA-N 0 1 304.409 3.135 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](CC2=CCCCC2)CC1 ZINC001195173557 1101092633 /nfs/dbraw/zinc/09/26/33/1101092633.db2.gz BRLFJYJFFGKPMI-QGZVFWFLSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC001195231433 1101094955 /nfs/dbraw/zinc/09/49/55/1101094955.db2.gz AXVJXJPENWRJDK-GOSISDBHSA-N 0 1 316.420 3.314 20 30 DGEDMN C#CCCCC(=O)N1CCCN([C@H](C)c2cccc(F)c2)CC1 ZINC001195645536 1101169587 /nfs/dbraw/zinc/16/95/87/1101169587.db2.gz UGNKYLJSPPCRBY-MRXNPFEDSA-N 0 1 316.420 3.225 20 30 DGEDMN CC1(C)CN(C(=O)c2ccc(C#N)cc2O)Cc2ccccc21 ZINC001195751644 1101198757 /nfs/dbraw/zinc/19/87/57/1101198757.db2.gz WMCLIAQQORVGGY-UHFFFAOYSA-N 0 1 306.365 3.197 20 30 DGEDMN COc1cc2cc(C#N)c(-c3ccccc3O)nc2cc1OC ZINC001241003465 1101218064 /nfs/dbraw/zinc/21/80/64/1101218064.db2.gz ONAYBWVKJCZPQG-UHFFFAOYSA-N 0 1 306.321 3.496 20 30 DGEDMN N#Cc1ccc(N2CC[C@@H](N3CCC(C4CCC4)CC3)C2=O)cc1 ZINC001195946126 1101230028 /nfs/dbraw/zinc/23/00/28/1101230028.db2.gz HONIZOBLHLKJSK-LJQANCHMSA-N 0 1 323.440 3.176 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cc(Cl)ccc2C#N)ccc1F ZINC001196639957 1101355526 /nfs/dbraw/zinc/35/55/26/1101355526.db2.gz XRODGHZKCWUHMF-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CC#CCCCC(=O)N1CCCN(C/C=C/c2ccccc2)CC1 ZINC001196846896 1101406331 /nfs/dbraw/zinc/40/63/31/1101406331.db2.gz OZVYSMDULJCYTA-UKTHLTGXSA-N 0 1 324.468 3.428 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(C#N)cc3OC)cc2[nH]1 ZINC001241408725 1101438766 /nfs/dbraw/zinc/43/87/66/1101438766.db2.gz ITVCKKVKXZOSLE-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=S)Nc1ccc(Cl)cc1 ZINC001197355931 1101540464 /nfs/dbraw/zinc/54/04/64/1101540464.db2.gz XNYTYNVETDTVOT-AWEZNQCLSA-N 0 1 309.866 3.277 20 30 DGEDMN CCOC(=O)c1cc(C#N)c(C)nc1-c1ccc2n[nH]c(C)c2c1 ZINC001241666448 1101620359 /nfs/dbraw/zinc/62/03/59/1101620359.db2.gz YKYYQSYFGVENHL-UHFFFAOYSA-N 0 1 320.352 3.290 20 30 DGEDMN COC(=O)c1ccc(-c2ccc3n[nH]c(C)c3c2)cc1CC#N ZINC001241670598 1101623830 /nfs/dbraw/zinc/62/38/30/1101623830.db2.gz MDVRNQQKNNQWET-UHFFFAOYSA-N 0 1 305.337 3.391 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](CC)CC(F)(F)F)CC1 ZINC001197849939 1101676535 /nfs/dbraw/zinc/67/65/35/1101676535.db2.gz OVVOAVFZIDJZLQ-CYBMUJFWSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCCCCCCC)CC1 ZINC001197928900 1101702905 /nfs/dbraw/zinc/70/29/05/1101702905.db2.gz ZLSRWESOXHOKFH-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN CCN(Cc1ccc(C)cc1Cl)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152413316 1101761159 /nfs/dbraw/zinc/76/11/59/1101761159.db2.gz MFXKORMSTINXED-ZIAGYGMSSA-N 0 1 321.852 3.135 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)N1CCCN(CC=C)CC1 ZINC001198197380 1101785604 /nfs/dbraw/zinc/78/56/04/1101785604.db2.gz MHTSLFHFGFWASV-IBGZPJMESA-N 0 1 312.457 3.142 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)CCCCc2ccc(C)cc2)CC1 ZINC001198337090 1101826405 /nfs/dbraw/zinc/82/64/05/1101826405.db2.gz LTYSELIROBAEMA-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCCc2ccc(C)cc2)CC1 ZINC001198337090 1101826423 /nfs/dbraw/zinc/82/64/23/1101826423.db2.gz LTYSELIROBAEMA-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(CCc3ccccc3)CC2)CC1 ZINC001198434147 1101850208 /nfs/dbraw/zinc/85/02/08/1101850208.db2.gz WZVARILQKIUOKT-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN Cc1ccc2ccc(F)c(-c3cc4nc[nH]c4c(C#N)n3)c2n1 ZINC001242049870 1101880278 /nfs/dbraw/zinc/88/02/78/1101880278.db2.gz FDDAQDWGSUBKIN-UHFFFAOYSA-N 0 1 303.300 3.492 20 30 DGEDMN C=CCN[C@H](CNC(=O)c1cccc(C)c1)c1ccccc1OC ZINC001198508743 1101899052 /nfs/dbraw/zinc/89/90/52/1101899052.db2.gz PYCQRHYNECQSCM-GOSISDBHSA-N 0 1 324.424 3.250 20 30 DGEDMN COc1nc(C)nc(-c2ccc(O)c(C(F)(F)F)c2)c1C#N ZINC001242151420 1101943423 /nfs/dbraw/zinc/94/34/23/1101943423.db2.gz NGOJHMKXYLVEAE-UHFFFAOYSA-N 0 1 309.247 3.057 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C[C@H](C)CC)c1ccccc1OC ZINC001198695046 1101947503 /nfs/dbraw/zinc/94/75/03/1101947503.db2.gz NJXMDVUZJSBXOL-WBVHZDCISA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H](C)C1CCC1)c1ccccc1OC ZINC001198766400 1101981238 /nfs/dbraw/zinc/98/12/38/1101981238.db2.gz BLCATRLREGTXOQ-PBHICJAKSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(C)C(=C)C)c1ccccc1OC ZINC001198828374 1101988164 /nfs/dbraw/zinc/98/81/64/1101988164.db2.gz XCOCLWMWPILUPB-INIZCTEOSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC[C@@H](C)CC)c1ccccc1OC ZINC001198939387 1102030009 /nfs/dbraw/zinc/03/00/09/1102030009.db2.gz LPKSKSPCTCSEKC-DOTOQJQBSA-N 0 1 318.461 3.454 20 30 DGEDMN COc1cccc(-c2nc[nH]c3nc(=S)sc2-3)c1C#N ZINC001242298721 1102038027 /nfs/dbraw/zinc/03/80/27/1102038027.db2.gz QVEMSADTNGJGRG-UHFFFAOYSA-N 0 1 300.368 3.248 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](CC)CCC)c1ccccc1OC ZINC001198944953 1102039647 /nfs/dbraw/zinc/03/96/47/1102039647.db2.gz IXMAFAMRKGCJDI-NVXWUHKLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC(CC)CC)c1ccccc1OC ZINC001198945361 1102039765 /nfs/dbraw/zinc/03/97/65/1102039765.db2.gz MHDGAHUWPWLINH-QGZVFWFLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1coc2ccccc21 ZINC001273778406 1102045826 /nfs/dbraw/zinc/04/58/26/1102045826.db2.gz HNMSCWSFJWLHNC-UHFFFAOYSA-N 0 1 306.793 3.237 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3ccc(F)cc3C#N)cnc2[nH]1 ZINC001242585543 1102226630 /nfs/dbraw/zinc/22/66/30/1102226630.db2.gz WQAUNAQWMGHDFF-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CCCC#CC)C2 ZINC001273841168 1102370515 /nfs/dbraw/zinc/37/05/15/1102370515.db2.gz RVUCKEFEFPZXHP-GOSISDBHSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CCCC#CC)C2 ZINC001273841171 1102370603 /nfs/dbraw/zinc/37/06/03/1102370603.db2.gz RVUCKEFEFPZXHP-SFHVURJKSA-N 0 1 322.880 3.247 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2cccc(O)c2F)nc1C#N ZINC001243546491 1102796839 /nfs/dbraw/zinc/79/68/39/1102796839.db2.gz OBFKBPDDXOVMEK-UHFFFAOYSA-N 0 1 316.295 3.399 20 30 DGEDMN COC(=O)c1ccc(-c2ccc(C(F)(F)F)cc2O)c(C#N)n1 ZINC001243611644 1102852249 /nfs/dbraw/zinc/85/22/49/1102852249.db2.gz LBBALDFPUXIWBN-UHFFFAOYSA-N 0 1 322.242 3.131 20 30 DGEDMN CCCn1cc(CNCc2ccc(C#N)c(Cl)c2)c(C)n1 ZINC001202150725 1102880817 /nfs/dbraw/zinc/88/08/17/1102880817.db2.gz KZAHURZDZHXHHF-UHFFFAOYSA-N 0 1 302.809 3.416 20 30 DGEDMN CCC(=CC(=O)NC/C=C\CNCc1ccc(C#N)s1)CC ZINC001273947646 1102994845 /nfs/dbraw/zinc/99/48/45/1102994845.db2.gz OFKCMGRLRPOZBD-WAYWQWQTSA-N 0 1 317.458 3.128 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)CC1CCCC1)C2 ZINC001111094164 1103043781 /nfs/dbraw/zinc/04/37/81/1103043781.db2.gz PQKRVQVNMXHLLY-MKXGPGLRSA-N 0 1 316.489 3.338 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)c1ccccc1 ZINC001488687777 1103108873 /nfs/dbraw/zinc/10/88/73/1103108873.db2.gz GARRMCCVHQACGS-QGZVFWFLSA-N 0 1 300.446 3.073 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)CCC=C(C)C ZINC001488663297 1103120504 /nfs/dbraw/zinc/12/05/04/1103120504.db2.gz OHBDUBSONVIAGP-ROUUACIJSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C[C@H](COC)[NH2+][C@@H](CC)c1cc(Br)ccc1[O-] ZINC001325786921 1103124236 /nfs/dbraw/zinc/12/42/36/1103124236.db2.gz XYSHKZBVCRDCMI-YPMHNXCESA-N 0 1 314.223 3.396 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2C[N@@H+](Cc3ccsc3)C[C@H]21 ZINC001325931613 1103166266 /nfs/dbraw/zinc/16/62/66/1103166266.db2.gz GKGOWSYUPLPLBG-JKSUJKDBSA-N 0 1 304.459 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)ccc1C1CC1)C2 ZINC001095810255 1103212375 /nfs/dbraw/zinc/21/23/75/1103212375.db2.gz OKWBIIJIZCOROY-MNEFBYGVSA-N 0 1 310.441 3.394 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C[C@@H]1C ZINC001278377385 1103237711 /nfs/dbraw/zinc/23/77/11/1103237711.db2.gz FNLHTOFJLJTFQO-XJKSGUPXSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CC(C)C)C1 ZINC001489096997 1103267536 /nfs/dbraw/zinc/26/75/36/1103267536.db2.gz TXWHDHUUADXNBW-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2[C@H]3CN(C[C@@H](F)CC)C[C@H]32)CCCCC1 ZINC001114965581 1103287325 /nfs/dbraw/zinc/28/73/25/1103287325.db2.gz MUHXWAPSWSPCHK-LISAXSMJSA-N 0 1 322.468 3.308 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)(CC)CC ZINC001326490840 1103338704 /nfs/dbraw/zinc/33/87/04/1103338704.db2.gz GNMXKNBSXCKIHV-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)c1ccc(C)o1 ZINC001326494014 1103340361 /nfs/dbraw/zinc/34/03/61/1103340361.db2.gz LSCIWCBRLXAMRM-INIZCTEOSA-N 0 1 324.424 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001489288800 1103341130 /nfs/dbraw/zinc/34/11/30/1103341130.db2.gz MUCZFEOMEYRQPJ-KEYYUXOJSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C(C)(C)Cc2ccccc2)[C@H]1C ZINC001489300026 1103343685 /nfs/dbraw/zinc/34/36/85/1103343685.db2.gz GIEUWAZHKVDYPA-AEFFLSMTSA-N 0 1 314.473 3.410 20 30 DGEDMN C/C(=C\C(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CC1 ZINC001326785514 1103442001 /nfs/dbraw/zinc/44/20/01/1103442001.db2.gz LXWWIKRZDNLJJC-BMRADRMJSA-N 0 1 322.452 3.023 20 30 DGEDMN COc1cnc(-c2cc(OC(F)(F)F)ccc2O)c(C#N)c1 ZINC001244457259 1103452651 /nfs/dbraw/zinc/45/26/51/1103452651.db2.gz YCVCIHGOUNNSTJ-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN CCCC(C)(C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001490241454 1103522489 /nfs/dbraw/zinc/52/24/89/1103522489.db2.gz RRBSPBPYEFPOTI-UHFFFAOYSA-N 0 1 321.490 3.384 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccns2)CC1 ZINC001327143546 1103547587 /nfs/dbraw/zinc/54/75/87/1103547587.db2.gz RSMKYKDUAPUSJI-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001327143546 1103547592 /nfs/dbraw/zinc/54/75/92/1103547592.db2.gz RSMKYKDUAPUSJI-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001327154865 1103558033 /nfs/dbraw/zinc/55/80/33/1103558033.db2.gz ANZRWTXCLOIOJW-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H](C)c2ccccc2F)C1 ZINC001490431580 1103624579 /nfs/dbraw/zinc/62/45/79/1103624579.db2.gz VWCSVHVPIHPVEP-HUUCEWRRSA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001490537209 1103640451 /nfs/dbraw/zinc/64/04/51/1103640451.db2.gz JDZTVRROPGPWFG-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CCC)CC1CCCC1 ZINC001114925696 1103641261 /nfs/dbraw/zinc/64/12/61/1103641261.db2.gz YKRXMIUQASNXQY-INDMIFKZSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C(C)=C1CCC1 ZINC001327506749 1103665001 /nfs/dbraw/zinc/66/50/01/1103665001.db2.gz HUDBRZQUDQKDRU-FQEVSTJZSA-N 0 1 322.452 3.053 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2c2ccccc2)CC1 ZINC001490622858 1103684005 /nfs/dbraw/zinc/68/40/05/1103684005.db2.gz YIAKIJNKNBKFAH-VQTJNVASSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCCC(=O)NCc1ccc2c(c1)CN(C[C@H](CC)OC)C2 ZINC001327681821 1103703865 /nfs/dbraw/zinc/70/38/65/1103703865.db2.gz AOWZKZKUSAUHTK-SFHVURJKSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccoc1CCC)C1CC1 ZINC001490727969 1103705202 /nfs/dbraw/zinc/70/52/02/1103705202.db2.gz HJSTXQNWEMQESM-CQSZACIVSA-N 0 1 310.825 3.083 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](C)C(C)(F)F)cc2C1 ZINC001327695888 1103710030 /nfs/dbraw/zinc/71/00/30/1103710030.db2.gz KQTNFRNSFLIQTM-CYBMUJFWSA-N 0 1 322.399 3.486 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)Cc1ccccc1)C1CC1 ZINC001490742246 1103715200 /nfs/dbraw/zinc/71/52/00/1103715200.db2.gz NPISKXBPEUUHNB-DYVFJYSZSA-N 0 1 320.864 3.102 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(F)ccc(C)c1F)C2 ZINC001095966181 1103716296 /nfs/dbraw/zinc/71/62/96/1103716296.db2.gz KXJHTXSJLLSWAZ-SNPRPXQTSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCC(C)C)C1 ZINC001490752798 1103721952 /nfs/dbraw/zinc/72/19/52/1103721952.db2.gz RVBMLEXJTYQWOF-OAHLLOKOSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN(C)Cc2cncs2)C1 ZINC001490758972 1103727841 /nfs/dbraw/zinc/72/78/41/1103727841.db2.gz ODTRWWSBOOIIKY-HNNXBMFYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cccn2CC)C1 ZINC001490777866 1103740564 /nfs/dbraw/zinc/74/05/64/1103740564.db2.gz TZJVKHSRQLVIMR-HNNXBMFYSA-N 0 1 323.868 3.045 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc([C@@H](C)CC)no1)C2 ZINC001096121493 1103760291 /nfs/dbraw/zinc/76/02/91/1103760291.db2.gz AAYFJPHUNMXMAL-XRGAULLZSA-N 0 1 317.433 3.099 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)C[C@@H]1c1ccccc1)C2 ZINC001096139158 1103765690 /nfs/dbraw/zinc/76/56/90/1103765690.db2.gz PXAQZAPCPVIXSN-JGLNRKDHSA-N 0 1 310.441 3.088 20 30 DGEDMN CCc1cc(-c2ccc3cc(C(=O)OC)nc-3[nH]2)ccc1C#N ZINC001244950495 1103777118 /nfs/dbraw/zinc/77/71/18/1103777118.db2.gz JZERUIQNPAKFJP-UHFFFAOYSA-N 0 1 305.337 3.451 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]c3ccc(C)cc3c1C)C2 ZINC001096268288 1103792936 /nfs/dbraw/zinc/79/29/36/1103792936.db2.gz QNAQABHXQKFWBJ-JLSDUUJJSA-N 0 1 323.440 3.306 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(F)cccc1Cl)C2 ZINC001096407518 1103825562 /nfs/dbraw/zinc/82/55/62/1103825562.db2.gz OWSNVIMELJQSCT-SWHYSGLUSA-N 0 1 308.784 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccsc1C(F)F)C2 ZINC001096445622 1103836008 /nfs/dbraw/zinc/83/60/08/1103836008.db2.gz NIWUXHDAPRYIBZ-USWWRNFRSA-N 0 1 312.385 3.207 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)cc1Cl)C2 ZINC001096475631 1103843204 /nfs/dbraw/zinc/84/32/04/1103843204.db2.gz JQCVGLPVKSPQQZ-UGFHNGPFSA-N 0 1 308.784 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C13CC(c4ccccc4)(C1)C3)C2 ZINC001096625445 1103886981 /nfs/dbraw/zinc/88/69/81/1103886981.db2.gz AQTONBLKGHBDRX-DKTSFMOISA-N 0 1 322.452 3.016 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001111435634 1103901140 /nfs/dbraw/zinc/90/11/40/1103901140.db2.gz WKMLMCLIFPRFAB-MLHJIOFPSA-N 0 1 324.509 3.087 20 30 DGEDMN CC(C)n1cc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001137080733 1103961315 /nfs/dbraw/zinc/96/13/15/1103961315.db2.gz QRKMPWPHPGIOBQ-IBGZPJMESA-N 0 1 324.428 3.379 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1ccc3nccnc3c1)C2 ZINC001137084602 1103967083 /nfs/dbraw/zinc/96/70/83/1103967083.db2.gz WCKFIYJMTUOBQL-UHFFFAOYSA-N 0 1 300.365 3.060 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)C(C)(C)CCC)CC2)C1 ZINC001328491264 1103967261 /nfs/dbraw/zinc/96/72/61/1103967261.db2.gz ASQDFFFTILDCGG-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001153970618 1103974461 /nfs/dbraw/zinc/97/44/61/1103974461.db2.gz SZJKNPLUZNQJJL-UHFFFAOYSA-N 0 1 304.821 3.326 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1sc(C)nc1C ZINC001328708318 1104032178 /nfs/dbraw/zinc/03/21/78/1104032178.db2.gz XNDPYCFJRVZLNW-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN N#Cc1c[nH]c2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc12 ZINC001245426473 1104047454 /nfs/dbraw/zinc/04/74/54/1104047454.db2.gz SEVQBSCIGXDMHV-QGZVFWFLSA-N 0 1 307.397 3.308 20 30 DGEDMN N#CCc1c(F)cccc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245428626 1104050122 /nfs/dbraw/zinc/05/01/22/1104050122.db2.gz PXDPAUAJFGTEAZ-OAHLLOKOSA-N 0 1 300.377 3.160 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001328751725 1104055365 /nfs/dbraw/zinc/05/53/65/1104055365.db2.gz AIEJPBQTFRJOIM-QGZVFWFLSA-N 0 1 323.440 3.036 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)Cc1ccc(C2CCC2)cc1 ZINC001328752284 1104056025 /nfs/dbraw/zinc/05/60/25/1104056025.db2.gz HFCXODTWQFJUOO-HXUWFJFHSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1oc2ccccc2c1C ZINC001328785865 1104070143 /nfs/dbraw/zinc/07/01/43/1104070143.db2.gz LBYYHSOEUVLRFZ-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC001329048018 1104135844 /nfs/dbraw/zinc/13/58/44/1104135844.db2.gz LLEPJRCANSBBGC-OLZOCXBDSA-N 0 1 309.479 3.037 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2cn(C)nc2C)cc(OC)c1O ZINC001649166576 1104153040 /nfs/dbraw/zinc/15/30/40/1104153040.db2.gz VFDQKFROYVKUPL-LBPRGKRZSA-N 0 1 315.417 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc2c1ccn2C ZINC001329146717 1104168882 /nfs/dbraw/zinc/16/88/82/1104168882.db2.gz LNZMNIUJZRBBKJ-CHWSQXEVSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1sccc1CC ZINC001329203816 1104188544 /nfs/dbraw/zinc/18/85/44/1104188544.db2.gz VXLBIXVZYNGWBH-QWRGUYRKSA-N 0 1 300.855 3.159 20 30 DGEDMN CC(C)CCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001329374186 1104235635 /nfs/dbraw/zinc/23/56/35/1104235635.db2.gz AMAKFILKTZFWRP-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1cccc(F)c1F ZINC001274069759 1104239085 /nfs/dbraw/zinc/23/90/85/1104239085.db2.gz XZXOHGUNTJAFPF-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1cccc(F)c1F ZINC001274069758 1104239692 /nfs/dbraw/zinc/23/96/92/1104239692.db2.gz XZXOHGUNTJAFPF-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(F)c(F)c1C ZINC001274175207 1104275727 /nfs/dbraw/zinc/27/57/27/1104275727.db2.gz ZJIIORQADGYFFE-HZPDHXFCSA-N 0 1 320.383 3.025 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001329532885 1104304534 /nfs/dbraw/zinc/30/45/34/1104304534.db2.gz RXKHNBJJMIANNP-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN CC(=O)Nc1ccc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)cc1 ZINC001245932097 1104319658 /nfs/dbraw/zinc/31/96/58/1104319658.db2.gz BWJATEFKKBBSOH-UHFFFAOYSA-N 0 1 318.336 3.075 20 30 DGEDMN COc1cc(-n2[nH]c(-c3cccc(C#N)c3)cc2=O)ccc1F ZINC001245937054 1104323744 /nfs/dbraw/zinc/32/37/44/1104323744.db2.gz INOZMZKMNDGSLI-UHFFFAOYSA-N 0 1 309.300 3.264 20 30 DGEDMN COc1cccc(Cc2cc(=O)n(-c3ccccc3C#N)[nH]2)c1 ZINC001245939120 1104325538 /nfs/dbraw/zinc/32/55/38/1104325538.db2.gz COKMPHRTBIKAIW-UHFFFAOYSA-N 0 1 305.337 3.049 20 30 DGEDMN C#CCCc1cc(=O)n(-c2nc3c(cccc3Cl)s2)[nH]1 ZINC001245951693 1104339856 /nfs/dbraw/zinc/33/98/56/1104339856.db2.gz HHAMSPHNJMSQAR-UHFFFAOYSA-N 0 1 303.774 3.407 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)CC(C)(C)c1ccccc1 ZINC001274608640 1104419008 /nfs/dbraw/zinc/41/90/08/1104419008.db2.gz GVSRFMCWWYSMLS-FPLPWBNLSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(OCC(F)(F)F)c(F)c1 ZINC001320583993 1104421551 /nfs/dbraw/zinc/42/15/51/1104421551.db2.gz NWTJKQJBBWDFRD-NSHDSACASA-N 0 1 307.287 3.057 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@H](C)C2)CCC1 ZINC001274643668 1104433530 /nfs/dbraw/zinc/43/35/30/1104433530.db2.gz KYKCHQOMHYTMSM-JXSYMDBTSA-N 0 1 310.869 3.454 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCCc2ccccc2)C1 ZINC001330037870 1104459509 /nfs/dbraw/zinc/45/95/09/1104459509.db2.gz GMYTYEOMCWIFLC-MRXNPFEDSA-N 0 1 300.446 3.022 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)CC(C)C)C2)C1 ZINC001330146794 1104489344 /nfs/dbraw/zinc/48/93/44/1104489344.db2.gz BEJAHFNYTUQBJA-IBGZPJMESA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(C3CC3)cc2)C1=O ZINC001274816425 1104500805 /nfs/dbraw/zinc/50/08/05/1104500805.db2.gz LMRCAFJKHUZMAL-HXUWFJFHSA-N 0 1 310.441 3.317 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CN(C/C=C/c2ccccc2)CC1(C)C ZINC001330189764 1104503386 /nfs/dbraw/zinc/50/33/86/1104503386.db2.gz DOHPQMJNPYJWNH-UFUQCMIWSA-N 0 1 324.468 3.186 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1cccc(C)c1Cl ZINC001274843550 1104515191 /nfs/dbraw/zinc/51/51/91/1104515191.db2.gz SAMMIHUKEMWUEU-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001330649650 1104604287 /nfs/dbraw/zinc/60/42/87/1104604287.db2.gz AICRNQJVMRKBGX-OPSIHOIKSA-N 0 1 305.249 3.146 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H](C)CCCNCc1cc(F)ccc1C#N ZINC001330779332 1104642766 /nfs/dbraw/zinc/64/27/66/1104642766.db2.gz GPQXDLWZCMVJAA-HIICFIELSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCCC[C@H](NC(=O)NC[C@H]1CCN1C)c1ccccc1 ZINC001246654954 1104651223 /nfs/dbraw/zinc/65/12/23/1104651223.db2.gz SWHNDIWXUKSNJN-SJORKVTESA-N 0 1 301.434 3.087 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cc(OC)ccc1Cl ZINC001275167495 1104663168 /nfs/dbraw/zinc/66/31/68/1104663168.db2.gz CEPBJCUCOLVEFR-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCN[C@H](C)c1ncccn1 ZINC001330884649 1104669488 /nfs/dbraw/zinc/66/94/88/1104669488.db2.gz ZCZIZYYIGBQWGP-HUUCEWRRSA-N 0 1 318.465 3.014 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001275286474 1104706015 /nfs/dbraw/zinc/70/60/15/1104706015.db2.gz WGACNUJBBNTWRT-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1cccc(C#N)c1)[C@H]1CNC(=O)C1 ZINC001246887373 1104724629 /nfs/dbraw/zinc/72/46/29/1104724629.db2.gz MNRYLIICJSGXDX-HNAYVOBHSA-N 0 1 319.408 3.010 20 30 DGEDMN C[C@@H](NC(=O)CC(C)(C)C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001275358449 1104735384 /nfs/dbraw/zinc/73/53/84/1104735384.db2.gz WXDOBVUSCMINDD-QWHCGFSZSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@H](CC)CC(C)C ZINC001275399663 1104747087 /nfs/dbraw/zinc/74/70/87/1104747087.db2.gz NORXNRSUMQHFDI-QWHCGFSZSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)CC(C)(C)CC ZINC001275404738 1104749312 /nfs/dbraw/zinc/74/93/12/1104749312.db2.gz SBKAVOYJZWCSBT-RYUDHWBXSA-N 0 1 319.287 3.204 20 30 DGEDMN Cc1cccc(C(=O)N[C@@H](C)CNCc2ccccc2C#N)c1C ZINC001275427844 1104756507 /nfs/dbraw/zinc/75/65/07/1104756507.db2.gz LPGDPQIMBCFFKI-HNNXBMFYSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)sc1C ZINC001275441552 1104761336 /nfs/dbraw/zinc/76/13/36/1104761336.db2.gz DUZOVRQQEZBHMT-WDEREUQCSA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1cc(O)ccc1Cl ZINC001275481759 1104774294 /nfs/dbraw/zinc/77/42/94/1104774294.db2.gz NKEUDAZWJSWUNT-QWHCGFSZSA-N 0 1 324.852 3.385 20 30 DGEDMN C=CCNCc1nc2cc(OCCC)c(OCCC)cc2[nH]1 ZINC001247018329 1104779533 /nfs/dbraw/zinc/77/95/33/1104779533.db2.gz BHVPYPIWOWXXGW-UHFFFAOYSA-N 0 1 303.406 3.416 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1cccc(C(C)C)n1 ZINC001275780127 1104904681 /nfs/dbraw/zinc/90/46/81/1104904681.db2.gz BWVZGWXYABBXRW-OAHLLOKOSA-N 0 1 303.450 3.108 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC001275819450 1104921021 /nfs/dbraw/zinc/92/10/21/1104921021.db2.gz QJLNJRYNMMFTDV-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)[C@H]1CCN1C(C)(C)C ZINC001297409939 1104991189 /nfs/dbraw/zinc/99/11/89/1104991189.db2.gz MZKHHCXQLKQPGH-QGZVFWFLSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c(C)cccc1Cl)C2 ZINC001095687867 1105096478 /nfs/dbraw/zinc/09/64/78/1105096478.db2.gz WTUGOKXTKAWHQN-COXVUDFISA-N 0 1 318.848 3.098 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001110966892 1105128409 /nfs/dbraw/zinc/12/84/09/1105128409.db2.gz JZEUGBNHOHDKOS-OAGGEKHMSA-N 0 1 304.459 3.326 20 30 DGEDMN Cc1ccc2nc(CN(CCC#N)Cc3cccnc3)[nH]c2c1 ZINC001248839588 1105176304 /nfs/dbraw/zinc/17/63/04/1105176304.db2.gz PEQMSGJUNLUCDX-UHFFFAOYSA-N 0 1 305.385 3.182 20 30 DGEDMN Cc1ccc2nc(CN3CC(Oc4ccccc4C#N)C3)[nH]c2c1 ZINC001248844115 1105176706 /nfs/dbraw/zinc/17/67/06/1105176706.db2.gz OJIOCVNKTZMOEJ-UHFFFAOYSA-N 0 1 318.380 3.006 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2ncccc2C)[C@@H]1C ZINC001278510520 1105185724 /nfs/dbraw/zinc/18/57/24/1105185724.db2.gz MRVNZIMAJXCCMA-SJORKVTESA-N 0 1 315.461 3.215 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(Cl)ccc3OC)no2)C1 ZINC001249231747 1105252266 /nfs/dbraw/zinc/25/22/66/1105252266.db2.gz OKTJXLPFWBJRDB-HNNXBMFYSA-N 0 1 305.765 3.163 20 30 DGEDMN COc1ccc2nc(CN(C)Cc3cccc(C#N)c3)[nH]c2c1 ZINC001249415943 1105282986 /nfs/dbraw/zinc/28/29/86/1105282986.db2.gz ULPZHCSDWUOUPH-UHFFFAOYSA-N 0 1 306.369 3.075 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)C1 ZINC001249532995 1105307792 /nfs/dbraw/zinc/30/77/92/1105307792.db2.gz IOUPDFBCENPODP-LLVKDONJSA-N 0 1 323.318 3.307 20 30 DGEDMN Cc1csc(N=C2CCC(N3CCOCC3)CC2)c1C#N ZINC001249552734 1105310622 /nfs/dbraw/zinc/31/06/22/1105310622.db2.gz PHUCNIGCPFJIAH-AWEZNQCLSA-N 0 1 303.431 3.109 20 30 DGEDMN N#Cc1cccc(Cl)c1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249558102 1105313218 /nfs/dbraw/zinc/31/32/18/1105313218.db2.gz GPNMXNICWLOZCJ-HNNXBMFYSA-N 0 1 317.820 3.392 20 30 DGEDMN Cc1c(N)c(F)ccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001249630009 1105336664 /nfs/dbraw/zinc/33/66/64/1105336664.db2.gz NGQFUHNVMYNYII-UHFFFAOYSA-N 0 1 316.405 3.381 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc(C)c1C)C2 ZINC001097133131 1105351760 /nfs/dbraw/zinc/35/17/60/1105351760.db2.gz BKLGWBRUAQUZJR-BMFZPTHFSA-N 0 1 304.459 3.276 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3nccc(C)c3c1)C2 ZINC001097141025 1105352002 /nfs/dbraw/zinc/35/20/02/1105352002.db2.gz ZZUONOSUQBVGEL-LZQZEXGQSA-N 0 1 321.424 3.064 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2cccc(C#N)c2F)C[C@H]1C ZINC001249694169 1105357250 /nfs/dbraw/zinc/35/72/50/1105357250.db2.gz ZTWKBDMJJDPCJS-ZIAGYGMSSA-N 0 1 318.392 3.109 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2cccc(C#N)c2F)C[C@@H]1C ZINC001249694168 1105357370 /nfs/dbraw/zinc/35/73/70/1105357370.db2.gz ZTWKBDMJJDPCJS-UONOGXRCSA-N 0 1 318.392 3.109 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3ccccc3nc1C)C2 ZINC001097165390 1105362157 /nfs/dbraw/zinc/36/21/57/1105362157.db2.gz ZARJEYGYSYCXFA-LZQZEXGQSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CCc3ccccc3CC1)C2 ZINC001097393251 1105389231 /nfs/dbraw/zinc/38/92/31/1105389231.db2.gz GSFZBDYTUAFXPZ-AQNXPRMDSA-N 0 1 324.468 3.089 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)sc1C)C2 ZINC001097464348 1105395630 /nfs/dbraw/zinc/39/56/30/1105395630.db2.gz BYHFHAROHIPDII-BMFZPTHFSA-N 0 1 304.459 3.276 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccc(C(F)F)c1)C2 ZINC001097522810 1105406701 /nfs/dbraw/zinc/40/67/01/1105406701.db2.gz XGQRMPMFMWBYEQ-OAGGEKHMSA-N 0 1 320.383 3.074 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(C(F)F)cc1)C2 ZINC001097521776 1105407009 /nfs/dbraw/zinc/40/70/09/1105407009.db2.gz DYYBFAMCUPGADC-OAGGEKHMSA-N 0 1 320.383 3.074 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c3cccnc13)C2 ZINC001097560089 1105409023 /nfs/dbraw/zinc/40/90/23/1105409023.db2.gz FEZJXWPKWGMXAT-OLMNPRSZSA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccc(C3CC3)c1)C2 ZINC001097575845 1105409940 /nfs/dbraw/zinc/40/99/40/1105409940.db2.gz RRFGMJANCFINTN-QRVBRYPASA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3c(ccc(C)c3C)[nH]1)C2 ZINC001097639361 1105418265 /nfs/dbraw/zinc/41/82/65/1105418265.db2.gz ZYRCFYYXFMMGSQ-BJZITVGISA-N 0 1 323.440 3.306 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(Cc1[nH]c(C)cc1C)CCO2 ZINC001137327824 1105486969 /nfs/dbraw/zinc/48/69/69/1105486969.db2.gz HZPGNBLTCPPJKL-IEBWSBKVSA-N 0 1 318.461 3.205 20 30 DGEDMN N#Cc1cccc(-c2nc3cc(F)c(-n4ccnc4)cc3[nH]2)c1 ZINC001250459365 1105517581 /nfs/dbraw/zinc/51/75/81/1105517581.db2.gz VHKJJZSAOJNDOU-UHFFFAOYSA-N 0 1 303.300 3.426 20 30 DGEDMN Cc1cc(N2CCOCC2)cc2[nH]c(-c3ccccc3C#N)nc21 ZINC001250489273 1105523731 /nfs/dbraw/zinc/52/37/31/1105523731.db2.gz GGOCOALKXPDDGG-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN N#Cc1ccccc1-c1nc2cc(F)c(-n3cccn3)cc2[nH]1 ZINC001250489909 1105523834 /nfs/dbraw/zinc/52/38/34/1105523834.db2.gz XWVUEQKWOBRRKC-UHFFFAOYSA-N 0 1 303.300 3.426 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(F)(F)F)cc1)C2 ZINC001098266843 1105565850 /nfs/dbraw/zinc/56/58/50/1105565850.db2.gz PSPYORNSLTWLFB-KFWWJZLASA-N 0 1 324.346 3.227 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccsc1C(F)F)C2 ZINC001098299867 1105567612 /nfs/dbraw/zinc/56/76/12/1105567612.db2.gz ZROWQZRLDGAEPZ-RTXFEEFZSA-N 0 1 324.396 3.044 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)cn1CC)C2 ZINC001098419199 1105573451 /nfs/dbraw/zinc/57/34/51/1105573451.db2.gz FWEULIYNXPBOHV-KFWWJZLASA-N 0 1 321.852 3.073 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)(F)F)cc1)C2 ZINC001098529712 1105582155 /nfs/dbraw/zinc/58/21/55/1105582155.db2.gz IGLRGXTUOAQVHA-OAGGEKHMSA-N 0 1 320.383 3.319 20 30 DGEDMN CC(C)c1ccc(OC[C@@H](O)CNCC#Cc2ccccc2)cc1 ZINC001250784279 1105582501 /nfs/dbraw/zinc/58/25/01/1105582501.db2.gz ZXCVDOLUKRBJQS-FQEVSTJZSA-N 0 1 323.436 3.191 20 30 DGEDMN COc1ccc2nc(-c3sc(N)c(C#N)c3Cl)[nH]c2c1 ZINC001250930654 1105606203 /nfs/dbraw/zinc/60/62/03/1105606203.db2.gz JIGGBLIVOGOQOJ-UHFFFAOYSA-N 0 1 304.762 3.407 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001278616144 1105606982 /nfs/dbraw/zinc/60/69/82/1105606982.db2.gz UFBITOXZOVOBLE-ARFHVFGLSA-N 0 1 324.896 3.393 20 30 DGEDMN N#Cc1ccc(-c2nc3c([nH]2)c(F)c(F)cc3F)c([N+](=O)[O-])c1 ZINC001250953637 1105610141 /nfs/dbraw/zinc/61/01/41/1105610141.db2.gz JENLZNMOHKESBJ-UHFFFAOYSA-N 0 1 318.214 3.427 20 30 DGEDMN COc1cc2nc(-c3ccc(C#N)c(SC)n3)[nH]c2cc1F ZINC001250958481 1105611165 /nfs/dbraw/zinc/61/11/65/1105611165.db2.gz XRODVBOETFQKJU-UHFFFAOYSA-N 0 1 314.345 3.366 20 30 DGEDMN C#Cc1ccc(-c2nc3cc(N4CCOCC4)c(F)cc3[nH]2)cc1 ZINC001251008196 1105618772 /nfs/dbraw/zinc/61/87/72/1105618772.db2.gz BBGMEMGLTPPIAK-UHFFFAOYSA-N 0 1 321.355 3.187 20 30 DGEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccsc2Cl)C1 ZINC001099064713 1105637134 /nfs/dbraw/zinc/63/71/34/1105637134.db2.gz VOLNXQQHWXLXOX-LRDDRELGSA-N 0 1 322.861 3.009 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc3ccccc3o2)C1 ZINC001099149157 1105650009 /nfs/dbraw/zinc/65/00/09/1105650009.db2.gz UZQYUDHBAIAOLO-KXBFYZLASA-N 0 1 310.397 3.203 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099169922 1105652445 /nfs/dbraw/zinc/65/24/45/1105652445.db2.gz BEIBNNCGWOKGIU-GOSISDBHSA-N 0 1 316.489 3.200 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CC)CCC1 ZINC001099183517 1105656076 /nfs/dbraw/zinc/65/60/76/1105656076.db2.gz VFZLDEWXNYKUGF-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN Cc1cc(C)c(S(=O)(=O)Nc2c(F)cccc2C#N)c(C)c1 ZINC001251489623 1105663136 /nfs/dbraw/zinc/66/31/36/1105663136.db2.gz NTPKHULPENQICN-UHFFFAOYSA-N 0 1 318.373 3.423 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(CC)(CC)CC ZINC001099281356 1105663854 /nfs/dbraw/zinc/66/38/54/1105663854.db2.gz SZGRSJRAUQGUJM-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCC1(C)CC1 ZINC001099292072 1105665451 /nfs/dbraw/zinc/66/54/51/1105665451.db2.gz PGDFEKDDBLJKRL-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCO[C@@H](C)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1CC=C(C)C ZINC001099360588 1105673477 /nfs/dbraw/zinc/67/34/77/1105673477.db2.gz YXCXVHBJGMRKRE-DLBZAZTESA-N 0 1 322.493 3.151 20 30 DGEDMN CC(C)=CC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001490963099 1105728732 /nfs/dbraw/zinc/72/87/32/1105728732.db2.gz BUESEVGNPZLITG-YQQQUEKLSA-N 0 1 323.440 3.136 20 30 DGEDMN Brc1ccc(N=NCc2cccc3c[nH]nc32)nc1 ZINC001252079529 1105734235 /nfs/dbraw/zinc/73/42/35/1105734235.db2.gz DWFWJYRSMSITDK-UHFFFAOYSA-N 0 1 316.162 3.166 20 30 DGEDMN CCCCCCCC[C@@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001252119828 1105743244 /nfs/dbraw/zinc/74/32/44/1105743244.db2.gz GZVJCMJHMQMLRA-HZPDHXFCSA-N 0 1 318.465 3.491 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCCN(C/C=C/Cl)C1 ZINC001491108353 1105755303 /nfs/dbraw/zinc/75/53/03/1105755303.db2.gz SAXDJCPSIJSFDH-WCLQSUPFSA-N 0 1 310.869 3.336 20 30 DGEDMN C=CCOC[C@H](O)CNCc1cc(Cl)cc(Cl)c1Cl ZINC001252491984 1105783795 /nfs/dbraw/zinc/78/37/95/1105783795.db2.gz VCSCHUOYURZIGK-LLVKDONJSA-N 0 1 324.635 3.300 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2C)CCC1 ZINC001491231170 1105785463 /nfs/dbraw/zinc/78/54/63/1105785463.db2.gz RKHZEEYHFPIYDJ-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCC[C@H](O)CN(Cc1cc(C)no1)Cc1ccccc1 ZINC001252602451 1105800602 /nfs/dbraw/zinc/80/06/02/1105800602.db2.gz SFHACNMQIYHNEK-KRWDZBQOSA-N 0 1 300.402 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CCCC#CC)C1 ZINC001491293783 1105801818 /nfs/dbraw/zinc/80/18/18/1105801818.db2.gz XYVGAJHKSIHWLR-HZPDHXFCSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCC[C@@H](O)CNCc1cn(Cc2ccc(Cl)cc2)cn1 ZINC001252621767 1105806361 /nfs/dbraw/zinc/80/63/61/1105806361.db2.gz HZSDOZRSLRKBBI-QGZVFWFLSA-N 0 1 319.836 3.002 20 30 DGEDMN C[C@H](CNC(=O)C=C1CCCCC1)NCC#Cc1ccccc1 ZINC001491406146 1105822028 /nfs/dbraw/zinc/82/20/28/1105822028.db2.gz ONNYOOYGQYKQSL-QGZVFWFLSA-N 0 1 310.441 3.023 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001278681145 1105826671 /nfs/dbraw/zinc/82/66/71/1105826671.db2.gz BMLOMCQWOMFHMO-ABSDTBQOSA-N 0 1 316.489 3.054 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCO[C@H](COC)C1 ZINC001252983114 1105865455 /nfs/dbraw/zinc/86/54/55/1105865455.db2.gz RDWWGTYNNGJYGR-MSOLQXFVSA-N 0 1 315.498 3.225 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCN2C(=O)CC[C@@H]2C1 ZINC001252986185 1105866834 /nfs/dbraw/zinc/86/68/34/1105866834.db2.gz SPSMVMLQCHUXLX-QZTJIDSGSA-N 0 1 324.509 3.185 20 30 DGEDMN C=CCCCCCC[C@H](O)CNc1ccnc(NC(C)=O)c1 ZINC001253232317 1105905539 /nfs/dbraw/zinc/90/55/39/1105905539.db2.gz KFOURPLBRVOOBO-INIZCTEOSA-N 0 1 305.422 3.339 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)Cc1ccccc1 ZINC001253252116 1105912194 /nfs/dbraw/zinc/91/21/94/1105912194.db2.gz RLQXYAQFOMGMBV-GOSISDBHSA-N 0 1 303.446 3.275 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCc1cn(CC2CC2)cn1 ZINC001253253141 1105913150 /nfs/dbraw/zinc/91/31/50/1105913150.db2.gz CAJOTISJTWWOQG-SFHVURJKSA-N 0 1 305.466 3.270 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C=C2CCCCC2)[C@H](C)C1 ZINC001101786557 1105977123 /nfs/dbraw/zinc/97/71/23/1105977123.db2.gz VXAMKEANGOXKAQ-CZUORRHYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CN(Cc2ccccc2)CCC1(F)F ZINC001278740550 1105986929 /nfs/dbraw/zinc/98/69/29/1105986929.db2.gz GMSKZTWIPPBMHX-INIZCTEOSA-N 0 1 322.399 3.226 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@]2(C)CC=CCC2)[C@H](C)C1 ZINC001101997703 1106007363 /nfs/dbraw/zinc/00/73/63/1106007363.db2.gz DWAZHRNAEUVCEN-FRFSOERESA-N 0 1 310.869 3.169 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N2CCOCC23CC3)CC1 ZINC001254358719 1106060427 /nfs/dbraw/zinc/06/04/27/1106060427.db2.gz JUURBAGHXFNUPJ-UHFFFAOYSA-N 0 1 314.404 3.394 20 30 DGEDMN N#C[C@@H]1CC[C@H](N[C@@H]2COc3ccc(Br)cc32)C1 ZINC001254642721 1106119525 /nfs/dbraw/zinc/11/95/25/1106119525.db2.gz GGBQAXZFIKZDRW-SUZMYJTESA-N 0 1 307.191 3.164 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2cn(Cc3ccc(Cl)cc3)cn2)C1 ZINC001254647539 1106121963 /nfs/dbraw/zinc/12/19/63/1106121963.db2.gz HBCWTROUUUDFGX-GOEBONIOSA-N 0 1 314.820 3.367 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1[C@@H]1CC[C@H](C#N)C1 ZINC001254658864 1106125099 /nfs/dbraw/zinc/12/50/99/1106125099.db2.gz JVXKQRIPPGYHHN-CBBWQLFWSA-N 0 1 307.438 3.008 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC3(CC(=O)c4ccc(F)cc43)CC2)C1 ZINC001254658267 1106125163 /nfs/dbraw/zinc/12/51/63/1106125163.db2.gz HOIRYTNHAURQIB-HIFRSBDPSA-N 0 1 312.388 3.438 20 30 DGEDMN COc1ccc([C@@H]2CSCCN2[C@@H]2CC[C@@H](C#N)C2)cc1 ZINC001254668987 1106128587 /nfs/dbraw/zinc/12/85/87/1106128587.db2.gz MCTGIGSQDIPEIO-UNEWFSDZSA-N 0 1 302.443 3.477 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC3(CC2)CC(=O)c2ccccc2O3)C1 ZINC001254669278 1106128616 /nfs/dbraw/zinc/12/86/16/1106128616.db2.gz QFIGQCYJVJSHTK-HUUCEWRRSA-N 0 1 310.397 3.179 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCN(c3nccc4ccccc43)CC2)C1 ZINC001254668216 1106128744 /nfs/dbraw/zinc/12/87/44/1106128744.db2.gz GQMUGHMWTRTVNB-WBVHZDCISA-N 0 1 306.413 3.049 20 30 DGEDMN Cc1ccc2c(c1)C(=O)CC1(CCN([C@@H]3CC[C@@H](C#N)C3)CC1)O2 ZINC001254672181 1106131160 /nfs/dbraw/zinc/13/11/60/1106131160.db2.gz ZBQYHYJOEHDKEC-HZPDHXFCSA-N 0 1 324.424 3.487 20 30 DGEDMN CC[C@@H](CC#N)N1CCC[C@]2(CCC(=O)N2CC2CC2)[C@H]1CC ZINC001255167780 1106197623 /nfs/dbraw/zinc/19/76/23/1106197623.db2.gz HMPKLNOKUBAEDK-SCTDSRPQSA-N 0 1 317.477 3.324 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CCOC[C@@H]2COCC2CC2)CC1 ZINC001255170809 1106198734 /nfs/dbraw/zinc/19/87/34/1106198734.db2.gz YOAHVXBDDKKKDP-MSOLQXFVSA-N 0 1 320.477 3.224 20 30 DGEDMN C[C@@H](Cc1ccccc1F)N1CCN(c2cccc(C#N)c2)CC1 ZINC001255852056 1106328152 /nfs/dbraw/zinc/32/81/52/1106328152.db2.gz CESDRVNZQMVADD-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN C=CCCC(=O)N[C@H](CNC/C(Cl)=C/Cl)C(C)(C)C ZINC001278945685 1106442476 /nfs/dbraw/zinc/44/24/76/1106442476.db2.gz MZISBOXXNZMJHQ-NXIHDVOMSA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)CCC)c1ccccc1CC ZINC001278969054 1106497169 /nfs/dbraw/zinc/49/71/69/1106497169.db2.gz CZUJROSICQZXKM-VQIMIIECSA-N 0 1 314.473 3.455 20 30 DGEDMN CC[C@H]1C[C@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257139717 1106543515 /nfs/dbraw/zinc/54/35/15/1106543515.db2.gz LNMYFTGCGQVLGW-QLFBSQMISA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCOCc1nn(CC2CC2)c2c1CN(C1CCCC1)CC2 ZINC001257351204 1106571469 /nfs/dbraw/zinc/57/14/69/1106571469.db2.gz XJUZVPJSJQSUOB-UHFFFAOYSA-N 0 1 315.461 3.296 20 30 DGEDMN CN(CCC#N)C1CCC2(CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001257756219 1106627130 /nfs/dbraw/zinc/62/71/30/1106627130.db2.gz BUEPUUCKAGFYMF-UHFFFAOYSA-N 0 1 307.438 3.012 20 30 DGEDMN C=C1C[C@@H]2CC(N[C@H](C(=O)OCC)C3CCOCC3)C[C@H](C1)C2 ZINC001257887518 1106640964 /nfs/dbraw/zinc/64/09/64/1106640964.db2.gz BXFZMRAXIKUJPY-MPBLMAKGSA-N 0 1 321.461 3.069 20 30 DGEDMN COc1ccc(CC[C@@H](C)NCc2ncc(C#N)s2)cc1 ZINC001258395639 1106730452 /nfs/dbraw/zinc/73/04/52/1106730452.db2.gz WMWSOIMCUKRDOL-GFCCVEGCSA-N 0 1 301.415 3.134 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2cc(C)ns2)CC1 ZINC001316933261 1106781881 /nfs/dbraw/zinc/78/18/81/1106781881.db2.gz FTTIUHAMWOLLIF-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](CCC)CC(C)C)CC1 ZINC001316938337 1106787713 /nfs/dbraw/zinc/78/77/13/1106787713.db2.gz WMZOEHDFWLCPGZ-QGZVFWFLSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2cccc(Cl)c2)C1 ZINC001316946792 1106802007 /nfs/dbraw/zinc/80/20/07/1106802007.db2.gz DQQHXINPAGKAFL-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001316954304 1106822486 /nfs/dbraw/zinc/82/24/86/1106822486.db2.gz RJXOULFIMZFAAK-HKUYNNGSSA-N 0 1 314.473 3.412 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CCCCC(F)(F)F)C1 ZINC001316985384 1106849544 /nfs/dbraw/zinc/84/95/44/1106849544.db2.gz RUWCVSHARQVUBX-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cccc(OC)c2OC(C)C)C1 ZINC001320087285 1106857593 /nfs/dbraw/zinc/85/75/93/1106857593.db2.gz XGONQWPPXAWBNM-MRXNPFEDSA-N 0 1 305.418 3.259 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(C)cc1C)C1CC1 ZINC001317028807 1106918951 /nfs/dbraw/zinc/91/89/51/1106918951.db2.gz ROPJPNFTDBWZEL-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2cncn2C[C@@H](COCC2CC2)C1 ZINC001258727092 1106930790 /nfs/dbraw/zinc/93/07/90/1106930790.db2.gz BLGIKQAKCGUYNI-WBVHZDCISA-N 0 1 303.450 3.096 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CCC[C@@H](C)C1)CC2 ZINC001258820741 1107001019 /nfs/dbraw/zinc/00/10/19/1107001019.db2.gz OBWXEJXPXPJZPN-HUUCEWRRSA-N 0 1 303.450 3.060 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001317176168 1107093080 /nfs/dbraw/zinc/09/30/80/1107093080.db2.gz KISYGSBTTGGUNN-ZVDOUQERSA-N 0 1 324.468 3.174 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)Cc2ccc(Cl)cc2)s1 ZINC001259236445 1107098705 /nfs/dbraw/zinc/09/87/05/1107098705.db2.gz WBCKLUINVXFAOL-UHFFFAOYSA-N 0 1 312.803 3.215 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001317178184 1107099584 /nfs/dbraw/zinc/09/95/84/1107099584.db2.gz WNTJNCGHSJYNPI-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1ccc2ncsc2c1 ZINC001259397031 1107129745 /nfs/dbraw/zinc/12/97/45/1107129745.db2.gz LXZJFAXPYMKKDV-UHFFFAOYSA-N 0 1 314.391 3.078 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@H](CO)c2cccc(F)c2)C1 ZINC001320869988 1107170439 /nfs/dbraw/zinc/17/04/39/1107170439.db2.gz DWISDPINZMENRB-JLAWEPINSA-N 0 1 310.372 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N[C@H](C)c2ccc(F)cc2F)C1 ZINC001317266763 1107188253 /nfs/dbraw/zinc/18/82/53/1107188253.db2.gz RJZYOQREUMKZTB-CHWSQXEVSA-N 0 1 322.399 3.476 20 30 DGEDMN CC(C)CS(=O)(=O)Nc1c(Cl)cc(Cl)cc1C#N ZINC001259883862 1107219407 /nfs/dbraw/zinc/21/94/07/1107219407.db2.gz SSGTXKAUGNVBCC-UHFFFAOYSA-N 0 1 307.202 3.263 20 30 DGEDMN C=C(C)CCC(=O)NC/C=C\CNCc1cccc(F)c1F ZINC001321085572 1107245225 /nfs/dbraw/zinc/24/52/25/1107245225.db2.gz YDLZMLIGMZTBEJ-ARJAWSKDSA-N 0 1 308.372 3.083 20 30 DGEDMN Cn1cc(-c2ccc(/C=C/C(=O)c3ccc(O)cc3O)cc2)cn1 ZINC001321229523 1107295408 /nfs/dbraw/zinc/29/54/08/1107295408.db2.gz VSMHMUSMPMTBTC-RUDMXATFSA-N 0 1 320.348 3.394 20 30 DGEDMN CCc1cc(C#N)ccc1OC(=O)c1ccc2cncn2c1C ZINC001321348469 1107329396 /nfs/dbraw/zinc/32/93/96/1107329396.db2.gz UZZQDMDTKMZUAV-UHFFFAOYSA-N 0 1 305.337 3.296 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@@H]1CCCN1Cc1cc(C)on1 ZINC001317422462 1107349616 /nfs/dbraw/zinc/34/96/16/1107349616.db2.gz HVNPYUFAYRSKAR-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN C[C@H](CNCc1cc(F)ccc1Cl)NC(=O)C#CC(C)(C)C ZINC001321514425 1107370204 /nfs/dbraw/zinc/37/02/04/1107370204.db2.gz UPQOAJMGOAOJHY-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001317446459 1107390126 /nfs/dbraw/zinc/39/01/26/1107390126.db2.gz FOXJHSJTJNQCDN-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1cc(C)sc1C ZINC001317456789 1107406066 /nfs/dbraw/zinc/40/60/66/1107406066.db2.gz HFSLRKSAWSFEDG-UHFFFAOYSA-N 0 1 306.475 3.107 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)C/C=C\c2ccccc2)CCC1 ZINC001317460560 1107413306 /nfs/dbraw/zinc/41/33/06/1107413306.db2.gz ZZWFWGDKAKDAOZ-XFFZJAGNSA-N 0 1 312.457 3.494 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001321702692 1107414959 /nfs/dbraw/zinc/41/49/59/1107414959.db2.gz GWBBMIFNYMNTAX-ZJUUUORDSA-N 0 1 321.273 3.352 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)C)Cc1ccc(F)c(Cl)c1 ZINC001317479786 1107435091 /nfs/dbraw/zinc/43/50/91/1107435091.db2.gz JGTHQMDHPSRBRV-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCN2Cc2ccccc2F)C1 ZINC001317509873 1107473158 /nfs/dbraw/zinc/47/31/58/1107473158.db2.gz QFFWKUQDFAXQKG-INIZCTEOSA-N 0 1 316.420 3.263 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001317512419 1107476132 /nfs/dbraw/zinc/47/61/32/1107476132.db2.gz KYBANFYYQKAWHU-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN Cc1cc(NC2CCC(C#N)CC2)ccc1N1CCN(C)CC1 ZINC001262008425 1107570739 /nfs/dbraw/zinc/57/07/39/1107570739.db2.gz LTNDBHDQOITHRP-UHFFFAOYSA-N 0 1 312.461 3.241 20 30 DGEDMN CCC(CC)CC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001317644704 1107578728 /nfs/dbraw/zinc/57/87/28/1107578728.db2.gz ADMWBHUBWQUUEQ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)c2ccco2)C1 ZINC001317769598 1107653478 /nfs/dbraw/zinc/65/34/78/1107653478.db2.gz GNLQCJCKKCPATA-HOTGVXAUSA-N 0 1 304.434 3.272 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2c(C)coc2C)C1 ZINC001317781497 1107664742 /nfs/dbraw/zinc/66/47/42/1107664742.db2.gz DISHPIVFMIBEPZ-AWEZNQCLSA-N 0 1 310.825 3.091 20 30 DGEDMN Cc1nn(-c2ccccc2)c(N)c1C=NNCc1ccc(F)cc1 ZINC001322408889 1107665765 /nfs/dbraw/zinc/66/57/65/1107665765.db2.gz WVYQYMXQZPGLHZ-UHFFFAOYSA-N 0 1 323.375 3.026 20 30 DGEDMN CC(C)(C)c1n[nH]cc1C=NN=c1[nH]c2cc(F)c(F)cc2[nH]1 ZINC001317795124 1107671573 /nfs/dbraw/zinc/67/15/73/1107671573.db2.gz OHFKNZCUFYVEST-UHFFFAOYSA-N 0 1 318.331 3.308 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001317804278 1107681271 /nfs/dbraw/zinc/68/12/71/1107681271.db2.gz ARRXADUKYBJYEW-GJZGRUSLSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2c(C)coc2C)C1 ZINC001317834955 1107695745 /nfs/dbraw/zinc/69/57/45/1107695745.db2.gz AIHQBTAMXXOGDS-AWEZNQCLSA-N 0 1 310.825 3.043 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2cccc3c2OCC3)CC1 ZINC001262370888 1107699706 /nfs/dbraw/zinc/69/97/06/1107699706.db2.gz UUEJXWNBDXAKAO-UHFFFAOYSA-N 0 1 319.408 3.074 20 30 DGEDMN CN(CCC[N@@H+](C)Cc1ccco1)c1cc(C#N)cc(Cl)n1 ZINC001262696687 1107781440 /nfs/dbraw/zinc/78/14/40/1107781440.db2.gz OAKDOJATAYZDBZ-UHFFFAOYSA-N 0 1 318.808 3.158 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1nc(C)cs1)C1CC1 ZINC001323063196 1107819817 /nfs/dbraw/zinc/81/98/17/1107819817.db2.gz KXWKIKXLSSJLQG-WMLDXEAASA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cncc3ccccc32)C1 ZINC001318089368 1107834713 /nfs/dbraw/zinc/83/47/13/1107834713.db2.gz ONWZZDWVDRVYPG-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(CC(CC)CC)C1)c1ccccc1 ZINC001318089231 1107835534 /nfs/dbraw/zinc/83/55/34/1107835534.db2.gz MKIPNCLRNNGFLN-GOSISDBHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001318145482 1107858025 /nfs/dbraw/zinc/85/80/25/1107858025.db2.gz KGNBBRIIMCXMLB-CQSZACIVSA-N 0 1 306.475 3.207 20 30 DGEDMN C=CC[C@H](C(=O)NCC1CN(CC=C(C)C)C1)c1ccccc1 ZINC001318176216 1107878507 /nfs/dbraw/zinc/87/85/07/1107878507.db2.gz LKAXVPWBIIHVFK-IBGZPJMESA-N 0 1 312.457 3.361 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001323246497 1107902658 /nfs/dbraw/zinc/90/26/58/1107902658.db2.gz NSYKMAPMCWYPDC-OCCSQVGLSA-N 0 1 308.372 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC(C)(C)c1ccc(F)cc1 ZINC001323245399 1107902696 /nfs/dbraw/zinc/90/26/96/1107902696.db2.gz DVMVAHOFACVVRP-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001323246495 1107903245 /nfs/dbraw/zinc/90/32/45/1107903245.db2.gz NSYKMAPMCWYPDC-GXTWGEPZSA-N 0 1 308.372 3.124 20 30 DGEDMN CCCC[C@H](C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001323434795 1107975172 /nfs/dbraw/zinc/97/51/72/1107975172.db2.gz GHIGKDFDHBKJTR-ZDUSSCGKSA-N 0 1 319.474 3.184 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001318403744 1107985075 /nfs/dbraw/zinc/98/50/75/1107985075.db2.gz VNIBNFFWGKCLEF-MAUKXSAKSA-N 0 1 316.420 3.079 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001323554431 1108009478 /nfs/dbraw/zinc/00/94/78/1108009478.db2.gz HBZPWLOUEOONJB-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(OCCCC)cc2)C1 ZINC001318446876 1108014677 /nfs/dbraw/zinc/01/46/77/1108014677.db2.gz ZMAMNIWOEXVHCZ-KRWDZBQOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCc2cccs2)CC1 ZINC001323606820 1108027557 /nfs/dbraw/zinc/02/75/57/1108027557.db2.gz IPCGYXZXQPHJGY-UHFFFAOYSA-N 0 1 312.866 3.062 20 30 DGEDMN N#C[C@H](C(=O)c1ccn(-c2ccccc2F)n1)c1cccc(F)n1 ZINC001263377457 1108031467 /nfs/dbraw/zinc/03/14/67/1108031467.db2.gz BNTQSBIUBCQXJJ-NSHDSACASA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccccc2F)n1)c1cccc(F)n1 ZINC001263377457 1108031481 /nfs/dbraw/zinc/03/14/81/1108031481.db2.gz BNTQSBIUBCQXJJ-NSHDSACASA-N 0 1 324.290 3.036 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CC/C=C\c2ccccc2)C1 ZINC001318482242 1108042261 /nfs/dbraw/zinc/04/22/61/1108042261.db2.gz FOAWMMWCBITYIF-DRHVUCGNSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001318490807 1108051282 /nfs/dbraw/zinc/05/12/82/1108051282.db2.gz DGOADJGKVQOSOJ-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001318491706 1108052750 /nfs/dbraw/zinc/05/27/50/1108052750.db2.gz KQSJIHLELYKDFA-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C=C)c1ccccc1 ZINC001323706188 1108057667 /nfs/dbraw/zinc/05/76/67/1108057667.db2.gz YLTXYSZJJQSMJL-SXLOBPIMSA-N 0 1 322.452 3.045 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)N[C@H](C)c1c(F)cccc1F ZINC001318520443 1108076989 /nfs/dbraw/zinc/07/69/89/1108076989.db2.gz YFPJPIPDUVQELM-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCOC(C)C ZINC001323787765 1108081867 /nfs/dbraw/zinc/08/18/67/1108081867.db2.gz JPPQRKBTUPXKTJ-OKZBNKHCSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCOC(C)C ZINC001323787764 1108082310 /nfs/dbraw/zinc/08/23/10/1108082310.db2.gz JPPQRKBTUPXKTJ-KURKYZTESA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1cnc(Cl)s1 ZINC001318530530 1108082631 /nfs/dbraw/zinc/08/26/31/1108082631.db2.gz ZHCQBEUJFHPBJP-LLVKDONJSA-N 0 1 315.870 3.137 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@H](C)CC)C2)CC1 ZINC001323825569 1108096418 /nfs/dbraw/zinc/09/64/18/1108096418.db2.gz IWTLGNZWGJEBDB-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](CC)NCc1ccc(F)cc1F ZINC001318563720 1108099081 /nfs/dbraw/zinc/09/90/81/1108099081.db2.gz WMXHJDDZQUZRDF-MRXNPFEDSA-N 0 1 322.399 3.143 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C/C=C\Cc2ccccc2)C1 ZINC001323945342 1108126589 /nfs/dbraw/zinc/12/65/89/1108126589.db2.gz BKEATALAMHYOMI-HJWRWDBZSA-N 0 1 312.457 3.284 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2nccc3ccsc32)C1 ZINC001323947088 1108127564 /nfs/dbraw/zinc/12/75/64/1108127564.db2.gz VONUVSDECKSHEA-UHFFFAOYSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H](C)c2ccccc2)C1 ZINC001324017167 1108148417 /nfs/dbraw/zinc/14/84/17/1108148417.db2.gz HFQGWYRKHRKSDD-INIZCTEOSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C[C@@H](COC)N[C@H](C)c1cnn(-c2ccc(F)cc2)c1C ZINC001324021227 1108149626 /nfs/dbraw/zinc/14/96/26/1108149626.db2.gz HJYGPOZHXVCEIX-DOMZBBRYSA-N 0 1 303.381 3.171 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccc(C)s2)C1 ZINC001324030100 1108153250 /nfs/dbraw/zinc/15/32/50/1108153250.db2.gz VKDYEDANZKHFLV-CQSZACIVSA-N 0 1 320.502 3.486 20 30 DGEDMN CC(=[NH+][N-]c1ccc(C(C)(C)C)nn1)c1cc(N)ccc1F ZINC001324253944 1108225051 /nfs/dbraw/zinc/22/50/51/1108225051.db2.gz OJLGNLWCVOYPCU-UHFFFAOYSA-N 0 1 301.369 3.332 20 30 DGEDMN Clc1cncnc1[N-][NH+]=Cc1ccc(N2CCCC2)cc1 ZINC001324270112 1108230663 /nfs/dbraw/zinc/23/06/63/1108230663.db2.gz WAUFLINBRZEOBO-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN C=CCCC(=O)NC[C@H]1C[C@H](NCc2c(F)cccc2Cl)C1 ZINC001263855922 1108262061 /nfs/dbraw/zinc/26/20/61/1108262061.db2.gz ZNTCSPWTIPSBIO-JOCQHMNTSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001263863652 1108267216 /nfs/dbraw/zinc/26/72/16/1108267216.db2.gz ZAPRJWPKHXCYND-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCN(C(=O)CCCCC(C)C)C1CCN(CC#CC)CC1 ZINC001324431948 1108286042 /nfs/dbraw/zinc/28/60/42/1108286042.db2.gz LDGPSPLZIYFWDB-UHFFFAOYSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1conc1C)C1CCCC1 ZINC001324483413 1108309689 /nfs/dbraw/zinc/30/96/89/1108309689.db2.gz RXZAXMRFEJFEDG-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1conc1C)C1CCCC1 ZINC001324483410 1108310054 /nfs/dbraw/zinc/31/00/54/1108310054.db2.gz RXZAXMRFEJFEDG-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN CCCCCCCN1CCO[C@@H](CNC(=O)C(C)=C2CCC2)C1 ZINC001319310880 1108410297 /nfs/dbraw/zinc/41/02/97/1108410297.db2.gz ROJBNVYPIDZKSI-SFHVURJKSA-N 0 1 322.493 3.274 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C2(C)CCCCC2)C1 ZINC001319319162 1108412390 /nfs/dbraw/zinc/41/23/90/1108412390.db2.gz OFHXKMOEANSXEV-DLBZAZTESA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001264367241 1108476191 /nfs/dbraw/zinc/47/61/91/1108476191.db2.gz USHJVABJFJJSBR-IBGZPJMESA-N 0 1 312.457 3.303 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC(C)(C)CC(F)(F)F ZINC001264378334 1108492269 /nfs/dbraw/zinc/49/22/69/1108492269.db2.gz HQQACAGVAGERMK-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](CCC)c1ccccc1 ZINC001264378959 1108494816 /nfs/dbraw/zinc/49/48/16/1108494816.db2.gz VYRZRXJPAFKMEF-MOPGFXCFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2CCOC(C)C)CCCC1 ZINC001264382955 1108496162 /nfs/dbraw/zinc/49/61/62/1108496162.db2.gz SHGUHYHHWDJSAH-KRWDZBQOSA-N 0 1 322.493 3.081 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H]3CCCC[C@@H]3C2)c1C#N ZINC001319503289 1108496684 /nfs/dbraw/zinc/49/66/84/1108496684.db2.gz ZNZXOTJZEHSKLJ-LSDHHAIUSA-N 0 1 312.413 3.357 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001264403221 1108508801 /nfs/dbraw/zinc/50/88/01/1108508801.db2.gz ILVCDPDBEGDZBD-GSRYEAAASA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001264403223 1108508884 /nfs/dbraw/zinc/50/88/84/1108508884.db2.gz ILVCDPDBEGDZBD-LHUNNZECSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCCC[C@H](C)CC)C1 ZINC001324935122 1108520304 /nfs/dbraw/zinc/52/03/04/1108520304.db2.gz BEOYDDIWCODWCR-MJGOQNOKSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1coc2ccccc12 ZINC001319554152 1108523192 /nfs/dbraw/zinc/52/31/92/1108523192.db2.gz ZKBVGKDLIAFFHY-CYBMUJFWSA-N 0 1 320.820 3.164 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001264445759 1108536426 /nfs/dbraw/zinc/53/64/26/1108536426.db2.gz UJGNFMWNYRFXOX-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(CCC)CC2)C1 ZINC001264446797 1108537726 /nfs/dbraw/zinc/53/77/26/1108537726.db2.gz LXOPZRAELLESGZ-RBUKOAKNSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001324972515 1108543589 /nfs/dbraw/zinc/54/35/89/1108543589.db2.gz QCBFDYMRJILLEU-HKUYNNGSSA-N 0 1 322.468 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CCC(C)(F)F ZINC001264553741 1108571413 /nfs/dbraw/zinc/57/14/13/1108571413.db2.gz YMERVRYGIMMUEX-YPMHNXCESA-N 0 1 322.827 3.391 20 30 DGEDMN CC[C@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccc(F)cc1 ZINC001325228796 1108599078 /nfs/dbraw/zinc/59/90/78/1108599078.db2.gz RSBBQOODIMTSMG-KRWDZBQOSA-N 0 1 311.360 3.377 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CCCCC)C(C)C)[C@H]1C ZINC001264726377 1108633500 /nfs/dbraw/zinc/63/35/00/1108633500.db2.gz SJYNXJCJXHMABE-SQNIBIBYSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1cccs1 ZINC001264742953 1108641387 /nfs/dbraw/zinc/64/13/87/1108641387.db2.gz NFYLHZZGFNJMCB-GJZGRUSLSA-N 0 1 306.475 3.351 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001264744304 1108642916 /nfs/dbraw/zinc/64/29/16/1108642916.db2.gz RIUJIKRNVIDDBL-INDMIFKZSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1nc(C)cs1)C(C)C ZINC001319927736 1108697475 /nfs/dbraw/zinc/69/74/75/1108697475.db2.gz HGZUNHNJZKEHGB-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001265195985 1108768213 /nfs/dbraw/zinc/76/82/13/1108768213.db2.gz TYAAGWUWEDXBOW-UHFFFAOYSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H](C)c2cccc(F)c2)C1 ZINC001265206322 1108775869 /nfs/dbraw/zinc/77/58/69/1108775869.db2.gz UCJPQQRRGQFRHZ-RHSMWYFYSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001265286257 1108806537 /nfs/dbraw/zinc/80/65/37/1108806537.db2.gz QVMUVHUUKLWKOY-QGZVFWFLSA-N 0 1 318.436 3.449 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CC2CCCC2)CC1 ZINC001265335786 1108831600 /nfs/dbraw/zinc/83/16/00/1108831600.db2.gz HKLQNEZBRFCECV-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCCC)C(C)C ZINC001265416422 1108867581 /nfs/dbraw/zinc/86/75/81/1108867581.db2.gz ZTQPOOUSAZLSIZ-KSZLIROESA-N 0 1 304.478 3.147 20 30 DGEDMN O=C(C=C1CCCCC1)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001265420599 1108868185 /nfs/dbraw/zinc/86/81/85/1108868185.db2.gz ASABINDSQXWBLL-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C(\C)CC)C1 ZINC001265523917 1108898608 /nfs/dbraw/zinc/89/86/08/1108898608.db2.gz QWPVWXPUXXZVFF-LSALWYQZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C(\C)CC)C1 ZINC001265523917 1108898609 /nfs/dbraw/zinc/89/86/09/1108898609.db2.gz QWPVWXPUXXZVFF-LSALWYQZSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001265585045 1108920240 /nfs/dbraw/zinc/92/02/40/1108920240.db2.gz ZBLVWZWNGOBIQN-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C/C=C\Cc1ccccc1 ZINC001265646736 1108948172 /nfs/dbraw/zinc/94/81/72/1108948172.db2.gz FNZXTIFWKSLLCV-AQWUKCDYSA-N 0 1 324.468 3.169 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1CCCC12CC2 ZINC001265673972 1108961224 /nfs/dbraw/zinc/96/12/24/1108961224.db2.gz PGPTUJWULGZTLH-CABCVRRESA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](F)c1ccccc1 ZINC001265683558 1108964845 /nfs/dbraw/zinc/96/48/45/1108964845.db2.gz BUYQRXBKDSMBGI-CVEARBPZSA-N 0 1 324.827 3.420 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](C)c1ccc(C)o1 ZINC001265684353 1108964859 /nfs/dbraw/zinc/96/48/59/1108964859.db2.gz UBKLEIXAKGYVNS-GJZGRUSLSA-N 0 1 324.852 3.415 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C/C=C\Cc1ccccc1 ZINC001265785773 1109004039 /nfs/dbraw/zinc/00/40/39/1109004039.db2.gz HGBAAGCHRTYPMJ-XHPSBEMXSA-N 0 1 306.837 3.022 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1cccc(F)c1Cl ZINC001265811205 1109011034 /nfs/dbraw/zinc/01/10/34/1109011034.db2.gz NLDLYIITISHARX-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@H](CCC)C(C)C ZINC001265833292 1109020217 /nfs/dbraw/zinc/02/02/17/1109020217.db2.gz ZTONDNKHFMMHLN-QWHCGFSZSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(F)F)cc1 ZINC001265841407 1109022667 /nfs/dbraw/zinc/02/26/67/1109022667.db2.gz NOLKPKOMFCNDGG-SNVBAGLBSA-N 0 1 302.752 3.085 20 30 DGEDMN CC[C@H](C)CCC(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001265843644 1109023547 /nfs/dbraw/zinc/02/35/47/1109023547.db2.gz KFJJQQNZKCVRAX-JKSUJKDBSA-N 0 1 318.436 3.098 20 30 DGEDMN CCCCCCC(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001265859358 1109029097 /nfs/dbraw/zinc/02/90/97/1109029097.db2.gz ZVLZHBDBXQZIFR-KRWDZBQOSA-N 0 1 300.446 3.103 20 30 DGEDMN CC1=CC[C@](C)(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CC1 ZINC001265867714 1109030666 /nfs/dbraw/zinc/03/06/66/1109030666.db2.gz WNGBZSHICVVHGX-NQIIRXRSSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001266108412 1109093193 /nfs/dbraw/zinc/09/31/93/1109093193.db2.gz WIZLZJIQNLGRAY-KGLIPLIRSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NCc1csc(C2CC2)n1 ZINC001266166509 1109112584 /nfs/dbraw/zinc/11/25/84/1109112584.db2.gz BZNAZLQDTRWKGK-LBPRGKRZSA-N 0 1 321.490 3.217 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)N[C@@H](C)c1ncc(C)o1 ZINC001266167566 1109113081 /nfs/dbraw/zinc/11/30/81/1109113081.db2.gz WYWAPROJOLZNEV-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2cncc(C)c2)CC1 ZINC001266187014 1109120231 /nfs/dbraw/zinc/12/02/31/1109120231.db2.gz LOLMZEDAQGZKEQ-UHFFFAOYSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001266186426 1109120247 /nfs/dbraw/zinc/12/02/47/1109120247.db2.gz CRMQSHPGNANJMA-HNNXBMFYSA-N 0 1 319.449 3.008 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001266212117 1109128637 /nfs/dbraw/zinc/12/86/37/1109128637.db2.gz JMEKYCKLUQPSHK-DRHVUCGNSA-N 0 1 324.468 3.474 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001266234030 1109134402 /nfs/dbraw/zinc/13/44/02/1109134402.db2.gz WJQRUJKMBAWOEH-ICRRLBEQSA-N 0 1 323.440 3.161 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1(C2CCN(CCF)CC2)CC1 ZINC001279360335 1109149350 /nfs/dbraw/zinc/14/93/50/1109149350.db2.gz LKUCNMZPDSBNEH-UHFFFAOYSA-N 0 1 308.441 3.085 20 30 DGEDMN CCCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001279539343 1109153831 /nfs/dbraw/zinc/15/38/31/1109153831.db2.gz CBMQIGMGFAPFDS-UXHICEINSA-N 0 1 324.468 3.294 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cccc(Cl)n2)CCC1 ZINC001280497539 1109213880 /nfs/dbraw/zinc/21/38/80/1109213880.db2.gz QUGUHTPYWDIZGB-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN(CCF)C1 ZINC001280888940 1109270222 /nfs/dbraw/zinc/27/02/22/1109270222.db2.gz FPAIQDNJPMTYFA-IAGOWNOFSA-N 0 1 322.468 3.099 20 30 DGEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)[C@@](C)(CC)CCC)O2 ZINC001280932403 1109275910 /nfs/dbraw/zinc/27/59/10/1109275910.db2.gz YGZVSEGGSZDKOG-AEFFLSMTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(CC(C)C)CCC2)C[C@@H]1C ZINC001281426662 1109350106 /nfs/dbraw/zinc/35/01/06/1109350106.db2.gz APZZAXLGEUMYQU-ZFWWWQNUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CC1(C)CC1 ZINC001281776387 1109407258 /nfs/dbraw/zinc/40/72/58/1109407258.db2.gz MXGWBUBABOOBLX-SFHVURJKSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cccc(C)c1F ZINC001281862271 1109412561 /nfs/dbraw/zinc/41/25/61/1109412561.db2.gz MEINVEXWFSSMTE-AWEZNQCLSA-N 0 1 324.827 3.471 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc2c(cccc2C)o1 ZINC001281966559 1109423516 /nfs/dbraw/zinc/42/35/16/1109423516.db2.gz AAUXOEWAJPAVBR-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CC(CN[C@H](C)c2ncc(C)o2)C1 ZINC001282010477 1109430179 /nfs/dbraw/zinc/43/01/79/1109430179.db2.gz BXALJMGKDZACME-WLYUNCDWSA-N 0 1 319.449 3.131 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001282124479 1109453814 /nfs/dbraw/zinc/45/38/14/1109453814.db2.gz ONESJCCPSCPHDQ-MIWOTCCTSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCCc1ccsc1 ZINC001282146348 1109457637 /nfs/dbraw/zinc/45/76/37/1109457637.db2.gz KIPYUQMZWGYRCP-STQMWFEESA-N 0 1 314.882 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C)nc1C(C)C ZINC001282173043 1109462725 /nfs/dbraw/zinc/46/27/25/1109462725.db2.gz HJGZSTMAKTZOEV-KBPBESRZSA-N 0 1 323.868 3.362 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1nc2ccccc2o1 ZINC001282181621 1109464198 /nfs/dbraw/zinc/46/41/98/1109464198.db2.gz BBHPHZZLLGWYFA-UONOGXRCSA-N 0 1 315.417 3.167 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2ccccc2[nH]1 ZINC001282225641 1109470893 /nfs/dbraw/zinc/47/08/93/1109470893.db2.gz BEARUYPNKKQXEO-NEPJUHHUSA-N 0 1 305.809 3.017 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CC(C)(C)CNCc1ncc(C)o1 ZINC001282295012 1109480706 /nfs/dbraw/zinc/48/07/06/1109480706.db2.gz KWGHIZDCKBDFRJ-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@@H](C)c1ccccc1F ZINC001282378266 1109497679 /nfs/dbraw/zinc/49/76/79/1109497679.db2.gz MWCICKWIJQHHGE-CABCVRRESA-N 0 1 304.409 3.127 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC001282470499 1109519530 /nfs/dbraw/zinc/51/95/30/1109519530.db2.gz ZBXCHRJPZHWQSN-RYGJVYDSSA-N 0 1 320.436 3.013 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001282485098 1109523284 /nfs/dbraw/zinc/52/32/84/1109523284.db2.gz JMCXWVZRGHTLJQ-WMZOPIPTSA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(Cl)ccc1Cl ZINC001282486006 1109523498 /nfs/dbraw/zinc/52/34/98/1109523498.db2.gz OAFNZCAAOLNQRI-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1c2ccccc2oc1CC ZINC001282497505 1109525980 /nfs/dbraw/zinc/52/59/80/1109525980.db2.gz OXTUIYWMIQSLIY-AWEZNQCLSA-N 0 1 312.413 3.069 20 30 DGEDMN CC[C@@H](F)CN1CCCCC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001282668402 1109548449 /nfs/dbraw/zinc/54/84/49/1109548449.db2.gz XOQRKLXXUFAJBL-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](CCCC)C(C)C ZINC001282680393 1109551081 /nfs/dbraw/zinc/55/10/81/1109551081.db2.gz ARFGGOBJWRDKCT-MSOLQXFVSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@]2(C1)CN(CCCC(C)C)CCO2 ZINC001282771997 1109563026 /nfs/dbraw/zinc/56/30/26/1109563026.db2.gz DVVOOZQJDFWNMC-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2ccncc2c1 ZINC001283693682 1109675829 /nfs/dbraw/zinc/67/58/29/1109675829.db2.gz PGAXBRNVYZEVEV-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2oc(C(C)C)nc2C)[C@H]1C ZINC001283866272 1109691517 /nfs/dbraw/zinc/69/15/17/1109691517.db2.gz JYDXEWJOXNINJO-HUUCEWRRSA-N 0 1 319.449 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cnccc2C)[C@H]1C ZINC001284132272 1109745044 /nfs/dbraw/zinc/74/50/44/1109745044.db2.gz VMCGCROTHWPNIK-DOTOQJQBSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C1CCC1)C(C)(C)C ZINC001284602236 1109822994 /nfs/dbraw/zinc/82/29/94/1109822994.db2.gz BQVMGKOTSHOCMB-TZMCWYRMSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCCCC(=O)N[C@@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001284625359 1109827392 /nfs/dbraw/zinc/82/73/92/1109827392.db2.gz PNPCDPLREVNSML-AWEZNQCLSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CCCCCn1c(C2CC2)nnc1N1CCN(CC)[C@H](C)C1 ZINC001286687904 1110096534 /nfs/dbraw/zinc/09/65/34/1110096534.db2.gz TVBMMLMDKUUOEC-OAHLLOKOSA-N 0 1 317.481 3.042 20 30 DGEDMN Cc1ccc2[nH]c(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)cc2c1 ZINC001301792375 1111099875 /nfs/dbraw/zinc/09/98/75/1111099875.db2.gz KDUXCRUXEOHCKK-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1ccc2nc(-c3ccncc3)[nH]c2c1 ZINC001306892821 1111248145 /nfs/dbraw/zinc/24/81/45/1111248145.db2.gz HGTHLQIRNHIEOO-NSHDSACASA-N 0 1 305.341 3.113 20 30 DGEDMN Cc1nc(NCCN2CCCC(C)(C)C2)c(Cl)cc1C#N ZINC001307106126 1111266384 /nfs/dbraw/zinc/26/63/84/1111266384.db2.gz PTTSHWTYLGJOIB-UHFFFAOYSA-N 0 1 306.841 3.449 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)c2ccc(F)cc2Cl)CC1 ZINC001307440418 1111300566 /nfs/dbraw/zinc/30/05/66/1111300566.db2.gz LUXFJBYYVJUKAY-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C#CCC[C@@H](O)CNCc1oc2ccccc2c1Br ZINC001308507524 1111436039 /nfs/dbraw/zinc/43/60/39/1111436039.db2.gz OEAOEXQVGFHWIF-LLVKDONJSA-N 0 1 322.202 3.059 20 30 DGEDMN C#Cc1ccc(CNc2nc3cc(OC)c(OC)cc3[nH]2)cc1 ZINC001308506328 1111436232 /nfs/dbraw/zinc/43/62/32/1111436232.db2.gz VBAHDVSISNHXOM-UHFFFAOYSA-N 0 1 307.353 3.174 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2ccc(C(=O)OC)cc2)o1)C(C)C ZINC001308751554 1111470116 /nfs/dbraw/zinc/47/01/16/1111470116.db2.gz UMYRIFLNWNOCTQ-KRWDZBQOSA-N 0 1 311.381 3.481 20 30 DGEDMN C#C[C@@H](C)NCc1c(Oc2ccc(F)cc2)nc2ccccn21 ZINC001308754533 1111470625 /nfs/dbraw/zinc/47/06/25/1111470625.db2.gz IOAVUPAZPQXLIM-CYBMUJFWSA-N 0 1 309.344 3.377 20 30 DGEDMN C#C[C@H](N[C@@H](C)Cc1ccno1)c1ccc(Br)cc1 ZINC001308783692 1111474700 /nfs/dbraw/zinc/47/47/00/1111474700.db2.gz CQBOWUJKOHQHSH-NHYWBVRUSA-N 0 1 319.202 3.332 20 30 DGEDMN Cc1ccccc1-n1cc(CNCc2ccc(C#N)c(F)c2)cn1 ZINC001308820340 1111480151 /nfs/dbraw/zinc/48/01/51/1111480151.db2.gz QHCOFQQWGQQFLQ-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN C[C@@H]1CCN(CC#Cc2ccccc2)C[C@@H]1C(=O)OC(C)(C)C ZINC001308836514 1111481700 /nfs/dbraw/zinc/48/17/00/1111481700.db2.gz NVKQWQMDJZHZSB-AEFFLSMTSA-N 0 1 313.441 3.338 20 30 DGEDMN C#CCC1(C(=O)NCCC[N@H+](C)C[C@H](F)CC)CCCCC1 ZINC001316866698 1111651849 /nfs/dbraw/zinc/65/18/49/1111651849.db2.gz AXAWZOIDWZXRKS-MRXNPFEDSA-N 0 1 310.457 3.146 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1C[C@H](C)N(CC)C[C@H]1C ZINC001313002112 1111687217 /nfs/dbraw/zinc/68/72/17/1111687217.db2.gz QBLNKDJMSSGRTB-HZMVEIRTSA-N 0 1 307.482 3.245 20 30 DGEDMN CCCCN(C(=O)NCC#CCN(C)C)[C@@H](C)c1ccc(C)o1 ZINC001313439075 1111716396 /nfs/dbraw/zinc/71/63/96/1111716396.db2.gz SHOYIPODCWUHJS-INIZCTEOSA-N 0 1 319.449 3.026 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H]1C[C@@H](C(C)C)c2ccccc21 ZINC000278626771 214286978 /nfs/dbraw/zinc/28/69/78/214286978.db2.gz GPXJJSUUYXYABN-DLBZAZTESA-N 0 1 307.459 3.061 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](CC)c1ccc(Cl)s1 ZINC000272853663 210248839 /nfs/dbraw/zinc/24/88/39/210248839.db2.gz LMYICZPHBPQUJG-SNVBAGLBSA-N 0 1 307.868 3.043 20 30 DGEDMN CCc1nn(C)c(OC)c1CN[C@H](CC)c1ccc(C#N)cc1 ZINC000278673062 214316702 /nfs/dbraw/zinc/31/67/02/214316702.db2.gz JHPWLDUBLQJVPO-MRXNPFEDSA-N 0 1 312.417 3.104 20 30 DGEDMN CC(C)CN1CCN(C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000079092375 185156816 /nfs/dbraw/zinc/15/68/16/185156816.db2.gz FOXGMVBHDGGXMG-UHFFFAOYSA-N 0 1 320.824 3.017 20 30 DGEDMN Cc1ccnc(C)c1NS(=O)(=O)c1ccc(C#N)c(Cl)c1 ZINC000314303055 231129917 /nfs/dbraw/zinc/12/99/17/231129917.db2.gz CDQXQGOMJVXNSH-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CCc1cccnc1C(=O)C(C#N)c1nc2ccccc2n1C ZINC000346946135 529620615 /nfs/dbraw/zinc/62/06/15/529620615.db2.gz PPJCPJGYNQBISC-ZDUSSCGKSA-N 0 1 304.353 3.021 20 30 DGEDMN CC[C@H](C)C[C@H](C)NC([O-])=[NH+][C@@H]1CCc2nnc(C(C)C)n2C1 ZINC000330770077 529679845 /nfs/dbraw/zinc/67/98/45/529679845.db2.gz ATGKOYNSMWNWOW-MELADBBJSA-N 0 1 321.469 3.045 20 30 DGEDMN CC[C@H](C)C[C@H](C)[NH+]=C([O-])N[C@@H]1CCc2nnc(C(C)C)n2C1 ZINC000330770077 529679846 /nfs/dbraw/zinc/67/98/46/529679846.db2.gz ATGKOYNSMWNWOW-MELADBBJSA-N 0 1 321.469 3.045 20 30 DGEDMN CN(CC#Cc1cccc(Cl)c1)C[C@@H](O)Cc1ccccc1 ZINC000433062141 529823381 /nfs/dbraw/zinc/82/33/81/529823381.db2.gz NFVMITDWHOFIHL-IBGZPJMESA-N 0 1 313.828 3.227 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](C)c1ccccc1C(F)(F)F ZINC000066391189 432015883 /nfs/dbraw/zinc/01/58/83/432015883.db2.gz GQSSSEIFLJKHGI-WDEREUQCSA-N 0 1 300.324 3.047 20 30 DGEDMN Cc1ncsc1CNCc1cccc(OCCCC#N)c1 ZINC000105494180 432018660 /nfs/dbraw/zinc/01/86/60/432018660.db2.gz SQRJWTVIHDLYEG-UHFFFAOYSA-N 0 1 301.415 3.424 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000066855915 432023405 /nfs/dbraw/zinc/02/34/05/432023405.db2.gz QBVMHYWBZZNUNT-ZDUSSCGKSA-N 0 1 305.447 3.360 20 30 DGEDMN CC(C)Cc1nnc(NC(=O)c2c(F)cc(C#N)cc2F)s1 ZINC000152450746 186074819 /nfs/dbraw/zinc/07/48/19/186074819.db2.gz BPBRAHKHLKEEHP-UHFFFAOYSA-N 0 1 322.340 3.139 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000067785592 432040239 /nfs/dbraw/zinc/04/02/39/432040239.db2.gz XULOCEHPBCNDJS-GFCCVEGCSA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2cc([N+](=O)[O-])ccc2C)n1 ZINC000067785592 432040235 /nfs/dbraw/zinc/04/02/35/432040235.db2.gz XULOCEHPBCNDJS-GFCCVEGCSA-N 0 1 301.327 3.158 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)s1 ZINC000180603747 199183366 /nfs/dbraw/zinc/18/33/66/199183366.db2.gz HYCXMFPOBSTOQH-WFASDCNBSA-N 0 1 302.399 3.301 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](c2ccc(F)cc2)N(C)C)CCC1 ZINC000189075872 200344840 /nfs/dbraw/zinc/34/48/40/200344840.db2.gz QNSWSUDGAPRYFX-MRXNPFEDSA-N 0 1 304.409 3.291 20 30 DGEDMN N#Cc1ccc(O[C@H]2CC[N@H+](Cc3ccc(Cl)cc3)C2)nc1 ZINC000428810639 238031583 /nfs/dbraw/zinc/03/15/83/238031583.db2.gz HVLLUUKLYWNQBD-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3ccc(Cl)cc3)C2)nc1 ZINC000428810639 238031584 /nfs/dbraw/zinc/03/15/84/238031584.db2.gz HVLLUUKLYWNQBD-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(C/C=C\c3ccc(F)cc3)C2)nc1 ZINC000430175606 238069740 /nfs/dbraw/zinc/06/97/40/238069740.db2.gz CWHILZRKLLVBJU-QFRLHKFZSA-N 0 1 323.371 3.259 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCN(Cc3ccc(Cl)cc3)CC2)C1 ZINC000429724076 238058811 /nfs/dbraw/zinc/05/88/11/238058811.db2.gz LPMJMZCZTXWIHZ-DOTOQJQBSA-N 0 1 303.837 3.150 20 30 DGEDMN CC(C)c1nc([C@@H](C)N[C@@H](C)c2ccc(C#N)c(F)c2)n[nH]1 ZINC000271983635 209359791 /nfs/dbraw/zinc/35/97/91/209359791.db2.gz XOFODHQOMCBXBO-WDEREUQCSA-N 0 1 301.369 3.351 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)c(F)c1 ZINC000271910682 209317537 /nfs/dbraw/zinc/31/75/37/209317537.db2.gz ANYMMMRFNGHCIZ-SCLBCKFNSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1cc(CNCc2cccc(C(=O)NC3CC3)c2)ccc1C#N ZINC000443963345 239242141 /nfs/dbraw/zinc/24/21/41/239242141.db2.gz HNGGYCBPZBYOMW-UHFFFAOYSA-N 0 1 319.408 3.049 20 30 DGEDMN C[C@H](NCc1cccc(C(=O)NC2CC2)c1)c1cccc(C#N)c1 ZINC000271844091 209261106 /nfs/dbraw/zinc/26/11/06/209261106.db2.gz HGZZFAGIEULSGQ-AWEZNQCLSA-N 0 1 319.408 3.301 20 30 DGEDMN C[C@@H](NC(=O)CNC1(c2cccc(C#N)c2)CC1)c1ccccc1 ZINC000271838461 209258608 /nfs/dbraw/zinc/25/86/08/209258608.db2.gz VMMHOYJZZDZOLJ-OAHLLOKOSA-N 0 1 319.408 3.014 20 30 DGEDMN C[C@H](N[C@@H](C[S@@](C)=O)c1ccccc1)c1ccc(C#N)cc1 ZINC000248612844 299350761 /nfs/dbraw/zinc/35/07/61/299350761.db2.gz YBXYQSPRCDTRFB-VELBRHGASA-N 0 1 312.438 3.329 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000275358542 212290571 /nfs/dbraw/zinc/29/05/71/212290571.db2.gz SENOLMYTAKRFMW-DZGCQCFKSA-N 0 1 312.417 3.465 20 30 DGEDMN Cc1c([C@@H](C)NCC#Cc2ccc(C(F)(F)F)cc2)cnn1C ZINC000180926280 432106501 /nfs/dbraw/zinc/10/65/01/432106501.db2.gz LOMIIRGLCYHCMQ-GFCCVEGCSA-N 0 1 321.346 3.450 20 30 DGEDMN CCc1ccc(CN2CCCN(c3ccccc3C#N)CC2)o1 ZINC000091792411 193109258 /nfs/dbraw/zinc/10/92/58/193109258.db2.gz IVJISTXMPUYVLU-UHFFFAOYSA-N 0 1 309.413 3.426 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000451425923 241119377 /nfs/dbraw/zinc/11/93/77/241119377.db2.gz VJHOZEFJALWYKE-GVDBMIGSSA-N 0 1 304.821 3.337 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1)N(C)C ZINC000456105346 242350807 /nfs/dbraw/zinc/35/08/07/242350807.db2.gz GONYTRMWFJYZGI-AWEZNQCLSA-N 0 1 303.406 3.014 20 30 DGEDMN Cc1nc(Sc2nc(-c3ccccc3F)n[nH]2)ccc1C#N ZINC000487938426 245125345 /nfs/dbraw/zinc/12/53/45/245125345.db2.gz APZHYVKNVMXTRT-UHFFFAOYSA-N 0 1 311.345 3.337 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)[N@@H+](CC)CCc1ccccc1 ZINC000488557725 245208529 /nfs/dbraw/zinc/20/85/29/245208529.db2.gz VEXWYNROZUPHDM-QGZVFWFLSA-N 0 1 302.462 3.364 20 30 DGEDMN N#Cc1cccc(C2(C(=O)Nc3nc4ccc(F)cc4[nH]3)CC2)c1 ZINC000488957901 245254629 /nfs/dbraw/zinc/25/46/29/245254629.db2.gz VVHDQHRHOJSGOF-UHFFFAOYSA-N 0 1 320.327 3.244 20 30 DGEDMN C#CCCNC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000264910468 204261931 /nfs/dbraw/zinc/26/19/31/204261931.db2.gz QZXRQNBVPIXCMY-UHFFFAOYSA-N 0 1 306.409 3.072 20 30 DGEDMN CCN(CC(=O)N1CCC(C)CC1)[C@@H](C)c1ccc(C#N)cc1 ZINC000125775572 284283639 /nfs/dbraw/zinc/28/36/39/284283639.db2.gz CYUQRQUGEHKSHA-INIZCTEOSA-N 0 1 313.445 3.200 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1cccc(OC(F)F)c1 ZINC000271736930 209170121 /nfs/dbraw/zinc/17/01/21/209170121.db2.gz HSQBXEGALGLJSW-CYBMUJFWSA-N 0 1 324.371 3.139 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)s1 ZINC000288398141 220131668 /nfs/dbraw/zinc/13/16/68/220131668.db2.gz HQAKIQSYELAOSI-HNNXBMFYSA-N 0 1 306.818 3.486 20 30 DGEDMN C=CCC[C@@H](O)CN1CCc2c(Br)cc(C)cc2C1 ZINC000494423981 246146651 /nfs/dbraw/zinc/14/66/51/246146651.db2.gz GZBYZNZUEDHBDB-CQSZACIVSA-N 0 1 324.262 3.443 20 30 DGEDMN C[C@@H](CC#N)N(C)CC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000495524501 246275589 /nfs/dbraw/zinc/27/55/89/246275589.db2.gz AUWGJJDRLQPDSR-GJZGRUSLSA-N 0 1 309.454 3.258 20 30 DGEDMN CN(CCCN(C)C(=O)OC(C)(C)C)Cc1ccc(C#N)s1 ZINC000496255301 246373087 /nfs/dbraw/zinc/37/30/87/246373087.db2.gz MXNVUIMUQBHWTR-UHFFFAOYSA-N 0 1 323.462 3.309 20 30 DGEDMN Cc1cc(C)n(-c2ccccc2CNCc2ccc(C#N)o2)n1 ZINC000152292630 284612877 /nfs/dbraw/zinc/61/28/77/284612877.db2.gz MPYKBMJBKBOYRX-UHFFFAOYSA-N 0 1 306.369 3.244 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000337765017 253171364 /nfs/dbraw/zinc/17/13/64/253171364.db2.gz RTPRJDDONYWSMH-QGZVFWFLSA-N 0 1 323.396 3.027 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)N[C@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000338122014 253226742 /nfs/dbraw/zinc/22/67/42/253226742.db2.gz XKNYYKIXOZZPID-RBUKOAKNSA-N 0 1 319.408 3.042 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)c1c[nH]c2ncccc12 ZINC000338363225 253273574 /nfs/dbraw/zinc/27/35/74/253273574.db2.gz QYCZCWUTGFICBX-CHWSQXEVSA-N 0 1 318.380 3.357 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000338578964 253314485 /nfs/dbraw/zinc/31/44/85/253314485.db2.gz FICLFVSHJLYIRA-UHFFFAOYSA-N 0 1 320.352 3.394 20 30 DGEDMN Cc1cc([C@@H]2CCCN(c3nccc(C#N)c3Cl)C2)[nH]n1 ZINC000342549109 254005759 /nfs/dbraw/zinc/00/57/59/254005759.db2.gz NTMCSVOXMDQJOW-GFCCVEGCSA-N 0 1 301.781 3.022 20 30 DGEDMN CC(C)(O)CN(Cc1ccccc1)Cc1cccc(C#N)c1F ZINC000343383829 254135099 /nfs/dbraw/zinc/13/50/99/254135099.db2.gz VAJSVQBFZQTGBJ-UHFFFAOYSA-N 0 1 312.388 3.470 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(C#N)c(F)c1 ZINC000344078075 254221622 /nfs/dbraw/zinc/22/16/22/254221622.db2.gz XXZPQDKPZRKIPF-UHFFFAOYSA-N 0 1 323.371 3.218 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(OC)c(Cn2cccn2)c1 ZINC000271551085 209005608 /nfs/dbraw/zinc/00/56/08/209005608.db2.gz VRDMHTGDRHAGQW-CYBMUJFWSA-N 0 1 305.809 3.343 20 30 DGEDMN COc1cc(C#N)ccc1CSc1nc(C(C)(C)C)n[nH]1 ZINC000154969367 197008730 /nfs/dbraw/zinc/00/87/30/197008730.db2.gz YQELJJXAAWMHDN-UHFFFAOYSA-N 0 1 302.403 3.275 20 30 DGEDMN COc1cc(C#N)ccc1CSc1n[nH]c(C(C)(C)C)n1 ZINC000154969367 197008732 /nfs/dbraw/zinc/00/87/32/197008732.db2.gz YQELJJXAAWMHDN-UHFFFAOYSA-N 0 1 302.403 3.275 20 30 DGEDMN CCc1ccc([C@@H](C)NCC(=O)Nc2sccc2C#N)cc1 ZINC000120442344 195167718 /nfs/dbraw/zinc/16/77/18/195167718.db2.gz HHBBGCNRRPWZMO-GFCCVEGCSA-N 0 1 313.426 3.471 20 30 DGEDMN CC[C@@H](Cc1ccccc1)N(C)CC(=O)Nc1cccc(C#N)c1 ZINC000303871207 285286026 /nfs/dbraw/zinc/28/60/26/285286026.db2.gz HUVUTIRRJGEBDU-IBGZPJMESA-N 0 1 321.424 3.450 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000305122689 285291397 /nfs/dbraw/zinc/29/13/97/285291397.db2.gz HNMYIGXWBOOXDG-IAQYHMDHSA-N 0 1 312.335 3.254 20 30 DGEDMN COCCCCCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000174127874 533234899 /nfs/dbraw/zinc/23/48/99/533234899.db2.gz MLFCUUUUHKUTPM-UHFFFAOYSA-N 0 1 319.449 3.131 20 30 DGEDMN COc1cc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cc(C)n1 ZINC000337218936 533560707 /nfs/dbraw/zinc/56/07/07/533560707.db2.gz JKNUKGWZGQITFT-ZDUSSCGKSA-N 0 1 320.352 3.073 20 30 DGEDMN C=C[C@H](CO)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289857687 335066601 /nfs/dbraw/zinc/06/66/01/335066601.db2.gz QUHOLQGLASUGES-IUODEOHRSA-N 0 1 302.443 3.240 20 30 DGEDMN C=C[C@@H](CO)NCc1cc(Br)cc(C(F)(F)F)c1 ZINC000289865253 335066671 /nfs/dbraw/zinc/06/66/71/335066671.db2.gz OSHNTUIEHFOPMS-NSHDSACASA-N 0 1 324.140 3.104 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)c(C)c2)cc1NC(C)=O ZINC000443989922 533674121 /nfs/dbraw/zinc/67/41/21/533674121.db2.gz MVOBVJFOCOGDLY-UHFFFAOYSA-N 0 1 323.396 3.124 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1CCCN(c2ccccc2Cl)C1 ZINC000581408222 400079446 /nfs/dbraw/zinc/07/94/46/400079446.db2.gz LNLOSQYXHKCGEL-HUUCEWRRSA-N 0 1 316.836 3.342 20 30 DGEDMN Cc1cc(C[C@H](C)NC[C@@H](C#N)CCC#N)n(-c2ccccc2)n1 ZINC000581721341 400082217 /nfs/dbraw/zinc/08/22/17/400082217.db2.gz QKCBOTUGYXNSJQ-DOTOQJQBSA-N 0 1 321.428 3.145 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@@H](C)c2ccc(C)c(C)c2)CC1 ZINC000600680049 400128318 /nfs/dbraw/zinc/12/83/18/400128318.db2.gz VYCXOUFYUBIUJO-INIZCTEOSA-N 0 1 300.446 3.379 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2ccccc2C)CC1 ZINC000066921079 400128760 /nfs/dbraw/zinc/12/87/60/400128760.db2.gz JVUCIBJYAXZBDR-IBGZPJMESA-N 0 1 310.441 3.004 20 30 DGEDMN N#Cc1ccc(C2=CCN(CCO[C@H]3CC3(F)F)CC2)cc1 ZINC000595406574 400141206 /nfs/dbraw/zinc/14/12/06/400141206.db2.gz DKSWWEVZIASIRE-INIZCTEOSA-N 0 1 304.340 3.072 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)c2ccc(C(C)(C)C)cc2)CC1 ZINC000067214250 400152934 /nfs/dbraw/zinc/15/29/34/400152934.db2.gz POMPBOIESPSMTR-UHFFFAOYSA-N 0 1 312.457 3.292 20 30 DGEDMN CC(C)[C@H](NCC(=O)N[C@](C)(C#N)C(C)C)c1ccccc1F ZINC000595692153 400230040 /nfs/dbraw/zinc/23/00/40/400230040.db2.gz LBBYBJLLBTZDNI-ZWKOTPCHSA-N 0 1 319.424 3.167 20 30 DGEDMN CC(C)[C@@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)c1ccccc1F ZINC000595694923 400230591 /nfs/dbraw/zinc/23/05/91/400230591.db2.gz WQELUEUIQVZBLS-XJKSGUPXSA-N 0 1 317.408 3.063 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(F)c(C#N)c2)C1 ZINC000093281209 400236488 /nfs/dbraw/zinc/23/64/88/400236488.db2.gz AAQGUZGNNBWXCM-OAHLLOKOSA-N 0 1 318.392 3.109 20 30 DGEDMN C[C@H](C#N)N(Cc1nc2ccc(Br)cc2[nH]1)C1CC1 ZINC000595710528 400238796 /nfs/dbraw/zinc/23/87/96/400238796.db2.gz SHIUPOJRSBNJPQ-SECBINFHSA-N 0 1 319.206 3.202 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1CCc2ccccc21)c1cccc(C#N)c1 ZINC000610345865 400245583 /nfs/dbraw/zinc/24/55/83/400245583.db2.gz ATSOQWVIXGQZMG-CABCVRRESA-N 0 1 319.408 3.187 20 30 DGEDMN Cc1cc(C)c(NS(=O)(=O)c2ccc(C#N)o2)c(Cl)c1 ZINC000588944702 400249018 /nfs/dbraw/zinc/24/90/18/400249018.db2.gz BVLKQSMOQUPQQU-UHFFFAOYSA-N 0 1 310.762 3.222 20 30 DGEDMN N#Cc1cccc(CNCc2ccc(OCC(F)(F)F)nc2)c1 ZINC000067669909 400191534 /nfs/dbraw/zinc/19/15/34/400191534.db2.gz NWVJVHJIBVGFPN-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN Cc1ccc(CCN2CCN(c3cccc(F)c3C#N)CC2)cc1 ZINC000609894453 400200496 /nfs/dbraw/zinc/20/04/96/400200496.db2.gz NOZQWTBCIOYSOF-UHFFFAOYSA-N 0 1 323.415 3.371 20 30 DGEDMN CCc1ccc(CNC2(c3cccc(C#N)c3)CCOCC2)nc1 ZINC000595767417 400254347 /nfs/dbraw/zinc/25/43/47/400254347.db2.gz AXFMTBWLVOGDEO-UHFFFAOYSA-N 0 1 321.424 3.311 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](CC#N)C2)c(-c2ccc(C#N)cc2)n1 ZINC000595619753 400209322 /nfs/dbraw/zinc/20/93/22/400209322.db2.gz GTWVYSIXTNRSDJ-INIZCTEOSA-N 0 1 319.412 3.084 20 30 DGEDMN CC(C)CN(C(=O)CNC(C)(C)c1cccc(C#N)c1)C1CC1 ZINC000595634500 400214381 /nfs/dbraw/zinc/21/43/81/400214381.db2.gz DCPOTERAKQCFSH-UHFFFAOYSA-N 0 1 313.445 3.030 20 30 DGEDMN COc1ccccc1NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595640636 400215296 /nfs/dbraw/zinc/21/52/96/400215296.db2.gz SHGJJFDRKQHGLO-UHFFFAOYSA-N 0 1 323.396 3.030 20 30 DGEDMN COc1cccc(OC)c1OCCCCN1CC[C@](C)(C#N)C1 ZINC000595841089 400279011 /nfs/dbraw/zinc/27/90/11/400279011.db2.gz HOGNOLDBXWHNOE-GOSISDBHSA-N 0 1 318.417 3.098 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1cc(C(=O)OC)ccc1[N+](=O)[O-] ZINC000595844586 400279215 /nfs/dbraw/zinc/27/92/15/400279215.db2.gz GRLXTBQWWPGIDO-GASCZTMLSA-N 0 1 316.357 3.064 20 30 DGEDMN CC(C)[C@H](N[C@@H](C)c1ccc(C#N)cc1F)[C@H](O)C(F)(F)F ZINC000601300982 400286237 /nfs/dbraw/zinc/28/62/37/400286237.db2.gz OCTSMDVUUFLPDO-HERUPUMHSA-N 0 1 318.314 3.296 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)cc1)C[C@H]1CCc2ccccc21 ZINC000595881087 400286310 /nfs/dbraw/zinc/28/63/10/400286310.db2.gz PUJCATFUFNPFHL-QGZVFWFLSA-N 0 1 319.408 3.159 20 30 DGEDMN CC[C@H](C)c1cc(=O)n(-c2cc(C#N)ccc2Br)[nH]1 ZINC000601946576 400383346 /nfs/dbraw/zinc/38/33/46/400383346.db2.gz CPSQXIIRZBDMQE-VIFPVBQESA-N 0 1 320.190 3.460 20 30 DGEDMN C[C@H]1CCc2c1[nH]n(-c1ccc(C#N)cc1C(F)(F)F)c2=O ZINC000601949354 400384930 /nfs/dbraw/zinc/38/49/30/400384930.db2.gz NJXSLMGAFQKJSF-WPRPVWTQSA-N 0 1 307.275 3.326 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c(C)c1 ZINC000611386128 400388772 /nfs/dbraw/zinc/38/87/72/400388772.db2.gz DJFWJZLEXWUSLL-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)NCC1CCCCC1 ZINC000611387483 400389589 /nfs/dbraw/zinc/38/95/89/400389589.db2.gz ANVKYVDCCOGPDO-HUUCEWRRSA-N 0 1 313.445 3.294 20 30 DGEDMN C[C@@H](NCC(=O)NCCC1CCCCC1)c1cccc(C#N)c1 ZINC000611387962 400390459 /nfs/dbraw/zinc/39/04/59/400390459.db2.gz IZQDOJYLOLBENW-OAHLLOKOSA-N 0 1 313.445 3.295 20 30 DGEDMN CCOc1ccccc1NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611388112 400390517 /nfs/dbraw/zinc/39/05/17/400390517.db2.gz CWVOGLSTUZXENU-CQSZACIVSA-N 0 1 323.396 3.246 20 30 DGEDMN CC(C)[C@H]1CCCN1CC(=O)Nc1ccccc1SCC#N ZINC000072581977 400390897 /nfs/dbraw/zinc/39/08/97/400390897.db2.gz FLHZNSDFTYJDJL-OAHLLOKOSA-N 0 1 317.458 3.361 20 30 DGEDMN CN(C)c1ncccc1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000611390535 400391300 /nfs/dbraw/zinc/39/13/00/400391300.db2.gz GHBUCEYTNRAOFJ-GOSISDBHSA-N 0 1 306.413 3.186 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1cn2ccsc2n1 ZINC000611391695 400391308 /nfs/dbraw/zinc/39/13/08/400391308.db2.gz ZSGXPRPKOIBHMC-MRXNPFEDSA-N 0 1 308.410 3.435 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(C)c2ccccc2C#N)o1 ZINC000611071816 400342809 /nfs/dbraw/zinc/34/28/09/400342809.db2.gz MCQOATMRVQEKHI-UHFFFAOYSA-N 0 1 311.385 3.270 20 30 DGEDMN COc1cc2ccnc(N3CCC(C)(C#N)CC3)c2cc1OC ZINC000596189147 400345224 /nfs/dbraw/zinc/34/52/24/400345224.db2.gz OSYDURPEPPKROD-UHFFFAOYSA-N 0 1 311.385 3.382 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc3nc[nH]c3c2)C[C@H]1c1ccccc1 ZINC000580751137 400347055 /nfs/dbraw/zinc/34/70/55/400347055.db2.gz GQYJBLPMZDVZIO-SJORKVTESA-N 0 1 302.381 3.302 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2N[C@@H](CO)c1ccc(F)cc1 ZINC000611394908 400392236 /nfs/dbraw/zinc/39/22/36/400392236.db2.gz SGZFFZPFJGDCOZ-MOPGFXCFSA-N 0 1 310.372 3.398 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2nc3ncccc3cc2C#N)n[nH]1 ZINC000596205116 400349718 /nfs/dbraw/zinc/34/97/18/400349718.db2.gz GMJXHVNGOFYACF-WDEREUQCSA-N 0 1 321.388 3.306 20 30 DGEDMN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000072698398 400398398 /nfs/dbraw/zinc/39/83/98/400398398.db2.gz MIMKDSDEQMPRII-BXUZGUMPSA-N 0 1 305.809 3.271 20 30 DGEDMN CC1CCN([C@@H](CNC(=O)C(C)(C)C#N)c2cccs2)CC1 ZINC000042127692 400423922 /nfs/dbraw/zinc/42/39/22/400423922.db2.gz LZKOWNYDQPWRIO-AWEZNQCLSA-N 0 1 319.474 3.187 20 30 DGEDMN N#Cc1cc2c(nc1NC[C@H]1CCN1Cc1ccccc1)CCC2 ZINC000596558923 400444194 /nfs/dbraw/zinc/44/41/94/400444194.db2.gz URBSNXHNJVNZCV-GOSISDBHSA-N 0 1 318.424 3.128 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC000596597984 400454526 /nfs/dbraw/zinc/45/45/26/400454526.db2.gz HNPOUVSGIPOHEK-AWEZNQCLSA-N 0 1 308.429 3.437 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC000596597986 400454749 /nfs/dbraw/zinc/45/47/49/400454749.db2.gz HNPOUVSGIPOHEK-CQSZACIVSA-N 0 1 308.429 3.437 20 30 DGEDMN N#Cc1cccc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)n1 ZINC000602583849 400505054 /nfs/dbraw/zinc/50/50/54/400505054.db2.gz ZRJRRJTYKNUHDP-BEFAXECRSA-N 0 1 307.397 3.211 20 30 DGEDMN CN(C)[C@@H](CNC(=O)Nc1cccc(C#N)c1)c1cccs1 ZINC000043329970 400463426 /nfs/dbraw/zinc/46/34/26/400463426.db2.gz XCYDTWDRGXMSQO-AWEZNQCLSA-N 0 1 314.414 3.044 20 30 DGEDMN C[C@H](Oc1ccc(F)cc1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000073973256 400482555 /nfs/dbraw/zinc/48/25/55/400482555.db2.gz JQRTXAVMFBLXDI-VIFPVBQESA-N 0 1 316.292 3.259 20 30 DGEDMN CC1=CCN(CCNc2cc(C(F)(F)F)cnc2C#N)CC1 ZINC000591155202 400495162 /nfs/dbraw/zinc/49/51/62/400495162.db2.gz YGFVVUUCWJCSQI-UHFFFAOYSA-N 0 1 310.323 3.036 20 30 DGEDMN COc1ccc(Cl)cc1NC(=O)C[C@@H](C)NCC(C)(C)C#N ZINC000612585281 400570216 /nfs/dbraw/zinc/57/02/16/400570216.db2.gz UWCVICINOYSRMV-LLVKDONJSA-N 0 1 323.824 3.205 20 30 DGEDMN COC(=O)c1oc(CN[C@H]2CCCc3cc(C#N)ccc32)cc1C ZINC000597152686 400571722 /nfs/dbraw/zinc/57/17/22/400571722.db2.gz CFXYUFFCAHBOER-KRWDZBQOSA-N 0 1 324.380 3.414 20 30 DGEDMN N#CCC1(CN2CC=C(c3ccc4c(c3)OCCO4)CC2)CC1 ZINC000602796286 400575953 /nfs/dbraw/zinc/57/59/53/400575953.db2.gz DYCKZXOZQVKXTO-UHFFFAOYSA-N 0 1 310.397 3.241 20 30 DGEDMN CCOCc1cc(CN2CCC[C@@H](CC#N)C2)ccc1OC ZINC000597174439 400575948 /nfs/dbraw/zinc/57/59/48/400575948.db2.gz QNWPIPMVJUKHHK-HNNXBMFYSA-N 0 1 302.418 3.357 20 30 DGEDMN CC1(C)OCc2cc(CN3CCC[C@H](CC#N)C3)ccc2O1 ZINC000597177537 400577617 /nfs/dbraw/zinc/57/76/17/400577617.db2.gz OORYOWYUGFPQMU-CQSZACIVSA-N 0 1 300.402 3.457 20 30 DGEDMN COc1cc2c(cc1CN1CCC[C@H](CC#N)C1)O[C@@H](C)C2 ZINC000597175476 400577844 /nfs/dbraw/zinc/57/78/44/400577844.db2.gz ZUCWCFJXODQLPI-UONOGXRCSA-N 0 1 300.402 3.144 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(NC[C@@H](C)CCC#N)c1 ZINC000602663489 400529954 /nfs/dbraw/zinc/52/99/54/400529954.db2.gz UNPCTHTYELJNIS-HNNXBMFYSA-N 0 1 316.449 3.319 20 30 DGEDMN Cc1cc(CN2CCSCC2)ccc1NC(=O)[C@@H](C)CC#N ZINC000597032472 400543861 /nfs/dbraw/zinc/54/38/61/400543861.db2.gz QHBUYPDUOARPJZ-ZDUSSCGKSA-N 0 1 317.458 3.032 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](N[C@H]1CCCC[C@@H]1C#N)CC2 ZINC000602710185 400548300 /nfs/dbraw/zinc/54/83/00/400548300.db2.gz VIEWCQBXEZCHEB-VNQPRFMTSA-N 0 1 300.402 3.363 20 30 DGEDMN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597111181 400559208 /nfs/dbraw/zinc/55/92/08/400559208.db2.gz WPCWWDXNFDBPLV-JQHSSLGASA-N 0 1 324.424 3.015 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CCC)Cc1ccc(C#N)cc1 ZINC000047739904 400629791 /nfs/dbraw/zinc/62/97/91/400629791.db2.gz ZGBKHUCUHYWPCK-UHFFFAOYSA-N 0 1 313.445 3.195 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C(=O)[C@H](C)CC#N)C3)[nH]c2c1 ZINC000597426823 400629726 /nfs/dbraw/zinc/62/97/26/400629726.db2.gz PJWRWWFIJJMRIR-KGLIPLIRSA-N 0 1 310.401 3.127 20 30 DGEDMN N#Cc1ccc(N2CCC[C@@H]2c2nc(C3(N)CCCC3)no2)cc1 ZINC000592354004 400636447 /nfs/dbraw/zinc/63/64/47/400636447.db2.gz FINOPDVKCVVYPV-OAHLLOKOSA-N 0 1 323.400 3.011 20 30 DGEDMN CCC[C@H]1CN(C(=O)[C@H](C)CC#N)CCN1Cc1ccccc1 ZINC000597481898 400637101 /nfs/dbraw/zinc/63/71/01/400637101.db2.gz WIZUPUOFFBVTLX-AEFFLSMTSA-N 0 1 313.445 3.049 20 30 DGEDMN N#CC(C(=O)c1cccc([N+](=O)[O-])c1)c1nc(C2CC2)cs1 ZINC000592399444 400646697 /nfs/dbraw/zinc/64/66/97/400646697.db2.gz QFYBFVUFVQHSGG-GFCCVEGCSA-N 0 1 313.338 3.419 20 30 DGEDMN N#C[C@H](C(=O)c1cccc([N+](=O)[O-])c1)c1nc(C2CC2)cs1 ZINC000592399444 400646699 /nfs/dbraw/zinc/64/66/99/400646699.db2.gz QFYBFVUFVQHSGG-GFCCVEGCSA-N 0 1 313.338 3.419 20 30 DGEDMN C/C(=C\c1ccccc1OC(F)F)CN1CC[C@](O)(CC#N)C1 ZINC000592522241 400663771 /nfs/dbraw/zinc/66/37/71/400663771.db2.gz JRMSBTDTBONWPS-JIIJFUIFSA-N 0 1 322.355 3.042 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(-c3ccc(F)cc3)s2)C1 ZINC000592523230 400663795 /nfs/dbraw/zinc/66/37/95/400663795.db2.gz JOWNMCDRZBXVLH-KRWDZBQOSA-N 0 1 316.401 3.405 20 30 DGEDMN C/C(=C/c1ccccc1C(F)(F)F)CN1CC[C@@](O)(CC#N)C1 ZINC000592522746 400664130 /nfs/dbraw/zinc/66/41/30/400664130.db2.gz QVWCCAQUGMERPH-DDKJEQMHSA-N 0 1 324.346 3.459 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@H]1CCCc2ccccc21 ZINC000603822209 400718341 /nfs/dbraw/zinc/71/83/41/400718341.db2.gz SGWDIVUECHSLNI-HKUYNNGSSA-N 0 1 313.445 3.050 20 30 DGEDMN COc1ccccc1-c1n[nH]c(NC(=O)COC2CCCC2)n1 ZINC000052779197 400747367 /nfs/dbraw/zinc/74/73/67/400747367.db2.gz SOCPNWQTVJFCHB-UHFFFAOYSA-N 0 1 316.361 3.028 20 30 DGEDMN N#CC[C@H]1CCCN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000597906915 400692924 /nfs/dbraw/zinc/69/29/24/400692924.db2.gz SYEDVDPBZKPUKY-CYBMUJFWSA-N 0 1 300.406 3.223 20 30 DGEDMN N#CC[C@H]1CCCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000597909997 400693658 /nfs/dbraw/zinc/69/36/58/400693658.db2.gz SRSUJJNGIQFKRF-OAHLLOKOSA-N 0 1 320.396 3.013 20 30 DGEDMN Cc1nn2c(CN[C@@H]3CCc4cc(C#N)ccc43)c(C)nc2s1 ZINC000618831346 400695307 /nfs/dbraw/zinc/69/53/07/400695307.db2.gz MDETXZGWAROWGK-OAHLLOKOSA-N 0 1 323.425 3.056 20 30 DGEDMN CCC(CC)[C@H](CNc1ccc(C#N)cc1)N1CCOCC1 ZINC000603595293 400702732 /nfs/dbraw/zinc/70/27/32/400702732.db2.gz XDFNWGPNFXFQOB-SFHVURJKSA-N 0 1 301.434 3.107 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@@](C)(C#N)C(C)(C)C)o1 ZINC000613366124 400704474 /nfs/dbraw/zinc/70/44/74/400704474.db2.gz BOGVXXQGICKLRH-KRWDZBQOSA-N 0 1 305.422 3.180 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)Cc1cccc2ccccc21 ZINC000051992948 400711469 /nfs/dbraw/zinc/71/14/69/400711469.db2.gz LARVPEBRIAGEMZ-FQEVSTJZSA-N 0 1 323.440 3.326 20 30 DGEDMN C[N@@H+]1CCN(Cc2ccc(CC#N)cc2)[C@H](Cc2ccccc2)C1 ZINC000593088605 400758263 /nfs/dbraw/zinc/75/82/63/400758263.db2.gz ILCAPMGVRDSHHW-OAQYLSRUSA-N 0 1 319.452 3.111 20 30 DGEDMN CCCOc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1 ZINC000619095347 400764341 /nfs/dbraw/zinc/76/43/41/400764341.db2.gz ZBWOKZPLLLSGQO-ZRDIBKRKSA-N 0 1 309.369 3.299 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1cc(=O)n2cc(C)ccc2n1 ZINC000078347032 400791464 /nfs/dbraw/zinc/79/14/64/400791464.db2.gz KNJOACGAUXERJZ-UHFFFAOYSA-N 0 1 319.408 3.191 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(Oc2ccc(C#N)cc2)cc1)N(C)C ZINC000078696606 400820122 /nfs/dbraw/zinc/82/01/22/400820122.db2.gz YXFIBPOEUNIUKL-ZDUSSCGKSA-N 0 1 309.369 3.239 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC(c2ccccc2F)CC1 ZINC000605577106 400877120 /nfs/dbraw/zinc/87/71/20/400877120.db2.gz KRZVRAOZPGVOTP-UHFFFAOYSA-N 0 1 316.420 3.206 20 30 DGEDMN C=CCN(CC=C)C(=O)CNC1(c2cccc(Cl)c2)CC1 ZINC000179667774 400879906 /nfs/dbraw/zinc/87/99/06/400879906.db2.gz UECGWMJVOAWBNY-UHFFFAOYSA-N 0 1 304.821 3.119 20 30 DGEDMN C=C(C)CN[C@@H](C)c1cc2c(cc1Br)OCCO2 ZINC000180483936 400884362 /nfs/dbraw/zinc/88/43/62/400884362.db2.gz GJWYDIQBYMQXCO-JTQLQIEISA-N 0 1 312.207 3.447 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN[C@@H](c1ccccc1)c1ccccn1 ZINC000598596285 400840462 /nfs/dbraw/zinc/84/04/62/400840462.db2.gz ZGQRCBWZLCWQHT-WMZOPIPTSA-N 0 1 309.413 3.061 20 30 DGEDMN N#CCc1cccc(S(=O)(=O)Nc2cccc3cccnc32)c1 ZINC000619461503 400851792 /nfs/dbraw/zinc/85/17/92/400851792.db2.gz NFHHYCMFANEGCQ-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN N#CCC1CCN(Cc2ccnn2CCc2ccccc2)CC1 ZINC000598675379 400855187 /nfs/dbraw/zinc/85/51/87/400855187.db2.gz YUNOVNPTSCVMDJ-UHFFFAOYSA-N 0 1 308.429 3.251 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(C#N)cc2C)[C@@H](CC)CN1C(C)=O ZINC000614402477 400893605 /nfs/dbraw/zinc/89/36/05/400893605.db2.gz YWFXZDYOTRDEON-RBUKOAKNSA-N 0 1 313.445 3.088 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@H](OCC(F)(F)F)C1 ZINC000614400968 400893656 /nfs/dbraw/zinc/89/36/56/400893656.db2.gz UFHBQUHILIBJRO-HNNXBMFYSA-N 0 1 312.335 3.410 20 30 DGEDMN C=C[C@H](Nc1cccc2c1C[N@H+]([C@@H](C)C(C)C)C2)C(=O)OC ZINC000619734816 400895318 /nfs/dbraw/zinc/89/53/18/400895318.db2.gz TUWWMKVGNNZHDO-BBRMVZONSA-N 0 1 302.418 3.186 20 30 DGEDMN C=CCN(CCC(=O)N1CCCCC1)Cc1ccccc1F ZINC000079889577 400904256 /nfs/dbraw/zinc/90/42/56/400904256.db2.gz XKHKZVSNHOAJQA-UHFFFAOYSA-N 0 1 304.409 3.216 20 30 DGEDMN C=CCN(CC=C)Cc1cccc(C(=O)NCc2ccco2)c1 ZINC000056493612 400930125 /nfs/dbraw/zinc/93/01/25/400930125.db2.gz XSQBUZDYGORXLV-UHFFFAOYSA-N 0 1 310.397 3.384 20 30 DGEDMN CCC(C#N)(CC)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000599258167 401003186 /nfs/dbraw/zinc/00/31/86/401003186.db2.gz SFHGANZQDJYAHS-HNNXBMFYSA-N 0 1 317.433 3.171 20 30 DGEDMN CN(C)[C@@H](CNC(=O)C1(C#N)CCCCC1)c1ccc(F)cc1 ZINC000057433251 401003641 /nfs/dbraw/zinc/00/36/41/401003641.db2.gz YEPNLWVKNDMLPS-INIZCTEOSA-N 0 1 317.408 3.019 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(C)c(C#N)c1 ZINC000599271074 401007127 /nfs/dbraw/zinc/00/71/27/401007127.db2.gz ICULGXWZFNGZFG-UHFFFAOYSA-N 0 1 319.408 3.387 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(C)c(C#N)c2)[C@@H](CC)CN1C(C)=O ZINC000599303691 401015730 /nfs/dbraw/zinc/01/57/30/401015730.db2.gz DZFSQHDJILNPED-RBUKOAKNSA-N 0 1 313.445 3.088 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)NC[C@H](C)[N@H+]1CCC[C@@H](C)C1 ZINC000058452442 401052426 /nfs/dbraw/zinc/05/24/26/401052426.db2.gz MEJAAROQRJXKKG-HIFRSBDPSA-N 0 1 314.433 3.109 20 30 DGEDMN C=CC[C@H]1CCN(Cc2csc(CC(=O)OC(C)C)n2)C1 ZINC000599526989 401068783 /nfs/dbraw/zinc/06/87/83/401068783.db2.gz ZPLDNWLRAYZWBN-ZDUSSCGKSA-N 0 1 308.447 3.035 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000599526485 401068893 /nfs/dbraw/zinc/06/88/93/401068893.db2.gz MTXHJTNROUBKOB-LBPRGKRZSA-N 0 1 303.793 3.048 20 30 DGEDMN Cc1ccc(CN2C[C@H](C)O[C@]3(CCO[C@@H](C)C3)C2)cc1C#N ZINC000599398662 401041893 /nfs/dbraw/zinc/04/18/93/401041893.db2.gz DURGCZZLNWBRHV-BXWFABGCSA-N 0 1 314.429 3.025 20 30 DGEDMN C[C@]12CCCC[C@H]1CN2Cc1nnc(-c2ccc(C#N)cc2)o1 ZINC000599675889 401109691 /nfs/dbraw/zinc/10/96/91/401109691.db2.gz VUHDRMBXXNCVED-YJBOKZPZSA-N 0 1 308.385 3.373 20 30 DGEDMN COc1ccc([C@H]2CCN(Cc3ccc(C#N)cn3)C2)cc1F ZINC000599679776 401111748 /nfs/dbraw/zinc/11/17/48/401111748.db2.gz OSTIKRCVHYWSJL-HNNXBMFYSA-N 0 1 311.360 3.090 20 30 DGEDMN COc1cccc(OCCN2CCc3c(CC#N)cccc3C2)c1 ZINC000599678577 401112052 /nfs/dbraw/zinc/11/20/52/401112052.db2.gz WBNHNVXCGUUOBA-UHFFFAOYSA-N 0 1 322.408 3.198 20 30 DGEDMN COC[C@@H](C)N(Cc1ccc(C#N)cn1)[C@@H]1CCc2ccccc21 ZINC000599682437 401115045 /nfs/dbraw/zinc/11/50/45/401115045.db2.gz JKZSFJUBDKUJRS-FOIQADDNSA-N 0 1 321.424 3.478 20 30 DGEDMN N#CC1(CCCN2CCOc3cc(F)ccc3C2)CCOCC1 ZINC000599688511 401116436 /nfs/dbraw/zinc/11/64/36/401116436.db2.gz ZVDRHBVPZDYFEB-UHFFFAOYSA-N 0 1 318.392 3.121 20 30 DGEDMN Cc1nc(N[C@H]2CCCN3CCCC[C@H]23)c(Cl)cc1C#N ZINC000594604379 401128816 /nfs/dbraw/zinc/12/88/16/401128816.db2.gz YTUZUJOFPOBJTC-LSDHHAIUSA-N 0 1 304.825 3.344 20 30 DGEDMN Cc1nc(N[C@@H]2CCCN3CCCC[C@@H]23)c(Cl)cc1C#N ZINC000594604374 401128911 /nfs/dbraw/zinc/12/89/11/401128911.db2.gz YTUZUJOFPOBJTC-CABCVRRESA-N 0 1 304.825 3.344 20 30 DGEDMN C[C@@H](N[C@H]1CCc2ccccc2NC1=O)c1ccc(C#N)c(F)c1 ZINC000594511772 401088919 /nfs/dbraw/zinc/08/89/19/401088919.db2.gz OKAHBRCAMWYBEO-XIKOKIGWSA-N 0 1 323.371 3.301 20 30 DGEDMN C=C(C)CN(C)[C@@H]1CCc2cc(Br)ccc2NC1=O ZINC000625314930 401095599 /nfs/dbraw/zinc/09/55/99/401095599.db2.gz QTVWZTWZYZPCRD-CQSZACIVSA-N 0 1 323.234 3.210 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2cc(-c3ccccc3O)[nH]n2)C1 ZINC000630018964 401158851 /nfs/dbraw/zinc/15/88/51/401158851.db2.gz MXHYIJUOCXBXRL-ZDUSSCGKSA-N 0 1 311.385 3.211 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(C)[C@@H](C)CCC ZINC000634387822 401277934 /nfs/dbraw/zinc/27/79/34/401277934.db2.gz JAJTUBHDOTWEJW-AWEZNQCLSA-N 0 1 316.453 3.101 20 30 DGEDMN C[C@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@H](O)C(F)(F)F ZINC000631235878 401433183 /nfs/dbraw/zinc/43/31/83/401433183.db2.gz KWDVTNVAPQRLPN-WKEGUHRASA-N 0 1 319.220 3.246 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000623175537 401469081 /nfs/dbraw/zinc/46/90/81/401469081.db2.gz ZMULTOMWRDPLKC-WFASDCNBSA-N 0 1 315.442 3.352 20 30 DGEDMN C=CCOc1c(Cl)cc(CNCc2c[nH]nc2C)cc1OC ZINC000623356486 401498838 /nfs/dbraw/zinc/49/88/38/401498838.db2.gz SVEVQCMJFUNHHU-UHFFFAOYSA-N 0 1 321.808 3.235 20 30 DGEDMN C=C(C)[C@H](CO)N[C@@H](C)c1ccc(Br)cc1OC ZINC000682540399 549337094 /nfs/dbraw/zinc/33/70/94/549337094.db2.gz SJSLJHRMYVJEOW-GWCFXTLKSA-N 0 1 314.223 3.045 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1[nH]nc2ccc(Br)cc21 ZINC000171796005 432173180 /nfs/dbraw/zinc/17/31/80/432173180.db2.gz VUSQIWULYJTLQY-UHFFFAOYSA-N 0 1 322.206 3.364 20 30 DGEDMN Cn1cc(CN[C@@H]2CCSc3c(F)cccc32)cc1C#N ZINC000190717983 432185213 /nfs/dbraw/zinc/18/52/13/432185213.db2.gz QGOYJESMUAMBPR-OAHLLOKOSA-N 0 1 301.390 3.363 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)nc1)Cc1cccc(C#N)c1 ZINC000130760905 196107645 /nfs/dbraw/zinc/10/76/45/196107645.db2.gz QZYMMVRPRMBBCB-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)Cc1ccc(N2CCCC2)nc1 ZINC000131176601 196146223 /nfs/dbraw/zinc/14/62/23/196146223.db2.gz OBFGHCORDPGJNH-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1ccc(N2CCCC2)nc1 ZINC000131176601 196146225 /nfs/dbraw/zinc/14/62/25/196146225.db2.gz OBFGHCORDPGJNH-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3c[nH]c(C#N)c3)c2C1 ZINC000182576931 432207303 /nfs/dbraw/zinc/20/73/03/432207303.db2.gz PYHXOAVCCZPOGJ-UHFFFAOYSA-N 0 1 308.385 3.110 20 30 DGEDMN CN(Cc1ccccc1)Cc1cccc(C(=O)NC2(C#N)CC2)c1 ZINC000172355263 432208230 /nfs/dbraw/zinc/20/82/30/432208230.db2.gz OGZQBVAMOBZDSV-UHFFFAOYSA-N 0 1 319.408 3.105 20 30 DGEDMN N#CC(C(=O)c1ccc([N+](=O)[O-])cc1)c1cnc2ccccc2n1 ZINC000191001265 432210506 /nfs/dbraw/zinc/21/05/06/432210506.db2.gz DUIGIAROANSVMX-CYBMUJFWSA-N 0 1 318.292 3.028 20 30 DGEDMN C[C@H](Sc1nc2ccc(Cl)cc2[nH]1)C(=O)N(C)CCC#N ZINC000052432882 226803092 /nfs/dbraw/zinc/80/30/92/226803092.db2.gz JHCIKQFWWCELBM-VIFPVBQESA-N 0 1 322.821 3.069 20 30 DGEDMN Cc1nn(C)c2ncc(CN[C@H](CCC#N)c3ccccc3)cc12 ZINC000130433453 227021182 /nfs/dbraw/zinc/02/11/82/227021182.db2.gz GGIHREAVMSZMML-GOSISDBHSA-N 0 1 319.412 3.411 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cc(C#N)ccc2Cl)cc1F ZINC000160761621 228248267 /nfs/dbraw/zinc/24/82/67/228248267.db2.gz UTPWIVHQKJPDBM-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3ccc(C#N)cc3)c2C)o1 ZINC000161597858 228253237 /nfs/dbraw/zinc/25/32/37/228253237.db2.gz TYONLGRQRBGKIV-UHFFFAOYSA-N 0 1 306.325 3.411 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(C#N)cc2Cl)ccc1F ZINC000169254566 228266986 /nfs/dbraw/zinc/26/69/86/228266986.db2.gz KJCMSGFZLQRPKT-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1cc([C@H](C)N[C@@H](C)C(=O)NC2(C#N)CCCCC2)c(C)o1 ZINC000183800158 228376506 /nfs/dbraw/zinc/37/65/06/228376506.db2.gz AYGPXULTYWHVCG-KBPBESRZSA-N 0 1 317.433 3.278 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(C(C)C)s2)nc(C)n1 ZINC000331313763 229028902 /nfs/dbraw/zinc/02/89/02/229028902.db2.gz HZQWHBTWQNURPO-NSHDSACASA-N 0 1 300.387 3.163 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SC[C@@H](C#N)CCC#N)n2)o1 ZINC000340665314 229090966 /nfs/dbraw/zinc/09/09/66/229090966.db2.gz OQTLVFFBNKDIBZ-ZHRWSRJISA-N 0 1 313.386 3.412 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2cccc3cn[nH]c32)c1 ZINC000341974854 229105310 /nfs/dbraw/zinc/10/53/10/229105310.db2.gz SXJLGGVMTZLUBG-UHFFFAOYSA-N 0 1 320.352 3.498 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(-c3ccoc3)n2)nc(C)n1 ZINC000342405356 229111880 /nfs/dbraw/zinc/11/18/80/229111880.db2.gz AZXWTRIIMLPHBK-GFCCVEGCSA-N 0 1 324.365 3.300 20 30 DGEDMN Cn1c2ccccc2nc1[C@H](C#N)C(=O)c1cn[nH]c1C(C)(C)C ZINC000344632029 229139558 /nfs/dbraw/zinc/13/95/58/229139558.db2.gz ISPOYYMTPXROND-LLVKDONJSA-N 0 1 321.384 3.084 20 30 DGEDMN N#CCc1ccc(CN2CC[C@H](n3cc(Cl)cn3)C2)cc1 ZINC000352393053 229252421 /nfs/dbraw/zinc/25/24/21/229252421.db2.gz YASCNQLLFLWTAD-INIZCTEOSA-N 0 1 300.793 3.050 20 30 DGEDMN CN(C)Cc1ccnc(NC(=O)C[C@H](CC#N)c2ccccc2)c1 ZINC000356295188 229332256 /nfs/dbraw/zinc/33/22/56/229332256.db2.gz FWCAGQMZHCEPLK-KRWDZBQOSA-N 0 1 322.412 3.169 20 30 DGEDMN CN(CC[C@@H]1CCCO1)Cc1ccc(Br)cc1C#N ZINC000347256757 264184739 /nfs/dbraw/zinc/18/47/39/264184739.db2.gz WVFAGJRHLUBMAK-HNNXBMFYSA-N 0 1 323.234 3.322 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1Cc2ccccc2C1 ZINC000359052769 270004067 /nfs/dbraw/zinc/00/40/67/270004067.db2.gz XOQVBBPHKUNLTC-GJZGRUSLSA-N 0 1 319.408 3.140 20 30 DGEDMN CC[C@@H]1CCCN(Cc2cccc(C(=O)OC)c2C#N)CC1 ZINC000533762462 270005124 /nfs/dbraw/zinc/00/51/24/270005124.db2.gz VAXKTRSOWYOCLP-CQSZACIVSA-N 0 1 300.402 3.357 20 30 DGEDMN N#Cc1ccccc1COc1cccc(CN2CCCOCC2)c1 ZINC000352348007 265011252 /nfs/dbraw/zinc/01/12/52/265011252.db2.gz YWMIOJQKPQJLJD-UHFFFAOYSA-N 0 1 322.408 3.360 20 30 DGEDMN CCN(C(=O)c1ccc(C#N)c(O)c1)c1ccc2[nH]ccc2c1 ZINC000351764968 266097894 /nfs/dbraw/zinc/09/78/94/266097894.db2.gz NIPCJXVGSMXRQV-UHFFFAOYSA-N 0 1 305.337 3.412 20 30 DGEDMN Cn1cc(CN2CCC(OCc3ccccc3)CC2)cc1C#N ZINC000191198034 432234692 /nfs/dbraw/zinc/23/46/92/432234692.db2.gz HVPGJMNMXRXDKZ-UHFFFAOYSA-N 0 1 309.413 3.078 20 30 DGEDMN CC(C)N(C)c1ccc(CN(C)Cc2cccc(C#N)c2)cn1 ZINC000191226637 432237249 /nfs/dbraw/zinc/23/72/49/432237249.db2.gz BVNBUVUMMYKKKB-UHFFFAOYSA-N 0 1 308.429 3.430 20 30 DGEDMN C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1C[C@@H](C)[C@H](C)C1 ZINC000362434663 267142905 /nfs/dbraw/zinc/14/29/05/267142905.db2.gz NRMLBKFCGNXVFC-IJLUTSLNSA-N 0 1 317.458 3.023 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC000360287368 268276562 /nfs/dbraw/zinc/27/65/62/268276562.db2.gz UGWVPOLATXTARP-UHFFFAOYSA-N 0 1 317.436 3.369 20 30 DGEDMN CC(C)C1(C)CCN(CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000426725382 273508585 /nfs/dbraw/zinc/50/85/85/273508585.db2.gz BOLFCMZKHLUTLL-UHFFFAOYSA-N 0 1 305.466 3.087 20 30 DGEDMN COc1ccc(CNCc2cc(C#N)n(C)c2)c(C(F)(F)F)c1 ZINC000190927485 274110305 /nfs/dbraw/zinc/11/03/05/274110305.db2.gz OMZVQAHJAUIHSH-UHFFFAOYSA-N 0 1 323.318 3.214 20 30 DGEDMN Cn1cc(CN2CCC[C@H]2CC(=O)c2ccccc2)cc1C#N ZINC000191403140 432260392 /nfs/dbraw/zinc/26/03/92/432260392.db2.gz QFDJKIKSNBGFFY-KRWDZBQOSA-N 0 1 307.397 3.134 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](C)c1ccccc1C(F)(F)F ZINC000066391188 276903233 /nfs/dbraw/zinc/90/32/33/276903233.db2.gz GQSSSEIFLJKHGI-MNOVXSKESA-N 0 1 300.324 3.047 20 30 DGEDMN COc1cc(CN[C@H]2CCOc3c(F)cccc32)ccc1C#N ZINC000281460509 277819988 /nfs/dbraw/zinc/81/99/88/277819988.db2.gz AWCQSACEWFOBQP-INIZCTEOSA-N 0 1 312.344 3.319 20 30 DGEDMN C[C@H](C#N)N(C)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000410884032 279181249 /nfs/dbraw/zinc/18/12/49/279181249.db2.gz BUOZWDDRLMCFSN-MRXNPFEDSA-N 0 1 321.424 3.303 20 30 DGEDMN CC(C)N(CC(=O)N1CCC[C@@H](C)C1)Cc1ccc(C#N)cc1 ZINC000069520588 431196863 /nfs/dbraw/zinc/19/68/63/431196863.db2.gz CQOKVUSPYSZUFF-MRXNPFEDSA-N 0 1 313.445 3.027 20 30 DGEDMN CCS[C@H]1CCCCN(CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000112088479 431247321 /nfs/dbraw/zinc/24/73/21/431247321.db2.gz FLXQHGYNGPVSCQ-INIZCTEOSA-N 0 1 317.458 3.104 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(-c3ccccc3C)c2)n1 ZINC000112222371 431256433 /nfs/dbraw/zinc/25/64/33/431256433.db2.gz MXWVTJPYCXUIGS-CQSZACIVSA-N 0 1 322.393 3.436 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3Cc4ccccc4O3)n2)cc1 ZINC000070724697 431259523 /nfs/dbraw/zinc/25/95/23/431259523.db2.gz QKLRORYWEJVEIZ-HNNXBMFYSA-N 0 1 320.352 3.372 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1ccc(O)c(Cl)c1 ZINC000074434832 431421651 /nfs/dbraw/zinc/42/16/51/431421651.db2.gz XQACOECKHCVRKJ-UHFFFAOYSA-N 0 1 300.745 3.189 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC000076007753 431515233 /nfs/dbraw/zinc/51/52/33/431515233.db2.gz RQHXVENEPCYIHZ-MRXNPFEDSA-N 0 1 315.417 3.047 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccc(C#N)cc3)CC2)c1C ZINC000027117767 431683752 /nfs/dbraw/zinc/68/37/52/431683752.db2.gz DFHAREWCXFILKW-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](C)c1cc2cccc(OCC)c2o1 ZINC000078595781 431685033 /nfs/dbraw/zinc/68/50/33/431685033.db2.gz YUZCCAZDHRUSRI-CHWSQXEVSA-N 0 1 316.401 3.173 20 30 DGEDMN CCCCCCCCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000120542042 431730223 /nfs/dbraw/zinc/73/02/23/431730223.db2.gz HEXTZQYVVUOCCB-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN Fc1ccc(C#CCN2CCN(CCc3ccccc3)CC2)cc1 ZINC000120997905 431737003 /nfs/dbraw/zinc/73/70/03/431737003.db2.gz BQILDRQPRSQUEM-UHFFFAOYSA-N 0 1 322.427 3.038 20 30 DGEDMN Cc1nnc(NC(=O)[C@@H](C)c2ccc(Br)s2)[nH]1 ZINC000080023572 431760572 /nfs/dbraw/zinc/76/05/72/431760572.db2.gz RUSKJIWWSWPSIR-YFKPBYRVSA-N 0 1 315.196 3.329 20 30 DGEDMN CCC(=O)N1CC[C@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000122905035 431847953 /nfs/dbraw/zinc/84/79/53/431847953.db2.gz BQONAIGAIUJFGM-MIUNIBSDSA-N 0 1 315.200 3.129 20 30 DGEDMN N#CCCN(C(=O)CN1CCCCCC1)c1cccc(Cl)c1 ZINC000123028219 431852418 /nfs/dbraw/zinc/85/24/18/431852418.db2.gz PTQKRZQWKFWWRO-UHFFFAOYSA-N 0 1 319.836 3.463 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(C#N)cc2)cc1F ZINC000089103098 431859735 /nfs/dbraw/zinc/85/97/35/431859735.db2.gz GWNLIDVXEJVBCK-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(-c3ccccc3)c2C)n1 ZINC000047358054 431909596 /nfs/dbraw/zinc/90/95/96/431909596.db2.gz USYPKIVLRNTHIY-AWEZNQCLSA-N 0 1 322.393 3.436 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@H](C)O1 ZINC000092487388 431909704 /nfs/dbraw/zinc/90/97/04/431909704.db2.gz QMBYCZHGPRQVDI-MAUKXSAKSA-N 0 1 301.434 3.036 20 30 DGEDMN COc1ccccc1-c1ccc(CN2CCN(CC#N)CC2)cc1 ZINC000092936336 431912414 /nfs/dbraw/zinc/91/24/14/431912414.db2.gz ROOVCYCLXSTEGU-UHFFFAOYSA-N 0 1 321.424 3.003 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@@H](C)c1ccc(C#N)cc1 ZINC000125772983 431935418 /nfs/dbraw/zinc/93/54/18/431935418.db2.gz JRNCWRUADZQLTE-INIZCTEOSA-N 0 1 311.429 3.142 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@H](C)C(=O)Nc1ccc2c(c1)OCCCO2 ZINC000125939301 431938925 /nfs/dbraw/zinc/93/89/25/431938925.db2.gz GBZCSFLANZMGTH-CQSZACIVSA-N 0 1 318.417 3.073 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)Nc1ccc2c(c1)OCCCO2 ZINC000125939301 431938928 /nfs/dbraw/zinc/93/89/28/431938928.db2.gz GBZCSFLANZMGTH-CQSZACIVSA-N 0 1 318.417 3.073 20 30 DGEDMN C[C@H]1CCN(CC(=O)c2cn(CCC#N)c3ccccc23)[C@H]1C ZINC000248342995 432280477 /nfs/dbraw/zinc/28/04/77/432280477.db2.gz JYEGOYMIZFWKNY-GJZGRUSLSA-N 0 1 309.413 3.468 20 30 DGEDMN Cc1oc(NC(=O)CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000248418896 432289140 /nfs/dbraw/zinc/28/91/40/432289140.db2.gz KRXVAJSVSXQALI-PJODQICGSA-N 0 1 315.417 3.217 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)[C@@H](c2ccccc2)C1 ZINC000248499434 432296063 /nfs/dbraw/zinc/29/60/63/432296063.db2.gz RCDWLCOHMXUWRP-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN C#CCN1CCC[C@@H](c2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000102402205 431994115 /nfs/dbraw/zinc/99/41/15/431994115.db2.gz GBGUPUGIJNXXQM-CYBMUJFWSA-N 0 1 301.777 3.203 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)[C@H]1CCCc2ccccc21 ZINC000248046563 432263779 /nfs/dbraw/zinc/26/37/79/432263779.db2.gz MNIPJUUKSMGUJO-SFHVURJKSA-N 0 1 300.446 3.420 20 30 DGEDMN CC(C)c1nc([C@H](C)Nc2nc(C(F)(F)F)ccc2C#N)n[nH]1 ZINC000270626180 432267946 /nfs/dbraw/zinc/26/79/46/432267946.db2.gz DGYDBBUIYQQYBU-QMMMGPOBSA-N 0 1 324.310 3.387 20 30 DGEDMN CCS[C@H]1CC[C@H](N(C)CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000173869893 432304135 /nfs/dbraw/zinc/30/41/35/432304135.db2.gz XGAHPIMKSUTITN-HOTGVXAUSA-N 0 1 317.458 3.103 20 30 DGEDMN CCS[C@@H]1CC[C@@H](N(C)CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000173869907 432304222 /nfs/dbraw/zinc/30/42/22/432304222.db2.gz XGAHPIMKSUTITN-HZPDHXFCSA-N 0 1 317.458 3.103 20 30 DGEDMN CCS[C@@H]1CC[C@@H](N(C)CC(=O)Nc2sccc2C#N)C1 ZINC000173868101 432304934 /nfs/dbraw/zinc/30/49/34/432304934.db2.gz IXHOCKXJQXJHMT-CHWSQXEVSA-N 0 1 323.487 3.164 20 30 DGEDMN CN(Cc1cc(C#N)ccc1Br)CC1CCOCC1 ZINC000191818804 432305764 /nfs/dbraw/zinc/30/57/64/432305764.db2.gz GRZQRLJUWLNJJQ-UHFFFAOYSA-N 0 1 323.234 3.179 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000191931288 432315342 /nfs/dbraw/zinc/31/53/42/432315342.db2.gz UTNNQMKOJGDURQ-KRWDZBQOSA-N 0 1 318.848 3.397 20 30 DGEDMN CCCCCNC(=O)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC000153019688 432377097 /nfs/dbraw/zinc/37/70/97/432377097.db2.gz MJOJZOOKJLODKT-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3sccc3C#N)c2C)o1 ZINC000192477382 432379824 /nfs/dbraw/zinc/37/98/24/432379824.db2.gz BCZPBUBPTPZWRU-UHFFFAOYSA-N 0 1 312.354 3.472 20 30 DGEDMN CC[C@@H](NCCOc1ccc(C#N)cc1)c1nc(C)cs1 ZINC000184376245 432385270 /nfs/dbraw/zinc/38/52/70/432385270.db2.gz KHIJBDCNMJKKFB-OAHLLOKOSA-N 0 1 301.415 3.443 20 30 DGEDMN CC(C)OC(=O)C[C@@H]1CCC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC000184467146 432395064 /nfs/dbraw/zinc/39/50/64/432395064.db2.gz TYUKLEOSLHWJSL-KRWDZBQOSA-N 0 1 300.402 3.112 20 30 DGEDMN CC(C)OC(=O)C[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC000184467146 432395067 /nfs/dbraw/zinc/39/50/67/432395067.db2.gz TYUKLEOSLHWJSL-KRWDZBQOSA-N 0 1 300.402 3.112 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000192356033 432364327 /nfs/dbraw/zinc/36/43/27/432364327.db2.gz FQBAOVBNJLUOCR-PBHICJAKSA-N 0 1 316.445 3.172 20 30 DGEDMN C[C@H](c1ccc([S@](C)=O)cc1)N(C)Cc1ccc(C#N)cc1 ZINC000175383197 432430143 /nfs/dbraw/zinc/43/01/43/432430143.db2.gz VNFPVANOEDMPEL-PEBXRYMYSA-N 0 1 312.438 3.489 20 30 DGEDMN C[C@H](C#N)CN(C)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000271530379 432416748 /nfs/dbraw/zinc/41/67/48/432416748.db2.gz XDKSDHNJCXDPSZ-MRVPVSSYSA-N 0 1 307.304 3.124 20 30 DGEDMN C=C(Cl)CN[C@H](C)CC(=O)N(C)Cc1cccc(Cl)c1 ZINC000271546091 432420248 /nfs/dbraw/zinc/42/02/48/432420248.db2.gz UYXWGMWJGOMNFZ-GFCCVEGCSA-N 0 1 315.244 3.419 20 30 DGEDMN C=CCOc1cccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c1 ZINC000193612749 432484715 /nfs/dbraw/zinc/48/47/15/432484715.db2.gz AHGMFMAJAZRQFL-FMIVXFBMSA-N 0 1 307.353 3.075 20 30 DGEDMN N#Cc1cccc(OCCCSCc2n[nH]c(C3CC3)n2)c1 ZINC000193691910 432491822 /nfs/dbraw/zinc/49/18/22/432491822.db2.gz PTDGDWNKNOVSPF-UHFFFAOYSA-N 0 1 314.414 3.256 20 30 DGEDMN COc1cc(C[N@@H+](C)Cc2ccc(F)c(C#N)c2)ccc1O ZINC000176803683 432553497 /nfs/dbraw/zinc/55/34/97/432553497.db2.gz ZZECVLBEXUWGJE-UHFFFAOYSA-N 0 1 300.333 3.044 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(F)c(C#N)c2)ccc1O ZINC000176803683 432553500 /nfs/dbraw/zinc/55/35/00/432553500.db2.gz ZZECVLBEXUWGJE-UHFFFAOYSA-N 0 1 300.333 3.044 20 30 DGEDMN CC#CCCCC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000186056662 432556190 /nfs/dbraw/zinc/55/61/90/432556190.db2.gz BXWKHOAPRIXYFO-IBGZPJMESA-N 0 1 312.457 3.303 20 30 DGEDMN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000185669090 432522544 /nfs/dbraw/zinc/52/25/44/432522544.db2.gz FJYBTWBRJZYIOZ-QMTHXVAHSA-N 0 1 305.447 3.296 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Br)CC1(O)CCCC1 ZINC000272592624 432530248 /nfs/dbraw/zinc/53/02/48/432530248.db2.gz TZTRBKJKWPPIIE-UHFFFAOYSA-N 0 1 323.234 3.058 20 30 DGEDMN C[C@@H](CC(=O)Nc1ccccc1)NCc1ccc(C#N)cc1F ZINC000177308375 432610661 /nfs/dbraw/zinc/61/06/61/432610661.db2.gz BDSPWWTWPMCNAT-ZDUSSCGKSA-N 0 1 311.360 3.204 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@@H](C[S@](C)=O)c1ccccc1 ZINC000186322720 432581388 /nfs/dbraw/zinc/58/13/88/432581388.db2.gz BKXNDDNWGWDAQP-AVRDEDQJSA-N 0 1 312.438 3.076 20 30 DGEDMN N#Cc1ccc(F)c(CN(Cc2ccccc2)[C@@H](CO)C2CC2)c1 ZINC000156007997 432586025 /nfs/dbraw/zinc/58/60/25/432586025.db2.gz DEAKRSTXQIQSPM-FQEVSTJZSA-N 0 1 324.399 3.470 20 30 DGEDMN N#Cc1ccc(C[N@@H+](CCOc2ccccc2C#N)C2CC2)cc1 ZINC000194957469 432589505 /nfs/dbraw/zinc/58/95/05/432589505.db2.gz ACJBGQVWKJTKCX-UHFFFAOYSA-N 0 1 317.392 3.473 20 30 DGEDMN C=CCCC(=O)Nc1cccc(CN2CCSCC2)c1C ZINC000263979148 432601308 /nfs/dbraw/zinc/60/13/08/432601308.db2.gz KCFGWONOHUJXFG-UHFFFAOYSA-N 0 1 304.459 3.449 20 30 DGEDMN C=CCOc1ccc(CN2CCN(c3ccc(O)cc3)CC2)cc1 ZINC000157882340 432632191 /nfs/dbraw/zinc/63/21/91/432632191.db2.gz SBBVQGQUYURDOL-UHFFFAOYSA-N 0 1 324.424 3.279 20 30 DGEDMN C=CCN(CC=C)Cc1cn(C)nc1-c1ccc([N+](=O)[O-])cc1 ZINC000158118601 432638372 /nfs/dbraw/zinc/63/83/72/432638372.db2.gz ZNMIOPYEWBACLW-UHFFFAOYSA-N 0 1 312.373 3.169 20 30 DGEDMN C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1CCCSCC#N ZINC000074990668 288390410 /nfs/dbraw/zinc/39/04/10/288390410.db2.gz VOGPYXVIQAUPEH-HLLBOEOZSA-N 0 1 304.459 3.484 20 30 DGEDMN COC(=O)CC[C@H](NCc1cc(C#N)cs1)c1ccccc1 ZINC000177697738 432652289 /nfs/dbraw/zinc/65/22/89/432652289.db2.gz MLRCNJXMONTDNW-INIZCTEOSA-N 0 1 314.410 3.404 20 30 DGEDMN COc1ccc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc2n1 ZINC000188092240 432703793 /nfs/dbraw/zinc/70/37/93/432703793.db2.gz UYDSPUMMKHPRFB-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)CCC1 ZINC000265567879 432711108 /nfs/dbraw/zinc/71/11/08/432711108.db2.gz RYMFTSSECMNPBF-CQSZACIVSA-N 0 1 310.357 3.047 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1ccc(Cl)cn1 ZINC000274861205 432719218 /nfs/dbraw/zinc/71/92/18/432719218.db2.gz CVLIHDAJPMSAPV-GFCCVEGCSA-N 0 1 301.777 3.488 20 30 DGEDMN Cc1ccc(C#N)c(NCCC[N@H+]2CCc3ccccc3C2)n1 ZINC000160513094 432725003 /nfs/dbraw/zinc/72/50/03/432725003.db2.gz OXDLDCJQZSYDMQ-UHFFFAOYSA-N 0 1 306.413 3.122 20 30 DGEDMN CC[N@H+](Cc1ccc(C#N)s1)C[C@H]1COc2ccccc2O1 ZINC000160954207 432751083 /nfs/dbraw/zinc/75/10/83/432751083.db2.gz XILREVYPTGLUAQ-ZDUSSCGKSA-N 0 1 314.410 3.282 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)C[C@H]1COc2ccccc2O1 ZINC000160954207 432751088 /nfs/dbraw/zinc/75/10/88/432751088.db2.gz XILREVYPTGLUAQ-ZDUSSCGKSA-N 0 1 314.410 3.282 20 30 DGEDMN CC[N@H+](Cc1cc(C#N)cs1)C[C@@H]1COc2ccccc2O1 ZINC000160955733 432751170 /nfs/dbraw/zinc/75/11/70/432751170.db2.gz QFFAJCBPESRDRV-CQSZACIVSA-N 0 1 314.410 3.282 20 30 DGEDMN CCN(Cc1cc(C#N)cs1)C[C@@H]1COc2ccccc2O1 ZINC000160955733 432751175 /nfs/dbraw/zinc/75/11/75/432751175.db2.gz QFFAJCBPESRDRV-CQSZACIVSA-N 0 1 314.410 3.282 20 30 DGEDMN N#Cc1ccc(CNCCOc2cccc(Br)c2)o1 ZINC000236636676 432752904 /nfs/dbraw/zinc/75/29/04/432752904.db2.gz HCCNBFKREDFCQK-UHFFFAOYSA-N 0 1 321.174 3.082 20 30 DGEDMN C[C@H](C(=O)N(C)CCC#N)N(C)Cc1ccc2ccccc2c1 ZINC000265915289 432744673 /nfs/dbraw/zinc/74/46/73/432744673.db2.gz LGJJHXXCDINCJB-OAHLLOKOSA-N 0 1 309.413 3.032 20 30 DGEDMN CC[C@@H](C)c1ccc(S(=O)(=O)Nc2cc(C#N)ccn2)cc1 ZINC000276582307 432821127 /nfs/dbraw/zinc/82/11/27/432821127.db2.gz INVCNKWVZDYUOF-GFCCVEGCSA-N 0 1 315.398 3.268 20 30 DGEDMN CC[C@@H](C)N(C)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000162365950 432821594 /nfs/dbraw/zinc/82/15/94/432821594.db2.gz DMDVMMYEXJXJRD-CYBMUJFWSA-N 0 1 307.825 3.317 20 30 DGEDMN Cc1ccccc1[C@H]1CN(CCCSCC#N)[C@@H](C)CO1 ZINC000245495245 432836702 /nfs/dbraw/zinc/83/67/02/432836702.db2.gz PCEDDWXAGJIQEB-DOTOQJQBSA-N 0 1 304.459 3.404 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(-n3cccn3)cc2)c(F)c1 ZINC000237711378 432797300 /nfs/dbraw/zinc/79/73/00/432797300.db2.gz BENPHPNTFQFHJW-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN C=CCCN(C)Cc1nnc(-c2ccc(Br)o2)o1 ZINC000276332492 432797624 /nfs/dbraw/zinc/79/76/24/432797624.db2.gz BIUCOFFIIPDAJN-UHFFFAOYSA-N 0 1 312.167 3.100 20 30 DGEDMN C=CCCN(C)C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000276412389 432805618 /nfs/dbraw/zinc/80/56/18/432805618.db2.gz PGKSYNYXSWYKDQ-OAHLLOKOSA-N 0 1 305.422 3.024 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[N@H+](Cc2ccc(C#N)cc2)CC1 ZINC000267079358 432810889 /nfs/dbraw/zinc/81/08/89/432810889.db2.gz QNENAMJYDRJFQS-KRWDZBQOSA-N 0 1 320.440 3.222 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)c(F)c1 ZINC000268281795 432861273 /nfs/dbraw/zinc/86/12/73/432861273.db2.gz PMWZQKMAZUESGS-WBVHZDCISA-N 0 1 314.360 3.378 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)[N@@H+](C)CCNC(=O)OC(C)(C)C ZINC000268315441 432863428 /nfs/dbraw/zinc/86/34/28/432863428.db2.gz CZAVMLLKMDMQJN-CYBMUJFWSA-N 0 1 303.406 3.076 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)[C@H]2CCc3cc(C)ccc3O2)n[nH]1 ZINC000330033176 432889665 /nfs/dbraw/zinc/88/96/65/432889665.db2.gz VIQPIENKVMXREG-YVEFUNNKSA-N 0 1 313.401 3.308 20 30 DGEDMN CC(C)CCC1CCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)CC1 ZINC000330182428 432896213 /nfs/dbraw/zinc/89/62/13/432896213.db2.gz HFNCUPRJFWYEJE-INIZCTEOSA-N 0 1 318.465 3.260 20 30 DGEDMN CC(C)N(Cc1cccnc1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000330115642 432893126 /nfs/dbraw/zinc/89/31/26/432893126.db2.gz FTTXRFONQLTRKD-HNNXBMFYSA-N 0 1 313.405 3.007 20 30 DGEDMN CN(C(=O)N[C@@H]1CCCc2c[nH]nc21)[C@H]1CCc2ccccc2C1 ZINC000330113674 432893511 /nfs/dbraw/zinc/89/35/11/432893511.db2.gz DEMRTGSLJZIBJD-DLBZAZTESA-N 0 1 324.428 3.190 20 30 DGEDMN Cc1cc(C)n([C@H](C)CC(=O)N[C@@H]2CCCc3cn[nH]c32)n1 ZINC000330099269 432893760 /nfs/dbraw/zinc/89/37/60/432893760.db2.gz CWNDKMTYVAMJLZ-TZMCWYRMSA-N 0 1 301.394 3.208 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC(C)(C)[C@@H]2c2cccs2)CC1 ZINC000288624135 432895142 /nfs/dbraw/zinc/89/51/42/432895142.db2.gz OUIUDMGOUIGCCP-INIZCTEOSA-N 0 1 316.470 3.003 20 30 DGEDMN CC[C@@H]1CC[C@@H](C)N1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000330647236 432923140 /nfs/dbraw/zinc/92/31/40/432923140.db2.gz HHYSMMXSNOEFRM-ZWNOBZJWSA-N 0 1 305.447 3.438 20 30 DGEDMN CC(C)CN1CCO[C@H](CNC(=O)c2ccoc2C(C)C)C1 ZINC000331070684 432928782 /nfs/dbraw/zinc/92/87/82/432928782.db2.gz CQXCTVNDIKKDOF-CQSZACIVSA-N 0 1 308.422 3.064 20 30 DGEDMN C#Cc1ccc(CN[C@H](CN2CCCC2=O)c2ccccc2)cc1 ZINC000289168238 432928972 /nfs/dbraw/zinc/92/89/72/432928972.db2.gz FAIKHVSMXUQYAG-HXUWFJFHSA-N 0 1 318.420 3.121 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000331556254 432948337 /nfs/dbraw/zinc/94/83/37/432948337.db2.gz MVBJPIBWNIUZTE-IINYFYTJSA-N 0 1 316.792 3.430 20 30 DGEDMN CC(C)N(C([O-])=[NH+][C@@H]1CCc2nc(C(C)(C)C)cn2C1)C1CC1 ZINC000330196919 432897400 /nfs/dbraw/zinc/89/74/00/432897400.db2.gz RBWKDUXLWJVCCN-CYBMUJFWSA-N 0 1 318.465 3.282 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)n[nH]1 ZINC000330196405 432897852 /nfs/dbraw/zinc/89/78/52/432897852.db2.gz QEQIQPQXIAVLHY-NAKRPEOUSA-N 0 1 307.398 3.091 20 30 DGEDMN O=C(NCc1nc2ccccc2n1C1CC1)[C@H]1CCCN1C1CC1 ZINC000330217299 432898135 /nfs/dbraw/zinc/89/81/35/432898135.db2.gz IJQPWVBURWQWAJ-QGZVFWFLSA-N 0 1 324.428 3.455 20 30 DGEDMN CCn1nccc1C1CCN(Cc2ccc(C#N)cc2OC)CC1 ZINC000278963270 432921912 /nfs/dbraw/zinc/92/19/12/432921912.db2.gz XALLDTPKLIZASL-UHFFFAOYSA-N 0 1 324.428 3.163 20 30 DGEDMN C=CCOc1cccc(CN(CCCO)Cc2ccccn2)c1 ZINC000289554662 432966587 /nfs/dbraw/zinc/96/65/87/432966587.db2.gz XZTBUTQYVAJWFT-UHFFFAOYSA-N 0 1 312.413 3.031 20 30 DGEDMN C=C[C@@H](CO)NCc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC000289878834 432979652 /nfs/dbraw/zinc/97/96/52/432979652.db2.gz PPXABFRENYAQTR-NSHDSACASA-N 0 1 313.241 3.361 20 30 DGEDMN CO[C@]1(C)CCCN([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000332246224 432989957 /nfs/dbraw/zinc/98/99/57/432989957.db2.gz XANZFJBDLWYXHN-DOTOQJQBSA-N 0 1 301.390 3.026 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@H]1c2ccccc2CC12CCOCC2 ZINC000290090720 432990743 /nfs/dbraw/zinc/99/07/43/432990743.db2.gz HSZXMBGZAHPYQV-YJBOKZPZSA-N 0 1 309.413 3.114 20 30 DGEDMN C#C[C@@H](NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1)C(C)C ZINC000297705388 433008721 /nfs/dbraw/zinc/00/87/21/433008721.db2.gz IPHRCVVAMLSYHU-SNVBAGLBSA-N 0 1 306.316 3.280 20 30 DGEDMN Cc1ccc2nc(CNc3cc(C#N)ccc3[N+](=O)[O-])[nH]c2c1 ZINC000341430185 433056190 /nfs/dbraw/zinc/05/61/90/433056190.db2.gz UOPPWRFJCLFLBI-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN C=CC[C@@H]1N(Cc2cn(C)nc2C(=O)OCC)CCCC1(C)C ZINC000446830115 433108857 /nfs/dbraw/zinc/10/88/57/433108857.db2.gz OQXDRKBUNKMQJI-HNNXBMFYSA-N 0 1 319.449 3.164 20 30 DGEDMN Cc1cc(NC[C@@H](c2ccccc2Cl)N(C)C)c(C#N)cn1 ZINC000425204075 433120257 /nfs/dbraw/zinc/12/02/57/433120257.db2.gz SUJQFOVJEDZCIO-KRWDZBQOSA-N 0 1 314.820 3.052 20 30 DGEDMN COCc1cccc(CN2CCC(C#N)(c3ccccn3)CC2)c1 ZINC000374305390 433186075 /nfs/dbraw/zinc/18/60/75/433186075.db2.gz JEFYCCWHYVBAMF-UHFFFAOYSA-N 0 1 321.424 3.285 20 30 DGEDMN C=CCN(CC=C)C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000298631970 433198719 /nfs/dbraw/zinc/19/87/19/433198719.db2.gz CFXFWMCOJYWCRV-INIZCTEOSA-N 0 1 317.433 3.190 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cnn(CC(F)F)c1 ZINC000425365683 433199383 /nfs/dbraw/zinc/19/93/83/433199383.db2.gz MJTXBPHDFWSAPD-LBPRGKRZSA-N 0 1 304.344 3.213 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@H](C)C[C@H]2c2ccc(C)o2)C1=O ZINC000337200787 433214426 /nfs/dbraw/zinc/21/44/26/433214426.db2.gz ZZXZETNRGPTDNU-BPUTZDHNSA-N 0 1 302.418 3.148 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000374682027 433258043 /nfs/dbraw/zinc/25/80/43/433258043.db2.gz YCKRKDQUHFPEAI-HNNXBMFYSA-N 0 1 319.408 3.178 20 30 DGEDMN CC1=C(C)CN([C@@H](C)C(=O)N(CCC#N)c2ccccc2)CC1 ZINC000361610217 433287690 /nfs/dbraw/zinc/28/76/90/433287690.db2.gz LNUWRKCBKYFRIL-KRWDZBQOSA-N 0 1 311.429 3.364 20 30 DGEDMN CSc1ccc([C@H](C)NCC(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000281343334 433290484 /nfs/dbraw/zinc/29/04/84/433290484.db2.gz MPJAARBVRXWEFT-SUMWQHHRSA-N 0 1 319.474 3.114 20 30 DGEDMN CCS(=O)(=O)CCCCCN(C)[C@@H](C)c1cccc(C#N)c1 ZINC000425543978 433291427 /nfs/dbraw/zinc/29/14/27/433291427.db2.gz ZYOJZIUEYPIIRD-HNNXBMFYSA-N 0 1 322.474 3.156 20 30 DGEDMN CC(C)(C)[C@H]1CN(CCCOc2cccc(C#N)c2)CCO1 ZINC000447832048 433292848 /nfs/dbraw/zinc/29/28/48/433292848.db2.gz LHMBXJULJLGPKI-QGZVFWFLSA-N 0 1 302.418 3.074 20 30 DGEDMN FC(F)(F)c1ccc(C#CCN2CC[C@@H](n3cccn3)C2)cc1 ZINC000291785854 433349347 /nfs/dbraw/zinc/34/93/47/433349347.db2.gz MMJUHUHBYMQPBP-MRXNPFEDSA-N 0 1 319.330 3.200 20 30 DGEDMN CSc1ccccc1[C@H](C)NCC(=O)N[C@](C)(C#N)C(C)C ZINC000281801027 433385514 /nfs/dbraw/zinc/38/55/14/433385514.db2.gz VJEGIZWKPQEBGS-SUMWQHHRSA-N 0 1 319.474 3.114 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)C[C@H]1Cc1ccccc1 ZINC000341988174 433402245 /nfs/dbraw/zinc/40/22/45/433402245.db2.gz HNWMJQBZFQCWJX-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CN([C@@H]1CC[C@@H](C#N)C1)C2 ZINC000421042056 433407144 /nfs/dbraw/zinc/40/71/44/433407144.db2.gz PEKIXZUQSKVTQD-UKRRQHHQSA-N 0 1 300.402 3.360 20 30 DGEDMN Cc1nccc(N2CCO[C@@H](c3cccc(C#N)c3)C2)c1Cl ZINC000355092322 433456597 /nfs/dbraw/zinc/45/65/97/433456597.db2.gz JQOCZLKGPGGOPJ-MRXNPFEDSA-N 0 1 313.788 3.493 20 30 DGEDMN Cc1nccc(NCc2nc(-c3cccc(C#N)c3)c[nH]2)c1Cl ZINC000355100503 433458680 /nfs/dbraw/zinc/45/86/80/433458680.db2.gz SCRSMBRJBKXISL-UHFFFAOYSA-N 0 1 323.787 3.339 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)cc1F ZINC000375459317 433472862 /nfs/dbraw/zinc/47/28/62/433472862.db2.gz UGHXMVPKTWFQRV-QGZVFWFLSA-N 0 1 320.371 3.494 20 30 DGEDMN C=CCC1(CNC(=O)NCCCc2cn[nH]c2C)CCCC1 ZINC000454624064 433516990 /nfs/dbraw/zinc/51/69/90/433516990.db2.gz SLIGRDLZUGWWOS-UHFFFAOYSA-N 0 1 304.438 3.086 20 30 DGEDMN CN(C)[C@H](CNc1c(C#N)cnc2ccccc21)c1ccco1 ZINC000301378309 433529045 /nfs/dbraw/zinc/52/90/45/433529045.db2.gz YREZBRNZHSULMQ-MRXNPFEDSA-N 0 1 306.369 3.414 20 30 DGEDMN CC(=O)N1CC[C@H](NCc2ccc(C#N)s2)c2ccccc21 ZINC000362119348 433535067 /nfs/dbraw/zinc/53/50/67/433535067.db2.gz UABREUOVNBTTPY-INIZCTEOSA-N 0 1 311.410 3.207 20 30 DGEDMN CCc1cnc(CN(C)CCCC2(C#N)CCOCC2)s1 ZINC000282068142 433486527 /nfs/dbraw/zinc/48/65/27/433486527.db2.gz SRRCLVPCSGVVEX-UHFFFAOYSA-N 0 1 307.463 3.238 20 30 DGEDMN CC1CCC(N2CCN(c3snc(Cl)c3C#N)CC2)CC1 ZINC000301283868 433489098 /nfs/dbraw/zinc/48/90/98/433489098.db2.gz LESSAIPUHDAGLR-UHFFFAOYSA-N 0 1 324.881 3.369 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000292170561 433491067 /nfs/dbraw/zinc/49/10/67/433491067.db2.gz IACYPHNDPUXJPA-INIZCTEOSA-N 0 1 304.434 3.016 20 30 DGEDMN CN(C)Cc1ccc(NCc2cc(C#N)n(C)c2)cc1Cl ZINC000355606349 433551453 /nfs/dbraw/zinc/55/14/53/433551453.db2.gz KKJCBQLSWPYWHL-UHFFFAOYSA-N 0 1 302.809 3.224 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(CC2CC2)CC1)c1ccccc1 ZINC000426645631 433548515 /nfs/dbraw/zinc/54/85/15/433548515.db2.gz HVVLLAIVMRGDEH-LJQANCHMSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000292848856 433602415 /nfs/dbraw/zinc/60/24/15/433602415.db2.gz RFMNGMDXSLNDJY-HNNXBMFYSA-N 0 1 308.372 3.151 20 30 DGEDMN Cn1cc(CN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)cc1C#N ZINC000283070793 433655620 /nfs/dbraw/zinc/65/56/20/433655620.db2.gz QJFRTYXBSADEQC-CQSZACIVSA-N 0 1 311.351 3.451 20 30 DGEDMN CC1CCC(N2CCN(c3nccc(C#N)c3Cl)CC2)CC1 ZINC000342415470 433673626 /nfs/dbraw/zinc/67/36/26/433673626.db2.gz OROHRGYUNGJYRP-UHFFFAOYSA-N 0 1 318.852 3.307 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN([C@@H](C)CC)CC1)c1ccccc1 ZINC000456528989 433711775 /nfs/dbraw/zinc/71/17/75/433711775.db2.gz LIKSKRGDOCBKIY-IRXDYDNUSA-N 0 1 300.446 3.289 20 30 DGEDMN CC(C)C[C@@H](N)c1noc(CSCc2ccc(C#N)cc2)n1 ZINC000414612229 433742860 /nfs/dbraw/zinc/74/28/60/433742860.db2.gz DDWLUTQAPVMFJT-CQSZACIVSA-N 0 1 316.430 3.421 20 30 DGEDMN CC(C)C[C@H](N)c1noc(-c2cc(-c3cccc(C#N)c3)no2)n1 ZINC000414618581 433749352 /nfs/dbraw/zinc/74/93/52/433749352.db2.gz JYKYOMLNTJFCTB-ZDUSSCGKSA-N 0 1 323.356 3.309 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CC[N@H+]4CCCC[C@@H]4C3)nc21 ZINC000428091953 433753509 /nfs/dbraw/zinc/75/35/09/433753509.db2.gz NSTMHZHOSHIYSE-QGZVFWFLSA-N 0 1 324.472 3.242 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CCN4CCCC[C@@H]4C3)nc21 ZINC000428091953 433753519 /nfs/dbraw/zinc/75/35/19/433753519.db2.gz NSTMHZHOSHIYSE-QGZVFWFLSA-N 0 1 324.472 3.242 20 30 DGEDMN N#CCCCn1cc(-c2nc(C3(N)CCC3)no2)c2ccccc21 ZINC000414630589 433757328 /nfs/dbraw/zinc/75/73/28/433757328.db2.gz URCDZCZDFMKRLU-UHFFFAOYSA-N 0 1 321.384 3.333 20 30 DGEDMN N#Cc1ccc(CN2CC3(CCOCC3)[C@H]2C2CC2)c(F)c1 ZINC000293567619 433788613 /nfs/dbraw/zinc/78/86/13/433788613.db2.gz GSYSKJBRSLQUQR-QGZVFWFLSA-N 0 1 300.377 3.088 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2nc3c(cccc3Br)[nH]2)C1 ZINC000449780029 433793178 /nfs/dbraw/zinc/79/31/78/433793178.db2.gz YDFLLRCIRCVHFW-ZJUUUORDSA-N 0 1 319.206 3.107 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1ccc2cncn2c1 ZINC000339814076 433798727 /nfs/dbraw/zinc/79/87/27/433798727.db2.gz MUUVXRFEEPMPIM-UHFFFAOYSA-N 0 1 320.352 3.245 20 30 DGEDMN C=CCSc1ccccc1C(=O)Nc1ccc2[nH]nnc2c1 ZINC000362820075 433872973 /nfs/dbraw/zinc/87/29/73/433872973.db2.gz KDFKYYDSLXFFKV-UHFFFAOYSA-N 0 1 310.382 3.488 20 30 DGEDMN Cc1nsc(NC[C@H](c2ccco2)N2CCCC2)c1C#N ZINC000339970643 433876345 /nfs/dbraw/zinc/87/63/45/433876345.db2.gz KOIMIOBNLNDRHB-CYBMUJFWSA-N 0 1 302.403 3.165 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(C)[C@@H](C(C)C)C2)c1 ZINC000362729908 433839106 /nfs/dbraw/zinc/83/91/06/433839106.db2.gz RZXGDKPMUIYTGL-QGZVFWFLSA-N 0 1 317.433 3.055 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1ccc2cncn2c1 ZINC000339897588 433844810 /nfs/dbraw/zinc/84/48/10/433844810.db2.gz RVQPQMXNAXQZNY-UHFFFAOYSA-N 0 1 319.368 3.280 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CC[C@H](C(C)(C)C)C2)c(C#N)c1C ZINC000344183462 433851412 /nfs/dbraw/zinc/85/14/12/433851412.db2.gz ARAKUYFXGJJQOD-JSGCOSHPSA-N 0 1 317.433 3.463 20 30 DGEDMN CCC[C@H]1CNCCN1C(=O)Nc1ccc(C(C)(C)C#N)cc1 ZINC000414828942 433868831 /nfs/dbraw/zinc/86/88/31/433868831.db2.gz LQSPGGAZBDCADS-INIZCTEOSA-N 0 1 314.433 3.094 20 30 DGEDMN CCC[C@@]1(NCc2ccc(C#N)cc2Br)CCOC1 ZINC000285395322 433931660 /nfs/dbraw/zinc/93/16/60/433931660.db2.gz CEKSQXORGAPDLE-OAHLLOKOSA-N 0 1 323.234 3.370 20 30 DGEDMN Cc1nsc(N2CCN(C[C@H](C)CC(C)C)CC2)c1C#N ZINC000352200076 433977262 /nfs/dbraw/zinc/97/72/62/433977262.db2.gz HYVDTOHJJYLVTO-CYBMUJFWSA-N 0 1 306.479 3.127 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000457092933 433983333 /nfs/dbraw/zinc/98/33/33/433983333.db2.gz XQWJBCIGQMLXIL-UHFFFAOYSA-N 0 1 306.372 3.218 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)c3ccc(C#N)o3)[nH]c2c1 ZINC000340254279 434016664 /nfs/dbraw/zinc/01/66/64/434016664.db2.gz ZJJSPCOUWYTODB-HNNXBMFYSA-N 0 1 320.352 3.313 20 30 DGEDMN C#CCOc1ccccc1CN[C@H]1CCOc2cc(OC)ccc21 ZINC000363414534 434046232 /nfs/dbraw/zinc/04/62/32/434046232.db2.gz OICZZBJKJUQTGU-SFHVURJKSA-N 0 1 323.392 3.321 20 30 DGEDMN CC(C)CCO[C@H]1CCCC[C@H]1NCc1nc(C#N)cs1 ZINC000352864029 434062268 /nfs/dbraw/zinc/06/22/68/434062268.db2.gz YEOOVCULIGCMKX-CABCVRRESA-N 0 1 307.463 3.478 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)c2cccc(CC#N)c2)c1 ZINC000436644550 434051256 /nfs/dbraw/zinc/05/12/56/434051256.db2.gz AHFZEUWCXANCEU-UHFFFAOYSA-N 0 1 308.337 3.303 20 30 DGEDMN Cc1cccc(C)c1OCCCNCc1nc(C#N)cs1 ZINC000352842354 434056500 /nfs/dbraw/zinc/05/65/00/434056500.db2.gz WFNPJTHESGSYGW-UHFFFAOYSA-N 0 1 301.415 3.190 20 30 DGEDMN Cc1c(C#N)c(N2CCN(C3CCC3)CC2)nc2ccccc12 ZINC000450327611 434105789 /nfs/dbraw/zinc/10/57/89/434105789.db2.gz DGIMKQVKGUNHHG-UHFFFAOYSA-N 0 1 306.413 3.089 20 30 DGEDMN N#CC1(F)CCN(C(=O)c2c(O)cc(Cl)cc2Cl)CC1 ZINC000436842452 434130000 /nfs/dbraw/zinc/13/00/00/434130000.db2.gz XUEXLJGCHZFPSF-UHFFFAOYSA-N 0 1 317.147 3.167 20 30 DGEDMN C=C(C)COc1cc(CN2CCOC[C@H](C)C2)ccc1OC ZINC000378337857 434147924 /nfs/dbraw/zinc/14/79/24/434147924.db2.gz BEHBXZZYXCRSNB-OAHLLOKOSA-N 0 1 305.418 3.118 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000378398573 434166392 /nfs/dbraw/zinc/16/63/92/434166392.db2.gz GZFYYRQGEXHJON-LSDHHAIUSA-N 0 1 302.393 3.336 20 30 DGEDMN Cc1nsc(N[C@H]2CCCN(Cc3ccccc3)C2)c1C#N ZINC000380901864 434267956 /nfs/dbraw/zinc/26/79/56/434267956.db2.gz RTBRKXHKWIPQDM-HNNXBMFYSA-N 0 1 312.442 3.400 20 30 DGEDMN CN(C)[C@@H](CNc1nc(Cl)c(C#N)s1)c1ccsc1 ZINC000286303297 434223585 /nfs/dbraw/zinc/22/35/85/434223585.db2.gz YNKHOFNJQJNGPY-VIFPVBQESA-N 0 1 312.851 3.444 20 30 DGEDMN CCc1nc([C@@H](C)NC(=O)C2C3CC4CC(C3)CC2C4)n[nH]1 ZINC000328941058 434333767 /nfs/dbraw/zinc/33/37/67/434333767.db2.gz STQGFBKOJZPGDD-LHALRJPCSA-N 0 1 302.422 3.457 20 30 DGEDMN COc1cc(CN2CC3(CCC3)[C@@H]2[C@H]2CCCO2)ccc1C#N ZINC000365621205 434303770 /nfs/dbraw/zinc/30/37/70/434303770.db2.gz MJLSWBUPOVJTCD-AEFFLSMTSA-N 0 1 312.413 3.100 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@H]3CCC(C)(C)C3)C2)n[nH]1 ZINC000328746335 434305043 /nfs/dbraw/zinc/30/50/43/434305043.db2.gz PSRWBXGWPVYTAR-KGLIPLIRSA-N 0 1 304.438 3.390 20 30 DGEDMN C[C@H](OC1CCCCCC1)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000328930294 434329147 /nfs/dbraw/zinc/32/91/47/434329147.db2.gz HLPCDAZFCMBXQW-STQMWFEESA-N 0 1 305.422 3.351 20 30 DGEDMN CC[C@H](C)n1ncc(C(=O)NCC2=CC[N@@H+](C)CC2)c1C1CC1 ZINC000329211759 434373799 /nfs/dbraw/zinc/37/37/99/434373799.db2.gz HHUBZTHBSPTPLM-ZDUSSCGKSA-N 0 1 316.449 3.298 20 30 DGEDMN CSc1cccc(NS(=O)(=O)c2ccccc2C#N)c1C ZINC000340828307 434375534 /nfs/dbraw/zinc/37/55/34/434375534.db2.gz SOKWJADDAWWCCL-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN CC(C)C1CCC(CNC(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000329004620 434340288 /nfs/dbraw/zinc/34/02/88/434340288.db2.gz YKAFSYCMCZZZTR-RUXDESIVSA-N 0 1 304.438 3.329 20 30 DGEDMN CC(C)C1CCC(CNC(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000329004620 434340296 /nfs/dbraw/zinc/34/02/96/434340296.db2.gz YKAFSYCMCZZZTR-RUXDESIVSA-N 0 1 304.438 3.329 20 30 DGEDMN CC(C)O[C@@H]1C[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1(C)C ZINC000329637574 434447060 /nfs/dbraw/zinc/44/70/60/434447060.db2.gz AJPVFQGSMJLTLD-TUKIKUTGSA-N 0 1 305.422 3.378 20 30 DGEDMN C[C@H]1COc2ccccc2CN1CCOc1cccc(C#N)c1 ZINC000359852780 434448341 /nfs/dbraw/zinc/44/83/41/434448341.db2.gz VTNPNJXWUWNDOV-HNNXBMFYSA-N 0 1 308.381 3.220 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H]1C1CCCCC1 ZINC000329502413 434421274 /nfs/dbraw/zinc/42/12/74/434421274.db2.gz AJGAZPLCQYTIOF-PBHICJAKSA-N 0 1 316.449 3.226 20 30 DGEDMN CC(C)(O)CN(Cc1ccc(Br)cc1C#N)C1CC1 ZINC000287667442 434424931 /nfs/dbraw/zinc/42/49/31/434424931.db2.gz HLALREOKJYDEOF-UHFFFAOYSA-N 0 1 323.234 3.056 20 30 DGEDMN CCC[C@H](C)[C@@H]1CCCN1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000329537794 434429405 /nfs/dbraw/zinc/42/94/05/434429405.db2.gz BROHAQZLFBQDJB-XEZPLFJOSA-N 0 1 304.438 3.082 20 30 DGEDMN CCC[C@H](C)[C@@H]1CCCN1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000329537795 434429579 /nfs/dbraw/zinc/42/95/79/434429579.db2.gz BROHAQZLFBQDJB-ZENOOKHLSA-N 0 1 304.438 3.082 20 30 DGEDMN Cc1cc(C)n([C@@H](C)CC(=O)NCC[N@@H+]2CC=C(C)CC2)n1 ZINC000329704484 434463011 /nfs/dbraw/zinc/46/30/11/434463011.db2.gz IUXLIQISDFNIIJ-INIZCTEOSA-N 0 1 304.438 3.060 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000329736410 434469830 /nfs/dbraw/zinc/46/98/30/434469830.db2.gz LKYUFYFDHGOMEY-XEZPLFJOSA-N 0 1 304.438 3.082 20 30 DGEDMN CCc1csc(NC(=O)N2CC[C@H](C)[C@H](n3ccnc3)C2)n1 ZINC000329865239 434495755 /nfs/dbraw/zinc/49/57/55/434495755.db2.gz ACOQSJVDKMYIJA-WCQYABFASA-N 0 1 319.434 3.031 20 30 DGEDMN C[C@H]1C[C@@H](NCc2ccc(C#N)c(F)c2)C[N@@H+]1Cc1ccccc1 ZINC000403971924 434506923 /nfs/dbraw/zinc/50/69/23/434506923.db2.gz BWJPUROYPMEHRZ-HNAYVOBHSA-N 0 1 323.415 3.450 20 30 DGEDMN CO[C@H](CN1CCC(C#N)(c2ccccn2)CC1)c1ccccc1 ZINC000367112923 434540847 /nfs/dbraw/zinc/54/08/47/434540847.db2.gz GVUDBGWGBWIWDA-GOSISDBHSA-N 0 1 321.424 3.326 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC[C@@H]2C2CCOCC2)cn2ccccc12 ZINC000348952200 434562918 /nfs/dbraw/zinc/56/29/18/434562918.db2.gz UYWLEHFDILQKTA-GOSISDBHSA-N 0 1 309.413 3.202 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CC(C)(C)[C@H]2[C@@H]2CCCO2)cc1 ZINC000367965177 434564624 /nfs/dbraw/zinc/56/46/24/434564624.db2.gz LISPMUIDLNZVDD-FHLIZLRMSA-N 0 1 314.429 3.367 20 30 DGEDMN CO[C@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@H]1C ZINC000451535588 434571738 /nfs/dbraw/zinc/57/17/38/434571738.db2.gz AVKKIXJOYXRAFK-CJNGLKHVSA-N 0 1 311.347 3.414 20 30 DGEDMN COc1ccc2c(c1)N(C(=O)c1ccc(C#N)c(O)c1)CCCC2 ZINC000443079099 295264540 /nfs/dbraw/zinc/26/45/40/295264540.db2.gz YQUNDTZIPDVWSC-UHFFFAOYSA-N 0 1 322.364 3.256 20 30 DGEDMN C=CCOc1cccc(CN2C[C@H](C)O[C@@]3(CCCOC3)C2)c1 ZINC000370706366 434618042 /nfs/dbraw/zinc/61/80/42/434618042.db2.gz BAUDOAYQVKIKRB-LPHOPBHVSA-N 0 1 317.429 3.021 20 30 DGEDMN Cn1nccc1[C@H]1CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000439437626 434603867 /nfs/dbraw/zinc/60/38/67/434603867.db2.gz KBTPDPWKKHUOMC-HNNXBMFYSA-N 0 1 314.820 3.325 20 30 DGEDMN CC(C)Cn1ncc(NC(=O)c2ccc(C#N)c(O)c2)c1C1CC1 ZINC000360445529 434608771 /nfs/dbraw/zinc/60/87/71/434608771.db2.gz UCISZIQXSMDXSD-UHFFFAOYSA-N 0 1 324.384 3.246 20 30 DGEDMN COC(=O)c1cnc([C@@H](C)NC2CCC(C)(C#N)CC2)s1 ZINC000424321199 434693866 /nfs/dbraw/zinc/69/38/66/434693866.db2.gz HCBAYLZRVJEUKR-RWWNRMGGSA-N 0 1 307.419 3.053 20 30 DGEDMN N#CC1(CCN2CCN(c3ccc(O)cc3)CC2)CCCCC1 ZINC000411138432 434730421 /nfs/dbraw/zinc/73/04/21/434730421.db2.gz KXVIROJACLAWMA-UHFFFAOYSA-N 0 1 313.445 3.378 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N[C@@H](C)c1ccc(Cl)cc1 ZINC000459824861 434731148 /nfs/dbraw/zinc/73/11/48/434731148.db2.gz KIWYLHDFOXQIEY-GDZNZVCISA-N 0 1 321.852 3.434 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(C3CCCCCC3)[nH]n2)cc1O ZINC000442971817 434773232 /nfs/dbraw/zinc/77/32/32/434773232.db2.gz CLEHVALZTDLQMW-UHFFFAOYSA-N 0 1 324.384 3.677 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@H](C)[C@@H](C)[C@H]2C)c(C#N)c1C ZINC000419368767 434777964 /nfs/dbraw/zinc/77/79/64/434777964.db2.gz GMUWKSUSTQKRDY-YGNMPJRFSA-N 0 1 303.406 3.071 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1ccc(C#N)cc1 ZINC000411456026 434783108 /nfs/dbraw/zinc/78/31/08/434783108.db2.gz UKSHXQRTVVVAMH-SCLBCKFNSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1cc(CN2CC(C)(C)O[C@@]3(CCCOC3)C2)ccc1C#N ZINC000361113682 434799266 /nfs/dbraw/zinc/79/92/66/434799266.db2.gz NESILRHZWWFMGV-IBGZPJMESA-N 0 1 314.429 3.027 20 30 DGEDMN C=C(C)[C@@H](CC(=O)Nc1cc(C(=O)CC)ccc1O)OCC ZINC000361161233 434817072 /nfs/dbraw/zinc/81/70/72/434817072.db2.gz HLRKWHYJVCFEMG-MRXNPFEDSA-N 0 1 305.374 3.295 20 30 DGEDMN C#CC[C@@H](NCc1ccc(-n2ccnc2)nc1)c1ccccc1 ZINC000372301597 434821044 /nfs/dbraw/zinc/82/10/44/434821044.db2.gz KLFFBHLDAPOHEK-GOSISDBHSA-N 0 1 302.381 3.122 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000372859358 434858908 /nfs/dbraw/zinc/85/89/08/434858908.db2.gz QZFSNLXYINXGIC-OAHLLOKOSA-N 0 1 310.401 3.492 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000372859358 434858910 /nfs/dbraw/zinc/85/89/10/434858910.db2.gz QZFSNLXYINXGIC-OAHLLOKOSA-N 0 1 310.401 3.492 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CCC)Cc1ccc(F)cc1 ZINC000521195700 434875446 /nfs/dbraw/zinc/87/54/46/434875446.db2.gz PBWMQCUMOPDJGQ-UHFFFAOYSA-N 0 1 306.425 3.462 20 30 DGEDMN Cc1cc(C2CCN(C(=O)C=Cc3cccc(C#N)c3)CC2)n[nH]1 ZINC000492366342 434969204 /nfs/dbraw/zinc/96/92/04/434969204.db2.gz ICBYTQZHFMYDLY-AATRIKPKSA-N 0 1 320.396 3.009 20 30 DGEDMN CCc1nc([C@@H](C)N[C@@H]2CCCCc3cc(C#N)ccc32)n[nH]1 ZINC000555414379 434974080 /nfs/dbraw/zinc/97/40/80/434974080.db2.gz URFFWQWCLPVTAR-MLGOLLRUSA-N 0 1 309.417 3.357 20 30 DGEDMN COC(=O)c1cc(CN2CCC(CCC#N)CC2)c(C)s1 ZINC000575284784 435018587 /nfs/dbraw/zinc/01/85/87/435018587.db2.gz UUNUHYCPRUDIKC-UHFFFAOYSA-N 0 1 306.431 3.359 20 30 DGEDMN CCC(C#N)(CC)c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000528121730 435019995 /nfs/dbraw/zinc/01/99/95/435019995.db2.gz BIYMARADTVWORL-UHFFFAOYSA-N 0 1 322.372 3.027 20 30 DGEDMN COc1ccc([C@@H](NCc2ccc(C#N)cc2OC)C2CC2)cn1 ZINC000528855010 435029660 /nfs/dbraw/zinc/02/96/60/435029660.db2.gz YDUIPOHQKVDNCC-IBGZPJMESA-N 0 1 323.396 3.211 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC2(c3ccccc3)CC2)nc(C)n1 ZINC000528917224 435030348 /nfs/dbraw/zinc/03/03/48/435030348.db2.gz LXZXKKRAMBQFBE-MRXNPFEDSA-N 0 1 305.381 3.392 20 30 DGEDMN C[C@@H]1[C@H](C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)[C@H]1C1CC1 ZINC000528915029 435030422 /nfs/dbraw/zinc/03/04/22/435030422.db2.gz CTHRSLVVVXICFB-MOZUYYIMSA-N 0 1 316.788 3.279 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2cccnc2)s1)c1ccccn1 ZINC000556504510 435009678 /nfs/dbraw/zinc/00/96/78/435009678.db2.gz KQWUGIHBUMCEKH-GFCCVEGCSA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(-c2cccnc2)s1)c1ccccn1 ZINC000556504510 435009682 /nfs/dbraw/zinc/00/96/82/435009682.db2.gz KQWUGIHBUMCEKH-GFCCVEGCSA-N 0 1 306.350 3.090 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000527481998 435011974 /nfs/dbraw/zinc/01/19/74/435011974.db2.gz UTGUUXINJLYQIM-XHDPSFHLSA-N 0 1 312.335 3.254 20 30 DGEDMN Cn1cc([C@H]2CN(CC#Cc3ccc(F)cc3)CC2(C)C)cn1 ZINC000576928986 435058358 /nfs/dbraw/zinc/05/83/58/435058358.db2.gz ZKBRPZUAODAVKY-GOSISDBHSA-N 0 1 311.404 3.036 20 30 DGEDMN N#CCCN(C(=O)c1cccc2c[nH]nc21)c1ccc(F)cc1 ZINC000558050621 435076497 /nfs/dbraw/zinc/07/64/97/435076497.db2.gz NBZNITKZOKSXQO-UHFFFAOYSA-N 0 1 308.316 3.262 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)Cc1cccc2ccccc21 ZINC000530337325 435044968 /nfs/dbraw/zinc/04/49/68/435044968.db2.gz JXZACKWPAOQXFK-OAHLLOKOSA-N 0 1 321.424 3.223 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cc([N+](=O)[O-])cn2C)n1 ZINC000530853985 435054689 /nfs/dbraw/zinc/05/46/89/435054689.db2.gz CMWYYYBBISDPKI-JTQLQIEISA-N 0 1 318.358 3.003 20 30 DGEDMN C=CCN1CC[C@@H](N(C)CCc2ccc(C(C)C)cc2)C1=O ZINC000467522626 435123472 /nfs/dbraw/zinc/12/34/72/435123472.db2.gz WSHDVVHSSGVDDZ-GOSISDBHSA-N 0 1 300.446 3.071 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(C#N)cn2)c(C)c1OC ZINC000560550688 435178215 /nfs/dbraw/zinc/17/82/15/435178215.db2.gz WLZLRSIRNWWOKZ-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000496892786 298273663 /nfs/dbraw/zinc/27/36/63/298273663.db2.gz PLCXMVJJAVQDEN-QLFBSQMISA-N 0 1 309.454 3.256 20 30 DGEDMN C=C(C)COCCN[C@H](COC)c1cccc(Cl)c1F ZINC000562052766 435248560 /nfs/dbraw/zinc/24/85/60/435248560.db2.gz LKIRBDZCGRHWIE-CQSZACIVSA-N 0 1 301.789 3.349 20 30 DGEDMN C=C(C)CC[N@H+]1CCc2cc(OC)c(OC)cc2[C@H]1CC(=O)[O-] ZINC000563721820 435269499 /nfs/dbraw/zinc/26/94/99/435269499.db2.gz IULYWYQUNQQUJS-OAHLLOKOSA-N 0 1 319.401 3.044 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496951247 435276195 /nfs/dbraw/zinc/27/61/95/435276195.db2.gz UKWZBVDGOZPWHQ-VXGBXAGGSA-N 0 1 321.396 3.089 20 30 DGEDMN C=CC[N@H+](Cc1cc(C)c(C(=O)[O-])o1)Cc1ccc(OC)cc1 ZINC000565428457 435279515 /nfs/dbraw/zinc/27/95/15/435279515.db2.gz XRLAHXKBWLLSAD-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C)c(C(=O)[O-])o1)Cc1ccc(OC)cc1 ZINC000565428457 435279519 /nfs/dbraw/zinc/27/95/19/435279519.db2.gz XRLAHXKBWLLSAD-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@H](CC(F)(F)F)C1 ZINC000643253831 435285594 /nfs/dbraw/zinc/28/55/94/435285594.db2.gz BIDMUMVPYNNHQF-CYBMUJFWSA-N 0 1 306.372 3.075 20 30 DGEDMN C[C@@H](C#N)CN(C)CCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000497010649 435286209 /nfs/dbraw/zinc/28/62/09/435286209.db2.gz ZFYCPJOQIZFFHA-AWEZNQCLSA-N 0 1 309.454 3.115 20 30 DGEDMN CCN(CC[C@H]1CCN(C(=O)OC(C)(C)C)C1)C[C@H](C)C#N ZINC000497041396 435288647 /nfs/dbraw/zinc/28/86/47/435288647.db2.gz UQYJXPIYLHRIKA-CABCVRRESA-N 0 1 309.454 3.115 20 30 DGEDMN C=C(C)C[N@H+](CC)Cc1oc(-c2ccccc2)nc1C(=O)[O-] ZINC000566801786 435289799 /nfs/dbraw/zinc/28/97/99/435289799.db2.gz HCWOQGLCNKNSKR-UHFFFAOYSA-N 0 1 300.358 3.438 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCCOc2ccccc2)CC1 ZINC000507279631 435309528 /nfs/dbraw/zinc/30/95/28/435309528.db2.gz OOCASZACMOXHQY-UHFFFAOYSA-N 0 1 321.424 3.149 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000639609873 435364796 /nfs/dbraw/zinc/36/47/96/435364796.db2.gz MWSMTEZOJBHFEW-UHFFFAOYSA-N 0 1 321.327 3.184 20 30 DGEDMN N#Cc1ccccc1OCCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000515264584 435471491 /nfs/dbraw/zinc/47/14/91/435471491.db2.gz ROFHVSUPZAKXCR-UHFFFAOYSA-N 0 1 320.392 3.190 20 30 DGEDMN C=CCOCCN(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000639812215 435425938 /nfs/dbraw/zinc/42/59/38/435425938.db2.gz MYUFNTJBLTULTR-UHFFFAOYSA-N 0 1 313.323 3.216 20 30 DGEDMN Clc1ccccc1C#CCN1CCC[C@@H](n2ccnn2)CC1 ZINC000639816673 435428911 /nfs/dbraw/zinc/42/89/11/435428911.db2.gz GLQKRWBUJWUZDW-MRXNPFEDSA-N 0 1 314.820 3.010 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)Nc2ncc3c(c2C#N)CCC3)n[nH]1 ZINC000568386159 435431674 /nfs/dbraw/zinc/43/16/74/435431674.db2.gz YEJFVYVVDQRNBJ-QWRGUYRKSA-N 0 1 310.405 3.247 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2cc(C)nc(C)n2)nn1[C@@H](C)C1CC1 ZINC000538580182 435507495 /nfs/dbraw/zinc/50/74/95/435507495.db2.gz PTGCGUNYHNPEFY-SWLSCSKDSA-N 0 1 323.400 3.059 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)nn1[C@@H](C)C1CC1 ZINC000538580182 435507500 /nfs/dbraw/zinc/50/75/00/435507500.db2.gz PTGCGUNYHNPEFY-SWLSCSKDSA-N 0 1 323.400 3.059 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1ccc(F)cc1C#N ZINC000569186541 435510340 /nfs/dbraw/zinc/51/03/40/435510340.db2.gz FSVQDODAUXYLQW-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN CC#CCCNC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000483426672 435519847 /nfs/dbraw/zinc/51/98/47/435519847.db2.gz OLKSDYBBQKYMBC-INIZCTEOSA-N 0 1 310.401 3.131 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000637620238 435484961 /nfs/dbraw/zinc/48/49/61/435484961.db2.gz AMTMKIJZJHOMNB-LBPRGKRZSA-N 0 1 307.442 3.281 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H](c2ccc(F)cc2)C1 ZINC000483102275 435496170 /nfs/dbraw/zinc/49/61/70/435496170.db2.gz IUXOEJQGXAMVSS-MRXNPFEDSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000539390318 435550307 /nfs/dbraw/zinc/55/03/07/435550307.db2.gz ZZWWWKKXHZGBGK-HNNXBMFYSA-N 0 1 321.440 3.302 20 30 DGEDMN CCc1ccc(CN(C)CCOCc2ccc(C#N)cc2)cn1 ZINC000518012047 435620566 /nfs/dbraw/zinc/62/05/66/435620566.db2.gz ZHCJNZOYOVVVSZ-UHFFFAOYSA-N 0 1 309.413 3.164 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1-c1nnc[nH]1)c1cccc(C#N)c1 ZINC000486909364 435620643 /nfs/dbraw/zinc/62/06/43/435620643.db2.gz FQQHIWRQNSDIGH-GFCCVEGCSA-N 0 1 317.352 3.086 20 30 DGEDMN C=CCCC[N@H+](C)[C@@H](C(=O)[O-])c1cccc(Br)c1 ZINC000570638930 435636339 /nfs/dbraw/zinc/63/63/39/435636339.db2.gz MFFWTPULZNZKRZ-CYBMUJFWSA-N 0 1 312.207 3.473 20 30 DGEDMN N#CCC1CCN(CCOc2ccccc2Br)CC1 ZINC000541948108 435645875 /nfs/dbraw/zinc/64/58/75/435645875.db2.gz GEYCBISKUONCQI-UHFFFAOYSA-N 0 1 323.234 3.454 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC(CC#N)CC3)n[nH]2)cc1 ZINC000541983733 435646708 /nfs/dbraw/zinc/64/67/08/435646708.db2.gz RYCZQVMXMNVYLL-UHFFFAOYSA-N 0 1 308.385 3.151 20 30 DGEDMN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc(C#N)c(C)c1 ZINC000488447395 435639024 /nfs/dbraw/zinc/63/90/24/435639024.db2.gz JAMAHSRIEIQFAA-MOPGFXCFSA-N 0 1 313.445 3.088 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1cccc(N2CCOC2=O)c1 ZINC000490850296 435684477 /nfs/dbraw/zinc/68/44/77/435684477.db2.gz DQLGVJWOJSNPCH-UHFFFAOYSA-N 0 1 310.357 3.183 20 30 DGEDMN C=CCN1CC[C@@H](N2CCc3ccc(Cl)cc3[C@H](C)C2)C1=O ZINC000649746809 435688909 /nfs/dbraw/zinc/68/89/09/435688909.db2.gz JJCONEUMIQYFDF-CXAGYDPISA-N 0 1 318.848 3.089 20 30 DGEDMN N#CCOc1ccc(C=CC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC000491302909 435728907 /nfs/dbraw/zinc/72/89/07/435728907.db2.gz FHRXNHMNVFRZLI-FPYGCLRLSA-N 0 1 318.336 3.117 20 30 DGEDMN C=CCN1CC[C@@H](N(C)CCSc2cccc(Cl)c2)C1=O ZINC000660464386 435761099 /nfs/dbraw/zinc/76/10/99/435761099.db2.gz PARALRRIQKTCSI-OAHLLOKOSA-N 0 1 324.877 3.151 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(Br)cc(F)c1F ZINC000571450393 435708895 /nfs/dbraw/zinc/70/88/95/435708895.db2.gz SRNYOUGAMZBCEM-NEPJUHHUSA-N 0 1 318.161 3.160 20 30 DGEDMN C#C[C@H](CC)NCc1cc(OC)ccc1OCc1ccccn1 ZINC000491724274 435800167 /nfs/dbraw/zinc/80/01/67/435800167.db2.gz AUEVHRUIDFGCKE-MRXNPFEDSA-N 0 1 310.397 3.171 20 30 DGEDMN C#C[C@H](C)N(C)Cc1ccc(OCc2ccncc2)c(OC)c1 ZINC000491774211 435808789 /nfs/dbraw/zinc/80/87/89/435808789.db2.gz CAFBYNAXPBDTRK-HNNXBMFYSA-N 0 1 310.397 3.123 20 30 DGEDMN C#C[C@H](C)N(C)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000491555378 435772206 /nfs/dbraw/zinc/77/22/06/435772206.db2.gz QVGRXORLCRHHQY-KRWDZBQOSA-N 0 1 320.436 3.412 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@H](C)CN(C)[C@H](C)[C@H]1C)c1ccccc1 ZINC000650153009 435837503 /nfs/dbraw/zinc/83/75/03/435837503.db2.gz NPFPIUGAZKCSFE-QBPKDAKJSA-N 0 1 300.446 3.286 20 30 DGEDMN CC(C)c1cc(C(=O)C(C#N)c2nc(C3CC3)cs2)n(C)n1 ZINC000647378409 436035889 /nfs/dbraw/zinc/03/58/89/436035889.db2.gz WQRJRIIHMZXCRE-NSHDSACASA-N 0 1 314.414 3.367 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2cc(C)nn2C(C)(C)C)C1 ZINC000661379944 436048798 /nfs/dbraw/zinc/04/87/98/436048798.db2.gz JQYLIAPMJKWISD-HNNXBMFYSA-N 0 1 318.465 3.173 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccnn2C(C)C)C1 ZINC000661378334 436050220 /nfs/dbraw/zinc/05/02/20/436050220.db2.gz CWGDTHYPSGFBDF-CABCVRRESA-N 0 1 304.438 3.079 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCO[C@H](CC)c1ccccc1 ZINC000651191378 436135160 /nfs/dbraw/zinc/13/51/60/436135160.db2.gz WMTXTXMUPNTPGT-CQSZACIVSA-N 0 1 319.430 3.430 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)N2CCC[C@H]2C)c1 ZINC000245450416 301224741 /nfs/dbraw/zinc/22/47/41/301224741.db2.gz OOKQXKKASRFUOB-SJORKVTESA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)nn1 ZINC000653563298 436207346 /nfs/dbraw/zinc/20/73/46/436207346.db2.gz FQBJPFIJUZRSGK-AEFFLSMTSA-N 0 1 310.445 3.307 20 30 DGEDMN Fc1ccc(C#CCN2CCC([C@@H]3CCCCO3)CC2)cc1 ZINC000661866388 436216443 /nfs/dbraw/zinc/21/64/43/436216443.db2.gz YTVOQOKYKYOIAW-IBGZPJMESA-N 0 1 301.405 3.458 20 30 DGEDMN C=CCCn1cc(CN2CC[C@@H](c3ccc(CC)cc3)C2)nn1 ZINC000653700087 436246495 /nfs/dbraw/zinc/24/64/95/436246495.db2.gz RFGOVCHABMSOAL-GOSISDBHSA-N 0 1 310.445 3.406 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NC[C@H](c2ccsc2)N(C)C)C1 ZINC000660313685 436622414 /nfs/dbraw/zinc/62/24/14/436622414.db2.gz ZHEUOEFNFPFQEF-GOEBONIOSA-N 0 1 321.490 3.349 20 30 DGEDMN Cc1ccccc1[C@@H]1CCCN1CC(=O)N[C@](C)(C#N)C(C)C ZINC000249726208 302150148 /nfs/dbraw/zinc/15/01/48/302150148.db2.gz PYUHGXYLLLBKBM-PKOBYXMFSA-N 0 1 313.445 3.186 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccccc2F)C1=O)c1cccc(C#N)c1 ZINC000174358924 312762715 /nfs/dbraw/zinc/76/27/15/312762715.db2.gz XFKUVTHVDKEONH-GUYCJALGSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1cccc([C@@H]2CCN(CC(=O)Nc3cccc(C#N)c3)C2)c1 ZINC000542320835 314069849 /nfs/dbraw/zinc/06/98/49/314069849.db2.gz XDLOBZLPPWLJQV-GOSISDBHSA-N 0 1 319.408 3.295 20 30 DGEDMN COC(=O)[C@@H](Cc1ccccc1)[C@@H](C)NCc1ccccc1C#N ZINC000551718146 314572069 /nfs/dbraw/zinc/57/20/69/314572069.db2.gz QIYABRUDYBUIIZ-BEFAXECRSA-N 0 1 322.408 3.068 20 30 DGEDMN CCOc1ccc([C@H](C)NCc2ccc(C#N)cn2)cc1OC ZINC000563356656 315105668 /nfs/dbraw/zinc/10/56/68/315105668.db2.gz UALJOYRXEMKSBA-ZDUSSCGKSA-N 0 1 311.385 3.211 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1ccc(C#N)cc1 ZINC000563439444 315115877 /nfs/dbraw/zinc/11/58/77/315115877.db2.gz LMESKALNRMPNAU-UHFFFAOYSA-N 0 1 301.371 3.059 20 30 DGEDMN N#Cc1cnn2cc(CN3CCC(c4ccsc4)CC3)cnc12 ZINC000564985342 315217283 /nfs/dbraw/zinc/21/72/83/315217283.db2.gz GPDAKMUTUQFJDG-UHFFFAOYSA-N 0 1 323.425 3.042 20 30 DGEDMN N#Cc1ccc(CN(C[C@H]2CCCO2)[C@@H]2CCSC2)s1 ZINC000580960214 324058196 /nfs/dbraw/zinc/05/81/96/324058196.db2.gz HKQPZLKSRVMUTP-CHWSQXEVSA-N 0 1 308.472 3.106 20 30 DGEDMN N#Cc1ccncc1N1CCN(CCCCc2ccccc2)CC1 ZINC000581346847 324107718 /nfs/dbraw/zinc/10/77/18/324107718.db2.gz PFGCXZLNORAXEU-UHFFFAOYSA-N 0 1 320.440 3.098 20 30 DGEDMN N#Cc1cnc(C[N@H+]2C[C@H](c3ccccc3)[C@H]3CCC[C@H]32)nc1 ZINC000582291158 324212123 /nfs/dbraw/zinc/21/21/23/324212123.db2.gz CPLFRHHWQGROIR-KZNAEPCWSA-N 0 1 304.397 3.116 20 30 DGEDMN N#Cc1cnc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@H]32)nc1 ZINC000582291158 324212128 /nfs/dbraw/zinc/21/21/28/324212128.db2.gz CPLFRHHWQGROIR-KZNAEPCWSA-N 0 1 304.397 3.116 20 30 DGEDMN Cc1cc(CNCc2ccncc2OCC(F)F)ccc1C#N ZINC000441344279 533708741 /nfs/dbraw/zinc/70/87/41/533708741.db2.gz DNVDRSSSUNEWED-UHFFFAOYSA-N 0 1 317.339 3.195 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1ccc2nc(C3CCC3)[nH]c2c1 ZINC000518306804 332011089 /nfs/dbraw/zinc/01/10/89/332011089.db2.gz NDLKNHGSRAVDTN-CJNGLKHVSA-N 0 1 311.385 3.360 20 30 DGEDMN N#CC1(C(=O)N2CCN(CC3CCCCC3)CC2)CCCCC1 ZINC000521419864 333377644 /nfs/dbraw/zinc/37/76/44/333377644.db2.gz FGIXVZVWTHEFIC-UHFFFAOYSA-N 0 1 317.477 3.185 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@H](c2ccncc2)C1 ZINC000295689724 335137103 /nfs/dbraw/zinc/13/71/03/335137103.db2.gz DGHLLTGJBKFQCY-SJLPKXTDSA-N 0 1 315.461 3.074 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCN(c3ccc4ccccc4n3)CC2)C1 ZINC000293763022 334202232 /nfs/dbraw/zinc/20/22/32/334202232.db2.gz KCGMBNFDQMEZHP-RDJZCZTQSA-N 0 1 306.413 3.049 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C)C1CC1 ZINC000537927990 334273939 /nfs/dbraw/zinc/27/39/39/334273939.db2.gz AASBBRXFENHPOR-MRXNPFEDSA-N 0 1 321.852 3.303 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccn(C(C)C)n3)[nH]c21 ZINC000345373776 335325703 /nfs/dbraw/zinc/32/57/03/335325703.db2.gz MHQKBQAUXJZMBM-GFCCVEGCSA-N 0 1 307.357 3.139 20 30 DGEDMN CC(C)c1ccccc1S(=O)(=O)Nc1cc(CC#N)ccn1 ZINC000527043064 336280799 /nfs/dbraw/zinc/28/07/99/336280799.db2.gz JNGYCJYLSUHNNY-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN Cn1cc([C@H](N[C@@H]2CCC[C@@H](C#N)C2)c2ccc(F)cc2)cn1 ZINC000248876106 337568105 /nfs/dbraw/zinc/56/81/05/337568105.db2.gz JMDRBCIYOXMMPT-FSPWUOQZSA-N 0 1 312.392 3.321 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000516148443 338005445 /nfs/dbraw/zinc/00/54/45/338005445.db2.gz HJJXOBZPMICLNN-SJORKVTESA-N 0 1 314.385 3.173 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@]1(c2cccc(C)c2)C[C@@H]1CC ZINC000502513239 340106531 /nfs/dbraw/zinc/10/65/31/340106531.db2.gz SOGYKQWQZZWSIT-FXAWDEMLSA-N 0 1 312.457 3.410 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H]1/C=C/c1ccccc1 ZINC000505821616 340178764 /nfs/dbraw/zinc/17/87/64/340178764.db2.gz YZHMJWUZVCLLMN-HYSAVQALSA-N 0 1 310.441 3.365 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2[C@@H](C)CC[C@@H]2C)c1C ZINC000174717110 340395097 /nfs/dbraw/zinc/39/50/97/340395097.db2.gz HPKNZSLTWHYFLG-HOTGVXAUSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(-c2cc(C)cc(C)c2)cc1 ZINC000538535314 340832089 /nfs/dbraw/zinc/83/20/89/340832089.db2.gz IHZQEPDDAXMOTP-UHFFFAOYSA-N 0 1 308.425 3.362 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000539388566 340883453 /nfs/dbraw/zinc/88/34/53/340883453.db2.gz OHFUWTDMFPREHM-RHSMWYFYSA-N 0 1 321.440 3.472 20 30 DGEDMN C[C@H](C(=O)NCCC1=CCCCC1)N1CCC(CC#N)CC1 ZINC000542879221 341024964 /nfs/dbraw/zinc/02/49/64/341024964.db2.gz PBBRWZBOGVYITC-OAHLLOKOSA-N 0 1 303.450 3.007 20 30 DGEDMN N#CCC1CCN(C(=O)c2ccc(Nc3ccncc3)cc2)CC1 ZINC000542905841 341025905 /nfs/dbraw/zinc/02/59/05/341025905.db2.gz FXGOHRQRWPGVLR-UHFFFAOYSA-N 0 1 320.396 3.013 20 30 DGEDMN C[C@H](NC(=O)[C@H](C)N1CCC(CC#N)CC1)c1ccc(F)cc1 ZINC000543810624 341059996 /nfs/dbraw/zinc/05/99/96/341059996.db2.gz IYKROFJHCAQSAO-KBPBESRZSA-N 0 1 317.408 3.017 20 30 DGEDMN Cn1cc(C(C)(C)NCc2cccc(OCCCC#N)c2)cn1 ZINC000546703584 341179586 /nfs/dbraw/zinc/17/95/86/341179586.db2.gz YLEGNESJHSEHEG-UHFFFAOYSA-N 0 1 312.417 3.128 20 30 DGEDMN C=CCSCCNC(=O)c1c(O)cc(Cl)cc1Cl ZINC000080022241 341268634 /nfs/dbraw/zinc/26/86/34/341268634.db2.gz JQNSCLJXQLVNTO-UHFFFAOYSA-N 0 1 306.214 3.348 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(N[C@@H]2CC[C@@H](C#N)C2)c1 ZINC000568102162 341651360 /nfs/dbraw/zinc/65/13/60/341651360.db2.gz WKTYLYSGBQVWOF-RHSMWYFYSA-N 0 1 314.433 3.071 20 30 DGEDMN C=C(CC)CNCCS(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000576150256 341829728 /nfs/dbraw/zinc/82/97/28/341829728.db2.gz KPQYNCRPJHWCBP-UHFFFAOYSA-N 0 1 309.475 3.314 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001691162276 1176348520 /nfs/dbraw/zinc/34/85/20/1176348520.db2.gz XHDGPOHCZLYDDC-OPSIHOIKSA-N 0 1 305.249 3.146 20 30 DGEDMN COc1ccccc1-n1nccc1CNCc1cccc(C#N)c1 ZINC000429998456 533934904 /nfs/dbraw/zinc/93/49/04/533934904.db2.gz AJQOKYAFANWMBA-UHFFFAOYSA-N 0 1 318.380 3.042 20 30 DGEDMN C[C@@H](Oc1ccccc1C#N)c1nc(C2(N)CCCCC2)no1 ZINC000424346857 533964800 /nfs/dbraw/zinc/96/48/00/533964800.db2.gz QBFXHYFZBKIWNS-GFCCVEGCSA-N 0 1 312.373 3.199 20 30 DGEDMN COC(=O)c1cnc([C@H](C)NC2CCC(C)(C#N)CC2)s1 ZINC000424321198 534309857 /nfs/dbraw/zinc/30/98/57/534309857.db2.gz HCBAYLZRVJEUKR-NLTNOIMHSA-N 0 1 307.419 3.053 20 30 DGEDMN Cc1noc(C[C@@H](NCc2cc(C#N)cs2)c2ccccc2)n1 ZINC000428639676 534423679 /nfs/dbraw/zinc/42/36/79/534423679.db2.gz ITFPGBSVSZEVHN-MRXNPFEDSA-N 0 1 324.409 3.385 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(C)Cc1ccc(OCC)cc1 ZINC000489448603 534559911 /nfs/dbraw/zinc/55/99/11/534559911.db2.gz MLVREJPKEDSUKN-QGZVFWFLSA-N 0 1 316.445 3.084 20 30 DGEDMN N#CC(C(=O)c1cncs1)c1nc2ccccc2n1C(F)F ZINC000429672180 534739820 /nfs/dbraw/zinc/73/98/20/534739820.db2.gz CTSJKTDDFIEMBK-MRVPVSSYSA-N 0 1 318.308 3.378 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)N[C@@H](C)c1n[nH]c([C@@H](C)CC)n1 ZINC000487558702 534759427 /nfs/dbraw/zinc/75/94/27/534759427.db2.gz ZFXGGPQDHWSMKI-AVGNSLFASA-N 0 1 307.442 3.423 20 30 DGEDMN Cc1ccccc1CCN(C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000295783643 534806894 /nfs/dbraw/zinc/80/68/94/534806894.db2.gz UNHQNCNSWPZITB-INIZCTEOSA-N 0 1 321.424 3.368 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OCC)co1 ZINC000354634179 526325349 /nfs/dbraw/zinc/32/53/49/526325349.db2.gz PJIXOOINPAVCHJ-UHFFFAOYSA-N 0 1 303.358 3.280 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1cnc2n[nH]c(C)c2c1 ZINC000352376609 526340325 /nfs/dbraw/zinc/34/03/25/526340325.db2.gz SLUFGOKIIPPORF-UHFFFAOYSA-N 0 1 322.412 3.463 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000184403361 526356739 /nfs/dbraw/zinc/35/67/39/526356739.db2.gz JKMPLEKROJPXMI-UHFFFAOYSA-N 0 1 318.380 3.300 20 30 DGEDMN C=CCN(Cc1occc1C)[C@H](C)c1cccc(-n2cnnn2)c1 ZINC000353505468 526384311 /nfs/dbraw/zinc/38/43/11/526384311.db2.gz VPXACHMSLWPIKP-OAHLLOKOSA-N 0 1 323.400 3.313 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(F)cc2Cl)CC1 ZINC000351860114 526446570 /nfs/dbraw/zinc/44/65/70/526446570.db2.gz YJWKCLDNDBPJJF-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2c(CC)oc3ccccc32)C1=O ZINC000337127084 526468282 /nfs/dbraw/zinc/46/82/82/526468282.db2.gz GMZGOCBIUAVBJU-MRXNPFEDSA-N 0 1 312.413 3.214 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC[C@H]2Cc2ccccc2Cl)C1=O ZINC000337127195 526477919 /nfs/dbraw/zinc/47/79/19/526477919.db2.gz GNEVSSCVEVKXHX-DOTOQJQBSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(Cc1cccc(F)c1)C(C)C ZINC000353705331 526520114 /nfs/dbraw/zinc/52/01/14/526520114.db2.gz DVNSVRGISRIZQQ-UHFFFAOYSA-N 0 1 306.425 3.461 20 30 DGEDMN C=CCNC(=O)[C@@H](C)Sc1nc(C)c(-c2ccccc2)[nH]1 ZINC000187133246 526629793 /nfs/dbraw/zinc/62/97/93/526629793.db2.gz HMFZZJAERQRREK-GFCCVEGCSA-N 0 1 301.415 3.168 20 30 DGEDMN C=CCOCCCN[C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000348051842 526761702 /nfs/dbraw/zinc/76/17/02/526761702.db2.gz RQZBVEHNIRUJDW-GFCCVEGCSA-N 0 1 305.353 3.119 20 30 DGEDMN CC(C)(C)c1nc(CN2CCN(CCCCC#N)CC2)cs1 ZINC000102397409 526862441 /nfs/dbraw/zinc/86/24/41/526862441.db2.gz LMQWSTDWDZHAND-UHFFFAOYSA-N 0 1 320.506 3.252 20 30 DGEDMN C=C(CNCc1cc(OC)c(O)cc1[N+](=O)[O-])c1ccccc1 ZINC000337721814 526881563 /nfs/dbraw/zinc/88/15/63/526881563.db2.gz WKDWPNZALDQQPW-UHFFFAOYSA-N 0 1 314.341 3.112 20 30 DGEDMN C=C(CN[C@H](c1nnc[nH]1)c1ccc(F)cc1)c1ccccc1 ZINC000340202156 526883763 /nfs/dbraw/zinc/88/37/63/526883763.db2.gz BJKCNPSBZVCVJC-KRWDZBQOSA-N 0 1 308.360 3.336 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2cccc3nc(C)[nH]c32)cc1 ZINC000359062882 526883947 /nfs/dbraw/zinc/88/39/47/526883947.db2.gz RFGZURRDUQFFCO-UHFFFAOYSA-N 0 1 321.380 3.366 20 30 DGEDMN CC(C)(C)c1[nH]n(CCOc2ccccc2)c(=O)c1CCC#N ZINC000151842055 526887242 /nfs/dbraw/zinc/88/72/42/526887242.db2.gz MXAMINXXQUEUQF-UHFFFAOYSA-N 0 1 313.401 3.421 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2C[C@H](C)N(CC)C[C@H]2C)c1 ZINC000346643570 526914409 /nfs/dbraw/zinc/91/44/09/526914409.db2.gz YDWIAUQSTXKHTG-LSDHHAIUSA-N 0 1 317.433 3.198 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2cn[nH]c2-c2ccncc2)c1 ZINC000351660217 526919506 /nfs/dbraw/zinc/91/95/06/526919506.db2.gz AYCKCPJLRGDPAO-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN C=CCSCCN[C@H](C)c1nnn(-c2ccccc2)c1C ZINC000358036190 527006089 /nfs/dbraw/zinc/00/60/89/527006089.db2.gz KNFQEMGHDOKRBX-CYBMUJFWSA-N 0 1 302.447 3.146 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000121482287 527027555 /nfs/dbraw/zinc/02/75/55/527027555.db2.gz ZAUYKPIHXRVQRD-SFHVURJKSA-N 0 1 316.445 3.183 20 30 DGEDMN C=CCCC1(C(=O)NCc2ccc(F)c(CN(C)C)c2)CC1 ZINC000356118425 527091563 /nfs/dbraw/zinc/09/15/63/527091563.db2.gz PESAOBMLGFEOTK-UHFFFAOYSA-N 0 1 304.409 3.250 20 30 DGEDMN C=CCCCC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000175365184 527105419 /nfs/dbraw/zinc/10/54/19/527105419.db2.gz ZWXHRPHZBGDBFD-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000362952696 527132666 /nfs/dbraw/zinc/13/26/66/527132666.db2.gz UMAFMEVWZOWWHM-UHFFFAOYSA-N 0 1 304.409 3.330 20 30 DGEDMN C=CC[C@H](C)NCc1cn(-c2ccccc2Br)nn1 ZINC000352843092 527137674 /nfs/dbraw/zinc/13/76/74/527137674.db2.gz QNBIKGXNHLAEOO-NSHDSACASA-N 0 1 321.222 3.084 20 30 DGEDMN C=CCCCCNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000353027400 527158241 /nfs/dbraw/zinc/15/82/41/527158241.db2.gz DFEQRUIBHCBDRZ-MRXNPFEDSA-N 0 1 319.449 3.462 20 30 DGEDMN CC(=O)c1ccc(C(=O)NC[C@@H](C)N2CCC(C)CC2)cc1O ZINC000331233628 527220240 /nfs/dbraw/zinc/22/02/40/527220240.db2.gz KEEWVGKWXZZXTN-CYBMUJFWSA-N 0 1 318.417 3.020 20 30 DGEDMN CC(=O)c1ccc(C(=O)NC[C@H](C)N2CCC(C)CC2)cc1O ZINC000331233629 527221118 /nfs/dbraw/zinc/22/11/18/527221118.db2.gz KEEWVGKWXZZXTN-ZDUSSCGKSA-N 0 1 318.417 3.020 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NCCN(C)Cc1ccccc1 ZINC000302095136 527230862 /nfs/dbraw/zinc/23/08/62/527230862.db2.gz IRGKTYSHYSKGMO-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1sc(C)cc1C(=O)OCC ZINC000342739021 527324065 /nfs/dbraw/zinc/32/40/65/527324065.db2.gz ODJLZWNZTRXKQI-LBPRGKRZSA-N 0 1 324.446 3.068 20 30 DGEDMN C=CCC[C@@H](NCc1ccc2c(c1)C(=O)NCC2)c1ccco1 ZINC000353689651 527448868 /nfs/dbraw/zinc/44/88/68/527448868.db2.gz QMGWVSQPXRPGEM-QGZVFWFLSA-N 0 1 310.397 3.363 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000428029938 527603992 /nfs/dbraw/zinc/60/39/92/527603992.db2.gz BTKBKWQRMURKCH-SFHVURJKSA-N 0 1 307.397 3.428 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@@H](CC)c1cccc(OC)c1 ZINC000343956384 527604937 /nfs/dbraw/zinc/60/49/37/527604937.db2.gz DJAQOCUOEUVAPO-SFHVURJKSA-N 0 1 316.445 3.279 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(Cc1cccc(F)c1)C(C)C ZINC000353459737 527607363 /nfs/dbraw/zinc/60/73/63/527607363.db2.gz IKSFTJDRGGGTHS-UHFFFAOYSA-N 0 1 304.409 3.237 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1cc2cccc(F)c2o1 ZINC000355733523 527616314 /nfs/dbraw/zinc/61/63/14/527616314.db2.gz LKVUEFLIBQCLFG-CYBMUJFWSA-N 0 1 316.376 3.423 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccccc1SC ZINC000337354436 527616560 /nfs/dbraw/zinc/61/65/60/527616560.db2.gz CYYWXHVFVBGMAN-AWEZNQCLSA-N 0 1 304.459 3.260 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC[C@H]1c1ccsc1 ZINC000339140622 527633751 /nfs/dbraw/zinc/63/37/51/527633751.db2.gz GNUQZNJZLHXIGI-HOCLYGCPSA-N 0 1 304.459 3.474 20 30 DGEDMN C=C[C@](C)(O)CC(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC000356172092 527640076 /nfs/dbraw/zinc/64/00/76/527640076.db2.gz UTHWAPLTTDSTKH-IBGZPJMESA-N 0 1 321.380 3.496 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000359400829 527656258 /nfs/dbraw/zinc/65/62/58/527656258.db2.gz KPNSFQNWDMTLGM-UHFFFAOYSA-N 0 1 314.776 3.272 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CCC[C@H]1c1cccs1 ZINC000332366253 527934566 /nfs/dbraw/zinc/93/45/66/527934566.db2.gz CEIUESVUHMVFRI-KEYYUXOJSA-N 0 1 319.474 3.328 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)C[C@H](CC#N)c2ccccc2)[C@@H](C)C1 ZINC000344415892 527966899 /nfs/dbraw/zinc/96/68/99/527966899.db2.gz FDSKZLPSUXZMJK-BQFCYCMXSA-N 0 1 313.445 3.015 20 30 DGEDMN CC(C)CNC(=O)C(C#N)c1nc(-c2ccc(C#N)cc2)cs1 ZINC000155734626 528052547 /nfs/dbraw/zinc/05/25/47/528052547.db2.gz WMFGJMOSCUWFIQ-CQSZACIVSA-N 0 1 324.409 3.061 20 30 DGEDMN CC[NH+](CC)Cc1ccc(C([O-])=C(C#N)c2ccccn2)cc1 ZINC000347754486 528188872 /nfs/dbraw/zinc/18/88/72/528188872.db2.gz IULHAVKUECRSND-KRWDZBQOSA-N 0 1 307.397 3.413 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccnc(N(C)C)c2)n1 ZINC000156456242 528239876 /nfs/dbraw/zinc/23/98/76/528239876.db2.gz KMCJVKYZXPOHGK-LBPRGKRZSA-N 0 1 314.414 3.218 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccc([N+](=O)[O-])n2C)n1 ZINC000353893426 528240734 /nfs/dbraw/zinc/24/07/34/528240734.db2.gz KQVIJLQHRUALBE-VIFPVBQESA-N 0 1 318.358 3.003 20 30 DGEDMN CC(C)c1nc(CN2CC=C(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000428288467 528245670 /nfs/dbraw/zinc/24/56/70/528245670.db2.gz GVODPDLKQYOEEE-UHFFFAOYSA-N 0 1 307.401 3.089 20 30 DGEDMN CC(C)c1nnc(-c2ccccc2NC(=O)c2ccc(C#N)o2)[nH]1 ZINC000432415594 528256718 /nfs/dbraw/zinc/25/67/18/528256718.db2.gz NUBWPJFYOYBUBE-UHFFFAOYSA-N 0 1 321.340 3.312 20 30 DGEDMN CCN(Cc1ccc2c(c1)OCO2)Cc1ccc(C#N)c(OC)c1 ZINC000442813919 528800605 /nfs/dbraw/zinc/80/06/05/528800605.db2.gz SLCDQMFZHIMWAB-UHFFFAOYSA-N 0 1 324.380 3.318 20 30 DGEDMN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1ccccc1SCC#N ZINC000185617970 529341731 /nfs/dbraw/zinc/34/17/31/529341731.db2.gz BEEPFRZJXUKOBI-HIFRSBDPSA-N 0 1 317.458 3.361 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@@H]1C ZINC000451545646 536749298 /nfs/dbraw/zinc/74/92/98/536749298.db2.gz OKKQBWVYFKDTGF-FZMZJTMJSA-N 0 1 310.344 3.016 20 30 DGEDMN CCc1ccc(OCC(=O)Nc2nc(-c3ccco3)n[nH]2)cc1 ZINC000078868643 545754316 /nfs/dbraw/zinc/75/43/16/545754316.db2.gz PTOKDDKRMZXWBD-UHFFFAOYSA-N 0 1 312.329 3.294 20 30 DGEDMN OC[C@@]1(NCC#Cc2ccc(Cl)cc2)CCc2ccccc21 ZINC000132251258 545961930 /nfs/dbraw/zinc/96/19/30/545961930.db2.gz NNVKKYQDGHKGKG-IBGZPJMESA-N 0 1 311.812 3.115 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N[C@@H](c1ccc(OC)cc1)C(C)C ZINC000302914468 546439472 /nfs/dbraw/zinc/43/94/72/546439472.db2.gz IWCDVDYFIQDFOQ-LJQANCHMSA-N 0 1 318.461 3.407 20 30 DGEDMN C=CCOCCNCc1cc(Cl)ccc1Br ZINC000303552329 546440135 /nfs/dbraw/zinc/44/01/35/546440135.db2.gz YQCKMYYJZSCFCE-UHFFFAOYSA-N 0 1 304.615 3.395 20 30 DGEDMN Cc1cnn(CCNC([O-])=[NH+][C@@H]2CC[C@@H]3CCCC[C@H]3C2)c1 ZINC000329494404 546486485 /nfs/dbraw/zinc/48/64/85/546486485.db2.gz DEIGNPGMRJWRAW-HRCADAONSA-N 0 1 304.438 3.054 20 30 DGEDMN Cc1cnn(CC[NH+]=C([O-])N[C@@H]2CC[C@@H]3CCCC[C@H]3C2)c1 ZINC000329494404 546486489 /nfs/dbraw/zinc/48/64/89/546486489.db2.gz DEIGNPGMRJWRAW-HRCADAONSA-N 0 1 304.438 3.054 20 30 DGEDMN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCC[C@H](C2CC2)C1 ZINC000329673017 546489812 /nfs/dbraw/zinc/48/98/12/546489812.db2.gz UVBLHTVNIKSECC-HIFRSBDPSA-N 0 1 304.438 3.135 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)[C@H]1CCCc2ccccc21 ZINC000674771332 548110494 /nfs/dbraw/zinc/11/04/94/548110494.db2.gz KTOQAKYIUKMHGM-SFHVURJKSA-N 0 1 300.446 3.420 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)[C@@H]1CCCc2ccccc21 ZINC000674771331 548110592 /nfs/dbraw/zinc/11/05/92/548110592.db2.gz KTOQAKYIUKMHGM-GOSISDBHSA-N 0 1 300.446 3.420 20 30 DGEDMN C=C(C)[C@H](CO)N1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000677047713 548442408 /nfs/dbraw/zinc/44/24/08/548442408.db2.gz HCNAKWZPCONBEX-INIZCTEOSA-N 0 1 307.821 3.172 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(CC)[C@@H](C)C2)c1 ZINC000677200956 548470731 /nfs/dbraw/zinc/47/07/31/548470731.db2.gz GEQCVJDYAYKOFC-HNNXBMFYSA-N 0 1 317.433 3.199 20 30 DGEDMN C=C(C)CN(C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000679379548 548889350 /nfs/dbraw/zinc/88/93/50/548889350.db2.gz VBURPPRBUSIQRB-HNNXBMFYSA-N 0 1 309.841 3.160 20 30 DGEDMN C=CCN(CC1CCC(C(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000685411160 549755841 /nfs/dbraw/zinc/75/58/41/549755841.db2.gz SBOFNVKKNGVDNB-JCYILVPMSA-N 0 1 313.507 3.124 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1ccc2c(c1)OCCO2 ZINC000730260121 574523161 /nfs/dbraw/zinc/52/31/61/574523161.db2.gz AFXWTSUTBIDBHK-UHFFFAOYSA-N 0 1 323.392 3.102 20 30 DGEDMN C[C@H](C(=O)OCc1cc(C#N)ccc1F)N1[C@H](C)CC[C@H]1C ZINC000741022588 574524652 /nfs/dbraw/zinc/52/46/52/574524652.db2.gz SBSPOCHDWCTAHL-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@@H](OCC1CC1)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422071 574529355 /nfs/dbraw/zinc/52/93/55/574529355.db2.gz SXBNGUUTSCFRLA-BXKDBHETSA-N 0 1 318.373 3.427 20 30 DGEDMN COc1cc(C=NNc2ccc(F)cn2)ccc1OC(F)F ZINC000731319658 574546948 /nfs/dbraw/zinc/54/69/48/574546948.db2.gz SOLNLESLZNMMHH-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN Cc1cc(NN=Cc2ccc(OC(F)F)c(Cl)c2)ncn1 ZINC000731935008 574562822 /nfs/dbraw/zinc/56/28/22/574562822.db2.gz NGZTTYBLSYNZBU-UHFFFAOYSA-N 0 1 312.707 3.486 20 30 DGEDMN Cc1cc(C(C)N=Nc2ccccc2S(C)(=O)=O)c(C)o1 ZINC000731933962 574562859 /nfs/dbraw/zinc/56/28/59/574562859.db2.gz SCCYIQFLJKQPHL-UHFFFAOYSA-N 0 1 306.387 3.136 20 30 DGEDMN CS(=O)(=O)c1ccccc1N=NCc1ccccc1Cl ZINC000731933031 574562940 /nfs/dbraw/zinc/56/29/40/574562940.db2.gz IPFCXMXGUCVQHU-UHFFFAOYSA-N 0 1 308.790 3.190 20 30 DGEDMN C#CCOc1ccccc1C[NH2+]Cc1c([O-])cccc1Cl ZINC000734159150 574653538 /nfs/dbraw/zinc/65/35/38/574653538.db2.gz IHWPDVSEMHSXFH-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H](C)N2C3CCC2CC3)cc1 ZINC000734202924 574654485 /nfs/dbraw/zinc/65/44/85/574654485.db2.gz KTHNSZDLUJHTPR-FAEJEUNOSA-N 0 1 311.429 3.257 20 30 DGEDMN C=CC[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccncc1 ZINC000734455804 574661375 /nfs/dbraw/zinc/66/13/75/574661375.db2.gz MVFFGQUSLMVIGT-AWEZNQCLSA-N 0 1 310.332 3.144 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c[nH]c3ccc(F)cc23)nc(C)n1 ZINC000734705961 574674038 /nfs/dbraw/zinc/67/40/38/574674038.db2.gz XUUNOBFXLJOKQO-HNNXBMFYSA-N 0 1 322.343 3.133 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cccc(Cl)c1Cl ZINC000734980708 574684487 /nfs/dbraw/zinc/68/44/87/574684487.db2.gz WEYGBEWOMHQNKF-UHFFFAOYSA-N 0 1 321.171 3.496 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CSc3ccncc3)[nH]c21 ZINC000735788296 574714807 /nfs/dbraw/zinc/71/48/07/574714807.db2.gz DDOKJBFFKSVHBC-CYBMUJFWSA-N 0 1 322.393 3.235 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=CC3CCOCC3)[nH]c21 ZINC000735790841 574714916 /nfs/dbraw/zinc/71/49/16/574714916.db2.gz QLDXPEQYLCYGBI-GJBLVYBDSA-N 0 1 309.369 3.030 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccnc(Cl)c3)[nH]c21 ZINC000735791658 574715076 /nfs/dbraw/zinc/71/50/76/574715076.db2.gz AWGRCQYRENHPSA-LLVKDONJSA-N 0 1 310.744 3.410 20 30 DGEDMN C=C(Br)C[N@H+](Cc1ccccc1)C[C@H](C)C(=O)[O-] ZINC000736095435 574731331 /nfs/dbraw/zinc/73/13/31/574731331.db2.gz WLUIUHMPMMIUCU-NSHDSACASA-N 0 1 312.207 3.118 20 30 DGEDMN C=C(Br)C[N@@H+](Cc1ccccc1)C[C@H](C)C(=O)[O-] ZINC000736095435 574731333 /nfs/dbraw/zinc/73/13/33/574731333.db2.gz WLUIUHMPMMIUCU-NSHDSACASA-N 0 1 312.207 3.118 20 30 DGEDMN CC(C)[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000736394918 574747628 /nfs/dbraw/zinc/74/76/28/574747628.db2.gz LIGXLBNEJRYLKE-NVXWUHKLSA-N 0 1 300.402 3.442 20 30 DGEDMN C[N@@H+](Cc1ccc(F)c(F)c1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737471746 574847485 /nfs/dbraw/zinc/84/74/85/574847485.db2.gz IAVBGLNIECOAGQ-MRXNPFEDSA-N 0 1 316.307 3.094 20 30 DGEDMN N#CCOc1ccc(Cl)cc1C[N@@H+]1CCCC[C@@H]1CC(=O)[O-] ZINC000739087983 574891148 /nfs/dbraw/zinc/89/11/48/574891148.db2.gz ONVFRPAEGMZLNW-CQSZACIVSA-N 0 1 322.792 3.072 20 30 DGEDMN COc1ccc(C=NNc2cccc(C(F)(F)F)n2)cc1O ZINC000739421752 574902764 /nfs/dbraw/zinc/90/27/64/574902764.db2.gz PLWYCLYILOXEMU-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN C=CCCCCCN(C)CN1C[C@@H](c2ccccn2)CC1=O ZINC000739887465 574914821 /nfs/dbraw/zinc/91/48/21/574914821.db2.gz RWTNBIKBJDEWAS-INIZCTEOSA-N 0 1 301.434 3.033 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1cccc(C#N)c1)N1CCCCC1 ZINC000741013210 574948146 /nfs/dbraw/zinc/94/81/46/574948146.db2.gz ZREMNBJFHRBTNJ-KRWDZBQOSA-N 0 1 300.402 3.112 20 30 DGEDMN CC(=NNc1cc(Cl)nc(C)n1)c1ccccc1[N+](=O)[O-] ZINC000741766550 574969358 /nfs/dbraw/zinc/96/93/58/574969358.db2.gz MTRBJANKCBXJMN-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN Cc1cc(CN=Nc2ccc(F)cc2F)ccc1-n1cncn1 ZINC000743418013 575050283 /nfs/dbraw/zinc/05/02/83/575050283.db2.gz SUFDWTVEHOBBBB-UHFFFAOYSA-N 0 1 313.311 3.300 20 30 DGEDMN C(=NNc1ccc2ccccc2n1)c1cc(-c2cccnc2)[nH]n1 ZINC000743420704 575050386 /nfs/dbraw/zinc/05/03/86/575050386.db2.gz GVIBDJHJWKKUGD-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN c1c(CN=Nc2ccc3ccccc3n2)[nH]nc1-c1cccnc1 ZINC000743420704 575050388 /nfs/dbraw/zinc/05/03/88/575050388.db2.gz GVIBDJHJWKKUGD-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN C[C@H]1CC(=NNc2ncnc3nc[nH]c32)c2ccsc2S1 ZINC000743438093 575051457 /nfs/dbraw/zinc/05/14/57/575051457.db2.gz JXPQITOQXAXKOX-ZETCQYMHSA-N 0 1 316.415 3.115 20 30 DGEDMN Cc1cc(C=NNCCN2CCCCC2)ccc1Br ZINC000746368335 575449112 /nfs/dbraw/zinc/44/91/12/575449112.db2.gz DFARIHKRYSEUKA-UHFFFAOYSA-N 0 1 324.266 3.167 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1cccc(C#N)c1 ZINC000746682060 575469542 /nfs/dbraw/zinc/46/95/42/575469542.db2.gz PJJPUYOMNQDAQV-UHFFFAOYSA-N 0 1 310.313 3.311 20 30 DGEDMN CC(=NNc1nc2cc(F)c(F)cc2[nH]1)c1cnn(C(C)C)c1 ZINC000746683643 575470200 /nfs/dbraw/zinc/47/02/00/575470200.db2.gz ZBWOOBKALWPIAL-UHFFFAOYSA-N 0 1 318.331 3.455 20 30 DGEDMN CC(C)n1cc(C(C)N=Nc2nc3cc(F)c(F)cc3[nH]2)cn1 ZINC000746683643 575470202 /nfs/dbraw/zinc/47/02/02/575470202.db2.gz ZBWOOBKALWPIAL-UHFFFAOYSA-N 0 1 318.331 3.455 20 30 DGEDMN CC(=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1)c1cnn(C(C)C)c1 ZINC000746683643 575470205 /nfs/dbraw/zinc/47/02/05/575470205.db2.gz ZBWOOBKALWPIAL-UHFFFAOYSA-N 0 1 318.331 3.455 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2coc3cc(F)ccc23)c1 ZINC000748410795 575585075 /nfs/dbraw/zinc/58/50/75/575585075.db2.gz QLZROEYKBYPHPJ-UHFFFAOYSA-N 0 1 310.284 3.330 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3cccc(F)c3)no2)c1 ZINC000748411225 575585157 /nfs/dbraw/zinc/58/51/57/575585157.db2.gz YYECZLUBFLCXTO-UHFFFAOYSA-N 0 1 323.283 3.310 20 30 DGEDMN CCO[C@H](C)c1ncc(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000748411962 575585336 /nfs/dbraw/zinc/58/53/36/575585336.db2.gz FRJGVQZPUAJQNJ-SECBINFHSA-N 0 1 317.370 3.070 20 30 DGEDMN O=C(OCC#Cc1ccc(Cl)cc1)c1[nH]nc2c1CCCC2 ZINC000750880794 575757437 /nfs/dbraw/zinc/75/74/37/575757437.db2.gz GLHZLHAHFZNBAU-UHFFFAOYSA-N 0 1 314.772 3.150 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccccc1C1CC1 ZINC000751134639 575771416 /nfs/dbraw/zinc/77/14/16/575771416.db2.gz NLLRZKPFGWMYKI-UHFFFAOYSA-N 0 1 321.405 3.445 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1cccc2ncccc21 ZINC000751131873 575771670 /nfs/dbraw/zinc/77/16/70/575771670.db2.gz YTJDZNFCBCRXSA-UHFFFAOYSA-N 0 1 308.297 3.295 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1cncc(Cl)n1 ZINC000751527143 575788733 /nfs/dbraw/zinc/78/87/33/575788733.db2.gz QTRXXSPZBJFOTK-LBPRGKRZSA-N 0 1 315.808 3.050 20 30 DGEDMN COCCOc1ccc(C=NNc2cccc(F)c2F)cc1 ZINC000743442631 575910680 /nfs/dbraw/zinc/91/06/80/575910680.db2.gz BQUXPAXNGNAFCN-UHFFFAOYSA-N 0 1 306.312 3.436 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1cnc2ccsc2c1 ZINC000739411020 575913678 /nfs/dbraw/zinc/91/36/78/575913678.db2.gz BMRIPRPIEQUKDA-UHFFFAOYSA-N 0 1 302.447 3.096 20 30 DGEDMN Cc1ccc(C)c(C=Nn2c(=O)c3ccccc3[nH]c2=S)c1 ZINC000725858483 575935363 /nfs/dbraw/zinc/93/53/63/575935363.db2.gz KWKMDIWCTFWOBJ-UHFFFAOYSA-N 0 1 309.394 3.184 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NNC2CCCC2)ccc1[O-] ZINC000727651867 576136912 /nfs/dbraw/zinc/13/69/12/576136912.db2.gz CKNGMHLCOVFFEN-UHFFFAOYSA-N 0 1 303.450 3.490 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN=Nc2nc3ccccc3[nH]2)cc1 ZINC000727684201 576139109 /nfs/dbraw/zinc/13/91/09/576139109.db2.gz RVCRDQKHPIKZST-LBPRGKRZSA-N 0 1 305.341 3.300 20 30 DGEDMN O=C(/C=C/c1ccccc1-n1cncn1)c1ccc(O)c(F)c1 ZINC000728438551 576182073 /nfs/dbraw/zinc/18/20/73/576182073.db2.gz HBZZNMGASBNEIJ-FNORWQNLSA-N 0 1 309.300 3.008 20 30 DGEDMN COC(=O)[C@H]1C[C@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1 ZINC000852998269 620658432 /nfs/dbraw/zinc/65/84/32/620658432.db2.gz JRUGYAXAQRLVCZ-ZXCKFZFUSA-N 0 1 302.157 3.070 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCC(C2CCCCC2)CC1 ZINC000917133635 620657933 /nfs/dbraw/zinc/65/79/33/620657933.db2.gz HQHKQEOWGNEHPA-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN COc1cccc(/C=N/C[C@@H]2CCN2Cc2ccccc2)c1O ZINC000852999370 620658866 /nfs/dbraw/zinc/65/88/66/620658866.db2.gz ZZRFKLBIFOBEBU-RONKMWSCSA-N 0 1 310.397 3.094 20 30 DGEDMN CCOc1cccc(/C=N/C[C@@H]2CCN2Cc2ccccc2)c1O ZINC000852998806 620658887 /nfs/dbraw/zinc/65/88/87/620658887.db2.gz ROXLJAYLCRZIPL-LANLRWRYSA-N 0 1 324.424 3.484 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CC3(CCC3)[C@H]2c2ccncc2)cc1 ZINC000853067138 620666127 /nfs/dbraw/zinc/66/61/27/620666127.db2.gz PLPHDMIWUAZKMF-RBUKOAKNSA-N 0 1 319.408 3.214 20 30 DGEDMN Clc1cccnc1[N-][NH+]=Cc1ccc(N2CCOCC2)s1 ZINC000917549368 620675104 /nfs/dbraw/zinc/67/51/04/620675104.db2.gz MWGDLFISQSVQHH-UHFFFAOYSA-N 0 1 322.821 3.079 20 30 DGEDMN C(=NNc1ncnc2[nH]cnc21)c1ccc(-c2ccccc2)cc1 ZINC000917555839 620675606 /nfs/dbraw/zinc/67/56/06/620675606.db2.gz PSDPSJJTBKLYLY-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN COC[C@H](C)Oc1ccc(C=NNc2ccc(F)cn2)cc1 ZINC000853304993 620685588 /nfs/dbraw/zinc/68/55/88/620685588.db2.gz KHHZCEYUCGTHCK-LBPRGKRZSA-N 0 1 303.337 3.080 20 30 DGEDMN Clc1ccc(NN=Cc2ccc(O[C@@H]3CCOC3)cc2)nc1 ZINC000853436533 620694136 /nfs/dbraw/zinc/69/41/36/620694136.db2.gz YUKRKVVGUDVEPO-OAHLLOKOSA-N 0 1 317.776 3.349 20 30 DGEDMN Clc1ccc(N=NC2CCN(Cc3ccccc3)C2)nc1 ZINC000853437485 620694614 /nfs/dbraw/zinc/69/46/14/620694614.db2.gz PHWITQXVNLCCTC-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN CO[C@H](C)C(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000918491119 620709439 /nfs/dbraw/zinc/70/94/39/620709439.db2.gz YGSWETMWUOFACJ-YPMHNXCESA-N 0 1 300.383 3.330 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)CC2(CCC(C)CC2)C1=O ZINC000853598873 620714231 /nfs/dbraw/zinc/71/42/31/620714231.db2.gz XTLFICZXTHLIJS-XFROLERWSA-N 0 1 316.445 3.082 20 30 DGEDMN N#CCC1(CON=C(N)c2ccc(N3CCCCC3)cc2)CC1 ZINC000853672881 620723744 /nfs/dbraw/zinc/72/37/44/620723744.db2.gz MVKGJCVRDAHOJU-UHFFFAOYSA-N 0 1 312.417 3.008 20 30 DGEDMN C[C@H](C#N)OCCOc1ccc(CN2CCCC2)c(Cl)c1 ZINC000853728152 620729851 /nfs/dbraw/zinc/72/98/51/620729851.db2.gz SSSDETROCMCVFO-CYBMUJFWSA-N 0 1 308.809 3.243 20 30 DGEDMN CC(=NN=c1cc(Cl)[nH]c(N)n1)c1c(F)cccc1Cl ZINC000807981422 617743774 /nfs/dbraw/zinc/74/37/74/617743774.db2.gz NKHKLDAWYUQVFR-UHFFFAOYSA-N 0 1 314.151 3.341 20 30 DGEDMN CC(=NNc1cc(Cl)nc(N)n1)c1c(F)cccc1Cl ZINC000807981422 617743776 /nfs/dbraw/zinc/74/37/76/617743776.db2.gz NKHKLDAWYUQVFR-UHFFFAOYSA-N 0 1 314.151 3.341 20 30 DGEDMN CN(C)[C@@H](CNc1cc(C#N)sc1[N+](=O)[O-])c1ccsc1 ZINC000891728568 617884243 /nfs/dbraw/zinc/88/42/43/617884243.db2.gz MARHKLSHNCTMJZ-LBPRGKRZSA-N 0 1 322.415 3.304 20 30 DGEDMN C#C[C@H](CC)NC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000854068343 620767344 /nfs/dbraw/zinc/76/73/44/620767344.db2.gz ABIBGHXTXNGJJH-CZUORRHYSA-N 0 1 310.401 3.130 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC2(CCC2)CO1)c1nc2ccccc2[nH]1 ZINC000892419264 618061493 /nfs/dbraw/zinc/06/14/93/618061493.db2.gz AVDVYVVMOCYICP-KBPBESRZSA-N 0 1 323.396 3.479 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(N2CCCCC2)n1)c1ccccn1 ZINC000892482106 618078039 /nfs/dbraw/zinc/07/80/39/618078039.db2.gz VMMPZZCGAYOPNC-GFCCVEGCSA-N 0 1 312.398 3.018 20 30 DGEDMN N#CC(C(=O)c1csc(N2CCCCC2)n1)c1ccccn1 ZINC000892482106 618078044 /nfs/dbraw/zinc/07/80/44/618078044.db2.gz VMMPZZCGAYOPNC-GFCCVEGCSA-N 0 1 312.398 3.018 20 30 DGEDMN Cc1cc(C)c(CC(=O)C(C#N)C(=O)NC2CCCCC2)s1 ZINC000892491755 618081146 /nfs/dbraw/zinc/08/11/46/618081146.db2.gz MRDMXGUKJURCNS-CQSZACIVSA-N 0 1 318.442 3.065 20 30 DGEDMN Cc1nc(C)c(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)s1 ZINC000892706193 618143858 /nfs/dbraw/zinc/14/38/58/618143858.db2.gz TUNIPVCIQCYBBM-LBPRGKRZSA-N 0 1 324.409 3.364 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C[C@@H]3CC(C)(C)CO3)[nH]c21 ZINC000892706250 618143944 /nfs/dbraw/zinc/14/39/44/618143944.db2.gz UDKKLPRJRVUMPU-OLZOCXBDSA-N 0 1 311.385 3.253 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000854246730 620788301 /nfs/dbraw/zinc/78/83/01/620788301.db2.gz NORUDTASZNOTLI-MRXNPFEDSA-N 0 1 318.486 3.297 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(OC)c(OC)c1O ZINC000894434285 618329068 /nfs/dbraw/zinc/32/90/68/618329068.db2.gz CQVNECJECJPUER-UHFFFAOYSA-N 0 1 311.381 3.045 20 30 DGEDMN COc1cc(CNCc2c(C)nn(C(C)C)c2C)ccc1C#N ZINC000894542654 618377817 /nfs/dbraw/zinc/37/78/17/618377817.db2.gz QUGFMENLIWFBCY-UHFFFAOYSA-N 0 1 312.417 3.251 20 30 DGEDMN C#CCOc1ccc(CNCc2c(C)nn(C(C)C)c2C)cc1 ZINC000894737279 618441446 /nfs/dbraw/zinc/44/14/46/618441446.db2.gz UFPNRRBVLOLPGC-UHFFFAOYSA-N 0 1 311.429 3.383 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@@H]3CCC4(CCC4)CO3)[nH]c2c1 ZINC000893150372 618446148 /nfs/dbraw/zinc/44/61/48/618446148.db2.gz IJBCJGLSXUKPMO-ZDUSSCGKSA-N 0 1 324.384 3.112 20 30 DGEDMN C[N@@H+](Cc1csc(C#N)c1)C1CCN(CC(F)(F)F)CC1 ZINC000894783895 618457507 /nfs/dbraw/zinc/45/75/07/618457507.db2.gz FHHJXMDNOZIOLX-UHFFFAOYSA-N 0 1 317.380 3.078 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC000895315690 618593738 /nfs/dbraw/zinc/59/37/38/618593738.db2.gz DJNLJIOKTZWHJJ-ZDUSSCGKSA-N 0 1 321.178 3.204 20 30 DGEDMN COC(=O)c1cc(CNCc2ccc(C#N)cc2)[nH]c1C(C)C ZINC000894186545 618725977 /nfs/dbraw/zinc/72/59/77/618725977.db2.gz JVJKZKAXEXMYFG-UHFFFAOYSA-N 0 1 311.385 3.086 20 30 DGEDMN C#CCOc1ccccc1CNCc1cccc2c1NCCC2 ZINC000894272743 618748250 /nfs/dbraw/zinc/74/82/50/618748250.db2.gz FCSYAGMPXRBEIR-UHFFFAOYSA-N 0 1 306.409 3.347 20 30 DGEDMN CN(CCc1cccc([N+](=O)[O-])c1)Cc1csc(C#N)c1 ZINC000894273740 618748734 /nfs/dbraw/zinc/74/87/34/618748734.db2.gz UHNOOSURDASIBW-UHFFFAOYSA-N 0 1 301.371 3.202 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(CSC(F)F)o2)CC1 ZINC000895675411 618814604 /nfs/dbraw/zinc/81/46/04/618814604.db2.gz NWIXXEZELOQIHL-UHFFFAOYSA-N 0 1 315.385 3.086 20 30 DGEDMN C#CCC1(O)CCN(CCCc2c(F)cccc2Cl)CC1 ZINC000895670812 618815792 /nfs/dbraw/zinc/81/57/92/618815792.db2.gz VXZGAWFPEVZKIY-UHFFFAOYSA-N 0 1 309.812 3.262 20 30 DGEDMN C#CCC1(O)CCN(Cc2cnc(-c3cccs3)s2)CC1 ZINC000895669372 618815835 /nfs/dbraw/zinc/81/58/35/618815835.db2.gz ASIXJPSCCNICKK-UHFFFAOYSA-N 0 1 318.467 3.222 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccccc2CC(F)(F)F)CC1 ZINC000895669810 618815864 /nfs/dbraw/zinc/81/58/64/618815864.db2.gz HGYNLFSQNJRXIR-UHFFFAOYSA-N 0 1 311.347 3.142 20 30 DGEDMN C#CCOc1ccccc1CN1CCC(Nc2ccccn2)CC1 ZINC000896198130 618884097 /nfs/dbraw/zinc/88/40/97/618884097.db2.gz JXOSTIJDZBPFIT-UHFFFAOYSA-N 0 1 321.424 3.170 20 30 DGEDMN N#C[C@@]1(C(=O)Nc2ncc(C(F)(F)F)[nH]2)CC2CCC1CC2 ZINC000896686738 618944342 /nfs/dbraw/zinc/94/43/42/618944342.db2.gz YQNWXMISKRYPEU-RPTIHFLNSA-N 0 1 312.295 3.087 20 30 DGEDMN COc1cc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)ccc1C#N ZINC000896794542 618960396 /nfs/dbraw/zinc/96/03/96/618960396.db2.gz OKEQCMMYAPFQOO-OALUTQOASA-N 0 1 319.408 3.030 20 30 DGEDMN CC(C)C(C=Nn1c(=O)c2ccccc2[nH]c1=S)C(C)C ZINC000788974922 625310099 /nfs/dbraw/zinc/31/00/99/625310099.db2.gz IHCBEQYJYMRHGF-UHFFFAOYSA-N 0 1 303.431 3.447 20 30 DGEDMN Cc1ccc(C(F)(F)C(=O)N[C@H](CC#N)C(F)(F)F)cc1 ZINC000928806018 619071859 /nfs/dbraw/zinc/07/18/59/619071859.db2.gz GKCXRRCCLBYXAS-SNVBAGLBSA-N 0 1 306.234 3.048 20 30 DGEDMN CSC(C)(C)CCN1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC000897595605 619164274 /nfs/dbraw/zinc/16/42/74/619164274.db2.gz DCGWMLNTVPBSDN-MRXNPFEDSA-N 0 1 304.459 3.463 20 30 DGEDMN CCc1cccc(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)c1O ZINC000897596718 619164772 /nfs/dbraw/zinc/16/47/72/619164772.db2.gz UUZKIAGFAIYAOU-LJQANCHMSA-N 0 1 322.408 3.400 20 30 DGEDMN CCOc1ccc(CN(C)Cc2cc(C#N)cs2)c(O)c1 ZINC000897625029 619168616 /nfs/dbraw/zinc/16/86/16/619168616.db2.gz ACIVZCMBRNYEAW-UHFFFAOYSA-N 0 1 302.399 3.356 20 30 DGEDMN COc1cc(OC)c(CN[C@@H](C)CC(C)(C)C#N)c(OC)c1 ZINC000924709836 619262527 /nfs/dbraw/zinc/26/25/27/619262527.db2.gz XRSWQWOZFUOUHK-LBPRGKRZSA-N 0 1 306.406 3.130 20 30 DGEDMN C#CCCCC(=O)N(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000898689280 619290905 /nfs/dbraw/zinc/29/09/05/619290905.db2.gz NKDHIJKAMZKTEW-UHFFFAOYSA-N 0 1 323.318 3.344 20 30 DGEDMN C[C@@H]([NH2+]CCNc1ccc(C#N)cn1)c1ccc(Cl)cc1[O-] ZINC000927166961 619311240 /nfs/dbraw/zinc/31/12/40/619311240.db2.gz DKNNYXYLKJFRPW-LLVKDONJSA-N 0 1 316.792 3.075 20 30 DGEDMN CCC(N=Nc1ccc(C(=O)OC)c(Cl)c1)c1cn[nH]c1 ZINC000789038540 625337166 /nfs/dbraw/zinc/33/71/66/625337166.db2.gz YSUHJZBRMMQGRA-UHFFFAOYSA-N 0 1 306.753 3.076 20 30 DGEDMN O=C(/C=C/c1cnn(CC2CCC2)c1)c1ccc(O)c(F)c1 ZINC000899353479 619328087 /nfs/dbraw/zinc/32/80/87/619328087.db2.gz OSPSXIFGGLSZDD-GQCTYLIASA-N 0 1 300.333 3.424 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000901037472 619468116 /nfs/dbraw/zinc/46/81/16/619468116.db2.gz BPWHTSUPOSYJOX-PIGZYNQJSA-N 0 1 323.400 3.018 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2cnn(C3CCC3)c2)nc1 ZINC000901129386 619473615 /nfs/dbraw/zinc/47/36/15/619473615.db2.gz AQINVOUEFBHHKS-UHFFFAOYSA-N 0 1 309.295 3.468 20 30 DGEDMN COc1ccc(Cl)c2c1CCCC2=NNc1cnn(C)c1 ZINC000901231019 619478954 /nfs/dbraw/zinc/47/89/54/619478954.db2.gz AMCFJHJHWAFOOU-UHFFFAOYSA-N 0 1 304.781 3.235 20 30 DGEDMN O=C(c1cc(C2CC2)n[nH]1)N(O)Cc1cccc2ccccc21 ZINC000901370259 619490450 /nfs/dbraw/zinc/49/04/50/619490450.db2.gz CILHRZVZDUAVEN-UHFFFAOYSA-N 0 1 307.353 3.472 20 30 DGEDMN O=C(/C=C/c1cc2n(n1)CCCC2)c1ccc(O)cc1Cl ZINC000901579109 619521811 /nfs/dbraw/zinc/52/18/11/619521811.db2.gz PPDTXHVVAXLWOE-QPJJXVBHSA-N 0 1 302.761 3.475 20 30 DGEDMN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1cc(C#N)ccn1 ZINC000902848834 619683753 /nfs/dbraw/zinc/68/37/53/619683753.db2.gz BBXGUDJRRDAURL-YJLNNSPDSA-N 0 1 307.397 3.382 20 30 DGEDMN C=CCC[C@@H](NCc1ncnn1C(C)(C)C)[C@@]1(C)CCCCO1 ZINC000902982125 619699592 /nfs/dbraw/zinc/69/95/92/619699592.db2.gz VQBXZDQIAYMLRM-CRAIPNDOSA-N 0 1 320.481 3.417 20 30 DGEDMN C=CCC[C@H](NCc1ncnn1C(C)(C)C)[C@@]1(C)CCCCO1 ZINC000902982126 619699727 /nfs/dbraw/zinc/69/97/27/619699727.db2.gz VQBXZDQIAYMLRM-MAUKXSAKSA-N 0 1 320.481 3.417 20 30 DGEDMN C[C@H](NC[C@H](O)c1cc(F)cc(Cl)c1)c1cc(C#N)ccn1 ZINC000902975022 619701516 /nfs/dbraw/zinc/70/15/16/619701516.db2.gz DEYBULRFNWVKAN-QFYYESIMSA-N 0 1 319.767 3.130 20 30 DGEDMN CC(C)[C@@H](N[C@H]1CCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066242 619709640 /nfs/dbraw/zinc/70/96/40/619709640.db2.gz UJPXGPCZAJRKPP-DLBZAZTESA-N 0 1 309.417 3.051 20 30 DGEDMN CC[C@@H](CSc1ccccc1[N+](=O)[O-])NCC1(C#N)CC1 ZINC000903107066 619717860 /nfs/dbraw/zinc/71/78/60/619717860.db2.gz ZRJKKKIVEVYKFA-LBPRGKRZSA-N 0 1 305.403 3.359 20 30 DGEDMN C[C@H](NCC1(C#N)CCCC1)c1cccc(N2CCOCC2)c1 ZINC000903108048 619717908 /nfs/dbraw/zinc/71/79/08/619717908.db2.gz LCJZOAQNJIWJAJ-INIZCTEOSA-N 0 1 313.445 3.258 20 30 DGEDMN C[C@@H](NCC1(C#N)CCCCC1)C1(NC(=O)OC(C)(C)C)CC1 ZINC000903200016 619735377 /nfs/dbraw/zinc/73/53/77/619735377.db2.gz KNKWMODFLZZSPX-CQSZACIVSA-N 0 1 321.465 3.496 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC000903402213 619773706 /nfs/dbraw/zinc/77/37/06/619773706.db2.gz NVQYMZYRIKIXGD-SZMVWBNQSA-N 0 1 312.413 3.393 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1c1nc(-c2cc3nc[nH]c3c(C)c2)no1 ZINC000903654761 619795171 /nfs/dbraw/zinc/79/51/71/619795171.db2.gz POBYFRDSEDNPBF-WFASDCNBSA-N 0 1 310.357 3.370 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2occ(C(F)(F)F)c2C(=O)[O-])C1 ZINC000903906914 619832210 /nfs/dbraw/zinc/83/22/10/619832210.db2.gz NFNRFDAZIMMHAB-JTQLQIEISA-N 0 1 315.291 3.232 20 30 DGEDMN CCN1CCC[C@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1=O ZINC000905003828 619964559 /nfs/dbraw/zinc/96/45/59/619964559.db2.gz WPRLPGNNWWOBDE-OYZNXWOWSA-N 0 1 315.200 3.129 20 30 DGEDMN Cc1c(Cl)c(/C=C/C(=O)c2cccc(CN(C)C)c2)nn1C ZINC000905077932 619968001 /nfs/dbraw/zinc/96/80/01/619968001.db2.gz JMZISCVDJOPGHI-CMDGGOBGSA-N 0 1 317.820 3.340 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cn(C)nc2Cl)c1 ZINC000905078120 619968014 /nfs/dbraw/zinc/96/80/14/619968014.db2.gz LIUXXUPAACTZQY-BQYQJAHWSA-N 0 1 303.793 3.031 20 30 DGEDMN Cc1nn(C)c(CN=Nc2cccc(C(F)(F)F)n2)c1Cl ZINC000905446210 619997680 /nfs/dbraw/zinc/99/76/80/619997680.db2.gz BSPUXRIFARQMKY-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN CC[C@](O)(CNCc1ccc(SC)c(C#N)c1)C(F)(F)F ZINC000905463913 620001388 /nfs/dbraw/zinc/00/13/88/620001388.db2.gz AVULAMVFCTZRIF-ZDUSSCGKSA-N 0 1 318.364 3.073 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2sccc2Cl)n1 ZINC000905500114 620002112 /nfs/dbraw/zinc/00/21/12/620002112.db2.gz WGGZGHMZHMDSHP-UHFFFAOYSA-N 0 1 310.810 3.482 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2ccc(F)c(F)c2)n1 ZINC000905505883 620002915 /nfs/dbraw/zinc/00/29/15/620002915.db2.gz FOEZGRLDGFXTGI-UHFFFAOYSA-N 0 1 306.316 3.046 20 30 DGEDMN C=CCCC[N@H+](CC)Cc1cn(-c2ccccc2)nc1C(=O)[O-] ZINC000905800860 620030970 /nfs/dbraw/zinc/03/09/70/620030970.db2.gz YUMKPPRTNARMSR-UHFFFAOYSA-N 0 1 313.401 3.359 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)c2ccc(CN3CCCCC3)o2)n1 ZINC000905857990 620035348 /nfs/dbraw/zinc/03/53/48/620035348.db2.gz IYTAKDCJAXESRR-UHFFFAOYSA-N 0 1 324.384 3.093 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H]1C[C@@H](C)Cc2cn[nH]c21 ZINC000881467122 625395415 /nfs/dbraw/zinc/39/54/15/625395415.db2.gz RGGPJOOJIUEPCI-TVQRCGJNSA-N 0 1 312.348 3.034 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)cc1 ZINC000908211615 620194259 /nfs/dbraw/zinc/19/42/59/620194259.db2.gz JHKXANLVPVDTEH-OCBCSQNSSA-N 0 1 322.412 3.019 20 30 DGEDMN N#Cc1ccccc1C(F)(F)C(=O)N[C@@H](C1CC1)C(F)(F)F ZINC000908234102 620195479 /nfs/dbraw/zinc/19/54/79/620195479.db2.gz VEHHZBKDOHSTSP-NSHDSACASA-N 0 1 318.245 3.107 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1ccc2n1CCN[C@@H]2C ZINC000912081573 620364684 /nfs/dbraw/zinc/36/46/84/620364684.db2.gz IRCLLZXYQWFXIX-CYBMUJFWSA-N 0 1 315.442 3.042 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CC[C@H](N2CCC2)C1)c1ccccc1 ZINC000912903645 620413794 /nfs/dbraw/zinc/41/37/94/620413794.db2.gz OJXYILZRVXHNNB-OALUTQOASA-N 0 1 312.457 3.433 20 30 DGEDMN C#CC[C@@H]1CCN(C(=O)c2cc(-c3c[nH]c4ccccc34)[nH]n2)C1 ZINC000912985758 620417848 /nfs/dbraw/zinc/41/78/48/620417848.db2.gz KVQRVWATNAOESY-CYBMUJFWSA-N 0 1 318.380 3.043 20 30 DGEDMN CCN(CC)c1ccc(/C=N/[C@@H](C)C(=O)OC(C)(C)C)c(O)c1 ZINC000151346879 620442199 /nfs/dbraw/zinc/44/21/99/620442199.db2.gz ZERRFVKKSSVKKT-HCZVKUGISA-N 0 1 320.433 3.388 20 30 DGEDMN CC1(C)CCCC[C@@H]1CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000152702763 620518088 /nfs/dbraw/zinc/51/80/88/620518088.db2.gz JAYICLMBAWTXJX-KGLIPLIRSA-N 0 1 313.401 3.335 20 30 DGEDMN C=CCCC[C@H](C)NS(=O)(=O)c1occc1Br ZINC000914332670 620521063 /nfs/dbraw/zinc/52/10/63/620521063.db2.gz BVERTAQPYLZVSE-VIFPVBQESA-N 0 1 322.224 3.065 20 30 DGEDMN O=[N+]([O-])c1cc(C=NNc2cncc(Cl)n2)ccc1Cl ZINC000914559666 620541706 /nfs/dbraw/zinc/54/17/06/620541706.db2.gz GWVVCMPQFNPKFK-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN Clc1nc2sccn2c1C=N[N-]c1[nH]c2ccccc2[nH+]1 ZINC000915915632 620606831 /nfs/dbraw/zinc/60/68/31/620606831.db2.gz SXUUQECKOPEISZ-UHFFFAOYSA-N 0 1 316.777 3.372 20 30 DGEDMN c1cnn(-c2ccc(N=NCc3csc4ccccc34)nn2)c1 ZINC000915972669 620609770 /nfs/dbraw/zinc/60/97/70/620609770.db2.gz QVSSSIHNJIBJKU-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN CN(CCC#N)c1ccc(C=[NH+]Nc2ccc(C(=O)[O-])cc2)cc1 ZINC000916240707 620621984 /nfs/dbraw/zinc/62/19/84/620621984.db2.gz UQMWMKRMFNPAGR-UHFFFAOYSA-N 0 1 322.368 3.181 20 30 DGEDMN COCCn1c(C)cc(CN=Nc2ccc([N+](=O)[O-])cc2)c1C ZINC000916243311 620622524 /nfs/dbraw/zinc/62/25/24/620622524.db2.gz YSQBUSHRQHZBML-UHFFFAOYSA-N 0 1 316.361 3.106 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000854816694 620842622 /nfs/dbraw/zinc/84/26/22/620842622.db2.gz MKXSKWLMDBUVKP-LLVKDONJSA-N 0 1 303.793 3.395 20 30 DGEDMN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)C#CC1CC1 ZINC000854816764 620842777 /nfs/dbraw/zinc/84/27/77/620842777.db2.gz OBAVKFWYRKTYRN-JTQLQIEISA-N 0 1 301.777 3.149 20 30 DGEDMN O=[N+]([O-])c1ccccc1N=NCc1cncc(Br)c1 ZINC000767356629 620863364 /nfs/dbraw/zinc/86/33/64/620863364.db2.gz AAAXVKMOMWWFCV-UHFFFAOYSA-N 0 1 321.134 3.198 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000855172455 620876688 /nfs/dbraw/zinc/87/66/88/620876688.db2.gz LLUIKSUIFKOCGE-UKRRQHHQSA-N 0 1 301.434 3.082 20 30 DGEDMN N#Cc1cc(CNCc2cccc(N3CCCC3=O)c2)cs1 ZINC000921530628 620903005 /nfs/dbraw/zinc/90/30/05/620903005.db2.gz HUFXLPVWZJNUBZ-UHFFFAOYSA-N 0 1 311.410 3.036 20 30 DGEDMN COC[C@H](NCc1ccc(O[C@H](C)C#N)cc1)c1ccccc1 ZINC000113355415 620905673 /nfs/dbraw/zinc/90/56/73/620905673.db2.gz PWUAPQRWNRZSJZ-BEFAXECRSA-N 0 1 310.397 3.455 20 30 DGEDMN COCCOc1ccccc1CNCc1c(C)cc(C#N)cc1C ZINC000921578814 620909975 /nfs/dbraw/zinc/90/99/75/620909975.db2.gz OGQCQIHOALMOBD-UHFFFAOYSA-N 0 1 324.424 3.490 20 30 DGEDMN COCCCOc1cccc(CNCc2csc(C#N)c2)c1 ZINC000921922721 620968930 /nfs/dbraw/zinc/96/89/30/620968930.db2.gz USZUOTSSCJUWHV-UHFFFAOYSA-N 0 1 316.426 3.325 20 30 DGEDMN C[C@@H](NCCO[C@@H](C)C#N)c1ccc(C(F)(F)F)nc1Cl ZINC000856776429 621019683 /nfs/dbraw/zinc/01/96/83/621019683.db2.gz DQKYHWZZAFVLNH-DTWKUNHWSA-N 0 1 321.730 3.333 20 30 DGEDMN C[C@@H](C#N)OCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856776430 621019702 /nfs/dbraw/zinc/01/97/02/621019702.db2.gz DQKYHWZZAFVLNH-IUCAKERBSA-N 0 1 321.730 3.333 20 30 DGEDMN C#CCn1ccc(CN(CCOC)CC2CCC(C)(C)CC2)n1 ZINC000789322704 625459289 /nfs/dbraw/zinc/45/92/89/625459289.db2.gz OJVFRCCWSFPDQC-UHFFFAOYSA-N 0 1 317.477 3.181 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1Cc2ccccc2[C@@H](C)C1 ZINC000857202153 621067183 /nfs/dbraw/zinc/06/71/83/621067183.db2.gz YWCOIKXVNVTUDU-JKSUJKDBSA-N 0 1 300.446 3.419 20 30 DGEDMN C(#Cc1ccccc1)CN1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858051696 621148916 /nfs/dbraw/zinc/14/89/16/621148916.db2.gz MGOPKCRXPZPYIR-FQEVSTJZSA-N 0 1 318.420 3.464 20 30 DGEDMN CN(CCOC(=O)c1ccsc1C#N)Cc1ccc(F)cc1 ZINC000923562237 621194278 /nfs/dbraw/zinc/19/42/78/621194278.db2.gz FXFQUONPTTWUGC-UHFFFAOYSA-N 0 1 318.373 3.048 20 30 DGEDMN N#Cc1c(Cl)nsc1NC[C@H]1CC[N@H+]1C1CCCCC1 ZINC000858681116 621214402 /nfs/dbraw/zinc/21/44/02/621214402.db2.gz DXJLPDXVSWCNNU-LLVKDONJSA-N 0 1 310.854 3.487 20 30 DGEDMN N#Cc1c(Cl)nsc1NC[C@@H]1CC[N@H+]1C1CCCCC1 ZINC000858681131 621214515 /nfs/dbraw/zinc/21/45/15/621214515.db2.gz DXJLPDXVSWCNNU-NSHDSACASA-N 0 1 310.854 3.487 20 30 DGEDMN CCN(CCNC(=O)OC(C)(C)C)Cc1ccc(C#N)c(F)c1 ZINC000859532831 621287422 /nfs/dbraw/zinc/28/74/22/621287422.db2.gz AHALUFRGUFHOQP-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN Cc1ccc(COC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1C#N ZINC000859566241 621291972 /nfs/dbraw/zinc/29/19/72/621291972.db2.gz GFQVHMPYJBPVAN-KKUMJFAQSA-N 0 1 300.402 3.171 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCCC[C@@H]1C1(O)CC1 ZINC000839139649 621340501 /nfs/dbraw/zinc/34/05/01/621340501.db2.gz JAEVELTXVYUSKG-MRXNPFEDSA-N 0 1 320.820 3.122 20 30 DGEDMN C#CCNC(=O)[C@H](C)N1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000839186065 621345254 /nfs/dbraw/zinc/34/52/54/621345254.db2.gz HZQQPYKHCIWOFW-FUHWJXTLSA-N 0 1 310.441 3.132 20 30 DGEDMN C#CC[N@H+]1CCC[C@@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000753254600 621433539 /nfs/dbraw/zinc/43/35/39/621433539.db2.gz VLEKEXLEQDUHCM-HNNXBMFYSA-N 0 1 301.434 3.395 20 30 DGEDMN C#CCN1CCC[C@@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000753254600 621433540 /nfs/dbraw/zinc/43/35/40/621433540.db2.gz VLEKEXLEQDUHCM-HNNXBMFYSA-N 0 1 301.434 3.395 20 30 DGEDMN CCO[C@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000860732441 621437067 /nfs/dbraw/zinc/43/70/67/621437067.db2.gz ADJXFIOHSAYYMY-RISCZKNCSA-N 0 1 306.431 3.432 20 30 DGEDMN CCC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(Cl)s1 ZINC000753935730 621472531 /nfs/dbraw/zinc/47/25/31/621472531.db2.gz PFYOHULFOVTIPA-QWRGUYRKSA-N 0 1 310.854 3.376 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(Cl)cc1 ZINC000753936953 621472677 /nfs/dbraw/zinc/47/26/77/621472677.db2.gz XKQPWXACKONQLP-GASCZTMLSA-N 0 1 304.825 3.314 20 30 DGEDMN C=CCNC(=S)N1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000753964995 621474913 /nfs/dbraw/zinc/47/49/13/621474913.db2.gz YRIVNAGUUNNPJH-CYBMUJFWSA-N 0 1 320.849 3.414 20 30 DGEDMN Cc1ccc([C@H](C)NCC(=O)Nc2sccc2C#N)s1 ZINC000754667358 621523678 /nfs/dbraw/zinc/52/36/78/621523678.db2.gz HGHVWJMMYIANLP-JTQLQIEISA-N 0 1 305.428 3.279 20 30 DGEDMN C#CCCCNCc1cn(-c2cccc(Cl)c2Cl)nn1 ZINC000754825201 621535449 /nfs/dbraw/zinc/53/54/49/621535449.db2.gz UYDYLAPCRODXTL-UHFFFAOYSA-N 0 1 309.200 3.077 20 30 DGEDMN CC(=O)Nc1ccccc1CN=Nc1cnnc2ccccc12 ZINC000755733015 621589447 /nfs/dbraw/zinc/58/94/47/621589447.db2.gz AHUSACKYRAZDHF-UHFFFAOYSA-N 0 1 305.341 3.034 20 30 DGEDMN CCn1nc(C)c(C=NNc2cccc(C(F)(F)F)n2)c1C ZINC000755740152 621590020 /nfs/dbraw/zinc/59/00/20/621590020.db2.gz RJJYHXCFLULUTE-UHFFFAOYSA-N 0 1 311.311 3.380 20 30 DGEDMN CC(N=Nc1nc(-c2ccccn2)nc2c1CCC2)c1ccoc1 ZINC000755891489 621600665 /nfs/dbraw/zinc/60/06/65/621600665.db2.gz HEVQJBAXENYOPV-UHFFFAOYSA-N 0 1 319.368 3.456 20 30 DGEDMN Cc1ccnc(NN=Cc2cccc(NC(=O)C(F)(F)F)c2)c1 ZINC000755905398 621601409 /nfs/dbraw/zinc/60/14/09/621601409.db2.gz IMJFKDUAPHRXKL-UHFFFAOYSA-N 0 1 322.290 3.337 20 30 DGEDMN CC(C)N1CC(N=Nc2nc(C(F)(F)F)cs2)[C@H](C)C1 ZINC000755903114 621601523 /nfs/dbraw/zinc/60/15/23/621601523.db2.gz DIKOXVLOCFYKQI-MRVPVSSYSA-N 0 1 306.357 3.290 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)C[C@H](C)C2CCCCC2)CC1 ZINC000863046103 621634978 /nfs/dbraw/zinc/63/49/78/621634978.db2.gz HSVOEWCMNIBNMW-INIZCTEOSA-N 0 1 304.478 3.006 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)C2CCCCC2)CC1 ZINC000863046104 621635102 /nfs/dbraw/zinc/63/51/02/621635102.db2.gz HSVOEWCMNIBNMW-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN Fc1ccc(N=NC2C[N@H+]3C[C@@H]2CCC3)c(Br)c1 ZINC000863077029 621637444 /nfs/dbraw/zinc/63/74/44/621637444.db2.gz JPMLSXHIXFADAB-VIFPVBQESA-N 0 1 312.186 3.082 20 30 DGEDMN Fc1ccc(N=NC2CN3C[C@@H]2CCC3)c(Br)c1 ZINC000863077029 621637446 /nfs/dbraw/zinc/63/74/46/621637446.db2.gz JPMLSXHIXFADAB-VIFPVBQESA-N 0 1 312.186 3.082 20 30 DGEDMN CC(C)(C=Nn1c(=O)c2ccccc2[nH]c1=S)C(C)(F)F ZINC000863077209 621637457 /nfs/dbraw/zinc/63/74/57/621637457.db2.gz GQFFSNCCYXTUJQ-UHFFFAOYSA-N 0 1 311.357 3.201 20 30 DGEDMN COc1ccc(N=NC2C[N@H+]3C[C@@H]2CCC3)c(C(F)(F)F)c1 ZINC000863114261 621640028 /nfs/dbraw/zinc/64/00/28/621640028.db2.gz FVILRCJJWOLTCC-JTQLQIEISA-N 0 1 313.323 3.208 20 30 DGEDMN COc1ccc(N=NC2CN3C[C@@H]2CCC3)c(C(F)(F)F)c1 ZINC000863114261 621640029 /nfs/dbraw/zinc/64/00/29/621640029.db2.gz FVILRCJJWOLTCC-JTQLQIEISA-N 0 1 313.323 3.208 20 30 DGEDMN Cc1nn(C)c(C)c1C(=NNc1cccc(C)n1)C(F)(F)F ZINC000863160068 621643838 /nfs/dbraw/zinc/64/38/38/621643838.db2.gz PKLRPMOFOXTRJU-UHFFFAOYSA-N 0 1 311.311 3.119 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)CSc1ccccc1 ZINC000756555637 621647827 /nfs/dbraw/zinc/64/78/27/621647827.db2.gz QPMOKHBPYXCVSA-CVEARBPZSA-N 0 1 317.454 3.056 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1ccncc1Cl)CCC2 ZINC000756841906 621667629 /nfs/dbraw/zinc/66/76/29/621667629.db2.gz CKDRYEQPUSHMRZ-UHFFFAOYSA-N 0 1 301.777 3.318 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@@H]2COCC[C@H]21 ZINC000756902430 621671347 /nfs/dbraw/zinc/67/13/47/621671347.db2.gz SLCCVXSVWTZVHF-CZUORRHYSA-N 0 1 320.820 3.243 20 30 DGEDMN COC(=O)c1ccc(N=NC(C)c2ccc(C)o2)c(OC)c1 ZINC000756902105 621671358 /nfs/dbraw/zinc/67/13/58/621671358.db2.gz DYYRGZZBADUQIR-UHFFFAOYSA-N 0 1 302.330 3.219 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@H]2COCC[C@@H]21 ZINC000756902428 621671451 /nfs/dbraw/zinc/67/14/51/621671451.db2.gz SLCCVXSVWTZVHF-BBRMVZONSA-N 0 1 320.820 3.243 20 30 DGEDMN CCCCCc1cc(C(=O)N(C)CCc2cccc(C#N)c2)n[nH]1 ZINC000863438439 621673492 /nfs/dbraw/zinc/67/34/92/621673492.db2.gz KHILUKMEBCJOTJ-UHFFFAOYSA-N 0 1 324.428 3.329 20 30 DGEDMN CC[C@@H](C)NN=C(C)c1cc(Br)c(F)cc1O ZINC000790190975 621699433 /nfs/dbraw/zinc/69/94/33/621699433.db2.gz WWJYXCLZMQSBTO-SSDOTTSWSA-N 0 1 303.175 3.406 20 30 DGEDMN C=C(Cl)CNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805133432 621706908 /nfs/dbraw/zinc/70/69/08/621706908.db2.gz YSTYUSXOIKLVPZ-UHFFFAOYSA-N 0 1 309.580 3.251 20 30 DGEDMN C#C[C@H](CC)NCc1c(C)nn(-c2ccc(F)cc2F)c1C ZINC000809686166 621711264 /nfs/dbraw/zinc/71/12/64/621711264.db2.gz WODJVFBSQRMKJH-CQSZACIVSA-N 0 1 303.356 3.269 20 30 DGEDMN COc1cc(C(C)=NN=c2[nH]c3ccccc3n2C)ccc1O ZINC000757460728 621712966 /nfs/dbraw/zinc/71/29/66/621712966.db2.gz FUUSTEBKINZCRU-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cccc(O)c2OC)c(O)c1 ZINC000757471525 621714742 /nfs/dbraw/zinc/71/47/42/621714742.db2.gz FSCOIWOHKYMHLT-RMKNXTFCSA-N 0 1 300.310 3.011 20 30 DGEDMN CN1CCN(c2ccc(CNc3ccc(F)cc3C#N)cc2)CC1 ZINC000864007889 621726657 /nfs/dbraw/zinc/72/66/57/621726657.db2.gz YKFFISVBPFTDSV-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN C#CC[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccccc1 ZINC000757602261 621727792 /nfs/dbraw/zinc/72/77/92/621727792.db2.gz BJGQSKPYXJQBLQ-OAHLLOKOSA-N 0 1 307.328 3.196 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2cnc(C3CCC3)s2)nc1 ZINC000758125657 621759674 /nfs/dbraw/zinc/75/96/74/621759674.db2.gz AAJRJNUKNXWVLX-UHFFFAOYSA-N 0 1 316.386 3.038 20 30 DGEDMN CC(N=Nc1cncc(Br)c1)c1ccc(O)cc1 ZINC000758123871 621759729 /nfs/dbraw/zinc/75/97/29/621759729.db2.gz YDDSCXYRVUSMSQ-UHFFFAOYSA-N 0 1 306.163 3.386 20 30 DGEDMN Cc1ccn(CN(Cc2ccccc2)[C@@H](C)C2CC2)c(=O)c1C#N ZINC000758207240 621766211 /nfs/dbraw/zinc/76/62/11/621766211.db2.gz RRBOWZJHUGZHRZ-INIZCTEOSA-N 0 1 321.424 3.287 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC[C@@H]3[C@@H]3CCCO3)n2)cc1 ZINC000789514363 625515572 /nfs/dbraw/zinc/51/55/72/625515572.db2.gz PTBTXZWZAFGKIF-MOPGFXCFSA-N 0 1 322.412 3.023 20 30 DGEDMN CC(C)c1ncc(CNCc2ccc(OCC#N)cc2)s1 ZINC000758483781 621784893 /nfs/dbraw/zinc/78/48/93/621784893.db2.gz RBJNBDIIFGZXCY-UHFFFAOYSA-N 0 1 301.415 3.459 20 30 DGEDMN Clc1cnccc1N=NC1CSCCc2ccccc21 ZINC000759204077 621836432 /nfs/dbraw/zinc/83/64/32/621836432.db2.gz AMWVGLKNQWDGKF-UHFFFAOYSA-N 0 1 303.818 3.262 20 30 DGEDMN CCCCCC[C@H](O)CN1CCN(c2ccccc2C#N)CC1 ZINC000759360192 621849270 /nfs/dbraw/zinc/84/92/70/621849270.db2.gz CNGNGZPCLWOMQA-SFHVURJKSA-N 0 1 315.461 3.012 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1cc(F)ccc1C#N)N1CCCCC1 ZINC000759360719 621849523 /nfs/dbraw/zinc/84/95/23/621849523.db2.gz CZRBGULHKWPECB-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN Cn1nc2c(c1/C=C\C(=O)c1ccc(O)c(F)c1)CCCC2 ZINC000865218923 621874913 /nfs/dbraw/zinc/87/49/13/621874913.db2.gz UENZZZNUYBIBID-CLFYSBASSA-N 0 1 300.333 3.040 20 30 DGEDMN CCc1c(C(=O)Nc2cc(C#N)ccc2O)cnn1C(C)(C)C ZINC000759716835 621885469 /nfs/dbraw/zinc/88/54/69/621885469.db2.gz DQQFOSXIQZLTPO-UHFFFAOYSA-N 0 1 312.373 3.030 20 30 DGEDMN Cc1nc(-c2cccc(C(F)(F)F)c2)[nH]c1C(=O)O[C@H](C)C#N ZINC000759829044 621893857 /nfs/dbraw/zinc/89/38/57/621893857.db2.gz OMQLYPREYBFOQM-MRVPVSSYSA-N 0 1 323.274 3.473 20 30 DGEDMN C(=NN=c1[nH]c2ccccc2[nH]1)c1cccc(-n2cccn2)c1 ZINC000760223105 621922111 /nfs/dbraw/zinc/92/21/11/621922111.db2.gz DLOAMSOIDSYOAC-UHFFFAOYSA-N 0 1 302.341 3.195 20 30 DGEDMN Cc1cc(C=Nn2c(=O)c3ccccc3[nH]c2=S)c(C)s1 ZINC000760238588 621923504 /nfs/dbraw/zinc/92/35/04/621923504.db2.gz AILVBNQPSYRQHD-UHFFFAOYSA-N 0 1 315.423 3.246 20 30 DGEDMN C[C@@H](Oc1cccc(C(C)(C)C)c1)C(=O)C(C#N)c1ccncn1 ZINC000760339584 621933080 /nfs/dbraw/zinc/93/30/80/621933080.db2.gz KFYWIDQNDISRMR-CZUORRHYSA-N 0 1 323.396 3.418 20 30 DGEDMN CC[C@H](Oc1ccccc1Cl)C(=O)C(C#N)c1ccncn1 ZINC000760355403 621934764 /nfs/dbraw/zinc/93/47/64/621934764.db2.gz CABHRWGJUPEODV-FZMZJTMJSA-N 0 1 315.760 3.164 20 30 DGEDMN Cn1cc(NN=Cc2ccc(-n3cnc4ccccc43)cc2)cn1 ZINC000761868252 622035809 /nfs/dbraw/zinc/03/58/09/622035809.db2.gz YSXHAVNZPZXGHI-UHFFFAOYSA-N 0 1 316.368 3.205 20 30 DGEDMN CCCCCOc1cc(CN=Nc2cnn(C)c2)ccc1OC ZINC000761881996 622036794 /nfs/dbraw/zinc/03/67/94/622036794.db2.gz UHYUQQJKSLJAFF-UHFFFAOYSA-N 0 1 316.405 3.444 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000762022659 622046555 /nfs/dbraw/zinc/04/65/55/622046555.db2.gz CKQLCZFXHKSWNZ-GXTWGEPZSA-N 0 1 316.401 3.415 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1c(Cl)nc2ccccn21 ZINC000866107812 622047107 /nfs/dbraw/zinc/04/71/07/622047107.db2.gz GSSVBYYFBZGULY-UHFFFAOYSA-N 0 1 324.775 3.320 20 30 DGEDMN N#Cc1cccc(OCc2nc(-c3ccc4[nH]cnc4c3)no2)c1 ZINC000762116240 622054207 /nfs/dbraw/zinc/05/42/07/622054207.db2.gz JXESRGUPIJNEIV-UHFFFAOYSA-N 0 1 317.308 3.064 20 30 DGEDMN CCCCN1CCC([NH2+][C@H](C)c2cccc(C#N)c2[O-])CC1 ZINC000866248199 622075423 /nfs/dbraw/zinc/07/54/23/622075423.db2.gz ASISJLVUVLAZCW-CQSZACIVSA-N 0 1 301.434 3.179 20 30 DGEDMN CC[C@@H](N[C@H](C)c1cccc(C#N)c1O)C(=O)OC(C)(C)C ZINC000866288286 622085745 /nfs/dbraw/zinc/08/57/45/622085745.db2.gz XPOZPWFIENBHJK-BXUZGUMPSA-N 0 1 304.390 3.035 20 30 DGEDMN N#Cc1ccc(F)cc1COC(=O)c1ccc(O)c(Cl)c1 ZINC000762832979 622103541 /nfs/dbraw/zinc/10/35/41/622103541.db2.gz ZBCBWKYJRPJMFR-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN CC(C)(C)OC(=O)c1ccccc1CN[C@H]1CC[C@H](C#N)C1 ZINC000866483811 622125964 /nfs/dbraw/zinc/12/59/64/622125964.db2.gz NVNROICVIFNDAK-ZFWWWQNUSA-N 0 1 300.402 3.424 20 30 DGEDMN CCC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cccc(Cl)c1F ZINC000763405860 622143479 /nfs/dbraw/zinc/14/34/79/622143479.db2.gz HJWASADLBHGPGV-KBPBESRZSA-N 0 1 322.815 3.453 20 30 DGEDMN N#C[C@H](C(=O)C1Cc2ccccc2C1)c1cnc2ccccc2n1 ZINC000764099159 622179289 /nfs/dbraw/zinc/17/92/89/622179289.db2.gz HCWGVZUVEYCCNV-INIZCTEOSA-N 0 1 313.360 3.221 20 30 DGEDMN CCC1(CO)CCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000764484486 622197390 /nfs/dbraw/zinc/19/73/90/622197390.db2.gz BJSCYGOUDRNODT-UHFFFAOYSA-N 0 1 322.836 3.227 20 30 DGEDMN COc1ccsc1C=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1 ZINC000764685429 622213055 /nfs/dbraw/zinc/21/30/55/622213055.db2.gz VTJLPPKXOXIBBE-UHFFFAOYSA-N 0 1 308.313 3.357 20 30 DGEDMN COc1ccsc1C=NNc1nc2cc(F)c(F)cc2[nH]1 ZINC000764685429 622213056 /nfs/dbraw/zinc/21/30/56/622213056.db2.gz VTJLPPKXOXIBBE-UHFFFAOYSA-N 0 1 308.313 3.357 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1ncccc1C(F)(F)F ZINC000765543023 622272284 /nfs/dbraw/zinc/27/22/84/622272284.db2.gz KRCNKGHIDMHDJW-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN COc1cc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])ccc1F ZINC000765618442 622276822 /nfs/dbraw/zinc/27/68/22/622276822.db2.gz RPIQCGBWVPZBRX-CLTKARDFSA-N 0 1 317.272 3.344 20 30 DGEDMN C=C(C)[C@H](CC(=O)OC[C@H]1CCCN1Cc1ccco1)OCC ZINC000765971592 622297460 /nfs/dbraw/zinc/29/74/60/622297460.db2.gz MPIYJCABDOOBEW-WBVHZDCISA-N 0 1 321.417 3.159 20 30 DGEDMN CC(C)c1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2)s1 ZINC000122423787 622318124 /nfs/dbraw/zinc/31/81/24/622318124.db2.gz VHKPRFZDGJFUCI-GFCCVEGCSA-N 0 1 313.382 3.228 20 30 DGEDMN C=C(Cl)CNC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000766532509 622337354 /nfs/dbraw/zinc/33/73/54/622337354.db2.gz AOJJBFGTNDZEAW-GJZGRUSLSA-N 0 1 321.852 3.043 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766637831 622343693 /nfs/dbraw/zinc/34/36/93/622343693.db2.gz RPCQVCNOBBUPJV-RDTXWAMCSA-N 0 1 313.445 3.067 20 30 DGEDMN CCC[C@@H]1[C@@H](C)CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766637828 622343717 /nfs/dbraw/zinc/34/37/17/622343717.db2.gz RPCQVCNOBBUPJV-KBXCAEBGSA-N 0 1 313.445 3.067 20 30 DGEDMN C(=Nn1cnnc1-c1ccccc1)c1cc(-c2ccccc2)[nH]n1 ZINC000767764219 622420501 /nfs/dbraw/zinc/42/05/01/622420501.db2.gz WYPVHFYQHAKRMD-UHFFFAOYSA-N 0 1 314.352 3.217 20 30 DGEDMN COc1ccc(NN=Cc2ccccc2OC)c([N+](=O)[O-])c1 ZINC000767801630 622422299 /nfs/dbraw/zinc/42/22/99/622422299.db2.gz PQLQXZPBQOUKOP-UHFFFAOYSA-N 0 1 301.302 3.058 20 30 DGEDMN COc1ccc(NN=Cc2ccc(C)c(F)c2)c([N+](=O)[O-])c1 ZINC000767801759 622422339 /nfs/dbraw/zinc/42/23/39/622422339.db2.gz TYFPPWBZXKBHPW-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN COc1ccc(N=NCc2sc(C)nc2C)c([N+](=O)[O-])c1 ZINC000767803411 622422688 /nfs/dbraw/zinc/42/26/88/622422688.db2.gz OJHMJGQHPZYXAA-UHFFFAOYSA-N 0 1 306.347 3.123 20 30 DGEDMN N#CC(C(=O)[C@]12CCC[C@H]1OCC2)c1ccc2ccccc2n1 ZINC000870123183 622435583 /nfs/dbraw/zinc/43/55/83/622435583.db2.gz PRQCXXSGJZNDPW-YJLNNSPDSA-N 0 1 306.365 3.370 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000870136365 622436921 /nfs/dbraw/zinc/43/69/21/622436921.db2.gz MJTIDIUXLTXFBX-FDHZTJNISA-N 0 1 316.788 3.279 20 30 DGEDMN CN1CCc2cc(CN=Nc3cc(C#N)cc(Cl)n3)ccc21 ZINC000768374808 622452862 /nfs/dbraw/zinc/45/28/62/622452862.db2.gz LMTHLIQUKRGLRH-UHFFFAOYSA-N 0 1 311.776 3.045 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@@]23CCCc2ccccc23)c1 ZINC000870692868 622497191 /nfs/dbraw/zinc/49/71/91/622497191.db2.gz PVEUINCQSUVJKA-AZUAARDMSA-N 0 1 318.376 3.262 20 30 DGEDMN CC[C@@H](C)n1nc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC000871072351 622543517 /nfs/dbraw/zinc/54/35/17/622543517.db2.gz JVSMHIVDMDSWTN-SNVBAGLBSA-N 0 1 322.372 3.163 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(C(F)F)CCCC3)[nH]c2c1 ZINC000871071859 622543631 /nfs/dbraw/zinc/54/36/31/622543631.db2.gz GAJBGKBXEJULBC-UHFFFAOYSA-N 0 1 304.300 3.199 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(C2=NCC(C)(C)S2)CC1 ZINC000769748048 622556419 /nfs/dbraw/zinc/55/64/19/622556419.db2.gz VVVCDXCZMBUPHI-UHFFFAOYSA-N 0 1 322.522 3.205 20 30 DGEDMN Cc1ccc(C)c2c1CCCC2=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000769800037 622561735 /nfs/dbraw/zinc/56/17/35/622561735.db2.gz SLQILLJXLDPZNI-IYBDPMFKSA-N 0 1 310.445 3.204 20 30 DGEDMN Cc1ccc(C)c2c1CCCC2=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000769800033 622561945 /nfs/dbraw/zinc/56/19/45/622561945.db2.gz SLQILLJXLDPZNI-HOTGVXAUSA-N 0 1 310.445 3.204 20 30 DGEDMN COc1ccsc1[C@H](C)NCc1ccc(OC)c(C#N)c1 ZINC000769975077 622584268 /nfs/dbraw/zinc/58/42/68/622584268.db2.gz ZEFRXFIFKINZHI-NSHDSACASA-N 0 1 302.399 3.488 20 30 DGEDMN COc1cc(/C=C/C(=O)c2ccc(O)c(F)c2)ccc1[N+](=O)[O-] ZINC000770574717 622647493 /nfs/dbraw/zinc/64/74/93/622647493.db2.gz ZFQAIPVOVZUINH-ZZXKWVIFSA-N 0 1 317.272 3.344 20 30 DGEDMN CC(=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1)c1ccc(F)cn1 ZINC000770595023 622650178 /nfs/dbraw/zinc/65/01/78/622650178.db2.gz BVCHVBLUWFJISC-UHFFFAOYSA-N 0 1 305.263 3.211 20 30 DGEDMN CC(=NNc1nc2cc(F)c(F)cc2[nH]1)c1ccc(F)cn1 ZINC000770595023 622650181 /nfs/dbraw/zinc/65/01/81/622650181.db2.gz BVCHVBLUWFJISC-UHFFFAOYSA-N 0 1 305.263 3.211 20 30 DGEDMN O=C(OCCC#Cc1ccccc1)c1ccc(-c2nnc[nH]2)cc1 ZINC000771523909 622771320 /nfs/dbraw/zinc/77/13/20/622771320.db2.gz BDNOEHNATQGRLX-UHFFFAOYSA-N 0 1 317.348 3.070 20 30 DGEDMN C#CCN1CCC(C(=O)OCCc2ccc3ccccc3c2)CC1 ZINC000772119963 622849587 /nfs/dbraw/zinc/84/95/87/622849587.db2.gz IMTZPYWQMQYVTL-UHFFFAOYSA-N 0 1 321.420 3.271 20 30 DGEDMN Cc1scc(C=Nn2c(=S)[nH]nc2C(F)(F)F)c1C ZINC000872330107 622891909 /nfs/dbraw/zinc/89/19/09/622891909.db2.gz HOBNSGIIGAWSRJ-UHFFFAOYSA-N 0 1 306.338 3.146 20 30 DGEDMN O=c1[n-]c(N=NC(C2CCC2)[C@@H]2CCCO2)nc2ccccc21 ZINC000872356649 622895210 /nfs/dbraw/zinc/89/52/10/622895210.db2.gz WNODXRQJJYSBJL-AWEZNQCLSA-N 0 1 312.373 3.082 20 30 DGEDMN O=c1[nH]c(N=NC(C2CCC2)[C@@H]2CCCO2)nc2ccccc21 ZINC000872356649 622895213 /nfs/dbraw/zinc/89/52/13/622895213.db2.gz WNODXRQJJYSBJL-AWEZNQCLSA-N 0 1 312.373 3.082 20 30 DGEDMN CCC(N=Nc1ccnc(F)c1)c1cccc(OC)c1OC ZINC000872363614 622896902 /nfs/dbraw/zinc/89/69/02/622896902.db2.gz KBTGKSCRDFITAB-UHFFFAOYSA-N 0 1 303.337 3.464 20 30 DGEDMN COc1ccc(NN=C2CCCOc3c(OC)cccc32)nc1 ZINC000872367684 622898259 /nfs/dbraw/zinc/89/82/59/622898259.db2.gz DKIXCDDEQCCSNX-UHFFFAOYSA-N 0 1 313.357 3.088 20 30 DGEDMN Cc1cccnc1N=NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000872368777 622898778 /nfs/dbraw/zinc/89/87/78/622898778.db2.gz PLCQMTKHRQPZNS-UHFFFAOYSA-N 0 1 308.385 3.072 20 30 DGEDMN C(=[NH+][N-]c1nc2cccnc2s1)c1ccnn1CC1CCC1 ZINC000872390569 622903029 /nfs/dbraw/zinc/90/30/29/622903029.db2.gz HGUVJZWUPBSDMF-UHFFFAOYSA-N 0 1 312.402 3.134 20 30 DGEDMN N#CC(C(=O)Cc1ccco1)c1nc2ccccc2n1C(F)F ZINC000772642529 622922452 /nfs/dbraw/zinc/92/24/52/622922452.db2.gz YHPWOIGAEFNBCO-LLVKDONJSA-N 0 1 315.279 3.443 20 30 DGEDMN C/C(=C\C(C)(C)C)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000772816861 622945176 /nfs/dbraw/zinc/94/51/76/622945176.db2.gz XRYGTWDVJXDSPJ-GCZGVDRJSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C\C(C)(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000772816861 622945177 /nfs/dbraw/zinc/94/51/77/622945177.db2.gz XRYGTWDVJXDSPJ-GCZGVDRJSA-N 0 1 320.414 3.163 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(c3ncc(C#N)cc3F)C2)[nH]n1 ZINC000872647566 622947524 /nfs/dbraw/zinc/94/75/24/622947524.db2.gz GYCOLYVUDCSTTE-ZDUSSCGKSA-N 0 1 313.380 3.323 20 30 DGEDMN CC(C)(C)n1cc(C=NNc2ccc([N+](=O)[O-])cc2Cl)nn1 ZINC000790161892 625611001 /nfs/dbraw/zinc/61/10/01/625611001.db2.gz JQWXDIFDMJYURY-UHFFFAOYSA-N 0 1 322.756 3.041 20 30 DGEDMN C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)NCc1cccc(F)c1C#N ZINC000873130834 623043752 /nfs/dbraw/zinc/04/37/52/623043752.db2.gz HHGXTKGCPDGNES-NWDGAFQWSA-N 0 1 321.396 3.089 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C1(C)CC(F)(F)C1 ZINC000873495299 623159626 /nfs/dbraw/zinc/15/96/26/623159626.db2.gz INYDRAKYZBBFQI-SNVBAGLBSA-N 0 1 303.312 3.185 20 30 DGEDMN CC(C)OC1(C(=O)C(C#N)c2cnc3ccccc3n2)CCC1 ZINC000873524317 623167636 /nfs/dbraw/zinc/16/76/36/623167636.db2.gz UMJSGIAGEFRVMU-CYBMUJFWSA-N 0 1 309.369 3.154 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1ccnn1-c1ccccc1 ZINC000790288197 625628691 /nfs/dbraw/zinc/62/86/91/625628691.db2.gz PSMFWRRAAKRAMV-UHFFFAOYSA-N 0 1 302.341 3.195 20 30 DGEDMN C[C@H](NC[C@H](O)c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000774865055 623201680 /nfs/dbraw/zinc/20/16/80/623201680.db2.gz FQVAGNKTMIBJEH-ZBEGNZNMSA-N 0 1 302.324 3.221 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1cccc(C#N)c1)c1ccccc1OC ZINC000775066141 623225367 /nfs/dbraw/zinc/22/53/67/623225367.db2.gz POHRIQAJJPBZSD-QGZVFWFLSA-N 0 1 323.396 3.246 20 30 DGEDMN Cc1cc(C=NNc2nccn2C)c(C)n1-c1ccccc1F ZINC000790305129 625632763 /nfs/dbraw/zinc/63/27/63/625632763.db2.gz AEPMMRURNRXFJF-UHFFFAOYSA-N 0 1 311.364 3.413 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)c(OCC)c(OC)c1)[C@@H]1CCCO1 ZINC000775343911 623257060 /nfs/dbraw/zinc/25/70/60/623257060.db2.gz ZVUHPKAPGPWDSY-GJZGRUSLSA-N 0 1 323.820 3.018 20 30 DGEDMN C#C[C@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@H]1CCCO1 ZINC000775345902 623257537 /nfs/dbraw/zinc/25/75/37/623257537.db2.gz ACQRDOUHNNPWBF-UONOGXRCSA-N 0 1 301.283 3.115 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@H](C)CCCCC)c1ccccc1 ZINC000775398516 623268001 /nfs/dbraw/zinc/26/80/01/623268001.db2.gz JDNYSLHSMHYLKT-AEFFLSMTSA-N 0 1 300.446 3.426 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(C)c(F)c1)c1ccccc1 ZINC000775404976 623270334 /nfs/dbraw/zinc/27/03/34/623270334.db2.gz SGCGTBVNJPNIAX-GOSISDBHSA-N 0 1 310.372 3.427 20 30 DGEDMN C#C[C@H](N[C@@H](CCC)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000775573651 623291647 /nfs/dbraw/zinc/29/16/47/623291647.db2.gz ILTLNTZQLSAKHT-ULQDDVLXSA-N 0 1 302.374 3.206 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cccc(OC(F)(F)F)c1)[C@@H]1CCCO1 ZINC000775571390 623291690 /nfs/dbraw/zinc/29/16/90/623291690.db2.gz GMTYRWHOPIZFDF-CQDKDKBSSA-N 0 1 313.319 3.417 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@H](C)c1ccc(N(C)C)cc1 ZINC000775833870 623339154 /nfs/dbraw/zinc/33/91/54/623339154.db2.gz GQJNDXMQACAYTN-CQSZACIVSA-N 0 1 309.413 3.484 20 30 DGEDMN C[C@H](N[C@@H](CO)c1cccc(F)c1)c1ccc(OCC#N)cc1 ZINC000776165198 623387304 /nfs/dbraw/zinc/38/73/04/623387304.db2.gz OFQBKFULODDOJC-UGSOOPFHSA-N 0 1 314.360 3.112 20 30 DGEDMN CC(C)(C)[C@H](C#N)NC(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875409849 623446962 /nfs/dbraw/zinc/44/69/62/623446962.db2.gz BIBAPKZIJNAKPB-NSHDSACASA-N 0 1 302.271 3.111 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000777057407 623491488 /nfs/dbraw/zinc/49/14/88/623491488.db2.gz VMUBNEMLAFSSDJ-GOSISDBHSA-N 0 1 314.429 3.420 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@@H](C(C)(C)C)C2)c1O ZINC000790414204 625651138 /nfs/dbraw/zinc/65/11/38/625651138.db2.gz NWGOXBPNSGEJQM-QGZVFWFLSA-N 0 1 319.445 3.376 20 30 DGEDMN Cn1cccc1C=NNc1ccc([N+](=O)[O-])cc1Br ZINC000777452289 623524100 /nfs/dbraw/zinc/52/41/00/623524100.db2.gz UDSCAGOHYLIDIA-UHFFFAOYSA-N 0 1 323.150 3.142 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)Cc3ccccc3CC#N)ccc12 ZINC000777444657 623526746 /nfs/dbraw/zinc/52/67/46/623526746.db2.gz RNRBHVQFHPMTTI-UHFFFAOYSA-N 0 1 304.353 3.119 20 30 DGEDMN CC(C)[C@H](Cc1ccccc1)NN=Cc1cnccc1N(C)C ZINC000777447018 623526869 /nfs/dbraw/zinc/52/68/69/623526869.db2.gz CHSXEYFPUOZMOB-SFHVURJKSA-N 0 1 310.445 3.338 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2ncnc3sccc32)c(F)c1 ZINC000777878616 623579255 /nfs/dbraw/zinc/57/92/55/623579255.db2.gz CNNWGLPGNBLKAN-UHFFFAOYSA-N 0 1 317.305 3.185 20 30 DGEDMN Cc1cc(NN=Cc2cccc(C(=O)OC(C)(C)C)c2)ncn1 ZINC000777886215 623580417 /nfs/dbraw/zinc/58/04/17/623580417.db2.gz SFGVNQKFBUGLCJ-UHFFFAOYSA-N 0 1 312.373 3.186 20 30 DGEDMN COCCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000778297391 623637192 /nfs/dbraw/zinc/63/71/92/623637192.db2.gz IRFZPYGNTZTZOI-VXGBXAGGSA-N 0 1 322.792 3.050 20 30 DGEDMN C[C@H](CCC#N)CN1CCC[C@H]1C(=O)OCc1ccccc1 ZINC000876562220 623645911 /nfs/dbraw/zinc/64/59/11/623645911.db2.gz WQUITPDFWAVEJW-WBVHZDCISA-N 0 1 300.402 3.134 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cnn(C)c1C ZINC000778541247 623666182 /nfs/dbraw/zinc/66/61/82/623666182.db2.gz BBHXERFTJLGWMQ-UHFFFAOYSA-N 0 1 307.741 3.126 20 30 DGEDMN C=C(Cl)C[C@@H](N)C(=O)Nc1ccc(C(=O)C(C)(C)C)cc1 ZINC000876665343 623675109 /nfs/dbraw/zinc/67/51/09/623675109.db2.gz UKAZJZCQOGGXIX-CYBMUJFWSA-N 0 1 308.809 3.324 20 30 DGEDMN C[C@H](C(=O)O[C@@H](C)c1cccc(C#N)c1)N1CCCCCC1 ZINC000778632498 623678162 /nfs/dbraw/zinc/67/81/62/623678162.db2.gz RHVOIQZMMNTODO-CABCVRRESA-N 0 1 300.402 3.427 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000126137228 623695440 /nfs/dbraw/zinc/69/54/40/623695440.db2.gz KALNIFLVLJRDSE-NXEZZACHSA-N 0 1 308.740 3.173 20 30 DGEDMN C#CCSCCNCc1c(F)cccc1OC(F)(F)F ZINC000790549063 625669229 /nfs/dbraw/zinc/66/92/29/625669229.db2.gz UPSRKNQFZBTYLZ-UHFFFAOYSA-N 0 1 307.312 3.180 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2c[nH]nc2C)cc(OC)c1OC ZINC000779095194 623729284 /nfs/dbraw/zinc/72/92/84/623729284.db2.gz WBGZSLOQOLARHT-LBPRGKRZSA-N 0 1 315.417 3.315 20 30 DGEDMN CN(C)Cc1ccc(NCc2cc(C#N)cnc2Cl)cc1F ZINC000876868233 623732833 /nfs/dbraw/zinc/73/28/33/623732833.db2.gz AXERFKXZBBVFIU-UHFFFAOYSA-N 0 1 318.783 3.419 20 30 DGEDMN CN(C)[C@H](CNCc1cc(C#N)cnc1Cl)c1ccsc1 ZINC000876912864 623743142 /nfs/dbraw/zinc/74/31/42/623743142.db2.gz ZGZTUXSZSYPTBJ-CQSZACIVSA-N 0 1 320.849 3.061 20 30 DGEDMN C[C@H]1CCCC[C@@H]1OCCNCc1cc(C#N)cnc1Cl ZINC000876932594 623747633 /nfs/dbraw/zinc/74/76/33/623747633.db2.gz PXSGQTGATTZYRG-WFASDCNBSA-N 0 1 307.825 3.292 20 30 DGEDMN Cc1cccc(O[C@@H](C)CNCc2cc(C#N)cnc2Cl)c1 ZINC000877044244 623774277 /nfs/dbraw/zinc/77/42/77/623774277.db2.gz UKQYXJBFZTZNBO-ZDUSSCGKSA-N 0 1 315.804 3.472 20 30 DGEDMN FC(F)(F)CCN1CCC(=NOCc2cccnc2)CC1 ZINC000779781771 623802326 /nfs/dbraw/zinc/80/23/26/623802326.db2.gz FVYRJDRYKGJKOG-UHFFFAOYSA-N 0 1 301.312 3.002 20 30 DGEDMN COC(=O)c1ccccc1N=NC(C)c1cc(O)ccc1OC ZINC000779787801 623805378 /nfs/dbraw/zinc/80/53/78/623805378.db2.gz WBWRCVLRMQYAQZ-UHFFFAOYSA-N 0 1 314.341 3.024 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C1CCc2cc(O)ccc21 ZINC000780214988 623879583 /nfs/dbraw/zinc/87/95/83/623879583.db2.gz VVJDDLMZBOPJQJ-UHFFFAOYSA-N 0 1 313.313 3.071 20 30 DGEDMN CC(=NN[C@H]1CCOC(C)(C)C1)c1ccc(F)cc1N(C)C ZINC000780246538 623892847 /nfs/dbraw/zinc/89/28/47/623892847.db2.gz JPBGUZXECHENBF-AWEZNQCLSA-N 0 1 307.413 3.163 20 30 DGEDMN COc1ccc(NN=C2CCCOc3ccc(OC)cc32)nc1 ZINC000780274434 623901824 /nfs/dbraw/zinc/90/18/24/623901824.db2.gz BNPMVEZOQQOYLE-UHFFFAOYSA-N 0 1 313.357 3.088 20 30 DGEDMN COc1ccc(NN=Cc2cn(CCC#N)c3ccccc23)nc1 ZINC000780274895 623902425 /nfs/dbraw/zinc/90/24/25/623902425.db2.gz KCGLZMGPAPXQTA-UHFFFAOYSA-N 0 1 319.368 3.405 20 30 DGEDMN COc1ccc(N=NCc2c(C)nn(C(C)(C)C)c2C)nc1 ZINC000780275091 623902693 /nfs/dbraw/zinc/90/26/93/623902693.db2.gz OOIJQTPCYSDFGM-UHFFFAOYSA-N 0 1 301.394 3.105 20 30 DGEDMN COc1c(C)cnc(CN[C@@H]2CCc3cc(C#N)ccc32)c1C ZINC000877482343 623904230 /nfs/dbraw/zinc/90/42/30/623904230.db2.gz HFJDTPCDEGIGRD-QGZVFWFLSA-N 0 1 307.397 3.356 20 30 DGEDMN Cc1nc[nH]c1C=NOCc1ccc(F)cc1Br ZINC000780286578 623905737 /nfs/dbraw/zinc/90/57/37/623905737.db2.gz DJVSZJIMYNAPFE-UHFFFAOYSA-N 0 1 312.142 3.170 20 30 DGEDMN Cc1cc(Cl)nc(CN[C@H](C)CC2(C#N)CCOCC2)c1 ZINC000877497950 623912111 /nfs/dbraw/zinc/91/21/11/623912111.db2.gz GXKWPWONQSOQEM-CYBMUJFWSA-N 0 1 307.825 3.232 20 30 DGEDMN CC[C@@H]1C[C@H](C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)CCO1 ZINC000780381556 623919291 /nfs/dbraw/zinc/91/92/91/623919291.db2.gz LDHDGVLHQGDVQL-MGPQQGTHSA-N 0 1 311.385 3.253 20 30 DGEDMN C#CCOc1ccccc1CNCc1c[nH]nc1-c1ccsc1 ZINC000780526408 623944335 /nfs/dbraw/zinc/94/43/35/623944335.db2.gz DRHZJZNXNCPLGU-UHFFFAOYSA-N 0 1 323.421 3.440 20 30 DGEDMN Cc1cc(Br)ccc1CNCc1ccc(C#N)cn1 ZINC000780555048 623950420 /nfs/dbraw/zinc/95/04/20/623950420.db2.gz DILKMSVKZAKASW-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(OCC(F)(F)F)c2)nc1 ZINC000780556130 623951032 /nfs/dbraw/zinc/95/10/32/623951032.db2.gz MRDODMHZXHMNFB-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN CN(Cc1ccc(C(F)F)nc1)C[C@H](O)c1cccc(C#N)c1 ZINC000877609973 623960657 /nfs/dbraw/zinc/96/06/57/623960657.db2.gz PCJFOYHBILFJDR-INIZCTEOSA-N 0 1 317.339 3.056 20 30 DGEDMN C=CCOCCCNCc1nc(Cl)ccc1Br ZINC000877631882 623970755 /nfs/dbraw/zinc/97/07/55/623970755.db2.gz XFGIKOIBIHLIJP-UHFFFAOYSA-N 0 1 319.630 3.180 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@@H]2[C@@H]3Cc4cc(F)ccc4[C@@H]32)c1 ZINC000877639972 623974143 /nfs/dbraw/zinc/97/41/43/623974143.db2.gz HLVYXTWNDUPLBO-OWCLPIDISA-N 0 1 313.763 3.174 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@@H]2CCO[C@H]2c2ccccc2)c1 ZINC000877662040 623981813 /nfs/dbraw/zinc/98/18/13/623981813.db2.gz LUCVGJAZJBITHA-CVEARBPZSA-N 0 1 313.788 3.226 20 30 DGEDMN Cc1cc(CN2Cc3cccnc3N3CCC[C@@H]3C2)ccc1C#N ZINC000877713649 624002290 /nfs/dbraw/zinc/00/22/90/624002290.db2.gz JYKVLTLQLOXCAX-LJQANCHMSA-N 0 1 318.424 3.246 20 30 DGEDMN C#CCCCCCCN1CC2(CC(=O)N2Cc2ccccc2)C1 ZINC000877718139 624004233 /nfs/dbraw/zinc/00/42/33/624004233.db2.gz OAVQOMFWURGCSU-UHFFFAOYSA-N 0 1 310.441 3.057 20 30 DGEDMN Cc1cc2cn[nH]c2c(C(=O)Nc2ccccc2O[C@@H](C)C#N)c1 ZINC000781034440 624012412 /nfs/dbraw/zinc/01/24/12/624012412.db2.gz KXPKICOABICXSX-LBPRGKRZSA-N 0 1 320.352 3.415 20 30 DGEDMN Cc1cc(CN2Cc3cccnc3N3CCC[C@H]3C2)ccc1C#N ZINC000877762506 624025965 /nfs/dbraw/zinc/02/59/65/624025965.db2.gz JYKVLTLQLOXCAX-IBGZPJMESA-N 0 1 318.424 3.246 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1noc2cc(F)ccc12 ZINC000877761112 624025982 /nfs/dbraw/zinc/02/59/82/624025982.db2.gz AQNQIPXMJJBUQS-GFCCVEGCSA-N 0 1 317.364 3.155 20 30 DGEDMN C#Cc1ccc(CN2CCc3ccc(NC(C)=O)cc3C2)cc1 ZINC000877817141 624048857 /nfs/dbraw/zinc/04/88/57/624048857.db2.gz RTNHJQSTOXGBTR-UHFFFAOYSA-N 0 1 304.393 3.185 20 30 DGEDMN C[C@@H](NC[C@H](O)c1ccccc1F)c1ccc(C#N)cc1F ZINC000781420195 624069087 /nfs/dbraw/zinc/06/90/87/624069087.db2.gz IXXXXIFIMSNDGS-DIFFPNOSSA-N 0 1 302.324 3.221 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1ccc(C#N)cc1F ZINC000781421942 624069298 /nfs/dbraw/zinc/06/92/98/624069298.db2.gz IYTZTFFWDAGPSP-ZDUSSCGKSA-N 0 1 309.344 3.451 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000877937465 624101714 /nfs/dbraw/zinc/10/17/14/624101714.db2.gz MQAHTJJSTJILIK-INIZCTEOSA-N 0 1 304.434 3.016 20 30 DGEDMN C#CCOc1ccccc1CNCc1c(C)cc(OC)nc1C ZINC000781631497 624110322 /nfs/dbraw/zinc/11/03/22/624110322.db2.gz LTAWDEMIBBYNAQ-UHFFFAOYSA-N 0 1 310.397 3.009 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000877973407 624118594 /nfs/dbraw/zinc/11/85/94/624118594.db2.gz YZVGNZLIUGTXGX-BLLLJJGKSA-N 0 1 315.442 3.352 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000878013930 624134671 /nfs/dbraw/zinc/13/46/71/624134671.db2.gz GJFPLNNQHAKEMR-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCC(C)(C)C2)c1 ZINC000781763922 624141375 /nfs/dbraw/zinc/14/13/75/624141375.db2.gz ZNOOWMYWYYZRAP-WFASDCNBSA-N 0 1 314.385 3.169 20 30 DGEDMN FC(F)(F)c1ccc(NN=C2COCc3ccccc32)nc1 ZINC000782128380 624206707 /nfs/dbraw/zinc/20/67/07/624206707.db2.gz WZYFDNNKZCZJNT-UHFFFAOYSA-N 0 1 307.275 3.447 20 30 DGEDMN CC1(C)CCC[C@@H]1NN=Cc1ccc(N2CCOCC2)s1 ZINC000782288479 624233465 /nfs/dbraw/zinc/23/34/65/624233465.db2.gz PMXWVFGPVSVNPZ-AWEZNQCLSA-N 0 1 307.463 3.087 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NN[C@H]1CCCC1(C)C ZINC000782299722 624235989 /nfs/dbraw/zinc/23/59/89/624235989.db2.gz JCXBFZBNFDLNSA-QAPCUYQASA-N 0 1 314.477 3.448 20 30 DGEDMN COC(=O)c1ccc(OC)c(CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000878355419 624254770 /nfs/dbraw/zinc/25/47/70/624254770.db2.gz IIVOABNJZUXURN-CYBMUJFWSA-N 0 1 324.380 3.204 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)nc2ccccc12)[C@H]1CCCO1 ZINC000782543684 624262718 /nfs/dbraw/zinc/26/27/18/624262718.db2.gz NCZAZXCMOWEFAQ-GDBMZVCRSA-N 0 1 300.789 3.159 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2ccc(Cl)cc2F)nc1 ZINC000783522664 624360980 /nfs/dbraw/zinc/36/09/80/624360980.db2.gz XCCWAXQYRASIPP-UHFFFAOYSA-N 0 1 307.712 3.107 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)O[C@H](C)[C@H]2C)c(O)c(OC)c1 ZINC000783541253 624363161 /nfs/dbraw/zinc/36/31/61/624363161.db2.gz GDNOYRLSVJPCFC-BFHYXJOUSA-N 0 1 305.418 3.127 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CC[C@]2(CC2(F)F)C1)c1ccccc1 ZINC000878813716 624366028 /nfs/dbraw/zinc/36/60/28/624366028.db2.gz ODLCAPFKORDCKO-DLBZAZTESA-N 0 1 318.367 3.158 20 30 DGEDMN C#CCOc1ccc(C[N@H+](CCO)Cc2ccc(C)cc2)cc1 ZINC000783603669 624373995 /nfs/dbraw/zinc/37/39/95/624373995.db2.gz VNDWBJIYAPWJKW-UHFFFAOYSA-N 0 1 309.409 3.002 20 30 DGEDMN C[C@H](C(=O)O[C@@H](C)c1ccc(C#N)cc1)N1[C@H](C)CC[C@@H]1C ZINC000783781553 624396099 /nfs/dbraw/zinc/39/60/99/624396099.db2.gz YKOJLMIJMLBDTG-BARDWOONSA-N 0 1 300.402 3.424 20 30 DGEDMN CC[C@H]1CCC[C@H](C=Nn2cnnc2-n2nc(C)cc2C)C1 ZINC000783812048 624397702 /nfs/dbraw/zinc/39/77/02/624397702.db2.gz YGVKYOYCKRWVCH-GJZGRUSLSA-N 0 1 300.410 3.131 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2CCCC(C)(C)C#N ZINC000879217555 624453639 /nfs/dbraw/zinc/45/36/39/624453639.db2.gz ABZBJWQCVADZQX-KBPBESRZSA-N 0 1 307.438 3.010 20 30 DGEDMN C[C@@H](OC(=O)c1ccc2c(C=O)[nH]nc2c1)c1ccc(C#N)cc1 ZINC000784457149 624527250 /nfs/dbraw/zinc/52/72/50/624527250.db2.gz RGPAJJQRQKOTMT-LLVKDONJSA-N 0 1 319.320 3.165 20 30 DGEDMN C[C@@H](OC(=O)Cc1n[nH]c2c1CCCC2)c1cccc(C#N)c1 ZINC000784811142 624582870 /nfs/dbraw/zinc/58/28/70/624582870.db2.gz GKHPJWZVSVUSPL-GFCCVEGCSA-N 0 1 309.369 3.007 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879689932 624641358 /nfs/dbraw/zinc/64/13/58/624641358.db2.gz BVZHIKWKNAOKRT-KXBFYZLASA-N 0 1 318.424 3.499 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@H](CCCN2CC2(C#N)CC2)C1 ZINC000879701958 624643995 /nfs/dbraw/zinc/64/39/95/624643995.db2.gz VCNKVLDIOVLMAD-HUUCEWRRSA-N 0 1 319.449 3.012 20 30 DGEDMN CC(C)CC(=O)N1CCCN([C@H](C)c2cccc(C#N)c2)CC1 ZINC000879760850 624669001 /nfs/dbraw/zinc/66/90/01/624669001.db2.gz OMWDOXULTOPLGL-MRXNPFEDSA-N 0 1 313.445 3.200 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)OCC#Cc1ccccc1Cl ZINC000785732781 624719544 /nfs/dbraw/zinc/71/95/44/624719544.db2.gz DJSTUGPHBHLJME-OKILXGFUSA-N 0 1 305.805 3.108 20 30 DGEDMN CC(C)(O)[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000879961339 624736919 /nfs/dbraw/zinc/73/69/19/624736919.db2.gz CKWWPSAEILVKAS-CQSZACIVSA-N 0 1 324.371 3.143 20 30 DGEDMN CC(C)(O)[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000879961338 624737066 /nfs/dbraw/zinc/73/70/66/624737066.db2.gz CKWWPSAEILVKAS-AWEZNQCLSA-N 0 1 324.371 3.143 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880296175 624899894 /nfs/dbraw/zinc/89/98/94/624899894.db2.gz WMDBWFIHWUQCKU-OGWOLHLISA-N 0 1 323.440 3.379 20 30 DGEDMN CC(C)(C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786533544 624913152 /nfs/dbraw/zinc/91/31/52/624913152.db2.gz FPKHYJRLDWSVSS-CYBMUJFWSA-N 0 1 306.793 3.101 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786548945 624918415 /nfs/dbraw/zinc/91/84/15/624918415.db2.gz CWMKDGXYRHDACK-BBRMVZONSA-N 0 1 300.402 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCC(C)(C)C2)c1 ZINC000786551253 624920288 /nfs/dbraw/zinc/92/02/88/624920288.db2.gz CIBBCUWCCMKZOE-CVEARBPZSA-N 0 1 312.413 3.146 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc(Br)cs1)[C@@H]1CCCO1 ZINC000786916697 624964089 /nfs/dbraw/zinc/96/40/89/624964089.db2.gz HIEAOENPJOOXOP-USWWRNFRSA-N 0 1 314.248 3.342 20 30 DGEDMN C#CCC1(O)CCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC000880483635 624964976 /nfs/dbraw/zinc/96/49/76/624964976.db2.gz HLYBHWWQNPEGLR-UHFFFAOYSA-N 0 1 312.240 3.386 20 30 DGEDMN Cc1cc(C[C@@H](C)NC(=O)Nc2ccc(C3CC3)c(C#N)c2)n[nH]1 ZINC000881122962 625250237 /nfs/dbraw/zinc/25/02/37/625250237.db2.gz JRYQMXFPUQMEMJ-LLVKDONJSA-N 0 1 323.400 3.220 20 30 DGEDMN N#Cc1ccc(COC(=O)c2ccc3cncn3c2)c(Cl)c1 ZINC000790986583 625730695 /nfs/dbraw/zinc/73/06/95/625730695.db2.gz UFSZIHLQICQYRR-UHFFFAOYSA-N 0 1 311.728 3.216 20 30 DGEDMN Cc1ncnc(NC2CCN(Cc3cccc(C#N)c3)CC2)c1C ZINC000882530567 625747455 /nfs/dbraw/zinc/74/74/55/625747455.db2.gz QYXMRNYXHIKHCY-UHFFFAOYSA-N 0 1 321.428 3.042 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(C#N)c(F)c1)N1[C@H](C)CC[C@H]1C ZINC000791391083 625789916 /nfs/dbraw/zinc/78/99/16/625789916.db2.gz LKARKEHKTSWXLO-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000791742493 625850805 /nfs/dbraw/zinc/85/08/05/625850805.db2.gz HFPXORUOOYRPFD-VIFPVBQESA-N 0 1 307.806 3.323 20 30 DGEDMN C=CCC[C@@H](NCc1nccn1CC(F)(F)F)[C@H]1CCCO1 ZINC000883185107 625958538 /nfs/dbraw/zinc/95/85/38/625958538.db2.gz OBLQAEAKSQSGAL-CHWSQXEVSA-N 0 1 317.355 3.049 20 30 DGEDMN C[C@H]1CCCN(C(=O)OC[C@H]2CCCCN2CCCC#N)C1 ZINC000796554133 626061561 /nfs/dbraw/zinc/06/15/61/626061561.db2.gz MPQSBCXAGYGPRI-JKSUJKDBSA-N 0 1 307.438 3.013 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCC1)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796652318 626070510 /nfs/dbraw/zinc/07/05/10/626070510.db2.gz UYLXGLMVXAHDPX-GJZGRUSLSA-N 0 1 308.397 3.063 20 30 DGEDMN CC[C@](C)(OC)C(=O)[C@H](C#N)c1nc2cc(F)ccc2s1 ZINC000796689883 626073709 /nfs/dbraw/zinc/07/37/09/626073709.db2.gz DAYYXFYNFNIGER-BONVTDFDSA-N 0 1 306.362 3.427 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC1CC(C)(C)C1 ZINC000796745649 626078343 /nfs/dbraw/zinc/07/83/43/626078343.db2.gz MASAHQVQVUKALH-ZDUSSCGKSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1oc(C(C)C)nc1C(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000796789074 626083204 /nfs/dbraw/zinc/08/32/04/626083204.db2.gz MILJXAFEHJJJBL-CYBMUJFWSA-N 0 1 311.341 3.068 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000796792126 626083888 /nfs/dbraw/zinc/08/38/88/626083888.db2.gz IOGKVEBLDKILKT-IUODEOHRSA-N 0 1 302.374 3.169 20 30 DGEDMN CC1CCC(OCC(=O)C(C#N)c2cnc3ccccc3n2)CC1 ZINC000796899725 626093755 /nfs/dbraw/zinc/09/37/55/626093755.db2.gz KMBDRFVAHNSPAG-NRXISQOPSA-N 0 1 323.396 3.401 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CC[C@H]2CCOC2)s1 ZINC000797568126 626153862 /nfs/dbraw/zinc/15/38/62/626153862.db2.gz FOLSZHCODQAPRJ-NWDGAFQWSA-N 0 1 306.431 3.434 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000797958474 626184655 /nfs/dbraw/zinc/18/46/55/626184655.db2.gz KGSMSFUNTQBGKM-MRXNPFEDSA-N 0 1 324.380 3.281 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1cn2ccccc2n1 ZINC000798230012 626203471 /nfs/dbraw/zinc/20/34/71/626203471.db2.gz HORHMRLVUYQDCC-PLNGDYQASA-N 0 1 300.264 3.214 20 30 DGEDMN Fc1cc2[nH]c([N-]N=C3CCCc4cccnc43)[nH+]c2cc1F ZINC000799108714 626272676 /nfs/dbraw/zinc/27/26/76/626272676.db2.gz HRIUDIMGLAEFRO-UHFFFAOYSA-N 0 1 313.311 3.389 20 30 DGEDMN C=C(C)[C@H](NC[C@H](O)c1ccc(F)cn1)c1ccc(F)c(F)c1 ZINC000799321610 626289554 /nfs/dbraw/zinc/28/95/54/626289554.db2.gz IESUHYXMOKKVMW-IRXDYDNUSA-N 0 1 322.330 3.439 20 30 DGEDMN FC(F)Oc1ccc(NN=Cc2cc(C(F)(F)F)n[nH]2)cc1 ZINC000799478410 626304058 /nfs/dbraw/zinc/30/40/58/626304058.db2.gz FGRPTTOJFQYLOM-UHFFFAOYSA-N 0 1 320.221 3.476 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000799719055 626323879 /nfs/dbraw/zinc/32/38/79/626323879.db2.gz FBTVWNIOFAAIJW-KOLCDFICSA-N 0 1 312.200 3.214 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000800383851 626355245 /nfs/dbraw/zinc/35/52/45/626355245.db2.gz GCFAXEQXFLUAKY-DDIVZENXSA-N 0 1 300.383 3.286 20 30 DGEDMN COCC(N=Nc1cccc(F)c1C(=O)OC)c1ccccc1 ZINC000800818757 626377105 /nfs/dbraw/zinc/37/71/05/626377105.db2.gz NBDKPMNJQIOYTE-UHFFFAOYSA-N 0 1 316.332 3.075 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)c1csc(C)n1 ZINC000800817511 626377135 /nfs/dbraw/zinc/37/71/35/626377135.db2.gz SQCYLLNOPSSLRH-UHFFFAOYSA-N 0 1 307.350 3.213 20 30 DGEDMN C[C@@H](C#N)OCCSc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000801653437 626413276 /nfs/dbraw/zinc/41/32/76/626413276.db2.gz DGBBYRFWKBAIGO-VIFPVBQESA-N 0 1 308.794 3.146 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2cccc3nc(C)[nH]c32)c1 ZINC000801887680 626424488 /nfs/dbraw/zinc/42/44/88/626424488.db2.gz VBJYPVBRHSJZFU-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN N#CC(C(=O)C1=CCOCC1)c1nc(-c2ccccc2)cs1 ZINC000802044936 626429894 /nfs/dbraw/zinc/42/98/94/626429894.db2.gz HEGXMSWHFAFSGE-CQSZACIVSA-N 0 1 310.378 3.333 20 30 DGEDMN N#C[C@@H](C(=O)Nc1ccccc1)C(=O)c1coc(C2CCCC2)n1 ZINC000802043235 626429981 /nfs/dbraw/zinc/42/99/81/626429981.db2.gz UTADCWIGLABVJE-CQSZACIVSA-N 0 1 323.352 3.293 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)c1coc(C2CCCC2)n1 ZINC000802043235 626429982 /nfs/dbraw/zinc/42/99/82/626429982.db2.gz UTADCWIGLABVJE-CQSZACIVSA-N 0 1 323.352 3.293 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1ccc2cncn2c1 ZINC000803157280 626596311 /nfs/dbraw/zinc/59/63/11/626596311.db2.gz MBLRHSHEMZOVBZ-UHFFFAOYSA-N 0 1 321.380 3.484 20 30 DGEDMN C=CCN1CCN(CN2CC[C@@H](c3ccc(Cl)cc3)C2)C1=O ZINC000839997770 626605691 /nfs/dbraw/zinc/60/56/91/626605691.db2.gz PZHDDKPJWUHHFR-OAHLLOKOSA-N 0 1 319.836 3.010 20 30 DGEDMN C=C(C)C[C@@H](CO)[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000883392002 626671261 /nfs/dbraw/zinc/67/12/61/626671261.db2.gz YAKADKKQINPCDN-JQWIXIFHSA-N 0 1 314.223 3.132 20 30 DGEDMN C=C(C)C[C@@H](CO)[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000883392003 626671286 /nfs/dbraw/zinc/67/12/86/626671286.db2.gz YAKADKKQINPCDN-PWSUYJOCSA-N 0 1 314.223 3.132 20 30 DGEDMN C#CC[C@@H](OC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C)C1CC1 ZINC000133076704 626763407 /nfs/dbraw/zinc/76/34/07/626763407.db2.gz UELCQCIBEILXBH-MRXNPFEDSA-N 0 1 324.380 3.352 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(Nc2ccncc2)cc1)C(C)(C)C ZINC000807879430 626774042 /nfs/dbraw/zinc/77/40/42/626774042.db2.gz CJFCMIVCHAPAHL-KRWDZBQOSA-N 0 1 307.397 3.025 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)[C@@H](N)Cc2cc3ccccc3o2)C1 ZINC000884401754 626876414 /nfs/dbraw/zinc/87/64/14/626876414.db2.gz ZYJLEZCSJVOHMV-YOEHRIQHSA-N 0 1 312.413 3.117 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CC2CCSCC2)c1 ZINC000134975399 626896786 /nfs/dbraw/zinc/89/67/86/626896786.db2.gz WTLVTSGIRCBEFP-OAHLLOKOSA-N 0 1 316.426 3.176 20 30 DGEDMN C#Cc1ccc(CNCc2cc3c(cc2OC)C[C@H](C)O3)cc1 ZINC000809604954 626924957 /nfs/dbraw/zinc/92/49/57/626924957.db2.gz VUPVFKPEKXXKFS-AWEZNQCLSA-N 0 1 307.393 3.290 20 30 DGEDMN Cc1nc(C2CCN(c3nc4c(cc3C#N)CCC4)CC2)[nH]c1C ZINC000884708218 626932507 /nfs/dbraw/zinc/93/25/07/626932507.db2.gz RFBXCIYNSLDPSW-UHFFFAOYSA-N 0 1 321.428 3.166 20 30 DGEDMN C#C[C@@H](CC)NCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000809686376 626936292 /nfs/dbraw/zinc/93/62/92/626936292.db2.gz OUIFNDDCOIQINO-HOTGVXAUSA-N 0 1 307.821 3.399 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)OCCCCC(C)(C)C#N ZINC000885261120 626995812 /nfs/dbraw/zinc/99/58/12/626995812.db2.gz SXPKPKCUZQBISH-WFASDCNBSA-N 0 1 303.406 3.339 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C2CCC(F)(F)CC2)c1 ZINC000136590663 627102521 /nfs/dbraw/zinc/10/25/21/627102521.db2.gz XOYHOKZJHAMBOA-AWEZNQCLSA-N 0 1 320.339 3.468 20 30 DGEDMN CSc1ccc(CNCc2cnc([C@@H](C)O)s2)cc1C#N ZINC000886277676 627129971 /nfs/dbraw/zinc/12/99/71/627129971.db2.gz YWAGYNOBOUPDCH-SNVBAGLBSA-N 0 1 319.455 3.080 20 30 DGEDMN CSc1ccc(CNCc2ccnn2CC2CC2)cc1C#N ZINC000886277594 627130067 /nfs/dbraw/zinc/13/00/67/627130067.db2.gz WEEOTWONHIUITN-UHFFFAOYSA-N 0 1 312.442 3.176 20 30 DGEDMN N#CC1(C[C@@H](O)CNC2(c3c(F)cccc3F)CCC2)CCC1 ZINC000886304123 627133893 /nfs/dbraw/zinc/13/38/93/627133893.db2.gz OGMCJWYGSSKRAT-CYBMUJFWSA-N 0 1 320.383 3.378 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCC[C@@](F)(c3ccccc3)C2)CCC1 ZINC000886309261 627134515 /nfs/dbraw/zinc/13/45/15/627134515.db2.gz YQVYVFNETYRHPT-HKUYNNGSSA-N 0 1 316.420 3.392 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000886354175 627141724 /nfs/dbraw/zinc/14/17/24/627141724.db2.gz XPJLFQYJYNBDES-GFCCVEGCSA-N 0 1 301.777 3.235 20 30 DGEDMN C=CC[C@H](N[C@@H](C)c1cncc(C(=O)OC)c1)c1ccncc1 ZINC000886528816 627157835 /nfs/dbraw/zinc/15/78/35/627157835.db2.gz RULZHPAFPGOQDZ-GUYCJALGSA-N 0 1 311.385 3.231 20 30 DGEDMN C#CC[C@@H](N[C@@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886557180 627162747 /nfs/dbraw/zinc/16/27/47/627162747.db2.gz VTEYHXDXAYOEEZ-KBXCAEBGSA-N 0 1 308.381 3.283 20 30 DGEDMN Cc1ccc(CN2CCC(C(=O)OC(C)C)CC2)cc1C#N ZINC000886733036 627198373 /nfs/dbraw/zinc/19/83/73/627198373.db2.gz XWSBKZQUKVMUSH-UHFFFAOYSA-N 0 1 300.402 3.030 20 30 DGEDMN C=CCC1(O)CCN(CC(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000886759424 627200019 /nfs/dbraw/zinc/20/00/19/627200019.db2.gz FXYMJPHQEWOQTQ-UHFFFAOYSA-N 0 1 317.473 3.175 20 30 DGEDMN C=CCC1(O)CCN(Cc2cccc(OC(C)(C)C)n2)CC1 ZINC000886776861 627203528 /nfs/dbraw/zinc/20/35/28/627203528.db2.gz RLEXAKINRVFINC-UHFFFAOYSA-N 0 1 304.434 3.162 20 30 DGEDMN C#CCC1(NCc2coc(-c3ccccc3F)n2)CCOCC1 ZINC000887120372 627226464 /nfs/dbraw/zinc/22/64/64/627226464.db2.gz DOKRLOYMUUFAHF-UHFFFAOYSA-N 0 1 314.360 3.143 20 30 DGEDMN C[C@H]1C(N=Nc2nccnc2Cl)CCN1Cc1ccccc1 ZINC000811643424 627298000 /nfs/dbraw/zinc/29/80/00/627298000.db2.gz DTHRQYBFAUUVSJ-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@@H]1CC(N=NC[C@H]2CCSC2)CN(Cc2ccccc2)C1 ZINC000811660696 627301994 /nfs/dbraw/zinc/30/19/94/627301994.db2.gz SQFGYEKYHGZNGO-NVXWUHKLSA-N 0 1 317.502 3.227 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000811749911 627315809 /nfs/dbraw/zinc/31/58/09/627315809.db2.gz ZFYMWXQZBKRTPS-CVEARBPZSA-N 0 1 308.466 3.038 20 30 DGEDMN C=CCCCCCCCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000811917766 627337127 /nfs/dbraw/zinc/33/71/27/627337127.db2.gz JWCNVZGPHHRSEA-OAHLLOKOSA-N 0 1 318.465 3.483 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000813060134 627444086 /nfs/dbraw/zinc/44/40/86/627444086.db2.gz QMVDTBGGJFFJAM-MGPQQGTHSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCOCC1)c1cc(C(F)(F)F)ccn1 ZINC000813212316 627467443 /nfs/dbraw/zinc/46/74/43/627467443.db2.gz BHWBEOGQVCYOTB-PWSUYJOCSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813216745 627467980 /nfs/dbraw/zinc/46/79/80/627467980.db2.gz YDRVKYFVOLNJKJ-CMPLNLGQSA-N 0 1 312.291 3.093 20 30 DGEDMN N#CC(C(=O)C1CCSCC1)c1cc(C(F)(F)F)ccn1 ZINC000813218053 627468717 /nfs/dbraw/zinc/46/87/17/627468717.db2.gz RXVJVWQADNSDBN-NSHDSACASA-N 0 1 314.332 3.420 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)c2n[nH]c(C)n2)cc1Cl ZINC000813330945 627478865 /nfs/dbraw/zinc/47/88/65/627478865.db2.gz JXTKDXQGOYFTLI-JTQLQIEISA-N 0 1 306.797 3.182 20 30 DGEDMN C=CCCC[C@@H](NC(=O)N[C@H](C)c1nnc[nH]1)c1ccccc1 ZINC000889934295 627485431 /nfs/dbraw/zinc/48/54/31/627485431.db2.gz PPJDCOWOHYVOBK-UKRRQHHQSA-N 0 1 313.405 3.263 20 30 DGEDMN N#Cc1ccc(F)c(CN[C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000813861023 627516665 /nfs/dbraw/zinc/51/66/65/627516665.db2.gz WTVJTMKJFLZXDP-INIZCTEOSA-N 0 1 313.380 3.227 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1ccc(F)c2ccccc12 ZINC000814813955 627594736 /nfs/dbraw/zinc/59/47/36/627594736.db2.gz PYVBIZSSOYEXCP-UHFFFAOYSA-N 0 1 320.331 3.481 20 30 DGEDMN CC(C)c1nc(C#N)c(NNC2=C[C@@H](C(F)(F)F)OCC2)o1 ZINC000814820928 627595117 /nfs/dbraw/zinc/59/51/17/627595117.db2.gz RDAYZXTUYRCIFF-JTQLQIEISA-N 0 1 316.283 3.179 20 30 DGEDMN CC(N=Nc1cnc2ccccc2n1)c1cc(F)c(N)c(F)c1 ZINC000814830082 627596212 /nfs/dbraw/zinc/59/62/12/627596212.db2.gz WDHZXCXSNATLCW-UHFFFAOYSA-N 0 1 313.311 3.326 20 30 DGEDMN Brc1ccc(NN=Cc2nc3c(s2)CCC3)nc1 ZINC000814832529 627596670 /nfs/dbraw/zinc/59/66/70/627596670.db2.gz QNDCHLVRDFBYHZ-UHFFFAOYSA-N 0 1 323.219 3.235 20 30 DGEDMN C[C@H]1C(N=Nc2ncncc2F)CCCN1Cc1ccccc1 ZINC000814939691 627611702 /nfs/dbraw/zinc/61/17/02/627611702.db2.gz AHNZMDBFYHTZBL-ZDUSSCGKSA-N 0 1 313.380 3.068 20 30 DGEDMN CC(C)N1CC(N=Nc2nnc(-c3ccccc3)s2)[C@H](C)C1 ZINC000814948974 627613266 /nfs/dbraw/zinc/61/32/66/627613266.db2.gz OAJVCYPXJAPZFF-GFCCVEGCSA-N 0 1 315.446 3.333 20 30 DGEDMN CC(=NNc1cnnn1C)c1cccc(OCc2ccccc2)c1 ZINC000814983319 627615846 /nfs/dbraw/zinc/61/58/46/627615846.db2.gz ISYOWTKDCYDMAJ-UHFFFAOYSA-N 0 1 321.384 3.230 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000815095255 627626249 /nfs/dbraw/zinc/62/62/49/627626249.db2.gz BGHMSEYKPCAUGJ-ZFWWWQNUSA-N 0 1 308.372 3.150 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CC3CCC2CC3)c1 ZINC000815907513 627711596 /nfs/dbraw/zinc/71/15/96/627711596.db2.gz CDPKUDQPVRXPSN-YQEWQEHLSA-N 0 1 310.397 3.469 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C(C)(F)F ZINC000815907068 627711630 /nfs/dbraw/zinc/71/16/30/627711630.db2.gz QVFPEXBTKYWWQH-GZMMTYOYSA-N 0 1 314.719 3.279 20 30 DGEDMN N#CC(C(=O)[C@H]1CC2CCC1CC2)c1nnc2n1CCCCC2 ZINC000815914498 627711923 /nfs/dbraw/zinc/71/19/23/627711923.db2.gz DQTPJHUSVWCGFT-WUCCLRPBSA-N 0 1 312.417 3.007 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CC3CCC2CC3)c1 ZINC000816037408 627729395 /nfs/dbraw/zinc/72/93/95/627729395.db2.gz NBIYCASNHYNEGU-DQVOVIAYSA-N 0 1 324.424 3.146 20 30 DGEDMN C[C@H]1[C@@H](OC(=O)c2ccc(C#N)o2)CCCN1Cc1ccccc1 ZINC000816469778 627793586 /nfs/dbraw/zinc/79/35/86/627793586.db2.gz CWTVHFMEXVOWKH-YOEHRIQHSA-N 0 1 324.380 3.361 20 30 DGEDMN C=CC[C@H](CO)N[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926152801 627851585 /nfs/dbraw/zinc/85/15/85/627851585.db2.gz KUHWMOCKZFGBQL-PSASIEDQSA-N 0 1 308.731 3.341 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2cccc3c2OCO3)cc1 ZINC000926530352 627909279 /nfs/dbraw/zinc/90/92/79/627909279.db2.gz MJCUELDXBYWGAR-CQSZACIVSA-N 0 1 309.365 3.278 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2ccnn2C2CCC2)cc1 ZINC000926532740 627909701 /nfs/dbraw/zinc/90/97/01/627909701.db2.gz ZMDIUTSOKQUCDT-HNNXBMFYSA-N 0 1 309.413 3.471 20 30 DGEDMN C=CCOCCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817584534 627926189 /nfs/dbraw/zinc/92/61/89/627926189.db2.gz AZJKMIXOHLJCOK-LLVKDONJSA-N 0 1 317.252 3.149 20 30 DGEDMN CC(C)[C@@H](N[C@H](C)c1ccc(C#N)cc1F)c1cnn(C)c1 ZINC000927068382 628006936 /nfs/dbraw/zinc/00/69/36/628006936.db2.gz IVQBSMCVMRBXRM-SJKOYZFVSA-N 0 1 300.381 3.479 20 30 DGEDMN C[C@H](N[C@H]1c2ccccc2CC[C@H]1O)c1ccc(C#N)cc1F ZINC000927139551 628025154 /nfs/dbraw/zinc/02/51/54/628025154.db2.gz NDMGMXWRESHYJK-RQUSPXKASA-N 0 1 310.372 3.396 20 30 DGEDMN C=C(Br)CNC[C@@H](O)c1ccccc1C(F)(F)F ZINC000818978846 628071794 /nfs/dbraw/zinc/07/17/94/628071794.db2.gz ADUMNNWSFBNVBX-LLVKDONJSA-N 0 1 324.140 3.237 20 30 DGEDMN N#C[C@@H]1CCCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000928228833 628127798 /nfs/dbraw/zinc/12/77/98/628127798.db2.gz JACBJADHFKXIEK-NSHDSACASA-N 0 1 314.776 3.106 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)Nc1ccc(CCC#N)cc1 ZINC000928398712 628148464 /nfs/dbraw/zinc/14/84/64/628148464.db2.gz AQUDVFUWNAYHGU-SJCJKPOMSA-N 0 1 308.385 3.170 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)[C@@H](C)C2CCCCC2)o1 ZINC000819783544 628190460 /nfs/dbraw/zinc/19/04/60/628190460.db2.gz YYYMUUDPILUIMK-SECBINFHSA-N 0 1 317.397 3.167 20 30 DGEDMN CC(C)n1cncc1C=NNc1cccc(Br)n1 ZINC000819812661 628192646 /nfs/dbraw/zinc/19/26/46/628192646.db2.gz FUYUKVXCHLGABQ-UHFFFAOYSA-N 0 1 308.183 3.068 20 30 DGEDMN Cc1cc(C(C)N=Nc2ncc(Br)cc2C)no1 ZINC000819827766 628193709 /nfs/dbraw/zinc/19/37/09/628193709.db2.gz NWTCWWVBTFSIPE-UHFFFAOYSA-N 0 1 309.167 3.285 20 30 DGEDMN N#CC1(CCCCN2CCN(Cc3ccccn3)CC2)CCC1 ZINC000929198560 628223747 /nfs/dbraw/zinc/22/37/47/628223747.db2.gz QXFWRNBJOIPWQT-UHFFFAOYSA-N 0 1 312.461 3.063 20 30 DGEDMN N#CC1(COC(=O)c2cc3[nH]cnc3cc2F)CCCCC1 ZINC000820538980 628269925 /nfs/dbraw/zinc/26/99/25/628269925.db2.gz LKLZWCFQUXBISH-UHFFFAOYSA-N 0 1 301.321 3.333 20 30 DGEDMN CCOC(=O)CN(Cc1ccccc1O)CC(C)(C)CCC#N ZINC000822512166 628459294 /nfs/dbraw/zinc/45/92/94/628459294.db2.gz WUTPVIONINRVBF-UHFFFAOYSA-N 0 1 318.417 3.087 20 30 DGEDMN C=CCC[C@H]([NH2+]Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000823894282 628607724 /nfs/dbraw/zinc/60/77/24/628607724.db2.gz MGWYABLNFDCSRX-INIZCTEOSA-N 0 1 320.400 3.059 20 30 DGEDMN C=CCC[C@H]([NH2+]Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000823894282 628607727 /nfs/dbraw/zinc/60/77/27/628607727.db2.gz MGWYABLNFDCSRX-INIZCTEOSA-N 0 1 320.400 3.059 20 30 DGEDMN C=CC[N@H+](Cc1ccc(-c2nnn[n-]2)o1)CC1CCCCC1 ZINC000823909627 628608859 /nfs/dbraw/zinc/60/88/59/628608859.db2.gz LETDGLWYVODFOE-UHFFFAOYSA-N 0 1 301.394 3.028 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(-c2nn[n-]n2)o1)CC1CCCCC1 ZINC000823909627 628608866 /nfs/dbraw/zinc/60/88/66/628608866.db2.gz LETDGLWYVODFOE-UHFFFAOYSA-N 0 1 301.394 3.028 20 30 DGEDMN C=CC[N@H+](Cc1ccc(-c2nn[n-]n2)o1)CC1CCCCC1 ZINC000823909627 628608870 /nfs/dbraw/zinc/60/88/70/628608870.db2.gz LETDGLWYVODFOE-UHFFFAOYSA-N 0 1 301.394 3.028 20 30 DGEDMN CC(N=Nc1ccc(-c2nn[nH]n2)c(Cl)c1)c1ccccc1 ZINC000823958610 628614260 /nfs/dbraw/zinc/61/42/60/628614260.db2.gz SMJHFHCOIKEILX-UHFFFAOYSA-N 0 1 312.764 3.356 20 30 DGEDMN C=CC(C)(C)CNCc1nc2ccccn2c1Br ZINC000824790336 628693955 /nfs/dbraw/zinc/69/39/55/628693955.db2.gz RVWMDVCQZOKTJP-UHFFFAOYSA-N 0 1 308.223 3.399 20 30 DGEDMN C=CCC[C@H](NCc1cc(Cl)c(F)cc1O)C(=O)OCC ZINC000825117715 628729927 /nfs/dbraw/zinc/72/99/27/628729927.db2.gz KIXRGKXIRWTWGC-ZDUSSCGKSA-N 0 1 315.772 3.172 20 30 DGEDMN N#CCC1CN(Cc2ccc(OCc3ccccc3)cc2O)C1 ZINC000826990729 628911145 /nfs/dbraw/zinc/91/11/45/628911145.db2.gz MCZBHBMRMASVFX-UHFFFAOYSA-N 0 1 308.381 3.317 20 30 DGEDMN C=CC[C@H]1CCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000827052691 628917404 /nfs/dbraw/zinc/91/74/04/628917404.db2.gz UYVLXSMEMXLUIS-HNNXBMFYSA-N 0 1 302.418 3.304 20 30 DGEDMN C#C[C@@H](NCc1c(C)nn(CCCC)c1Cl)C1CCOCC1 ZINC000827570880 628972108 /nfs/dbraw/zinc/97/21/08/628972108.db2.gz TVPJSNLHPPXKKO-MRXNPFEDSA-N 0 1 323.868 3.163 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccccc1OCc1ccncc1 ZINC000827823194 628994676 /nfs/dbraw/zinc/99/46/76/628994676.db2.gz KHQZKBNLQGWNDM-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C#CCCN(CCOC)Cc1ccccc1OCc1ccncc1 ZINC000827823194 628994677 /nfs/dbraw/zinc/99/46/77/628994677.db2.gz KHQZKBNLQGWNDM-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000827972333 629010073 /nfs/dbraw/zinc/01/00/73/629010073.db2.gz ZGPFOZVGAFUEPB-KUHUBIRLSA-N 0 1 309.413 3.486 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@@H]3CCCC3(F)F)[nH]c2c1 ZINC000828261955 629039174 /nfs/dbraw/zinc/03/91/74/629039174.db2.gz WEKYFRAKFXEIED-JTQLQIEISA-N 0 1 304.300 3.199 20 30 DGEDMN C#CCCN1CCN(Cc2ccc([C@@H](C)C(F)(F)F)cc2)CC1 ZINC000828519756 629062219 /nfs/dbraw/zinc/06/22/19/629062219.db2.gz ZWOSACOTIIVHMI-OAHLLOKOSA-N 0 1 324.390 3.493 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2cccc3nc(C(F)F)[nH]c32)CC1 ZINC000828975954 629115854 /nfs/dbraw/zinc/11/58/54/629115854.db2.gz WWFKZXGZWXWOBV-XYPYZODXSA-N 0 1 317.339 3.422 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2c(CC)cccc2CC)C1 ZINC000829775178 629190700 /nfs/dbraw/zinc/19/07/00/629190700.db2.gz YXORYENASPMEJV-MRXNPFEDSA-N 0 1 312.457 3.485 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(Cl)cc2C)C1 ZINC000829784081 629192078 /nfs/dbraw/zinc/19/20/78/629192078.db2.gz GCVPSLRJKDGYNQ-AWEZNQCLSA-N 0 1 304.821 3.322 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cc(C)ccc2OC)C1 ZINC000829793971 629193461 /nfs/dbraw/zinc/19/34/61/629193461.db2.gz PHRLYXYXCCHJOD-JKSUJKDBSA-N 0 1 314.429 3.066 20 30 DGEDMN CCCCCCCCCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000829922578 629208886 /nfs/dbraw/zinc/20/88/86/629208886.db2.gz GXTCVMZDRFRIGZ-CQSZACIVSA-N 0 1 306.454 3.482 20 30 DGEDMN CCc1ccc(C[NH2+][C@H](C(=O)[O-])c2cccc(C#N)c2)s1 ZINC000830249891 629244827 /nfs/dbraw/zinc/24/48/27/629244827.db2.gz QGPKIFDQOZZNMJ-HNNXBMFYSA-N 0 1 300.383 3.098 20 30 DGEDMN C[N@@H+](CCCOc1cccc(C#N)c1)Cc1ccc(C(=O)[O-])cc1 ZINC000830777083 629304732 /nfs/dbraw/zinc/30/47/32/629304732.db2.gz NPPCFGKPOSQGMV-UHFFFAOYSA-N 0 1 324.380 3.157 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(Cl)ccc2OCC#N)CC1(C)C ZINC000831543076 629388802 /nfs/dbraw/zinc/38/88/02/629388802.db2.gz AKCKIPYFSUFXKB-INIZCTEOSA-N 0 1 322.836 3.489 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831900067 629425620 /nfs/dbraw/zinc/42/56/20/629425620.db2.gz ZGFAPEXHJLRNOR-OAHLLOKOSA-N 0 1 301.434 3.433 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063794 629554547 /nfs/dbraw/zinc/55/45/47/629554547.db2.gz ATEXIPHSPYRQSK-HZPDHXFCSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1ccoc1C(=O)[O-] ZINC000833063794 629554551 /nfs/dbraw/zinc/55/45/51/629554551.db2.gz ATEXIPHSPYRQSK-HZPDHXFCSA-N 0 1 310.353 3.250 20 30 DGEDMN C=CCOc1ccc(C[N@@H+](CC(=O)[O-])Cc2ccccc2)cc1 ZINC000833377609 629594635 /nfs/dbraw/zinc/59/46/35/629594635.db2.gz FJQDRXZFOSVKSY-UHFFFAOYSA-N 0 1 311.381 3.338 20 30 DGEDMN C[C@H](O)c1ncc(C=N[N-]c2cc(C(F)(F)F)cc[nH+]2)s1 ZINC000834983680 629816784 /nfs/dbraw/zinc/81/67/84/629816784.db2.gz DJHAWETWWCDNFF-ZETCQYMHSA-N 0 1 316.308 3.056 20 30 DGEDMN Clc1ccccc1CN1CCC(N=Nc2ccncn2)CC1 ZINC000835001793 629819560 /nfs/dbraw/zinc/81/95/60/629819560.db2.gz JTZQPFCQMZCGSH-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1CC(C)C ZINC000835065023 629842455 /nfs/dbraw/zinc/84/24/55/629842455.db2.gz JWAOAAAILSHTGH-MGPQQGTHSA-N 0 1 314.385 3.025 20 30 DGEDMN CCC[C@@H](OC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000835104153 629852934 /nfs/dbraw/zinc/85/29/34/629852934.db2.gz QGTXVITVNYTSIA-TZMCWYRMSA-N 0 1 315.398 3.196 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C2CCCCCC2)c1 ZINC000102119146 629938979 /nfs/dbraw/zinc/93/89/79/629938979.db2.gz AWNHMNAHJSSOLB-INIZCTEOSA-N 0 1 314.385 3.313 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2CCCCCC2)cc1 ZINC000102121089 629951262 /nfs/dbraw/zinc/95/12/62/629951262.db2.gz ZPVOBDLNZHVVEM-MRXNPFEDSA-N 0 1 314.385 3.313 20 30 DGEDMN N#C[C@]1(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)CC12CCCC2 ZINC000837903386 630325165 /nfs/dbraw/zinc/32/51/65/630325165.db2.gz UQPLXTFQPVPORU-PXNSSMCTSA-N 0 1 324.424 3.278 20 30 DGEDMN CCOc1ccccc1CN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000841493537 630442437 /nfs/dbraw/zinc/44/24/37/630442437.db2.gz RMWOODNXNHIZDR-GOSISDBHSA-N 0 1 310.397 3.122 20 30 DGEDMN Cc1nn(C)c(Cl)c1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000841894879 630473980 /nfs/dbraw/zinc/47/39/80/630473980.db2.gz ZLLQGRYPGSSIIX-INIZCTEOSA-N 0 1 314.820 3.421 20 30 DGEDMN Cc1cncc(CON=C(N)c2ccc(N3CCCCC3)cc2)c1 ZINC000842032418 630480528 /nfs/dbraw/zinc/48/05/28/630480528.db2.gz CQPOCKKAJIGVOK-UHFFFAOYSA-N 0 1 324.428 3.217 20 30 DGEDMN CC(C)Cn1nccc1C=NNc1ccc(C(F)(F)F)cn1 ZINC000842522304 630544440 /nfs/dbraw/zinc/54/44/40/630544440.db2.gz NFEJAYLCTKTEEJ-UHFFFAOYSA-N 0 1 311.311 3.399 20 30 DGEDMN C=CCCOCCNCc1cc(Br)cnc1Cl ZINC000842694893 630564194 /nfs/dbraw/zinc/56/41/94/630564194.db2.gz UBAXRPUJJPXDCT-UHFFFAOYSA-N 0 1 319.630 3.180 20 30 DGEDMN N#CC(C(=O)C=Cc1cncc(F)c1)c1nc(C2CC2)cs1 ZINC000842942541 630596917 /nfs/dbraw/zinc/59/69/17/630596917.db2.gz ZSBOKLIPEMRXLS-FNVMNULWSA-N 0 1 313.357 3.444 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nc3ccc(Cl)cc3n2C)C1 ZINC000843423924 630656462 /nfs/dbraw/zinc/65/64/62/630656462.db2.gz LSQQCRFRSWXIIZ-CYBMUJFWSA-N 0 1 301.821 3.462 20 30 DGEDMN C[C@@H](C#N)OCCNC1(c2ccccc2Br)CCC1 ZINC000844350708 630720441 /nfs/dbraw/zinc/72/04/41/630720441.db2.gz GAGVNLVHCCWGHG-LBPRGKRZSA-N 0 1 323.234 3.347 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000105756520 631057387 /nfs/dbraw/zinc/05/73/87/631057387.db2.gz XRCOXZUBHNRNHH-JKSUJKDBSA-N 0 1 300.402 3.084 20 30 DGEDMN CC[C@@H](C)c1ccc(NC(=O)[C@H](C#N)C(=O)c2cocn2)cc1 ZINC000105758557 631057706 /nfs/dbraw/zinc/05/77/06/631057706.db2.gz GAHQPPNYUWMQAM-BXUZGUMPSA-N 0 1 311.341 3.149 20 30 DGEDMN CC[C@@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2cocn2)cc1 ZINC000105758557 631057708 /nfs/dbraw/zinc/05/77/08/631057708.db2.gz GAHQPPNYUWMQAM-BXUZGUMPSA-N 0 1 311.341 3.149 20 30 DGEDMN CSCC1(CC(=O)C(C#N)C(=O)Nc2cccc(C)c2)CC1 ZINC000845440939 631174938 /nfs/dbraw/zinc/17/49/38/631174938.db2.gz MQDAQFKYGFJZDF-CQSZACIVSA-N 0 1 316.426 3.176 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CC[C@H](C)CC2)c1 ZINC000845441109 631174984 /nfs/dbraw/zinc/17/49/84/631174984.db2.gz OAGQXGJSMLRQLD-XJKCOSOUSA-N 0 1 314.385 3.169 20 30 DGEDMN CCCSCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845836500 631198376 /nfs/dbraw/zinc/19/83/76/631198376.db2.gz ADIYFBCLDLHTJK-AWEZNQCLSA-N 0 1 301.411 3.139 20 30 DGEDMN CC(C)CO[C@@H](C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845838535 631198386 /nfs/dbraw/zinc/19/83/86/631198386.db2.gz NZENMZNPHWHPMT-GOEBONIOSA-N 0 1 313.397 3.057 20 30 DGEDMN CN(Cc1scnc1C1CC1)C[C@H](O)c1cccc(C#N)c1 ZINC000846005654 631205329 /nfs/dbraw/zinc/20/53/29/631205329.db2.gz AZHPYULGYQSNDK-HNNXBMFYSA-N 0 1 313.426 3.058 20 30 DGEDMN CN(Cc1cc(Cl)cs1)C[C@@H](O)c1cccc(C#N)c1 ZINC000846007770 631205533 /nfs/dbraw/zinc/20/55/33/631205533.db2.gz PUIHVYMYMDPQRG-OAHLLOKOSA-N 0 1 306.818 3.439 20 30 DGEDMN C[C@@H]1CC[C@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC000846011306 631205787 /nfs/dbraw/zinc/20/57/87/631205787.db2.gz RWSKQTOPAKVUCV-CABCVRRESA-N 0 1 321.465 3.448 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](NCC2(C#N)CCCC2)C1 ZINC000846011803 631205974 /nfs/dbraw/zinc/20/59/74/631205974.db2.gz ZSDISUGLROXPNU-AWEZNQCLSA-N 0 1 307.438 3.059 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1cc(Cl)cc(Br)c1 ZINC000846263371 631217495 /nfs/dbraw/zinc/21/74/95/631217495.db2.gz KKHJZRJQOBMARO-UHFFFAOYSA-N 0 1 318.598 3.175 20 30 DGEDMN C=C(C)CONC(=O)Cc1csc(Cc2ccc(F)cc2)n1 ZINC000846540028 631256967 /nfs/dbraw/zinc/25/69/67/631256967.db2.gz SFKGZNYRFVRGMG-UHFFFAOYSA-N 0 1 320.389 3.039 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)nc1 ZINC000846815150 631315855 /nfs/dbraw/zinc/31/58/55/631315855.db2.gz XMYLMPNYHJZFOG-CVEARBPZSA-N 0 1 313.426 3.103 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCCc2ccc(F)cc2)nc(C)n1 ZINC000847622943 631498079 /nfs/dbraw/zinc/49/80/79/631498079.db2.gz VEAYUXWESBWFNQ-INIZCTEOSA-N 0 1 311.360 3.432 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)CCCCC#N)c1ccccc1 ZINC000847689504 631515008 /nfs/dbraw/zinc/51/50/08/631515008.db2.gz AMGHABVRPUNYHJ-JKSUJKDBSA-N 0 1 311.385 3.047 20 30 DGEDMN c1cnc2c(c1)cccc2CNN=C1CCCN2CCCC[C@H]12 ZINC000848404137 631654509 /nfs/dbraw/zinc/65/45/09/631654509.db2.gz FIZVKHIISVOJMA-GOSISDBHSA-N 0 1 308.429 3.329 20 30 DGEDMN CC(C)Cc1nc(C#N)c(N=NC2CCCN3CCCC[C@@H]23)o1 ZINC000848403977 631654932 /nfs/dbraw/zinc/65/49/32/631654932.db2.gz HDCRFDONEPFFIV-HNNXBMFYSA-N 0 1 315.421 3.161 20 30 DGEDMN C=CC1CCN(Cn2cc(-c3ccccc3[N+](=O)[O-])cn2)CC1 ZINC000848478618 631667342 /nfs/dbraw/zinc/66/73/42/631667342.db2.gz PYGYFLMOEMYXRX-UHFFFAOYSA-N 0 1 312.373 3.314 20 30 DGEDMN N#Cc1ccc(C2(NCc3cc(C(F)(F)F)n[nH]3)CCC2)cc1 ZINC000848567858 631695991 /nfs/dbraw/zinc/69/59/91/631695991.db2.gz RCJVGJNMDBZLEZ-UHFFFAOYSA-N 0 1 320.318 3.469 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850372569 632130066 /nfs/dbraw/zinc/13/00/66/632130066.db2.gz WIRUYXJDIBAPHQ-VMDGZTHMSA-N 0 1 308.381 3.245 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2c(C3CCC3)cnn2C)cc1 ZINC000850391572 632136767 /nfs/dbraw/zinc/13/67/67/632136767.db2.gz VGMDEMBPVVJIFZ-AWEZNQCLSA-N 0 1 324.428 3.268 20 30 DGEDMN C[C@@H](NC[C@]12OCCC[C@H]1C2(F)F)c1ccc(C#N)cc1F ZINC000850533979 632183832 /nfs/dbraw/zinc/18/38/32/632183832.db2.gz HVDINXYBKAYLIA-KMUNFCNLSA-N 0 1 310.319 3.162 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)C1CCC(C2CC2)CC1 ZINC000850752394 632214871 /nfs/dbraw/zinc/21/48/71/632214871.db2.gz ORZBQDDUMUEVFF-UYSNPLJNSA-N 0 1 304.434 3.218 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)CC1(C#N)CCCCC1 ZINC000850752927 632214981 /nfs/dbraw/zinc/21/49/81/632214981.db2.gz VERNRDVXXICPAQ-HNNXBMFYSA-N 0 1 317.433 3.256 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)C1CCC(C2CC2)CC1 ZINC000850752393 632215290 /nfs/dbraw/zinc/21/52/90/632215290.db2.gz ORZBQDDUMUEVFF-GPANFISMSA-N 0 1 304.434 3.218 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)C1CC2(CC2)C1 ZINC000850758974 632216748 /nfs/dbraw/zinc/21/67/48/632216748.db2.gz LBMQTTQMUTZKLV-LLVKDONJSA-N 0 1 320.751 3.317 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC000850757976 632216903 /nfs/dbraw/zinc/21/69/03/632216903.db2.gz VVTUAYKLBROXCT-ZACQAIPSSA-N 0 1 324.424 3.228 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850759657 632217799 /nfs/dbraw/zinc/21/77/99/632217799.db2.gz LUCVPIJYGWGNEG-NOOOWODRSA-N 0 1 302.761 3.033 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3C[C@H]3OC(C)(C)C)[nH]c21 ZINC000850849383 632237030 /nfs/dbraw/zinc/23/70/30/632237030.db2.gz AKAJMJGJONSRAL-YRGRVCCFSA-N 0 1 311.385 3.251 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C1CC2(CC2)C1)c1ccccc1 ZINC000850977719 632275536 /nfs/dbraw/zinc/27/55/36/632275536.db2.gz CHUXMKNZQPYMIS-CVEARBPZSA-N 0 1 310.397 3.153 20 30 DGEDMN Cc1ccoc1CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000851109732 632313150 /nfs/dbraw/zinc/31/31/50/632313150.db2.gz LYDLVRSHORZUJJ-OAHLLOKOSA-N 0 1 307.349 3.140 20 30 DGEDMN C#CCOCCN(Cc1csc(Br)c1)C1CC1 ZINC000851864461 632511408 /nfs/dbraw/zinc/51/14/08/632511408.db2.gz CHPBQVLTEFGRIO-UHFFFAOYSA-N 0 1 314.248 3.125 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1nc2ccccc2s1 ZINC000852102074 632554981 /nfs/dbraw/zinc/55/49/81/632554981.db2.gz VIYKMGGAOIIPIJ-BDJLRTHQSA-N 0 1 301.415 3.015 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001032107534 660200314 /nfs/dbraw/zinc/20/03/14/660200314.db2.gz LMZDRDYFKLLNND-HNNXBMFYSA-N 0 1 316.445 3.102 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H](C)C1 ZINC000944193321 660215046 /nfs/dbraw/zinc/21/50/46/660215046.db2.gz UZTYHHJRGNFOPX-OXQOHEQNSA-N 0 1 322.452 3.110 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC2=CCCCC2)CC1(C)C ZINC000995565614 660228957 /nfs/dbraw/zinc/22/89/57/660228957.db2.gz SDRMFVDHPQLDNK-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2coc3c2cccc3C)[C@@H]1C ZINC000993419044 659733007 /nfs/dbraw/zinc/73/30/07/659733007.db2.gz GTDQSBPHGHKUCU-MAUKXSAKSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)[C@@H](C)C1 ZINC000945368591 660250608 /nfs/dbraw/zinc/25/06/08/660250608.db2.gz XKJWGGKYKBKDPH-YOEHRIQHSA-N 0 1 324.424 3.069 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CCC(=C)CC2)CC1(C)C ZINC000996230996 660277969 /nfs/dbraw/zinc/27/79/69/660277969.db2.gz DRFGVMSXNJVCLX-HNNXBMFYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CCC(F)CC2)CC1(C)C ZINC000996252785 660278257 /nfs/dbraw/zinc/27/82/57/660278257.db2.gz DKXZAZMFVJCMIV-RUXDESIVSA-N 0 1 316.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](C)c2ccco2)CC1(C)C ZINC000996520172 660284834 /nfs/dbraw/zinc/28/48/34/660284834.db2.gz RPAPDLHGBXESLY-SWLSCSKDSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](F)c2ccccc2)CC1(C)C ZINC000996362657 660280102 /nfs/dbraw/zinc/28/01/02/660280102.db2.gz ZEJBZWMJASCXTF-CABCVRRESA-N 0 1 324.827 3.276 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)ccc1F ZINC001032406980 660312478 /nfs/dbraw/zinc/31/24/78/660312478.db2.gz IHZOELXGNRJYOD-STQMWFEESA-N 0 1 324.346 3.238 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCc2c1cccc2Cl ZINC001038519288 660321845 /nfs/dbraw/zinc/32/18/45/660321845.db2.gz WPIZRVWZTIRHBV-BBRMVZONSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)cc2ccoc21 ZINC001032489299 660330890 /nfs/dbraw/zinc/33/08/90/660330890.db2.gz ZWCKOTFBZJNJMT-GJZGRUSLSA-N 0 1 314.360 3.047 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C)cc1Cl ZINC001032482479 660329177 /nfs/dbraw/zinc/32/91/77/660329177.db2.gz DSLFRJBHPYDHSG-HOTGVXAUSA-N 0 1 318.848 3.052 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)cccc1Cl ZINC001032497682 660331470 /nfs/dbraw/zinc/33/14/70/660331470.db2.gz XCRVEWULQFTEQA-GJZGRUSLSA-N 0 1 318.848 3.052 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@H](c3ncc[nH]3)C2)c1 ZINC000929992212 661355934 /nfs/dbraw/zinc/35/59/34/661355934.db2.gz NXCRKIKPCJOCFW-AWEZNQCLSA-N 0 1 300.793 3.314 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cc(C)ccc2n1 ZINC001032511235 660334304 /nfs/dbraw/zinc/33/43/04/660334304.db2.gz KMQADYQHLUSNBA-IRXDYDNUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@H]2CC2(C)C)CC1 ZINC000997969837 660361213 /nfs/dbraw/zinc/36/12/13/660361213.db2.gz JTEXRCKKTAYXDC-LSDHHAIUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1cccc(F)c1 ZINC001032619819 660380551 /nfs/dbraw/zinc/38/05/51/660380551.db2.gz GXDCGODMNXRWOJ-JLSDUUJJSA-N 0 1 316.420 3.181 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1ccc(CC)cc1 ZINC001032623257 660381793 /nfs/dbraw/zinc/38/17/93/660381793.db2.gz KSIQKSZXJXMJRA-VDGAXYAQSA-N 0 1 324.468 3.051 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC(C1CCC1)C1CCC1 ZINC001032631897 660385261 /nfs/dbraw/zinc/38/52/61/660385261.db2.gz FEYZLLVBCCHCLH-ROUUACIJSA-N 0 1 316.489 3.454 20 30 DGEDMN CC(C)(C)CC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC000998528613 660392635 /nfs/dbraw/zinc/39/26/35/660392635.db2.gz ZGRFZTQGVCTRRJ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2csc(C)c2C)C1 ZINC000999244266 660412209 /nfs/dbraw/zinc/41/22/09/660412209.db2.gz XJWJGAZNWQNJJX-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001032684598 660413447 /nfs/dbraw/zinc/41/34/47/660413447.db2.gz FBIBBSKVKFPIRN-SNRMKQJTSA-N 0 1 312.457 3.214 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2sccc2c1 ZINC001032711378 660415493 /nfs/dbraw/zinc/41/54/93/660415493.db2.gz SQZYMQGJXKOZQP-HOTGVXAUSA-N 0 1 312.438 3.376 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3c(o2)CCCC3)C1 ZINC000999343020 660416676 /nfs/dbraw/zinc/41/66/76/660416676.db2.gz VWXCEZYMLDGUAN-AWEZNQCLSA-N 0 1 322.836 3.105 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCc2ccsc2)C1 ZINC000999358827 660416742 /nfs/dbraw/zinc/41/67/42/660416742.db2.gz IQNXXRQILFFEGC-CQSZACIVSA-N 0 1 312.866 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)n(CC)c2C)C1 ZINC000999638576 660422999 /nfs/dbraw/zinc/42/29/99/660422999.db2.gz RKLZBWYTLPCURA-HNNXBMFYSA-N 0 1 323.868 3.072 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc(C(C)C)n2)C1 ZINC000999614503 660423465 /nfs/dbraw/zinc/42/34/65/660423465.db2.gz NTXMFCAIVXIQHZ-AWEZNQCLSA-N 0 1 321.852 3.152 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](F)CC1CCCCC1 ZINC001032734273 660435382 /nfs/dbraw/zinc/43/53/82/660435382.db2.gz DQGHFORGMYHPDW-ULQDDVLXSA-N 0 1 308.441 3.156 20 30 DGEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCc2ccccc21 ZINC001032745358 660437563 /nfs/dbraw/zinc/43/75/63/660437563.db2.gz QPDNXPABELJTEF-FHWLQOOXSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2[nH]ccc2s1 ZINC001032755651 660439202 /nfs/dbraw/zinc/43/92/02/660439202.db2.gz GRTNFWAJSQCKQE-STQMWFEESA-N 0 1 315.442 3.094 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C2CCCC2)c1 ZINC001032733299 660435558 /nfs/dbraw/zinc/43/55/58/660435558.db2.gz PKYHXISZGPNXLJ-PMACEKPBSA-N 0 1 322.452 3.266 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001016198462 660444646 /nfs/dbraw/zinc/44/46/46/660444646.db2.gz RXZQWWPQDDALQA-ZDUSSCGKSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001032792366 660447483 /nfs/dbraw/zinc/44/74/83/660447483.db2.gz XEOVXFAHRLAPIB-JQFCIGGWSA-N 0 1 318.848 3.305 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2n1CC ZINC001032799386 660450461 /nfs/dbraw/zinc/45/04/61/660450461.db2.gz UXVQDHVMIFRGOL-IRXDYDNUSA-N 0 1 323.440 3.136 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2coc3ccc(CC)cc23)CC1 ZINC001002815889 660478699 /nfs/dbraw/zinc/47/86/99/660478699.db2.gz ONVCNXAWEKRVHE-UHFFFAOYSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)c2ccccc2F)C1 ZINC001044049667 660489013 /nfs/dbraw/zinc/48/90/13/660489013.db2.gz HMSLLPFYPGEFQS-UHFFFAOYSA-N 0 1 318.436 3.212 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC2CC(c3ccccc3)C2)CC1 ZINC001003205751 660489322 /nfs/dbraw/zinc/48/93/22/660489322.db2.gz OLNQNLMVKPAEGK-UHFFFAOYSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3cscc3s2)CC1 ZINC001003316581 660491103 /nfs/dbraw/zinc/49/11/03/660491103.db2.gz AFNILVHQCWZNIY-UHFFFAOYSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC001003421877 660493269 /nfs/dbraw/zinc/49/32/69/660493269.db2.gz IEJDZOUSVOEVFN-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC001003932711 660518097 /nfs/dbraw/zinc/51/80/97/660518097.db2.gz MACVWBXSIUJHQG-LBPRGKRZSA-N 0 1 324.877 3.109 20 30 DGEDMN CC(C(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001033064800 660534960 /nfs/dbraw/zinc/53/49/60/660534960.db2.gz AGBISFUUZTTWAO-LJQANCHMSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001033127264 660553711 /nfs/dbraw/zinc/55/37/11/660553711.db2.gz TVLSWMKABIHSIM-LJQANCHMSA-N 0 1 321.424 3.081 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(F)c3ccccc3c2)C1 ZINC001033133281 660554159 /nfs/dbraw/zinc/55/41/59/660554159.db2.gz CXVZLXPNSLEOCY-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ccc(Cl)cc2F)C1 ZINC001033250597 660599160 /nfs/dbraw/zinc/59/91/60/660599160.db2.gz YNBDWJSWGHHCAV-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001033465733 660625374 /nfs/dbraw/zinc/62/53/74/660625374.db2.gz KMTLKAZIJOOFPF-HZPDHXFCSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3cc(F)ccc3o2)C1 ZINC001033469956 660625870 /nfs/dbraw/zinc/62/58/70/660625870.db2.gz KHAXFFQJLLPLDJ-OAHLLOKOSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033518877 660629882 /nfs/dbraw/zinc/62/98/82/660629882.db2.gz IFPGRHFZCILDAR-QGZVFWFLSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001033518877 660629883 /nfs/dbraw/zinc/62/98/83/660629883.db2.gz IFPGRHFZCILDAR-QGZVFWFLSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc3sccc3c2)C1 ZINC001033547463 660631028 /nfs/dbraw/zinc/63/10/28/660631028.db2.gz IXZKOGWEFWOPOO-OAHLLOKOSA-N 0 1 300.427 3.234 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2coc(C3CCCC3)n2)C1 ZINC001033537834 660631407 /nfs/dbraw/zinc/63/14/07/660631407.db2.gz WAWXREFOKSEXOK-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001033568221 660632123 /nfs/dbraw/zinc/63/21/23/660632123.db2.gz GFCQWWZMQSXCFO-WBVHZDCISA-N 0 1 324.424 3.092 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc3ccsc32)C1 ZINC001033554827 660632139 /nfs/dbraw/zinc/63/21/39/660632139.db2.gz JBBYCZNJABIHOL-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001033568220 660632213 /nfs/dbraw/zinc/63/22/13/660632213.db2.gz GFCQWWZMQSXCFO-RDJZCZTQSA-N 0 1 324.424 3.092 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001033571071 660634474 /nfs/dbraw/zinc/63/44/74/660634474.db2.gz LDULRUYLEBXHFQ-GOEBONIOSA-N 0 1 312.413 3.255 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001033585286 660636029 /nfs/dbraw/zinc/63/60/29/660636029.db2.gz JTEFWVOQZNHYMC-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2C(F)(F)F)C1 ZINC001033607173 660638489 /nfs/dbraw/zinc/63/84/89/660638489.db2.gz NZTFYRWQZLNXNH-GFCCVEGCSA-N 0 1 312.335 3.038 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccccc2C2CCC2)C1 ZINC001033625560 660641280 /nfs/dbraw/zinc/64/12/80/660641280.db2.gz VWZCIUDOZKRUPA-QGZVFWFLSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001033675201 660648159 /nfs/dbraw/zinc/64/81/59/660648159.db2.gz MTIJTRYSHHRAEW-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001033682758 660649473 /nfs/dbraw/zinc/64/94/73/660649473.db2.gz ZLAGMZFXDQXIAL-XJKSGUPXSA-N 0 1 306.837 3.162 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001033682744 660649669 /nfs/dbraw/zinc/64/96/69/660649669.db2.gz ZLAGMZFXDQXIAL-CJNGLKHVSA-N 0 1 306.837 3.162 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2oc3ccccc3c2CC)C1 ZINC001033695304 660650978 /nfs/dbraw/zinc/65/09/78/660650978.db2.gz HWOKJGVXWIOWFW-AWEZNQCLSA-N 0 1 312.413 3.328 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033699881 660651803 /nfs/dbraw/zinc/65/18/03/660651803.db2.gz QUUFWQMFOAWCFD-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001033707814 660652859 /nfs/dbraw/zinc/65/28/59/660652859.db2.gz VCAXGUFQMPYSAT-MRXNPFEDSA-N 0 1 309.413 3.014 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc3c2CCCC3)C1 ZINC001033850208 660670369 /nfs/dbraw/zinc/67/03/69/660670369.db2.gz LQZSDHPIELZYAU-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001033850570 660670389 /nfs/dbraw/zinc/67/03/89/660670389.db2.gz NZQOPKNOLSXFTQ-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2coc3cc(F)ccc23)C1 ZINC001033875110 660672756 /nfs/dbraw/zinc/67/27/56/660672756.db2.gz CELVGKCBIVEXLW-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2coc(C(F)F)c2)C1 ZINC001033933172 660681545 /nfs/dbraw/zinc/68/15/45/660681545.db2.gz BIQZGOJDNPMDSV-ZDUSSCGKSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001033962553 660688176 /nfs/dbraw/zinc/68/81/76/660688176.db2.gz XUJLGXNDRYODFG-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001033985949 660689303 /nfs/dbraw/zinc/68/93/03/660689303.db2.gz KCUGIJVIRQGINS-NZSAHSFTSA-N 0 1 312.457 3.207 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2sc(CC)nc2C)C1 ZINC001033986003 660689483 /nfs/dbraw/zinc/68/94/83/660689483.db2.gz LFPZKYPBYLBTHE-AWEZNQCLSA-N 0 1 321.490 3.126 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001033982012 660690242 /nfs/dbraw/zinc/69/02/42/660690242.db2.gz NJGMWYBOOHONCZ-QRVBRYPASA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc(C)c(CC)o2)C1 ZINC001034012655 660693662 /nfs/dbraw/zinc/69/36/62/660693662.db2.gz UZBBTGUNAILOGR-OAHLLOKOSA-N 0 1 304.434 3.263 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2cc(C)c(C)s2)C1 ZINC001034040345 660698711 /nfs/dbraw/zinc/69/87/11/660698711.db2.gz UNPXGEYETRJDHS-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc(C)c(C)s2)C1 ZINC001034040345 660698712 /nfs/dbraw/zinc/69/87/12/660698712.db2.gz UNPXGEYETRJDHS-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034025490 660699089 /nfs/dbraw/zinc/69/90/89/660699089.db2.gz JJGOQTQXLSGCJI-HNNXBMFYSA-N 0 1 308.372 3.347 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001034051862 660699676 /nfs/dbraw/zinc/69/96/76/660699676.db2.gz BTBCNLRSEZTJCQ-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001034047935 660701224 /nfs/dbraw/zinc/70/12/24/660701224.db2.gz RWKHGQZYBLLCGQ-INIZCTEOSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(F)c3occc32)C1 ZINC001034047850 660701325 /nfs/dbraw/zinc/70/13/25/660701325.db2.gz LIIJMUVILJKYMS-CYBMUJFWSA-N 0 1 316.376 3.294 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2sccc2CC)C1 ZINC001034049768 660701909 /nfs/dbraw/zinc/70/19/09/660701909.db2.gz VILGFYLLMBOSDI-OAHLLOKOSA-N 0 1 306.475 3.423 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001034050836 660702362 /nfs/dbraw/zinc/70/23/62/660702362.db2.gz PRRWWTDLKGTWBQ-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2coc3ccc(F)cc23)C1 ZINC001034029253 660696081 /nfs/dbraw/zinc/69/60/81/660696081.db2.gz MSNRPHVOFOVXBI-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(F)cc2Cl)C1 ZINC001034034197 660696823 /nfs/dbraw/zinc/69/68/23/660696823.db2.gz KYAYJZKJACGOIZ-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001034209176 660724158 /nfs/dbraw/zinc/72/41/58/660724158.db2.gz IZMOAHAKIVZGSO-INIZCTEOSA-N 0 1 315.461 3.149 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001034230190 660725830 /nfs/dbraw/zinc/72/58/30/660725830.db2.gz OPTDKGQYFJPFLK-CQSZACIVSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001034252875 660729536 /nfs/dbraw/zinc/72/95/36/660729536.db2.gz APLSAHBPBRKZRU-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001034252875 660729538 /nfs/dbraw/zinc/72/95/38/660729538.db2.gz APLSAHBPBRKZRU-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2nccc3ccsc32)C1 ZINC001034251742 660729605 /nfs/dbraw/zinc/72/96/05/660729605.db2.gz BDYZEAZLWHJVET-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2oc(C(F)F)cc2C)CC1 ZINC001005533324 660732901 /nfs/dbraw/zinc/73/29/01/660732901.db2.gz PEXMNGPOZFYNQL-UHFFFAOYSA-N 0 1 324.371 3.085 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001034304942 660734663 /nfs/dbraw/zinc/73/46/63/660734663.db2.gz VQYJDCMLWMRMOP-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001034304959 660734790 /nfs/dbraw/zinc/73/47/90/660734790.db2.gz BBFHVCNJFIAFDP-AWEZNQCLSA-N 0 1 314.385 3.310 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC001034305317 660734815 /nfs/dbraw/zinc/73/48/15/660734815.db2.gz ZTFQCBNXSOVUSQ-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccccc2CCC)C1 ZINC001034303358 660734837 /nfs/dbraw/zinc/73/48/37/660734837.db2.gz DXDBQFGHVKBKBP-GOSISDBHSA-N 0 1 312.457 3.247 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC001034313247 660735777 /nfs/dbraw/zinc/73/57/77/660735777.db2.gz AERXRRYNCWDUNC-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnc3ccsc3c2)C1 ZINC001034339877 660739087 /nfs/dbraw/zinc/73/90/87/660739087.db2.gz JWQLYIJPLZXKDK-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC001034431433 660752521 /nfs/dbraw/zinc/75/25/21/660752521.db2.gz JUIXAVDYNMIHQY-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN C#CCCN1CCCC[C@H](NC(=O)c2cc3occc3s2)C1 ZINC001034394499 660747218 /nfs/dbraw/zinc/74/72/18/660747218.db2.gz OIYGSPJSZKXWLN-ZDUSSCGKSA-N 0 1 316.426 3.102 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)c2ccc(OC)c(C)c2)C1 ZINC001034448264 660752648 /nfs/dbraw/zinc/75/26/48/660752648.db2.gz MNDMZESIAASNLV-QGZVFWFLSA-N 0 1 316.445 3.164 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001034434836 660754011 /nfs/dbraw/zinc/75/40/11/660754011.db2.gz DHCOCKPWSKZAGH-SBHAEUEKSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC001034448682 660754372 /nfs/dbraw/zinc/75/43/72/660754372.db2.gz OOLJGQQCSMJRIN-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc3ccsc32)C1 ZINC001034470909 660758499 /nfs/dbraw/zinc/75/84/99/660758499.db2.gz CDWSSOYMYYEILB-OAHLLOKOSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC001034493312 660759175 /nfs/dbraw/zinc/75/91/75/660759175.db2.gz HLCYNZCKNBCPSX-MRXNPFEDSA-N 0 1 320.383 3.232 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001034497159 660760572 /nfs/dbraw/zinc/76/05/72/660760572.db2.gz OWSBWBQXLNLYCU-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001034511257 660764484 /nfs/dbraw/zinc/76/44/84/660764484.db2.gz NZPQOVCQLJZDQF-ZDUSSCGKSA-N 0 1 324.877 3.318 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001034521796 660768788 /nfs/dbraw/zinc/76/87/88/660768788.db2.gz PZUCZNUGTPYPEJ-AWEZNQCLSA-N 0 1 318.486 3.397 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)C1 ZINC001034544371 660771485 /nfs/dbraw/zinc/77/14/85/660771485.db2.gz NTBDZWKXWMHETQ-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001038558450 660785732 /nfs/dbraw/zinc/78/57/32/660785732.db2.gz LOUMXUJFCRVUFB-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNCc1nc(C)sc1C ZINC001035014598 660809225 /nfs/dbraw/zinc/80/92/25/660809225.db2.gz NXPUIHHWKVJRRT-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1csc(C)n1 ZINC001035037007 660811168 /nfs/dbraw/zinc/81/11/68/660811168.db2.gz LDPADKRDWUDLEO-NVXWUHKLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1CC=CCC1)CC2 ZINC001035155423 660819425 /nfs/dbraw/zinc/81/94/25/660819425.db2.gz CVPZOBHKIINSHG-INIZCTEOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H](C)C1CC1)CC2 ZINC001035174693 660822313 /nfs/dbraw/zinc/82/23/13/660822313.db2.gz NRZSUXCMWKPIQC-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1(C)CCC1)CC2 ZINC001035217147 660831294 /nfs/dbraw/zinc/83/12/94/660831294.db2.gz YEZCDDRCHJGUKB-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc([C@@H]3C[C@H]3C)o1)CC2 ZINC001035707548 660846113 /nfs/dbraw/zinc/84/61/13/660846113.db2.gz OTZOQLRPDSLJKQ-HUUCEWRRSA-N 0 1 314.429 3.127 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1oc(C(F)F)cc1C)CC2 ZINC001035726134 660847162 /nfs/dbraw/zinc/84/71/62/660847162.db2.gz LVESEJQDZALBSB-UHFFFAOYSA-N 0 1 324.371 3.250 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccccc1C(C)(C)C)CC2 ZINC001035727329 660847210 /nfs/dbraw/zinc/84/72/10/660847210.db2.gz HJOOIMMFBRTBJX-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1CCCCCCC1)CC2 ZINC001035749342 660851092 /nfs/dbraw/zinc/85/10/92/660851092.db2.gz MNTVILYEVFXBND-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)c1ccccc1C)CC2 ZINC001035812345 660855594 /nfs/dbraw/zinc/85/55/94/660855594.db2.gz PBERNBDYAJHVPQ-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cccc(C(C)C)c1)CC2 ZINC001035828582 660856504 /nfs/dbraw/zinc/85/65/04/660856504.db2.gz SQVMPRYANZKPDQ-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3cc(C)ccc3o1)CC2 ZINC001035855922 660859974 /nfs/dbraw/zinc/85/99/74/660859974.db2.gz CWPDYQLBDCJMFH-UHFFFAOYSA-N 0 1 324.424 3.465 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cccc(C3CC3)c1)CC2 ZINC001035857947 660860446 /nfs/dbraw/zinc/86/04/46/660860446.db2.gz BADKCVVKGKAINJ-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC3CCC3)CC[C@H]21 ZINC001036679481 660944235 /nfs/dbraw/zinc/94/42/35/660944235.db2.gz HWQRDYOXGUBGCX-HZPDHXFCSA-N 0 1 310.869 3.242 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)CC1 ZINC001006123953 660965354 /nfs/dbraw/zinc/96/53/54/660965354.db2.gz PXGIQIXYTHBMAP-VQTJNVASSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)C[C@@H]1C ZINC000947975944 660959474 /nfs/dbraw/zinc/95/94/74/660959474.db2.gz RSIIHWYCNQACIN-STQMWFEESA-N 0 1 322.811 3.003 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2C[C@]2(CC)C(C)C)CC1 ZINC001006226186 660968391 /nfs/dbraw/zinc/96/83/91/660968391.db2.gz PQVCAGDNHJYSGV-IEBWSBKVSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C)c(C)c2Cl)CC1 ZINC001006283952 660972456 /nfs/dbraw/zinc/97/24/56/660972456.db2.gz NTHOYOYOGSMERN-UHFFFAOYSA-N 0 1 318.848 3.126 20 30 DGEDMN CC(=NN[C@@H](C)C(C)(C)C)c1ccc(N2CCOCC2)c(F)c1 ZINC000793113718 661005520 /nfs/dbraw/zinc/00/55/20/661005520.db2.gz BUHVFTCTSKWTBW-AWEZNQCLSA-N 0 1 321.440 3.411 20 30 DGEDMN C[C@@H](NN=C1CCN(Cc2ccccn2)C[C@@H]1C)C(C)(C)C ZINC000793114592 661005582 /nfs/dbraw/zinc/00/55/82/661005582.db2.gz HSYRKVZNXZOFEA-LSDHHAIUSA-N 0 1 302.466 3.304 20 30 DGEDMN CN(C)c1nc(Cl)c(C=NNc2cccc(Cl)n2)s1 ZINC000793175603 661010277 /nfs/dbraw/zinc/01/02/77/661010277.db2.gz WWABPPWUJFXYRP-UHFFFAOYSA-N 0 1 316.217 3.357 20 30 DGEDMN CC(C)C[C@@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793489116 661038245 /nfs/dbraw/zinc/03/82/45/661038245.db2.gz BYUJJCPLSZCWRD-INIZCTEOSA-N 0 1 313.445 3.067 20 30 DGEDMN C=CC[C@@H](NCc1cnc2ccc(C#N)cn12)c1ccncc1 ZINC000793583730 661048007 /nfs/dbraw/zinc/04/80/07/661048007.db2.gz GQJFDRRFYMWLON-QGZVFWFLSA-N 0 1 303.369 3.008 20 30 DGEDMN N#CC[C@H](CC(=O)Nc1cc(C#N)ccc1O)c1ccccc1 ZINC000793734512 661054926 /nfs/dbraw/zinc/05/49/26/661054926.db2.gz DCJGONXMFVTGPX-OAHLLOKOSA-N 0 1 305.337 3.290 20 30 DGEDMN C#C[C@@H](NC(=O)c1cccc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000794060481 661067167 /nfs/dbraw/zinc/06/71/67/661067167.db2.gz OQLDWPRKURUOAH-OAHLLOKOSA-N 0 1 309.756 3.321 20 30 DGEDMN Cc1cc(N=NC2COCc3cccc(Cl)c32)nc(C)n1 ZINC000794496053 661087609 /nfs/dbraw/zinc/08/76/09/661087609.db2.gz XLCMSXLBPIIYQP-UHFFFAOYSA-N 0 1 302.765 3.093 20 30 DGEDMN CC(=N[N-]c1cc(C)cc[nH+]1)c1ccc(Br)cn1 ZINC000794516018 661088538 /nfs/dbraw/zinc/08/85/38/661088538.db2.gz GRAZFCDEOFXGDC-UHFFFAOYSA-N 0 1 305.179 3.384 20 30 DGEDMN O=C1c2ccc(F)cc2OC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000794728469 661102422 /nfs/dbraw/zinc/10/24/22/661102422.db2.gz QSCVBGLAXHAQII-BJMVGYQFSA-N 0 1 315.256 3.098 20 30 DGEDMN Clc1ccc(NN=Cc2cscc2Br)nn1 ZINC000794859936 661110286 /nfs/dbraw/zinc/11/02/86/661110286.db2.gz GGDKBLXIEIFXRG-UHFFFAOYSA-N 0 1 317.599 3.400 20 30 DGEDMN C[C@H](C#N)CNN=Cc1ccc2c(c1)CCN2Cc1ccccc1 ZINC000794919957 661113563 /nfs/dbraw/zinc/11/35/63/661113563.db2.gz BFTKXOGJJQZYOG-MRXNPFEDSA-N 0 1 318.424 3.332 20 30 DGEDMN Fc1cccc(-c2ccc(CN=Nc3cncnc3)o2)c1F ZINC000794979256 661118543 /nfs/dbraw/zinc/11/85/43/661118543.db2.gz UCCSJJUWUADFIH-UHFFFAOYSA-N 0 1 300.268 3.461 20 30 DGEDMN Clc1cc(CN=Nc2cncnc2)ccc1Br ZINC000794978911 661118596 /nfs/dbraw/zinc/11/85/96/661118596.db2.gz PVGHUWCRJNXBRX-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN COc1cc(CN=Nc2ncccc2F)c(SC)cc1OC ZINC000794986256 661119381 /nfs/dbraw/zinc/11/93/81/661119381.db2.gz ZHYQZGFNKPZTHN-UHFFFAOYSA-N 0 1 321.377 3.406 20 30 DGEDMN Fc1cccc(NN=Cc2c[nH]nc2-c2c(F)cccc2F)n1 ZINC000795006633 661120857 /nfs/dbraw/zinc/12/08/57/661120857.db2.gz QTMUDHNVWVUFMU-UHFFFAOYSA-N 0 1 317.274 3.335 20 30 DGEDMN Fc1cccc(NN=Cc2cc(Cl)c3c(c2)OCCCO3)n1 ZINC000795005991 661120978 /nfs/dbraw/zinc/12/09/78/661120978.db2.gz HYSLGWJFOPZFGK-UHFFFAOYSA-N 0 1 321.739 3.481 20 30 DGEDMN Fc1cccc(N=NCc2cc(Br)cs2)n1 ZINC000795007089 661121098 /nfs/dbraw/zinc/12/10/98/661121098.db2.gz QBNLAUMYXAPLJQ-UHFFFAOYSA-N 0 1 300.156 3.491 20 30 DGEDMN O=C(/C=C/c1ccnn1-c1ccc(F)cc1)c1ccc(O)cc1O ZINC000794940939 661115213 /nfs/dbraw/zinc/11/52/13/661115213.db2.gz GKWSYCOKHJUXIM-VMPITWQZSA-N 0 1 324.311 3.319 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC000969115650 655524256 /nfs/dbraw/zinc/52/42/56/655524256.db2.gz SMVGCMAOJHXDNG-OAHLLOKOSA-N 0 1 316.470 3.233 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C(C)C)cc1 ZINC000969124001 655525892 /nfs/dbraw/zinc/52/58/92/655525892.db2.gz FOYPLBUAGFSHBN-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C12CCC(CC1)CC2 ZINC000969153562 655528692 /nfs/dbraw/zinc/52/86/92/655528692.db2.gz JZZFDUFMVVRCFL-FDYSRKEFSA-N 0 1 316.489 3.341 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccoc1C(C)C ZINC000969158723 655529426 /nfs/dbraw/zinc/52/94/26/655529426.db2.gz RMXQWKAYTMXFAW-MRXNPFEDSA-N 0 1 316.445 3.401 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1CC(c2ccccc2)C1 ZINC000969160877 655529746 /nfs/dbraw/zinc/52/97/46/655529746.db2.gz MMPCCHPBWBOJPG-SOAGJPPSSA-N 0 1 324.468 3.174 20 30 DGEDMN CC(C)c1n[nH]c(CON=C(c2ccccc2)C(F)(F)F)n1 ZINC000795526364 661157260 /nfs/dbraw/zinc/15/72/60/661157260.db2.gz NUODBMYQOYXKHD-UHFFFAOYSA-N 0 1 312.295 3.411 20 30 DGEDMN CC(C)c1nc(CON=C(c2ccccc2)C(F)(F)F)n[nH]1 ZINC000795526364 661157262 /nfs/dbraw/zinc/15/72/62/661157262.db2.gz NUODBMYQOYXKHD-UHFFFAOYSA-N 0 1 312.295 3.411 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC000969584966 655551538 /nfs/dbraw/zinc/55/15/38/655551538.db2.gz PKRMWCGBRDQVCB-GXTWGEPZSA-N 0 1 322.399 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2cccc(C(C)C)n2)C1 ZINC000969586956 655551685 /nfs/dbraw/zinc/55/16/85/655551685.db2.gz OYSYXJTYDHQWOL-MRXNPFEDSA-N 0 1 315.461 3.108 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C2CCC2)C2CCC2)C1 ZINC000969736538 655556442 /nfs/dbraw/zinc/55/64/42/655556442.db2.gz BFEYIUSBILDWSL-OAHLLOKOSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)c(Cl)c2)C1 ZINC000969805638 655558233 /nfs/dbraw/zinc/55/82/33/655558233.db2.gz PYZCXWWSVOLTBS-CYBMUJFWSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC000969830559 655559044 /nfs/dbraw/zinc/55/90/44/655559044.db2.gz MSLVEWBGONPKNS-RDTXWAMCSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC000970000892 655567478 /nfs/dbraw/zinc/56/74/78/655567478.db2.gz OAKNIUQARGPLCS-NSHDSACASA-N 0 1 310.800 3.024 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc(Cl)ccc2F)C1 ZINC000970096065 655574601 /nfs/dbraw/zinc/57/46/01/655574601.db2.gz LXXCILQXHCYZHJ-LLVKDONJSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC000966352858 655583711 /nfs/dbraw/zinc/58/37/11/655583711.db2.gz CJVJKUNORAJEAA-DNVCBOLYSA-N 0 1 323.440 3.169 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C)s1 ZINC000968719736 655585506 /nfs/dbraw/zinc/58/55/06/655585506.db2.gz PKNCWEYHUACQSD-HNNXBMFYSA-N 0 1 304.459 3.054 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC000970182202 655587111 /nfs/dbraw/zinc/58/71/11/655587111.db2.gz MHINBCWJTISFBI-GFCCVEGCSA-N 0 1 314.429 3.271 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC000970206263 655588874 /nfs/dbraw/zinc/58/88/74/655588874.db2.gz YNRAGYAJMZNOEE-GFCCVEGCSA-N 0 1 316.376 3.116 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC000970271496 655591319 /nfs/dbraw/zinc/59/13/19/655591319.db2.gz QJUZAUNHLKUWMG-AWEZNQCLSA-N 0 1 318.848 3.030 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC000970269667 655591386 /nfs/dbraw/zinc/59/13/86/655591386.db2.gz ZBPMIKBFAKTRIT-CQSZACIVSA-N 0 1 311.429 3.021 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC000970431317 655599278 /nfs/dbraw/zinc/59/92/78/655599278.db2.gz MPIGVGPLWADFBE-AWEZNQCLSA-N 0 1 315.461 3.004 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000970465858 655601347 /nfs/dbraw/zinc/60/13/47/655601347.db2.gz XVSLCZISXXBLJU-ZDUSSCGKSA-N 0 1 312.388 3.215 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc(C(CC)CC)no2)C1 ZINC000970537726 655605014 /nfs/dbraw/zinc/60/50/14/655605014.db2.gz GMYKAMRUHIZUPD-CYBMUJFWSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(Cl)c3occc32)C1 ZINC000970724632 655614977 /nfs/dbraw/zinc/61/49/77/655614977.db2.gz GCXIQKVWNSMXMP-LLVKDONJSA-N 0 1 318.804 3.322 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(CC(C)C)s2)C[C@H]1C ZINC000947400465 655618307 /nfs/dbraw/zinc/61/83/07/655618307.db2.gz XNJORXHHOWFMDM-HUUCEWRRSA-N 0 1 318.486 3.163 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2coc3ccc(F)cc23)C1 ZINC000970806293 655623269 /nfs/dbraw/zinc/62/32/69/655623269.db2.gz KTEQPRFOVDLCID-GFCCVEGCSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC000970834740 655627853 /nfs/dbraw/zinc/62/78/53/655627853.db2.gz DNHVIEUJKYAHMN-KLHKWILBSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC000970833048 655628201 /nfs/dbraw/zinc/62/82/01/655628201.db2.gz JPBINELMIOJDHT-WBVHZDCISA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(cccc3F)s2)C1 ZINC000970848662 655629409 /nfs/dbraw/zinc/62/94/09/655629409.db2.gz KWHCCHKRDOUMNS-LLVKDONJSA-N 0 1 318.417 3.277 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)c2[nH]ccc2C)C1 ZINC001021574753 655635384 /nfs/dbraw/zinc/63/53/84/655635384.db2.gz FHUKZBHIAJDSQE-HUUCEWRRSA-N 0 1 321.852 3.002 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC000970928101 655638318 /nfs/dbraw/zinc/63/83/18/655638318.db2.gz IUBWWIWQRORTEY-HUUCEWRRSA-N 0 1 300.446 3.436 20 30 DGEDMN C[C@@H]1CC[C@@H](c2ccccc2)N(Cn2cccc(C#N)c2=O)C1 ZINC000795696367 661168398 /nfs/dbraw/zinc/16/83/98/661168398.db2.gz BIXGBZYBAPNAPO-QAPCUYQASA-N 0 1 307.397 3.151 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC000970992180 655647906 /nfs/dbraw/zinc/64/79/06/655647906.db2.gz GMBRMZJFSQJMQO-AWEZNQCLSA-N 0 1 310.397 3.183 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cscc2C(F)(F)F)C1 ZINC000970995334 655648193 /nfs/dbraw/zinc/64/81/93/655648193.db2.gz BUFZRVPDJGUULN-VIFPVBQESA-N 0 1 318.364 3.003 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC000970996536 655648507 /nfs/dbraw/zinc/64/85/07/655648507.db2.gz KWTHRDDVELOCHT-OAHLLOKOSA-N 0 1 310.441 3.490 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041800822 655651383 /nfs/dbraw/zinc/65/13/83/655651383.db2.gz YWAPJNVDQOCYKP-NRFANRHFSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cccc(C3CC3)c2)CC1 ZINC000949453430 655654191 /nfs/dbraw/zinc/65/41/91/655654191.db2.gz NFBKBFAIGBLWHO-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1ccc2c(c1)OCO2 ZINC000255333223 655702084 /nfs/dbraw/zinc/70/20/84/655702084.db2.gz CJVJOZKZALCFEC-IWQZZHSRSA-N 0 1 304.248 3.295 20 30 DGEDMN CC(C)(C#N)CCCCN1CCOc2ccccc2[C@H]1CO ZINC000933382294 655710151 /nfs/dbraw/zinc/71/01/51/655710151.db2.gz JXDLEZKEQJXTDD-MRXNPFEDSA-N 0 1 302.418 3.134 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC000971889791 655744138 /nfs/dbraw/zinc/74/41/38/655744138.db2.gz NBDXUHKNVNIKLA-CQSZACIVSA-N 0 1 318.804 3.419 20 30 DGEDMN COc1ccc(O)c(C(=O)/C=C\c2ccc(OC)c(C#N)c2)c1 ZINC000255505234 655775396 /nfs/dbraw/zinc/77/53/96/655775396.db2.gz PFSCRDWKFIXRJT-UTCJRWHESA-N 0 1 309.321 3.177 20 30 DGEDMN C=CC[C@@H]1CCN1CC(=O)N(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000934466595 655777094 /nfs/dbraw/zinc/77/70/94/655777094.db2.gz TWLNHUUKKGMHJE-TZMCWYRMSA-N 0 1 308.372 3.135 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc(OC(F)F)cc2)c1 ZINC000176821472 655848315 /nfs/dbraw/zinc/84/83/15/655848315.db2.gz UVMJIFQVUXGPOP-UHFFFAOYSA-N 0 1 318.279 3.046 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2cccc3ccccc32)C1 ZINC001023730904 655858925 /nfs/dbraw/zinc/85/89/25/655858925.db2.gz KOILFBGMTIRISV-QGZVFWFLSA-N 0 1 320.436 3.305 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2ccc(Cl)cc2O)c1 ZINC000036681609 661196109 /nfs/dbraw/zinc/19/61/09/661196109.db2.gz HRYVWIPNXCIOME-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN N#CCOc1ccc(C(=O)/C=C\c2cc(F)c(O)c(F)c2)cc1 ZINC000255729318 655892346 /nfs/dbraw/zinc/89/23/46/655892346.db2.gz ATZHKMYICDQMLB-BHQIHCQQSA-N 0 1 315.275 3.469 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c(C)oc2ccccc21 ZINC001024456219 655907309 /nfs/dbraw/zinc/90/73/09/655907309.db2.gz SAZOQSAPCUKHLE-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001024492211 655910446 /nfs/dbraw/zinc/91/04/46/655910446.db2.gz BEYPWIIZKBATMM-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001024492211 655910447 /nfs/dbraw/zinc/91/04/47/655910447.db2.gz BEYPWIIZKBATMM-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cccc2ccc(C)nc21 ZINC001024501236 655910848 /nfs/dbraw/zinc/91/08/48/655910848.db2.gz CDZMEXDARFXQER-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc2ccc(C)nc21 ZINC001024501236 655910849 /nfs/dbraw/zinc/91/08/49/655910849.db2.gz CDZMEXDARFXQER-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN Cc1ccc(-c2ocnc2C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000179735321 655912657 /nfs/dbraw/zinc/91/26/57/655912657.db2.gz LPZYLVVVONGDCN-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(C#N)ccc2O)c2ccccc2n1 ZINC000179739632 655912704 /nfs/dbraw/zinc/91/27/04/655912704.db2.gz ZSIKBQDEBLXVEC-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCOc2ccc(Cl)cc2)c1 ZINC000179732633 655913010 /nfs/dbraw/zinc/91/30/10/655913010.db2.gz XHSGWTLWYKIHSH-UHFFFAOYSA-N 0 1 316.744 3.325 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@H](C)Cc1ccc(Cl)cc1 ZINC000179795956 655913738 /nfs/dbraw/zinc/91/37/38/655913738.db2.gz AWAUSOOQEOUCJP-IUODEOHRSA-N 0 1 320.820 3.142 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@H](C)C2)cc1 ZINC000947611234 655913834 /nfs/dbraw/zinc/91/38/34/655913834.db2.gz IQBPWIGWULRYTO-CNPMYGABSA-N 0 1 316.832 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(C)C3CC3)CC[C@@H]21 ZINC001036796928 661202966 /nfs/dbraw/zinc/20/29/66/661202966.db2.gz KZCIXHLFPGFUOY-HIFRSBDPSA-N 0 1 310.869 3.098 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001024580664 655920064 /nfs/dbraw/zinc/92/00/64/655920064.db2.gz XQOQJHKBTIUGHU-MRXNPFEDSA-N 0 1 311.429 3.247 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccn(C(C)C)c1C ZINC001024618500 655924882 /nfs/dbraw/zinc/92/48/82/655924882.db2.gz VSXXAIVJDNKNAD-INIZCTEOSA-N 0 1 303.450 3.148 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1 ZINC001024632735 655926338 /nfs/dbraw/zinc/92/63/38/655926338.db2.gz ZSYUFTXZOGROKF-OAHLLOKOSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c(F)c1F ZINC001024671828 655928602 /nfs/dbraw/zinc/92/86/02/655928602.db2.gz MTQPGHWCOQDAPU-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001024674871 655929864 /nfs/dbraw/zinc/92/98/64/655929864.db2.gz ZMNBWGFZNSDDTI-BDXSIMOUSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(Cl)ccc1C ZINC001024715262 655933205 /nfs/dbraw/zinc/93/32/05/655933205.db2.gz AKKXDFJFHJOCPG-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001024735152 655933838 /nfs/dbraw/zinc/93/38/38/655933838.db2.gz DLRODUQYTZDVQX-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1coc(C2CCCC2)n1 ZINC001024735152 655933841 /nfs/dbraw/zinc/93/38/41/655933841.db2.gz DLRODUQYTZDVQX-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc(C2CCC2)c1 ZINC001024753845 655935766 /nfs/dbraw/zinc/93/57/66/655935766.db2.gz IPLVQLCZHSVBHW-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC001024761723 655936120 /nfs/dbraw/zinc/93/61/20/655936120.db2.gz JGCXTTYXWLGUEI-OAHLLOKOSA-N 0 1 304.434 3.175 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC001024790429 655939301 /nfs/dbraw/zinc/93/93/01/655939301.db2.gz IOAFBQXCALSFJK-SFHVURJKSA-N 0 1 312.457 3.219 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC001024790385 655939351 /nfs/dbraw/zinc/93/93/51/655939351.db2.gz HNRVORWPXRMTOP-LJQANCHMSA-N 0 1 312.457 3.336 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001024789141 655939418 /nfs/dbraw/zinc/93/94/18/655939418.db2.gz TWPPODORVLSELN-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(C)(C)C1 ZINC001024820496 655941957 /nfs/dbraw/zinc/94/19/57/655941957.db2.gz ZVUSKEYCWLHXMZ-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C12CC(c3ccccc3)(C1)C2 ZINC001024816754 655942737 /nfs/dbraw/zinc/94/27/37/655942737.db2.gz PFFGMNFCKSZEOJ-PELRDEGISA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001024825140 655943162 /nfs/dbraw/zinc/94/31/62/655943162.db2.gz TXVNJSYPNAMMEI-QGZVFWFLSA-N 0 1 323.440 3.452 20 30 DGEDMN N#Cc1ccccc1CNC[C@H]1CCCCN1C(=O)C1=CCCC1 ZINC001024925439 655950515 /nfs/dbraw/zinc/95/05/15/655950515.db2.gz HBSMJVLQJYXYRY-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN CCc1nc(CC(=O)C(C#N)c2nc3ccccc3o2)cs1 ZINC000066516424 655953007 /nfs/dbraw/zinc/95/30/07/655953007.db2.gz UCDBXMPDBXVZAF-LLVKDONJSA-N 0 1 311.366 3.266 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@H]1CNCc1ncc(C)s1 ZINC001025041175 655958626 /nfs/dbraw/zinc/95/86/26/655958626.db2.gz VFICZODFFJJEGJ-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CN(Cc1ccccc1Cl)C[C@@H](O)c1ccc(C#N)cc1 ZINC000048878845 655960733 /nfs/dbraw/zinc/96/07/33/655960733.db2.gz GNCJBUMAJCXZIV-QGZVFWFLSA-N 0 1 300.789 3.377 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001025070242 655963677 /nfs/dbraw/zinc/96/36/77/655963677.db2.gz VTKULKZGNMPFHT-WMZOPIPTSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C(C)C)CC3)CC[C@@H]21 ZINC001036816168 661208164 /nfs/dbraw/zinc/20/81/64/661208164.db2.gz QSVJFLSSYNQSCL-GJZGRUSLSA-N 0 1 310.869 3.098 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001025223880 655981736 /nfs/dbraw/zinc/98/17/36/655981736.db2.gz IJEYFYQLFIOZCW-JKSUJKDBSA-N 0 1 316.470 3.149 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ccsc1C ZINC001025228241 655981833 /nfs/dbraw/zinc/98/18/33/655981833.db2.gz WUDKDWQKIBIGQV-IAGOWNOFSA-N 0 1 316.470 3.149 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ccoc1CC ZINC001025228678 655982079 /nfs/dbraw/zinc/98/20/79/655982079.db2.gz BXVWLFJBEHJYSZ-IRXDYDNUSA-N 0 1 316.445 3.487 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1(C)CC1 ZINC001025235957 655983031 /nfs/dbraw/zinc/98/30/31/655983031.db2.gz QWIFBPRYSVVGAM-HUUCEWRRSA-N 0 1 310.869 3.385 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCC[C@@H]2[C@H]2CCCN2CCF)C1 ZINC001025268487 655986681 /nfs/dbraw/zinc/98/66/81/655986681.db2.gz BPOUHKVRLQKHNB-HZPDHXFCSA-N 0 1 308.441 3.158 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2ccsc2)C1 ZINC001025327945 655994373 /nfs/dbraw/zinc/99/43/73/655994373.db2.gz FOEDPFHYKBPGMZ-CHWSQXEVSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2cc(C)oc2C)C1 ZINC001025339547 655996334 /nfs/dbraw/zinc/99/63/34/655996334.db2.gz IHEIDRPNULMWNO-UKRRQHHQSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3csc(C)c3)CC[C@@H]21 ZINC001036842024 661212903 /nfs/dbraw/zinc/21/29/03/661212903.db2.gz JCRLJMCOKFMRFJ-HIFRSBDPSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)C(C)(C)C1 ZINC000974664149 656221174 /nfs/dbraw/zinc/22/11/74/656221174.db2.gz ONSXNXSKGOBNQI-QGZVFWFLSA-N 0 1 316.445 3.175 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c(o2)CCCC3)C(C)(C)C1 ZINC000974664149 656221175 /nfs/dbraw/zinc/22/11/75/656221175.db2.gz ONSXNXSKGOBNQI-QGZVFWFLSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1C[N@H+](CC=C(C)C)CC1(C)C ZINC000974678481 656221861 /nfs/dbraw/zinc/22/18/61/656221861.db2.gz BNRPWUDTFSDGRE-KRWDZBQOSA-N 0 1 315.461 3.081 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2C(C)C)C(C)(C)C1 ZINC000974690607 656222862 /nfs/dbraw/zinc/22/28/62/656222862.db2.gz ITOIDNQBMGIEDC-AWEZNQCLSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C(C)(C)C1 ZINC000974826686 656232944 /nfs/dbraw/zinc/23/29/44/656232944.db2.gz XSGIBVXLYNEHOE-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccccc3c2C)C(C)(C)C1 ZINC000974831083 656233346 /nfs/dbraw/zinc/23/33/46/656233346.db2.gz ZLUAZSDCUJEKFE-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C(C)(C)C1 ZINC000974861529 656235623 /nfs/dbraw/zinc/23/56/23/656235623.db2.gz GVXCJGPCGSOTMW-GOSISDBHSA-N 0 1 312.457 3.499 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)C(C)(C)C1 ZINC000974969717 656244596 /nfs/dbraw/zinc/24/45/96/656244596.db2.gz DGURTSQEAKWDSW-HNNXBMFYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C(C)(C)C1 ZINC000974969720 656244604 /nfs/dbraw/zinc/24/46/04/656244604.db2.gz DGURTSQEAKWDSW-OAHLLOKOSA-N 0 1 324.827 3.495 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C2CCC2)C2CCC2)C(C)(C)C1 ZINC000974984745 656248219 /nfs/dbraw/zinc/24/82/19/656248219.db2.gz MCRYSDVXWZXDDO-QGZVFWFLSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(Cl)c2F)C(C)(C)C1 ZINC000975007108 656249618 /nfs/dbraw/zinc/24/96/18/656249618.db2.gz MNVODXLNHLSKPL-ZDUSSCGKSA-N 0 1 310.800 3.105 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000975010720 656249707 /nfs/dbraw/zinc/24/97/07/656249707.db2.gz VPCUSDZOQVVBOI-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2C2(C)CC2)C(C)(C)C1 ZINC000975033145 656252137 /nfs/dbraw/zinc/25/21/37/656252137.db2.gz XWZHMRDVAJQVBT-QGZVFWFLSA-N 0 1 312.457 3.364 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)C(C)(C)C1 ZINC000975067212 656253399 /nfs/dbraw/zinc/25/33/99/656253399.db2.gz SLDNXZZJEKRWNG-JYJNAYRXSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](F)c2ccccc2)C(C)(C)C1 ZINC000975083004 656254958 /nfs/dbraw/zinc/25/49/58/656254958.db2.gz KQVIQBVDZFWZRW-HZPDHXFCSA-N 0 1 304.409 3.100 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OCC)c(C)c2)C(C)(C)C1 ZINC000975111594 656258218 /nfs/dbraw/zinc/25/82/18/656258218.db2.gz IYIPTVCXGRECGF-QGZVFWFLSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001009584244 656295931 /nfs/dbraw/zinc/29/59/31/656295931.db2.gz CMADVNUZZCJHRH-PBHICJAKSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccsc2Cl)C(C)(C)C1 ZINC000977213233 656316894 /nfs/dbraw/zinc/31/68/94/656316894.db2.gz UAVOTFDFMCWHKK-LBPRGKRZSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C(C)(C)C1 ZINC000977326932 656322753 /nfs/dbraw/zinc/32/27/53/656322753.db2.gz MLERQCAQCVYFIG-RCCFBDPRSA-N 0 1 312.457 3.111 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2cccnc2)C1 ZINC001027517849 656327412 /nfs/dbraw/zinc/32/74/12/656327412.db2.gz KBNVYNZHUJZGQV-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2ccccc2F)C1 ZINC001027522133 656328840 /nfs/dbraw/zinc/32/88/40/656328840.db2.gz RZWBQKDRSWMLRK-INIZCTEOSA-N 0 1 318.436 3.461 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977443258 656329776 /nfs/dbraw/zinc/32/97/76/656329776.db2.gz JKBASAAVVMIRRR-GDBMZVCRSA-N 0 1 318.486 3.010 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000977443266 656330027 /nfs/dbraw/zinc/33/00/27/656330027.db2.gz JKBASAAVVMIRRR-ZBFHGGJFSA-N 0 1 318.486 3.010 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C3CCC3)c2)C(C)(C)C1 ZINC000977449297 656330712 /nfs/dbraw/zinc/33/07/12/656330712.db2.gz BMQJIIBVIVREEG-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C3CC3)s2)C(C)(C)C1 ZINC000977458198 656331965 /nfs/dbraw/zinc/33/19/65/656331965.db2.gz BOVXEDDHTJJWFO-MRXNPFEDSA-N 0 1 316.470 3.089 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncsc2C(C)C)C(C)(C)C1 ZINC000977441553 656332263 /nfs/dbraw/zinc/33/22/63/656332263.db2.gz GIDDFJFVNHCCII-CYBMUJFWSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)C(C)(C)C1 ZINC000977526112 656338867 /nfs/dbraw/zinc/33/88/67/656338867.db2.gz OSUSOKBUVDIKAR-QGZVFWFLSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)cc(C)cc2C)C(C)(C)C1 ZINC000977553002 656341245 /nfs/dbraw/zinc/34/12/45/656341245.db2.gz PUZSGYDAEBGVEY-MRXNPFEDSA-N 0 1 300.446 3.238 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(F)cc2F)C(C)(C)C1 ZINC000977609886 656347535 /nfs/dbraw/zinc/34/75/35/656347535.db2.gz CBAYZZIQVKDELS-LRDDRELGSA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccccc2C)C(C)(C)C1 ZINC000977631047 656348646 /nfs/dbraw/zinc/34/86/46/656348646.db2.gz RVVCEZMWNYVHLO-NVXWUHKLSA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cccc(F)c3o2)C(C)(C)C1 ZINC000977621369 656350356 /nfs/dbraw/zinc/35/03/56/656350356.db2.gz YBVBKBLTJILDAX-HNNXBMFYSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977664248 656354961 /nfs/dbraw/zinc/35/49/61/656354961.db2.gz RNZZNGFLLQOFSS-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cccc(F)c2C)CC1 ZINC001052400215 656433042 /nfs/dbraw/zinc/43/30/42/656433042.db2.gz RVFBLDWMEVDQGI-AWEZNQCLSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2c(C)cncc2C)CC1 ZINC001052557062 656444344 /nfs/dbraw/zinc/44/43/44/656444344.db2.gz HNSVNRKUUGAGEV-OAHLLOKOSA-N 0 1 321.852 3.035 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CC(=C)Cl)CC2)cc1 ZINC001052614293 656449319 /nfs/dbraw/zinc/44/93/19/656449319.db2.gz POSCSMGPDAMGQB-KRWDZBQOSA-N 0 1 316.832 3.005 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(CC)c(CCC)s2)C1 ZINC000980365567 656493204 /nfs/dbraw/zinc/49/32/04/656493204.db2.gz BQPIRPLJXOESIG-OAHLLOKOSA-N 0 1 318.486 3.043 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](c1ccccc1)C1CC1 ZINC001039404797 656495107 /nfs/dbraw/zinc/49/51/07/656495107.db2.gz VGLJUPXISCQNOX-HSALFYBXSA-N 0 1 324.468 3.432 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(CC(C)C)s1 ZINC001027933046 656502032 /nfs/dbraw/zinc/50/20/32/656502032.db2.gz OUYXWMVCBRQFHI-AWEZNQCLSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(CC(C)C)s1 ZINC001027933047 656502089 /nfs/dbraw/zinc/50/20/89/656502089.db2.gz OUYXWMVCBRQFHI-CQSZACIVSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)c(F)c1 ZINC001039442383 656502158 /nfs/dbraw/zinc/50/21/58/656502158.db2.gz DHVRHGFWKAVYRR-KGLIPLIRSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cc[nH]c2c1 ZINC001039443656 656503055 /nfs/dbraw/zinc/50/30/55/656503055.db2.gz XZLNFIQLGBEBPY-SJORKVTESA-N 0 1 309.413 3.033 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cccnc2c1 ZINC001039454040 656505217 /nfs/dbraw/zinc/50/52/17/656505217.db2.gz GOAUAWBXDMZJQO-MSOLQXFVSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccccc1F ZINC001039452998 656505479 /nfs/dbraw/zinc/50/54/79/656505479.db2.gz BCFNYVBLOWQUIV-OAGGEKHMSA-N 0 1 316.420 3.181 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(CC)s1 ZINC001039467174 656508975 /nfs/dbraw/zinc/50/89/75/656508975.db2.gz ZCTAYOSMABPNKX-CABCVRRESA-N 0 1 318.486 3.484 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2o1 ZINC001039465880 656509163 /nfs/dbraw/zinc/50/91/63/656509163.db2.gz FDGXTCOLWREDBA-CVEARBPZSA-N 0 1 310.397 3.298 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2ccccc21 ZINC001039474215 656510816 /nfs/dbraw/zinc/51/08/16/656510816.db2.gz XMDFCGLXBSOPEK-SJORKVTESA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCC(C)(C)C1 ZINC001039476045 656511399 /nfs/dbraw/zinc/51/13/99/656511399.db2.gz WZHAAQMIUCAWPH-ZACQAIPSSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC001027942230 656515883 /nfs/dbraw/zinc/51/58/83/656515883.db2.gz ACWIFPLWHSVAGF-GFCCVEGCSA-N 0 1 312.360 3.296 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC000980521959 656519530 /nfs/dbraw/zinc/51/95/30/656519530.db2.gz RIXAGFLRZHFMPH-HNNXBMFYSA-N 0 1 318.848 3.243 20 30 DGEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001027953719 656530159 /nfs/dbraw/zinc/53/01/59/656530159.db2.gz SDDDOAZUWPNTIR-KRWDZBQOSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001039896855 656540419 /nfs/dbraw/zinc/54/04/19/656540419.db2.gz SLHSMWOHODHYQG-NTDBWNAOSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(CC)CCCC3)C[C@@H]2C1 ZINC001049144307 656564880 /nfs/dbraw/zinc/56/48/80/656564880.db2.gz FRSBEJIWHAFAOY-GASCZTMLSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)C[C@@H]3CCCC(C)(C)C3)C[C@H]2C1 ZINC001049171745 656567743 /nfs/dbraw/zinc/56/77/43/656567743.db2.gz QHVIACVNPQQVNS-OKZBNKHCSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3CC=CCC3)C2)CC1 ZINC001040604194 656599031 /nfs/dbraw/zinc/59/90/31/656599031.db2.gz YRGPTYXAWYDTOK-INIZCTEOSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1occc1-c1ccccc1 ZINC001028012125 656601278 /nfs/dbraw/zinc/60/12/78/656601278.db2.gz GSTXQJQCVIEJJA-KRWDZBQOSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3(C4CC4)CC3)C2)CC1 ZINC001040739899 656604174 /nfs/dbraw/zinc/60/41/74/656604174.db2.gz AOEKDFRGDWOLKI-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@H]3C[C@@H]3C)C2)CC1 ZINC001040833711 656609577 /nfs/dbraw/zinc/60/95/77/656609577.db2.gz ZVFRUAWCWYVRFW-DZGCQCFKSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2occc2c1 ZINC001049491213 656630068 /nfs/dbraw/zinc/63/00/68/656630068.db2.gz MNKALVFMPUKYHY-QZTJIDSGSA-N 0 1 322.408 3.135 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc([C@@H]3C[C@H]3C)o2)CC1 ZINC000981147679 656632957 /nfs/dbraw/zinc/63/29/57/656632957.db2.gz YAVLGNBPDXIJCU-HUUCEWRRSA-N 0 1 302.418 3.127 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001028037142 656639090 /nfs/dbraw/zinc/63/90/90/656639090.db2.gz PJVSCTGSROVNHP-HNNXBMFYSA-N 0 1 314.429 3.415 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@]3(CCN(C/C=C/Cl)C3)C2)C1 ZINC001041653379 656663089 /nfs/dbraw/zinc/66/30/89/656663089.db2.gz RFCPYPKBXKTJJW-ZNNBYXKUSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2coc3ccc(C)cc23)CC1 ZINC000981259935 656665145 /nfs/dbraw/zinc/66/51/45/656665145.db2.gz AFOMDRVFMXXVHY-UHFFFAOYSA-N 0 1 312.413 3.004 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C/Cl ZINC001049697500 656667287 /nfs/dbraw/zinc/66/72/87/656667287.db2.gz DBBDKHHNFPWSJW-JUKWXOASSA-N 0 1 310.869 3.407 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@H]3[C@@H]2CCN3CC#CC)cc1 ZINC001049738872 656675283 /nfs/dbraw/zinc/67/52/83/656675283.db2.gz AJDVPDRTMSOSEA-OALUTQOASA-N 0 1 308.425 3.032 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3CC#CC)cc1 ZINC001049738871 656675464 /nfs/dbraw/zinc/67/54/64/656675464.db2.gz AJDVPDRTMSOSEA-MOPGFXCFSA-N 0 1 308.425 3.032 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCC[C@@H](NCc3cscn3)C2)C1 ZINC000981324296 656678056 /nfs/dbraw/zinc/67/80/56/656678056.db2.gz UZHHIMAUJFWYQD-ZIAGYGMSSA-N 0 1 319.474 3.016 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CC)CC1CCCC1 ZINC001049767435 656684086 /nfs/dbraw/zinc/68/40/86/656684086.db2.gz GGNYWVQDXKSBBE-IPMKNSEASA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001049813075 656690626 /nfs/dbraw/zinc/69/06/26/656690626.db2.gz YWQYJWJYNAUGEV-CADBVGFASA-N 0 1 316.489 3.147 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc2sccc2c1 ZINC001049822317 656692182 /nfs/dbraw/zinc/69/21/82/656692182.db2.gz MSKGPOONIFIWOV-IRXDYDNUSA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2c(s1)CCC2 ZINC001049875351 656708700 /nfs/dbraw/zinc/70/87/00/656708700.db2.gz DPLDEWORGVOGIM-GJZGRUSLSA-N 0 1 316.470 3.102 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049885704 656714194 /nfs/dbraw/zinc/71/41/94/656714194.db2.gz OOFPTMYRZNPIAB-HUUCEWRRSA-N 0 1 304.459 3.230 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C)CCC(C)CC1 ZINC001049924886 656724863 /nfs/dbraw/zinc/72/48/63/656724863.db2.gz UUPXPNHRFXZJOW-NNVNDSJASA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(CCCC)cc3)C[C@@H]21 ZINC001042141447 656725329 /nfs/dbraw/zinc/72/53/29/656725329.db2.gz FUOQWVGYXHUTMG-ICSRJNTNSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H]3CCN(C/C=C\Cl)[C@@H]3C2)CC1 ZINC001042216282 656738389 /nfs/dbraw/zinc/73/83/89/656738389.db2.gz NSXVGDNSCUGCHW-RCOCFKTFSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2c(F)cccc2F)CC1 ZINC000981622985 656738749 /nfs/dbraw/zinc/73/87/49/656738749.db2.gz KLMNRFKMALCMNF-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc3cscc3s2)CC1 ZINC000981632517 656740830 /nfs/dbraw/zinc/74/08/30/656740830.db2.gz WQDLAKPQYXCXSE-UHFFFAOYSA-N 0 1 318.467 3.134 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CC[C@@H]2c2ccc(F)cc2)CC1 ZINC000981673752 656746693 /nfs/dbraw/zinc/74/66/93/656746693.db2.gz LMBNWYPLEKWBDE-QZTJIDSGSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2CCC(F)CC2)CC1 ZINC001052683281 656748199 /nfs/dbraw/zinc/74/81/99/656748199.db2.gz BLANWLIRGXAUPO-NRXISQOPSA-N 0 1 316.848 3.238 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccc(C4CCC4)c3)C[C@@H]21 ZINC001042314527 656750844 /nfs/dbraw/zinc/75/08/44/656750844.db2.gz RQAUVHRDSWFJDT-XLIONFOSSA-N 0 1 322.452 3.124 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccccc3C3CCC3)C[C@H]21 ZINC001042335184 656755718 /nfs/dbraw/zinc/75/57/18/656755718.db2.gz JKIPYLYSCHCBRQ-YLJYHZDGSA-N 0 1 322.452 3.124 20 30 DGEDMN C=CCC[N@H+]1CCCN(C(=O)c2cc3ncccc3s2)CC1 ZINC000981742712 656756711 /nfs/dbraw/zinc/75/67/11/656756711.db2.gz JJTDFTGVYQOFNN-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2cc3ncccc3s2)CC1 ZINC000981742712 656756717 /nfs/dbraw/zinc/75/67/17/656756717.db2.gz JJTDFTGVYQOFNN-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[N@@H+](CC2CC(F)(F)C2)CC1 ZINC000981741193 656756774 /nfs/dbraw/zinc/75/67/74/656756774.db2.gz URZXKDYVSHCPPC-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000981845995 656785270 /nfs/dbraw/zinc/78/52/70/656785270.db2.gz FEWLEAUOABQTCB-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cccn2C(C)C)CC1 ZINC001052940163 656793845 /nfs/dbraw/zinc/79/38/45/656793845.db2.gz HJZLRNXTKUUGDG-HNNXBMFYSA-N 0 1 323.868 3.406 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc3nccc(C)c3c2)C1 ZINC001042787207 656798906 /nfs/dbraw/zinc/79/89/06/656798906.db2.gz IRPZVKYWOSBHPI-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3cc(C)ccc3C3CC3)C2)C1 ZINC000981914020 656805618 /nfs/dbraw/zinc/80/56/18/656805618.db2.gz DDXRTQVOSPYLBM-UHFFFAOYSA-N 0 1 322.452 3.044 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC001053000492 656812035 /nfs/dbraw/zinc/81/20/35/656812035.db2.gz SLGYSYQCDJVMMH-IAGOWNOFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)C[C@H](C)c2ccc(CC)cc2)CC1 ZINC000981944550 656819960 /nfs/dbraw/zinc/81/99/60/656819960.db2.gz QTZCVYMHERBUBA-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)c2ccc(CC)cc2)CC1 ZINC000981944550 656819966 /nfs/dbraw/zinc/81/99/66/656819966.db2.gz QTZCVYMHERBUBA-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(C)c3cccnc23)C1 ZINC001043378191 656825848 /nfs/dbraw/zinc/82/58/48/656825848.db2.gz CPMGMUDMIHBGOY-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCCN(C/C=C\Cl)C3)C1 ZINC000982001176 656856843 /nfs/dbraw/zinc/85/68/43/656856843.db2.gz UTBQLCMKVJVXBY-XQRVVYSFSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C23CCC(CC2)C3(C)C)C1 ZINC001044022333 656873960 /nfs/dbraw/zinc/87/39/60/656873960.db2.gz LLKZSFZQIASHIX-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](c2ccccc2)C(C)C)CC1 ZINC000982041370 656873982 /nfs/dbraw/zinc/87/39/82/656873982.db2.gz RGWAQXQCCJXNOU-GOSISDBHSA-N 0 1 300.446 3.147 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1cc(C)co1 ZINC001054049633 656893266 /nfs/dbraw/zinc/89/32/66/656893266.db2.gz DEUJWEXHCGWXSD-GOSISDBHSA-N 0 1 324.424 3.321 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001054056677 656894064 /nfs/dbraw/zinc/89/40/64/656894064.db2.gz OSBMJGUYTGYOBC-HSALFYBXSA-N 0 1 324.468 3.152 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3cccc4ccsc43)C2)C1 ZINC000982102885 656894894 /nfs/dbraw/zinc/89/48/94/656894894.db2.gz CBDCPQWCZMVHRY-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)c2ccccc2)C1 ZINC001044277564 656905935 /nfs/dbraw/zinc/90/59/35/656905935.db2.gz XQHNCBXDTNNSPT-UHFFFAOYSA-N 0 1 300.446 3.073 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@]3(C)CC=C(C)CC3)C2)C1 ZINC000982159332 656907362 /nfs/dbraw/zinc/90/73/62/656907362.db2.gz DACWKKKKTAAFDS-IBGZPJMESA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001044319019 656908743 /nfs/dbraw/zinc/90/87/43/656908743.db2.gz QXHCPAPCGFCAIB-ZDUSSCGKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CC(F)(F)F)C2 ZINC001054096919 656910340 /nfs/dbraw/zinc/91/03/40/656910340.db2.gz XZLSOENZQKZHSJ-CYBMUJFWSA-N 0 1 324.774 3.006 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H](C)C1CC1)C2 ZINC001054111842 656912605 /nfs/dbraw/zinc/91/26/05/656912605.db2.gz BYZCRAHYTLCQBW-WMLDXEAASA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1(C3CC3)CC1)C2 ZINC001054151030 656919556 /nfs/dbraw/zinc/91/95/56/656919556.db2.gz YQRZXENDIMRKRK-QGZVFWFLSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC000982226915 656921233 /nfs/dbraw/zinc/92/12/33/656921233.db2.gz DJMPYCXSIHFWSA-QZTJIDSGSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000982227218 656921523 /nfs/dbraw/zinc/92/15/23/656921523.db2.gz LSEFNPDZYBTAOQ-INIZCTEOSA-N 0 1 300.446 3.073 20 30 DGEDMN C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1cc(-c2ccccc2)ccn1 ZINC001028226367 656925495 /nfs/dbraw/zinc/92/54/95/656925495.db2.gz QBDHQWVGQNDNSV-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(-c2ccccc2)ccn1 ZINC001028226367 656925498 /nfs/dbraw/zinc/92/54/98/656925498.db2.gz QBDHQWVGQNDNSV-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H]1CC13CCC3)C2 ZINC001054205524 656937712 /nfs/dbraw/zinc/93/77/12/656937712.db2.gz SSVDRDGHONUFHZ-NVXWUHKLSA-N 0 1 322.880 3.244 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccccc3F)cc2C1 ZINC001054252849 656950749 /nfs/dbraw/zinc/95/07/49/656950749.db2.gz AVXJOZRMBDPTCV-UHFFFAOYSA-N 0 1 322.383 3.095 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001028263299 656952095 /nfs/dbraw/zinc/95/20/95/656952095.db2.gz NXMKCMBHVJKWPE-IBGZPJMESA-N 0 1 310.441 3.416 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)cc2C1 ZINC001054260521 656953953 /nfs/dbraw/zinc/95/39/53/656953953.db2.gz RKOWVJISYUMLKI-BWTSREIZSA-N 0 1 310.441 3.241 20 30 DGEDMN C=CC[N@H+]1C[C@@]2(C)CN(C(=O)c3c[nH]c4ccccc43)C[C@@]2(C)C1 ZINC000982344628 656954444 /nfs/dbraw/zinc/95/44/44/656954444.db2.gz GWTCANPIIKIKLA-BGYRXZFFSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCCC3(C)C)cc2C1 ZINC001054277992 656958034 /nfs/dbraw/zinc/95/80/34/656958034.db2.gz HMFQCDFZIMZSCB-LJQANCHMSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3CC[C@@H](F)C3)cc2C1 ZINC001054279112 656958875 /nfs/dbraw/zinc/95/88/75/656958875.db2.gz UYRHITUVLLQGOC-CRAIPNDOSA-N 0 1 316.420 3.333 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc(C(C)(C)C)c2)CC1 ZINC000982369745 656959863 /nfs/dbraw/zinc/95/98/63/656959863.db2.gz MEIQBFOTCUWXJW-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@@]3(C)C(C)C)cc2C1 ZINC001054287424 656962590 /nfs/dbraw/zinc/96/25/90/656962590.db2.gz KFUPPTMZCRKIOH-FPOVZHCZSA-N 0 1 324.468 3.324 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)C1CCC(CCCC)CC1 ZINC001028304655 656985270 /nfs/dbraw/zinc/98/52/70/656985270.db2.gz WIINHZXBULQSKQ-ABHNRTSZSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001028301268 656986205 /nfs/dbraw/zinc/98/62/05/656986205.db2.gz BTZSIZIITNKJJZ-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(F)ccc2CC)C[C@H]1C ZINC001054856771 657015036 /nfs/dbraw/zinc/01/50/36/657015036.db2.gz KYKOORCRDPNSHD-BZNIZROVSA-N 0 1 324.827 3.191 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(C(C)C)n2)C[C@@H]1C ZINC001054867385 657015923 /nfs/dbraw/zinc/01/59/23/657015923.db2.gz WLJIBFATJFXBCV-BLLLJJGKSA-N 0 1 321.852 3.008 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc([C@@H]3C[C@H]3C)o2)CC1 ZINC001045436129 657023713 /nfs/dbraw/zinc/02/37/13/657023713.db2.gz IVXXEYKBBVCCHD-HUUCEWRRSA-N 0 1 314.429 3.011 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)cc(F)cc2C)C[C@@H]1C ZINC001054965395 657025923 /nfs/dbraw/zinc/02/59/23/657025923.db2.gz PIQGNABIXRSFON-WFASDCNBSA-N 0 1 324.827 3.245 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC(C)C)CCC(C)C)CC1 ZINC000067160377 657029092 /nfs/dbraw/zinc/02/90/92/657029092.db2.gz OZDJWMWYVPTSOC-UHFFFAOYSA-N 0 1 306.494 3.252 20 30 DGEDMN C=C1CCC(C(=O)NC2(C)CCN(C[C@@H](F)CC)CC2)CC1 ZINC001045545624 657035499 /nfs/dbraw/zinc/03/54/99/657035499.db2.gz HXLKBZRXHSHYBI-INIZCTEOSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(C3CC3)s2)CC1 ZINC001045617812 657043245 /nfs/dbraw/zinc/04/32/45/657043245.db2.gz GZBDNYXFXWEXPX-UHFFFAOYSA-N 0 1 316.470 3.233 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C3=CCCC3)cc2)CC1 ZINC001045659230 657050299 /nfs/dbraw/zinc/05/02/99/657050299.db2.gz ZSOAMGJOKXJGHM-UHFFFAOYSA-N 0 1 322.452 3.471 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(CC)c(CC)c2)CC1 ZINC001045657773 657050957 /nfs/dbraw/zinc/05/09/57/657050957.db2.gz DNMRFPZGAOSLEO-UHFFFAOYSA-N 0 1 312.457 3.029 20 30 DGEDMN C/C=C(\C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001045747022 657060721 /nfs/dbraw/zinc/06/07/21/657060721.db2.gz GYLJKQNAMQFDLY-HUEDMPJVSA-N 0 1 323.440 3.090 20 30 DGEDMN Oc1ccc(C=Nn2c(=S)[nH]nc2-c2ccccc2F)cc1 ZINC000254683478 657069919 /nfs/dbraw/zinc/06/99/19/657069919.db2.gz UKORCCOZHSIUAD-UHFFFAOYSA-N 0 1 314.345 3.335 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C/C=C/Cl)C1 ZINC001045843635 657073529 /nfs/dbraw/zinc/07/35/29/657073529.db2.gz MHRFGWOLJQMGAW-SBRLYDIBSA-N 0 1 308.853 3.161 20 30 DGEDMN N#CCN(Cc1cccc(Br)c1O)C1CCCC1 ZINC000093563298 657087083 /nfs/dbraw/zinc/08/70/83/657087083.db2.gz RYVYDVBFMPGCPZ-UHFFFAOYSA-N 0 1 309.207 3.423 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H]1CC1(C)C)C2 ZINC001045932648 657097564 /nfs/dbraw/zinc/09/75/64/657097564.db2.gz ROAZHWFQMWGPFV-YJBOKZPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2ccsc2)C1 ZINC001000170552 657100602 /nfs/dbraw/zinc/10/06/02/657100602.db2.gz NJVMQYFIKVZBFJ-GXTWGEPZSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc3cc[nH]c32)C1 ZINC001000276030 657108638 /nfs/dbraw/zinc/10/86/38/657108638.db2.gz VRUDJESEWRRVDO-CQSZACIVSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(C)cc2F)C1 ZINC001000299097 657109979 /nfs/dbraw/zinc/10/99/79/657109979.db2.gz ANYDBKZQDFAJCR-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001000328414 657114648 /nfs/dbraw/zinc/11/46/48/657114648.db2.gz KRZDRHBELCXYGE-DOTOQJQBSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2cc(C)oc2C)C1 ZINC001000471553 657128945 /nfs/dbraw/zinc/12/89/45/657128945.db2.gz FEPHRPQCCQIEBF-DZGCQCFKSA-N 0 1 324.852 3.333 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc(Cl)c2)C1 ZINC001000756832 657150064 /nfs/dbraw/zinc/15/00/64/657150064.db2.gz HUTBYPWNBYYJIJ-CQSZACIVSA-N 0 1 313.228 3.287 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccccc2Cl)C1 ZINC001000762026 657151496 /nfs/dbraw/zinc/15/14/96/657151496.db2.gz ICGSJKMNWGRQKU-GFCCVEGCSA-N 0 1 313.228 3.287 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2ccc(CCC)s2)C1 ZINC001046350689 657151786 /nfs/dbraw/zinc/15/17/86/657151786.db2.gz IPCUHUQJQZJOBP-QGZVFWFLSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001046373679 657153862 /nfs/dbraw/zinc/15/38/62/657153862.db2.gz FTDMTRCSMVBIRM-SFHVURJKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCC[N@@H+]1CC[C@](C)(NC(=O)c2cc3ccncc3s2)C1 ZINC001046377381 657155775 /nfs/dbraw/zinc/15/57/75/657155775.db2.gz JWHOOLSWKKUAJN-KRWDZBQOSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@](C)(NC(=O)c2cc3ccncc3s2)C1 ZINC001046377382 657155888 /nfs/dbraw/zinc/15/58/88/657155888.db2.gz JWHOOLSWKKUAJN-QGZVFWFLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001046394120 657157054 /nfs/dbraw/zinc/15/70/54/657157054.db2.gz JWVNVSUZCIRNKD-KRWDZBQOSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2ccc(C)c(C)c2)C1 ZINC001000872164 657161170 /nfs/dbraw/zinc/16/11/70/657161170.db2.gz KPCJNUFGCJHQJP-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001000951017 657168009 /nfs/dbraw/zinc/16/80/09/657168009.db2.gz VHBZPEASGNTTJY-HNNXBMFYSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)Cc2ccccc2)C1 ZINC001000972899 657170165 /nfs/dbraw/zinc/17/01/65/657170165.db2.gz PSXALAIKADUGDP-PBHICJAKSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001000969459 657170444 /nfs/dbraw/zinc/17/04/44/657170444.db2.gz LOMHDCAMWFNEOW-BBRMVZONSA-N 0 1 324.827 3.262 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@]2(C)CCN(Cc3ccsc3)C2)cc1 ZINC001046533299 657173921 /nfs/dbraw/zinc/17/39/21/657173921.db2.gz KQPXNHAMSPCEEG-IBGZPJMESA-N 0 1 324.449 3.124 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001046792707 657221050 /nfs/dbraw/zinc/22/10/50/657221050.db2.gz YKYQKFODFZFGKU-HNNXBMFYSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc3cc(C)ccc3o2)CC1 ZINC001001467903 657224811 /nfs/dbraw/zinc/22/48/11/657224811.db2.gz OOZIBBKKBLNDDM-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001046823903 657230765 /nfs/dbraw/zinc/23/07/65/657230765.db2.gz CFSFPSHJMNBSAE-QGZVFWFLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(cccc3F)s2)C1 ZINC001046829754 657233354 /nfs/dbraw/zinc/23/33/54/657233354.db2.gz XVWUYLBPENBTHB-KRWDZBQOSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001046844958 657235493 /nfs/dbraw/zinc/23/54/93/657235493.db2.gz GWJVIFANKZZFRL-GOSISDBHSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001046854978 657238248 /nfs/dbraw/zinc/23/82/48/657238248.db2.gz OPHRMVVAODIYJG-QGZVFWFLSA-N 0 1 306.475 3.426 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001046879016 657242821 /nfs/dbraw/zinc/24/28/21/657242821.db2.gz AWTJPWBFJJPWCD-FQEVSTJZSA-N 0 1 308.425 3.081 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2C[C@@]23CCc2ccccc23)CC1 ZINC000983409237 657246068 /nfs/dbraw/zinc/24/60/68/657246068.db2.gz AZZBQCBLXWEOFT-TZIWHRDSSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)c2ccsc2)C1 ZINC001046918378 657246717 /nfs/dbraw/zinc/24/67/17/657246717.db2.gz XRYTUEXQCTXPJH-ZDUSSCGKSA-N 0 1 314.401 3.011 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCCC2(C)C)C1 ZINC001046963299 657247960 /nfs/dbraw/zinc/24/79/60/657247960.db2.gz GINPSBUTXDAMEQ-UONOGXRCSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(C(C)(C)C)cc3)[C@@H]2C1 ZINC001050190978 657267861 /nfs/dbraw/zinc/26/78/61/657267861.db2.gz ZFNBSYNQCIRSAC-PKOBYXMFSA-N 0 1 324.468 3.154 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc(C)c(CC)s3)[C@@H]2C1 ZINC001050197349 657268307 /nfs/dbraw/zinc/26/83/07/657268307.db2.gz BXFWHLFVGAFPHY-LSDHHAIUSA-N 0 1 318.486 3.341 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc4ccccc4o3)[C@@H]2C1 ZINC001050196563 657268698 /nfs/dbraw/zinc/26/86/98/657268698.db2.gz IBBCYOVCYWXQST-JKSUJKDBSA-N 0 1 310.397 3.155 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3sccc3C)C2)CC1 ZINC001050408312 657300533 /nfs/dbraw/zinc/30/05/33/657300533.db2.gz BDMKLRFGHSLOJE-UHFFFAOYSA-N 0 1 324.877 3.347 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C34CCC(CC3)C4)C2)CC1 ZINC001050563557 657324494 /nfs/dbraw/zinc/32/44/94/657324494.db2.gz JFFCCSWUTJPNDM-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC[C@H](C)C3)C2)CC1 ZINC001050638564 657335505 /nfs/dbraw/zinc/33/55/05/657335505.db2.gz DTSUAGLJKGXEKQ-GOEBONIOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(C)CC=CC3)C2)CC1 ZINC001050651263 657338161 /nfs/dbraw/zinc/33/81/61/657338161.db2.gz RFVKUPGJMSGUDM-UHFFFAOYSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCC(C)(C)C3)C2)CC1 ZINC001050654822 657338585 /nfs/dbraw/zinc/33/85/85/657338585.db2.gz BVHFXBNNSYGHJI-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)c3ccco3)C2)CC1 ZINC001050662758 657340221 /nfs/dbraw/zinc/34/02/21/657340221.db2.gz JKTQPJYEZMIAOZ-CQSZACIVSA-N 0 1 322.836 3.060 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@]3(C)C(C)C)C2)CC1 ZINC001050715762 657347271 /nfs/dbraw/zinc/34/72/71/657347271.db2.gz UMKOFSRFYPUXBH-DOTOQJQBSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)[C@H]1CC=C(COC(=O)CCCCc2cn[nH]n2)CC1 ZINC000192669209 657380241 /nfs/dbraw/zinc/38/02/41/657380241.db2.gz QXVCHKWLGTZXKW-HNNXBMFYSA-N 0 1 303.406 3.363 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3C[C@]3(C)CC)cccc2C1 ZINC001051306112 657384637 /nfs/dbraw/zinc/38/46/37/657384637.db2.gz LSIGBIKOAISSBN-FPOVZHCZSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3C[C@H]3C(C)C)cccc2C1 ZINC001051306333 657386151 /nfs/dbraw/zinc/38/61/51/657386151.db2.gz TUNIIPLLGQPLJK-OALUTQOASA-N 0 1 312.457 3.139 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)ccc2F)C1 ZINC000968357330 657393432 /nfs/dbraw/zinc/39/34/32/657393432.db2.gz LNKWFCCSPDXWBE-PBHICJAKSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(Cl)c3occc32)CC1 ZINC000957298167 657396351 /nfs/dbraw/zinc/39/63/51/657396351.db2.gz HSOKNHYNKYJZBF-UHFFFAOYSA-N 0 1 318.804 3.420 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(OCC)c2)C1 ZINC000968374903 657398954 /nfs/dbraw/zinc/39/89/54/657398954.db2.gz OOZALUBQBOPRFY-YOEHRIQHSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(C)ccc2C)C1 ZINC000957322101 657399929 /nfs/dbraw/zinc/39/99/29/657399929.db2.gz GNASTEHSUKPXCZ-UHFFFAOYSA-N 0 1 300.446 3.416 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968405307 657407401 /nfs/dbraw/zinc/40/74/01/657407401.db2.gz KBQGSVORKYCBCK-OXJNMPFZSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2csc(C(C)(C)C)n2)C1 ZINC000968417573 657409578 /nfs/dbraw/zinc/40/95/78/657409578.db2.gz GGOYJLURZKAFSJ-QWHCGFSZSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968506639 657419635 /nfs/dbraw/zinc/41/96/35/657419635.db2.gz VNZBWLWRPHWBPM-MAUKXSAKSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968554138 657425486 /nfs/dbraw/zinc/42/54/86/657425486.db2.gz TXKZLBZWIXMUPB-HNAYVOBHSA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC000968557764 657426317 /nfs/dbraw/zinc/42/63/17/657426317.db2.gz NWGIGVPHHDFVJA-RHSMWYFYSA-N 0 1 318.436 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968611254 657441768 /nfs/dbraw/zinc/44/17/68/657441768.db2.gz UYUQVNKGRBVWNX-LRDDRELGSA-N 0 1 324.827 3.495 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968628065 657448164 /nfs/dbraw/zinc/44/81/64/657448164.db2.gz RWNWOTIHYPXOEG-CJNGLKHVSA-N 0 1 318.486 3.082 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968628064 657448235 /nfs/dbraw/zinc/44/82/35/657448235.db2.gz RWNWOTIHYPXOEG-BBRMVZONSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000968664456 657452331 /nfs/dbraw/zinc/45/23/31/657452331.db2.gz GNBYYGRCCWZFFC-BLLLJJGKSA-N 0 1 304.409 3.069 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(F)c3occc32)CC1 ZINC000957711915 657452967 /nfs/dbraw/zinc/45/29/67/657452967.db2.gz DVAHXRLPRFSUMY-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC000968677739 657455384 /nfs/dbraw/zinc/45/53/84/657455384.db2.gz IEGHKFHARBCRLU-DOMZBBRYSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC000968692577 657461111 /nfs/dbraw/zinc/46/11/11/657461111.db2.gz OHKFIQJEKYAJSI-BEFAXECRSA-N 0 1 312.457 3.110 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC000968703494 657464335 /nfs/dbraw/zinc/46/43/35/657464335.db2.gz WABYZSVTENVDDC-DNVCBOLYSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cscc2C(F)F)C1 ZINC000968710141 657465265 /nfs/dbraw/zinc/46/52/65/657465265.db2.gz ZPTSGQMJSGNGCT-SECBINFHSA-N 0 1 320.792 3.242 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@]2(C)CCc3ccccc32)CC1 ZINC000957861531 657469711 /nfs/dbraw/zinc/46/97/11/657469711.db2.gz OOLKYUQYQZTFAA-HXUWFJFHSA-N 0 1 312.457 3.001 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccnn1[C@H](C)CC ZINC000968879490 657508637 /nfs/dbraw/zinc/50/86/37/657508637.db2.gz HRANSMNISQNCIM-CVEARBPZSA-N 0 1 318.465 3.015 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1c(C)oc(C)c1C ZINC000968942593 657520344 /nfs/dbraw/zinc/52/03/44/657520344.db2.gz YNLZINUTOMRPKZ-KRWDZBQOSA-N 0 1 316.445 3.203 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC000968988071 657526803 /nfs/dbraw/zinc/52/68/03/657526803.db2.gz UFTUUWXEPRZGJU-IHPCNDPISA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC000968988072 657528023 /nfs/dbraw/zinc/52/80/23/657528023.db2.gz UFTUUWXEPRZGJU-SXLOBPIMSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1coc(C(F)F)c1 ZINC000969004731 657531064 /nfs/dbraw/zinc/53/10/64/657531064.db2.gz ATELFHGSQUXEQO-CQSZACIVSA-N 0 1 324.371 3.215 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1coc(C(F)F)c1 ZINC000969004731 657531071 /nfs/dbraw/zinc/53/10/71/657531071.db2.gz ATELFHGSQUXEQO-CQSZACIVSA-N 0 1 324.371 3.215 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC000969044298 657542042 /nfs/dbraw/zinc/54/20/42/657542042.db2.gz MEKPFXYYCWEMNU-KZNAEPCWSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1csc(Cl)c1 ZINC000969059803 657546360 /nfs/dbraw/zinc/54/63/60/657546360.db2.gz RLESTDIPAZCEFP-ZDUSSCGKSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1csc(Cl)c1 ZINC000969059803 657546365 /nfs/dbraw/zinc/54/63/65/657546365.db2.gz RLESTDIPAZCEFP-ZDUSSCGKSA-N 0 1 310.850 3.009 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@H]1CNC(=O)c1oc(CC)nc1C ZINC000969100850 657557120 /nfs/dbraw/zinc/55/71/20/657557120.db2.gz JXURWOQVUAGZRP-HNNXBMFYSA-N 0 1 319.449 3.096 20 30 DGEDMN CC(C)CC(=O)N1CCC(N(C)Cc2ccccc2C#N)CC1 ZINC000985225084 657578692 /nfs/dbraw/zinc/57/86/92/657578692.db2.gz VYKRZIPYEGYNPB-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cccc(C)c2)CC1 ZINC000985243401 657584812 /nfs/dbraw/zinc/58/48/12/657584812.db2.gz PWZORPSSCXGONL-UHFFFAOYSA-N 0 1 306.837 3.284 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001007351342 657597025 /nfs/dbraw/zinc/59/70/25/657597025.db2.gz KIHAGMVHBVDACK-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000127115714 657598200 /nfs/dbraw/zinc/59/82/00/657598200.db2.gz DTNUMZCJVLYIQY-OAHLLOKOSA-N 0 1 302.374 3.313 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(c3ccc(F)cc3)CC2)C1 ZINC001007457347 657610340 /nfs/dbraw/zinc/61/03/40/657610340.db2.gz LQXQFIDQQPLIKU-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001007556631 657618763 /nfs/dbraw/zinc/61/87/63/657618763.db2.gz YFZXMGYQORGMQF-KRWDZBQOSA-N 0 1 312.457 3.336 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccc(CCCC)cc2)C1 ZINC001007817399 657636019 /nfs/dbraw/zinc/63/60/19/657636019.db2.gz LZXFIQOUKWAFHH-IBGZPJMESA-N 0 1 314.473 3.338 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001007843134 657639793 /nfs/dbraw/zinc/63/97/93/657639793.db2.gz DYIXDGHDHGQJSR-KRWDZBQOSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001008011987 657652987 /nfs/dbraw/zinc/65/29/87/657652987.db2.gz YEWDPZGKAQOOAE-CMKODMSKSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC(C)(C)C2CC2)CC1 ZINC000985422060 657655458 /nfs/dbraw/zinc/65/54/58/657655458.db2.gz XLZFDBYVCRZURL-UHFFFAOYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2csc(C(C)C)n2)C[C@H]1C ZINC000947813648 657665910 /nfs/dbraw/zinc/66/59/10/657665910.db2.gz ADZJNNQJUXFOCM-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001008350606 657685646 /nfs/dbraw/zinc/68/56/46/657685646.db2.gz QEAKFVHLVQMRCG-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001008627628 657710552 /nfs/dbraw/zinc/71/05/52/657710552.db2.gz HHGBRKHEYHQMGA-CQSZACIVSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001008656156 657715579 /nfs/dbraw/zinc/71/55/79/657715579.db2.gz ZFAKZRHUVJCKKE-GVDBMIGSSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001008794514 657729345 /nfs/dbraw/zinc/72/93/45/657729345.db2.gz MUAPERABBUSBHU-WUJZJPHMSA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001009297177 657764123 /nfs/dbraw/zinc/76/41/23/657764123.db2.gz WPNKVCFVDZEVSF-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC001009360756 657771773 /nfs/dbraw/zinc/77/17/73/657771773.db2.gz JUBJUIXNSUERFR-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2OC)C1 ZINC001009424274 657783641 /nfs/dbraw/zinc/78/36/41/657783641.db2.gz JVQHKQCKRJBZLG-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2)C1 ZINC001009446034 657786048 /nfs/dbraw/zinc/78/60/48/657786048.db2.gz TYAHMMCWHXWWMO-QGZVFWFLSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C3CCCC3)no2)C1 ZINC001009576769 657796147 /nfs/dbraw/zinc/79/61/47/657796147.db2.gz IJBXNFYBOCAQIY-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001009634940 657802467 /nfs/dbraw/zinc/80/24/67/657802467.db2.gz JNYIERIQUJKZEQ-INIZCTEOSA-N 0 1 324.424 3.267 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(CC)oc3ccccc32)C1 ZINC001009650472 657805266 /nfs/dbraw/zinc/80/52/66/657805266.db2.gz NYVLOPQBXSAOII-AWEZNQCLSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001009649513 657805405 /nfs/dbraw/zinc/80/54/05/657805405.db2.gz KHVPYNICBLAWPD-HKUYNNGSSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2CC(c3cccc(F)c3)C2)C1 ZINC001009747580 657814315 /nfs/dbraw/zinc/81/43/15/657814315.db2.gz YXRRVLYPWIPQDX-LEOMRAHMSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CCc2ccc(C)o2)CC1 ZINC000985802386 657861622 /nfs/dbraw/zinc/86/16/22/657861622.db2.gz RQXLGEBPPFWDSU-UHFFFAOYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1ncccc1C ZINC000960791683 657876535 /nfs/dbraw/zinc/87/65/35/657876535.db2.gz QLGRRFNBGNRIMW-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](NC(=O)C3=CCCCCC3)C2)c1 ZINC001010746996 657922750 /nfs/dbraw/zinc/92/27/50/657922750.db2.gz HZUSSKCELSSXJL-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](NC(=O)C3=CCCCCC3)C2)c1 ZINC001010746999 657922868 /nfs/dbraw/zinc/92/28/68/657922868.db2.gz HZUSSKCELSSXJL-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](NC(=O)C3=CCCCCC3)C2)cc1 ZINC001010746359 657923099 /nfs/dbraw/zinc/92/30/99/657923099.db2.gz GJHZJEKFKHLEFI-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC000961088985 657943696 /nfs/dbraw/zinc/94/36/96/657943696.db2.gz OZPVPEYDPHGKCO-JKSUJKDBSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC000961088984 657943879 /nfs/dbraw/zinc/94/38/79/657943879.db2.gz OZPVPEYDPHGKCO-HZPDHXFCSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3CC=CC3)C2)C1 ZINC000961131945 657959066 /nfs/dbraw/zinc/95/90/66/657959066.db2.gz TXTCYJQQYYURLQ-SJORKVTESA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccsc2)[C@@H]1C ZINC000986358390 658040080 /nfs/dbraw/zinc/04/00/80/658040080.db2.gz IZMPISLNFYBOMS-OCCSQVGLSA-N 0 1 312.866 3.012 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001014720902 658043682 /nfs/dbraw/zinc/04/36/82/658043682.db2.gz HDMNCHUNTZVGBC-MRVPVSSYSA-N 0 1 305.230 3.045 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001014753613 658048457 /nfs/dbraw/zinc/04/84/57/658048457.db2.gz RRBICQBCGRDROG-AWEZNQCLSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)cc2F)[C@H]1C ZINC000987061934 658148931 /nfs/dbraw/zinc/14/89/31/658148931.db2.gz KWMQEFGNALYBGB-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CCC(F)(F)CC2)[C@H]1C ZINC000987067846 658150175 /nfs/dbraw/zinc/15/01/75/658150175.db2.gz ZHVHUBBJFDBHAV-WCQYABFASA-N 0 1 320.811 3.143 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(Cc3csc(C)c3)C2)CC1 ZINC001015659952 658158495 /nfs/dbraw/zinc/15/84/95/658158495.db2.gz OVQKYEIKNHOMMI-KRWDZBQOSA-N 0 1 318.486 3.493 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN([C@H](C)c3cccc(F)c3)C2)C1 ZINC001015671928 658161815 /nfs/dbraw/zinc/16/18/15/658161815.db2.gz IKWNGDBQKAOLLV-PBHICJAKSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(C)C)nc2CC)C1 ZINC001015710672 658170134 /nfs/dbraw/zinc/17/01/34/658170134.db2.gz PQZYEFJFFLBZNT-HNNXBMFYSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccoc2CCC)[C@H]1C ZINC000987347782 658193118 /nfs/dbraw/zinc/19/31/18/658193118.db2.gz BGKFOBAHHFIQAW-GXTWGEPZSA-N 0 1 310.825 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)ccc2C)[C@H]1C ZINC000987410927 658204861 /nfs/dbraw/zinc/20/48/61/658204861.db2.gz UADRNXIEKZEDQT-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccccc3o2)[C@H]1C ZINC000987460203 658211122 /nfs/dbraw/zinc/21/11/22/658211122.db2.gz PUPDCOGPCJOQTJ-JSGCOSHPSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccc(C)c(C)c2)[C@@H]1C ZINC000987482072 658215561 /nfs/dbraw/zinc/21/55/61/658215561.db2.gz MVSQQGVURAYRSH-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccccc3[nH]2)[C@@H]1C ZINC000987497844 658221404 /nfs/dbraw/zinc/22/14/04/658221404.db2.gz ZKDGSFFAZWEROA-OCCSQVGLSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2ccccc2s1 ZINC001038472756 658232183 /nfs/dbraw/zinc/23/21/83/658232183.db2.gz FVVHNSKVMQDIHN-AWEZNQCLSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001016168590 658247915 /nfs/dbraw/zinc/24/79/15/658247915.db2.gz OWEAEIWMONARMX-UONOGXRCSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2cccc(C)c2)CC1 ZINC001016489233 658293137 /nfs/dbraw/zinc/29/31/37/658293137.db2.gz MNYHNMGNTNLZNO-INIZCTEOSA-N 0 1 318.848 3.332 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C23CCC(CC2)C3)CC1 ZINC001016690287 658317248 /nfs/dbraw/zinc/31/72/48/658317248.db2.gz IPYSVLUKSWNEKL-CSLYMUCUSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2CCC(=C)CC2)CC1 ZINC001016804776 658333959 /nfs/dbraw/zinc/33/39/59/658333959.db2.gz ZYPZVNQQKUPAGJ-INIZCTEOSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(C2CC2)C2CC2)CC1 ZINC001016922101 658350593 /nfs/dbraw/zinc/35/05/93/658350593.db2.gz XPMGYAAVUGAPBJ-HNNXBMFYSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(C)CSc1nc(-c2ccc(OC)cc2)c(C#N)c(=O)[nH]1 ZINC000078605431 658400831 /nfs/dbraw/zinc/40/08/31/658400831.db2.gz UQPHLMQQDIZPEF-UHFFFAOYSA-N 0 1 313.382 3.398 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc(C)c2F)C1 ZINC000988864407 658410266 /nfs/dbraw/zinc/41/02/66/658410266.db2.gz GSUDUKIYFHHHKJ-STQMWFEESA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2ccsc2)C1 ZINC000988960401 658420043 /nfs/dbraw/zinc/42/00/43/658420043.db2.gz MEBSKXDMJYABTI-JSGCOSHPSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc(C(C)C)n2)C1 ZINC000989123045 658439029 /nfs/dbraw/zinc/43/90/29/658439029.db2.gz ZZNGKIQZOINQCV-UONOGXRCSA-N 0 1 321.852 3.150 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1CCCC2 ZINC001017581190 658441525 /nfs/dbraw/zinc/44/15/25/658441525.db2.gz BFGMEBDQQRXAPF-HDICACEKSA-N 0 1 324.468 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)C2CCC3(CC3)CC2)C1 ZINC000989197571 658450465 /nfs/dbraw/zinc/45/04/65/658450465.db2.gz AQDNGXFMSLFRRI-UKRRQHHQSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)s1 ZINC001017712757 658452055 /nfs/dbraw/zinc/45/20/55/658452055.db2.gz BCVCHUXESUYRDO-OKILXGFUSA-N 0 1 304.459 3.175 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(C2CC2)c1 ZINC001017765127 658459492 /nfs/dbraw/zinc/45/94/92/658459492.db2.gz PAZDIQZBLWSDKD-BGYRXZFFSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2ncccc21 ZINC001017781258 658460850 /nfs/dbraw/zinc/46/08/50/658460850.db2.gz ZLOXSHHMGDGOGY-IYBDPMFKSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccn(C(C)C)c2C)C1 ZINC000989330928 658464962 /nfs/dbraw/zinc/46/49/62/658464962.db2.gz VYVPLCQPFWGLIM-HIFRSBDPSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2csc(C)c2C)C1 ZINC000966326454 658467172 /nfs/dbraw/zinc/46/71/72/658467172.db2.gz OYBMKTGQJDRPNM-WBMJQRKESA-N 0 1 306.475 3.381 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC000966325149 658467379 /nfs/dbraw/zinc/46/73/79/658467379.db2.gz LVZBFVOCCLGLES-DGCLKSJQSA-N 0 1 324.877 3.173 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C(F)F)c1 ZINC001017855558 658467846 /nfs/dbraw/zinc/46/78/46/658467846.db2.gz JKXQRDKFWWBHBD-OKILXGFUSA-N 0 1 324.371 3.472 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(O[C@H](C)CC)cc2)CC1 ZINC000989427683 658480514 /nfs/dbraw/zinc/48/05/14/658480514.db2.gz QSTCHUYRLWJGHQ-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1ccccc1C ZINC001018022050 658493262 /nfs/dbraw/zinc/49/32/62/658493262.db2.gz FSPJGVUZWAJMEY-GBESFXJTSA-N 0 1 324.468 3.187 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2ccccc2C)C1 ZINC000989485323 658495712 /nfs/dbraw/zinc/49/57/12/658495712.db2.gz MAZIFLFVTRCEOX-RDJZCZTQSA-N 0 1 320.864 3.259 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)C2(C)C ZINC001018043460 658495638 /nfs/dbraw/zinc/49/56/38/658495638.db2.gz RAGFEBGOPYWTFN-PAVWBUCMSA-N 0 1 316.489 3.454 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC000966783542 658498359 /nfs/dbraw/zinc/49/83/59/658498359.db2.gz JCGGLQQASQURAN-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001018099773 658502180 /nfs/dbraw/zinc/50/21/80/658502180.db2.gz QQGFCFVYTBILMD-UGLKCIBTSA-N 0 1 316.489 3.147 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2ccc(F)cc2)CC1 ZINC000989506556 658504655 /nfs/dbraw/zinc/50/46/55/658504655.db2.gz BBHGTWJCSBRDIJ-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(CC)o1 ZINC001018167723 658509788 /nfs/dbraw/zinc/50/97/88/658509788.db2.gz XWCIBERNPLTTND-GASCZTMLSA-N 0 1 302.418 3.015 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C(F)(F)F)cc2)CC1 ZINC000989540580 658512342 /nfs/dbraw/zinc/51/23/42/658512342.db2.gz GSYBYMRKPYTMFZ-UHFFFAOYSA-N 0 1 312.335 3.039 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC000966908093 658514105 /nfs/dbraw/zinc/51/41/05/658514105.db2.gz KJHYUVOHFMPQOT-RHSMWYFYSA-N 0 1 320.864 3.204 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccccc2c1 ZINC001018211902 658518586 /nfs/dbraw/zinc/51/85/86/658518586.db2.gz NIBIOYFHNPSXHT-BGYRXZFFSA-N 0 1 318.420 3.152 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)cc1F ZINC001018229520 658521775 /nfs/dbraw/zinc/52/17/75/658521775.db2.gz IYXQJULKXXCPSQ-GASCZTMLSA-N 0 1 320.383 3.220 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)c2cccc3ccccc32)CC1 ZINC000989597512 658523599 /nfs/dbraw/zinc/52/35/99/658523599.db2.gz BYVIUTZAQVSMEC-UHFFFAOYSA-N 0 1 306.409 3.011 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2o1 ZINC001018240434 658523560 /nfs/dbraw/zinc/52/35/60/658523560.db2.gz JAUFFSFWPPCTKT-IYBDPMFKSA-N 0 1 310.397 3.298 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(s1)CCC2 ZINC001018240932 658524550 /nfs/dbraw/zinc/52/45/50/658524550.db2.gz RGFXAAGMRJCUGN-GASCZTMLSA-N 0 1 316.470 3.102 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC000967008765 658529540 /nfs/dbraw/zinc/52/95/40/658529540.db2.gz RAZCFDIUPKPPRM-NHYWBVRUSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1CC ZINC001018303865 658533487 /nfs/dbraw/zinc/53/34/87/658533487.db2.gz CUMLKDWKJNEDAT-GASCZTMLSA-N 0 1 304.459 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3sccc3[nH]2)C1 ZINC000989643081 658533732 /nfs/dbraw/zinc/53/37/32/658533732.db2.gz PUEQJEIHNZEOLD-WDEREUQCSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CCCN(C(=O)c2c[nH]cc2-c2ccccc2)CC1 ZINC000989666130 658537949 /nfs/dbraw/zinc/53/79/49/658537949.db2.gz VKSJADYIWFESPG-UHFFFAOYSA-N 0 1 309.413 3.016 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCC[C@H]1C ZINC001018331961 658539111 /nfs/dbraw/zinc/53/91/11/658539111.db2.gz AHLQVMVZNCCHTL-TVFCKZIOSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccoc2CCC)C1 ZINC000989675281 658540085 /nfs/dbraw/zinc/54/00/85/658540085.db2.gz GUEBBXHTQUTMKD-OLZOCXBDSA-N 0 1 310.825 3.177 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCCCC1(C)C ZINC001018346302 658541754 /nfs/dbraw/zinc/54/17/54/658541754.db2.gz HPFMPKZILWMABG-OKZBNKHCSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2cc(C)ccc2F)C1 ZINC000989703454 658546472 /nfs/dbraw/zinc/54/64/72/658546472.db2.gz MKOQCBVGCDLHRT-UKRRQHHQSA-N 0 1 324.827 3.008 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)c2sccc2C(C)C)CC1 ZINC000989728290 658557189 /nfs/dbraw/zinc/55/71/89/658557189.db2.gz FBASFNBIYWDPGB-UHFFFAOYSA-N 0 1 304.459 3.043 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2sccc2C(C)C)CC1 ZINC000989728290 658557191 /nfs/dbraw/zinc/55/71/91/658557191.db2.gz FBASFNBIYWDPGB-UHFFFAOYSA-N 0 1 304.459 3.043 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3[nH]ccc3s2)C1 ZINC000989729584 658557558 /nfs/dbraw/zinc/55/75/58/658557558.db2.gz BZUBLAMEHYBZKP-MNOVXSKESA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2cc(C)sc2C)CC1 ZINC000989762745 658566313 /nfs/dbraw/zinc/56/63/13/658566313.db2.gz DELZKAUZXTUFMZ-UHFFFAOYSA-N 0 1 306.475 3.018 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCCc3ccccc32)CC1 ZINC000989752901 658571006 /nfs/dbraw/zinc/57/10/06/658571006.db2.gz XJWOYCIYYZTOBG-LJQANCHMSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397619 658575762 /nfs/dbraw/zinc/57/57/62/658575762.db2.gz QISJTFRPJKKOAF-CZUORRHYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000989812484 658581468 /nfs/dbraw/zinc/58/14/68/658581468.db2.gz GOLDZZTTXPJCDS-UKRRQHHQSA-N 0 1 324.827 3.388 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000989812482 658581895 /nfs/dbraw/zinc/58/18/95/658581895.db2.gz GOLDZZTTXPJCDS-DZGCQCFKSA-N 0 1 324.827 3.388 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)nc2C(C)C)C1 ZINC000967497824 658595865 /nfs/dbraw/zinc/59/58/65/658595865.db2.gz OCWAQUOSVASTKJ-PBHICJAKSA-N 0 1 315.461 3.140 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2coc3ccccc23)C1 ZINC000989858136 658599197 /nfs/dbraw/zinc/59/91/97/658599197.db2.gz XMAVAUWWVVZDIP-QWHCGFSZSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC001019238038 658618953 /nfs/dbraw/zinc/61/89/53/658618953.db2.gz JULXNDKRIWWPER-OCCSQVGLSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001019332408 658633803 /nfs/dbraw/zinc/63/38/03/658633803.db2.gz PDYGYAYKKCYUPO-YJBOKZPZSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001019426553 658643846 /nfs/dbraw/zinc/64/38/46/658643846.db2.gz FELPHCTUDFRYHW-CAOSSQGBSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C23CCC(CC2)C3(C)C)C1 ZINC001019483523 658649522 /nfs/dbraw/zinc/64/95/22/658649522.db2.gz SVZMKLQGGCGNEB-UUCFBXCCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001014478796 658650819 /nfs/dbraw/zinc/65/08/19/658650819.db2.gz PCZZUJMOWBPJGM-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC001014478796 658650825 /nfs/dbraw/zinc/65/08/25/658650825.db2.gz PCZZUJMOWBPJGM-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2coc(C(F)F)c2)C1 ZINC000968034975 658656003 /nfs/dbraw/zinc/65/60/03/658656003.db2.gz KJOGWFDPBRMVML-AAEUAGOBSA-N 0 1 312.360 3.234 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccoc2C2CC2)C1 ZINC000968052952 658657677 /nfs/dbraw/zinc/65/76/77/658657677.db2.gz CMEUVVBNDNIKQT-CZUORRHYSA-N 0 1 302.418 3.173 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C3CC3)s2)C1 ZINC001019652849 658659936 /nfs/dbraw/zinc/65/99/36/658659936.db2.gz YHFFHDUBZBVLGK-LBPRGKRZSA-N 0 1 310.850 3.182 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001019697562 658665034 /nfs/dbraw/zinc/66/50/34/658665034.db2.gz BADRKLOPSZRPPS-KUNBBXKYSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968126782 658672443 /nfs/dbraw/zinc/67/24/43/658672443.db2.gz BCMSNLTXOXJUTK-IUODEOHRSA-N 0 1 324.827 3.495 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968189398 658678987 /nfs/dbraw/zinc/67/89/87/658678987.db2.gz HKGKVRNQIJRQAJ-PBHICJAKSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC000968208327 658682781 /nfs/dbraw/zinc/68/27/81/658682781.db2.gz DHEIMDYQLWFGRQ-LRDDRELGSA-N 0 1 324.827 3.034 20 30 DGEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC000968344722 658702548 /nfs/dbraw/zinc/70/25/48/658702548.db2.gz DKRLMHJOSMCIOV-IFXJQAMLSA-N 0 1 324.399 3.052 20 30 DGEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3cscc3C(F)F)CCC[C@H]12 ZINC000990712766 658731059 /nfs/dbraw/zinc/73/10/59/658731059.db2.gz PHNNHDOJBJGYLU-BBRMVZONSA-N 0 1 324.396 3.046 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cscc3C(F)F)CCC[C@H]12 ZINC000990712766 658731064 /nfs/dbraw/zinc/73/10/64/658731064.db2.gz PHNNHDOJBJGYLU-BBRMVZONSA-N 0 1 324.396 3.046 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001038356739 658744881 /nfs/dbraw/zinc/74/48/81/658744881.db2.gz DXMBLSWKEXZDII-KZNAEPCWSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1[nH]c2ccccc2c1Cl ZINC001038449946 658752352 /nfs/dbraw/zinc/75/23/52/658752352.db2.gz IQFIZCXPCBPQGS-LBPRGKRZSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@]3(C2)CCCN(C/C=C/Cl)C3)C1 ZINC001040385549 658799967 /nfs/dbraw/zinc/79/99/67/658799967.db2.gz UUNZUYJHLYNVBN-UKTKYZJWSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001038814283 658809234 /nfs/dbraw/zinc/80/92/34/658809234.db2.gz GSCKLYGTMCWEKL-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](CC)O[C@@H](C)C2)c(O)c(OC)c1 ZINC000164318529 658928587 /nfs/dbraw/zinc/92/85/87/658928587.db2.gz QJJHTWRQVDDBLV-XJKSGUPXSA-N 0 1 305.418 3.129 20 30 DGEDMN CN(C)c1ccc(/C=C/C(=O)c2ccc(O)cc2O)cc1F ZINC000156627894 658931578 /nfs/dbraw/zinc/93/15/78/658931578.db2.gz LLDFRZFNAKQIBF-XBXARRHUSA-N 0 1 301.317 3.199 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(-c2ccccc2)ccn1 ZINC001038848273 658947783 /nfs/dbraw/zinc/94/77/83/658947783.db2.gz HTODPVWQPDMRFA-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccccc1-c1ccccc1 ZINC001038986714 658972688 /nfs/dbraw/zinc/97/26/88/658972688.db2.gz VUFXGNYYVJOQEX-GOSISDBHSA-N 0 1 318.420 3.181 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCCc2ccccc21 ZINC001039058651 658989521 /nfs/dbraw/zinc/98/95/21/658989521.db2.gz CAMYILVSFKMQHZ-PKOBYXMFSA-N 0 1 312.457 3.263 20 30 DGEDMN O=C1c2cccc(F)c2OC/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000157285545 659041805 /nfs/dbraw/zinc/04/18/05/659041805.db2.gz YUQUBXSCRJWXSI-POHAHGRESA-N 0 1 315.256 3.098 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3CC(C)C3)C2)CC1 ZINC001040663746 659194338 /nfs/dbraw/zinc/19/43/38/659194338.db2.gz RDQGUOAOWIPERV-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN N#CC(C(=O)CCC1CCCC1)c1nnc2n1CCCCC2 ZINC000060851068 659211640 /nfs/dbraw/zinc/21/16/40/659211640.db2.gz WIFNFNFBTSSXNQ-AWEZNQCLSA-N 0 1 300.406 3.151 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C)cc1 ZINC000171037446 659256479 /nfs/dbraw/zinc/25/64/79/659256479.db2.gz MJZZBAAZKDBHRG-CJNGLKHVSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(C)[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000172021219 659310542 /nfs/dbraw/zinc/31/05/42/659310542.db2.gz PDCSNHGFNIJDPT-MWLCHTKSSA-N 0 1 310.756 3.419 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C(C)C ZINC000172021015 659310896 /nfs/dbraw/zinc/31/08/96/659310896.db2.gz UAPUPMJQTGGDOE-CHWSQXEVSA-N 0 1 302.374 3.025 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C(C)C ZINC000172021003 659310951 /nfs/dbraw/zinc/31/09/51/659310951.db2.gz UAPUPMJQTGGDOE-STQMWFEESA-N 0 1 302.374 3.025 20 30 DGEDMN C#CC[C@H](OC(=O)c1cc(-c2ccc(C)o2)n[nH]1)c1ccccn1 ZINC000172026731 659311940 /nfs/dbraw/zinc/31/19/40/659311940.db2.gz OARSBPFUJTWBQH-INIZCTEOSA-N 0 1 321.336 3.295 20 30 DGEDMN C#CCCOc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000172119839 659317406 /nfs/dbraw/zinc/31/74/06/659317406.db2.gz WWJZVBFZSQXFDF-UHFFFAOYSA-N 0 1 308.356 3.389 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCC[C@H](C)C2)cc1 ZINC000173986077 659408055 /nfs/dbraw/zinc/40/80/55/659408055.db2.gz GOPGVIQKTGQEGR-HEHGZKQESA-N 0 1 314.385 3.169 20 30 DGEDMN C#CCCCNCc1csc(COc2ccc(OC)cc2)n1 ZINC000174612352 659441825 /nfs/dbraw/zinc/44/18/25/659441825.db2.gz XYNPCUFTSPWMGG-UHFFFAOYSA-N 0 1 316.426 3.234 20 30 DGEDMN C#Cc1ccc(C(=O)NC2CN(CC3CCC(C)(C)CC3)C2)cc1 ZINC001030776597 659466494 /nfs/dbraw/zinc/46/64/94/659466494.db2.gz QMPUSZLGHZEOEU-UHFFFAOYSA-N 0 1 324.468 3.298 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ccoc3C3CC3)CCC[C@@H]12 ZINC000992137354 659471685 /nfs/dbraw/zinc/47/16/85/659471685.db2.gz NIIIOMGTXFURCI-CRAIPNDOSA-N 0 1 300.402 3.070 20 30 DGEDMN CCC(=O)N[C@H]1CCN(CC#Cc2ccc(Cl)cc2)[C@H](C)C1 ZINC000946938186 659499206 /nfs/dbraw/zinc/49/92/06/659499206.db2.gz YDWSUSPFHHAADS-PBHICJAKSA-N 0 1 318.848 3.071 20 30 DGEDMN C#CCC[N@H+]1CC[C@@]2(NC(=O)c3cc(Cl)cs3)CCC[C@@H]12 ZINC000992307663 659519457 /nfs/dbraw/zinc/51/94/57/659519457.db2.gz XRQMUUDNYWMDGM-ZBFHGGJFSA-N 0 1 322.861 3.152 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc4ccccc4o3)CCC[C@@H]12 ZINC000992448978 659551867 /nfs/dbraw/zinc/55/18/67/659551867.db2.gz BDSHCRNRZHXOOA-QUCCMNQESA-N 0 1 322.408 3.183 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc4c(s3)CCC4)CCC[C@H]12 ZINC000992455521 659553746 /nfs/dbraw/zinc/55/37/46/659553746.db2.gz OVMSXOPFZRABBQ-FUHWJXTLSA-N 0 1 316.470 3.150 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3sccc3CC)CCC[C@@H]12 ZINC000992488864 659562382 /nfs/dbraw/zinc/56/23/82/659562382.db2.gz BHQXAXXVTJFSCT-RHSMWYFYSA-N 0 1 304.459 3.223 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc4cc(C)ccc4o3)CCC[C@@H]12 ZINC000992493471 659563384 /nfs/dbraw/zinc/56/33/84/659563384.db2.gz IXADTHPIHALYSW-UYAOXDASSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cc(C)c(F)c(C)c3)CCC[C@H]12 ZINC000992508606 659566462 /nfs/dbraw/zinc/56/64/62/659566462.db2.gz JWNNSQFUXMJYSU-LPHOPBHVSA-N 0 1 316.420 3.355 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2C)[C@@H]1C ZINC000993347406 659720322 /nfs/dbraw/zinc/72/03/22/659720322.db2.gz BYAYVIAWTIDJMJ-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2conc2C2CCCC2)[C@@H]1C ZINC000993428885 659737604 /nfs/dbraw/zinc/73/76/04/659737604.db2.gz KAVBEVYHOQHZAZ-BBRMVZONSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)c(C)cc2F)[C@H]1C ZINC000993461906 659748843 /nfs/dbraw/zinc/74/88/43/659748843.db2.gz DKFDLKPWEXQAAE-WBMJQRKESA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CCCN(CC=C)[C@@H]1C ZINC000993520434 659755477 /nfs/dbraw/zinc/75/54/77/659755477.db2.gz LZTVLSGNKRCXOZ-NVXWUHKLSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccnc3ccccc32)[C@H]1C ZINC000993577899 659759405 /nfs/dbraw/zinc/75/94/05/659759405.db2.gz WOSDRQDOWIALFP-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(-n3cccc3)c2)[C@H]1C ZINC000993555282 659761870 /nfs/dbraw/zinc/76/18/70/659761870.db2.gz KAJBCXOQUOSPTF-VQIMIIECSA-N 0 1 323.440 3.246 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cccc(C3CC3)c2)[C@@H]1C ZINC000993636353 659769271 /nfs/dbraw/zinc/76/92/71/659769271.db2.gz XAEXTZBPEDBBIN-JXFKEZNVSA-N 0 1 324.468 3.099 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cccc(C3CC3)c2)[C@@H]1C ZINC000993636355 659769294 /nfs/dbraw/zinc/76/92/94/659769294.db2.gz XAEXTZBPEDBBIN-OXJNMPFZSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nccc3ccccc32)[C@H]1C ZINC000993724171 659780498 /nfs/dbraw/zinc/78/04/98/659780498.db2.gz GLILCXISLOCQMR-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccoc2C2CC2)[C@H]1C ZINC000993699773 659781520 /nfs/dbraw/zinc/78/15/20/659781520.db2.gz AKYDGNRXHWGYOZ-CJNGLKHVSA-N 0 1 302.418 3.316 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ncccc3c2)[C@@H]1C ZINC000993731316 659786639 /nfs/dbraw/zinc/78/66/39/659786639.db2.gz MNLVXIAZFQRIEX-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)cccc2Cl)[C@@H]1C ZINC000993770701 659788366 /nfs/dbraw/zinc/78/83/66/659788366.db2.gz FLKNFQAHKWEECV-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(F)ccc(C)c2F)[C@@H]1C ZINC000993771850 659789546 /nfs/dbraw/zinc/78/95/46/659789546.db2.gz HIVVPKADYPONGL-JSGCOSHPSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)ccc2Cl)[C@@H]1C ZINC000993859260 659793344 /nfs/dbraw/zinc/79/33/44/659793344.db2.gz JKZMFGRRCNFBPX-NHYWBVRUSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@H]1C ZINC000994015030 659809488 /nfs/dbraw/zinc/80/94/88/659809488.db2.gz ZWDDBCUZULYONT-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)[C@H]1C ZINC000994069007 659811368 /nfs/dbraw/zinc/81/13/68/659811368.db2.gz IRSNCYGRXBMXCP-CRAIPNDOSA-N 0 1 312.457 3.200 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C(C)C)c2)[C@@H]1C ZINC000994143365 659814888 /nfs/dbraw/zinc/81/48/88/659814888.db2.gz FKDRDKKPLORSOX-QFBILLFUSA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncoc2C(C)(C)C)[C@@H]1C ZINC000994191344 659818207 /nfs/dbraw/zinc/81/82/07/659818207.db2.gz RYRLHGFVYFDOSB-KBPBESRZSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(CC)cc2C)[C@H]1C ZINC000994231000 659822113 /nfs/dbraw/zinc/82/21/13/659822113.db2.gz MXOGYXKTKRDSAI-GDBMZVCRSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@@H]1C ZINC000994234910 659822649 /nfs/dbraw/zinc/82/26/49/659822649.db2.gz UQBBPEIMRCDSHW-GXTWGEPZSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(CC)c(CC)o2)[C@H]1C ZINC000994258563 659827224 /nfs/dbraw/zinc/82/72/24/659827224.db2.gz VRROHUGFLZQQGC-HIFRSBDPSA-N 0 1 304.434 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)[C@@H]1C ZINC000994291287 659832166 /nfs/dbraw/zinc/83/21/66/659832166.db2.gz WJYHQSQSKQJWLA-GGEQCSGTSA-N 0 1 316.489 3.358 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)[C@H]1C ZINC000994285874 659832273 /nfs/dbraw/zinc/83/22/73/659832273.db2.gz ZDRVIRFKMNVYKQ-BRSBDYLESA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)cccc2F)[C@H]1C ZINC000994302690 659835885 /nfs/dbraw/zinc/83/58/85/659835885.db2.gz WDBGKFWCJCKTPL-DOMZBBRYSA-N 0 1 308.372 3.124 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3ccccc3c2)[C@H]1C ZINC000994301884 659835937 /nfs/dbraw/zinc/83/59/37/659835937.db2.gz SZXKRODUDDITBR-OXQOHEQNSA-N 0 1 320.436 3.446 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(s2)CCCC3)[C@@H]1C ZINC000994324416 659837778 /nfs/dbraw/zinc/83/77/78/659837778.db2.gz ANZBKCZXEHMQQN-ZFWWWQNUSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC(C)C)cc2)[C@H]1C ZINC000994340360 659839479 /nfs/dbraw/zinc/83/94/79/659839479.db2.gz UFFNFPBQFMPVIX-CRAIPNDOSA-N 0 1 316.445 3.243 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCCC3)[C@@H]1C ZINC000994347458 659840581 /nfs/dbraw/zinc/84/05/81/659840581.db2.gz JXTDFAUWBMDMOW-KXBFYZLASA-N 0 1 312.457 3.334 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCCC3)[C@H]1C ZINC000994344734 659840706 /nfs/dbraw/zinc/84/07/06/659840706.db2.gz GFWUKCUMCHUIBI-UZLBHIALSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(F)F)o2)[C@@H]1C ZINC000994354127 659843267 /nfs/dbraw/zinc/84/32/67/659843267.db2.gz SQQTUTWCQLEBNG-RYUDHWBXSA-N 0 1 312.360 3.376 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccccc2C2CCC2)[C@@H]1C ZINC000994354173 659844333 /nfs/dbraw/zinc/84/43/33/659844333.db2.gz SXGXOODFWXBMEP-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)cc(C)cc2C)[C@H]1C ZINC000994371938 659846462 /nfs/dbraw/zinc/84/64/62/659846462.db2.gz FGYHNBFNIYVFAX-IAGOWNOFSA-N 0 1 300.446 3.381 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c3c2CCC3)[C@H]1C ZINC000994475374 659859170 /nfs/dbraw/zinc/85/91/70/659859170.db2.gz RDIHEKATYCXKSC-BEFAXECRSA-N 0 1 312.457 3.252 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@H]1C ZINC000994496530 659862899 /nfs/dbraw/zinc/86/28/99/659862899.db2.gz HXOVUBXAJOYIQC-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@H]1C ZINC000994497114 659862983 /nfs/dbraw/zinc/86/29/83/659862983.db2.gz QGZUBDRYBVINGN-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2CCC(C)(C)CC2)[C@H]1C ZINC000994500240 659863524 /nfs/dbraw/zinc/86/35/24/659863524.db2.gz XGHROMPJBRAEQR-NVXWUHKLSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@@H]1C ZINC000994519010 659869469 /nfs/dbraw/zinc/86/94/69/659869469.db2.gz NUSKLYXJYFNPSK-DOTOQJQBSA-N 0 1 323.440 3.450 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(C)C(C)(C)C2(C)C)[C@H]1C ZINC000994530551 659873051 /nfs/dbraw/zinc/87/30/51/659873051.db2.gz JKYNYESAWQXZLH-CABCVRRESA-N 0 1 304.478 3.051 20 30 DGEDMN Cn1ccnc1[C@H]1CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000444797243 659887111 /nfs/dbraw/zinc/88/71/11/659887111.db2.gz XWKKNPZDOOLWKO-HNNXBMFYSA-N 0 1 314.820 3.325 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@@H]1C ZINC000994570868 659888110 /nfs/dbraw/zinc/88/81/10/659888110.db2.gz ZIIXHIAROLGSOE-JXFKEZNVSA-N 0 1 322.452 3.470 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC001031673315 659912191 /nfs/dbraw/zinc/91/21/91/659912191.db2.gz DXZNVVBORMBNAN-MSOLQXFVSA-N 0 1 312.457 3.050 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C[C@@H]1C ZINC000948072789 659918396 /nfs/dbraw/zinc/91/83/96/659918396.db2.gz CZKUKOGJCVGSCI-STQMWFEESA-N 0 1 321.490 3.209 20 30 DGEDMN Cc1ncsc1CN(C)Cc1ccc(O[C@H](C)C#N)cc1 ZINC000179426920 659927963 /nfs/dbraw/zinc/92/79/63/659927963.db2.gz DTNALDPZGSTXAO-GFCCVEGCSA-N 0 1 301.415 3.374 20 30 DGEDMN COc1ccc(/C=C/C(=O)c2ccc(O)c(F)c2)cc1OC ZINC000170852036 659928349 /nfs/dbraw/zinc/92/83/49/659928349.db2.gz YKSMHBWDIOEXRG-ZZXKWVIFSA-N 0 1 302.301 3.445 20 30 DGEDMN CC[C@@H](Oc1cccc(C)c1)C(=O)Nc1cc(C#N)ccc1O ZINC000179735426 659940476 /nfs/dbraw/zinc/94/04/76/659940476.db2.gz HXJANPMYGFONBV-QGZVFWFLSA-N 0 1 310.353 3.368 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2cc(C)cc(C)c2)C[C@@H]1C ZINC000939054997 659979371 /nfs/dbraw/zinc/97/93/71/659979371.db2.gz RXWNIHGMXNRALN-YOEHRIQHSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2CCC)C[C@H]1C ZINC000939528324 660002413 /nfs/dbraw/zinc/00/24/13/660002413.db2.gz HYTYFNUYFHBIJB-BXUZGUMPSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(Cl)cc2)C[C@H]1C ZINC000939580726 660004951 /nfs/dbraw/zinc/00/49/51/660004951.db2.gz NLHKPQRLZLGUET-YGRLFVJLSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)c2ccccc2)C[C@H]1C ZINC000939696133 660011367 /nfs/dbraw/zinc/01/13/67/660011367.db2.gz FFBROZZLSSSQDR-CZUORRHYSA-N 0 1 320.864 3.153 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C(C)(C)C1 ZINC000940918029 660073584 /nfs/dbraw/zinc/07/35/84/660073584.db2.gz ILZXUTCDLKPYJL-LJQANCHMSA-N 0 1 324.468 3.336 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H](C)n2cccc2)CC1 ZINC000948286956 660076924 /nfs/dbraw/zinc/07/69/24/660076924.db2.gz XSNRZQUERGJJKZ-HOTGVXAUSA-N 0 1 323.868 3.115 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccoc2CCC)C(C)(C)C1 ZINC000941164534 660090164 /nfs/dbraw/zinc/09/01/64/660090164.db2.gz VGPPHFMBCSWDID-KRWDZBQOSA-N 0 1 316.445 3.086 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941242606 660097200 /nfs/dbraw/zinc/09/72/00/660097200.db2.gz JMHILXZDTDDSEC-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2cc[nH]c2CC)CC1 ZINC000948348608 660108095 /nfs/dbraw/zinc/10/80/95/660108095.db2.gz DTEOZTMWLDMPPC-CQSZACIVSA-N 0 1 323.868 3.256 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001031972139 660119005 /nfs/dbraw/zinc/11/90/05/660119005.db2.gz VNNKLOLSGPGFRZ-UHFFFAOYSA-N 0 1 312.335 3.001 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)CC1CCC1 ZINC000941921080 660126922 /nfs/dbraw/zinc/12/69/22/660126922.db2.gz MOZLQEHIHYWKRI-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2c(C)oc3ccccc32)[C@H](C)C1 ZINC000942079695 660136016 /nfs/dbraw/zinc/13/60/16/660136016.db2.gz PADYVOJEPVSADP-PBHICJAKSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](C)C1 ZINC000942940898 660173495 /nfs/dbraw/zinc/17/34/95/660173495.db2.gz TYNWCUUSCUKPOF-DOTOQJQBSA-N 0 1 322.408 3.020 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)[C@@H](C)C1 ZINC000942940898 660173497 /nfs/dbraw/zinc/17/34/97/660173497.db2.gz TYNWCUUSCUKPOF-DOTOQJQBSA-N 0 1 322.408 3.020 20 30 DGEDMN Cc1ccnc(NC(=O)CN(C)CCCCC2(C#N)CCC2)c1 ZINC000929524631 661315221 /nfs/dbraw/zinc/31/52/21/661315221.db2.gz YEQXRVPGLUSQIA-UHFFFAOYSA-N 0 1 314.433 3.125 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCO[C@H](c3cccc(C#N)c3)C2)C[C@H](C)O1 ZINC000930134333 661369908 /nfs/dbraw/zinc/36/99/08/661369908.db2.gz GMMDIOKPAFUKPT-MGTHKWJCSA-N 0 1 314.429 3.135 20 30 DGEDMN COc1cc([C@H](C)NC[C@H](O)CC#N)ccc1OCCC(C)C ZINC000930208594 661377895 /nfs/dbraw/zinc/37/78/95/661377895.db2.gz UEEUSUFBVAYVEV-GOEBONIOSA-N 0 1 320.433 3.045 20 30 DGEDMN CCCOc1ccccc1[C@H](CC(C)C)NC[C@H](O)CC#N ZINC000930233921 661380772 /nfs/dbraw/zinc/38/07/72/661380772.db2.gz XTDRBFNFNPRILY-WBVHZDCISA-N 0 1 304.434 3.427 20 30 DGEDMN CNC(=O)C[C@@H](NCc1c(C)cc(C#N)cc1C)c1ccccc1 ZINC000930303009 661387112 /nfs/dbraw/zinc/38/71/12/661387112.db2.gz DXHOEGDDBBSIFO-LJQANCHMSA-N 0 1 321.424 3.142 20 30 DGEDMN C=C(C)CN(CCSc1ccncc1)Cc1ccc(CO)o1 ZINC000930455072 661399901 /nfs/dbraw/zinc/39/99/01/661399901.db2.gz HIWNKRXVBULIPY-UHFFFAOYSA-N 0 1 318.442 3.337 20 30 DGEDMN N#Cc1sccc1N1CCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)CC1 ZINC000930928351 661439958 /nfs/dbraw/zinc/43/99/58/661439958.db2.gz FBUKZZDFFLRIQV-CLLJXQQHSA-N 0 1 323.412 3.033 20 30 DGEDMN C[C@@H](c1ccc(F)nc1)N1CCC(c2ccc(C#N)cn2)CC1 ZINC000931112082 661453139 /nfs/dbraw/zinc/45/31/39/661453139.db2.gz DBQOOCNYGPUEKJ-ZDUSSCGKSA-N 0 1 310.376 3.428 20 30 DGEDMN N#Cc1cccc(CN2C[C@@H]3C[C@H]2C[N@H+]3Cc2ccccc2)c1 ZINC000931488851 661481692 /nfs/dbraw/zinc/48/16/92/661481692.db2.gz WNHFLZUHWBPRHH-PMACEKPBSA-N 0 1 303.409 3.017 20 30 DGEDMN COc1ccc([C@@H]2CN(CC3CCC(C#N)CC3)CCO2)cc1 ZINC000931554999 661488011 /nfs/dbraw/zinc/48/80/11/661488011.db2.gz RUPMMYWYBICAKM-RJYAGPCLSA-N 0 1 314.429 3.398 20 30 DGEDMN COC(=O)[C@]12CCC[C@H]1N(Cc1cc(Cl)cc(C#N)c1)CC2 ZINC000931622233 661494223 /nfs/dbraw/zinc/49/42/23/661494223.db2.gz IDQFMGGIXOUPBV-WBVHZDCISA-N 0 1 318.804 3.129 20 30 DGEDMN N#CC1CCC(CN2CCn3cnc(C(F)(F)F)c3C2)CC1 ZINC000931685275 661497896 /nfs/dbraw/zinc/49/78/96/661497896.db2.gz NIQXUQDSDVWKEJ-UHFFFAOYSA-N 0 1 312.339 3.047 20 30 DGEDMN CC(C)(C)OC(=O)NCCCCCCN1CCC[C@@H](C#N)C1 ZINC000932154167 661542593 /nfs/dbraw/zinc/54/25/93/661542593.db2.gz FUJQGVLINHZEMJ-HNNXBMFYSA-N 0 1 309.454 3.307 20 30 DGEDMN CN(C)Cc1ccnc(NC(=O)Nc2ccc(CCC#N)cc2)c1 ZINC000932166814 661543743 /nfs/dbraw/zinc/54/37/43/661543743.db2.gz AZASJEJLEXYLOY-UHFFFAOYSA-N 0 1 323.400 3.243 20 30 DGEDMN Cc1cc(F)ccc1[C@H](C)NCC(=O)NC1(C#N)CCCCC1 ZINC000932567430 661580987 /nfs/dbraw/zinc/58/09/87/661580987.db2.gz NJABMXQXDVITCR-AWEZNQCLSA-N 0 1 317.408 3.127 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCC(CO)(C(F)(F)F)CC1 ZINC000932829065 661599984 /nfs/dbraw/zinc/59/99/84/661599984.db2.gz ZIYAHQUYCSWWSB-GFCCVEGCSA-N 0 1 312.335 3.256 20 30 DGEDMN C#CCC1(NCc2c(Cl)ncnc2N(C)C)CCCCC1 ZINC000933264757 661626635 /nfs/dbraw/zinc/62/66/35/661626635.db2.gz CPXARHXUHYXNSD-UHFFFAOYSA-N 0 1 306.841 3.012 20 30 DGEDMN CC[C@H](C)NC(=O)[C@H](C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933614368 661645233 /nfs/dbraw/zinc/64/52/33/661645233.db2.gz OIDIRIWOWFRRAD-GJZGRUSLSA-N 0 1 313.445 3.041 20 30 DGEDMN N#Cc1ccc([C@@H]2CN(CC3CCC(C#N)CC3)CCO2)cc1 ZINC000933629311 661646315 /nfs/dbraw/zinc/64/63/15/661646315.db2.gz BHQAVRFBNFMOPN-KVWWFHCMSA-N 0 1 309.413 3.262 20 30 DGEDMN C[C@H](C#N)OCCN1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934419718 661705721 /nfs/dbraw/zinc/70/57/21/661705721.db2.gz YJGPXZQOYULIFP-CYBMUJFWSA-N 0 1 320.820 3.163 20 30 DGEDMN N#CC1(C(=O)Nc2cc(Cl)c(O)cc2F)CCSCC1 ZINC000935972722 661822883 /nfs/dbraw/zinc/82/28/83/661822883.db2.gz DIFWJUCXGCQLAD-UHFFFAOYSA-N 0 1 314.769 3.160 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@@H](C)Oc2ccc(C)cc2)n1 ZINC000041521382 661834976 /nfs/dbraw/zinc/83/49/76/661834976.db2.gz SWCTZBPGSMXYOF-OCCSQVGLSA-N 0 1 300.383 3.404 20 30 DGEDMN Cc1csc(C(C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000047358391 661875871 /nfs/dbraw/zinc/87/58/71/661875871.db2.gz VKSAEGIPOWFFJH-KMOQFTEPSA-N 0 1 312.350 3.070 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000047358391 661875872 /nfs/dbraw/zinc/87/58/72/661875872.db2.gz VKSAEGIPOWFFJH-KMOQFTEPSA-N 0 1 312.350 3.070 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C[C@@H]1C ZINC000948055654 661946900 /nfs/dbraw/zinc/94/69/00/661946900.db2.gz DHWMIWBKNSVEHI-KBPBESRZSA-N 0 1 318.486 3.262 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2CC)C[C@@H]1C ZINC000948083147 661949712 /nfs/dbraw/zinc/94/97/12/661949712.db2.gz NHFVAHMPNIZZNM-GJZGRUSLSA-N 0 1 324.424 3.211 20 30 DGEDMN CC(=O)N(C)[C@@H]1CCCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000948108823 661952193 /nfs/dbraw/zinc/95/21/93/661952193.db2.gz NIJAZFARSYEWPN-GOSISDBHSA-N 0 1 318.848 3.024 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)Cc2ccccc2)CC1 ZINC000948556322 661982970 /nfs/dbraw/zinc/98/29/70/661982970.db2.gz JFGRYVFPJVAXMU-QGZVFWFLSA-N 0 1 320.864 3.295 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2nccc3ccsc32)CC1 ZINC000948865660 662003896 /nfs/dbraw/zinc/00/38/96/662003896.db2.gz YGWVPSACKBBVMG-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1C[C@H]1CCC ZINC000948958526 662009619 /nfs/dbraw/zinc/00/96/19/662009619.db2.gz OBUZVHQUQXHTQX-RLLQIKCJSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC12CCC2 ZINC000948962871 662010350 /nfs/dbraw/zinc/01/03/50/662010350.db2.gz RXLLBTSCPSUGBD-MOPGFXCFSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc3ccc(C)cc3[nH]2)CC1 ZINC000949532646 662018385 /nfs/dbraw/zinc/01/83/85/662018385.db2.gz XAPYXBNNLQXCDA-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC000949654482 662023398 /nfs/dbraw/zinc/02/33/98/662023398.db2.gz DRFVUFNWVBWPHL-KRWDZBQOSA-N 0 1 300.446 3.494 20 30 DGEDMN C=C1CCC(C(=O)N2CCN(CC3CC(C)(C)C3)CC2)CC1 ZINC000950046962 662042961 /nfs/dbraw/zinc/04/29/61/662042961.db2.gz MSUVFAYJPRRXPV-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CC3CC4(CCC4)C3)CC2)C1 ZINC000950383158 662062682 /nfs/dbraw/zinc/06/26/82/662062682.db2.gz UOQNJLVBDBNLMJ-UHFFFAOYSA-N 0 1 302.462 3.067 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC001006458311 662071168 /nfs/dbraw/zinc/07/11/68/662071168.db2.gz KMJPGCGCYAUHPB-FQEVSTJZSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCOc1ccc(C(=O)N(CC)C2CN(CCCC)C2)cc1 ZINC000950645644 662076742 /nfs/dbraw/zinc/07/67/42/662076742.db2.gz UYUAOZUUEKHVMO-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(C)ccc2OC)C1 ZINC000950793873 662088662 /nfs/dbraw/zinc/08/86/62/662088662.db2.gz PWMOPAJISXLYHS-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)Cc2ccccc2Cl)CC1 ZINC000950961291 662097052 /nfs/dbraw/zinc/09/70/52/662097052.db2.gz BHZYKIYRJNOPAK-HNNXBMFYSA-N 0 1 320.864 3.239 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC000950962122 662097178 /nfs/dbraw/zinc/09/71/78/662097178.db2.gz YKOXCJDTBCMHJJ-RYQLBKOJSA-N 0 1 304.478 3.312 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CC3CCCCC3)C2)cc1 ZINC000951113713 662114154 /nfs/dbraw/zinc/11/41/54/662114154.db2.gz IUMVXIDJFNMBEA-UHFFFAOYSA-N 0 1 324.468 3.395 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)C(=O)Nc2ccc(F)c(Cl)c2)o1 ZINC000193475281 662135593 /nfs/dbraw/zinc/13/55/93/662135593.db2.gz UPAIFMJTWIPZLZ-JTQLQIEISA-N 0 1 320.707 3.342 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@@H](C)[C@H]2C)c1O ZINC000246817160 662283939 /nfs/dbraw/zinc/28/39/39/662283939.db2.gz AYOINKPXGBGLOP-KGLIPLIRSA-N 0 1 305.418 3.129 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc3scnc3c2)CC1 ZINC000957037298 662345572 /nfs/dbraw/zinc/34/55/72/662345572.db2.gz LIBGRPWGRFFRDW-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C(C)(C)C1 ZINC000941091307 685328946 /nfs/dbraw/zinc/32/89/46/685328946.db2.gz PPUPBKMEAQYDOR-LQWHRVPQSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3cccc(C)c3o2)C1 ZINC000970812046 685335314 /nfs/dbraw/zinc/33/53/14/685335314.db2.gz KUUFHMQNZFVRIA-CQSZACIVSA-N 0 1 318.804 3.298 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(OCCC)c2)C(C)(C)C1 ZINC000974636620 685338370 /nfs/dbraw/zinc/33/83/70/685338370.db2.gz TUJMCUZHZZZQCV-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc[nH]c2C(C)C)[C@H]1C ZINC000986688766 685349632 /nfs/dbraw/zinc/34/96/32/685349632.db2.gz DEJNQVWQDPVZFS-GXTWGEPZSA-N 0 1 309.841 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc[nH]c2C2CCC2)C1 ZINC001000187518 685361691 /nfs/dbraw/zinc/36/16/91/685361691.db2.gz YHGXVPSPNQDQIL-CQSZACIVSA-N 0 1 321.852 3.229 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCC[C@H]2CC)CC1 ZINC001006209171 685366381 /nfs/dbraw/zinc/36/63/81/685366381.db2.gz ARHBEWOMPXVTDH-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@](C)(NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001046387833 685399712 /nfs/dbraw/zinc/39/97/12/685399712.db2.gz QMMVJTQDFJMPAY-SFHVURJKSA-N 0 1 318.486 3.164 20 30 DGEDMN C=C(Br)CNC[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000161429102 685100948 /nfs/dbraw/zinc/10/09/48/685100948.db2.gz ISWJKVAGUVLREK-LLVKDONJSA-N 0 1 324.140 3.237 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)C(CC)(CC)CC)C[C@@H](C)O2 ZINC001071224754 686601927 /nfs/dbraw/zinc/60/19/27/686601927.db2.gz AASDNEVWPIJBDP-VQIMIIECSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(OC)c(C)c2)CC[C@H]1C ZINC001071522796 686677075 /nfs/dbraw/zinc/67/70/75/686677075.db2.gz UPXSMVFYFDVICO-NVXWUHKLSA-N 0 1 316.445 3.081 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(Cl)c2C)CC[C@H]1C ZINC001071524601 686678074 /nfs/dbraw/zinc/67/80/74/686678074.db2.gz GXYWUZYHEANWOU-UKRRQHHQSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3nccc(C)c3c2)CC[C@H]1C ZINC001071531136 686681018 /nfs/dbraw/zinc/68/10/18/686681018.db2.gz GWCOWCGKHGOWEQ-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nsc3ccccc32)CC[C@@H]1C ZINC001071531942 686681918 /nfs/dbraw/zinc/68/19/18/686681918.db2.gz SNCNEVNISZXROS-STQMWFEESA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2coc3c2cccc3C)CC[C@@H]1C ZINC001071572714 686692188 /nfs/dbraw/zinc/69/21/88/686692188.db2.gz ZYOZDCZVPGGURM-JKSUJKDBSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@H]1C ZINC001071583439 686694851 /nfs/dbraw/zinc/69/48/51/686694851.db2.gz IHURHUZYOKEEEN-CHWSQXEVSA-N 0 1 324.371 3.132 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccccc2C(C)(C)C)CC[C@@H]1C ZINC001071599868 686696912 /nfs/dbraw/zinc/69/69/12/686696912.db2.gz BTEBCVOFKCELLA-HOTGVXAUSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c3ccccc3n2)CC[C@@H]1C ZINC001071612220 686701720 /nfs/dbraw/zinc/70/17/20/686701720.db2.gz DOVRIXVVMSVNJC-HOTGVXAUSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3cccnc32)CC[C@H]1C ZINC001071654044 686713782 /nfs/dbraw/zinc/71/37/82/686713782.db2.gz CCUFLAGHXMCHIN-GDBMZVCRSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3cccnc32)CC[C@@H]1C ZINC001071654046 686713895 /nfs/dbraw/zinc/71/38/95/686713895.db2.gz CCUFLAGHXMCHIN-GOEBONIOSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(CC)s2)CC[C@@H]1C ZINC001071660503 686715149 /nfs/dbraw/zinc/71/51/49/686715149.db2.gz XCPJJENKSPVGAD-KBPBESRZSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2F)CC[C@H]1C ZINC001071662124 686715812 /nfs/dbraw/zinc/71/58/12/686715812.db2.gz AYNAMHRWDIAZJD-DGCLKSJQSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@@H]1C ZINC001071663835 686717363 /nfs/dbraw/zinc/71/73/63/686717363.db2.gz SOQFJYDSNZOWOI-HKUYNNGSSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@@H]1C ZINC001071671043 686719679 /nfs/dbraw/zinc/71/96/79/686719679.db2.gz WFOAEBILFCEOAO-KBPBESRZSA-N 0 1 322.399 3.432 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCCCCCC2)CC[C@H]1C ZINC001071675175 686720788 /nfs/dbraw/zinc/72/07/88/686720788.db2.gz CLASOBUPBFJWGO-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@H]1C ZINC001071711614 686729220 /nfs/dbraw/zinc/72/92/20/686729220.db2.gz AWKBUDSHNXLMKM-ZBFHGGJFSA-N 0 1 311.429 3.245 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)CC[C@H]1C ZINC001071716634 686732713 /nfs/dbraw/zinc/73/27/13/686732713.db2.gz YKSAIJKUABSHNQ-UZLBHIALSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2OC)CC[C@@H]1C ZINC001071772499 686747967 /nfs/dbraw/zinc/74/79/67/686747967.db2.gz LXZAABYMQYGTTF-GXTWGEPZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@H]1C ZINC001071796215 686756666 /nfs/dbraw/zinc/75/66/66/686756666.db2.gz QPHBXVKHVMZUIW-KGLIPLIRSA-N 0 1 322.399 3.432 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C)c3ccccc23)CC[C@H]1C ZINC001071847398 686770894 /nfs/dbraw/zinc/77/08/94/686770894.db2.gz KOCAXUZOQXTLMV-SJORKVTESA-N 0 1 320.436 3.364 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@H]1C ZINC001071848546 686771059 /nfs/dbraw/zinc/77/10/59/686771059.db2.gz UJEHFADNCPUOLS-TZMCWYRMSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@H]1C ZINC001071846992 686771184 /nfs/dbraw/zinc/77/11/84/686771184.db2.gz HREAHGIKYJYTRO-DGCLKSJQSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OCC)c(C)c2)CC[C@@H]1C ZINC001071862411 686774338 /nfs/dbraw/zinc/77/43/38/686774338.db2.gz XCJQKCHNOOWOFU-DOTOQJQBSA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(OCC)c2)CC[C@@H]1C ZINC001071859595 686774670 /nfs/dbraw/zinc/77/46/70/686774670.db2.gz AOFKKBTYLFSKKC-DOTOQJQBSA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(C3CC3)nc2C)CC[C@H]1C ZINC001071884084 686781495 /nfs/dbraw/zinc/78/14/95/686781495.db2.gz XKIJOTTUFFGOLF-IUODEOHRSA-N 0 1 317.433 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(Cl)ccc2C)CC[C@@H]1C ZINC001071901060 686787053 /nfs/dbraw/zinc/78/70/53/686787053.db2.gz NADRCUYMHOEBSW-GOEBONIOSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC[C@H]1C ZINC001071934909 686793024 /nfs/dbraw/zinc/79/30/24/686793024.db2.gz UKHWBIFMVVEKRZ-NCXUSEDFSA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccn2C2CCCC2)CC[C@@H]1C ZINC001071940800 686794346 /nfs/dbraw/zinc/79/43/46/686794346.db2.gz YNPZCAMUTGJFOL-HOTGVXAUSA-N 0 1 315.461 3.372 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)CC[C@H]1C ZINC001071950300 686795019 /nfs/dbraw/zinc/79/50/19/686795019.db2.gz IFHGLNBSXYKSHP-HIFRSBDPSA-N 0 1 304.434 3.480 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncoc2C(C)(C)C)CC[C@H]1C ZINC001071973560 686801710 /nfs/dbraw/zinc/80/17/10/686801710.db2.gz HDHSAOBJQMZGMR-KGLIPLIRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(C)cccn3c2)CC[C@@H]1C ZINC001071988303 686805346 /nfs/dbraw/zinc/80/53/46/686805346.db2.gz IFKOZVYDUWVGTH-DOTOQJQBSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)cccc2Cl)CC[C@@H]1C ZINC001072023473 686828072 /nfs/dbraw/zinc/82/80/72/686828072.db2.gz RMXJBIMYNDIPPM-RYUDHWBXSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C(C)C)cc2)CC[C@@H]1C ZINC001072020424 686828442 /nfs/dbraw/zinc/82/84/42/686828442.db2.gz ZOXZHUULWOUDTP-LPHOPBHVSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)cc2Cl)CC[C@H]1C ZINC001072043740 686840558 /nfs/dbraw/zinc/84/05/58/686840558.db2.gz DIZRAXXFWLANTN-DGCLKSJQSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(F)cc2Cl)CC[C@@H]1C ZINC001072045204 686841902 /nfs/dbraw/zinc/84/19/02/686841902.db2.gz PMTKLOJIDHHSTI-GXTWGEPZSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3ccccc3o2)CC[C@H]1C ZINC001072047083 686843101 /nfs/dbraw/zinc/84/31/01/686843101.db2.gz ABCMGFWKKWQQPD-GDBMZVCRSA-N 0 1 310.397 3.039 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC(C)C)cc2)CC[C@@H]1C ZINC001072049961 686845184 /nfs/dbraw/zinc/84/51/84/686845184.db2.gz SBFWDOVBXORERR-RDJZCZTQSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@H]1C ZINC001072087856 686859283 /nfs/dbraw/zinc/85/92/83/686859283.db2.gz CICBFCVBNFRZBN-NVXWUHKLSA-N 0 1 316.420 3.049 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2C)CC[C@H]1C ZINC001072113636 686874296 /nfs/dbraw/zinc/87/42/96/686874296.db2.gz ZGXRHDLYLJPXIE-IAGOWNOFSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(CC)c(CC)c2)CC[C@@H]1C ZINC001072124294 686876880 /nfs/dbraw/zinc/87/68/80/686876880.db2.gz YXWUDAPVKVNACU-KXBFYZLASA-N 0 1 312.457 3.027 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(CC)c(CC)c2)CC[C@H]1C ZINC001072124281 686876994 /nfs/dbraw/zinc/87/69/94/686876994.db2.gz YXWUDAPVKVNACU-BEFAXECRSA-N 0 1 312.457 3.027 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC001073953028 687241757 /nfs/dbraw/zinc/24/17/57/687241757.db2.gz RNTXPAQIHKMDOM-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCC3(CC3)C2)[C@H]1C ZINC001074650316 687289178 /nfs/dbraw/zinc/28/91/78/687289178.db2.gz GXRMFJPQPHRWLY-KKUMJFAQSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccc(C)o2)[C@H]1C ZINC001074949619 687319421 /nfs/dbraw/zinc/31/94/21/687319421.db2.gz LRAMKPWABSDTNF-RDBSUJKOSA-N 0 1 310.825 3.023 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(F)c(C(F)F)c3)[C@@H]2C1 ZINC001075801116 687441472 /nfs/dbraw/zinc/44/14/72/687441472.db2.gz WNBJVXYZZOFLTE-SWLSCSKDSA-N 0 1 324.346 3.096 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(CC(C)C)s3)[C@@H]2C1 ZINC001075892243 687453227 /nfs/dbraw/zinc/45/32/27/687453227.db2.gz MYUDHTGYIAFIEP-GOEBONIOSA-N 0 1 318.486 3.279 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]3CN(C[C@H](F)CC)C[C@H]32)CC1 ZINC001076208998 687495265 /nfs/dbraw/zinc/49/52/65/687495265.db2.gz UHEXHZBSQSNYGH-GVDBMIGSSA-N 0 1 308.441 3.014 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H](F)CC3CCCCC3)[C@@H]2C1 ZINC001076464322 687526179 /nfs/dbraw/zinc/52/61/79/687526179.db2.gz FGZZFKVLHQIJNL-GVDBMIGSSA-N 0 1 308.441 3.014 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001079851473 687897162 /nfs/dbraw/zinc/89/71/62/687897162.db2.gz BPPFQEBIVONUNN-IAQYHMDHSA-N 0 1 316.376 3.116 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001079994849 687910949 /nfs/dbraw/zinc/91/09/49/687910949.db2.gz VCBPOROVCSUDAI-QMTHXVAHSA-N 0 1 310.800 3.024 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001080184960 687927888 /nfs/dbraw/zinc/92/78/88/687927888.db2.gz ZMSZOKRLENRBLL-IUODEOHRSA-N 0 1 316.376 3.116 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001080202580 687929621 /nfs/dbraw/zinc/92/96/21/687929621.db2.gz GTJHXPIHPMDVCH-NVXWUHKLSA-N 0 1 318.505 3.299 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001080518407 687990782 /nfs/dbraw/zinc/99/07/82/687990782.db2.gz RXXIGDFTBZNDFD-OXQOHEQNSA-N 0 1 320.436 3.222 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2oc3ccccc3c2CC)C1 ZINC001081022446 688036403 /nfs/dbraw/zinc/03/64/03/688036403.db2.gz XXEWSPQEBMWLRI-CZUORRHYSA-N 0 1 312.413 3.231 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2ccc(C)cc2)C[C@H]1C ZINC001082868170 688130399 /nfs/dbraw/zinc/13/03/99/688130399.db2.gz QEBQSVQHNNVZDB-UKPHBRMFSA-N 0 1 320.864 3.288 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CC(C(C)(C)C)C2)C[C@H]1C ZINC001082953906 688133545 /nfs/dbraw/zinc/13/35/45/688133545.db2.gz GLDYCTUPCZNRJP-GALLPEHLSA-N 0 1 312.885 3.248 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1ccccc1F ZINC001660290647 1196467497 /nfs/dbraw/zinc/46/74/97/1196467497.db2.gz HCFYJAIYIVMYSU-SFHVURJKSA-N 0 1 306.425 3.366 20 30 DGEDMN Cc1ccc(CN[C@@H](CO)c2ccc(Cl)cc2)cc1C#N ZINC001620466607 1191947443 /nfs/dbraw/zinc/94/74/43/1191947443.db2.gz NAIZRLXPMSQFTE-KRWDZBQOSA-N 0 1 300.789 3.343 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2ccoc2C)C1 ZINC001661201488 1196579629 /nfs/dbraw/zinc/57/96/29/1196579629.db2.gz CAJIHWDKADAXLX-AWEZNQCLSA-N 0 1 310.825 3.125 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@]12CCCOC2 ZINC001620684823 1192066762 /nfs/dbraw/zinc/06/67/62/1192066762.db2.gz VCQFVBZJHYFUSH-GOSISDBHSA-N 0 1 300.402 3.102 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](N(C)C/C=C\Cl)C2)CCC1 ZINC001662862497 1196763523 /nfs/dbraw/zinc/76/35/23/1196763523.db2.gz NZUPEYWWFAWIKB-FTXNUJCXSA-N 0 1 310.869 3.408 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccccc2C(F)(F)F)c1 ZINC001587208824 1192379979 /nfs/dbraw/zinc/37/99/79/1192379979.db2.gz PTLFSZISVQJURU-UHFFFAOYSA-N 0 1 320.270 3.230 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC001587776772 1192417847 /nfs/dbraw/zinc/41/78/47/1192417847.db2.gz SPMKEAZAVOMZIM-UHFFFAOYSA-N 0 1 316.361 3.193 20 30 DGEDMN C=CC[C@@H]1[N@H+](Cc2cccc(-c3nn[nH]n3)c2)CCCC1(C)C ZINC001588564414 1192450186 /nfs/dbraw/zinc/45/01/86/1192450186.db2.gz NCZGBKNJADDVSG-INIZCTEOSA-N 0 1 311.433 3.433 20 30 DGEDMN C=CCCCCC[N@@H+](C)Cc1cccc(F)c1-c1nnn[n-]1 ZINC001588594544 1192451363 /nfs/dbraw/zinc/45/13/63/1192451363.db2.gz VVRRMSORWSHDGQ-UHFFFAOYSA-N 0 1 303.385 3.184 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1cccc(F)c1-c1nnn[n-]1 ZINC001588594544 1192451366 /nfs/dbraw/zinc/45/13/66/1192451366.db2.gz VVRRMSORWSHDGQ-UHFFFAOYSA-N 0 1 303.385 3.184 20 30 DGEDMN C=CCCCCC[N@@H+](C)Cc1cccc(F)c1-c1nn[n-]n1 ZINC001588594544 1192451368 /nfs/dbraw/zinc/45/13/68/1192451368.db2.gz VVRRMSORWSHDGQ-UHFFFAOYSA-N 0 1 303.385 3.184 20 30 DGEDMN C=CCCCCC[N@H+](C)Cc1cccc(F)c1-c1nn[n-]n1 ZINC001588594544 1192451370 /nfs/dbraw/zinc/45/13/70/1192451370.db2.gz VVRRMSORWSHDGQ-UHFFFAOYSA-N 0 1 303.385 3.184 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)CC[C@@H]2C)o1 ZINC001590228643 1192494230 /nfs/dbraw/zinc/49/42/30/1192494230.db2.gz ZBQIKAISQURNIB-UWVGGRQHSA-N 0 1 317.397 3.202 20 30 DGEDMN CCCCCCCCC[N@H+](C)Cc1cccc(-c2nnn[n-]2)n1 ZINC001590592613 1192528052 /nfs/dbraw/zinc/52/80/52/1192528052.db2.gz ANNFGZGMRICMLI-UHFFFAOYSA-N 0 1 316.453 3.444 20 30 DGEDMN CCCCCCCCC[N@@H+](C)Cc1cccc(-c2nnn[n-]2)n1 ZINC001590592613 1192528053 /nfs/dbraw/zinc/52/80/53/1192528053.db2.gz ANNFGZGMRICMLI-UHFFFAOYSA-N 0 1 316.453 3.444 20 30 DGEDMN CCCCCCCCC[N@H+](C)Cc1cccc(-c2nn[n-]n2)n1 ZINC001590592613 1192528056 /nfs/dbraw/zinc/52/80/56/1192528056.db2.gz ANNFGZGMRICMLI-UHFFFAOYSA-N 0 1 316.453 3.444 20 30 DGEDMN CCCCCCCCC[N@@H+](C)Cc1cccc(-c2nn[n-]n2)n1 ZINC001590592613 1192528058 /nfs/dbraw/zinc/52/80/58/1192528058.db2.gz ANNFGZGMRICMLI-UHFFFAOYSA-N 0 1 316.453 3.444 20 30 DGEDMN C[C@@H](Cc1cccc(F)c1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595734244 1192668392 /nfs/dbraw/zinc/66/83/92/1192668392.db2.gz IRIWWFZKBVWUKY-NSHDSACASA-N 0 1 322.343 3.391 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1C[C@@H](OC)C12CCC2 ZINC001620964936 1192681573 /nfs/dbraw/zinc/68/15/73/1192681573.db2.gz LPGMYGRUJXMQNJ-DLBZAZTESA-N 0 1 319.832 3.399 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccsc2Cl)CC1 ZINC001690136039 1176019139 /nfs/dbraw/zinc/01/91/39/1176019139.db2.gz BUVWMSKBLFTQEN-UHFFFAOYSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001691976934 1176084443 /nfs/dbraw/zinc/08/44/43/1176084443.db2.gz WTIBITIRUQNBEW-LSDHHAIUSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001691976926 1176085281 /nfs/dbraw/zinc/08/52/81/1176085281.db2.gz WTIBITIRUQNBEW-GJZGRUSLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1ncc(C)s1 ZINC001691017540 1176099639 /nfs/dbraw/zinc/09/96/39/1176099639.db2.gz MAVLFJGQNPOENA-HUUCEWRRSA-N 0 1 321.490 3.325 20 30 DGEDMN C#CCN(CC#C)CC/C=C/c1ccc(Br)cc1 ZINC001772516081 1176105074 /nfs/dbraw/zinc/10/50/74/1176105074.db2.gz DQQUUGCWQVEDNM-FNORWQNLSA-N 0 1 302.215 3.421 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)n(CC)c1C ZINC001671322898 1176127151 /nfs/dbraw/zinc/12/71/51/1176127151.db2.gz UXEDLDAGVHDFEA-HNNXBMFYSA-N 0 1 323.868 3.072 20 30 DGEDMN N#Cc1cscc1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001597145076 1192720667 /nfs/dbraw/zinc/72/06/67/1192720667.db2.gz FCOHORRNSORSOW-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN CCc1ncc(CNC(=O)c2c(Cl)cc(C#N)cc2Cl)[nH]1 ZINC001597257240 1192724733 /nfs/dbraw/zinc/72/47/33/1192724733.db2.gz GRBDDRDMLPQTRU-UHFFFAOYSA-N 0 1 323.183 3.081 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]2CNC/C(Cl)=C/Cl)C1 ZINC001671347476 1176197205 /nfs/dbraw/zinc/19/72/05/1176197205.db2.gz UTBUYAHJGIUASN-LLBKUYECSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2CC2=CCCCC2)CCC1 ZINC001670686584 1176219240 /nfs/dbraw/zinc/21/92/40/1176219240.db2.gz HXZKKBCVVFLQQL-KRWDZBQOSA-N 0 1 302.462 3.424 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)c1ccccc1C ZINC001754085477 1176247561 /nfs/dbraw/zinc/24/75/61/1176247561.db2.gz YXNFOKZFEWPFTQ-HNNXBMFYSA-N 0 1 308.853 3.289 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001691066797 1176290888 /nfs/dbraw/zinc/29/08/88/1176290888.db2.gz VKKRKAOJYMBZPC-QWHCGFSZSA-N 0 1 324.877 3.061 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC(F)(F)F ZINC001691068765 1176291243 /nfs/dbraw/zinc/29/12/43/1176291243.db2.gz QYUYXFYNONBOCF-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001691077213 1176297671 /nfs/dbraw/zinc/29/76/71/1176297671.db2.gz ZLQKREVVOIBVSC-INIZCTEOSA-N 0 1 304.459 3.022 20 30 DGEDMN CC#CC[N@@H+](CCNC(=O)C1(Cc2ccccc2)CCC1)C1CC1 ZINC001691213090 1176394532 /nfs/dbraw/zinc/39/45/32/1176394532.db2.gz LCRMBHAAHFLKIK-UHFFFAOYSA-N 0 1 324.468 3.003 20 30 DGEDMN CC#CCN(CCNC(=O)C1(Cc2ccccc2)CCC1)C1CC1 ZINC001691213090 1176394542 /nfs/dbraw/zinc/39/45/42/1176394542.db2.gz LCRMBHAAHFLKIK-UHFFFAOYSA-N 0 1 324.468 3.003 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(Cc1ccc(F)cc1)C1CC1 ZINC001691221252 1176399830 /nfs/dbraw/zinc/39/98/30/1176399830.db2.gz MDNMXCKKTBQEGS-UHFFFAOYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(Cc1cnccc1C)C1CC1 ZINC001691228185 1176403800 /nfs/dbraw/zinc/40/38/00/1176403800.db2.gz QETTWQUCEOHRPF-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CCC(CC)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001691262371 1176417338 /nfs/dbraw/zinc/41/73/38/1176417338.db2.gz CQPGGIUTDLOQHC-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001691372001 1176455201 /nfs/dbraw/zinc/45/52/01/1176455201.db2.gz PQDZSJMKWRLXAF-QGZVFWFLSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](C)C(C)(F)F ZINC001691370412 1176455291 /nfs/dbraw/zinc/45/52/91/1176455291.db2.gz PMNJKGRUDCADOD-RTXFEEFZSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H](C=C)c1ccccc1 ZINC001691416720 1176475581 /nfs/dbraw/zinc/47/55/81/1176475581.db2.gz OTZOEDVCZPKPHU-HKUYNNGSSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ccc(F)cc2F)CCC1 ZINC001691464603 1176627609 /nfs/dbraw/zinc/62/76/09/1176627609.db2.gz AJECJYLLWWRBLU-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1CC ZINC001691472320 1176631726 /nfs/dbraw/zinc/63/17/26/1176631726.db2.gz ZRXVRHJBJRFJRI-ZWKOTPCHSA-N 0 1 316.420 3.098 20 30 DGEDMN Cc1cc2ccccc2nc1C(=O)Nc1cc(C#N)ccc1O ZINC001598127953 1192763089 /nfs/dbraw/zinc/76/30/89/1192763089.db2.gz DBXBJBURBXFBGS-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C(C)C)nc1C ZINC001753487053 1176902858 /nfs/dbraw/zinc/90/28/58/1176902858.db2.gz XAMLQCLTEUGOTH-CQSZACIVSA-N 0 1 323.868 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H](C)c1ccccc1 ZINC001755616744 1177109788 /nfs/dbraw/zinc/10/97/88/1177109788.db2.gz YBKOOBRERXMNNZ-ZFWWWQNUSA-N 0 1 308.853 3.369 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H](C)c1ccccc1 ZINC001755616743 1177109819 /nfs/dbraw/zinc/10/98/19/1177109819.db2.gz YBKOOBRERXMNNZ-UKRRQHHQSA-N 0 1 308.853 3.369 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@H]21 ZINC001600075185 1192787571 /nfs/dbraw/zinc/78/75/71/1192787571.db2.gz LHMPIURALIMIKJ-FGTMMUONSA-N 0 1 312.413 3.332 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)[C@@H](F)c1ccccc1 ZINC001752822900 1177205222 /nfs/dbraw/zinc/20/52/22/1177205222.db2.gz QKERYNWOXHUUTB-IRXDYDNUSA-N 0 1 304.409 3.244 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)[C@@H](F)c1ccccc1 ZINC001752822901 1177205433 /nfs/dbraw/zinc/20/54/33/1177205433.db2.gz QKERYNWOXHUUTB-SJORKVTESA-N 0 1 304.409 3.244 20 30 DGEDMN Cc1cc([C@H]([NH2+]Cc2cccc(F)c2C#N)C(=O)[O-])ccc1F ZINC001600150085 1192796488 /nfs/dbraw/zinc/79/64/88/1192796488.db2.gz QVWNBFRPROXRJE-INIZCTEOSA-N 0 1 316.307 3.060 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CCN(C/C=C/Cl)C[C@H]3C2)CCC1 ZINC001691570795 1177316077 /nfs/dbraw/zinc/31/60/77/1177316077.db2.gz UMXOXTUEJRGLGP-VVXZVVLWSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001752923853 1177443921 /nfs/dbraw/zinc/44/39/21/1177443921.db2.gz HGLRLZOWNRWPTN-FOSCPCJNSA-N 0 1 306.837 3.245 20 30 DGEDMN C=CCCN1CCN(C(=O)c2coc3ccc(CC)cc32)CC1 ZINC001687475632 1177537782 /nfs/dbraw/zinc/53/77/82/1177537782.db2.gz MQRCSOWWLJUUGD-UHFFFAOYSA-N 0 1 312.413 3.329 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CNCc1cc(O)ccc1Cl ZINC001671275478 1177574275 /nfs/dbraw/zinc/57/42/75/1177574275.db2.gz HEUSCXDQEBMVMK-AWEZNQCLSA-N 0 1 322.836 3.092 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H]1CCN1CCc1ccccc1F ZINC001691656768 1177595471 /nfs/dbraw/zinc/59/54/71/1177595471.db2.gz MZKVEXBGLGXMHE-KRWDZBQOSA-N 0 1 318.436 3.257 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)c1ccc(F)cc1F ZINC001691678655 1177681051 /nfs/dbraw/zinc/68/10/51/1177681051.db2.gz OSHZSXFYLWYGKZ-UKRRQHHQSA-N 0 1 322.399 3.177 20 30 DGEDMN C#CC[C@@H](CC(=O)N1CCN(CC2CCC2)CC1)c1ccccc1 ZINC001113736851 1177729587 /nfs/dbraw/zinc/72/95/87/1177729587.db2.gz RYCZEAYNLXDGNK-FQEVSTJZSA-N 0 1 324.468 3.128 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCC2CCCCC2)C1 ZINC001691867350 1177784925 /nfs/dbraw/zinc/78/49/25/1177784925.db2.gz RZMRUDFCPDJERO-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1coc(C)n1 ZINC001691718020 1177883426 /nfs/dbraw/zinc/88/34/26/1177883426.db2.gz PYQHXYMZVLNXGQ-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1nc2ccccc2cc1NS(=O)(=O)c1cccc(C#N)c1 ZINC001259117923 1178082486 /nfs/dbraw/zinc/08/24/86/1178082486.db2.gz PRFHGNLDKUEPBR-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1oc(CCC)nc1C ZINC001331533927 1178237204 /nfs/dbraw/zinc/23/72/04/1178237204.db2.gz LXNVCKRCDYKMTM-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN N#C[C@H](NC(=O)C(F)(F)c1cc(F)cc(F)c1)C1CCCC1 ZINC001331554249 1178245404 /nfs/dbraw/zinc/24/54/04/1178245404.db2.gz ZHTXYWWIXPWYOO-ZDUSSCGKSA-N 0 1 314.282 3.255 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](F)CC1CCCCC1 ZINC001331614610 1178259951 /nfs/dbraw/zinc/25/99/51/1178259951.db2.gz HZLJPJJRIGFDDC-ZFWWWQNUSA-N 0 1 318.864 3.484 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C#CC2CC2)CCCN1C/C=C\c1ccccc1 ZINC001332177822 1178381830 /nfs/dbraw/zinc/38/18/30/1178381830.db2.gz QCEGHNROKXYKMG-WEJCQANDSA-N 0 1 322.452 3.082 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C\Cc2ccccc2)[C@@H]1C ZINC001332184524 1178383237 /nfs/dbraw/zinc/38/32/37/1178383237.db2.gz JAKVWXNRXWTKPV-CXFSXTNSSA-N 0 1 324.468 3.168 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC2(C)CCCCC2)[C@H]1C ZINC001332185203 1178386097 /nfs/dbraw/zinc/38/60/97/1178386097.db2.gz OCHQPPGLMMPZIF-IAGOWNOFSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1nc(C)c(C)s1)C(C)(C)C ZINC001332769666 1178494844 /nfs/dbraw/zinc/49/48/44/1178494844.db2.gz KWKDAARCDFAPPZ-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN C=CCO[C@@H]1CCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001333021663 1178542340 /nfs/dbraw/zinc/54/23/40/1178542340.db2.gz GSKWVFXVMQIYQM-DOMZBBRYSA-N 0 1 316.228 3.304 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2scnc2C)CC1 ZINC001333389311 1178598511 /nfs/dbraw/zinc/59/85/11/1178598511.db2.gz AACAZRCRIMLUFP-UHFFFAOYSA-N 0 1 319.474 3.056 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001333495374 1178614787 /nfs/dbraw/zinc/61/47/87/1178614787.db2.gz RCAGFJCSNVICNI-RPVQJOFSSA-N 0 1 304.409 3.289 20 30 DGEDMN Cc1ccsc1[C@H](CO)NCc1cc(Cl)cc(C#N)c1 ZINC001334797908 1178799946 /nfs/dbraw/zinc/79/99/46/1178799946.db2.gz HSDSUCNADJRVTD-AWEZNQCLSA-N 0 1 306.818 3.405 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)cc1 ZINC001601076820 1192916413 /nfs/dbraw/zinc/91/64/13/1192916413.db2.gz VDLLZPRMHNDDNW-RTBURBONSA-N 0 1 320.392 3.053 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC[C@@H](c3ccccn3)C2)cccc1C(=O)[O-] ZINC001601082443 1192916629 /nfs/dbraw/zinc/91/66/29/1192916629.db2.gz UTVSMWSHKWMGFN-OAHLLOKOSA-N 0 1 321.380 3.031 20 30 DGEDMN N#Cc1c(C[N@H+]2CC3CC2(c2ccccc2)C3)cccc1C(=O)[O-] ZINC001601081433 1192916677 /nfs/dbraw/zinc/91/66/77/1192916677.db2.gz LKSFLAJNJJQYIC-UHFFFAOYSA-N 0 1 318.376 3.378 20 30 DGEDMN N#Cc1ccc(CC[N@H+](CCC(=O)[O-])Cc2ccccc2)cc1 ZINC001601097336 1192918534 /nfs/dbraw/zinc/91/85/34/1192918534.db2.gz BOCGOTUCYJOQBL-UHFFFAOYSA-N 0 1 308.381 3.078 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)c(F)c1 ZINC001601100190 1192918699 /nfs/dbraw/zinc/91/86/99/1192918699.db2.gz HZPGYHRHONFRFE-HLLBOEOZSA-N 0 1 316.376 3.163 20 30 DGEDMN COc1cc(C=NNc2ccc(Br)cn2)ccc1F ZINC001335665816 1178941892 /nfs/dbraw/zinc/94/18/92/1178941892.db2.gz FHICHAMJHOUWHV-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CCn1nc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cc1C1CC1 ZINC001341726870 1179054808 /nfs/dbraw/zinc/05/48/08/1179054808.db2.gz XATLCCADGIIHAQ-LBPRGKRZSA-N 0 1 319.368 3.147 20 30 DGEDMN CCOc1cccc(CC(=O)C(C#N)c2nc3ccccc3o2)n1 ZINC001341820372 1179067772 /nfs/dbraw/zinc/06/77/72/1179067772.db2.gz DXGPPAWCVCWLLJ-CYBMUJFWSA-N 0 1 321.336 3.040 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@@H](C)C1 ZINC001341853979 1179070490 /nfs/dbraw/zinc/07/04/90/1179070490.db2.gz NPFGDLGCWUFAJF-CYBMUJFWSA-N 0 1 322.416 3.244 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H]3CC[C@@H](C4CC4)O3)[nH]c21 ZINC001342604074 1179159077 /nfs/dbraw/zinc/15/90/77/1179159077.db2.gz NOGFVSNVEQDFCY-VBQJREDUSA-N 0 1 323.396 3.395 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H]3CC[C@H](C4CC4)O3)[nH]c21 ZINC001342604068 1179159650 /nfs/dbraw/zinc/15/96/50/1179159650.db2.gz NOGFVSNVEQDFCY-CKEIUWERSA-N 0 1 323.396 3.395 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccco2)s1)c1cccc(F)n1 ZINC001342638524 1179164641 /nfs/dbraw/zinc/16/46/41/1179164641.db2.gz CRYUYYFFISPEIY-SECBINFHSA-N 0 1 313.313 3.427 20 30 DGEDMN N#C[C@H](C(=O)CCCC(F)(F)F)c1nc(N)c2ccccc2n1 ZINC001343461568 1179279463 /nfs/dbraw/zinc/27/94/63/1179279463.db2.gz CDKZFRDDSCEHTF-SNVBAGLBSA-N 0 1 322.290 3.121 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001343581808 1179299661 /nfs/dbraw/zinc/29/96/61/1179299661.db2.gz FVDLERJKJIHPGZ-PNBKFKSVSA-N 0 1 310.397 3.469 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(Cl)cc2)[C@@H]2CCC[C@@H]21 ZINC001601827667 1192947698 /nfs/dbraw/zinc/94/76/98/1192947698.db2.gz YQCIFQWNIYIBFN-BRWVUGGUSA-N 0 1 317.816 3.267 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)c1nnc2n1CCCCC2 ZINC001343823028 1179335726 /nfs/dbraw/zinc/33/57/26/1179335726.db2.gz NDUQIAQAGZYUJQ-BYNSBNAKSA-N 0 1 312.417 3.007 20 30 DGEDMN C=C(Br)CNCc1ccc(-c2cnn(C)c2)cc1 ZINC001344378612 1179423362 /nfs/dbraw/zinc/42/33/62/1179423362.db2.gz SVQSEDHYHDDRML-UHFFFAOYSA-N 0 1 306.207 3.085 20 30 DGEDMN Cn1cc(-c2ccc(CNCc3ccc(C#N)cc3F)cc2)cn1 ZINC001344378812 1179423436 /nfs/dbraw/zinc/42/34/36/1179423436.db2.gz WKDHCNJZBJZNNM-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@]1(C)CCc2ccccc21 ZINC001344864122 1179488774 /nfs/dbraw/zinc/48/87/74/1179488774.db2.gz MIPICYVMYLXGOM-YVEFUNNKSA-N 0 1 313.788 3.154 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@]1(C)CCc2ccccc21 ZINC001344864121 1179488894 /nfs/dbraw/zinc/48/88/94/1179488894.db2.gz MIPICYVMYLXGOM-SJKOYZFVSA-N 0 1 313.788 3.154 20 30 DGEDMN C#CCN1CCC(OC(=O)CCc2ccc(C(F)F)cc2)CC1 ZINC001344941980 1179502116 /nfs/dbraw/zinc/50/21/16/1179502116.db2.gz FQZDVYMVADLHHA-UHFFFAOYSA-N 0 1 321.367 3.198 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H](c1cccs1)N(C)C)c1ccccc1 ZINC001345527548 1179570305 /nfs/dbraw/zinc/57/03/05/1179570305.db2.gz TVGSAQVKKGADDD-HOTGVXAUSA-N 0 1 314.454 3.437 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1cccc(Cl)c1F ZINC001345646790 1179586592 /nfs/dbraw/zinc/58/65/92/1179586592.db2.gz OODMKZNPGXTNNR-LLVKDONJSA-N 0 1 305.740 3.114 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1cccc(Cl)c1F ZINC001345646808 1179586688 /nfs/dbraw/zinc/58/66/88/1179586688.db2.gz OODMKZNPGXTNNR-NSHDSACASA-N 0 1 305.740 3.114 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@@H](C)c2cccc(Cl)c2)CC1 ZINC001346160634 1179663847 /nfs/dbraw/zinc/66/38/47/1179663847.db2.gz FTOBABPZNFZZCD-ZDUSSCGKSA-N 0 1 305.805 3.084 20 30 DGEDMN CCCCc1ccc(NC(=O)[C@@H](C#N)C(=O)c2[nH]cnc2C)cc1 ZINC001346239309 1179675374 /nfs/dbraw/zinc/67/53/74/1179675374.db2.gz IYBQLQGRNYGENW-HNNXBMFYSA-N 0 1 324.384 3.022 20 30 DGEDMN N#CC(C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)c1nnc2n1CCCCC2 ZINC001346527031 1179715526 /nfs/dbraw/zinc/71/55/26/1179715526.db2.gz PVHDOBMBIFPUPA-XGUBFFRZSA-N 0 1 312.417 3.007 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccc(Cl)cc2)CC1 ZINC001347337331 1179797518 /nfs/dbraw/zinc/79/75/18/1179797518.db2.gz HBBQEZBAEQLIIX-AWEZNQCLSA-N 0 1 313.788 3.464 20 30 DGEDMN CC[C@@H](C#N)N(C)C(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001349776837 1179949448 /nfs/dbraw/zinc/94/94/48/1179949448.db2.gz HNIMZPNMUPBOAU-ZWKOTPCHSA-N 0 1 313.445 3.110 20 30 DGEDMN C#CC[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001602701243 1192994800 /nfs/dbraw/zinc/99/48/00/1192994800.db2.gz CMMRULSRQMEWKH-BXUZGUMPSA-N 0 1 311.303 3.176 20 30 DGEDMN C#CC[N@H+](Cc1coc(-c2ccc(C(=O)[O-])cc2)n1)C(C)(C)C ZINC001602721131 1192994901 /nfs/dbraw/zinc/99/49/01/1192994901.db2.gz XAFBFKSVWAGPLB-UHFFFAOYSA-N 0 1 312.369 3.274 20 30 DGEDMN C#CC[N@@H+](Cc1coc(-c2ccc(C(=O)[O-])cc2)n1)C(C)(C)C ZINC001602721131 1192994902 /nfs/dbraw/zinc/99/49/02/1192994902.db2.gz XAFBFKSVWAGPLB-UHFFFAOYSA-N 0 1 312.369 3.274 20 30 DGEDMN C#CCCCCC[N@@H+]1CCC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001602705078 1192994986 /nfs/dbraw/zinc/99/49/86/1192994986.db2.gz FJCCCQGBIWIDIS-ZDUSSCGKSA-N 0 1 306.431 3.214 20 30 DGEDMN C#CCCCCCC[N@H+](C)[C@H](C)c1cccc(-c2nn[nH]n2)c1 ZINC001602711407 1192995029 /nfs/dbraw/zinc/99/50/29/1192995029.db2.gz STKVHSOAXZNRFX-OAHLLOKOSA-N 0 1 311.433 3.443 20 30 DGEDMN C=C(C)[C@H]([NH2+]Cc1cncc(C(=O)[O-])c1)c1ccc(F)c(F)c1 ZINC001602746591 1192996441 /nfs/dbraw/zinc/99/64/41/1192996441.db2.gz DCXKMEITLIOBAX-INIZCTEOSA-N 0 1 318.323 3.465 20 30 DGEDMN C=CC[N@@H+](CCc1ccco1)[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC001602894198 1193001666 /nfs/dbraw/zinc/00/16/66/1193001666.db2.gz WOSQJOCIGUDRTD-NSHDSACASA-N 0 1 306.387 3.226 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC001602894198 1193001669 /nfs/dbraw/zinc/00/16/69/1193001669.db2.gz WOSQJOCIGUDRTD-NSHDSACASA-N 0 1 306.387 3.226 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@@H+](CC(=O)[O-])Cc2ccccc2)cc1 ZINC001603131890 1193008591 /nfs/dbraw/zinc/00/85/91/1193008591.db2.gz NXMXWAQZYNLWFZ-OAHLLOKOSA-N 0 1 324.380 3.064 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@@H+](CC(=O)[O-])Cc2ccccc2)cc1 ZINC001603131889 1193008628 /nfs/dbraw/zinc/00/86/28/1193008628.db2.gz NXMXWAQZYNLWFZ-HNNXBMFYSA-N 0 1 324.380 3.064 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC001603229696 1193011545 /nfs/dbraw/zinc/01/15/45/1193011545.db2.gz DGULXYIYRXVMKG-UHFFFAOYSA-N 0 1 304.365 3.212 20 30 DGEDMN CC(C)C[C@H](C)CN=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC001603259176 1193013207 /nfs/dbraw/zinc/01/32/07/1193013207.db2.gz BLWLWUHUEVIRQL-JTQLQIEISA-N 0 1 305.386 3.058 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001480516440 1180583832 /nfs/dbraw/zinc/58/38/32/1180583832.db2.gz RJSXWOCCZHMQGG-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100364462 1180617787 /nfs/dbraw/zinc/61/77/87/1180617787.db2.gz GUFTXRLHJMKAFN-WQLSENKSSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NCc2ncoc2C(C)C)C1 ZINC001100372609 1180624646 /nfs/dbraw/zinc/62/46/46/1180624646.db2.gz IPMCUORSAKBPMC-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN COc1ccc(CNCc2cccc(OCC#N)c2)c(F)c1 ZINC001200449467 1180651704 /nfs/dbraw/zinc/65/17/04/1180651704.db2.gz DMBQMJBWGBEYLH-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1cccc2c1OCO2 ZINC001354229211 1180752360 /nfs/dbraw/zinc/75/23/60/1180752360.db2.gz OYAOTIGGBJZFAK-CYBMUJFWSA-N 0 1 310.353 3.168 20 30 DGEDMN CC[C@H](NCc1cccc(OCC#N)c1)c1cccc(OC)n1 ZINC001354229709 1180752786 /nfs/dbraw/zinc/75/27/86/1180752786.db2.gz SZVVZESELMNDAW-INIZCTEOSA-N 0 1 311.385 3.233 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CC(NCc2nc(C)cs2)C1 ZINC001100559401 1180789692 /nfs/dbraw/zinc/78/96/92/1180789692.db2.gz ADMXWRXBWGONLM-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100595164 1180833607 /nfs/dbraw/zinc/83/36/07/1180833607.db2.gz OTEYAJTZVCMZDH-FMBOFROOSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)(NC(=O)c1n[nH]c2ccccc21)c1cccc(C#N)c1 ZINC001300709149 1180896578 /nfs/dbraw/zinc/89/65/78/1180896578.db2.gz RNYRUYPKNCDEKU-UHFFFAOYSA-N 0 1 304.353 3.100 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)CN(C)CCc1ccccc1 ZINC001300737859 1180920705 /nfs/dbraw/zinc/92/07/05/1180920705.db2.gz RZMWVVFNVVZVQE-RTBURBONSA-N 0 1 314.473 3.412 20 30 DGEDMN C#CCN(C(=O)c1cnc(C2CC2)[nH]1)[C@H]1CCCc2ccccc21 ZINC001355146073 1180996392 /nfs/dbraw/zinc/99/63/92/1180996392.db2.gz HEZUEUJDRQFQIC-SFHVURJKSA-N 0 1 319.408 3.440 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@H](c1ccsc1)N(C)C ZINC001355215118 1181012940 /nfs/dbraw/zinc/01/29/40/1181012940.db2.gz RFDSOVQRBALYEC-OAHLLOKOSA-N 0 1 309.479 3.349 20 30 DGEDMN C[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2cccc(OCCCC#N)c2)C1 ZINC001604496026 1193076445 /nfs/dbraw/zinc/07/64/45/1193076445.db2.gz BSOOVUCELLHVFA-GOSISDBHSA-N 0 1 316.401 3.056 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)CCc2ccccc21 ZINC001604800061 1193093806 /nfs/dbraw/zinc/09/38/06/1193093806.db2.gz PYNWNUSMUMGMEW-CQSZACIVSA-N 0 1 320.392 3.418 20 30 DGEDMN CCCn1cc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)n1 ZINC001357528070 1181405904 /nfs/dbraw/zinc/40/59/04/1181405904.db2.gz WOPDMZQLQLWJLO-CYBMUJFWSA-N 0 1 321.384 3.276 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001604980245 1193103616 /nfs/dbraw/zinc/10/36/16/1193103616.db2.gz BCNLQTXVIQQQFR-VXGBXAGGSA-N 0 1 300.280 3.113 20 30 DGEDMN CC[C@]([NH2+]CC#Cc1ccc(F)cc1)(C(=O)[O-])c1ccccc1 ZINC001605091889 1193112373 /nfs/dbraw/zinc/11/23/73/1193112373.db2.gz OGKIPNSVYZPSPB-LJQANCHMSA-N 0 1 311.356 3.157 20 30 DGEDMN C#CCCCC(=O)NCCN(CC)Cc1ccc(F)c(Cl)c1 ZINC001492942394 1181746714 /nfs/dbraw/zinc/74/67/14/1181746714.db2.gz UDQYFWPZKZLNCT-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN N#Cc1ccc2c(c1)CN(C(=O)c1[nH]nc3ccc(Cl)cc31)C2 ZINC001437139605 1181923465 /nfs/dbraw/zinc/92/34/65/1181923465.db2.gz RHSFNCGMOJBCRX-UHFFFAOYSA-N 0 1 322.755 3.244 20 30 DGEDMN C=C[C@H](C(=O)NCCN1CCc2ccccc2C1)c1ccccc1 ZINC001441046671 1182055519 /nfs/dbraw/zinc/05/55/19/1182055519.db2.gz IGUBXQAYVBQBBK-FQEVSTJZSA-N 0 1 320.436 3.131 20 30 DGEDMN N#CCc1cccc(NC(=O)c2c(O)cnc3c(F)cccc32)c1 ZINC001449715305 1182232914 /nfs/dbraw/zinc/23/29/14/1182232914.db2.gz VXSCOOOXXPQJBJ-UHFFFAOYSA-N 0 1 321.311 3.398 20 30 DGEDMN CC(C)(C)Oc1ccc(NC(=O)c2ncccc2O)cc1C#N ZINC001450013750 1182237587 /nfs/dbraw/zinc/23/75/87/1182237587.db2.gz KLTVPDJJGUUTEP-UHFFFAOYSA-N 0 1 311.341 3.088 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@H](CSc2ccccc2)C1 ZINC001459596383 1182596243 /nfs/dbraw/zinc/59/62/43/1182596243.db2.gz RJBSOAWDJGPYHO-ZBFHGGJFSA-N 0 1 305.443 3.218 20 30 DGEDMN CCC[C@@H](C)CCCN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC001459770596 1182613435 /nfs/dbraw/zinc/61/34/35/1182613435.db2.gz SXWOPXZRRLDTOB-CVEARBPZSA-N 0 1 315.465 3.075 20 30 DGEDMN COC(=O)c1cccc(CN(C)CCc2ccccc2C)c1C#N ZINC001460149340 1182657057 /nfs/dbraw/zinc/65/70/57/1182657057.db2.gz IVAMMOWFDWXINS-UHFFFAOYSA-N 0 1 322.408 3.328 20 30 DGEDMN CN(Cc1ccc(CC#N)cc1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001460220006 1182663979 /nfs/dbraw/zinc/66/39/79/1182663979.db2.gz YOQJZQLYOCPSIS-SFHVURJKSA-N 0 1 317.396 3.092 20 30 DGEDMN Cc1cccc(C)c1CN(C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC001460849268 1182730535 /nfs/dbraw/zinc/73/05/35/1182730535.db2.gz KDEHHGFBHFPJEI-VQIMIIECSA-N 0 1 315.461 3.178 20 30 DGEDMN CC(C)c1ncsc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001461917403 1182877164 /nfs/dbraw/zinc/87/71/64/1182877164.db2.gz JXAGTKBSQOQVGB-UHFFFAOYSA-N 0 1 311.370 3.267 20 30 DGEDMN C#C[C@@H](NC[C@@H]1C[C@H]1c1cnn(C)c1)c1ccc(Cl)cc1F ZINC001462229304 1182926008 /nfs/dbraw/zinc/92/60/08/1182926008.db2.gz ZYLHFKOBODMWOS-UXEPBGEESA-N 0 1 317.795 3.280 20 30 DGEDMN C#C[C@@H](NCc1c(Cl)cccc1N1CCOCC1)C(C)(C)C ZINC001462291888 1182934872 /nfs/dbraw/zinc/93/48/72/1182934872.db2.gz GHRACXYPJYXTBE-QGZVFWFLSA-N 0 1 320.864 3.314 20 30 DGEDMN C=CCC[C@@H](C)[NH2+][C@@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC001462390986 1182946029 /nfs/dbraw/zinc/94/60/29/1182946029.db2.gz JBZVWWPVMRAUBV-OLZOCXBDSA-N 0 1 308.426 3.013 20 30 DGEDMN C[N@H+](Cc1ccc2cc[nH]c2c1)Cc1cccc(C(=O)[O-])c1C#N ZINC001606164336 1193192137 /nfs/dbraw/zinc/19/21/37/1193192137.db2.gz XGFKCMLCMIJBCH-UHFFFAOYSA-N 0 1 319.364 3.370 20 30 DGEDMN C[N@@H+](Cc1ccc2cc[nH]c2c1)Cc1cccc(C(=O)[O-])c1C#N ZINC001606164336 1193192138 /nfs/dbraw/zinc/19/21/38/1193192138.db2.gz XGFKCMLCMIJBCH-UHFFFAOYSA-N 0 1 319.364 3.370 20 30 DGEDMN COc1ccc(F)cc1CCNCc1cccc(F)c1C#N ZINC001462578950 1182971860 /nfs/dbraw/zinc/97/18/60/1182971860.db2.gz UFYYFPVDSBFUGY-UHFFFAOYSA-N 0 1 302.324 3.177 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@@H](CCC#N)c3ccccc3)c2C1 ZINC001466244768 1183195162 /nfs/dbraw/zinc/19/51/62/1183195162.db2.gz WXECHRRUSSTQLU-BBRMVZONSA-N 0 1 322.412 3.309 20 30 DGEDMN CCCCCCCCNC(=O)N1CC[N@H+](C2CC2)C[C@@H](C)C1 ZINC001469424326 1183407882 /nfs/dbraw/zinc/40/78/82/1183407882.db2.gz LTNNGESNRBWNRA-MRXNPFEDSA-N 0 1 309.498 3.473 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC001469533186 1183416281 /nfs/dbraw/zinc/41/62/81/1183416281.db2.gz NSTZRNGMBGAUIN-WMZOPIPTSA-N 0 1 315.461 3.305 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(C)C[C@H]2CC)CCCC1 ZINC001470790632 1183514169 /nfs/dbraw/zinc/51/41/69/1183514169.db2.gz PBFJWFORJMITLL-MRXNPFEDSA-N 0 1 307.482 3.249 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc3ccccc3c2)c1 ZINC001471086461 1183536921 /nfs/dbraw/zinc/53/69/21/1183536921.db2.gz VFGVHYRGYIHGEI-UHFFFAOYSA-N 0 1 302.333 3.364 20 30 DGEDMN C=C(CN(C)C)C(=O)Nc1ccc(C)c(C(=O)c2ccccc2)c1 ZINC001471308026 1183553935 /nfs/dbraw/zinc/55/39/35/1183553935.db2.gz ZNRGHIVZXBAPHV-UHFFFAOYSA-N 0 1 322.408 3.282 20 30 DGEDMN O=C(c1cc(C(F)F)[nH]n1)N(O)Cc1ccccc1Cl ZINC001472620038 1183656683 /nfs/dbraw/zinc/65/66/83/1183656683.db2.gz MAVWJDIPXWEDLT-UHFFFAOYSA-N 0 1 301.680 3.032 20 30 DGEDMN CN1CCN(c2cccc(F)c2C#N)[C@@H](Cc2ccccc2)C1 ZINC001472823653 1183686357 /nfs/dbraw/zinc/68/63/57/1183686357.db2.gz HPGQHOZCFVGYDC-INIZCTEOSA-N 0 1 309.388 3.060 20 30 DGEDMN N#Cc1cc(C(F)(F)F)cc(NCc2ccc3nc[nH]c3c2)n1 ZINC001472832666 1183687853 /nfs/dbraw/zinc/68/78/53/1183687853.db2.gz JPOILPUGXSOUBD-UHFFFAOYSA-N 0 1 317.274 3.460 20 30 DGEDMN C=CC[C@H](C)N1CCN(c2ncc(Cl)cc2Cl)CC1 ZINC001473108327 1183716003 /nfs/dbraw/zinc/71/60/03/1183716003.db2.gz HWUXBZVFVZKWMB-NSHDSACASA-N 0 1 300.233 3.475 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)CCCc2ccccc2)CC1 ZINC001473144729 1183721153 /nfs/dbraw/zinc/72/11/53/1183721153.db2.gz YHAVCYUKNAESBA-KRWDZBQOSA-N 0 1 300.446 3.118 20 30 DGEDMN Cc1cc(C[N@H+](CC(C)C)CC(C)(C)C(=O)[O-])ccc1C#N ZINC001607640805 1193243666 /nfs/dbraw/zinc/24/36/66/1193243666.db2.gz YQHPPXNOZKRJBL-UHFFFAOYSA-N 0 1 302.418 3.436 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001474328238 1183904923 /nfs/dbraw/zinc/90/49/23/1183904923.db2.gz OBGHSMVSUDURJB-UHFFFAOYSA-N 0 1 323.396 3.465 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H]1COC2(CCCCCC2)O1 ZINC001474393979 1183914205 /nfs/dbraw/zinc/91/42/05/1183914205.db2.gz BTRXBLLVTHTKOE-HNNXBMFYSA-N 0 1 318.392 3.253 20 30 DGEDMN COc1nc(CN[C@@H]2CCCc3cc(C#N)ccc32)ccc1C ZINC001474585516 1183944729 /nfs/dbraw/zinc/94/47/29/1183944729.db2.gz VOIYQNWXEAVCSE-GOSISDBHSA-N 0 1 307.397 3.438 20 30 DGEDMN CCC(CC)[C@@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001477276548 1184077828 /nfs/dbraw/zinc/07/78/28/1184077828.db2.gz HLWZOZXUIKKHJB-GOSISDBHSA-N 0 1 315.461 3.028 20 30 DGEDMN Cc1cccc2c1C[N@H+](Cc1cccc(C(=O)[O-])c1C#N)CC2 ZINC001607865374 1193263688 /nfs/dbraw/zinc/26/36/88/1193263688.db2.gz GHBFUEVXVFJWGK-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN Cc1cccc2c1C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)CC2 ZINC001607865374 1193263690 /nfs/dbraw/zinc/26/36/90/1193263690.db2.gz GHBFUEVXVFJWGK-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C=C(CC)CNC(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC001478813073 1184178095 /nfs/dbraw/zinc/17/80/95/1184178095.db2.gz GAUXFKPNTDAGEY-SFHVURJKSA-N 0 1 315.461 3.431 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC(C1CCC1)C1CCC1 ZINC001479247830 1184216100 /nfs/dbraw/zinc/21/61/00/1184216100.db2.gz XMAVPUSEGORHCB-QGZVFWFLSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCCCC1(C)C ZINC001479272901 1184224855 /nfs/dbraw/zinc/22/48/55/1184224855.db2.gz BZXVMGAJBPKBCF-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2c(C)nsc2C)[C@@H]1C ZINC001479708123 1184296075 /nfs/dbraw/zinc/29/60/75/1184296075.db2.gz ZAWANTJKHXXJMU-CJNGLKHVSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCCC1(C)C ZINC001479852037 1184350402 /nfs/dbraw/zinc/35/04/02/1184350402.db2.gz UVYCQIBMSFKYIV-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](Cc3ccccc3)C2)cc1 ZINC001608199572 1193282185 /nfs/dbraw/zinc/28/21/85/1193282185.db2.gz NFZWCVYZMUUEQZ-HKUYNNGSSA-N 0 1 320.392 3.249 20 30 DGEDMN N#Cc1ccc(C2=CC[N@@H+](Cc3cc(C(=O)[O-])co3)CC2)cc1 ZINC001608203867 1193283100 /nfs/dbraw/zinc/28/31/00/1193283100.db2.gz KVRUGWGPZZDCAJ-UHFFFAOYSA-N 0 1 308.337 3.139 20 30 DGEDMN N#Cc1ccc(C[NH2+][C@H](C(=O)[O-])c2ccccc2Cl)c(F)c1 ZINC001608207360 1193283306 /nfs/dbraw/zinc/28/33/06/1193283306.db2.gz GLGNFOMYSAQBPG-HNNXBMFYSA-N 0 1 318.735 3.266 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(CCc2ccccc2F)C1 ZINC001479954628 1184389276 /nfs/dbraw/zinc/38/92/76/1184389276.db2.gz SHWCESYKEXJZMS-MRXNPFEDSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)C1CC1 ZINC001480048812 1184417778 /nfs/dbraw/zinc/41/77/78/1184417778.db2.gz LFXJDSWDKFCBCR-TWMKSMIVSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001480293563 1184482042 /nfs/dbraw/zinc/48/20/42/1184482042.db2.gz REDPMZLCUBLPHO-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CCCC1CCCC1 ZINC001480333476 1184496083 /nfs/dbraw/zinc/49/60/83/1184496083.db2.gz YCXBEGXRJUTCMB-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)Cc1ccc(F)cc1 ZINC001480335238 1184497664 /nfs/dbraw/zinc/49/76/64/1184497664.db2.gz PBXAHSZEQPGQNC-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN CCC(C)(C)CC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001480465187 1184532520 /nfs/dbraw/zinc/53/25/20/1184532520.db2.gz GBADQBPMSKKBTA-HNNXBMFYSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)Cc1ccccc1C ZINC001480601312 1184557886 /nfs/dbraw/zinc/55/78/86/1184557886.db2.gz MVNPINGURZAOKV-HIFRSBDPSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H](N(C)C/C=C\Cl)C1 ZINC001480729139 1184591092 /nfs/dbraw/zinc/59/10/92/1184591092.db2.gz FFPUHDXJETUSJO-CKDIWJPDSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1Cc1cccc(Cl)c1 ZINC001493098303 1184650062 /nfs/dbraw/zinc/65/00/62/1184650062.db2.gz VRAYHZYREDDINT-QGZVFWFLSA-N 0 1 318.848 3.224 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(c2ccccc2C)CCC1 ZINC001493154852 1184661254 /nfs/dbraw/zinc/66/12/54/1184661254.db2.gz GHXJRIYHOGOTNQ-SFHVURJKSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@H](C)c2ccc(F)c(F)c2)C1 ZINC001493188877 1184666070 /nfs/dbraw/zinc/66/60/70/1184666070.db2.gz ZVZTYBNWHBUICR-KGLIPLIRSA-N 0 1 322.399 3.430 20 30 DGEDMN CCCC[C@@H](CC)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001493483427 1184712847 /nfs/dbraw/zinc/71/28/47/1184712847.db2.gz JBHAXPCBTOAHCG-LJQANCHMSA-N 0 1 314.473 3.303 20 30 DGEDMN CC[C@@H](CC(=O)N(C)CCNCC#Cc1ccccc1)C(C)C ZINC001493766716 1184736233 /nfs/dbraw/zinc/73/62/33/1184736233.db2.gz GJQQSRMXYUEWAG-IBGZPJMESA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1csc2c1CCCC2 ZINC001493786875 1184738298 /nfs/dbraw/zinc/73/82/98/1184738298.db2.gz FSFICOATUMQLSH-UHFFFAOYSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CC[C@H](C)C1)C(C)C ZINC001493870639 1184753955 /nfs/dbraw/zinc/75/39/55/1184753955.db2.gz AWCZOOPHFZITND-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC/C=C/Cl)c1ccccc1 ZINC001493892478 1184759451 /nfs/dbraw/zinc/75/94/51/1184759451.db2.gz NLRJLBZHBQKQLO-KUCQQTCKSA-N 0 1 306.837 3.398 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCCc1ccccc1)c1ccccc1 ZINC001493894724 1184761084 /nfs/dbraw/zinc/76/10/84/1184761084.db2.gz BRWNXKOKXVVDHM-FQEVSTJZSA-N 0 1 320.436 3.090 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(CC)ccn2)C1 ZINC001493949182 1184774847 /nfs/dbraw/zinc/77/48/47/1184774847.db2.gz HFYVCXBSCUYUFY-QGZVFWFLSA-N 0 1 315.461 3.147 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001493965174 1184778780 /nfs/dbraw/zinc/77/87/80/1184778780.db2.gz RECLTYONCRRQFO-FJIDUMEYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@H](C=C)c2ccccc2)C1 ZINC001493977561 1184782665 /nfs/dbraw/zinc/78/26/65/1184782665.db2.gz NKKJSFZBDMQYSI-RTBURBONSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C=C)c2ccccc2)C1 ZINC001493977561 1184782669 /nfs/dbraw/zinc/78/26/69/1184782669.db2.gz NKKJSFZBDMQYSI-RTBURBONSA-N 0 1 312.457 3.455 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CCC)C(=O)c2coc3ccccc32)C1 ZINC001493977399 1184783101 /nfs/dbraw/zinc/78/31/01/1184783101.db2.gz MHOGXGGVHBECSK-INIZCTEOSA-N 0 1 324.424 3.383 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001494149046 1184816960 /nfs/dbraw/zinc/81/69/60/1184816960.db2.gz YOXZCQRGOSMBSJ-VQHPVUNQSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@H](C)c2ccco2)C1 ZINC001494172325 1184824336 /nfs/dbraw/zinc/82/43/36/1184824336.db2.gz ORPPUERRVMWVAV-ZFWWWQNUSA-N 0 1 324.852 3.306 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CC2CCCC2)CC1 ZINC001494187210 1184828472 /nfs/dbraw/zinc/82/84/72/1184828472.db2.gz JWANNKGEOCXXND-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(CF)CCC2)CC1 ZINC001494207178 1184833611 /nfs/dbraw/zinc/83/36/11/1184833611.db2.gz XCPRBQCLARTOSP-UHFFFAOYSA-N 0 1 316.848 3.049 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001494330790 1184857770 /nfs/dbraw/zinc/85/77/70/1184857770.db2.gz OVRUSXBQNUVBPU-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001494501155 1184877500 /nfs/dbraw/zinc/87/75/00/1184877500.db2.gz CFOLIBPFQDHCKY-AWEZNQCLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCc2ccccc21 ZINC001494666887 1184902015 /nfs/dbraw/zinc/90/20/15/1184902015.db2.gz DPGMVJASBFASCQ-LNLFQRSKSA-N 0 1 310.441 3.315 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CCCC2CCCCC2)C1 ZINC001494867552 1184949296 /nfs/dbraw/zinc/94/92/96/1184949296.db2.gz HSYFHWWXJPAKPW-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001494890690 1184955455 /nfs/dbraw/zinc/95/54/55/1184955455.db2.gz BPRCKPSCMWLDKD-KRWDZBQOSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001494925901 1184963939 /nfs/dbraw/zinc/96/39/39/1184963939.db2.gz UXRMDCBRASLKGO-IRXDYDNUSA-N 0 1 300.446 3.207 20 30 DGEDMN C=CCC1(C(=O)N2CCC3(CN(C[C@@H](F)CC)C3)CC2)CCC1 ZINC001495978962 1185121377 /nfs/dbraw/zinc/12/13/77/1185121377.db2.gz TXDTUVSAZXCQQM-INIZCTEOSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(c2ccccc2)CCC1 ZINC001496111479 1185136216 /nfs/dbraw/zinc/13/62/16/1185136216.db2.gz OGZCANIAFUDZMP-HNNXBMFYSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@@H]1CCCC1(F)F ZINC001496143162 1185140073 /nfs/dbraw/zinc/14/00/73/1185140073.db2.gz CICXWYQDDWFZTO-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](NCc2cscn2)C(C)C)CCC1 ZINC001496595712 1185218876 /nfs/dbraw/zinc/21/88/76/1185218876.db2.gz BTPQTCBBQRPIPS-HNNXBMFYSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CCNCc2ccon2)CCCCC1 ZINC001497289130 1185310473 /nfs/dbraw/zinc/31/04/73/1185310473.db2.gz PCKBRPFZOIFUEZ-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN CCN(CCN[C@H](C)c1ccccc1Cl)C(=O)C#CC1CC1 ZINC001497391635 1185327327 /nfs/dbraw/zinc/32/73/27/1185327327.db2.gz VBYLZNAPBQGTGY-CQSZACIVSA-N 0 1 318.848 3.253 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)c1cccs1 ZINC001497453122 1185336328 /nfs/dbraw/zinc/33/63/28/1185336328.db2.gz RIVALFCHLKEDPG-LBPRGKRZSA-N 0 1 300.855 3.042 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCc1nc(C)c(C)s1 ZINC001497460331 1185337320 /nfs/dbraw/zinc/33/73/20/1185337320.db2.gz NPXDEAXDRRRFQS-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@@H+](C)C2(C(=O)[O-])CCCCC2)cc1 ZINC001609631426 1193346469 /nfs/dbraw/zinc/34/64/69/1193346469.db2.gz CAQUOVQAFZPUIM-AWEZNQCLSA-N 0 1 316.401 3.197 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H]1CCc2ccccc21 ZINC001497705302 1185378907 /nfs/dbraw/zinc/37/89/07/1185378907.db2.gz HMDBAHIRRSXUIR-DWTRPJMMSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001497823236 1185398014 /nfs/dbraw/zinc/39/80/14/1185398014.db2.gz ULUBMUXLWZRIOU-CYBMUJFWSA-N 0 1 323.506 3.391 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CNCc1cc(O)ccc1Cl ZINC001497883844 1185405553 /nfs/dbraw/zinc/40/55/53/1185405553.db2.gz MXMVAXJEVBYITD-OLZOCXBDSA-N 0 1 324.852 3.242 20 30 DGEDMN CC[C@H](CC(=O)N[C@@H](C)CNCc1ccccc1C#N)C(C)C ZINC001498166584 1185443135 /nfs/dbraw/zinc/44/31/35/1185443135.db2.gz IBANAFOZQXUHSQ-JKSUJKDBSA-N 0 1 315.461 3.225 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC001498267042 1185461878 /nfs/dbraw/zinc/46/18/78/1185461878.db2.gz UDKDGYBJMNVWCE-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C(C)C)cn1)C1CC1 ZINC001498821350 1185551948 /nfs/dbraw/zinc/55/19/48/1185551948.db2.gz BZVQDTVJCVLKJX-MRXNPFEDSA-N 0 1 321.852 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1cccc(F)c1)C1CC1 ZINC001498873959 1185559306 /nfs/dbraw/zinc/55/93/06/1185559306.db2.gz YIZFXMXNMUPPQB-BLLLJJGKSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc2ncccc2c1 ZINC001498984938 1185584935 /nfs/dbraw/zinc/58/49/35/1185584935.db2.gz AKENUGPGRHUFKG-GOSISDBHSA-N 0 1 323.440 3.282 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001499041449 1185598513 /nfs/dbraw/zinc/59/85/13/1185598513.db2.gz GJLAEFFHULXRIW-QAPCUYQASA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001499127413 1185616929 /nfs/dbraw/zinc/61/69/29/1185616929.db2.gz LYEDKHSHUKXUHQ-ROUUACIJSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ccc(Cl)cc2F)CC1 ZINC001499310207 1185635415 /nfs/dbraw/zinc/63/54/15/1185635415.db2.gz HQGJDQBFGNWITK-UHFFFAOYSA-N 0 1 324.827 3.430 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)c2[nH]c(C)cc2C)C1 ZINC001499696755 1185685438 /nfs/dbraw/zinc/68/54/38/1185685438.db2.gz TYLCXIJOOPXUOV-UHFFFAOYSA-N 0 1 305.466 3.358 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccc(F)c(F)c2)C1 ZINC001499736914 1185690177 /nfs/dbraw/zinc/69/01/77/1185690177.db2.gz CPRLKNBJABPJFU-CYBMUJFWSA-N 0 1 322.399 3.005 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)c2cc(C)[nH]c2C)C1 ZINC001499752424 1185692494 /nfs/dbraw/zinc/69/24/94/1185692494.db2.gz UROJNSQGMDKQSA-UHFFFAOYSA-N 0 1 305.466 3.358 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(F)cc(F)c2)C1 ZINC001499779705 1185695074 /nfs/dbraw/zinc/69/50/74/1185695074.db2.gz DXPFOCVHWBYLLB-GFCCVEGCSA-N 0 1 308.372 3.076 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C1CN(CC2CCCC2)C1)c1ccccc1 ZINC001499795495 1185696445 /nfs/dbraw/zinc/69/64/45/1185696445.db2.gz PDZXQXYEBAFCCJ-LJQANCHMSA-N 0 1 312.457 3.289 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)CC2CCCCC2)CC1 ZINC001499998709 1185730007 /nfs/dbraw/zinc/73/00/07/1185730007.db2.gz XBPPRCUJRLYWCI-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN(C(=O)CCCCC)C1CCN(C/C=C\Cl)CC1 ZINC001500028496 1185732349 /nfs/dbraw/zinc/73/23/49/1185732349.db2.gz MNTHNWLPYKSEJU-XFFZJAGNSA-N 0 1 310.869 3.245 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cncc(C)c1)C1CCCC1 ZINC001500077879 1185739425 /nfs/dbraw/zinc/73/94/25/1185739425.db2.gz TTYNOJJXVSKZHL-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN C#CCCCCC(=O)N[C@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001500208826 1185758118 /nfs/dbraw/zinc/75/81/18/1185758118.db2.gz WTEUVWJICKKWPX-LJQANCHMSA-N 0 1 316.420 3.100 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1C#N)[C@@H](C(=O)[O-])c1ccccc1 ZINC001610122179 1193377602 /nfs/dbraw/zinc/37/76/02/1193377602.db2.gz KPAUMCMBTCDUPL-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(CC(C)C)CCC2)C1 ZINC001500508722 1185811618 /nfs/dbraw/zinc/81/16/18/1185811618.db2.gz NVJXEANCDYBSFF-GOSISDBHSA-N 0 1 304.478 3.054 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C)cc2Cl)C1 ZINC001500531229 1185816954 /nfs/dbraw/zinc/81/69/54/1185816954.db2.gz YSSJJSMVQRAEML-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C2(CCC)CCC2)C1 ZINC001500613411 1185827541 /nfs/dbraw/zinc/82/75/41/1185827541.db2.gz HOTHZEVXOXSQOG-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)/C(C)=C/CC)C2 ZINC001501161553 1185877282 /nfs/dbraw/zinc/87/72/82/1185877282.db2.gz XNHGCJXTCNYTNA-WMTCKVMYSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC001501668503 1185919236 /nfs/dbraw/zinc/91/92/36/1185919236.db2.gz DVFWSPFIVSZTFL-MRXNPFEDSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1CC12CCCC2 ZINC001501892532 1185936169 /nfs/dbraw/zinc/93/61/69/1185936169.db2.gz FLSHCDZUVFSKTJ-CABCVRRESA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCC(F)(F)F)CCC1 ZINC001502001517 1185945991 /nfs/dbraw/zinc/94/59/91/1185945991.db2.gz JVAKCIUUCHHOEE-UHFFFAOYSA-N 0 1 312.763 3.100 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2cccc(F)c2)CCC1 ZINC001502028176 1185949736 /nfs/dbraw/zinc/94/97/36/1185949736.db2.gz VLAVJLXCNQIZRJ-ZDUSSCGKSA-N 0 1 324.827 3.310 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001502123970 1185957135 /nfs/dbraw/zinc/95/71/35/1185957135.db2.gz IGIGFOPVYJFCDM-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1cc(C(=O)N(C)CCCN(C)CC#Cc2ccccc2)co1 ZINC001502176100 1185968421 /nfs/dbraw/zinc/96/84/21/1185968421.db2.gz IYNOMMGMTKANPJ-UHFFFAOYSA-N 0 1 324.424 3.034 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@]3(CCN(CCF)C3)C2)CCC1 ZINC001502545607 1185994695 /nfs/dbraw/zinc/99/46/95/1185994695.db2.gz DGPUPNQDVLLVIY-QGZVFWFLSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(C)(NCc2cc(C)on2)CC1 ZINC001502793487 1186012921 /nfs/dbraw/zinc/01/29/21/1186012921.db2.gz KUTYKRQVNQHPEG-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(C)(NCc2cscn2)CC1 ZINC001502798899 1186013961 /nfs/dbraw/zinc/01/39/61/1186013961.db2.gz TZYUPDWZPZQZMH-UHFFFAOYSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccccn2)CC1(C)C ZINC001502830549 1186020750 /nfs/dbraw/zinc/02/07/50/1186020750.db2.gz JFHYJEYZKISIFC-MRXNPFEDSA-N 0 1 315.461 3.011 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCC1CCC1 ZINC001503678966 1186051512 /nfs/dbraw/zinc/05/15/12/1186051512.db2.gz VRGBUOPJSMYCPZ-HXUWFJFHSA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC001505447836 1186084470 /nfs/dbraw/zinc/08/44/70/1186084470.db2.gz DRMNUTYJZGEXKV-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001505569610 1186105666 /nfs/dbraw/zinc/10/56/66/1186105666.db2.gz GGLWQBVCIFCYOW-GNZFURHMSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1Cl ZINC001505573981 1186106219 /nfs/dbraw/zinc/10/62/19/1186106219.db2.gz GBHPPXJXHUFHHW-NWDGAFQWSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)C(C)(C)C(C)C ZINC001505609634 1186113142 /nfs/dbraw/zinc/11/31/42/1186113142.db2.gz HRWZAYHJSMCLLK-RYUDHWBXSA-N 0 1 319.287 3.060 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cnc2ccsc2c1 ZINC001505671024 1186121260 /nfs/dbraw/zinc/12/12/60/1186121260.db2.gz MGJAUBSCKZBEMY-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cnc2ccsc2c1 ZINC001505671025 1186121531 /nfs/dbraw/zinc/12/15/31/1186121531.db2.gz MGJAUBSCKZBEMY-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN N#Cc1ccc(C2=CC[N@@H+]([C@@H](C(=O)[O-])c3ccccc3)CC2)cc1 ZINC001610627851 1193401874 /nfs/dbraw/zinc/40/18/74/1193401874.db2.gz SKPHGWRKYBEHAU-LJQANCHMSA-N 0 1 318.376 3.473 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001506025687 1186183274 /nfs/dbraw/zinc/18/32/74/1186183274.db2.gz JOOBBLJWXLQFEC-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C(C)(C)c1ccccc1Cl ZINC001506044070 1186188302 /nfs/dbraw/zinc/18/83/02/1186188302.db2.gz YOPFRUWJIPNQKZ-CQSZACIVSA-N 0 1 320.864 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc(C)nc(C)c1 ZINC001506536972 1186241551 /nfs/dbraw/zinc/24/15/51/1186241551.db2.gz LQOXWICIQAAELE-INIZCTEOSA-N 0 1 323.868 3.329 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001506658049 1186270188 /nfs/dbraw/zinc/27/01/88/1186270188.db2.gz CLZNUGXIUVPWHO-WMZOPIPTSA-N 0 1 314.473 3.186 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001507019214 1186341037 /nfs/dbraw/zinc/34/10/37/1186341037.db2.gz VVXRTHRJTVBISZ-YYIAUSFCSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1OC ZINC001507080736 1186356686 /nfs/dbraw/zinc/35/66/86/1186356686.db2.gz IRYZJDMQPQOOER-CQSZACIVSA-N 0 1 322.424 3.127 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCc2cnoc2C)CCCC1 ZINC001507166703 1186377451 /nfs/dbraw/zinc/37/74/51/1186377451.db2.gz VYXYUBABPKCBCD-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCC(=O)N1C[C@H](NCC#Cc2cccc(Cl)c2)C[C@@H]1C ZINC001507303463 1186385488 /nfs/dbraw/zinc/38/54/88/1186385488.db2.gz YDEODVGGYMXMIT-WMLDXEAASA-N 0 1 318.848 3.071 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cccnc2)[C@@H]1C ZINC001507372676 1186407588 /nfs/dbraw/zinc/40/75/88/1186407588.db2.gz CNESBGOXPARDCA-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CCCC2CCCCC2)[C@@H]1C ZINC001507386734 1186413417 /nfs/dbraw/zinc/41/34/17/1186413417.db2.gz QPDMFUTVPPRJAH-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN(C)CCN(C(=O)C/C=C/c1ccc(F)cc1)C(C)C ZINC001507808482 1186445143 /nfs/dbraw/zinc/44/51/43/1186445143.db2.gz FYGSFLGTWBXNIJ-VOTSOKGWSA-N 0 1 316.420 3.031 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@H](CC#N)c3ccccc3)n2)o1 ZINC001611005466 1193419257 /nfs/dbraw/zinc/41/92/57/1193419257.db2.gz PLMDODHUUOIXNN-CYBMUJFWSA-N 0 1 321.340 3.009 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(CC)ccn1)C(C)(C)C ZINC001507949959 1186461122 /nfs/dbraw/zinc/46/11/22/1186461122.db2.gz VRFSHGHUFDCAJH-OAHLLOKOSA-N 0 1 323.868 3.131 20 30 DGEDMN C=CCC[C@H](C)[N@@H+]1CC=C(CNC(=O)c2ccccc2O)CC1 ZINC001508187851 1186486827 /nfs/dbraw/zinc/48/68/27/1186486827.db2.gz PWASDIGCORUIPM-HNNXBMFYSA-N 0 1 314.429 3.109 20 30 DGEDMN C=C[C@H](CC(=O)NCC1=CCN(CC#CC)CC1)c1ccccc1 ZINC001508228081 1186491788 /nfs/dbraw/zinc/49/17/88/1186491788.db2.gz CQHJFQDPWBNFPP-LJQANCHMSA-N 0 1 322.452 3.118 20 30 DGEDMN Cc1ccc(C(=O)Nc2cc(C#N)ccc2O)c2ncccc12 ZINC001546334501 1186672257 /nfs/dbraw/zinc/67/22/57/1186672257.db2.gz PERUQFQJHCPPPL-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN Cc1cccc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1C ZINC001611702037 1193440372 /nfs/dbraw/zinc/44/03/72/1193440372.db2.gz MJQOWQVHRVDEMA-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN CN(C)[C@H](CNc1cc(C#N)cc(Cl)n1)c1ccsc1 ZINC001515351648 1186755582 /nfs/dbraw/zinc/75/55/82/1186755582.db2.gz PFBCRXMERDUNAF-GFCCVEGCSA-N 0 1 306.822 3.383 20 30 DGEDMN N#C[C@H](C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1)c1cccc(F)n1 ZINC001516904150 1186795501 /nfs/dbraw/zinc/79/55/01/1186795501.db2.gz JQUGTFDYCBHZIN-DEYYWGMASA-N 0 1 312.319 3.467 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1ccccc1OC(C)C ZINC001517065334 1186801501 /nfs/dbraw/zinc/80/15/01/1186801501.db2.gz WICMBDXSFSSZSC-HNNXBMFYSA-N 0 1 311.385 3.109 20 30 DGEDMN C[C@@H]1CCCC[C@H]1OCC(=O)[C@H](C#N)c1ncc(F)cc1F ZINC001518063205 1186831083 /nfs/dbraw/zinc/83/10/83/1186831083.db2.gz DRFVPMDFIMZSLI-IFUGULHKSA-N 0 1 308.328 3.131 20 30 DGEDMN C=C(CC)C(=O)NC[C@H](c1ccc(OC)cc1)N1CCCCC1 ZINC001518773801 1186851918 /nfs/dbraw/zinc/85/19/18/1186851918.db2.gz LXVWPRNMCKYJSY-GOSISDBHSA-N 0 1 316.445 3.305 20 30 DGEDMN C=C(CC(C)C)C(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC001520264917 1186928407 /nfs/dbraw/zinc/92/84/07/1186928407.db2.gz RQYHEVQBAPETPX-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C(CC(C)C)C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC001520264917 1186928409 /nfs/dbraw/zinc/92/84/09/1186928409.db2.gz RQYHEVQBAPETPX-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C(CC(C)C)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC001520747806 1186965229 /nfs/dbraw/zinc/96/52/29/1186965229.db2.gz RFNJFLPTCKTEFN-KRWDZBQOSA-N 0 1 304.434 3.016 20 30 DGEDMN C=C(C)Cn1c(C2=NC(=O)SC2)nnc1N1CCCCCC1 ZINC001524239934 1187227467 /nfs/dbraw/zinc/22/74/67/1187227467.db2.gz IOFBSFSVNRKFEF-UHFFFAOYSA-N 0 1 319.434 3.064 20 30 DGEDMN C=C(C)Cn1c(C2=NC(=O)SC2)nnc1N1CCC[C@H]1CC ZINC001524239515 1187227784 /nfs/dbraw/zinc/22/77/84/1187227784.db2.gz DDMXOJWKWZYZEQ-LLVKDONJSA-N 0 1 319.434 3.062 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3CCOC(C)(C)C3)[nH]c21 ZINC001524671718 1187258802 /nfs/dbraw/zinc/25/88/02/1187258802.db2.gz KKHLPNRYFBVXLH-STQMWFEESA-N 0 1 311.385 3.253 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1C1CCCC1 ZINC001524711799 1187262077 /nfs/dbraw/zinc/26/20/77/1187262077.db2.gz JUDOBEZWHGBUOC-CYBMUJFWSA-N 0 1 312.348 3.331 20 30 DGEDMN N#CC(C(=O)Cc1cccc2cccnc21)c1cccc(F)n1 ZINC001524711538 1187262307 /nfs/dbraw/zinc/26/23/07/1187262307.db2.gz DGPWBDQZUPNUHE-AWEZNQCLSA-N 0 1 305.312 3.188 20 30 DGEDMN N#CC(C(=O)c1ccnn1C1CCC1)c1nc(C2CC2)cs1 ZINC001525872385 1187337722 /nfs/dbraw/zinc/33/77/22/1187337722.db2.gz DKALSSAXLGKGIW-LBPRGKRZSA-N 0 1 312.398 3.432 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1c(F)cccc1F ZINC001526587837 1187376527 /nfs/dbraw/zinc/37/65/27/1187376527.db2.gz UQFQZWCIYZBYPP-QWRGUYRKSA-N 0 1 303.312 3.161 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CSc2ccccc21 ZINC001526586838 1187376751 /nfs/dbraw/zinc/37/67/51/1187376751.db2.gz FLVHKDBUZQPGBT-GHMZBOCLSA-N 0 1 317.801 3.139 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CCC(Oc3ccccc3)CC2)c1 ZINC001615252318 1193519081 /nfs/dbraw/zinc/51/90/81/1193519081.db2.gz ZOKCZIGQLIXBDN-HXUWFJFHSA-N 0 1 322.408 3.135 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cccc(F)c3O)cc21 ZINC001616145422 1193533083 /nfs/dbraw/zinc/53/30/83/1193533083.db2.gz CJWDVWDKCBZRGS-UHFFFAOYSA-N 0 1 311.316 3.319 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](CCCC)C(C)C ZINC001566124717 1188139866 /nfs/dbraw/zinc/13/98/66/1188139866.db2.gz FUMHDHMTXYXSKT-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1ccc(CN(C)C)nc1 ZINC001540585962 1188247718 /nfs/dbraw/zinc/24/77/18/1188247718.db2.gz COQMPCGQQQJCJX-UHFFFAOYSA-N 0 1 315.442 3.033 20 30 DGEDMN O=C(CN1CCCC1)Nc1cccc(C#Cc2cccs2)c1 ZINC001540721704 1188257507 /nfs/dbraw/zinc/25/75/07/1188257507.db2.gz NUDVQGZZOFJDCD-UHFFFAOYSA-N 0 1 310.422 3.182 20 30 DGEDMN C[C@H](NC(=O)c1cc(F)cc(F)c1O)c1ccc(C#N)cc1 ZINC001543513952 1188339124 /nfs/dbraw/zinc/33/91/24/1188339124.db2.gz PRFFNVSAFGTXSD-VIFPVBQESA-N 0 1 302.280 3.033 20 30 DGEDMN C=CCCC(=O)Nc1nc2cc(Br)c(F)cc2[nH]1 ZINC001617101852 1193558094 /nfs/dbraw/zinc/55/80/94/1193558094.db2.gz QBUHMRWJJUSDHF-UHFFFAOYSA-N 0 1 312.142 3.369 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)Cc1ccc(C)cc1Cl ZINC001556466052 1189002155 /nfs/dbraw/zinc/00/21/55/1189002155.db2.gz HTDDFZBNPYPSHZ-UHFFFAOYSA-N 0 1 308.853 3.157 20 30 DGEDMN C=C[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001557763590 1189083173 /nfs/dbraw/zinc/08/31/73/1189083173.db2.gz SOSDKSMOANHZQB-CQSZACIVSA-N 0 1 302.337 3.343 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cc(F)cc(C#N)c3)[nH]n2)o1 ZINC001557942966 1189093272 /nfs/dbraw/zinc/09/32/72/1189093272.db2.gz OYCCFTBQMOPXAO-UHFFFAOYSA-N 0 1 310.288 3.241 20 30 DGEDMN Cc1cccc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1F ZINC001559046368 1189165424 /nfs/dbraw/zinc/16/54/24/1189165424.db2.gz CMNFIMREAZZYFL-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN CC(C)N(CC[S@@](=O)C(F)(F)F)Cc1ccc(C#N)cc1 ZINC001559507204 1189212389 /nfs/dbraw/zinc/21/23/89/1189212389.db2.gz MGCZKTLAXZHRJQ-OAQYLSRUSA-N 0 1 318.364 3.037 20 30 DGEDMN C=CCCCCN1CCN(c2ccc(C(=O)OC)s2)CC1 ZINC001559891138 1189253284 /nfs/dbraw/zinc/25/32/84/1189253284.db2.gz XBZNVQHLMWCQAM-UHFFFAOYSA-N 0 1 308.447 3.013 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2cccc(F)c2F)CC1 ZINC001559903553 1189255808 /nfs/dbraw/zinc/25/58/08/1189255808.db2.gz DBKVGPOGXXNIHM-INIZCTEOSA-N 0 1 323.383 3.337 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCC(CC2CCCCC2)CC1 ZINC001560180543 1189287591 /nfs/dbraw/zinc/28/75/91/1189287591.db2.gz FCDVUWFWTMUJCV-UHFFFAOYSA-N 0 1 319.493 3.431 20 30 DGEDMN C=CCOc1cccc(CNCc2cccc(C(=O)N(C)C)c2)c1 ZINC001560264273 1189301776 /nfs/dbraw/zinc/30/17/76/1189301776.db2.gz VJIIPPOSWOYDSZ-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN C#Cc1cccc(CNCc2cccc3c2OC(F)(F)O3)c1 ZINC001560503500 1189333716 /nfs/dbraw/zinc/33/37/16/1189333716.db2.gz OMJMACDZJUOPFK-UHFFFAOYSA-N 0 1 301.292 3.279 20 30 DGEDMN CC#CC[C@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001560519654 1189335713 /nfs/dbraw/zinc/33/57/13/1189335713.db2.gz LNHNSUVRXNCVDR-OAHLLOKOSA-N 0 1 302.805 3.061 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Nc3ccc(C#N)cn3)C2)c(F)c1 ZINC001561016620 1189421366 /nfs/dbraw/zinc/42/13/66/1189421366.db2.gz CPYBZKXJFKXMLE-KRWDZBQOSA-N 0 1 324.403 3.477 20 30 DGEDMN C#CCN1CCC(NC(=O)N[C@H](C)CCC2CCCCC2)CC1 ZINC001564033088 1189546641 /nfs/dbraw/zinc/54/66/41/1189546641.db2.gz DMJYVDVUZUGKGL-MRXNPFEDSA-N 0 1 319.493 3.132 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001565997180 1189712581 /nfs/dbraw/zinc/71/25/81/1189712581.db2.gz HOMQVSNFKKPZOF-YVSFHVDLSA-N 0 1 322.880 3.264 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001566022395 1189718094 /nfs/dbraw/zinc/71/80/94/1189718094.db2.gz NJLCDOWTENOJLW-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC(C2CCC2)C2CCC2)[C@H]1C ZINC001566103284 1189733966 /nfs/dbraw/zinc/73/39/66/1189733966.db2.gz DKWPZXSJJULZRY-BEFAXECRSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1oc(C)nc1C ZINC001566524396 1189790014 /nfs/dbraw/zinc/79/00/14/1189790014.db2.gz CHTXALCKCWBLCO-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001566633842 1189839503 /nfs/dbraw/zinc/83/95/03/1189839503.db2.gz ZSZKQRTYUZGIEB-RHSMWYFYSA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc3[nH]ccc3c2)CC1 ZINC001566699902 1189864324 /nfs/dbraw/zinc/86/43/24/1189864324.db2.gz OAHVDFMRPHMFDT-UHFFFAOYSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cc(CCC)on2)CC1 ZINC001566699958 1189864728 /nfs/dbraw/zinc/86/47/28/1189864728.db2.gz UFZZNVAKLMFHDX-UHFFFAOYSA-N 0 1 319.449 3.130 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc[nH]c2C(C)C)CC1 ZINC001566700382 1189864769 /nfs/dbraw/zinc/86/47/69/1189864769.db2.gz YTTQOUKCLIHYHC-UHFFFAOYSA-N 0 1 315.461 3.088 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1c(F)cccc1F)C1CC1 ZINC001566719947 1189872794 /nfs/dbraw/zinc/87/27/94/1189872794.db2.gz PCWCKFQBCBENPW-MRXNPFEDSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)c1cccs1)C1CC1 ZINC001566724695 1189875537 /nfs/dbraw/zinc/87/55/37/1189875537.db2.gz OGVYBQFLNIXDBY-AAEUAGOBSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cc(C)oc2C)C1 ZINC001566731601 1189879267 /nfs/dbraw/zinc/87/92/67/1189879267.db2.gz FWGGRERMYMIPGC-HNNXBMFYSA-N 0 1 324.852 3.433 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001566781098 1189899277 /nfs/dbraw/zinc/89/92/77/1189899277.db2.gz RBMKNDYLYHYBHZ-LIMHQNJXSA-N 0 1 318.848 3.341 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(C#N)c1 ZINC001566817094 1189912966 /nfs/dbraw/zinc/91/29/66/1189912966.db2.gz CPXHXEKLACTCRH-YQQQUEKLSA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001566817536 1189913319 /nfs/dbraw/zinc/91/33/19/1189913319.db2.gz SSPDDHFWFYEIQM-YQQQUEKLSA-N 0 1 323.440 3.136 20 30 DGEDMN O=C(CCC1CC1)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001566868516 1189930742 /nfs/dbraw/zinc/93/07/42/1189930742.db2.gz GCBPTBBOWNSTAJ-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001566875326 1189933231 /nfs/dbraw/zinc/93/32/31/1189933231.db2.gz SRNDPRYPYKRETM-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](CCC)c1ccccc1 ZINC001566928798 1189959009 /nfs/dbraw/zinc/95/90/09/1189959009.db2.gz MSNBCQWSXFSFST-RTBURBONSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(F)s1 ZINC001566953183 1189965274 /nfs/dbraw/zinc/96/52/74/1189965274.db2.gz BWEBSCXEVGTWCC-LLVKDONJSA-N 0 1 316.829 3.224 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C(C)(C)C2CC2)C1 ZINC001566963092 1189973058 /nfs/dbraw/zinc/97/30/58/1189973058.db2.gz PRWDNPBREXLZNL-UONOGXRCSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cc(Cl)ccc1F ZINC001567043110 1190005398 /nfs/dbraw/zinc/00/53/98/1190005398.db2.gz FUOKVWCGNNILNC-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN CCC(CC)CC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001567065734 1190017295 /nfs/dbraw/zinc/01/72/95/1190017295.db2.gz OTPKAZNSMQJMQI-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN Cc1cc(C)cc(C(=O)NC[C@@H](C)NCc2ccccc2C#N)c1 ZINC001567072783 1190020852 /nfs/dbraw/zinc/02/08/52/1190020852.db2.gz NKUWEVYSEDWNAS-MRXNPFEDSA-N 0 1 321.424 3.083 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H](N(C)C[C@@H](F)CC)C2)CC1 ZINC001567198837 1190065549 /nfs/dbraw/zinc/06/55/49/1190065549.db2.gz POXVUUVOVMYROM-IRXDYDNUSA-N 0 1 310.457 3.404 20 30 DGEDMN Cc1cccc(C)c1C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001567305765 1190122787 /nfs/dbraw/zinc/12/27/87/1190122787.db2.gz NVUBZNGONQXWDI-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCN1Cc1ccccc1F ZINC001567361236 1190152434 /nfs/dbraw/zinc/15/24/34/1190152434.db2.gz NJHRUFLBWLUAJI-QGZVFWFLSA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H](C)CCC=C(C)C ZINC001567380401 1190161515 /nfs/dbraw/zinc/16/15/15/1190161515.db2.gz OYBSKDHDQQGAHY-MSOLQXFVSA-N 0 1 304.478 3.363 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@H]1CC12CCC2 ZINC001567435032 1190190633 /nfs/dbraw/zinc/19/06/33/1190190633.db2.gz YKAHRTUBIPMCAI-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN[C@H](C)c1cc(F)ccc1F ZINC001567547218 1190215914 /nfs/dbraw/zinc/21/59/14/1190215914.db2.gz RXHFENRTNIPAMU-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001567582260 1190221270 /nfs/dbraw/zinc/22/12/70/1190221270.db2.gz QGDVWNKIQHTRBA-KRWDZBQOSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(C)(C)C2CCC2)C1 ZINC001567734887 1190277625 /nfs/dbraw/zinc/27/76/25/1190277625.db2.gz HIYMIXUJMNXCMJ-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001567800513 1190302073 /nfs/dbraw/zinc/30/20/73/1190302073.db2.gz NMJXTQXDKAXKFE-KXBFYZLASA-N 0 1 312.457 3.335 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN([C@@H](C)c3ccc(F)cc3)C2)C1 ZINC001567840844 1190317194 /nfs/dbraw/zinc/31/71/94/1190317194.db2.gz HGSJGJRXWGNMGE-AWEZNQCLSA-N 0 1 316.420 3.291 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)CSC(C)C ZINC001567853341 1190323981 /nfs/dbraw/zinc/32/39/81/1190323981.db2.gz VVDDPNKJQGLFGO-HOTGVXAUSA-N 0 1 312.523 3.384 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN([C@H]2CCc3ccc(Cl)cc32)C1 ZINC001567887612 1190335465 /nfs/dbraw/zinc/33/54/65/1190335465.db2.gz KUHOHVSJOXISAY-WBVHZDCISA-N 0 1 318.848 3.484 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001567895878 1190339071 /nfs/dbraw/zinc/33/90/71/1190339071.db2.gz WOJRXYNNCUYJAR-IEBWSBKVSA-N 0 1 318.436 3.449 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001567912799 1190349109 /nfs/dbraw/zinc/34/91/09/1190349109.db2.gz YOAFXRNESJAOKG-YJBOKZPZSA-N 0 1 316.420 3.079 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CCC(F)F)CC2 ZINC001568155636 1190437071 /nfs/dbraw/zinc/43/70/71/1190437071.db2.gz WHEKNYJVRKJSPW-UHFFFAOYSA-N 0 1 320.811 3.099 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H](C)[C@@H](C)C(=O)OCC ZINC001657697381 1196169892 /nfs/dbraw/zinc/16/98/92/1196169892.db2.gz YHRZPAQTOLFUIZ-CHWSQXEVSA-N 0 1 323.820 3.029 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccccc1F ZINC001568416537 1190514843 /nfs/dbraw/zinc/51/48/43/1190514843.db2.gz KLVORLZNSPCVMQ-MLGOLLRUSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CSCCC ZINC001568419300 1190516029 /nfs/dbraw/zinc/51/60/29/1190516029.db2.gz NRGMLBBVSOVBFF-JSGCOSHPSA-N 0 1 318.914 3.099 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001568430229 1190517871 /nfs/dbraw/zinc/51/78/71/1190517871.db2.gz SWHJCAOALYQPDF-VMMWWAARSA-N 0 1 310.869 3.002 20 30 DGEDMN CN(CCN(C)C(=O)/C=C\C(C)(C)C)Cc1ccc(C#N)cc1 ZINC001568476879 1190537518 /nfs/dbraw/zinc/53/75/18/1190537518.db2.gz OCNYJBDANGPEPT-KHPPLWFESA-N 0 1 313.445 3.051 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001568493965 1190544986 /nfs/dbraw/zinc/54/49/86/1190544986.db2.gz LMSQVIRRBAGQIM-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C(CC)(CC)c1ccccc1 ZINC001568506678 1190554158 /nfs/dbraw/zinc/55/41/58/1190554158.db2.gz SOLYRURXSYKCKE-UHFFFAOYSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001568637686 1190571976 /nfs/dbraw/zinc/57/19/76/1190571976.db2.gz ZUKMCEAIFYIKEK-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001568996810 1190606343 /nfs/dbraw/zinc/60/63/43/1190606343.db2.gz UAHLKNVRZURSKB-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(CC(C)C)s1 ZINC001568997363 1190606428 /nfs/dbraw/zinc/60/64/28/1190606428.db2.gz APFIRNMKOWJBPB-GFCCVEGCSA-N 0 1 314.882 3.407 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1cn(C(C)C)nc1C ZINC001569119221 1190629992 /nfs/dbraw/zinc/62/99/92/1190629992.db2.gz MQIXANVWCOQCTK-OAHLLOKOSA-N 0 1 320.481 3.065 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@@H]1CNCc1ccon1 ZINC001569164926 1190647790 /nfs/dbraw/zinc/64/77/90/1190647790.db2.gz QQIWFRXGSQOHOM-GDBMZVCRSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)Cc1ccccc1)C1CC1 ZINC001569329768 1190668144 /nfs/dbraw/zinc/66/81/44/1190668144.db2.gz UEXPFBOQZILJLN-GUYCJALGSA-N 0 1 320.864 3.102 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1cncc(Cl)c1 ZINC001569377187 1190684391 /nfs/dbraw/zinc/68/43/91/1190684391.db2.gz UEMBEGZTUMWFLJ-INIZCTEOSA-N 0 1 321.852 3.286 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001569385815 1190688620 /nfs/dbraw/zinc/68/86/20/1190688620.db2.gz GAGJPMQAZUECHT-SJORKVTESA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CN(CCNC(=O)[C@@H](C)C#N)C1CCCCCC1 ZINC001569448635 1190700289 /nfs/dbraw/zinc/70/02/89/1190700289.db2.gz XNFNKVSUABJZES-ZDUSSCGKSA-N 0 1 311.857 3.040 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCOC ZINC001569460208 1190702361 /nfs/dbraw/zinc/70/23/61/1190702361.db2.gz GSDBQWGMKPQXMC-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(CCC)cc2)C1 ZINC001569498830 1190708972 /nfs/dbraw/zinc/70/89/72/1190708972.db2.gz WWZDMJKUUNOQBU-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001569549450 1190715703 /nfs/dbraw/zinc/71/57/03/1190715703.db2.gz WXHDYDSXEDFBNS-LVQVYYBASA-N 0 1 310.869 3.146 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2cncc(C)c2)C1 ZINC001569639390 1190729995 /nfs/dbraw/zinc/72/99/95/1190729995.db2.gz SUBXOPRACFWCSJ-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2ccc(F)cc2C)C1 ZINC001569637687 1190730016 /nfs/dbraw/zinc/73/00/16/1190730016.db2.gz MPIODFHNMOATSS-LJQANCHMSA-N 0 1 316.420 3.018 20 30 DGEDMN CCc1ccc(C#CC(=O)n2[nH]c(=O)c3ccc(OC)cc32)cc1 ZINC001569890939 1190770137 /nfs/dbraw/zinc/77/01/37/1190770137.db2.gz LZTZGDREQCWTTR-UHFFFAOYSA-N 0 1 320.348 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CCNC/C(Cl)=C/Cl)C1 ZINC001569993875 1190779748 /nfs/dbraw/zinc/77/97/48/1190779748.db2.gz BMAPNBXIBQHHIS-FNWMBBJUSA-N 0 1 319.276 3.346 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@@]1(C)C(C)C ZINC001570028109 1190783174 /nfs/dbraw/zinc/78/31/74/1190783174.db2.gz JMTREBHUSJTZJN-ICSRJNTNSA-N 0 1 312.457 3.050 20 30 DGEDMN CN(CCCN(C)C(=O)C#CC1CC1)Cc1ccccc1Cl ZINC001570197011 1190817182 /nfs/dbraw/zinc/81/71/82/1190817182.db2.gz UNUIZXUKRPTLGA-UHFFFAOYSA-N 0 1 318.848 3.034 20 30 DGEDMN COc1cccc2c(C(=O)Nc3cc(C)cc(C#N)c3)n[nH]c21 ZINC001570305136 1190837649 /nfs/dbraw/zinc/83/76/49/1190837649.db2.gz FNYDYHQBQCSWSO-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NCc2ccc(F)cc2Cl)C1 ZINC001570334423 1190840194 /nfs/dbraw/zinc/84/01/94/1190840194.db2.gz LTCWNMFNVCWLFH-BLLLJJGKSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2conc2C)CC1(C)C ZINC001570393534 1190851427 /nfs/dbraw/zinc/85/14/27/1190851427.db2.gz KZYNMOKOTADCAP-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001570399889 1190853101 /nfs/dbraw/zinc/85/31/01/1190853101.db2.gz MQQLBPNUIHNDFP-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(CC=C)CCCC1 ZINC001570521992 1190874019 /nfs/dbraw/zinc/87/40/19/1190874019.db2.gz MQCSHQMZUAGKGW-RTBURBONSA-N 0 1 322.452 3.128 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc(C)nc1C(C)C ZINC001570536360 1190879243 /nfs/dbraw/zinc/87/92/43/1190879243.db2.gz NQCFXTJCNDQJBU-MRXNPFEDSA-N 0 1 315.461 3.236 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001570534979 1190879401 /nfs/dbraw/zinc/87/94/01/1190879401.db2.gz UMGCBNYWHOFIRT-QULHZPMSSA-N 0 1 312.457 3.284 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)C/C=C/Cl ZINC001570538066 1190879800 /nfs/dbraw/zinc/87/98/00/1190879800.db2.gz KIOHFQYKKVURPW-ONOODXEBSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]1CN(C)C/C=C/Cl ZINC001570538066 1190879803 /nfs/dbraw/zinc/87/98/03/1190879803.db2.gz KIOHFQYKKVURPW-ONOODXEBSA-N 0 1 310.869 3.245 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001570590075 1190894670 /nfs/dbraw/zinc/89/46/70/1190894670.db2.gz MBZYWEYZBPXZSO-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1Cl ZINC001570591731 1190895848 /nfs/dbraw/zinc/89/58/48/1190895848.db2.gz BNZVHMPVVOCCGQ-RYUDHWBXSA-N 0 1 312.816 3.428 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001570600841 1190898078 /nfs/dbraw/zinc/89/80/78/1190898078.db2.gz DIWYNUXDQAJLPY-GJQIVEAUSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cncc2sccc21 ZINC001570627935 1190905134 /nfs/dbraw/zinc/90/51/34/1190905134.db2.gz PHDRZAIARIKEAT-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2cc[nH]c2c1 ZINC001570637792 1190907519 /nfs/dbraw/zinc/90/75/19/1190907519.db2.gz ZZJKUZXOELRSLO-RYUDHWBXSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccccc1OC(C)C ZINC001570643012 1190908857 /nfs/dbraw/zinc/90/88/57/1190908857.db2.gz ACNURRBEMWQWFM-KGLIPLIRSA-N 0 1 324.852 3.323 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)c(F)c(C)c1 ZINC001570652899 1190910884 /nfs/dbraw/zinc/91/08/84/1190910884.db2.gz KOVWMTJTBKPNNP-CHWSQXEVSA-N 0 1 312.816 3.291 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001570745549 1190941225 /nfs/dbraw/zinc/94/12/25/1190941225.db2.gz JBHABAHTWGLUTN-DLBZAZTESA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001570748749 1190941914 /nfs/dbraw/zinc/94/19/14/1190941914.db2.gz FUBPSLBHAAVVGV-KRWDZBQOSA-N 0 1 320.436 3.427 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1ccccn1 ZINC001570825294 1190959993 /nfs/dbraw/zinc/95/99/93/1190959993.db2.gz PLUUEUQNVDTMRO-SFHVURJKSA-N 0 1 315.461 3.299 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cccc(F)c2)CC1(C)C ZINC001570891200 1190971362 /nfs/dbraw/zinc/97/13/62/1190971362.db2.gz NVXQRRBVTGWBGT-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCCCC(=O)N1CCC(N(C)[C@H](C)c2ncc(C)o2)CC1 ZINC001571333309 1190995219 /nfs/dbraw/zinc/99/52/19/1190995219.db2.gz LUZVZJWERFMXTO-OAHLLOKOSA-N 0 1 319.449 3.323 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001571357660 1191001541 /nfs/dbraw/zinc/00/15/41/1191001541.db2.gz PIQRFGTYHZVCRI-XUWVNRHRSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](CNCc1nc(C)cs1)C1CC1 ZINC001571360830 1191002033 /nfs/dbraw/zinc/00/20/33/1191002033.db2.gz AVNRNFHKZQBYIB-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1F ZINC001571384592 1191009744 /nfs/dbraw/zinc/00/97/44/1191009744.db2.gz RFXONPQSKSOXGE-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cncc(C)c2)[C@H]1C ZINC001571519031 1191040231 /nfs/dbraw/zinc/04/02/31/1191040231.db2.gz NFTQJVOLAKQFJE-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cncc(C)c2)[C@H]1C ZINC001571522421 1191041989 /nfs/dbraw/zinc/04/19/89/1191041989.db2.gz FUQSYUPDMDIQFH-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCCC12CC2)C(C)(C)C ZINC001571626847 1191068095 /nfs/dbraw/zinc/06/80/95/1191068095.db2.gz IYEOLOASRJZWMD-KBPBESRZSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCCC1CC1)C(C)(C)C ZINC001571630139 1191068338 /nfs/dbraw/zinc/06/83/38/1191068338.db2.gz AQHBJZLHEDIWLN-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001571661115 1191078006 /nfs/dbraw/zinc/07/80/06/1191078006.db2.gz CRDRLUJBUDHCQD-OQLLNIDSSA-N 0 1 323.440 3.160 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001571661114 1191078274 /nfs/dbraw/zinc/07/82/74/1191078274.db2.gz CRDRLUJBUDHCQD-DHDCSXOGSA-N 0 1 323.440 3.160 20 30 DGEDMN C=CC(C)(C)CNC(=O)[C@H](N)c1cccc(C(F)(F)F)c1 ZINC001574872506 1191454579 /nfs/dbraw/zinc/45/45/79/1191454579.db2.gz NILNUOJOMHPIQH-GFCCVEGCSA-N 0 1 300.324 3.034 20 30 DGEDMN C=CC[C@]1(C(=O)O[C@@H](C)c2ccc(OC)c(C)c2)CCCN1 ZINC001574896959 1191456531 /nfs/dbraw/zinc/45/65/31/1191456531.db2.gz CGNJDJJSDTZXGO-KBXCAEBGSA-N 0 1 303.402 3.306 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C(=O)c1cnccc1N ZINC001574933226 1191458656 /nfs/dbraw/zinc/45/86/56/1191458656.db2.gz OKYNGGROPMNSGP-UHFFFAOYSA-N 0 1 307.806 3.037 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](Cc2ccc(OC)cc2)C1 ZINC001618069160 1193723958 /nfs/dbraw/zinc/72/39/58/1193723958.db2.gz GHENLQGVMXEFMB-AEFFLSMTSA-N 0 1 317.429 3.067 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](Cc2ccc(OC)cc2)C1 ZINC001618069161 1193724204 /nfs/dbraw/zinc/72/42/04/1193724204.db2.gz GHENLQGVMXEFMB-FUHWJXTLSA-N 0 1 317.429 3.067 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N2c3ccccc3CC[C@H]2C)C1 ZINC001618916690 1193754836 /nfs/dbraw/zinc/75/48/36/1193754836.db2.gz VJIFMABGUVOLOF-BRWVUGGUSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CC[C@H]1CC[N@@H+](Cc2cc(=O)oc3cc([O-])c(CC)cc23)C1 ZINC001620451427 1193769186 /nfs/dbraw/zinc/76/91/86/1193769186.db2.gz BGCUSUIJSLQOPK-ZDUSSCGKSA-N 0 1 313.397 3.459 20 30 DGEDMN C=CC[C@H]1CC[N@H+](Cc2cc(=O)oc3cc([O-])c(CC)cc23)C1 ZINC001620451427 1193769187 /nfs/dbraw/zinc/76/91/87/1193769187.db2.gz BGCUSUIJSLQOPK-ZDUSSCGKSA-N 0 1 313.397 3.459 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2nc3ccc(F)cc3s2)C1 ZINC001620451833 1193770511 /nfs/dbraw/zinc/77/05/11/1193770511.db2.gz OKCLBRULGAVFPG-NSHDSACASA-N 0 1 319.405 3.272 20 30 DGEDMN COC[C@H](C)N(Cc1cc(C#N)ccn1)[C@H]1CCc2ccccc21 ZINC001620472253 1193771044 /nfs/dbraw/zinc/77/10/44/1193771044.db2.gz MSKDPCHNBDSLMW-YWZLYKJASA-N 0 1 321.424 3.478 20 30 DGEDMN Cc1ccc(CNCc2cnn(-c3ccc(F)cc3)c2)cc1C#N ZINC001621064298 1193798548 /nfs/dbraw/zinc/79/85/48/1193798548.db2.gz YXMJRGFKUXLRDH-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(C(=O)OCc3ccccc3)[nH]2)C1 ZINC001621412295 1193839741 /nfs/dbraw/zinc/83/97/41/1193839741.db2.gz JATPQULQUMVCQZ-MRXNPFEDSA-N 0 1 322.408 3.217 20 30 DGEDMN C=CCN(CC=C)C(=O)c1cc(-c2c[nH]c3ccccc23)[nH]n1 ZINC001624103702 1193981480 /nfs/dbraw/zinc/98/14/80/1193981480.db2.gz XJKBWSFLYXHPGT-UHFFFAOYSA-N 0 1 306.369 3.372 20 30 DGEDMN N#CCc1ccccc1CC(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001625529508 1194057028 /nfs/dbraw/zinc/05/70/28/1194057028.db2.gz HDRUWVMHLLMHMR-UHFFFAOYSA-N 0 1 324.771 3.464 20 30 DGEDMN C=CC[C@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)c1ccccc1 ZINC001626457718 1194078263 /nfs/dbraw/zinc/07/82/63/1194078263.db2.gz GTSDYHHXDXZCLY-ZDUSSCGKSA-N 0 1 308.341 3.363 20 30 DGEDMN N#C[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1ccc(Cl)cc1 ZINC001627003944 1194107168 /nfs/dbraw/zinc/10/71/68/1194107168.db2.gz MRKYDUOITFTMKO-CYBMUJFWSA-N 0 1 322.698 3.318 20 30 DGEDMN CC(C)(C)c1csc(C(=O)Nc2cc(C#N)ccc2O)n1 ZINC001631961834 1194363725 /nfs/dbraw/zinc/36/37/25/1194363725.db2.gz AQZUZEKIBHYQDJ-UHFFFAOYSA-N 0 1 301.371 3.270 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)c1 ZINC001631986710 1194365362 /nfs/dbraw/zinc/36/53/62/1194365362.db2.gz IJKXQQNWTMIWRB-OLZOCXBDSA-N 0 1 312.291 3.337 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)[C@H](C)N2CCc3ccccc3C2)C1 ZINC001632373158 1194385370 /nfs/dbraw/zinc/38/53/70/1194385370.db2.gz CCVHQULBFJTLPQ-DLBZAZTESA-N 0 1 312.457 3.248 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2[C@@H]3Cc4c(cccc4Cl)[C@@H]32)c1 ZINC001635511298 1194555837 /nfs/dbraw/zinc/55/58/37/1194555837.db2.gz XOUQVDXTKHYNMR-HYVNUMGLSA-N 0 1 324.767 3.208 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)c(F)c1 ZINC001636373315 1194609380 /nfs/dbraw/zinc/60/93/80/1194609380.db2.gz ZXJNFNLAULZYBQ-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN CC#CCN(C)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001636786758 1194634090 /nfs/dbraw/zinc/63/40/90/1194634090.db2.gz QZZHYJZVRNUWCH-UHFFFAOYSA-N 0 1 303.365 3.325 20 30 DGEDMN N#Cc1cc(C(=O)NCc2ccc3nc[nH]c3c2)ccc1Cl ZINC001637153997 1194654131 /nfs/dbraw/zinc/65/41/31/1194654131.db2.gz ZIMIAQWVTHTWHX-UHFFFAOYSA-N 0 1 310.744 3.018 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2cc(C)cc(C)c2)C1 ZINC001671183197 1194719446 /nfs/dbraw/zinc/71/94/46/1194719446.db2.gz MWHNEQSKMUYTGR-UHFFFAOYSA-N 0 1 314.473 3.345 20 30 DGEDMN C#C[C@H](NC(=O)c1c(O)cc(Cl)cc1Cl)C(C)(C)C ZINC001638776976 1194737304 /nfs/dbraw/zinc/73/73/04/1194737304.db2.gz FLQOGVASSRQHNY-NSHDSACASA-N 0 1 300.185 3.477 20 30 DGEDMN C#C[C@@H](NC(=O)c1c(O)cc(Cl)cc1Cl)C(C)(C)C ZINC001638776975 1194737404 /nfs/dbraw/zinc/73/74/04/1194737404.db2.gz FLQOGVASSRQHNY-LLVKDONJSA-N 0 1 300.185 3.477 20 30 DGEDMN N#Cc1ccc(C2(NC(=O)c3n[nH]c4ccccc43)CCC2)cc1 ZINC001639188920 1194754738 /nfs/dbraw/zinc/75/47/38/1194754738.db2.gz BOXFYKHZANTVTR-UHFFFAOYSA-N 0 1 316.364 3.244 20 30 DGEDMN CCC(C)(C)N1CCN(C(=O)[C@@]2(C#N)CC3CCC2CC3)CC1 ZINC001639513824 1194769662 /nfs/dbraw/zinc/76/96/62/1194769662.db2.gz BISSSZPRIHUIMF-LADRWXRNSA-N 0 1 317.477 3.039 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)c1cc(Br)cc(F)c1O ZINC001639669106 1194776853 /nfs/dbraw/zinc/77/68/53/1194776853.db2.gz VFTZSVAPAAYLSA-SECBINFHSA-N 0 1 316.170 3.378 20 30 DGEDMN N#Cc1ccsc1C(=O)Nc1ccccc1Nc1ccncc1 ZINC001639892716 1194786925 /nfs/dbraw/zinc/78/69/25/1194786925.db2.gz GJIYOBKHORIOQT-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1ccc2ccccc2c1O ZINC001640016172 1194791535 /nfs/dbraw/zinc/79/15/35/1194791535.db2.gz RYCQUBHBOHYJGH-UHFFFAOYSA-N 0 1 312.413 3.125 20 30 DGEDMN C=CC[N@@H+](C)CCN(CC)C(=O)c1ccc2ccccc2c1[O-] ZINC001640016172 1194791536 /nfs/dbraw/zinc/79/15/36/1194791536.db2.gz RYCQUBHBOHYJGH-UHFFFAOYSA-N 0 1 312.413 3.125 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1ccc2ccccc2c1[O-] ZINC001640016172 1194791538 /nfs/dbraw/zinc/79/15/38/1194791538.db2.gz RYCQUBHBOHYJGH-UHFFFAOYSA-N 0 1 312.413 3.125 20 30 DGEDMN Cc1ccnc(N2CCN([C@@H](C)c3ccccc3)CC2)c1C#N ZINC001640335765 1194807112 /nfs/dbraw/zinc/80/71/12/1194807112.db2.gz JGUIHBONBNMHCW-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccc(F)cc2)C1 ZINC001671193212 1194811807 /nfs/dbraw/zinc/81/18/07/1194811807.db2.gz MOYWMZXZILAOAK-UHFFFAOYSA-N 0 1 320.452 3.481 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)c1ccc(C2(C#N)CC2)cc1 ZINC001640541559 1194818687 /nfs/dbraw/zinc/81/86/87/1194818687.db2.gz ZHJXQNPZBCEVBT-UHFFFAOYSA-N 0 1 317.352 3.074 20 30 DGEDMN CC#CCCCC(=O)Nc1n[nH]c2nc(C(F)(F)F)ccc12 ZINC001640690421 1194824953 /nfs/dbraw/zinc/82/49/53/1194824953.db2.gz PJZVREHBBXDUHT-UHFFFAOYSA-N 0 1 310.279 3.109 20 30 DGEDMN COCC#CC(=O)Nc1ccccc1-c1nc2ccc(C)cc2[nH]1 ZINC001640805578 1194829029 /nfs/dbraw/zinc/82/90/29/1194829029.db2.gz MVVSPDWCIOWVEM-UHFFFAOYSA-N 0 1 319.364 3.127 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2n[nH]c3cc(O)ccc32)cc1 ZINC001641246180 1194845219 /nfs/dbraw/zinc/84/52/19/1194845219.db2.gz KYFLWIYZEZMPGZ-UHFFFAOYSA-N 0 1 320.352 3.322 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001641363550 1194850416 /nfs/dbraw/zinc/85/04/16/1194850416.db2.gz FFRBDQIDYSCHBY-UHFFFAOYSA-N 0 1 316.792 3.352 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)n2)c1 ZINC001641929444 1194879094 /nfs/dbraw/zinc/87/90/94/1194879094.db2.gz BBAWDMGYVPTDEN-BTTYYORXSA-N 0 1 321.384 3.108 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2ccccc2F)CC1 ZINC001642004235 1194883613 /nfs/dbraw/zinc/88/36/13/1194883613.db2.gz UQKHGLJMDNJVGI-KRWDZBQOSA-N 0 1 305.393 3.198 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C1CN(CC(C)C)C1)c1ccccc1 ZINC001671201976 1194887038 /nfs/dbraw/zinc/88/70/38/1194887038.db2.gz KSSCEJAIJRDBQU-INIZCTEOSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H](C=C)c2ccccc2)C1 ZINC001671202384 1194891489 /nfs/dbraw/zinc/89/14/89/1194891489.db2.gz SMJVGJSHJJOIQK-QGZVFWFLSA-N 0 1 312.457 3.455 20 30 DGEDMN CN1CCN(c2ccc(CNc3cc(F)ccc3C#N)cc2)CC1 ZINC001643112298 1194996562 /nfs/dbraw/zinc/99/65/62/1194996562.db2.gz RFTXITSSIWWYNY-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1c(Cl)cncc1Cl ZINC001643787222 1195054597 /nfs/dbraw/zinc/05/45/97/1195054597.db2.gz NTFNASRRTLEYCZ-UHFFFAOYSA-N 0 1 317.201 3.164 20 30 DGEDMN C[C@H]1COC2(CCCC2)CN1CCCOc1ccc(C#N)cc1 ZINC001643948286 1195067364 /nfs/dbraw/zinc/06/73/64/1195067364.db2.gz NKGRNEADDVRPFU-INIZCTEOSA-N 0 1 314.429 3.361 20 30 DGEDMN C=C(C[NH+](C)C)C(=O)Nc1cc(Cl)c([O-])c2ccccc12 ZINC001646031439 1195211435 /nfs/dbraw/zinc/21/14/35/1195211435.db2.gz BQDVWYYCGZSODS-UHFFFAOYSA-N 0 1 304.777 3.255 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(c2ccc(F)cc2)C1 ZINC001647045867 1195243597 /nfs/dbraw/zinc/24/35/97/1195243597.db2.gz KIOSBZQLILNPLW-UHFFFAOYSA-N 0 1 309.388 3.363 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@@H]1CC1(C)C ZINC001647873483 1195261524 /nfs/dbraw/zinc/26/15/24/1195261524.db2.gz SJEKPJCWGQTDRX-LBPRGKRZSA-N 0 1 305.809 3.128 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)Nc1ccc2cncn2c1 ZINC001647938111 1195266955 /nfs/dbraw/zinc/26/69/55/1195266955.db2.gz FBHREWPWFVLVGJ-ZDUSSCGKSA-N 0 1 304.353 3.023 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CC2(CCC2)C1)c1ccccc1 ZINC001649442640 1195340182 /nfs/dbraw/zinc/34/01/82/1195340182.db2.gz HHOPKPPJXACYFE-GOSISDBHSA-N 0 1 310.441 3.037 20 30 DGEDMN Cc1[nH]nc(CNC(=O)c2c(Cl)cc(C#N)cc2Cl)c1C ZINC001651279998 1195436871 /nfs/dbraw/zinc/43/68/71/1195436871.db2.gz UIGLWBLXJXSKDC-UHFFFAOYSA-N 0 1 323.183 3.135 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)OCC)c(C)[nH]1)C1CCCCC1 ZINC001651831747 1195514817 /nfs/dbraw/zinc/51/48/17/1195514817.db2.gz XUYUGLONAMFPAN-UHFFFAOYSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001652011621 1195537088 /nfs/dbraw/zinc/53/70/88/1195537088.db2.gz CQTUKRJMFSZSTH-ZDUSSCGKSA-N 0 1 323.458 3.480 20 30 DGEDMN C#CCN(CC1CC1)[C@@H]1CCCN(c2ccccc2Cl)C1=O ZINC001652932282 1195672380 /nfs/dbraw/zinc/67/23/80/1195672380.db2.gz OFQYSZMYPADPSK-QGZVFWFLSA-N 0 1 316.832 3.181 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(c2ccc(N(C)C)cc2)CC1 ZINC001653380310 1195715688 /nfs/dbraw/zinc/71/56/88/1195715688.db2.gz OWQORBPJEODLAG-GOSISDBHSA-N 0 1 316.445 3.050 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN([C@H](C)c2ccc3c(c2)CCC3)CC1 ZINC001654015626 1195766891 /nfs/dbraw/zinc/76/68/91/1195766891.db2.gz VIGTUIYZLLUMPW-OXQOHEQNSA-N 0 1 311.473 3.402 20 30 DGEDMN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@H](O)c1cccc(C#N)c1 ZINC001654113389 1195775918 /nfs/dbraw/zinc/77/59/18/1195775918.db2.gz PLPGQQOBZOLXKR-DFBGVHRSSA-N 0 1 312.335 3.254 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)NCc2ccc(CC)s2)C1 ZINC001654174053 1195780742 /nfs/dbraw/zinc/78/07/42/1195780742.db2.gz CRRQAOHBEKYFLG-AWEZNQCLSA-N 0 1 306.475 3.215 20 30 DGEDMN C[C@@H](NCc1cncc(C#N)c1)c1ccc(-n2cccn2)c(F)c1 ZINC001654533121 1195814406 /nfs/dbraw/zinc/81/44/06/1195814406.db2.gz IWHWBDRCXKXBHF-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H](c3nc4c(s3)CCC4)C2)c1 ZINC001654820255 1195844288 /nfs/dbraw/zinc/84/42/88/1195844288.db2.gz MKQXOHCHNWGUEK-CQSZACIVSA-N 0 1 324.453 3.278 20 30 DGEDMN C#CCCN1CCN(c2nc3c(cc(Cl)cc3F)s2)CC1 ZINC001655095598 1195875240 /nfs/dbraw/zinc/87/52/40/1195875240.db2.gz RMLNDFSPHSZPNY-UHFFFAOYSA-N 0 1 323.824 3.234 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCC(C)(C)c1ccccc1 ZINC001656112493 1196004663 /nfs/dbraw/zinc/00/46/63/1196004663.db2.gz BWXJTMNSGOSXBF-SFHVURJKSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2CCOCC)CCCCC1 ZINC001656109699 1196005329 /nfs/dbraw/zinc/00/53/29/1196005329.db2.gz PVWQVDOKQUJVCJ-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC1CCC(C)(C)CC1 ZINC001656112955 1196005404 /nfs/dbraw/zinc/00/54/04/1196005404.db2.gz NLUHCTKKBAJMLE-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](N[C@H](C)c2ncc(C)o2)C1 ZINC001656330183 1196030673 /nfs/dbraw/zinc/03/06/73/1196030673.db2.gz ZLFVDCSOBDZBPC-QLFBSQMISA-N 0 1 319.449 3.131 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001656991251 1196090735 /nfs/dbraw/zinc/09/07/35/1196090735.db2.gz JQXKJFLHBUOYPG-ZBFHGGJFSA-N 0 1 304.459 3.021 20 30 DGEDMN C=CCOc1cccc(CN[C@@H](C)C(=O)N2CCCC[C@@H]2C)c1 ZINC001657061351 1196099127 /nfs/dbraw/zinc/09/91/27/1196099127.db2.gz YMSYQFRIXOMZLV-HOTGVXAUSA-N 0 1 316.445 3.131 20 30 DGEDMN C[Si](C)(C)c1ccc(CNCc2csc(C#N)c2)cc1 ZINC001657469865 1196146684 /nfs/dbraw/zinc/14/66/84/1196146684.db2.gz XLVJWOYUWYYOQX-UHFFFAOYSA-N 0 1 300.503 3.455 20 30 DGEDMN CCCCO[C@H]1C[C@H](N(C)Cc2cc(C#N)ccn2)C1(C)C ZINC001657719656 1196171989 /nfs/dbraw/zinc/17/19/89/1196171989.db2.gz XAYLZFQWJRRKQX-IRXDYDNUSA-N 0 1 301.434 3.369 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(F)c3ccccc23)C1=O ZINC001657917792 1196192868 /nfs/dbraw/zinc/19/28/68/1196192868.db2.gz GLGWVOSEAHGHPC-GOSISDBHSA-N 0 1 312.388 3.198 20 30 DGEDMN Cn1cc([C@@H]2C[C@H]2CN2CCC[C@H]2[C@H](C#N)c2ccccc2)cn1 ZINC001658899402 1196316818 /nfs/dbraw/zinc/31/68/18/1196316818.db2.gz PPFFIKNHOYGECU-NBYUQASBSA-N 0 1 320.440 3.295 20 30 DGEDMN C=CCOc1ccc(CNCc2csc(C(=O)OC)c2)cc1 ZINC001659183097 1196356327 /nfs/dbraw/zinc/35/63/27/1196356327.db2.gz OKBFIHLDRSVRNO-UHFFFAOYSA-N 0 1 317.410 3.389 20 30 DGEDMN CCN(CCCNC(=O)C1CCCC1)Cc1ccccc1C#N ZINC001660479154 1196488570 /nfs/dbraw/zinc/48/85/70/1196488570.db2.gz TXSSXCDFRVVNCA-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CCN(CCCNC(=O)[C@@H](C)C(C)C)Cc1ccccc1C#N ZINC001660599138 1196502469 /nfs/dbraw/zinc/50/24/69/1196502469.db2.gz RKNXRWYKILNOCW-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@H](C)c2cccc(Cl)c2)C1 ZINC001660980160 1196549510 /nfs/dbraw/zinc/54/95/10/1196549510.db2.gz GLCLRCXWOJUTOA-PBHICJAKSA-N 0 1 318.848 3.395 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001661065674 1196560098 /nfs/dbraw/zinc/56/00/98/1196560098.db2.gz CRJPRYCVLRNWAP-INIZCTEOSA-N 0 1 322.880 3.478 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H](CC)C(C)C)CC1 ZINC001661097559 1196565559 /nfs/dbraw/zinc/56/55/59/1196565559.db2.gz SIKODXULZZSMAV-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)c1cccc(C)c1)C1CC1 ZINC001661171595 1196575287 /nfs/dbraw/zinc/57/52/87/1196575287.db2.gz BBQZNWCABFYYEM-RHSMWYFYSA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(C2CC2)cc1)C1CC1 ZINC001661193181 1196578432 /nfs/dbraw/zinc/57/84/32/1196578432.db2.gz RYQDHHZRJQSHIR-QGZVFWFLSA-N 0 1 318.848 3.415 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc2c1CCC2)C1CC1 ZINC001661197118 1196578825 /nfs/dbraw/zinc/57/88/25/1196578825.db2.gz LGSVPKJONHTAIL-QGZVFWFLSA-N 0 1 318.848 3.026 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CN(C)Cc2cc(C)ns2)C1 ZINC001661203271 1196581436 /nfs/dbraw/zinc/58/14/36/1196581436.db2.gz ZZCFACVSSZMBOY-OAHLLOKOSA-N 0 1 321.490 3.088 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1oc(C)cc1C ZINC001661244952 1196587740 /nfs/dbraw/zinc/58/77/40/1196587740.db2.gz VSXAHIWDAADSCK-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1cc(C)ns1 ZINC001661523259 1196615680 /nfs/dbraw/zinc/61/56/80/1196615680.db2.gz IKNNEEKIJXPIDK-GOOCMWNKSA-N 0 1 319.474 3.029 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1ccncc1Cl ZINC001661910639 1196661724 /nfs/dbraw/zinc/66/17/24/1196661724.db2.gz VERRFQMKSXVCRX-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)NCc1nc2cc(C)ccc2s1 ZINC001662179960 1196691187 /nfs/dbraw/zinc/69/11/87/1196691187.db2.gz LUEMINWFMLCLSE-CYBMUJFWSA-N 0 1 317.458 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001662371980 1196713361 /nfs/dbraw/zinc/71/33/61/1196713361.db2.gz DJVNWNSRZUURRS-ZDUSSCGKSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1c(C)oc2ccccc21 ZINC001662437750 1196722306 /nfs/dbraw/zinc/72/23/06/1196722306.db2.gz VSASEXAGPHLARV-GFCCVEGCSA-N 0 1 320.820 3.131 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001662496695 1196725545 /nfs/dbraw/zinc/72/55/45/1196725545.db2.gz MTECKXFNGCCDIK-KRWDZBQOSA-N 0 1 316.420 3.285 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001662529550 1196727888 /nfs/dbraw/zinc/72/78/88/1196727888.db2.gz LGHKTBIRQGMDDZ-CJNGLKHVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2sccc2Cl)C1 ZINC001662533585 1196728752 /nfs/dbraw/zinc/72/87/52/1196728752.db2.gz RKVRCDPMHMGXSY-LBPRGKRZSA-N 0 1 312.866 3.448 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001663267397 1196824046 /nfs/dbraw/zinc/82/40/46/1196824046.db2.gz ZXHZAEHLVCXJTP-KRWDZBQOSA-N 0 1 310.388 3.115 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1cccc(C(C)C)n1 ZINC001663475675 1196882034 /nfs/dbraw/zinc/88/20/34/1196882034.db2.gz GHWKMQTYXJVCHL-QGZVFWFLSA-N 0 1 315.461 3.252 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001663512175 1196889830 /nfs/dbraw/zinc/88/98/30/1196889830.db2.gz FSSZKCQSMQGICL-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1c(C)oc(C)c1C)c1ccccc1 ZINC001664583115 1197017417 /nfs/dbraw/zinc/01/74/17/1197017417.db2.gz GUJYRCQYSLREJF-SFHVURJKSA-N 0 1 324.424 3.289 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCC(=O)Nc1cccc(F)c1 ZINC001664624059 1197022991 /nfs/dbraw/zinc/02/29/91/1197022991.db2.gz VNTGHKZPAKEXCT-UHFFFAOYSA-N 0 1 311.360 3.043 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccc(C)cc2)C1 ZINC001664673511 1197031417 /nfs/dbraw/zinc/03/14/17/1197031417.db2.gz LWLRPXHFBZKOPZ-LJQANCHMSA-N 0 1 314.473 3.427 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc(F)cc2C)C1 ZINC001664687758 1197036690 /nfs/dbraw/zinc/03/66/90/1197036690.db2.gz XQLAVLBRSKMNML-KRWDZBQOSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](C)c2ccccc2)C1 ZINC001664754649 1197051193 /nfs/dbraw/zinc/05/11/93/1197051193.db2.gz FSNBCDYAMRIYCK-CVEARBPZSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@](C)(F)CCCC)C1 ZINC001664818078 1197062757 /nfs/dbraw/zinc/06/27/57/1197062757.db2.gz OHBRHRUQXWBYLK-ZBFHGGJFSA-N 0 1 318.864 3.438 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cc(C)ccc2OC)C1 ZINC001664982550 1197084392 /nfs/dbraw/zinc/08/43/92/1197084392.db2.gz PSWTZIMSPROVLL-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001665161818 1197103979 /nfs/dbraw/zinc/10/39/79/1197103979.db2.gz VGICFRBHRMCWFP-CYBMUJFWSA-N 0 1 311.429 3.245 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2ccc3cc[nH]c3c2)C1 ZINC001665292970 1197119323 /nfs/dbraw/zinc/11/93/23/1197119323.db2.gz GKYFNDWWVLYBFF-UHFFFAOYSA-N 0 1 311.429 3.072 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2cn(-c3ccc(F)cc3Cl)nn2)C1 ZINC001665340208 1197125288 /nfs/dbraw/zinc/12/52/88/1197125288.db2.gz HEVHWHHHWCPFCM-GFCCVEGCSA-N 0 1 320.799 3.458 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001665465831 1197140373 /nfs/dbraw/zinc/14/03/73/1197140373.db2.gz XLCNTTIAEKAQCC-PBHICJAKSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001665664786 1197167034 /nfs/dbraw/zinc/16/70/34/1197167034.db2.gz RXGADEYGOLPJGR-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1CCC(F)(F)CC1 ZINC001665850186 1197206372 /nfs/dbraw/zinc/20/63/72/1197206372.db2.gz OKQNEGCBSZWYDU-LBPRGKRZSA-N 0 1 308.800 3.049 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCC2CCCCCC2)C1 ZINC001665726359 1197181904 /nfs/dbraw/zinc/18/19/04/1197181904.db2.gz OPXRPAKKGZJRAU-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C(C)C)nc1 ZINC001665798037 1197198967 /nfs/dbraw/zinc/19/89/67/1197198967.db2.gz FWNHQHJMXZLRGD-AWEZNQCLSA-N 0 1 309.841 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C(C)C)nc1 ZINC001665798038 1197199165 /nfs/dbraw/zinc/19/91/65/1197199165.db2.gz FWNHQHJMXZLRGD-CQSZACIVSA-N 0 1 309.841 3.056 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001665797787 1197199270 /nfs/dbraw/zinc/19/92/70/1197199270.db2.gz BZPHSGAEZPPXRQ-INIZCTEOSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)[C@@H](C)C(C)(C)C ZINC001665810079 1197200412 /nfs/dbraw/zinc/20/04/12/1197200412.db2.gz OFNPAPMUXMQKJW-VXGBXAGGSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NCc1nc(C)cs1 ZINC001665839423 1197205435 /nfs/dbraw/zinc/20/54/35/1197205435.db2.gz OMNLGPSBLOIVNF-CJNGLKHVSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(CNCc2ocnc2C)CCCC1 ZINC001665925613 1197217349 /nfs/dbraw/zinc/21/73/49/1197217349.db2.gz NWHBKXPBLWDWHW-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cc(C)no2)CCCC[C@@H]1C ZINC001666049685 1197231536 /nfs/dbraw/zinc/23/15/36/1197231536.db2.gz MOWNKVMTZYRLEE-KBXCAEBGSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](NCc2nc(C)oc2C)CC1 ZINC001666287148 1197248656 /nfs/dbraw/zinc/24/86/56/1197248656.db2.gz PFOJEPVSHMFMKD-WKILWMFISA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CC2(C)CC2)cc1 ZINC001666337724 1197253141 /nfs/dbraw/zinc/25/31/41/1197253141.db2.gz OZULRCUCJWIUBK-UHFFFAOYSA-N 0 1 306.837 3.335 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001666708253 1197279729 /nfs/dbraw/zinc/27/97/29/1197279729.db2.gz MSYQXGXVBFCNQP-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001666894810 1197296694 /nfs/dbraw/zinc/29/66/94/1197296694.db2.gz YJMFLVUEDKQRFW-UONOGXRCSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)/C=C\c1ccco1 ZINC001667361346 1197340754 /nfs/dbraw/zinc/34/07/54/1197340754.db2.gz SRVFGAOKAJNKSA-RDIZVCBXSA-N 0 1 322.836 3.262 20 30 DGEDMN CN(CCN(C)C(=O)CCC1CCC1)Cc1cccc(C#N)c1 ZINC001667548847 1197360061 /nfs/dbraw/zinc/36/00/61/1197360061.db2.gz BMQLVWYNAKXDGJ-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCC1(C(=O)N(C)CCN(C)Cc2cccc(F)c2)CCC1 ZINC001667618765 1197369739 /nfs/dbraw/zinc/36/97/39/1197369739.db2.gz CAWDQNQFMYOVLO-UHFFFAOYSA-N 0 1 318.436 3.462 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1ccc(Cl)cc1F ZINC001668739046 1197427260 /nfs/dbraw/zinc/42/72/60/1197427260.db2.gz LZVHTKQPEGNKSE-PLNGDYQASA-N 0 1 310.800 3.207 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001669106775 1197440040 /nfs/dbraw/zinc/44/00/40/1197440040.db2.gz ILHRNVUHVVVPEJ-CSHXORCISA-N 0 1 312.457 3.126 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001669478842 1197457097 /nfs/dbraw/zinc/45/70/97/1197457097.db2.gz TUOGRHUFIPOLEV-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001669595432 1197463993 /nfs/dbraw/zinc/46/39/93/1197463993.db2.gz LREVTZWECVQTOQ-NSHDSACASA-N 0 1 319.836 3.245 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1cccc(-c2ccccc2)c1 ZINC001669714204 1197472951 /nfs/dbraw/zinc/47/29/51/1197472951.db2.gz MIYDFHKLWCWFBP-UHFFFAOYSA-N 0 1 307.397 3.040 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001669866968 1197494798 /nfs/dbraw/zinc/49/47/98/1197494798.db2.gz AUQKLYFLTUDGBA-DOTOQJQBSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@@H]1CNCc1ncc(C)o1 ZINC001669956690 1197511109 /nfs/dbraw/zinc/51/11/09/1197511109.db2.gz WLSFVZRJEOSVKQ-CVEARBPZSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001671337981 1197535335 /nfs/dbraw/zinc/53/53/35/1197535335.db2.gz XJUYFCRWCLSVRW-CABCVRRESA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C(F)F)CC1)C1CCCC1 ZINC001671530496 1197545967 /nfs/dbraw/zinc/54/59/67/1197545967.db2.gz NXSROFSKTBQHKK-LBPRGKRZSA-N 0 1 320.811 3.049 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001671684695 1197563033 /nfs/dbraw/zinc/56/30/33/1197563033.db2.gz SDHZMFCGEYEEKW-MLGOLLRUSA-N 0 1 324.877 3.109 20 30 DGEDMN C#CCN[C@H](CNC(=O)/C=C\C(C)(C)C)c1ccccc1CC ZINC001672176834 1197594657 /nfs/dbraw/zinc/59/46/57/1197594657.db2.gz OTVHPZXDWHFKCF-MENFKHAKSA-N 0 1 312.457 3.231 20 30 DGEDMN CC#CCN[C@H](CNC(=O)/C(C)=C/CC)c1ccccc1CC ZINC001672177312 1197594706 /nfs/dbraw/zinc/59/47/06/1197594706.db2.gz VGMQKNSPSBBRBF-KZIRBZLESA-N 0 1 312.457 3.376 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](C)CC(C)(C)C)[C@@H]2C1 ZINC001672562983 1197617771 /nfs/dbraw/zinc/61/77/71/1197617771.db2.gz OXJWMTSRLCDCME-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001673634370 1197680915 /nfs/dbraw/zinc/68/09/15/1197680915.db2.gz QPDXPVJNMCZDDD-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2Cc3ccccc3CN2CCC)C1 ZINC001673634334 1197681542 /nfs/dbraw/zinc/68/15/42/1197681542.db2.gz PSKCRYSWAJNMTH-SFHVURJKSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1Cc2ccccc2CN1CC(=C)C ZINC001673635369 1197682483 /nfs/dbraw/zinc/68/24/83/1197682483.db2.gz ZFLLKBBMJAMGEM-LJQANCHMSA-N 0 1 312.457 3.462 20 30 DGEDMN C=C(Br)CN1CCN(Cc2ccccc2)C[C@@H](C)C1 ZINC000324026779 1197692665 /nfs/dbraw/zinc/69/26/65/1197692665.db2.gz OUZWFNLYPHECGW-AWEZNQCLSA-N 0 1 323.278 3.349 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C)cc1Cl ZINC001674486851 1197785040 /nfs/dbraw/zinc/78/50/40/1197785040.db2.gz PYTMQHAKDBFACS-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1oc(C(F)F)cc1C ZINC001674680245 1197830937 /nfs/dbraw/zinc/83/09/37/1197830937.db2.gz LFQOJAAMNORHTC-NXEZZACHSA-N 0 1 320.767 3.375 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1cc(C)cc(C)c1 ZINC001674722544 1197848666 /nfs/dbraw/zinc/84/86/66/1197848666.db2.gz OLGHDKJOMCNMFR-HUUCEWRRSA-N 0 1 308.853 3.081 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001674974128 1197940388 /nfs/dbraw/zinc/94/03/88/1197940388.db2.gz CTQPSFSGHGLEEH-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccccc1O ZINC001675219387 1198010805 /nfs/dbraw/zinc/01/08/05/1198010805.db2.gz YQQAEFSWEAWLPM-CYBMUJFWSA-N 0 1 310.825 3.023 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001675349728 1198050533 /nfs/dbraw/zinc/05/05/33/1198050533.db2.gz ZENAVTKZKUZYKL-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001675363160 1198054454 /nfs/dbraw/zinc/05/44/54/1198054454.db2.gz FNZAPJFSULZGLP-STQMWFEESA-N 0 1 306.837 3.066 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CN(C[C@@H](F)CC)CC1(C)C ZINC001675467172 1198074453 /nfs/dbraw/zinc/07/44/53/1198074453.db2.gz AAOMUSLAXUCUKS-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)CCCCCC)C(C)(C)C1 ZINC001675479139 1198075171 /nfs/dbraw/zinc/07/51/71/1198075171.db2.gz FYWGTMNNLHFCIC-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC/C=C/c2ccccc2)C(C)(C)C1 ZINC001675473358 1198076104 /nfs/dbraw/zinc/07/61/04/1198076104.db2.gz LLJIKLGAGCNJIH-CWIMXHLESA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001675776936 1198139708 /nfs/dbraw/zinc/13/97/08/1198139708.db2.gz PUMCVMJLJPXLAH-KKUMJFAQSA-N 0 1 319.449 3.131 20 30 DGEDMN CC(C)CC(=O)N[C@@H](C)CCCNCc1cc(F)ccc1C#N ZINC001675847386 1198154455 /nfs/dbraw/zinc/15/44/55/1198154455.db2.gz GRJUXUQFECHYGT-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccsc1C ZINC001676214983 1198253561 /nfs/dbraw/zinc/25/35/61/1198253561.db2.gz AQBXEIUWHVIIBW-AWEZNQCLSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)Cc1cccc(C)c1 ZINC001676244655 1198259598 /nfs/dbraw/zinc/25/95/98/1198259598.db2.gz UKVMJQHUXBGHBW-KRWDZBQOSA-N 0 1 320.864 3.117 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1c(F)cccc1Cl ZINC001676341218 1198295528 /nfs/dbraw/zinc/29/55/28/1198295528.db2.gz CABNJYKGGPZOGJ-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CC(C)(C)CC(F)F ZINC001676386721 1198306982 /nfs/dbraw/zinc/30/69/82/1198306982.db2.gz JEHUGSCGPUVMAW-NSHDSACASA-N 0 1 310.816 3.247 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1cc(C)ccc1C ZINC001676414249 1198316338 /nfs/dbraw/zinc/31/63/38/1198316338.db2.gz XXHFLTPANUZENI-HNNXBMFYSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(c2cccc(C)c2)CC1 ZINC001676489057 1198336271 /nfs/dbraw/zinc/33/62/71/1198336271.db2.gz YGBHPVWAYOSRBN-HNNXBMFYSA-N 0 1 320.864 3.216 20 30 DGEDMN C=CCCCC(=O)N[C@]12CCC[C@@H]1N(Cc1cncs1)CC2 ZINC001676816604 1198399746 /nfs/dbraw/zinc/39/97/46/1198399746.db2.gz SSYZPYIQYJESJZ-RDJZCZTQSA-N 0 1 319.474 3.113 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2c(C)noc2C)[C@H]1C ZINC001676880852 1198422122 /nfs/dbraw/zinc/42/21/22/1198422122.db2.gz YCERFLUJQGTXAD-WMLDXEAASA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2c(C)noc2C)[C@@H]1C ZINC001676880851 1198422566 /nfs/dbraw/zinc/42/25/66/1198422566.db2.gz YCERFLUJQGTXAD-RHSMWYFYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(CNC(=O)N1CCN(C)C[C@H]1CC(C)C)c1ccccc1 ZINC001681778509 1199081079 /nfs/dbraw/zinc/08/10/79/1199081079.db2.gz DQRVNOSOYRZDOK-GOSISDBHSA-N 0 1 315.461 3.072 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(CC)C[C@H]1CC ZINC001683814632 1199307310 /nfs/dbraw/zinc/30/73/10/1199307310.db2.gz OAMZAVMNILSHPG-ZACQAIPSSA-N 0 1 307.482 3.247 20 30 DGEDMN CCCCCC[C@H](CC)CN(C)C(=O)NCC#CCN(C)C ZINC001684871244 1199422416 /nfs/dbraw/zinc/42/24/16/1199422416.db2.gz CWTVNDIDDWBLPV-KRWDZBQOSA-N 0 1 309.498 3.189 20 30 DGEDMN N#CCOc1cccc(CNCc2cc(F)c(F)c(F)c2)c1 ZINC000113347346 1199667529 /nfs/dbraw/zinc/66/75/29/1199667529.db2.gz UBSAEZZMOTZGIB-UHFFFAOYSA-N 0 1 306.287 3.296 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CC2)cc1 ZINC001688067645 1199685992 /nfs/dbraw/zinc/68/59/92/1199685992.db2.gz JBZQSQMNWHTWRA-MOPGFXCFSA-N 0 1 310.441 3.429 20 30 DGEDMN C[C@@H](CC1CCCCC1)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000114618618 1199706636 /nfs/dbraw/zinc/70/66/36/1199706636.db2.gz NQYZTSRTZOHOMQ-DZGCQCFKSA-N 0 1 313.401 3.335 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001688933664 1199963971 /nfs/dbraw/zinc/96/39/71/1199963971.db2.gz SZLCOYYTGUWLDL-HDJSIYSDSA-N 0 1 321.490 3.046 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)/C=C(/C)C1CC1 ZINC001689081694 1200021796 /nfs/dbraw/zinc/02/17/96/1200021796.db2.gz CJSQHEQRFXUPCN-VRWPGVAASA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001754062814 1200090659 /nfs/dbraw/zinc/09/06/59/1200090659.db2.gz PYDJASIIVCOYHE-SFHVURJKSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001689316575 1200092745 /nfs/dbraw/zinc/09/27/45/1200092745.db2.gz CDYKBVCMBILNIK-AYBZRNKSSA-N 0 1 315.461 3.071 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001754074608 1200094418 /nfs/dbraw/zinc/09/44/18/1200094418.db2.gz ZXCXNCUSVIMKKV-CVEARBPZSA-N 0 1 315.461 3.179 20 30 DGEDMN CCCCC(=O)NC/C=C\CNCC#Cc1ccccc1Cl ZINC001754096448 1200103437 /nfs/dbraw/zinc/10/34/37/1200103437.db2.gz WRCBGGCAZUMGRN-SREVYHEPSA-N 0 1 318.848 3.144 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)CC1CCCCC1 ZINC001754243805 1200150389 /nfs/dbraw/zinc/15/03/89/1200150389.db2.gz WSNADWQUIZRQQH-GXTWGEPZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(CCc2ccccc2)CC1 ZINC001754257023 1200157012 /nfs/dbraw/zinc/15/70/12/1200157012.db2.gz ZCDZFEAIIBISQB-OAHLLOKOSA-N 0 1 320.864 3.246 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001754259521 1200160356 /nfs/dbraw/zinc/16/03/56/1200160356.db2.gz UKPLEPBUOFYWRP-HNNXBMFYSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc2[nH]ccc21)C1CC1 ZINC001754452471 1200270813 /nfs/dbraw/zinc/27/08/13/1200270813.db2.gz CVEKNOPYFTVLDW-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C12CC(C(C)(C)C)(C1)C2)C1CC1 ZINC001754461863 1200275391 /nfs/dbraw/zinc/27/53/91/1200275391.db2.gz WPBZVWRLFTWRLI-NNGSBXSVSA-N 0 1 324.896 3.440 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1oc(C)nc1C ZINC001754479121 1200290959 /nfs/dbraw/zinc/29/09/59/1200290959.db2.gz VXZTZNAVRAEJMV-HNNXBMFYSA-N 0 1 307.438 3.066 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001754490655 1200300702 /nfs/dbraw/zinc/30/07/02/1200300702.db2.gz TXECPRBMYGGNLG-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001754495933 1200308112 /nfs/dbraw/zinc/30/81/12/1200308112.db2.gz BVRJIZMQIZZDDI-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCCC(=O)NCC1(N[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001754509482 1200327229 /nfs/dbraw/zinc/32/72/29/1200327229.db2.gz KEUOMVNEXLTJGF-LBPRGKRZSA-N 0 1 308.372 3.230 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccc(F)cc2F)C1 ZINC001754575597 1200374957 /nfs/dbraw/zinc/37/49/57/1200374957.db2.gz JRCBMYXHEROFQS-CYBMUJFWSA-N 0 1 322.399 3.005 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001754582775 1200378014 /nfs/dbraw/zinc/37/80/14/1200378014.db2.gz BZBNZPYTMAQVEE-INIZCTEOSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001690051704 1200386869 /nfs/dbraw/zinc/38/68/69/1200386869.db2.gz OVRUSXBQNUVBPU-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001690087638 1200390953 /nfs/dbraw/zinc/39/09/53/1200390953.db2.gz GOKBDDYLHIMZPL-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccccc1CC ZINC001754616298 1200397484 /nfs/dbraw/zinc/39/74/84/1200397484.db2.gz XDZQUZOAOCUREV-HNNXBMFYSA-N 0 1 306.837 3.196 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001690139027 1200415318 /nfs/dbraw/zinc/41/53/18/1200415318.db2.gz XYYOADCUGFVIRT-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001690139025 1200416003 /nfs/dbraw/zinc/41/60/03/1200416003.db2.gz XYYOADCUGFVIRT-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@H]1CCC(F)(F)C1)C1CC1 ZINC001690153690 1200423657 /nfs/dbraw/zinc/42/36/57/1200423657.db2.gz RWKXEWRXCPSPII-YPMHNXCESA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001690154282 1200426063 /nfs/dbraw/zinc/42/60/63/1200426063.db2.gz YMMIPXSFQXPJCX-FFXRNRBCSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001754703458 1200455581 /nfs/dbraw/zinc/45/55/81/1200455581.db2.gz PCVLTWFHXTYMIK-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(C)C)c1ccccc1CC ZINC001754785134 1200487382 /nfs/dbraw/zinc/48/73/82/1200487382.db2.gz IPANNAAPJBXLKT-SFHVURJKSA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CC12CCCC2)c1ccccc1CC ZINC001754790871 1200491127 /nfs/dbraw/zinc/49/11/27/1200491127.db2.gz SLIZBWRYMROTGF-RTBURBONSA-N 0 1 324.468 3.209 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C#CC(C)(C)C)C2 ZINC001754830153 1200510601 /nfs/dbraw/zinc/51/06/01/1200510601.db2.gz GMMCNHNMHUEGGO-MUJYYYPQSA-N 0 1 322.880 3.148 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CC(C)(F)F)C2 ZINC001754830949 1200510658 /nfs/dbraw/zinc/51/06/58/1200510658.db2.gz JDFAPQWPHCCAMF-CLLJXQQHSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CCCC#CC)C2 ZINC001754831778 1200511307 /nfs/dbraw/zinc/51/13/07/1200511307.db2.gz OMDZYEDLLCHSPT-BJWYYQGGSA-N 0 1 322.880 3.292 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)c2cc[nH]c2)cc1 ZINC001754947082 1200547872 /nfs/dbraw/zinc/54/78/72/1200547872.db2.gz FJAYPACPPAXMFV-CYBMUJFWSA-N 0 1 317.820 3.348 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H](C)CC(C)C ZINC001754954867 1200554276 /nfs/dbraw/zinc/55/42/76/1200554276.db2.gz USMLFRCAKJBSMB-KRWDZBQOSA-N 0 1 314.473 3.440 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C[C@H](C)C3CC3)cccc2C1 ZINC001754975651 1200563134 /nfs/dbraw/zinc/56/31/34/1200563134.db2.gz HWZHUSHDLGQVKG-INIZCTEOSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CCCCCC)cccc2C1 ZINC001754975795 1200564073 /nfs/dbraw/zinc/56/40/73/1200564073.db2.gz OBHPXDIXJJAHLN-UHFFFAOYSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cccc(C(C)C)n2)CCC1 ZINC001754978898 1200566642 /nfs/dbraw/zinc/56/66/42/1200566642.db2.gz WIOWZWZDVBNQKF-UHFFFAOYSA-N 0 1 315.461 3.300 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@]1(C)CC=CCC1 ZINC001690470349 1200577859 /nfs/dbraw/zinc/57/78/59/1200577859.db2.gz ZISRBADKPPHHPP-WBVHZDCISA-N 0 1 310.869 3.456 20 30 DGEDMN C[C@H](NC(=O)CC1CC1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001690490938 1200587345 /nfs/dbraw/zinc/58/73/45/1200587345.db2.gz GXRVZMOOCYPPKK-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001755036903 1200602362 /nfs/dbraw/zinc/60/23/62/1200602362.db2.gz WNYZSTBNMCOSFB-GOSISDBHSA-N 0 1 314.473 3.255 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001690557369 1200624746 /nfs/dbraw/zinc/62/47/46/1200624746.db2.gz QQJRWMNMGAHJQE-UJMHRVFCSA-N 0 1 318.848 3.142 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N[C@@H](C)c1cccc(Cl)c1F ZINC001690570693 1200630345 /nfs/dbraw/zinc/63/03/45/1200630345.db2.gz KCUURJDZFMKVFI-STQMWFEESA-N 0 1 324.827 3.438 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H]3CC[C@H](C)C3)cc2C1 ZINC001755102426 1200636693 /nfs/dbraw/zinc/63/66/93/1200636693.db2.gz SXZHCDBGMRLXDE-IRXDYDNUSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccc(C)c(Cl)c1 ZINC001690592430 1200638969 /nfs/dbraw/zinc/63/89/69/1200638969.db2.gz YMQKCYBUWDBDFL-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2cccc(F)c2Cl)C1 ZINC001690618490 1200652216 /nfs/dbraw/zinc/65/22/16/1200652216.db2.gz AWRPOJXRLVJXBK-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001690621329 1200653340 /nfs/dbraw/zinc/65/33/40/1200653340.db2.gz RRALLTAWGBNOOT-WBVHZDCISA-N 0 1 322.880 3.348 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C/C=C\Cc2ccccc2)C(C)(C)C1 ZINC001755137916 1200656642 /nfs/dbraw/zinc/65/66/42/1200656642.db2.gz GSBVBJNKYRTKDP-OLHLWXQYSA-N 0 1 324.468 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cnc(C)o2)[C@H](C)C1 ZINC001755160681 1200666887 /nfs/dbraw/zinc/66/68/87/1200666887.db2.gz QCFATGOKHQOAHO-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2cc(C)ccc2o1 ZINC001690650923 1200672808 /nfs/dbraw/zinc/67/28/08/1200672808.db2.gz UNGIYEJKFAOORG-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001690652923 1200673691 /nfs/dbraw/zinc/67/36/91/1200673691.db2.gz XOJRPFOZMPWOGE-ZFWWWQNUSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001755168969 1200675314 /nfs/dbraw/zinc/67/53/14/1200675314.db2.gz IZXVWQDEJKSUOM-ZIAGYGMSSA-N 0 1 317.458 3.055 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2C[N@@H+](C)C/C=C\Cl)CCC1 ZINC001755198912 1200697334 /nfs/dbraw/zinc/69/73/34/1200697334.db2.gz KWKRYHVOVCYFLC-UJNBGNEJSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CN(C)C/C=C\Cl)CCC1 ZINC001755198912 1200697338 /nfs/dbraw/zinc/69/73/38/1200697338.db2.gz KWKRYHVOVCYFLC-UJNBGNEJSA-N 0 1 310.869 3.408 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001755227796 1200717027 /nfs/dbraw/zinc/71/70/27/1200717027.db2.gz KSAOADRHXYCQAR-BARLUBHISA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001755248294 1200734137 /nfs/dbraw/zinc/73/41/37/1200734137.db2.gz HUSRXGLORQZVBN-HUUCEWRRSA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C2CC2)nc1C ZINC001755255399 1200739544 /nfs/dbraw/zinc/73/95/44/1200739544.db2.gz DGXGKUANPMPMPB-VXGBXAGGSA-N 0 1 321.852 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(F)cc(Cl)c1 ZINC001755258741 1200742066 /nfs/dbraw/zinc/74/20/66/1200742066.db2.gz VIWJJUHVYRAOEU-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(Cl)s1 ZINC001755265551 1200748210 /nfs/dbraw/zinc/74/82/10/1200748210.db2.gz GTZJWCICOLTWTI-RKDXNWHRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001755303925 1200785083 /nfs/dbraw/zinc/78/50/83/1200785083.db2.gz TWVXDTHWERGQPD-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2ccsc2)CCC1 ZINC001755314258 1200799140 /nfs/dbraw/zinc/79/91/40/1200799140.db2.gz DKQIKTCZZNTNBL-CQSZACIVSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)c1ccccc1 ZINC001691782275 1200807144 /nfs/dbraw/zinc/80/71/44/1200807144.db2.gz MGFQVJDZICKNGT-BWPNAZKDSA-N 0 1 322.452 3.059 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccccc1CC ZINC001691789923 1200808501 /nfs/dbraw/zinc/80/85/01/1200808501.db2.gz DUPZEYROERZJIC-SFHVURJKSA-N 0 1 312.457 3.199 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCCN1Cc1conc1CC ZINC001755364239 1200849821 /nfs/dbraw/zinc/84/98/21/1200849821.db2.gz GTYLZNZIYWYEPY-MRXNPFEDSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001755382299 1200866112 /nfs/dbraw/zinc/86/61/12/1200866112.db2.gz NCGYESLVQLZSGV-LIRRHRJNSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(Cc2ccccn2)CC1(C)C ZINC001755413720 1200888589 /nfs/dbraw/zinc/88/85/89/1200888589.db2.gz IDYXJSBODWUHEV-DOTOQJQBSA-N 0 1 315.461 3.011 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC[C@@H](C)c2ccccc2)[C@H]1CC ZINC001692015710 1200912090 /nfs/dbraw/zinc/91/20/90/1200912090.db2.gz GYNPCKGYADUZIH-NZSAHSFTSA-N 0 1 312.457 3.173 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(N(C)Cc2ncc(C)s2)CC1 ZINC001755530141 1200935970 /nfs/dbraw/zinc/93/59/70/1200935970.db2.gz USJLACIYGCWDBX-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC(C)CCC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001692137635 1200943924 /nfs/dbraw/zinc/94/39/24/1200943924.db2.gz DTBLOWFOPVWRNK-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001755562376 1200954435 /nfs/dbraw/zinc/95/44/35/1200954435.db2.gz MTALBDGNOAPJSI-MQJVFOOVSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1F ZINC001755580817 1200967239 /nfs/dbraw/zinc/96/72/39/1200967239.db2.gz CETOYUGHGAOTEH-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1c(F)cccc1Cl ZINC001755580532 1200967475 /nfs/dbraw/zinc/96/74/75/1200967475.db2.gz YYFHVNOQQFBKOG-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc(OCCC)c2)C1 ZINC001692201073 1200968234 /nfs/dbraw/zinc/96/82/34/1200968234.db2.gz WZMDACHOROHPIE-HNNXBMFYSA-N 0 1 316.445 3.244 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](CC)c2ccccc2)[C@H]1CC ZINC001692215292 1200973262 /nfs/dbraw/zinc/97/32/62/1200973262.db2.gz WHKFVHHHGLGGKV-YTQUADARSA-N 0 1 312.457 3.173 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](CC)c2ccccc2)[C@H]1CC ZINC001692215291 1200973610 /nfs/dbraw/zinc/97/36/10/1200973610.db2.gz WHKFVHHHGLGGKV-NZSAHSFTSA-N 0 1 312.457 3.173 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@@H](C)NCc2nc(C)cs2)CC1 ZINC001755604837 1200983573 /nfs/dbraw/zinc/98/35/73/1200983573.db2.gz FAYRQZAMWXFFOO-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1coc2ccccc21 ZINC001755619407 1200995525 /nfs/dbraw/zinc/99/55/25/1200995525.db2.gz DDRFZFHEQGQATN-GFCCVEGCSA-N 0 1 306.793 3.235 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)[C@H]1C ZINC001755714686 1201034753 /nfs/dbraw/zinc/03/47/53/1201034753.db2.gz OKHYBEAILPKGOE-YJBOKZPZSA-N 0 1 313.445 3.218 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cccc(CC)c1 ZINC001692362586 1201038084 /nfs/dbraw/zinc/03/80/84/1201038084.db2.gz JAJNYPCDZRBVHH-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1oc(C(F)F)cc1C ZINC001692368628 1201040203 /nfs/dbraw/zinc/04/02/03/1201040203.db2.gz AEDNLYJQIIGGPI-STQMWFEESA-N 0 1 324.371 3.391 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1coc(C(F)F)c1)C(C)C ZINC001755812536 1201077412 /nfs/dbraw/zinc/07/74/12/1201077412.db2.gz RIGREUSLERYKQX-UHFFFAOYSA-N 0 1 312.360 3.023 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1conc1CC)C(C)(C)C ZINC001755824788 1201089753 /nfs/dbraw/zinc/08/97/53/1201089753.db2.gz IGHZQSHFLNQHTB-MRXNPFEDSA-N 0 1 321.465 3.214 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1Cc2ccccc21)C(C)(C)C ZINC001755830715 1201092506 /nfs/dbraw/zinc/09/25/06/1201092506.db2.gz OHEMERORAFBULN-CVEARBPZSA-N 0 1 320.864 3.199 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC001698571695 1201241980 /nfs/dbraw/zinc/24/19/80/1201241980.db2.gz WZUXUAIOMRAKBF-RTBURBONSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001698587200 1201261671 /nfs/dbraw/zinc/26/16/71/1201261671.db2.gz FTAPFMYAUNWROK-WMZOPIPTSA-N 0 1 300.446 3.207 20 30 DGEDMN CCC(=O)N[C@@]1(CNCc2ccccc2C#N)CCCC[C@@H]1C ZINC001698657383 1201321918 /nfs/dbraw/zinc/32/19/18/1201321918.db2.gz ROFPCBGTWRTZQO-HNAYVOBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2cc(C)on2)CC1 ZINC001698713163 1201353136 /nfs/dbraw/zinc/35/31/36/1201353136.db2.gz PFHPVFKMLOJUBC-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C#CCCCCC(=O)NCCC1CCN(CC(=C)Cl)CC1 ZINC001698721817 1201355546 /nfs/dbraw/zinc/35/55/46/1201355546.db2.gz CFOYVGWDMISWTC-UHFFFAOYSA-N 0 1 310.869 3.151 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)CCC1CCC1 ZINC001698817289 1201463002 /nfs/dbraw/zinc/46/30/02/1201463002.db2.gz CLZODCZVGZBOIV-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1ccn(C(C)C)n1 ZINC001698843097 1201495106 /nfs/dbraw/zinc/49/51/06/1201495106.db2.gz LZYHTGHCGSEHRO-QAPCUYQASA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCCc1ccccc1 ZINC001698845121 1201502059 /nfs/dbraw/zinc/50/20/59/1201502059.db2.gz UNRIJWLRFIQZOO-HNNXBMFYSA-N 0 1 308.853 3.198 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001698864309 1201520748 /nfs/dbraw/zinc/52/07/48/1201520748.db2.gz RZGGHLHXWOOAFV-GOEBONIOSA-N 0 1 322.880 3.363 20 30 DGEDMN CCCC(=O)N[C@H](CNCc1ccc(C#N)cc1F)CC(C)C ZINC001698865587 1201523000 /nfs/dbraw/zinc/52/30/00/1201523000.db2.gz NARHRPCAMYTPDE-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C(C)=C1CCC1 ZINC001698941189 1201590266 /nfs/dbraw/zinc/59/02/66/1201590266.db2.gz SPUYZYNCUOEKDX-WBMJQRKESA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1cccs1 ZINC001698942445 1201592798 /nfs/dbraw/zinc/59/27/98/1201592798.db2.gz ADBZMDJVEVNGEV-DGCLKSJQSA-N 0 1 312.866 3.331 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1cc(C)no1 ZINC001698948702 1201596166 /nfs/dbraw/zinc/59/61/66/1201596166.db2.gz DTQURSMALYGCBD-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cccn1CC ZINC001698951355 1201598670 /nfs/dbraw/zinc/59/86/70/1201598670.db2.gz FQDAIRYOBUHFQV-CJNGLKHVSA-N 0 1 323.868 3.091 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C\C(C)(C)C)CC[C@@H]21 ZINC001699006556 1201675887 /nfs/dbraw/zinc/67/58/87/1201675887.db2.gz CXXWYBLZULFNBH-PNPSXAPQSA-N 0 1 310.869 3.264 20 30 DGEDMN CCN(CCNCc1cccc(Cl)c1F)C(=O)C#CC(C)C ZINC001699180631 1201744344 /nfs/dbraw/zinc/74/43/44/1201744344.db2.gz RMZNFPGZSVRWFZ-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CC2(C)CCCCC2)CC1 ZINC001752302727 1201827214 /nfs/dbraw/zinc/82/72/14/1201827214.db2.gz HTSTZUITTZMOQG-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC[C@@H](C)c1ccccc1 ZINC001699381959 1201829403 /nfs/dbraw/zinc/82/94/03/1201829403.db2.gz RIORGJCIUGONRJ-UKRRQHHQSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)Cc1ccccc1C ZINC001699387832 1201832233 /nfs/dbraw/zinc/83/22/33/1201832233.db2.gz ICVGSUDNFQGQPP-DZGCQCFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC(C)=C(C)C2)CC1 ZINC001752439415 1201838329 /nfs/dbraw/zinc/83/83/29/1201838329.db2.gz DRJYYSNLGNWCDZ-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C[C@@H](CN[C@@H](C)c1c(F)cccc1F)NC(=O)C#CC(C)(C)C ZINC001699403360 1201841236 /nfs/dbraw/zinc/84/12/36/1201841236.db2.gz UURFLUALPBKYLG-STQMWFEESA-N 0 1 322.399 3.170 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2c(F)cccc2Cl)C1 ZINC001699417501 1201850244 /nfs/dbraw/zinc/85/02/44/1201850244.db2.gz MSQHLFPTGSGAAF-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001752654614 1201886039 /nfs/dbraw/zinc/88/60/39/1201886039.db2.gz AWTISLLUYBPXCX-IHRRRGAJSA-N 0 1 322.399 3.304 20 30 DGEDMN CCCCCCCN1CCCC[C@H]1CNC(=O)c1cnns1 ZINC001752818230 1201943857 /nfs/dbraw/zinc/94/38/57/1201943857.db2.gz YUIRZCPCXQVWJV-AWEZNQCLSA-N 0 1 324.494 3.093 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C2CCC2)CCC1)C1CC1 ZINC001699587125 1201959672 /nfs/dbraw/zinc/95/96/72/1201959672.db2.gz ZPHOVHZAQAKMCK-OAHLLOKOSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1ccccc1C)C1CC1 ZINC001699602153 1201969055 /nfs/dbraw/zinc/96/90/55/1201969055.db2.gz JBQFZIHSBHJGEM-RHSMWYFYSA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(CC(C)C)s1 ZINC001752878260 1201990368 /nfs/dbraw/zinc/99/03/68/1201990368.db2.gz KPFGFWQPEFTKOQ-GFCCVEGCSA-N 0 1 314.882 3.407 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001699630209 1201993007 /nfs/dbraw/zinc/99/30/07/1201993007.db2.gz KOQAHFYBRQYHHA-HXUWFJFHSA-N 0 1 324.468 3.059 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001699630205 1201994227 /nfs/dbraw/zinc/99/42/27/1201994227.db2.gz KMFOWXQRKCJAJB-LSDHHAIUSA-N 0 1 306.475 3.083 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NCc1cc(F)ccc1F ZINC001752906500 1202004987 /nfs/dbraw/zinc/00/49/87/1202004987.db2.gz LDDJOROCGOPCQM-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccc(F)cc2Cl)CC1 ZINC001699647467 1202012322 /nfs/dbraw/zinc/01/23/22/1202012322.db2.gz NOXWERUSBNLTJE-UHFFFAOYSA-N 0 1 310.800 3.184 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCC=C(Cl)Cl)CC1 ZINC001699653199 1202016301 /nfs/dbraw/zinc/01/63/01/1202016301.db2.gz SYGIVVZQKIQOQS-UHFFFAOYSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001699663387 1202022009 /nfs/dbraw/zinc/02/20/09/1202022009.db2.gz KXCJGHDLASGSQR-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2csc3c2CCCC3)CC1 ZINC001699671394 1202027203 /nfs/dbraw/zinc/02/72/03/1202027203.db2.gz HUOYAXBPHKHBCB-UHFFFAOYSA-N 0 1 324.877 3.231 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@@H](C)c2ccncc2)C1 ZINC001699712957 1202046775 /nfs/dbraw/zinc/04/67/75/1202046775.db2.gz FOQLZMGHGILETH-CVEARBPZSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001699713644 1202048087 /nfs/dbraw/zinc/04/80/87/1202048087.db2.gz OBKJSXKWCZYRRF-UHFFFAOYSA-N 0 1 318.436 3.212 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001699717799 1202049143 /nfs/dbraw/zinc/04/91/43/1202049143.db2.gz KYZWHCIJODEEKZ-KGLIPLIRSA-N 0 1 314.420 3.309 20 30 DGEDMN CCC(C)(C)C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001753012951 1202058325 /nfs/dbraw/zinc/05/83/25/1202058325.db2.gz SEHUBBDFTSFTCT-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C)cc1F ZINC001699760806 1202068570 /nfs/dbraw/zinc/06/85/70/1202068570.db2.gz RODTXVIYPMVSEX-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2conc2CC)CC1 ZINC001699772375 1202074642 /nfs/dbraw/zinc/07/46/42/1202074642.db2.gz HSLMLUHEECSDSN-UHFFFAOYSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1)C1CCCC1 ZINC001699787674 1202078297 /nfs/dbraw/zinc/07/82/97/1202078297.db2.gz MSVFSPCKGFKGHA-INIZCTEOSA-N 0 1 306.837 3.317 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CC(C)(C)C)C1CCCC1 ZINC001699790965 1202080583 /nfs/dbraw/zinc/08/05/83/1202080583.db2.gz HHEDVGLQBHXXFK-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C(\C)C1CC1)C1CCCC1 ZINC001699793576 1202081432 /nfs/dbraw/zinc/08/14/32/1202081432.db2.gz TYYPAGNYMWWSTL-ONOODXEBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1[nH]c(C)cc1C)C1CCCC1 ZINC001699797281 1202082897 /nfs/dbraw/zinc/08/28/97/1202082897.db2.gz ZDZOUWRNCKOTQT-HNNXBMFYSA-N 0 1 323.868 3.262 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@](C)(CNCc2csc(C)n2)C1 ZINC001699847541 1202110274 /nfs/dbraw/zinc/11/02/74/1202110274.db2.gz NCMZWXOHWSCSGG-KRWDZBQOSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001699873062 1202127155 /nfs/dbraw/zinc/12/71/55/1202127155.db2.gz KCNKWRRDXNSYJQ-NRFANRHFSA-N 0 1 324.468 3.419 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001699878753 1202133477 /nfs/dbraw/zinc/13/34/77/1202133477.db2.gz ASWVYKUAINYUQV-PXNSSMCTSA-N 0 1 318.505 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC2(C)CCCC2)C1 ZINC001699886787 1202136267 /nfs/dbraw/zinc/13/62/67/1202136267.db2.gz HTPSUKWVDZHHBG-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC[C@@H](C)CC)C1 ZINC001699886697 1202136360 /nfs/dbraw/zinc/13/63/60/1202136360.db2.gz GEUGIGWEDAJCQQ-GJZGRUSLSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)=C1CCC1)c1ccccc1CC ZINC001699930884 1202139873 /nfs/dbraw/zinc/13/98/73/1202139873.db2.gz AAROAKAPRZEQBC-LJQANCHMSA-N 0 1 310.441 3.130 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(C2CC2)CC1)c1ccccc1CC ZINC001699932647 1202140883 /nfs/dbraw/zinc/14/08/83/1202140883.db2.gz JKMFPQAIXLSEQG-IBGZPJMESA-N 0 1 324.468 3.209 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)CCC(C)(C)C ZINC001700018080 1202166259 /nfs/dbraw/zinc/16/62/59/1202166259.db2.gz WBDISHLDJSDVGP-GVDBMIGSSA-N 0 1 304.478 3.147 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)c1ccco1 ZINC001700090388 1202177178 /nfs/dbraw/zinc/17/71/78/1202177178.db2.gz GFOIGLNDTLPINL-MRXNPFEDSA-N 0 1 324.424 3.155 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)c2ccccc2)CCC1 ZINC001700128887 1202186216 /nfs/dbraw/zinc/18/62/16/1202186216.db2.gz FAGXPEBQLKHZBR-UHFFFAOYSA-N 0 1 319.408 3.001 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)[C@@H]2CC=CCC2)CCC1 ZINC001700127408 1202186647 /nfs/dbraw/zinc/18/66/47/1202186647.db2.gz NKSVBYDKRHCXRK-MRXNPFEDSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)oc(C)c2C)CCC1 ZINC001700134958 1202188052 /nfs/dbraw/zinc/18/80/52/1202188052.db2.gz VCLQDEVNQAWENY-UHFFFAOYSA-N 0 1 310.825 3.199 20 30 DGEDMN C=CCCCC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001700136256 1202189112 /nfs/dbraw/zinc/18/91/12/1202189112.db2.gz JYSLSAVKZIALOY-UHFFFAOYSA-N 0 1 310.441 3.023 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2nc(C)c(C)s2)CCC1 ZINC001700137644 1202189265 /nfs/dbraw/zinc/18/92/65/1202189265.db2.gz YLFZINBZRNKBBI-UHFFFAOYSA-N 0 1 321.490 3.245 20 30 DGEDMN CCCC1(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)CC1 ZINC001700137085 1202189643 /nfs/dbraw/zinc/18/96/43/1202189643.db2.gz ULVVFZOUAINXMX-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001700139061 1202190076 /nfs/dbraw/zinc/19/00/76/1202190076.db2.gz CNUIUDJHCIJHPI-UHFFFAOYSA-N 0 1 319.449 3.022 20 30 DGEDMN CCCCC(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001700173665 1202194037 /nfs/dbraw/zinc/19/40/37/1202194037.db2.gz KYVZFONLLHAVBO-AWEZNQCLSA-N 0 1 319.474 3.281 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)c1ccc(C(C)C)cc1 ZINC001753294179 1202198695 /nfs/dbraw/zinc/19/86/95/1202198695.db2.gz GGTFBPOUDGKVGI-XUVXKRRUSA-N 0 1 324.468 3.236 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CCCC12CC2)c1ccccc1 ZINC001753300670 1202199969 /nfs/dbraw/zinc/19/99/69/1202199969.db2.gz YDAPHZLCISFMHZ-ZWKOTPCHSA-N 0 1 310.441 3.037 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cccn1C(C)C)c1ccccc1 ZINC001753303388 1202200665 /nfs/dbraw/zinc/20/06/65/1202200665.db2.gz XJDXYQKXTZDQDD-SFHVURJKSA-N 0 1 323.440 3.153 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1csc(C)c1 ZINC001753305913 1202201913 /nfs/dbraw/zinc/20/19/13/1202201913.db2.gz VTFGXZNQQBMMHR-CALCHBBNSA-N 0 1 316.470 3.035 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21)c1ccccc1 ZINC001753313851 1202204761 /nfs/dbraw/zinc/20/47/61/1202204761.db2.gz YMBZLSAXLCNOCW-ZGXWSNOMSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1ccc(F)cn1 ZINC001700195898 1202208458 /nfs/dbraw/zinc/20/84/58/1202208458.db2.gz MSXFDEDVQCQGKB-UHFFFAOYSA-N 0 1 321.440 3.103 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc3ccccc3[nH]2)C1 ZINC001753322289 1202209899 /nfs/dbraw/zinc/20/98/99/1202209899.db2.gz HEJJGCJNCZJRKU-MRXNPFEDSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@@H](CNC(=O)CCC(=C)C)O2 ZINC001700208123 1202211117 /nfs/dbraw/zinc/21/11/17/1202211117.db2.gz ZVUDOWDZPIFMOW-SJORKVTESA-N 0 1 320.477 3.047 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cc3ccccc3[nH]2)C1 ZINC001753324081 1202211226 /nfs/dbraw/zinc/21/12/26/1202211226.db2.gz WWDYHJKDXUBBRP-QGZVFWFLSA-N 0 1 323.440 3.118 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc[nH]c2C(C)C)C1 ZINC001753354299 1202225100 /nfs/dbraw/zinc/22/51/00/1202225100.db2.gz CZHBJXYAKLYCGW-CQSZACIVSA-N 0 1 323.868 3.285 20 30 DGEDMN C=CCCC(=O)N(Cc1ccccc1)[C@H]1CCCN(CC#CC)C1 ZINC001753361381 1202228714 /nfs/dbraw/zinc/22/87/14/1202228714.db2.gz FAZUQVMMKZZQCC-FQEVSTJZSA-N 0 1 324.468 3.469 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)/C=C(/C)C2CC2)CC1 ZINC001753363613 1202229644 /nfs/dbraw/zinc/22/96/44/1202229644.db2.gz XJPHWRFKZWKPSA-RAXLEYEMSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)c2ccccc2)C1 ZINC001753393406 1202239500 /nfs/dbraw/zinc/23/95/00/1202239500.db2.gz NLJQBICBJQERAP-OAHLLOKOSA-N 0 1 300.446 3.119 20 30 DGEDMN CC[C@@H](c1ccccc1)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001753420872 1202247740 /nfs/dbraw/zinc/24/77/40/1202247740.db2.gz NOQHOISWZPSDDC-FHWLQOOXSA-N 0 1 324.468 3.472 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c(C)cc(C)cc1C ZINC001700366818 1202252839 /nfs/dbraw/zinc/25/28/39/1202252839.db2.gz YMVRYXXYOGGFDO-SFHVURJKSA-N 0 1 312.457 3.172 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)[C@@H]1CC1(C)C ZINC001700367507 1202252915 /nfs/dbraw/zinc/25/29/15/1202252915.db2.gz QIUZJUGKELUBPK-MOPGFXCFSA-N 0 1 324.468 3.007 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001700384743 1202257493 /nfs/dbraw/zinc/25/74/93/1202257493.db2.gz AMOOYIFULVDXKU-KGLIPLIRSA-N 0 1 319.424 3.260 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001700398263 1202261733 /nfs/dbraw/zinc/26/17/33/1202261733.db2.gz YWWFFNVNPUQNFH-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001753460405 1202263107 /nfs/dbraw/zinc/26/31/07/1202263107.db2.gz XQPBPDMPAXEHRI-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001700413412 1202266005 /nfs/dbraw/zinc/26/60/05/1202266005.db2.gz WXCLIZXGUKWDLQ-NEPJUHHUSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@@H](C)NCc2oc(C)nc2C)CC1 ZINC001700424967 1202269330 /nfs/dbraw/zinc/26/93/30/1202269330.db2.gz ZFYFHZSBWQRFRQ-OLZOCXBDSA-N 0 1 319.449 3.021 20 30 DGEDMN CC[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)NCc1ccccc1C#N ZINC001753478776 1202270058 /nfs/dbraw/zinc/27/00/58/1202270058.db2.gz MIVVAZWUSQNRPQ-PBHICJAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)c(CC)s1 ZINC001700428956 1202270186 /nfs/dbraw/zinc/27/01/86/1202270186.db2.gz BLUXNTJXZJYJCC-RYUDHWBXSA-N 0 1 314.882 3.468 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCCCC1(C)C ZINC001753497193 1202275487 /nfs/dbraw/zinc/27/54/87/1202275487.db2.gz LIJZELJPHMJDLB-KGLIPLIRSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@](C)(CNC/C(Cl)=C\Cl)C1CC1 ZINC001753514182 1202280580 /nfs/dbraw/zinc/28/05/80/1202280580.db2.gz DAWNEJOMUVEJJG-BCNIOPEESA-N 0 1 305.249 3.146 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCc1ccc(Cl)cc1 ZINC001700466589 1202283787 /nfs/dbraw/zinc/28/37/87/1202283787.db2.gz JNAYLECPAVQSJE-HNNXBMFYSA-N 0 1 320.864 3.123 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2ccc(F)cc2s1 ZINC001700468527 1202284149 /nfs/dbraw/zinc/28/41/49/1202284149.db2.gz XFLJCTVBLUUSTP-LBPRGKRZSA-N 0 1 318.417 3.114 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2occc2C)CC1 ZINC001753593400 1202295608 /nfs/dbraw/zinc/29/56/08/1202295608.db2.gz YDBIVBGGKUKXLQ-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC001753651314 1202306948 /nfs/dbraw/zinc/30/69/48/1202306948.db2.gz KYGARIREYNHIKZ-DLBZAZTESA-N 0 1 324.509 3.088 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)C1CN(C/C=C\c2ccccc2)C1 ZINC001700539561 1202309508 /nfs/dbraw/zinc/30/95/08/1202309508.db2.gz UGECQRAMEBEBLK-NLZINVLXSA-N 0 1 324.468 3.186 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C(C)(C)c2ccc(CC)cc2)C1 ZINC001700556369 1202312733 /nfs/dbraw/zinc/31/27/33/1202312733.db2.gz YSHNHZCQCNTHSZ-HNNXBMFYSA-N 0 1 314.473 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(CC(C)C)CCC1 ZINC001753702516 1202316614 /nfs/dbraw/zinc/31/66/14/1202316614.db2.gz YNEAYJMEXIPBKS-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(Cc2ncccc2C)CC1(C)C ZINC001700573672 1202317018 /nfs/dbraw/zinc/31/70/18/1202317018.db2.gz BUEXCEGMEFNKSH-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700576679 1202318100 /nfs/dbraw/zinc/31/81/00/1202318100.db2.gz RVGWMGXRRFBGCM-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001753718828 1202320298 /nfs/dbraw/zinc/32/02/98/1202320298.db2.gz RMSIFPOEXARSDY-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1CCC(C2CC2)CC1 ZINC001753722151 1202321187 /nfs/dbraw/zinc/32/11/87/1202321187.db2.gz JWVCQSIKAUWYRK-IUDNXUCKSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(F)c2occc21 ZINC001753729072 1202322738 /nfs/dbraw/zinc/32/27/38/1202322738.db2.gz GBJKVCACZDPGAT-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CCCC)C(C)C)C2)C1 ZINC001700622219 1202324558 /nfs/dbraw/zinc/32/45/58/1202324558.db2.gz ZKEIEIZOZURZAW-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC[C@H](C)CC)CC1 ZINC001700696064 1202337354 /nfs/dbraw/zinc/33/73/54/1202337354.db2.gz BZADMWVDJZUSDT-CYBMUJFWSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cc(C)[nH]c1C ZINC001753811725 1202338011 /nfs/dbraw/zinc/33/80/11/1202338011.db2.gz MMXVBOKEHASLBO-ZBEGNZNMSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1c(C)cccc1F ZINC001700736789 1202345502 /nfs/dbraw/zinc/34/55/02/1202345502.db2.gz NWGGHLUXIMZVFN-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001700743538 1202346782 /nfs/dbraw/zinc/34/67/82/1202346782.db2.gz IGMJAKBTUHIVMI-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001700764126 1202350443 /nfs/dbraw/zinc/35/04/43/1202350443.db2.gz DDODZBGJNGXNFM-CVEARBPZSA-N 0 1 313.445 3.097 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H](C)C2CC2)C1 ZINC001707097742 1202573331 /nfs/dbraw/zinc/57/33/31/1202573331.db2.gz YPMZPZHQZOUSOV-LSTHTHJFSA-N 0 1 324.468 3.030 20 30 DGEDMN CCN(CCCNC(=O)CC1(C)CC1)Cc1ccccc1C#N ZINC001713018699 1202610607 /nfs/dbraw/zinc/61/06/07/1202610607.db2.gz ZEHWWULBNRZIAW-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCC2CCCCC2)C1 ZINC001713063531 1202614434 /nfs/dbraw/zinc/61/44/34/1202614434.db2.gz IVUXZBDDYKIKJI-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001713091049 1202616447 /nfs/dbraw/zinc/61/64/47/1202616447.db2.gz XDPBNBIYJRXLRK-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(C)CC=CC2)C1 ZINC001713134662 1202620865 /nfs/dbraw/zinc/62/08/65/1202620865.db2.gz RPQUWGYDDCQRQF-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCc1ccccc1 ZINC001713142936 1202621594 /nfs/dbraw/zinc/62/15/94/1202621594.db2.gz MKNWVLQMMLTPCP-KDURUIRLSA-N 0 1 312.457 3.261 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@H](NCc3cscn3)C2)CCCC1 ZINC001713176596 1202624416 /nfs/dbraw/zinc/62/44/16/1202624416.db2.gz QIJGJQRTBSIVCL-HDJSIYSDSA-N 0 1 319.474 3.016 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NCc2c(F)cccc2Cl)C1 ZINC001713190986 1202625463 /nfs/dbraw/zinc/62/54/63/1202625463.db2.gz PCFRZINOLXPXSZ-BETUJISGSA-N 0 1 322.811 3.019 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCC1(C)CC1 ZINC001713271456 1202633736 /nfs/dbraw/zinc/63/37/36/1202633736.db2.gz JCZUFDQRXPORLJ-ROUUACIJSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCC(NCc2cscn2)CC1 ZINC001713288625 1202636155 /nfs/dbraw/zinc/63/61/55/1202636155.db2.gz AJJCRQXZUDPGCC-WLYUNCDWSA-N 0 1 321.490 3.262 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001713307277 1202637923 /nfs/dbraw/zinc/63/79/23/1202637923.db2.gz MLWFLSPMPBOIJM-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2cnc(C)o2)CCCCC1 ZINC001713337971 1202640477 /nfs/dbraw/zinc/64/04/77/1202640477.db2.gz BCBBOYVWBPXVEU-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@H](NCc2nc(C)c(C)o2)C1 ZINC001713379480 1202643117 /nfs/dbraw/zinc/64/31/17/1202643117.db2.gz RSZWZUMXOCFGBR-HOTGVXAUSA-N 0 1 319.449 3.165 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001713555789 1202670269 /nfs/dbraw/zinc/67/02/69/1202670269.db2.gz XOJYSWYEBIOBBE-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@]1(C)CC=CCC1)c1ccccc1 ZINC001713702925 1202678937 /nfs/dbraw/zinc/67/89/37/1202678937.db2.gz RTGPAYQFTJISMO-QUCCMNQESA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)c1ccccc1C)c1ccccc1 ZINC001713704202 1202678946 /nfs/dbraw/zinc/67/89/46/1202678946.db2.gz SRHJGSJDMSVAFW-YLJYHZDGSA-N 0 1 320.436 3.179 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@]1(C)CC=CCC1)c1ccccc1 ZINC001713702923 1202679042 /nfs/dbraw/zinc/67/90/42/1202679042.db2.gz RTGPAYQFTJISMO-AZUAARDMSA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H](C)CCCC)C1 ZINC001713729103 1202683024 /nfs/dbraw/zinc/68/30/24/1202683024.db2.gz VNGNOGFAYVJAJP-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC/C=C\c2ccccc2)CC1 ZINC001713783488 1202690094 /nfs/dbraw/zinc/69/00/94/1202690094.db2.gz LLHQOEDBBRYNFY-XFFZJAGNSA-N 0 1 310.441 3.036 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001713828944 1202693407 /nfs/dbraw/zinc/69/34/07/1202693407.db2.gz AVRHVIPHGCNPPD-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001713828492 1202693546 /nfs/dbraw/zinc/69/35/46/1202693546.db2.gz JQLRVXDTJNVBTN-UHFFFAOYSA-N 0 1 320.864 3.429 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2sccc2F)CC1 ZINC001713854044 1202695981 /nfs/dbraw/zinc/69/59/81/1202695981.db2.gz YBNIQBGPZIMFQJ-UHFFFAOYSA-N 0 1 322.449 3.227 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccc(CC)cc2)C1 ZINC001713855778 1202696229 /nfs/dbraw/zinc/69/62/29/1202696229.db2.gz ISXXWXTYXTZPCX-INIZCTEOSA-N 0 1 314.473 3.337 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CC(C)=C(C)C)CC1 ZINC001713857588 1202696530 /nfs/dbraw/zinc/69/65/30/1202696530.db2.gz ZZPHVJQRLVNATL-UHFFFAOYSA-N 0 1 304.478 3.459 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(Cl)s2)CC1 ZINC001713859139 1202696578 /nfs/dbraw/zinc/69/65/78/1202696578.db2.gz ZDXQPMUQVGIIJG-UHFFFAOYSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc(C(C)C)n1)C1CC1 ZINC001713869720 1202697457 /nfs/dbraw/zinc/69/74/57/1202697457.db2.gz CYPFVEDXWGDKGA-MRXNPFEDSA-N 0 1 321.852 3.056 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)sc1C ZINC001713929894 1202703059 /nfs/dbraw/zinc/70/30/59/1202703059.db2.gz QWJJCXRCKMKDIP-HOTGVXAUSA-N 0 1 304.459 3.116 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)CC(C)(C)C)C1 ZINC001713938138 1202703685 /nfs/dbraw/zinc/70/36/85/1202703685.db2.gz JQCYHYHLCZYLQD-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001714013800 1202727974 /nfs/dbraw/zinc/72/79/74/1202727974.db2.gz HKBKZLIGTNGSQH-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001714028131 1202736883 /nfs/dbraw/zinc/73/68/83/1202736883.db2.gz UVFVXBWBNCJBHG-CRAIPNDOSA-N 0 1 313.445 3.027 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCC2CCCCC2)C1 ZINC001714053333 1202754271 /nfs/dbraw/zinc/75/42/71/1202754271.db2.gz JVSNYBGNYQLWJT-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1cccc(C2CC2)c1 ZINC001714092205 1202769761 /nfs/dbraw/zinc/76/97/61/1202769761.db2.gz ZTJHTCHBDRASRJ-KRWDZBQOSA-N 0 1 320.864 3.343 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccc(F)cc1F ZINC001714117820 1202788075 /nfs/dbraw/zinc/78/80/75/1202788075.db2.gz DOZOWLBDKNMJBS-UKRRQHHQSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1c(C)coc1C ZINC001714127422 1202793134 /nfs/dbraw/zinc/79/31/34/1202793134.db2.gz CMASHZWVVHDJFC-CQSZACIVSA-N 0 1 310.825 3.233 20 30 DGEDMN CCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC001714145941 1202801008 /nfs/dbraw/zinc/80/10/08/1202801008.db2.gz SJVGSDANMAQDLC-NVXWUHKLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1c(Cl)cccc1OC ZINC001714237951 1202843576 /nfs/dbraw/zinc/84/35/76/1202843576.db2.gz MDUFQERGZBNYLD-CYBMUJFWSA-N 0 1 324.852 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001714261065 1202852339 /nfs/dbraw/zinc/85/23/39/1202852339.db2.gz CMWHYWKNWLEKOZ-RYUDHWBXSA-N 0 1 310.388 3.332 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001714263529 1202853415 /nfs/dbraw/zinc/85/34/15/1202853415.db2.gz DTZCRUIZFRALNO-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NC/C(Cl)=C\Cl)C1 ZINC001714489262 1202959507 /nfs/dbraw/zinc/95/95/07/1202959507.db2.gz HABYXYJMZIATCH-OYGDSYQHSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CC)Cc2cnccc2C)CC1 ZINC001714590372 1203029842 /nfs/dbraw/zinc/02/98/42/1203029842.db2.gz VVLSEIULORPSQB-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C/C=C\Cc1ccccc1 ZINC001714657890 1203080322 /nfs/dbraw/zinc/08/03/22/1203080322.db2.gz YDPQKEODMHTPAP-PTZVLDCSSA-N 0 1 320.864 3.364 20 30 DGEDMN C#CCN(CCNC(=O)c1ccccc1-c1ccccc1)C1CC1 ZINC001714675623 1203096406 /nfs/dbraw/zinc/09/64/06/1203096406.db2.gz BXDVEUJPQJUKPD-UHFFFAOYSA-N 0 1 318.420 3.181 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1cnc(Cl)s1 ZINC001714744393 1203114437 /nfs/dbraw/zinc/11/44/37/1203114437.db2.gz SCYXOTHZDZZDKY-LLVKDONJSA-N 0 1 315.870 3.089 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC(C)(C)CC(F)(F)F ZINC001714786127 1203127002 /nfs/dbraw/zinc/12/70/02/1203127002.db2.gz GUNUTKPMUFZFFF-UHFFFAOYSA-N 0 1 314.779 3.156 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(C)c1Cl ZINC001714795110 1203128938 /nfs/dbraw/zinc/12/89/38/1203128938.db2.gz XEZYELLYRODOCA-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cscc1C)c1ccccc1 ZINC001714833356 1203143567 /nfs/dbraw/zinc/14/35/67/1203143567.db2.gz CMPFVVCSTPLQIC-QGZVFWFLSA-N 0 1 312.438 3.141 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1C)c1ccc(C(C)C)cc1 ZINC001714836256 1203145966 /nfs/dbraw/zinc/14/59/66/1203145966.db2.gz SMFQLGQYODSGLW-ATZDWAIDSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCC=CCCC1)c1ccccc1 ZINC001714848185 1203150183 /nfs/dbraw/zinc/15/01/83/1203150183.db2.gz PXQKZKDIOMBRKQ-RTBURBONSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001714853951 1203152999 /nfs/dbraw/zinc/15/29/99/1203152999.db2.gz BABLSQUGVABJOT-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2nccc3ccccc32)C1 ZINC001714861311 1203160620 /nfs/dbraw/zinc/16/06/20/1203160620.db2.gz AJLOKMJDGZWFQR-QGZVFWFLSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(F)c2F)C1 ZINC001714862855 1203162599 /nfs/dbraw/zinc/16/25/99/1203162599.db2.gz BITVMWZBTGNSPA-ZDUSSCGKSA-N 0 1 308.372 3.077 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccoc2Cl)C1 ZINC001714871008 1203167961 /nfs/dbraw/zinc/16/79/61/1203167961.db2.gz HYQZUTQLSZNOQC-LLVKDONJSA-N 0 1 317.216 3.127 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)/C=C\c1ccco1 ZINC001714901681 1203187551 /nfs/dbraw/zinc/18/75/51/1203187551.db2.gz BILPWCIZIAUOGQ-LMVHVUTASA-N 0 1 310.825 3.166 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1CCCCC1 ZINC001714910348 1203194253 /nfs/dbraw/zinc/19/42/53/1203194253.db2.gz YEMYFVVDZMQUPE-HNNXBMFYSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001714922402 1203200668 /nfs/dbraw/zinc/20/06/68/1203200668.db2.gz IGGCGTRBABQYPS-RBUKOAKNSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H](C)c1cccnc1 ZINC001714931952 1203205709 /nfs/dbraw/zinc/20/57/09/1203205709.db2.gz DYQYEOQLFFJBMV-GOEBONIOSA-N 0 1 323.868 3.058 20 30 DGEDMN C=CC[C@H](C(=O)NC1CN(C[C@@H](C)CC)C1)c1ccccc1 ZINC001714955085 1203215593 /nfs/dbraw/zinc/21/55/93/1203215593.db2.gz WOJSGPHGTLPPSY-YJBOKZPZSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CC[C@@H](C(=O)NC1CN(C[C@@H](C)CC)C1)c1ccccc1 ZINC001714954770 1203215865 /nfs/dbraw/zinc/21/58/65/1203215865.db2.gz WOJSGPHGTLPPSY-MAUKXSAKSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001714965222 1203219681 /nfs/dbraw/zinc/21/96/81/1203219681.db2.gz FYZAIENKQYMMQG-UHFFFAOYSA-N 0 1 300.446 3.153 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001714980969 1203225634 /nfs/dbraw/zinc/22/56/34/1203225634.db2.gz FVCVNLGOOBIJGE-SFHVURJKSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C[C@@H](C(=O)NCC1CN(Cc2ccccc2)C1)c1ccccc1 ZINC001714981449 1203225638 /nfs/dbraw/zinc/22/56/38/1203225638.db2.gz NTNPHHCSWPWDOF-HXUWFJFHSA-N 0 1 320.436 3.204 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001715018514 1203240089 /nfs/dbraw/zinc/24/00/89/1203240089.db2.gz HSQSQPRUTSALRL-WBVHZDCISA-N 0 1 306.475 3.383 20 30 DGEDMN CC[C@H](CNC(=O)CC(C)(C)C)NCc1ccc(C#N)cc1F ZINC001715052128 1203256199 /nfs/dbraw/zinc/25/61/99/1203256199.db2.gz VACPYKBXNNEEML-OAHLLOKOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](CC)NCc2ncc(C)s2)CC1 ZINC001715075633 1203264251 /nfs/dbraw/zinc/26/42/51/1203264251.db2.gz XXOZPVQJBPYUEU-OAHLLOKOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1ccc(Cl)s1 ZINC001715076599 1203264829 /nfs/dbraw/zinc/26/48/29/1203264829.db2.gz UUGDFNSIBFEBBV-SNVBAGLBSA-N 0 1 321.273 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1sc(C)cc1C ZINC001715083297 1203266990 /nfs/dbraw/zinc/26/69/90/1203266990.db2.gz UMQXJCCSLUKEGO-ZDUSSCGKSA-N 0 1 314.882 3.144 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CCC2(C)C)CC1 ZINC001715206249 1203295940 /nfs/dbraw/zinc/29/59/40/1203295940.db2.gz UQCUCCOZIWCHFM-OAHLLOKOSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CCC(C)(F)F ZINC001715382350 1203330067 /nfs/dbraw/zinc/33/00/67/1203330067.db2.gz CPFUJAHDFAYOKX-YPMHNXCESA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1[nH]c(C)cc1C ZINC001715475650 1203347766 /nfs/dbraw/zinc/34/77/66/1203347766.db2.gz JYMIALXGGCEVJC-ABAIWWIYSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(Cc2ccccc2)CC1 ZINC001715739938 1203386386 /nfs/dbraw/zinc/38/63/86/1203386386.db2.gz WPEACQAYIHHLQE-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](CC)C1CCC(C)CC1 ZINC001715842112 1203396779 /nfs/dbraw/zinc/39/67/79/1203396779.db2.gz VJCCVXQMJPDTAQ-ABHNRTSZSA-N 0 1 306.494 3.252 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1cccc(Cl)n1 ZINC001715866399 1203399343 /nfs/dbraw/zinc/39/93/43/1203399343.db2.gz SDHMGFCFVTXZHP-DKRLNXSXSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1csc(Cl)c1 ZINC001715880170 1203400380 /nfs/dbraw/zinc/40/03/80/1203400380.db2.gz WUUZUAPFGWITDA-NSCUHMNNSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1csc(Cl)c1 ZINC001715880169 1203400419 /nfs/dbraw/zinc/40/04/19/1203400419.db2.gz WUUZUAPFGWITDA-IHWYPQMZSA-N 0 1 305.230 3.030 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1ccc(F)cc1Cl ZINC001715945056 1203408362 /nfs/dbraw/zinc/40/83/62/1203408362.db2.gz IPQQTEFWBYLMJW-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(CC)CCC(F)(F)CC1 ZINC001715983749 1203416035 /nfs/dbraw/zinc/41/60/35/1203416035.db2.gz QNYYWSUYMBRISB-LBPRGKRZSA-N 0 1 322.827 3.439 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001715992659 1203417196 /nfs/dbraw/zinc/41/71/96/1203417196.db2.gz HPRUYCMKJHNWLC-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCN[C@@H](C)c1ncc(C)o1 ZINC001716177558 1203446467 /nfs/dbraw/zinc/44/64/67/1203446467.db2.gz ZJJKNNNFFJLJBV-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CCCC(=O)N(CC)CCN[C@H](C)c1ccc(F)cc1F ZINC001716208579 1203449660 /nfs/dbraw/zinc/44/96/60/1203449660.db2.gz BMPBDTWFNDFJIW-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1ccc(F)cc1Cl ZINC001716287589 1203457057 /nfs/dbraw/zinc/45/70/57/1203457057.db2.gz TXJAQKRAAVOTBG-WAYWQWQTSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c(C)cc(F)cc1C ZINC001716328827 1203459443 /nfs/dbraw/zinc/45/94/43/1203459443.db2.gz QDIMSXIXQQSHJU-SNAWJCMRSA-N 0 1 310.800 3.071 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C/CNCc2cc(C)ccc2F)C1 ZINC001716341155 1203460889 /nfs/dbraw/zinc/46/08/89/1203460889.db2.gz GKKPNPCHZIKOCK-SNAWJCMRSA-N 0 1 316.420 3.252 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001716410798 1203468625 /nfs/dbraw/zinc/46/86/25/1203468625.db2.gz KLHSCIGJPZECNE-SJKOYZFVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(c2ccccc2)CC1(C)C ZINC001716417721 1203469854 /nfs/dbraw/zinc/46/98/54/1203469854.db2.gz LCYBHINRBBLJLA-RDTXWAMCSA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001716455257 1203477129 /nfs/dbraw/zinc/47/71/29/1203477129.db2.gz WIBKIFBTPNDQCW-AWEZNQCLSA-N 0 1 322.880 3.373 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2c(c1)CCC=C2 ZINC001716460807 1203478606 /nfs/dbraw/zinc/47/86/06/1203478606.db2.gz MRDURZNDXOWXEE-ZDUSSCGKSA-N 0 1 304.821 3.106 20 30 DGEDMN C[C@@H](CN(C)[C@@H](C)c1ccccc1Cl)NC(=O)C#CC1CC1 ZINC001716479127 1203484286 /nfs/dbraw/zinc/48/42/86/1203484286.db2.gz ZHHCFRNOIBTJLK-KBPBESRZSA-N 0 1 318.848 3.251 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CCC(C2CC2)CC1)C1CC1 ZINC001716627566 1203508651 /nfs/dbraw/zinc/50/86/51/1203508651.db2.gz UUJJZZIAEMUCAP-PREGVCBESA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)cc(C)c1)C1CC1 ZINC001716627417 1203508896 /nfs/dbraw/zinc/50/88/96/1203508896.db2.gz SWHGGBTZLLNTBA-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1[C@H](C)c1ccc2c(c1)CCC2 ZINC001716645940 1203512112 /nfs/dbraw/zinc/51/21/12/1203512112.db2.gz SWUOFQBTQMZMNC-DNVCBOLYSA-N 0 1 312.457 3.393 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1c(F)cccc1Cl ZINC001716669609 1203520980 /nfs/dbraw/zinc/52/09/80/1203520980.db2.gz SFNHXEOWULRILH-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C[C@@H](NC1(CNC(=O)C#CC2CC2)CC1)c1ccccc1Cl ZINC001716681191 1203524257 /nfs/dbraw/zinc/52/42/57/1203524257.db2.gz KWAQHFCPILVWKX-CYBMUJFWSA-N 0 1 316.832 3.053 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@@H](F)C2)C1 ZINC001716741194 1203535600 /nfs/dbraw/zinc/53/56/00/1203535600.db2.gz PJBFGZCBHHYLFF-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001716749400 1203536772 /nfs/dbraw/zinc/53/67/72/1203536772.db2.gz YUGHSSZAFGJQBI-GOSISDBHSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001716778591 1203541256 /nfs/dbraw/zinc/54/12/56/1203541256.db2.gz XLYQGVXDPJHEHW-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1csc(C)c1C ZINC001716778036 1203541317 /nfs/dbraw/zinc/54/13/17/1203541317.db2.gz DLRCQAMYRPOIRQ-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C#CCN(C(=O)CCC1CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001716804299 1203545157 /nfs/dbraw/zinc/54/51/57/1203545157.db2.gz CWUFQBPRJRSJMA-QGZVFWFLSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)CCC)C1 ZINC001716911283 1203567712 /nfs/dbraw/zinc/56/77/12/1203567712.db2.gz UZCNOMXRIDKHAF-KBPBESRZSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)CC(C)C ZINC001717003476 1203576456 /nfs/dbraw/zinc/57/64/56/1203576456.db2.gz AYPMKDNCFOOUKF-UHFFFAOYSA-N 0 1 308.853 3.403 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C\Cl ZINC001717036234 1203580022 /nfs/dbraw/zinc/58/00/22/1203580022.db2.gz REQNIBJHBSAKRJ-QMCCBHLPSA-N 0 1 322.880 3.388 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@H]3C=CCC3)cccc2C1 ZINC001717142058 1203589569 /nfs/dbraw/zinc/58/95/69/1203589569.db2.gz AWPJQZKTRVCOKU-INIZCTEOSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCCN(CCF)CC2)CCCCC1 ZINC001717200265 1203599120 /nfs/dbraw/zinc/59/91/20/1203599120.db2.gz CDRBFUMOXXVFRK-INIZCTEOSA-N 0 1 310.457 3.453 20 30 DGEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)[C@@H](C)c1ccccc1Cl ZINC001717205447 1203600662 /nfs/dbraw/zinc/60/06/62/1203600662.db2.gz JBQVRBCWOLLCHA-KBPBESRZSA-N 0 1 321.852 3.341 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001717243755 1203609033 /nfs/dbraw/zinc/60/90/33/1203609033.db2.gz KZGAQAWMGWNWMY-CRAIPNDOSA-N 0 1 300.446 3.152 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H](C=C)CC)cc2C1 ZINC001717270584 1203610908 /nfs/dbraw/zinc/61/09/08/1203610908.db2.gz DGCGGLYZFXASKU-INIZCTEOSA-N 0 1 310.441 3.244 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H](C=C)CC)cc2C1 ZINC001717270585 1203611290 /nfs/dbraw/zinc/61/12/90/1203611290.db2.gz DGCGGLYZFXASKU-MRXNPFEDSA-N 0 1 310.441 3.244 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2c(C)noc2C)[C@@H](C)C1 ZINC001717331323 1203619308 /nfs/dbraw/zinc/61/93/08/1203619308.db2.gz HKVXSAUBGFTBAZ-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCCC=C ZINC001717344682 1203622627 /nfs/dbraw/zinc/62/26/27/1203622627.db2.gz WKVDCLMLHRCIRY-IBGZPJMESA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001717344162 1203623062 /nfs/dbraw/zinc/62/30/62/1203623062.db2.gz KILJTFPPLXUKED-KRWDZBQOSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C=C(/C)CC ZINC001717344769 1203623115 /nfs/dbraw/zinc/62/31/15/1203623115.db2.gz XWKQKCBMLDMVST-WLGQOEQESA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC(C)(C)CC ZINC001717360599 1203625075 /nfs/dbraw/zinc/62/50/75/1203625075.db2.gz HEFVMWZVZDBMNT-IEBWSBKVSA-N 0 1 312.457 3.208 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ccc(C)s1 ZINC001717359639 1203625406 /nfs/dbraw/zinc/62/54/06/1203625406.db2.gz QGSIMIDHVYNAFV-SJLPKXTDSA-N 0 1 324.449 3.065 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CC1(C)CCCCC1 ZINC001717369398 1203627507 /nfs/dbraw/zinc/62/75/07/1203627507.db2.gz MREIKGLVQYWHDV-KRWDZBQOSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CC(CNC/C(Cl)=C\Cl)C2)C1 ZINC001717390450 1203633505 /nfs/dbraw/zinc/63/35/05/1203633505.db2.gz BROXERJQXDATTQ-KPKJPENVSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(Cc2ccccc2)CC1 ZINC001717412927 1203638878 /nfs/dbraw/zinc/63/88/78/1203638878.db2.gz MPUMXPKHGINTFN-CABCVRRESA-N 0 1 320.864 3.245 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001717424065 1203641228 /nfs/dbraw/zinc/64/12/28/1203641228.db2.gz AXIHXHGOKQDALM-QWHCGFSZSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCCc1cccs1 ZINC001717438075 1203644224 /nfs/dbraw/zinc/64/42/24/1203644224.db2.gz VGCVYPWGXWTRAJ-CHWSQXEVSA-N 0 1 314.882 3.306 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001717489862 1203662076 /nfs/dbraw/zinc/66/20/76/1203662076.db2.gz BBLFYMZWQBYMMQ-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCC[C@H](C(=O)NC[C@@H](C)N(C)CC#CC)c1ccccc1 ZINC001717501688 1203667656 /nfs/dbraw/zinc/66/76/56/1203667656.db2.gz SHZBHQVTZLTJHI-MJGOQNOKSA-N 0 1 312.457 3.196 20 30 DGEDMN CCCC[C@@H](CNCc1ccc(C#N)cc1F)NC(=O)C(C)C ZINC001717528793 1203672549 /nfs/dbraw/zinc/67/25/49/1203672549.db2.gz GSNZPZNLSYJIKK-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@@](C)(F)CCCC ZINC001717538972 1203677219 /nfs/dbraw/zinc/67/72/19/1203677219.db2.gz WITWPYUDNOWXSM-WMZOPIPTSA-N 0 1 310.457 3.289 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001717546629 1203679278 /nfs/dbraw/zinc/67/92/78/1203679278.db2.gz DVUDTZXMQNBAKN-ADYSOMBNSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)[C@@H](C)CCCC)C2)C1 ZINC001717573047 1203683272 /nfs/dbraw/zinc/68/32/72/1203683272.db2.gz IVXVTCDHPAYPCA-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC(C)C)CCC2)C(C)(C)C1 ZINC001717581985 1203686066 /nfs/dbraw/zinc/68/60/66/1203686066.db2.gz CBWJGEFMSIPIPG-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)Cc2ccc(F)cc2F)CC1 ZINC001717698425 1203700114 /nfs/dbraw/zinc/70/01/14/1203700114.db2.gz CECARKOHMDDXAB-UHFFFAOYSA-N 0 1 322.399 3.354 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cccc(F)c1C ZINC001717731970 1203706348 /nfs/dbraw/zinc/70/63/48/1203706348.db2.gz UUDOQMKORUZDOL-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001717808487 1203715210 /nfs/dbraw/zinc/71/52/10/1203715210.db2.gz JGHCFVIICMXSJZ-QWHCGFSZSA-N 0 1 317.260 3.078 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1nc(C)c(C)s1)C1CC1 ZINC001723300688 1203962417 /nfs/dbraw/zinc/96/24/17/1203962417.db2.gz KCGZBWKKNRMKNM-OAHLLOKOSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001723445197 1203972626 /nfs/dbraw/zinc/97/26/26/1203972626.db2.gz AIWBSHHWFCWEGD-GOSISDBHSA-N 0 1 312.457 3.078 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2Cc2ccc(C)cc2)CCC1 ZINC001723452203 1203973398 /nfs/dbraw/zinc/97/33/98/1203973398.db2.gz DMWMCDOOKLFRDA-SFHVURJKSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001723453278 1203974362 /nfs/dbraw/zinc/97/43/62/1203974362.db2.gz LTUKBIHNLZURPA-AZUAARDMSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001723461818 1203975390 /nfs/dbraw/zinc/97/53/90/1203975390.db2.gz QHSSHAAMNHPBNB-GOSISDBHSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccnc2C2CC2)C1 ZINC001723776673 1203992368 /nfs/dbraw/zinc/99/23/68/1203992368.db2.gz AJSPPLLATDZBIS-CQSZACIVSA-N 0 1 313.445 3.070 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2cccc(C)c2)C1 ZINC001723781461 1203992636 /nfs/dbraw/zinc/99/26/36/1203992636.db2.gz AJRVKAPPGYGJPU-QGZVFWFLSA-N 0 1 314.473 3.425 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CNCc1cccc(F)c1F ZINC001723886778 1203997638 /nfs/dbraw/zinc/99/76/38/1203997638.db2.gz CFOHIBUOHWNBDB-CQSZACIVSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001723936974 1203998977 /nfs/dbraw/zinc/99/89/77/1203998977.db2.gz AGQULEDFTBDSON-QGBLLJAOSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc2c1CCC2 ZINC001723983980 1204000426 /nfs/dbraw/zinc/00/04/26/1204000426.db2.gz GCZXUFSZSNFDPD-HNNXBMFYSA-N 0 1 318.848 3.122 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](CC)CC2CCCC2)CC1 ZINC001724016980 1204002133 /nfs/dbraw/zinc/00/21/33/1204002133.db2.gz XLYHYOUSKLUSLL-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC(F)F)C1CCCC1 ZINC001724088045 1204004603 /nfs/dbraw/zinc/00/46/03/1204004603.db2.gz DILJGGSCWPAACC-GFCCVEGCSA-N 0 1 308.800 3.049 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001724139847 1204008104 /nfs/dbraw/zinc/00/81/04/1204008104.db2.gz GJXSNXWCFWWWIQ-RDTXWAMCSA-N 0 1 304.409 3.434 20 30 DGEDMN CC(C)C#CC(=O)N[C@]1(C)CCN([C@@H](C)c2ccccc2F)C1 ZINC001724154726 1204010011 /nfs/dbraw/zinc/01/00/11/1204010011.db2.gz OPJOHLNEGUNSSV-HNAYVOBHSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCCC(=O)NCC1(C)CCN([C@H](C)c2ncc(C)o2)CC1 ZINC001724283272 1204017505 /nfs/dbraw/zinc/01/75/05/1204017505.db2.gz IYSPZIFLGZNGNA-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001724342241 1204023732 /nfs/dbraw/zinc/02/37/32/1204023732.db2.gz GDDFWCPDONFKMF-VQIMIIECSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)=C(C)C)c1ccccc1CC ZINC001724511739 1204026657 /nfs/dbraw/zinc/02/66/57/1204026657.db2.gz LMPRVSVJZGFABX-LJQANCHMSA-N 0 1 312.457 3.376 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC12CCCC2 ZINC001724741244 1204041836 /nfs/dbraw/zinc/04/18/36/1204041836.db2.gz ODWDYZPEJJIAOW-IBGZPJMESA-N 0 1 324.468 3.338 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001724758292 1204044281 /nfs/dbraw/zinc/04/42/81/1204044281.db2.gz SBDXPYGCFDYGGZ-UHFFFAOYSA-N 0 1 322.880 3.432 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1COCCN1CC1CCCCCC1 ZINC001724763449 1204044537 /nfs/dbraw/zinc/04/45/37/1204044537.db2.gz HEWLFSBZCIRALI-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H](C)c3ccco3)cccc2C1 ZINC001724777927 1204045275 /nfs/dbraw/zinc/04/52/75/1204045275.db2.gz YNIIWRISSOINII-OAHLLOKOSA-N 0 1 324.424 3.244 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cncc(F)c2)CC1 ZINC001724842997 1204053645 /nfs/dbraw/zinc/05/36/45/1204053645.db2.gz MHGXJTGNRYDBCC-KRWDZBQOSA-N 0 1 319.424 3.048 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H](C)C1 ZINC001724990767 1204075457 /nfs/dbraw/zinc/07/54/57/1204075457.db2.gz FKJNMJHBYZSRMH-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(F)cc(Cl)c1 ZINC001725047563 1204085558 /nfs/dbraw/zinc/08/55/58/1204085558.db2.gz MTJVBLYQZJWYHL-MRXNPFEDSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@H]([C@@H]2CCN(CC(=C)Cl)C2)C1 ZINC001725057636 1204087314 /nfs/dbraw/zinc/08/73/14/1204087314.db2.gz JYZJHJVBHDQLJA-DLBZAZTESA-N 0 1 322.880 3.103 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CCCC)C(C)C ZINC001725059772 1204087873 /nfs/dbraw/zinc/08/78/73/1204087873.db2.gz SJSDDNSFUZPMNK-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001725110526 1204096764 /nfs/dbraw/zinc/09/67/64/1204096764.db2.gz ILDYFXFSLSWQCD-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001725136667 1204101321 /nfs/dbraw/zinc/10/13/21/1204101321.db2.gz UKNUOJBMIJTOLE-HZPDHXFCSA-N 0 1 313.445 3.287 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001725138573 1204101736 /nfs/dbraw/zinc/10/17/36/1204101736.db2.gz YSQCUQWBHURRRD-CHWSQXEVSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001725173223 1204110122 /nfs/dbraw/zinc/11/01/22/1204110122.db2.gz AUSAIQTYNALRQC-JKSUJKDBSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001725177070 1204111845 /nfs/dbraw/zinc/11/18/45/1204111845.db2.gz YDDZNHYGBNDBDA-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc(C)[nH]c1C ZINC001725233423 1204123416 /nfs/dbraw/zinc/12/34/16/1204123416.db2.gz WZDQWXPPAUMCLW-AWEZNQCLSA-N 0 1 311.857 3.262 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H](C)c2ccc(CC)cc2)C1 ZINC001725261913 1204129760 /nfs/dbraw/zinc/12/97/60/1204129760.db2.gz AFGNEANYKUMGRQ-CVEARBPZSA-N 0 1 314.473 3.365 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNCc1cscn1 ZINC001725396454 1204145390 /nfs/dbraw/zinc/14/53/90/1204145390.db2.gz GRZHDRNYPOHTAC-ZDUSSCGKSA-N 0 1 309.479 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CCC(C)(C)C1 ZINC001725465422 1204153444 /nfs/dbraw/zinc/15/34/44/1204153444.db2.gz NVQHZYUUSBOQAI-LSDHHAIUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cncc2ccccc21 ZINC001725519876 1204165235 /nfs/dbraw/zinc/16/52/35/1204165235.db2.gz BWPWLIPOJLAXAS-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@H]1C ZINC001725598946 1204175639 /nfs/dbraw/zinc/17/56/39/1204175639.db2.gz UVWNXQOVJYLRLU-NDBSJYDGSA-N 0 1 323.440 3.160 20 30 DGEDMN CCCC(=O)N[C@]12CCC[C@H]1N(Cc1ccc(C#N)s1)CC2 ZINC001725602582 1204177285 /nfs/dbraw/zinc/17/72/85/1204177285.db2.gz XQCOCWIBHKAWCA-WBVHZDCISA-N 0 1 317.458 3.033 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(CC(C)C)CCC2)[C@@H]1C ZINC001725623665 1204183681 /nfs/dbraw/zinc/18/36/81/1204183681.db2.gz LLTJMAGURGWZCS-IRXDYDNUSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccn(C(C)C)c1C)C(C)C ZINC001725731067 1204203128 /nfs/dbraw/zinc/20/31/28/1204203128.db2.gz QKKDUXJDFSHKKK-UHFFFAOYSA-N 0 1 317.477 3.183 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1[C@@H](C=C(C)C)C1(C)C)C(C)C ZINC001725769397 1204217825 /nfs/dbraw/zinc/21/78/25/1204217825.db2.gz UYNTYJRHCLBKHU-MSOLQXFVSA-N 0 1 318.505 3.417 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(Cc2ccc(F)cc2C)CC1 ZINC001725812284 1204225648 /nfs/dbraw/zinc/22/56/48/1204225648.db2.gz QPGWELLDYVTWBV-UHFFFAOYSA-N 0 1 316.420 3.349 20 30 DGEDMN CC/C=C(/C)C(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001725813058 1204226652 /nfs/dbraw/zinc/22/66/52/1204226652.db2.gz UYVNKOISXXRJJF-BNCCVWRVSA-N 0 1 323.440 3.163 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)C/C=C\c1ccccc1 ZINC001753067408 1204305432 /nfs/dbraw/zinc/30/54/32/1204305432.db2.gz DIOYVEBDOUKVML-XFXZXTDPSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H](C)C1CCC1)C(C)C ZINC001753287421 1204346249 /nfs/dbraw/zinc/34/62/49/1204346249.db2.gz WWEPAXSGENFSHT-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1c(C)nsc1C ZINC001731264945 1204356084 /nfs/dbraw/zinc/35/60/84/1204356084.db2.gz YAPVFNVREZXTJJ-QGZVFWFLSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2c(C)nsc2C)C1 ZINC001731265037 1204356635 /nfs/dbraw/zinc/35/66/35/1204356635.db2.gz CJAYFJVKVMHPCI-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN O=C(NCCCN(CC#Cc1ccccc1)C1CC1)C1CCCC1 ZINC001731326838 1204374201 /nfs/dbraw/zinc/37/42/01/1204374201.db2.gz SAXLWRCVEZHXNL-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)c2ccc(C)o2)CC1 ZINC001731386763 1204391443 /nfs/dbraw/zinc/39/14/43/1204391443.db2.gz BBXNSWQGFYSPFS-INIZCTEOSA-N 0 1 316.445 3.028 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC=CCCC2)CC1 ZINC001731386124 1204391680 /nfs/dbraw/zinc/39/16/80/1204391680.db2.gz PJIRPQQQUAJFMS-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(C)c(C)s1)C1CC1 ZINC001731410228 1204401908 /nfs/dbraw/zinc/40/19/08/1204401908.db2.gz CORIWLPMBQMOBV-CYBMUJFWSA-N 0 1 312.866 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](NCc2c(F)cccc2Cl)C1 ZINC001731449767 1204415370 /nfs/dbraw/zinc/41/53/70/1204415370.db2.gz ILMNSLSEXZUZOF-HAQNSBGRSA-N 0 1 324.827 3.428 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001731484651 1204427869 /nfs/dbraw/zinc/42/78/69/1204427869.db2.gz FQPBQEUZKWWCRT-CALCHBBNSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCCN1Cc1ccccn1 ZINC001731537489 1204449800 /nfs/dbraw/zinc/44/98/00/1204449800.db2.gz HJFWQPRSXCNENX-WMZOPIPTSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001731544501 1204453342 /nfs/dbraw/zinc/45/33/42/1204453342.db2.gz VUKVMRCOXOZPLQ-AEFFLSMTSA-N 0 1 300.446 3.255 20 30 DGEDMN CCc1ccccc1C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001731616449 1204484470 /nfs/dbraw/zinc/48/44/70/1204484470.db2.gz TXZBHRZZRPXCEQ-HNNXBMFYSA-N 0 1 321.424 3.029 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2cnccc2C)C1 ZINC001731710156 1204514243 /nfs/dbraw/zinc/51/42/43/1204514243.db2.gz DQNXVAKMYWDJDA-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001731711290 1204515269 /nfs/dbraw/zinc/51/52/69/1204515269.db2.gz BMSTXJGTRIPGEQ-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C=CCCCC(=O)NCCN(C)Cc1cc2ccccc2o1 ZINC001731785515 1204557416 /nfs/dbraw/zinc/55/74/16/1204557416.db2.gz ZVKDBSVEICOTKT-UHFFFAOYSA-N 0 1 300.402 3.337 20 30 DGEDMN CC(C)CC(C)(C)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001731792625 1204562090 /nfs/dbraw/zinc/56/20/90/1204562090.db2.gz CBPLEWVLGRZVEX-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CC[C@@H](C)c1ccccc1 ZINC001731813210 1204578970 /nfs/dbraw/zinc/57/89/70/1204578970.db2.gz MVRBPLDMLAIANE-QGZVFWFLSA-N 0 1 300.446 3.032 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001731833213 1204591571 /nfs/dbraw/zinc/59/15/71/1204591571.db2.gz GJUSAIQPOUBJNZ-NVXWUHKLSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001731833809 1204593235 /nfs/dbraw/zinc/59/32/35/1204593235.db2.gz RENKQVDINYAQHL-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc([C@@H](C)CC)cc1)C1CC1 ZINC001731883976 1204623278 /nfs/dbraw/zinc/62/32/78/1204623278.db2.gz PNNRXFMYXVPVCQ-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)Cc1ccc(C)o1)C(C)C ZINC001732006402 1204646442 /nfs/dbraw/zinc/64/64/42/1204646442.db2.gz PHCWEMBUWNBGHM-UHFFFAOYSA-N 0 1 312.841 3.100 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](C)c1ccc(C)o1)c1ccccc1 ZINC001732026209 1204654168 /nfs/dbraw/zinc/65/41/68/1204654168.db2.gz UGYDOZLIPCHIKP-AEFFLSMTSA-N 0 1 324.424 3.162 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2ccccc2O)C1 ZINC001732035620 1204659442 /nfs/dbraw/zinc/65/94/42/1204659442.db2.gz XUJZGRKZNBUOST-INIZCTEOSA-N 0 1 316.445 3.285 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001732037938 1204660284 /nfs/dbraw/zinc/66/02/84/1204660284.db2.gz BLYSSELZZFHWCM-CADBVGFASA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C2CCC3(CC3)CC2)C1 ZINC001732038076 1204660683 /nfs/dbraw/zinc/66/06/83/1204660683.db2.gz CFUDYGHSJLTEHZ-KRWDZBQOSA-N 0 1 304.478 3.456 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(C)c2)C1 ZINC001732041855 1204662439 /nfs/dbraw/zinc/66/24/39/1204662439.db2.gz WGUQEVHKRWKGNR-LJQANCHMSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccoc2CC)C1 ZINC001732044118 1204664549 /nfs/dbraw/zinc/66/45/49/1204664549.db2.gz AHPKFMJXOFQYOJ-CYBMUJFWSA-N 0 1 310.825 3.036 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@H](C)CCC)C1 ZINC001732045751 1204666238 /nfs/dbraw/zinc/66/62/38/1204666238.db2.gz BPRDREOXACSWNW-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001732074100 1204681015 /nfs/dbraw/zinc/68/10/15/1204681015.db2.gz UEWFTSRRKXZJND-LUKYLMHMSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccnn2C(CC)CC)C1 ZINC001732101229 1204693552 /nfs/dbraw/zinc/69/35/52/1204693552.db2.gz JXTGASGHNQNWJK-CQSZACIVSA-N 0 1 318.465 3.013 20 30 DGEDMN C#CCC1(C(=O)NC2CN(C[C@@H](C)CC(C)C)C2)CCCCC1 ZINC001732118960 1204701101 /nfs/dbraw/zinc/70/11/01/1204701101.db2.gz LJXLTFSWALGDHJ-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001732117442 1204701278 /nfs/dbraw/zinc/70/12/78/1204701278.db2.gz VXXBEFINIWSENI-OLZOCXBDSA-N 0 1 322.399 3.223 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN([C@H]2CCc3c2cccc3F)C1 ZINC001732127831 1204704783 /nfs/dbraw/zinc/70/47/83/1204704783.db2.gz URLTZSONBSHXMD-SFHVURJKSA-N 0 1 316.420 3.217 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001732185124 1204736462 /nfs/dbraw/zinc/73/64/62/1204736462.db2.gz LFJYZTZLIZBBNQ-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN CCN(C(=O)C#CC(C)C)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001732185271 1204736470 /nfs/dbraw/zinc/73/64/70/1204736470.db2.gz YRIOVARZOQTZPO-OJLWIZQOSA-N 0 1 324.468 3.282 20 30 DGEDMN CCN(C(=O)C#CC(C)C)[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001732185272 1204736771 /nfs/dbraw/zinc/73/67/71/1204736771.db2.gz YRIOVARZOQTZPO-WUQYLLKWSA-N 0 1 324.468 3.282 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001732184402 1204737465 /nfs/dbraw/zinc/73/74/65/1204737465.db2.gz GKYWIWQAQSIPEL-SBHAEUEKSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2cnc(C)o2)CCCC1 ZINC001732221472 1204757357 /nfs/dbraw/zinc/75/73/57/1204757357.db2.gz JINWOCREMUPSMJ-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c(C)cc(C)cc1C ZINC001732222283 1204758591 /nfs/dbraw/zinc/75/85/91/1204758591.db2.gz VXXSUEMKZXDYTD-OAHLLOKOSA-N 0 1 308.853 3.462 20 30 DGEDMN C=CCCCC(=O)N[C@]1(CNCc2ccon2)CCCC[C@@H]1C ZINC001732257585 1204773011 /nfs/dbraw/zinc/77/30/11/1204773011.db2.gz MKJYEORYBNPQEO-YJBOKZPZSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2coc(C)c2)cc1 ZINC001732315269 1204789000 /nfs/dbraw/zinc/78/90/00/1204789000.db2.gz DOOWLWHRHSFEES-UHFFFAOYSA-N 0 1 318.804 3.360 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H](C)CCC)CC2 ZINC001732330990 1204794849 /nfs/dbraw/zinc/79/48/49/1204794849.db2.gz UJIGCJTYMGJOSR-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001732383349 1204812593 /nfs/dbraw/zinc/81/25/93/1204812593.db2.gz DYDSVBFPYNUPNO-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccccc1F ZINC001732459191 1204853702 /nfs/dbraw/zinc/85/37/02/1204853702.db2.gz GGMHIJWGBOKEHC-ZDUSSCGKSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)Cc1occc1C ZINC001732544112 1204886507 /nfs/dbraw/zinc/88/65/07/1204886507.db2.gz NNTBLMWECGSLCC-WFASDCNBSA-N 0 1 324.852 3.100 20 30 DGEDMN CC(C)[C@@H](CNC(=O)CC(C)(C)C)NCc1ccccc1C#N ZINC001732554338 1204893824 /nfs/dbraw/zinc/89/38/24/1204893824.db2.gz LXIMHHOULZVEEC-QGZVFWFLSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732592435 1204913914 /nfs/dbraw/zinc/91/39/14/1204913914.db2.gz GDQBKKPMMWHRIC-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cncc2ccccc21 ZINC001732812311 1204947095 /nfs/dbraw/zinc/94/70/95/1204947095.db2.gz NGIORZROZQLYPD-UHFFFAOYSA-N 0 1 317.820 3.039 20 30 DGEDMN CC(C(=O)NC/C=C/CNCC#Cc1ccccc1)=C1CCCC1 ZINC001732840123 1204950736 /nfs/dbraw/zinc/95/07/36/1204950736.db2.gz WWFUQFPIWWTPJQ-BQYQJAHWSA-N 0 1 322.452 3.191 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(Cl)ccc1C ZINC001732976831 1204970461 /nfs/dbraw/zinc/97/04/61/1204970461.db2.gz MOFSBBNYCOEAKZ-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccc2ccccc2c1 ZINC001732981844 1204972367 /nfs/dbraw/zinc/97/23/67/1204972367.db2.gz MLQXUEKGRUKCBB-CQSZACIVSA-N 0 1 316.832 3.229 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001732997113 1204977384 /nfs/dbraw/zinc/97/73/84/1204977384.db2.gz BBHRKZGPRVYWNI-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001733017619 1204982655 /nfs/dbraw/zinc/98/26/55/1204982655.db2.gz OXZYJESWKIJGEJ-HNAYVOBHSA-N 0 1 315.461 3.369 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccccc1C(C)(C)C ZINC001733042875 1204997602 /nfs/dbraw/zinc/99/76/02/1204997602.db2.gz XDPMDQMPYRLQRM-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(-c2ccccc2)o1 ZINC001733054816 1205005154 /nfs/dbraw/zinc/00/51/54/1205005154.db2.gz QHHVGPFWUVGHPO-OAHLLOKOSA-N 0 1 310.397 3.020 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc2cc[nH]c21)C1CC1 ZINC001733181702 1205035701 /nfs/dbraw/zinc/03/57/01/1205035701.db2.gz AIZNOLQZMHYQHQ-HNNXBMFYSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1cccc(Cl)c1 ZINC001733221414 1205050990 /nfs/dbraw/zinc/05/09/90/1205050990.db2.gz OMRZKVKNWGDFEJ-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@H](c1ccccc1)C(C)C ZINC001733228276 1205058526 /nfs/dbraw/zinc/05/85/26/1205058526.db2.gz CKIBUQBAHGMWIS-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001733231184 1205059462 /nfs/dbraw/zinc/05/94/62/1205059462.db2.gz SEJCHHMIVKHHTJ-AEFFLSMTSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001733238986 1205064997 /nfs/dbraw/zinc/06/49/97/1205064997.db2.gz WWUZMJPBQORPAT-XJKSGUPXSA-N 0 1 306.837 3.210 20 30 DGEDMN O=C(C[C@@H]1CC=CCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001733252637 1205069397 /nfs/dbraw/zinc/06/93/97/1205069397.db2.gz HKERTYCVNKKVFP-LJQANCHMSA-N 0 1 322.452 3.023 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCN(CC(=C)Cl)C1 ZINC001733269959 1205076738 /nfs/dbraw/zinc/07/67/38/1205076738.db2.gz UEEDICVCIALSRJ-IAGOWNOFSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C(C)C)cn2)C1 ZINC001733312241 1205091609 /nfs/dbraw/zinc/09/16/09/1205091609.db2.gz JTLSSRGUFGGCTH-OAHLLOKOSA-N 0 1 315.461 3.316 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)c(C)o2)C[C@@H]1C ZINC001733328343 1205097325 /nfs/dbraw/zinc/09/73/25/1205097325.db2.gz PUOADOMRSFJXPV-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)CC)C1CCCC1 ZINC001733382921 1205111239 /nfs/dbraw/zinc/11/12/39/1205111239.db2.gz KHBNIEKYMQUQMR-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)NCC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001733445709 1205134872 /nfs/dbraw/zinc/13/48/72/1205134872.db2.gz CAGPLDRCEIIMMY-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001733464875 1205145428 /nfs/dbraw/zinc/14/54/28/1205145428.db2.gz IOVCMKJRLRMOPT-LPHOPBHVSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](CCC)CC(C)C)C1 ZINC001733468896 1205147459 /nfs/dbraw/zinc/14/74/59/1205147459.db2.gz SYCMLIQWUSOMPO-PKOBYXMFSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H](CC)CCC)cccc2C1 ZINC001733709071 1205189588 /nfs/dbraw/zinc/18/95/88/1205189588.db2.gz OWRJJAOOXSGGCH-INIZCTEOSA-N 0 1 312.457 3.120 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001733931485 1205260261 /nfs/dbraw/zinc/26/02/61/1205260261.db2.gz CDGRYHNTFYZVPL-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CC/C=C/c1ccccc1 ZINC001733935376 1205264139 /nfs/dbraw/zinc/26/41/39/1205264139.db2.gz GLXGMNWBMLAZOG-ZTVSIKPCSA-N 0 1 324.468 3.426 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1c[nH]c2ccc(F)cc12 ZINC001733942405 1205267843 /nfs/dbraw/zinc/26/78/43/1205267843.db2.gz BACBDMIFUUBHAI-AWEZNQCLSA-N 0 1 315.392 3.029 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001733973157 1205276156 /nfs/dbraw/zinc/27/61/56/1205276156.db2.gz DFGURPKHXPYYGH-UONOGXRCSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001733992395 1205281976 /nfs/dbraw/zinc/28/19/76/1205281976.db2.gz WRNJXAPUYGHGLF-NEPJUHHUSA-N 0 1 309.479 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(C)C(C)(C)C1(C)C ZINC001734005991 1205286142 /nfs/dbraw/zinc/28/61/42/1205286142.db2.gz OTIBYIIBUKRYKT-NWDGAFQWSA-N 0 1 300.874 3.294 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1scnc1C ZINC001734095100 1205320154 /nfs/dbraw/zinc/32/01/54/1205320154.db2.gz LOMRNNOHKXQWEL-LBPRGKRZSA-N 0 1 315.870 3.082 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001734121228 1205329303 /nfs/dbraw/zinc/32/93/03/1205329303.db2.gz IAKLIANSIAUYHI-GFBZKKKVSA-N 0 1 324.468 3.330 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001734121232 1205329435 /nfs/dbraw/zinc/32/94/35/1205329435.db2.gz IAKLIANSIAUYHI-LVMGUKCRSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](CC)CC(C)C)[C@@H]2C1 ZINC001734173179 1205339863 /nfs/dbraw/zinc/33/98/63/1205339863.db2.gz NMHORSUQEMYBMB-FPCVCCKLSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2c(F)cccc2F)[C@H]1C ZINC001734393181 1205371718 /nfs/dbraw/zinc/37/17/18/1205371718.db2.gz FYJAFPRDIADVIG-CXAGYDPISA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)[C@H]1C ZINC001734397213 1205372358 /nfs/dbraw/zinc/37/23/58/1205372358.db2.gz ZIQQAFQKJIKVNZ-DYVFJYSZSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2c(F)cccc2F)[C@@H]1C ZINC001734400745 1205372749 /nfs/dbraw/zinc/37/27/49/1205372749.db2.gz VBOAURAYHARYDB-SUMWQHHRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001734491600 1205386736 /nfs/dbraw/zinc/38/67/36/1205386736.db2.gz VTCKMDJWLPXCQR-NSHDSACASA-N 0 1 305.249 3.098 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccoc1CCC)C(C)C ZINC001734555014 1205392929 /nfs/dbraw/zinc/39/29/29/1205392929.db2.gz WZVNXGBDIYBXRA-UHFFFAOYSA-N 0 1 304.434 3.038 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C(C)C)cc1)C(C)C ZINC001734555016 1205393208 /nfs/dbraw/zinc/39/32/08/1205393208.db2.gz XAYDKWOJTHQJBR-UHFFFAOYSA-N 0 1 300.446 3.226 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001734632115 1205407273 /nfs/dbraw/zinc/40/72/73/1205407273.db2.gz BLYBSAMERZISJO-JKSUJKDBSA-N 0 1 304.478 3.076 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001734632112 1205407322 /nfs/dbraw/zinc/40/73/22/1205407322.db2.gz BLYBSAMERZISJO-CVEARBPZSA-N 0 1 304.478 3.076 20 30 DGEDMN CCCc1cc(C#N)c(N2C[C@@H](C)N(C)C[C@H]2C)nc1Cl ZINC001164641826 719211747 /nfs/dbraw/zinc/21/17/47/719211747.db2.gz VIRNYJCQPMOBTE-VXGBXAGGSA-N 0 1 306.841 3.088 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC[C@@H](C)c1ccccc1 ZINC001669682199 1197470679 /nfs/dbraw/zinc/47/06/79/1197470679.db2.gz RIORGJCIUGONRJ-HIFRSBDPSA-N 0 1 308.853 3.417 20 30 DGEDMN N#CC1(c2ccc(C[N@@H+]3CCCC[C@@H]3CCC(=O)[O-])cc2)CC1 ZINC001594602885 956152112 /nfs/dbraw/zinc/15/21/12/956152112.db2.gz TYYVWTGUGPBEAN-QGZVFWFLSA-N 0 1 312.413 3.461 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccccc1OCc1ccccc1 ZINC001573345601 962936743 /nfs/dbraw/zinc/93/67/43/962936743.db2.gz XKPZNRFSUIXUHM-KRWDZBQOSA-N 0 1 311.381 3.337 20 30 DGEDMN C[C@@H](Cc1ccc(O)cc1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001592883764 979763217 /nfs/dbraw/zinc/76/32/17/979763217.db2.gz VXUBPQQGDVSWNJ-ZDUSSCGKSA-N 0 1 324.380 3.025 20 30 DGEDMN CCC[N@@H+](Cc1ccccc1O)Cc1cccc(C(=O)[O-])c1C#N ZINC001595891052 982923970 /nfs/dbraw/zinc/92/39/70/982923970.db2.gz KQVVGVQECPFDOY-UHFFFAOYSA-N 0 1 324.380 3.374 20 30 DGEDMN C#C[C@@H]([NH2+]Cc1cc(C)c(C(=O)[O-])[nH]1)c1ccc(Cl)cc1 ZINC001588377633 983357994 /nfs/dbraw/zinc/35/79/94/983357994.db2.gz OFPSMFSPOYALTD-CQSZACIVSA-N 0 1 302.761 3.139 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])C1CCCCC1 ZINC001588469969 983504103 /nfs/dbraw/zinc/50/41/03/983504103.db2.gz XABWPFVRRPOAJB-UHFFFAOYSA-N 0 1 316.357 3.061 20 30 DGEDMN CC[N@H+](CCC#N)[C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001596299527 983724565 /nfs/dbraw/zinc/72/45/65/983724565.db2.gz MZEIVNRITHCGBL-GFCCVEGCSA-N 0 1 300.280 3.067 20 30 DGEDMN CC[N@@H+](CCC#N)[C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001596299527 983724568 /nfs/dbraw/zinc/72/45/68/983724568.db2.gz MZEIVNRITHCGBL-GFCCVEGCSA-N 0 1 300.280 3.067 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](CC)Cc1ccccc1Br ZINC001588728488 983982504 /nfs/dbraw/zinc/98/25/04/983982504.db2.gz KFVQENQVLWCSMA-ZDUSSCGKSA-N 0 1 312.207 3.300 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](c2nc3ccccc3o2)C1 ZINC001588729074 983985856 /nfs/dbraw/zinc/98/58/56/983985856.db2.gz GYWLCKWQARVYTN-JSGCOSHPSA-N 0 1 300.358 3.037 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C)c(C(=O)[O-])[nH]1)Cc1ccc(OC)cc1 ZINC001588832320 984321589 /nfs/dbraw/zinc/32/15/89/984321589.db2.gz COJKTALXLMXJLQ-UHFFFAOYSA-N 0 1 314.385 3.218 20 30 DGEDMN CC#CCC[N@@H+](C)[C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001588926937 984595128 /nfs/dbraw/zinc/59/51/28/984595128.db2.gz DBUUOCKAZJQPHJ-ZDUSSCGKSA-N 0 1 300.185 3.464 20 30 DGEDMN CC(C)(C)C[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001589764848 988108788 /nfs/dbraw/zinc/10/87/88/988108788.db2.gz ZPRVOCNMEIMWJQ-GDBMZVCRSA-N 0 1 300.402 3.442 20 30 DGEDMN C[N@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@@H]1CCC[C@H]1C#N ZINC001598498648 992397930 /nfs/dbraw/zinc/39/79/30/992397930.db2.gz FWNXFCKSRYVZAP-GXTWGEPZSA-N 0 1 322.792 3.041 20 30 DGEDMN C[N@@H+](CCOc1ccc(C(=O)[O-])cc1Cl)[C@@H]1CCC[C@H]1C#N ZINC001598498648 992397935 /nfs/dbraw/zinc/39/79/35/992397935.db2.gz FWNXFCKSRYVZAP-GXTWGEPZSA-N 0 1 322.792 3.041 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)C[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001593698456 996164514 /nfs/dbraw/zinc/16/45/14/996164514.db2.gz LAVQIQOVXYKQON-KBRIMQKVSA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001593699825 996172441 /nfs/dbraw/zinc/17/24/41/996172441.db2.gz ZYBYRHBJICGVBQ-ZOCIIQOWSA-N 0 1 320.392 3.419 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](CC)CC(C)C ZINC001699761978 1202069227 /nfs/dbraw/zinc/06/92/27/1202069227.db2.gz AELKIEAGBJXAPX-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](F)CC)C[C@H]2C)CCCC1 ZINC001211493192 1081623379 /nfs/dbraw/zinc/62/33/79/1081623379.db2.gz ZJNYPRHEMQSYKA-OWCLPIDISA-N 0 1 310.457 3.308 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CN(Cc3ccc(Cl)s3)C[C@H]2C1 ZINC001279346623 1081626432 /nfs/dbraw/zinc/62/64/32/1081626432.db2.gz ASKHSZBCOAKYCP-BETUJISGSA-N 0 1 324.877 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](CC)CC(F)F)C1 ZINC001208321799 1081632876 /nfs/dbraw/zinc/63/28/76/1081632876.db2.gz KRUKALCELMZKFW-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)Cc1ccc(Cl)cc1 ZINC001266320427 1081662729 /nfs/dbraw/zinc/66/27/29/1081662729.db2.gz LCYZOTQCBVICAP-KRWDZBQOSA-N 0 1 308.853 3.490 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001266320769 1081663056 /nfs/dbraw/zinc/66/30/56/1081663056.db2.gz FVTJCOVNOHIRMN-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001266377027 1081716815 /nfs/dbraw/zinc/71/68/15/1081716815.db2.gz NGTXNHCWSRFBPN-INIZCTEOSA-N 0 1 322.880 3.293 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)/C=C(\C)C1CC1)C2 ZINC001279214257 1081716990 /nfs/dbraw/zinc/71/69/90/1081716990.db2.gz WMJNVDJUVFUDJE-PSTZEPSLSA-N 0 1 322.880 3.454 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccc(C)s2)CC1 ZINC001266381929 1081722445 /nfs/dbraw/zinc/72/24/45/1081722445.db2.gz BMUWTWRKJCYLQA-UHFFFAOYSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccn2C(C)C)CC1 ZINC001266391994 1081736614 /nfs/dbraw/zinc/73/66/14/1081736614.db2.gz BQQRBBAOZODXSS-UHFFFAOYSA-N 0 1 315.461 3.019 20 30 DGEDMN C=CCCCCCCCN1CCOC[C@](O)(C(F)(F)F)C1 ZINC001209438905 1081753709 /nfs/dbraw/zinc/75/37/09/1081753709.db2.gz XMLHVHHKBUXPKZ-AWEZNQCLSA-N 0 1 309.372 3.139 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1csc(C)n1)C1CC1 ZINC001266412065 1081767865 /nfs/dbraw/zinc/76/78/65/1081767865.db2.gz LMUNPZBOEACEIY-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@H]1CCCC1(F)F)C1CC1 ZINC001266427064 1081789720 /nfs/dbraw/zinc/78/97/20/1081789720.db2.gz UAJFBCUFULAAGB-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](NCc1nc(C)cs1)C1CC1 ZINC001266429405 1081794995 /nfs/dbraw/zinc/79/49/95/1081794995.db2.gz OWZBQTGXJYTUJO-YOEHRIQHSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001109353707 1081808921 /nfs/dbraw/zinc/80/89/21/1081808921.db2.gz UAKAKWRTTNASHO-PMPSAXMXSA-N 0 1 304.459 3.326 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1CCc1ccccc1Cl ZINC001266450291 1081837906 /nfs/dbraw/zinc/83/79/06/1081837906.db2.gz LNLJOEQCKUXXAA-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1oc(C(C)C)nc1C ZINC001266450587 1081839327 /nfs/dbraw/zinc/83/93/27/1081839327.db2.gz QSKXBKINVYFUPU-HNNXBMFYSA-N 0 1 319.449 3.153 20 30 DGEDMN Cc1cc(CN2CCC[C@@H]2CNC(=O)C#CC(C)(C)C)cs1 ZINC001266461531 1081858049 /nfs/dbraw/zinc/85/80/49/1081858049.db2.gz RACRUASBYWXIBW-MRXNPFEDSA-N 0 1 318.486 3.187 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCCC#CC)C1 ZINC001266466639 1081867137 /nfs/dbraw/zinc/86/71/37/1081867137.db2.gz SDYUHGQEWABIEW-INIZCTEOSA-N 0 1 310.869 3.103 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)[C@H](C)c1ccccc1 ZINC001167273593 1081876764 /nfs/dbraw/zinc/87/67/64/1081876764.db2.gz MPGTXCXYHYAICS-OXQOHEQNSA-N 0 1 311.400 3.426 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001266474565 1081878197 /nfs/dbraw/zinc/87/81/97/1081878197.db2.gz RDPNYVAFXNCBSZ-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOCCCC ZINC001109634075 1081888881 /nfs/dbraw/zinc/88/88/81/1081888881.db2.gz BTQJWVORZNRLEE-MHORFTMASA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001109634075 1081888884 /nfs/dbraw/zinc/88/88/84/1081888884.db2.gz BTQJWVORZNRLEE-MHORFTMASA-N 0 1 322.493 3.127 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](CCCCC)C(C)C ZINC001266488362 1081889331 /nfs/dbraw/zinc/88/93/31/1081889331.db2.gz HXNKXJUECWORHH-ROUUACIJSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001109656086 1081892850 /nfs/dbraw/zinc/89/28/50/1081892850.db2.gz CAFWGXWNUSCHHP-QYZOEREBSA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1scnc1C ZINC001109656374 1081893777 /nfs/dbraw/zinc/89/37/77/1081893777.db2.gz QVAJDMXBUVNOLU-ILXRZTDVSA-N 0 1 319.474 3.029 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CCC=C(C)C)C2 ZINC001109750147 1081905620 /nfs/dbraw/zinc/90/56/20/1081905620.db2.gz WDVVLXLXOPIGRE-MHORFTMASA-N 0 1 302.462 3.114 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)Cc1ccccc1)C2 ZINC001109780514 1081915150 /nfs/dbraw/zinc/91/51/50/1081915150.db2.gz WGJAFDXUSMPBOD-YRPNKDGESA-N 0 1 324.468 3.000 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CCCCC)C2 ZINC001109806916 1081917225 /nfs/dbraw/zinc/91/72/25/1081917225.db2.gz WFBSVTUAZILELF-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN Cc1cc(Nc2ccccc2OCC#N)n(-c2ccncc2)n1 ZINC001212598424 1081961335 /nfs/dbraw/zinc/96/13/35/1081961335.db2.gz ULUASLVNJCMYNA-UHFFFAOYSA-N 0 1 305.341 3.222 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccsc2)CC1 ZINC001112600375 1081970538 /nfs/dbraw/zinc/97/05/38/1081970538.db2.gz VYFOICMGMZKMTE-UHFFFAOYSA-N 0 1 308.491 3.405 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(C)n2C)CC1 ZINC001112610539 1081972367 /nfs/dbraw/zinc/97/23/67/1081972367.db2.gz PJTXKHMIGJCFOQ-UHFFFAOYSA-N 0 1 305.466 3.062 20 30 DGEDMN CN(CCc1ccccc1F)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC001167337397 1081982752 /nfs/dbraw/zinc/98/27/52/1081982752.db2.gz QABGKGAZFWXUNN-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001112671487 1081985016 /nfs/dbraw/zinc/98/50/16/1081985016.db2.gz JQJNCNULMVBOLN-KRWDZBQOSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCc2ccoc2)CC1 ZINC001112761561 1081997418 /nfs/dbraw/zinc/99/74/18/1081997418.db2.gz AQSJHZWZRKVPQG-UHFFFAOYSA-N 0 1 304.434 3.103 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001112850980 1082023134 /nfs/dbraw/zinc/02/31/34/1082023134.db2.gz OKQVRQIMYDIASC-ROUUACIJSA-N 0 1 324.509 3.471 20 30 DGEDMN CCCN(C/C=C/Cl)CCNC(=O)CC#Cc1ccccc1 ZINC001266579184 1082025651 /nfs/dbraw/zinc/02/56/51/1082025651.db2.gz HOYYDAZFBWHGLN-KPKJPENVSA-N 0 1 318.848 3.009 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3nccc(C)c3c2)CC1 ZINC001112885380 1082035899 /nfs/dbraw/zinc/03/58/99/1082035899.db2.gz UXBIPRJHNBPPAU-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(C)nc(C)c2)CC1 ZINC001112887895 1082036177 /nfs/dbraw/zinc/03/61/77/1082036177.db2.gz DCPCLRZUJWNHQK-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN CC(C)C#CC(=O)NCCN(Cc1ccc(Cl)cc1)C1CC1 ZINC001266590066 1082041440 /nfs/dbraw/zinc/04/14/40/1082041440.db2.gz ACLPXMWOFCFLSU-UHFFFAOYSA-N 0 1 318.848 3.080 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CC/C=C\CCC)CC1)OCC ZINC001112906818 1082047937 /nfs/dbraw/zinc/04/79/37/1082047937.db2.gz PPAZAFPITDGRBA-CNZFZVQHSA-N 0 1 322.493 3.248 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCN(CCCCCCC)CC1)OCC ZINC001112908920 1082049198 /nfs/dbraw/zinc/04/91/98/1082049198.db2.gz IQEJYHRUCNCVQL-GOSISDBHSA-N 0 1 324.509 3.472 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCO[C@H]2C(C)C)CC1 ZINC001112939582 1082056552 /nfs/dbraw/zinc/05/65/52/1082056552.db2.gz BAINKKFZRRKBGQ-ROUUACIJSA-N 0 1 324.509 3.162 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCC3CCCCC3)CC2)CCC1 ZINC001112953152 1082065263 /nfs/dbraw/zinc/06/52/63/1082065263.db2.gz HJJNBUIPQXNIIR-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2coc3c2cccc3C)CC1 ZINC001112969731 1082070793 /nfs/dbraw/zinc/07/07/93/1082070793.db2.gz ZHGURHBCDRPTFQ-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001266628803 1082092870 /nfs/dbraw/zinc/09/28/70/1082092870.db2.gz RVCQKNFAQIAKNS-ZTFGCOKTSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CC1 ZINC001113040260 1082098016 /nfs/dbraw/zinc/09/80/16/1082098016.db2.gz KHHWIFBLFNPZTO-KZNAEPCWSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccoc2C)C1 ZINC001086573837 1082103638 /nfs/dbraw/zinc/10/36/38/1082103638.db2.gz OCJBETIJNOICPD-RBUKOAKNSA-N 0 1 324.424 3.362 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cccc(C(C)C)c2)CC1 ZINC001113067629 1082106604 /nfs/dbraw/zinc/10/66/04/1082106604.db2.gz NJLFCRCEGSDDLN-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN CN(C)/C=N/c1ccc(-c2cc(F)c(O)cc2F)cc1C#N ZINC001211351741 1082116564 /nfs/dbraw/zinc/11/65/64/1082116564.db2.gz BKNMQPXLLJQGEZ-AWQFTUOYSA-N 0 1 301.296 3.430 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(C3CC3)CCC2)CC1 ZINC001113159119 1082127836 /nfs/dbraw/zinc/12/78/36/1082127836.db2.gz YABCPDLGBMYLSC-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3ccsc3[nH]2)CC1 ZINC001113174297 1082130994 /nfs/dbraw/zinc/13/09/94/1082130994.db2.gz BVXUTXKLHHLCMF-ZDUSSCGKSA-N 0 1 317.458 3.342 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cc(C)c(F)c(C)c2)C1 ZINC001143387940 1082133600 /nfs/dbraw/zinc/13/36/00/1082133600.db2.gz XNOROSQRIRHHQH-MRXNPFEDSA-N 0 1 320.408 3.319 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCC[C@@H](OC)C2)CC1 ZINC001113270303 1082157474 /nfs/dbraw/zinc/15/74/74/1082157474.db2.gz JEIHKBMFZNIUCZ-ZWKOTPCHSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC[C@@H](OC)C2)CC1 ZINC001113270155 1082157510 /nfs/dbraw/zinc/15/75/10/1082157510.db2.gz DFTVRPKIJWKVPD-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](CCC)c2ccccn2)CC1 ZINC001113263340 1082159307 /nfs/dbraw/zinc/15/93/07/1082159307.db2.gz QNJAPSYNVRTUSK-QGZVFWFLSA-N 0 1 315.461 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(F)c2F)CC1 ZINC001113266368 1082161010 /nfs/dbraw/zinc/16/10/10/1082161010.db2.gz XQHFGQYMPYWMKD-ZDUSSCGKSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2Cc3ccccc32)CC1 ZINC001113275167 1082166040 /nfs/dbraw/zinc/16/60/40/1082166040.db2.gz DICOZBQMRDZQMP-LJQANCHMSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2Cc3ccccc32)CC1 ZINC001113275166 1082166328 /nfs/dbraw/zinc/16/63/28/1082166328.db2.gz DICOZBQMRDZQMP-IBGZPJMESA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)CC(F)(F)F)CC1 ZINC001113294916 1082168907 /nfs/dbraw/zinc/16/89/07/1082168907.db2.gz WXOCMHLRHOEHDE-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2c(C)coc2C)C1 ZINC001086586870 1082172136 /nfs/dbraw/zinc/17/21/36/1082172136.db2.gz QQSVUWCRLSKGHI-ZWKOTPCHSA-N 0 1 324.424 3.280 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)c2ccsc2)CC1 ZINC001113337572 1082180664 /nfs/dbraw/zinc/18/06/64/1082180664.db2.gz YSJONHDEVFGXLL-LSDHHAIUSA-N 0 1 306.475 3.351 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)cc(F)c2)CC1 ZINC001113372446 1082186331 /nfs/dbraw/zinc/18/63/31/1082186331.db2.gz FMMNVWKYRVOGCQ-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)c2ccc(C)o2)CC1 ZINC001113412200 1082200926 /nfs/dbraw/zinc/20/09/26/1082200926.db2.gz LDDSQGHMODXFQH-GOEBONIOSA-N 0 1 304.434 3.190 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2F)CC1 ZINC001113417512 1082209368 /nfs/dbraw/zinc/20/93/68/1082209368.db2.gz KDSKGPGGNJTYOA-DLBZAZTESA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2ccccc2F)CC1 ZINC001113431214 1082209592 /nfs/dbraw/zinc/20/95/92/1082209592.db2.gz PWMVAKVVIVYJTR-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3c(c2)C=CCC3)CC1 ZINC001113423704 1082210464 /nfs/dbraw/zinc/21/04/64/1082210464.db2.gz MEKXYRMNWBGECC-UHFFFAOYSA-N 0 1 310.441 3.370 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@@H]2CCCOC2)CC1 ZINC001113478185 1082229150 /nfs/dbraw/zinc/22/91/50/1082229150.db2.gz XPTJKKMMVVBJQW-SFHVURJKSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC001113517126 1082236671 /nfs/dbraw/zinc/23/66/71/1082236671.db2.gz GZQZNZPGYODDDC-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](OC)C2CCCC2)CC1 ZINC001113589766 1082258688 /nfs/dbraw/zinc/25/86/88/1082258688.db2.gz CURSQZPWIPPIBY-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccccc2F)CC1 ZINC001113599657 1082262134 /nfs/dbraw/zinc/26/21/34/1082262134.db2.gz FBJFRATVECJYOR-UHFFFAOYSA-N 0 1 320.452 3.483 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC001113610130 1082263416 /nfs/dbraw/zinc/26/34/16/1082263416.db2.gz KPBYYLZNXWRJEX-CYBMUJFWSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001266850090 1082264285 /nfs/dbraw/zinc/26/42/85/1082264285.db2.gz UGYVTSWFVNAWMD-RBUKOAKNSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC001113617533 1082265415 /nfs/dbraw/zinc/26/54/15/1082265415.db2.gz WSNLWXVTLSOUKA-KRWDZBQOSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](CCC)c1ccccn1 ZINC001266853674 1082268413 /nfs/dbraw/zinc/26/84/13/1082268413.db2.gz QLOMSPBOAOEGFD-IAGOWNOFSA-N 0 1 315.461 3.122 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@@H]2CC[C@@H](C)O2)CC1 ZINC001113658012 1082272474 /nfs/dbraw/zinc/27/24/74/1082272474.db2.gz COHPZHUJONDRFI-MSOLQXFVSA-N 0 1 324.509 3.449 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](CCC=C)c1ccccc1 ZINC001266859236 1082276552 /nfs/dbraw/zinc/27/65/52/1082276552.db2.gz KCLMPPYDDVDUSE-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)n2c(C)ccc2C)CC1 ZINC001113679207 1082278193 /nfs/dbraw/zinc/27/81/93/1082278193.db2.gz HSAWCEDEAGSMSA-QAPCUYQASA-N 0 1 317.477 3.165 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001086604529 1082278901 /nfs/dbraw/zinc/27/89/01/1082278901.db2.gz HLOMIHGFHGMHLV-OKZBNKHCSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)C[C@@H]2CCCO2)CC1 ZINC001113703589 1082285422 /nfs/dbraw/zinc/28/54/22/1082285422.db2.gz CWSYVIXCUUSKIP-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)CCCc2ccccc2)CC1 ZINC001113698158 1082286343 /nfs/dbraw/zinc/28/63/43/1082286343.db2.gz ISZWNXXPFUIYQC-SFHVURJKSA-N 0 1 314.473 3.366 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2cscn2)CC1 ZINC001113717447 1082290061 /nfs/dbraw/zinc/29/00/61/1082290061.db2.gz ZMAIXGZVEJIUJM-UHFFFAOYSA-N 0 1 323.506 3.190 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001266906850 1082326153 /nfs/dbraw/zinc/32/61/53/1082326153.db2.gz XCRGHKVAZVRVMT-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001266906851 1082326333 /nfs/dbraw/zinc/32/63/33/1082326333.db2.gz XCRGHKVAZVRVMT-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001266921241 1082341028 /nfs/dbraw/zinc/34/10/28/1082341028.db2.gz SOTGCEPLRWBQQH-HNNXBMFYSA-N 0 1 319.424 3.072 20 30 DGEDMN COc1ccc(C[C@H](C)N2CCC[C@H](CC#N)C2)c([N+](=O)[O-])c1 ZINC001170348248 1082356511 /nfs/dbraw/zinc/35/65/11/1082356511.db2.gz WHJOQGCCCQWJIB-UONOGXRCSA-N 0 1 317.389 3.160 20 30 DGEDMN N#CC1(c2ccccc2)CCC(N2CCc3ncncc3C2)CC1 ZINC001170388852 1082359490 /nfs/dbraw/zinc/35/94/90/1082359490.db2.gz HTMJQWATKIAAEL-UHFFFAOYSA-N 0 1 318.424 3.239 20 30 DGEDMN Cn1cnc2c1CCN(C1CCC(C#N)(c3ccccc3)CC1)C2 ZINC001170395180 1082360730 /nfs/dbraw/zinc/36/07/30/1082360730.db2.gz HYIWSFURKKFBJI-UHFFFAOYSA-N 0 1 320.440 3.182 20 30 DGEDMN COc1ccc2c(c1)C[C@@H](Nc1c[nH]c3c(C#N)cnc-3c1)CC2 ZINC001170413261 1082371828 /nfs/dbraw/zinc/37/18/28/1082371828.db2.gz MBSNDMCRRBRWMI-HNNXBMFYSA-N 0 1 318.380 3.413 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1ccc(F)cc1Cl ZINC001266966841 1082385365 /nfs/dbraw/zinc/38/53/65/1082385365.db2.gz DIINJUCWLIPIBC-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1ncc(C)o1 ZINC001170424519 1082390222 /nfs/dbraw/zinc/39/02/22/1082390222.db2.gz ACIVAPBAPGBMTQ-HOCLYGCPSA-N 0 1 319.449 3.112 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001266975155 1082393413 /nfs/dbraw/zinc/39/34/13/1082393413.db2.gz KXIZRHSAAMGHOT-CABCVRRESA-N 0 1 320.864 3.078 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c(CC)oc2ccccc21 ZINC001266993814 1082415194 /nfs/dbraw/zinc/41/51/94/1082415194.db2.gz FZYQHNQCSHRMFM-LBPRGKRZSA-N 0 1 320.820 3.456 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(C(F)(F)F)c1 ZINC001267010780 1082428491 /nfs/dbraw/zinc/42/84/91/1082428491.db2.gz KMMRZEUPDCUIDJ-UHFFFAOYSA-N 0 1 320.742 3.120 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC001267016046 1082435093 /nfs/dbraw/zinc/43/50/93/1082435093.db2.gz DTQBUCPJZJYCRL-HNNXBMFYSA-N 0 1 306.837 3.387 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NCc2coc(C3CC3)n2)C1 ZINC001267079348 1082484618 /nfs/dbraw/zinc/48/46/18/1082484618.db2.gz MJWRWUZDTKMPDQ-LSDHHAIUSA-N 0 1 317.433 3.035 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)C=C2CCC2)C1 ZINC001267102204 1082515462 /nfs/dbraw/zinc/51/54/62/1082515462.db2.gz DERPMXMMBHPTKZ-FQEVSTJZSA-N 0 1 322.452 3.071 20 30 DGEDMN C[C@@H](C(=O)NCCNCC#Cc1cccc(Cl)c1)C(C)(C)C ZINC001130278554 1082561750 /nfs/dbraw/zinc/56/17/50/1082561750.db2.gz URBZLEQOROXAKR-AWEZNQCLSA-N 0 1 320.864 3.080 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2sccc2s1 ZINC001130289044 1082563467 /nfs/dbraw/zinc/56/34/67/1082563467.db2.gz HBCLPMMIJCRASX-UHFFFAOYSA-N 0 1 300.836 3.035 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2ccc(Cl)c(F)c2)C1 ZINC001267214847 1082647782 /nfs/dbraw/zinc/64/77/82/1082647782.db2.gz GAOCMKUTEHSDEJ-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2ccccc2cc1F ZINC001131198453 1082653923 /nfs/dbraw/zinc/65/39/23/1082653923.db2.gz FNTSVFHBBHKPDZ-UHFFFAOYSA-N 0 1 306.768 3.051 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(C2CCCC2)cc1 ZINC001131408795 1082669638 /nfs/dbraw/zinc/66/96/38/1082669638.db2.gz XQISTCOSQRSHED-UHFFFAOYSA-N 0 1 306.837 3.416 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)C(CCC)CCC)C[C@H](C)O2 ZINC001131681551 1082702606 /nfs/dbraw/zinc/70/26/06/1082702606.db2.gz DKRLNCPIFMYLAD-QFBILLFUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)O[C@]2(CC[N@@H+](CC=C(C)C)C2)C1 ZINC001131677370 1082705092 /nfs/dbraw/zinc/70/50/92/1082705092.db2.gz MAZBOHTWCFEYCQ-HKUYNNGSSA-N 0 1 320.477 3.001 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3ccc(Cl)cc3)[nH]c2c1 ZINC001131674836 1082705415 /nfs/dbraw/zinc/70/54/15/1082705415.db2.gz YRBTUKFBEOCUAZ-UHFFFAOYSA-N 0 1 310.744 3.269 20 30 DGEDMN Cc1ccc(O[C@@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001131673876 1082705424 /nfs/dbraw/zinc/70/54/24/1082705424.db2.gz CXPAMDPBAFPDEX-LBPRGKRZSA-N 0 1 320.352 3.149 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CCC[C@H](F)C1)C(C)C ZINC001267257853 1082712988 /nfs/dbraw/zinc/71/29/88/1082712988.db2.gz YEKJRUZZFYBTAY-CABCVRRESA-N 0 1 318.864 3.484 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCCN1C/C=C\c1ccccc1 ZINC001267266485 1082726517 /nfs/dbraw/zinc/72/65/17/1082726517.db2.gz DVOBGJVLUVFFNO-KOTDBNOLSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CC[N@H+]1CCC[C@@H]1CNC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001267277411 1082739930 /nfs/dbraw/zinc/73/99/30/1082739930.db2.gz XTLMJECCGFJYLO-CEXWTWQISA-N 0 1 312.457 3.022 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)CC1CCCCC1 ZINC001267280146 1082741838 /nfs/dbraw/zinc/74/18/38/1082741838.db2.gz AHVMMEGLTFNSFT-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCCCCCN1C[C@@H](NC(=O)c2cnns2)CC[C@@H]1C ZINC001131834084 1082743268 /nfs/dbraw/zinc/74/32/68/1082743268.db2.gz JLHDZRHPUGWQDV-KBPBESRZSA-N 0 1 324.494 3.091 20 30 DGEDMN CCCCCCCN1C[C@@H](NC(=O)c2cnns2)CC[C@H]1C ZINC001131834085 1082743689 /nfs/dbraw/zinc/74/36/89/1082743689.db2.gz JLHDZRHPUGWQDV-KGLIPLIRSA-N 0 1 324.494 3.091 20 30 DGEDMN C=C(C)C(=O)NCCCNc1ccc2c(c1)nc1cc[nH]cc2-1 ZINC001167670725 1082744164 /nfs/dbraw/zinc/74/41/64/1082744164.db2.gz FXGYCPAYJYECTA-UHFFFAOYSA-N 0 1 308.385 3.210 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001131898878 1082757888 /nfs/dbraw/zinc/75/78/88/1082757888.db2.gz MSUHWIALFLCWDO-UHFFFAOYSA-N 0 1 300.874 3.297 20 30 DGEDMN CCCN(CCNC(=O)/C=C(\C)CC)Cc1ccc(C#N)s1 ZINC001267312932 1082779670 /nfs/dbraw/zinc/77/96/70/1082779670.db2.gz SRPRGERQCAXQNQ-SDNWHVSQSA-N 0 1 319.474 3.304 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001131975797 1082780103 /nfs/dbraw/zinc/78/01/03/1082780103.db2.gz DNDMQGALQDDPSF-DOTOQJQBSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1ccnc(Cl)c1 ZINC001267316485 1082784541 /nfs/dbraw/zinc/78/45/41/1082784541.db2.gz RIAUVOQBZLQLLW-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001132002865 1082790891 /nfs/dbraw/zinc/79/08/91/1082790891.db2.gz NYQYRYOALNIEAB-GDBMZVCRSA-N 0 1 304.459 3.021 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccccc2F)C1 ZINC001132003194 1082791045 /nfs/dbraw/zinc/79/10/45/1082791045.db2.gz RBGGPBYUEIHBQO-WBVHZDCISA-N 0 1 316.420 3.098 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)N(C/C=C/c2ccccc2)C1 ZINC001132015881 1082797142 /nfs/dbraw/zinc/79/71/42/1082797142.db2.gz ARYKADFJVUYADK-JYIRHVFTSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(CCc2ccns2)C1 ZINC001132072571 1082807609 /nfs/dbraw/zinc/80/76/09/1082807609.db2.gz MBUGERBPJWUCIT-HUUCEWRRSA-N 0 1 321.490 3.011 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2scnc2C)C1 ZINC001132065544 1082813827 /nfs/dbraw/zinc/81/38/27/1082813827.db2.gz VRXDCXBZLUEGHD-HIFRSBDPSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C\Cc2ccccc2)CC[C@@H]1C ZINC001132113525 1082822251 /nfs/dbraw/zinc/82/22/51/1082822251.db2.gz CAOLGGRVQLUFDI-YDFHMDALSA-N 0 1 324.468 3.168 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC2(C)CCCCC2)CC[C@H]1C ZINC001132169800 1082830099 /nfs/dbraw/zinc/83/00/99/1082830099.db2.gz VKTPXUZDJGOLNB-IAGOWNOFSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCC2CCCCCC2)CC[C@@H]1C ZINC001132195148 1082833823 /nfs/dbraw/zinc/83/38/23/1082833823.db2.gz KMQHVOUGZYGECC-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132234411 1082843177 /nfs/dbraw/zinc/84/31/77/1082843177.db2.gz BMEXEDHTDZZONX-KBPBESRZSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2cccnc2C)C1 ZINC001132217246 1082845195 /nfs/dbraw/zinc/84/51/95/1082845195.db2.gz AFGOJNZMWHARQI-PBHICJAKSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001132227410 1082849352 /nfs/dbraw/zinc/84/93/52/1082849352.db2.gz CYYKFKTXXVQUHU-ZFWWWQNUSA-N 0 1 306.475 3.429 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN[C@H](C)c1ccc(F)cc1F ZINC001267379874 1082851077 /nfs/dbraw/zinc/85/10/77/1082851077.db2.gz JLUVTHYZXRQMCM-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ncccc2C)C1 ZINC001132256736 1082859859 /nfs/dbraw/zinc/85/98/59/1082859859.db2.gz PRCOZCSBRZDGKM-DLBZAZTESA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc(C)c(Cl)cc1C ZINC001132268615 1082862216 /nfs/dbraw/zinc/86/22/16/1082862216.db2.gz OYYODZIXSUZORM-UHFFFAOYSA-N 0 1 301.217 3.029 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cccc(F)c1Cl ZINC001132304145 1082875628 /nfs/dbraw/zinc/87/56/28/1082875628.db2.gz HKIFFSBLHNWMMT-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCNCc1cc(C)ccc1F ZINC001267416059 1082875697 /nfs/dbraw/zinc/87/56/97/1082875697.db2.gz BGTVBFPSYJRCCN-HNNXBMFYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1nsc2ccccc21 ZINC001132304148 1082875753 /nfs/dbraw/zinc/87/57/53/1082875753.db2.gz HSMWFKNJJCHIHB-UHFFFAOYSA-N 0 1 317.458 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001267423660 1082877732 /nfs/dbraw/zinc/87/77/32/1082877732.db2.gz RVSMMDHYNNEMBD-YTQUADARSA-N 0 1 312.457 3.464 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C2=CCCCCC2)C1 ZINC001267455439 1082893666 /nfs/dbraw/zinc/89/36/66/1082893666.db2.gz ZOVNPNCVXHVIGH-GOSISDBHSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CC(C)(C)C(=O)NCCNCc1nc(C)c(C(C)(C)C)s1 ZINC001132391860 1082897045 /nfs/dbraw/zinc/89/70/45/1082897045.db2.gz QEBFCVIWPWNSSP-UHFFFAOYSA-N 0 1 323.506 3.167 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)CC1CCCCC1 ZINC001267461840 1082901113 /nfs/dbraw/zinc/90/11/13/1082901113.db2.gz CTDVZDQPBXPAEW-CYBMUJFWSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2ccccc2C)CCC1 ZINC001267461731 1082901285 /nfs/dbraw/zinc/90/12/85/1082901285.db2.gz ATUNCQVPGGMCJM-UHFFFAOYSA-N 0 1 320.864 3.217 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCc2cccs2)CC[C@@H]1C ZINC001132469772 1082920625 /nfs/dbraw/zinc/92/06/25/1082920625.db2.gz WHEGFZPABLHJQN-JKSUJKDBSA-N 0 1 318.486 3.063 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)CC(C)(C)C)CC[C@H]1C ZINC001132509093 1082931188 /nfs/dbraw/zinc/93/11/88/1082931188.db2.gz QYIPBPAJFAJPOG-HZPDHXFCSA-N 0 1 306.494 3.441 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(CC)CCCCC2)CC[C@H]1C ZINC001132509486 1082931402 /nfs/dbraw/zinc/93/14/02/1082931402.db2.gz VWYFYTZZZQTPFU-SJORKVTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](OC)C2CCCCC2)C1 ZINC001267496330 1082954935 /nfs/dbraw/zinc/95/49/35/1082954935.db2.gz QZTRPVJLLMYDAL-MSOLQXFVSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCCCC(=O)NCCNCc1ccc(C)cc1Cl ZINC001132592808 1082956344 /nfs/dbraw/zinc/95/63/44/1082956344.db2.gz DJCRNPXTIWKSCQ-UHFFFAOYSA-N 0 1 306.837 3.048 20 30 DGEDMN CC#CCCCC(=O)NCCN[C@@H](C)c1cccc(Cl)c1F ZINC001132593226 1082956352 /nfs/dbraw/zinc/95/63/52/1082956352.db2.gz WRUJIFOWFYRPFX-ZDUSSCGKSA-N 0 1 324.827 3.440 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cccc(C)c2)C1 ZINC001267499989 1082958957 /nfs/dbraw/zinc/95/89/57/1082958957.db2.gz BCDSZCFATQYTNW-HNNXBMFYSA-N 0 1 306.837 3.189 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cc(F)ccc1Cl ZINC001132667115 1082976250 /nfs/dbraw/zinc/97/62/50/1082976250.db2.gz DBMGLCFKIDPHNO-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](CC)CC(F)F)C1 ZINC001267541641 1083008598 /nfs/dbraw/zinc/00/85/98/1083008598.db2.gz FJVMMUDCUSPQPP-KGLIPLIRSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](F)c2ccccc2)C1 ZINC001267547601 1083017787 /nfs/dbraw/zinc/01/77/87/1083017787.db2.gz PTGQDTXHBVAZHD-SJORKVTESA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccc(C)c(C)c2)C1 ZINC001267548470 1083018520 /nfs/dbraw/zinc/01/85/20/1083018520.db2.gz GHRJKOWLGBDLDK-IBGZPJMESA-N 0 1 314.473 3.345 20 30 DGEDMN C=CCCC(=O)NCCN(CC)Cc1ccc(Cl)s1 ZINC001480963798 1083051345 /nfs/dbraw/zinc/05/13/45/1083051345.db2.gz AHBUBVQDGHUQQO-UHFFFAOYSA-N 0 1 300.855 3.306 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CC(C)(C)C)CC1 ZINC001267598161 1083131387 /nfs/dbraw/zinc/13/13/87/1083131387.db2.gz VJAJBVHDACOJNU-UHFFFAOYSA-N 0 1 300.874 3.346 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCN1Cc1ccccc1F ZINC001481051174 1083135056 /nfs/dbraw/zinc/13/50/56/1083135056.db2.gz AWTCPVDMILWUPC-QGZVFWFLSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCC[C@@H](C(=O)NC[C@@H]1CCN(CCF)C1)c1ccccc1 ZINC001481125332 1083202641 /nfs/dbraw/zinc/20/26/41/1083202641.db2.gz DHURAHOWOYMAAE-FUHWJXTLSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1nnc(C(C)C)s1 ZINC001133883951 1083205760 /nfs/dbraw/zinc/20/57/60/1083205760.db2.gz ITVVZVQIFHWDCQ-CHWSQXEVSA-N 0 1 324.494 3.001 20 30 DGEDMN CC(C)CCCC(=O)NCCNCC#Cc1ccccc1Cl ZINC001134195980 1083255817 /nfs/dbraw/zinc/25/58/17/1083255817.db2.gz SSVBCAUQRJHRPU-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001267672988 1083257681 /nfs/dbraw/zinc/25/76/81/1083257681.db2.gz RSEBWUGICXSVKR-INIZCTEOSA-N 0 1 314.473 3.428 20 30 DGEDMN C[C@@H](CC(=O)NCCNCC#Cc1ccccc1)CC(C)(C)C ZINC001134258197 1083264573 /nfs/dbraw/zinc/26/45/73/1083264573.db2.gz DDVJRXDJNCLCBH-KRWDZBQOSA-N 0 1 314.473 3.206 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001267693859 1083267797 /nfs/dbraw/zinc/26/77/97/1083267797.db2.gz TUNPQNQGGLKWCE-UHFFFAOYSA-N 0 1 318.436 3.284 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001267698196 1083270310 /nfs/dbraw/zinc/27/03/10/1083270310.db2.gz ZDNBMFGIQQWQJD-GOSISDBHSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(C2CC2)o1 ZINC001134470214 1083294364 /nfs/dbraw/zinc/29/43/64/1083294364.db2.gz OQMHNEGZUBEOSE-KGLIPLIRSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001134486193 1083296714 /nfs/dbraw/zinc/29/67/14/1083296714.db2.gz PFUHQBNYIBOHSO-UONOGXRCSA-N 0 1 323.506 3.435 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@]23C[C@H]2CCCC3)CC1 ZINC001267746006 1083312901 /nfs/dbraw/zinc/31/29/01/1083312901.db2.gz NOBYKENZPNMXEK-SJLPKXTDSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC001267749015 1083316062 /nfs/dbraw/zinc/31/60/62/1083316062.db2.gz CGXGIVGIMMJWRD-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001267772279 1083335610 /nfs/dbraw/zinc/33/56/10/1083335610.db2.gz UGFHZVBWRAZZHI-OALUTQOASA-N 0 1 314.473 3.220 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001267785928 1083346112 /nfs/dbraw/zinc/34/61/12/1083346112.db2.gz ITDUUWPGSDSEKL-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001267799407 1083354215 /nfs/dbraw/zinc/35/42/15/1083354215.db2.gz XEFJNNUJXKYION-KRWDZBQOSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCCCCC[C@H](C)C(=O)N1Cc2n[nH]c(COC)c2C1 ZINC001181121934 1083412662 /nfs/dbraw/zinc/41/26/62/1083412662.db2.gz ITPMZNCMUKAXGU-ZDUSSCGKSA-N 0 1 307.438 3.395 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C[C@H]1[C@@H](C(=O)OC)C1(F)F ZINC001181410519 1083460084 /nfs/dbraw/zinc/46/00/84/1083460084.db2.gz NPMPGSIQEGVTIM-GJZGRUSLSA-N 0 1 309.356 3.119 20 30 DGEDMN CCC[C@@H](C)CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001181501084 1083480107 /nfs/dbraw/zinc/48/01/07/1083480107.db2.gz GUQZHRQONUOHMS-IEBWSBKVSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@@H]2CCc3c2c(F)ccc3F)C1 ZINC001181516649 1083492225 /nfs/dbraw/zinc/49/22/25/1083492225.db2.gz AEUAUSHIRIRFDC-MLGOLLRUSA-N 0 1 320.383 3.109 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@H]1CCCCN1CC ZINC001182002146 1083602885 /nfs/dbraw/zinc/60/28/85/1083602885.db2.gz YMRUCTVYIOEXMU-NVXWUHKLSA-N 0 1 316.445 3.303 20 30 DGEDMN C#CCCCCCCCCC(=O)Nc1[nH]cnc1C(=O)OCC ZINC001182185370 1083640850 /nfs/dbraw/zinc/64/08/50/1083640850.db2.gz CKZKRMWAJHMXHC-UHFFFAOYSA-N 0 1 319.405 3.279 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)CCc3cccc(C#N)c3)cc(F)c12 ZINC001182344590 1083693519 /nfs/dbraw/zinc/69/35/19/1083693519.db2.gz RDNMPWCHEVBPEN-UHFFFAOYSA-N 0 1 322.343 3.453 20 30 DGEDMN N#Cc1c[nH]c2cc(NC(=O)Cc3ccc4nc[nH]c4c3)ccc12 ZINC001182844027 1083805320 /nfs/dbraw/zinc/80/53/20/1083805320.db2.gz JJKOJIFWDVMTOI-UHFFFAOYSA-N 0 1 315.336 3.097 20 30 DGEDMN Cc1cccc2nc(NC(=O)[C@@H](C)c3ccc(C#N)cc3)[nH]c21 ZINC001182790368 1083805496 /nfs/dbraw/zinc/80/54/96/1083805496.db2.gz PWOUJSXKKDDNBL-LBPRGKRZSA-N 0 1 304.353 3.485 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCCC#CC)c1ccc(C(C)C)cc1 ZINC001481361047 1083819357 /nfs/dbraw/zinc/81/93/57/1083819357.db2.gz VPEOXEBQSAAGSN-FQEVSTJZSA-N 0 1 324.468 3.384 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(CC)cc1)c1ccccc1 ZINC001481372967 1083834410 /nfs/dbraw/zinc/83/44/10/1083834410.db2.gz SSQKCEQVKAHNNW-HXUWFJFHSA-N 0 1 320.436 3.333 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccc(Cl)s1)c1ccccc1 ZINC001481372732 1083834573 /nfs/dbraw/zinc/83/45/73/1083834573.db2.gz QCJJKMCDLGEFQL-CYBMUJFWSA-N 0 1 318.829 3.095 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2c(C)nsc2C)C1 ZINC001481411165 1083884510 /nfs/dbraw/zinc/88/45/10/1083884510.db2.gz AJRZXWPWSQSZCF-HNNXBMFYSA-N 0 1 321.490 3.263 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2c(C)cccc2F)C1 ZINC001481462942 1083967520 /nfs/dbraw/zinc/96/75/20/1083967520.db2.gz DACSAJBUSFGOSX-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2CCCCC2)CC1 ZINC001481508766 1084035579 /nfs/dbraw/zinc/03/55/79/1084035579.db2.gz HRKAHGPQWIJNSR-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1Cl ZINC001268059496 1084067420 /nfs/dbraw/zinc/06/74/20/1084067420.db2.gz NTLZDOLYFOGBGS-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccn(C(C)C)c1C ZINC001268081864 1084122173 /nfs/dbraw/zinc/12/21/73/1084122173.db2.gz SJXJTSTZHYEZKC-ZDUSSCGKSA-N 0 1 311.857 3.180 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(Cl)s1 ZINC001268094330 1084154744 /nfs/dbraw/zinc/15/47/44/1084154744.db2.gz GXOMDOXUSAAQQO-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CC(C)C1)C1CCCCC1 ZINC001268117525 1084197140 /nfs/dbraw/zinc/19/71/40/1084197140.db2.gz GIWKEFIMVPPDPV-MOQPWLNLSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001481589862 1084230623 /nfs/dbraw/zinc/23/06/23/1084230623.db2.gz KXAUGOXHOQTQLI-KGLIPLIRSA-N 0 1 304.409 3.084 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001268151697 1084242694 /nfs/dbraw/zinc/24/26/94/1084242694.db2.gz VTAMBADYMYKWLJ-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN CCCCCC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001268157960 1084250197 /nfs/dbraw/zinc/25/01/97/1084250197.db2.gz GYDDEFTZWWJMGA-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2sc(N)c3c2CN(CCC)CC3)C1 ZINC001184783246 1084265236 /nfs/dbraw/zinc/26/52/36/1084265236.db2.gz ULFWCAJCPSOKEK-UHFFFAOYSA-N 0 1 319.474 3.393 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc(F)c(Cl)c2)c1 ZINC001151431949 1084306135 /nfs/dbraw/zinc/30/61/35/1084306135.db2.gz LLWWCGAEGWSPHD-UHFFFAOYSA-N 0 1 304.708 3.003 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCC=C(Cl)Cl)C1 ZINC001185056659 1084324165 /nfs/dbraw/zinc/32/41/65/1084324165.db2.gz RNKPQCZMDSCMBK-NWDGAFQWSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001185064885 1084328331 /nfs/dbraw/zinc/32/83/31/1084328331.db2.gz ZMDUKHMPYQUFRB-CZUORRHYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001185048529 1084334061 /nfs/dbraw/zinc/33/40/61/1084334061.db2.gz FIWNJHGABDNHKV-UKRRQHHQSA-N 0 1 321.852 3.028 20 30 DGEDMN CCCC(C)(C)C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001185327794 1084420570 /nfs/dbraw/zinc/42/05/70/1084420570.db2.gz DKUMPZCGCGUSBW-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCCCC(=O)NCCNCc1cc(Cl)ccc1Cl ZINC001126339237 1084475430 /nfs/dbraw/zinc/47/54/30/1084475430.db2.gz SXTUJLQNSRPPMM-UHFFFAOYSA-N 0 1 313.228 3.003 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001481689106 1084479327 /nfs/dbraw/zinc/47/93/27/1084479327.db2.gz VLJALUIHLZZOOP-YFWYWMRJSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001481710275 1084506709 /nfs/dbraw/zinc/50/67/09/1084506709.db2.gz UNFSKJAIIWQWHG-GOSISDBHSA-N 0 1 300.446 3.084 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001481721429 1084533258 /nfs/dbraw/zinc/53/32/58/1084533258.db2.gz HWEXELMGMCAKGC-XEDBTPMOSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001481721431 1084533760 /nfs/dbraw/zinc/53/37/60/1084533760.db2.gz HWEXELMGMCAKGC-YPNIWSFNSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cc(C)co1 ZINC001496480275 1084542924 /nfs/dbraw/zinc/54/29/24/1084542924.db2.gz RJDJEYNGAJRHGE-JSGCOSHPSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001185967888 1084561188 /nfs/dbraw/zinc/56/11/88/1084561188.db2.gz CWPDMDSMCICCES-CQSZACIVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001185968212 1084562057 /nfs/dbraw/zinc/56/20/57/1084562057.db2.gz HNXLFFGXBZZDSH-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001273512830 1084566038 /nfs/dbraw/zinc/56/60/38/1084566038.db2.gz AJFCPFSREBOXTG-MRXNPFEDSA-N 0 1 324.827 3.046 20 30 DGEDMN CCN(CCNCc1cc(C#N)ccc1F)C(=O)CC(C)(C)C ZINC001268434968 1084596075 /nfs/dbraw/zinc/59/60/75/1084596075.db2.gz OSWTWLSUWLDNTK-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN N#Cc1ccc(NC(=O)c2ccc(O)c(F)c2)c2cccnc12 ZINC001186236241 1084614123 /nfs/dbraw/zinc/61/41/23/1084614123.db2.gz CVRJKRRUJCZGPP-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001481775257 1084627127 /nfs/dbraw/zinc/62/71/27/1084627127.db2.gz MFHVWXDKXUPHCX-ZWKOTPCHSA-N 0 1 304.478 3.315 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001481778234 1084629954 /nfs/dbraw/zinc/62/99/54/1084629954.db2.gz LXTMJQLJEULEOQ-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2cc(C#N)sc2[N+](=O)[O-])n[nH]1 ZINC001186360099 1084633794 /nfs/dbraw/zinc/63/37/94/1084633794.db2.gz RGTSJENQJCXMJZ-JGVFFNPUSA-N 0 1 320.378 3.333 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1cc(F)ccc1Cl ZINC001481809520 1084645255 /nfs/dbraw/zinc/64/52/55/1084645255.db2.gz LACKGHULNJWSGF-OAHLLOKOSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001273523718 1084673080 /nfs/dbraw/zinc/67/30/80/1084673080.db2.gz DBJAVOJKLZDTFL-UHFFFAOYSA-N 0 1 312.457 3.175 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2cccnc2c1 ZINC001481861952 1084673892 /nfs/dbraw/zinc/67/38/92/1084673892.db2.gz KLIZRDMHLIGJCD-OAHLLOKOSA-N 0 1 317.820 3.085 20 30 DGEDMN CCCC1(C(=O)N(CC)CCNCC#Cc2ccccc2)CC1 ZINC001268477880 1084692535 /nfs/dbraw/zinc/69/25/35/1084692535.db2.gz YXRWISINGRINMI-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](N[C@@H](C)c2ncc(C)o2)CC1 ZINC001482013087 1084769526 /nfs/dbraw/zinc/76/95/26/1084769526.db2.gz VPCVPQCFJLHCCI-KKUMJFAQSA-N 0 1 305.422 3.027 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@H](NCc2cc(C)no2)C1 ZINC001187328514 1084785311 /nfs/dbraw/zinc/78/53/11/1084785311.db2.gz HXLKLRIZPIBIOZ-MRXNPFEDSA-N 0 1 321.465 3.424 20 30 DGEDMN O=C(C[C@H]1C=CCCC1)NC/C=C\CNCC#Cc1ccccc1 ZINC001268557779 1084805564 /nfs/dbraw/zinc/80/55/64/1084805564.db2.gz UBNNONCZMDAUEC-AQWUKCDYSA-N 0 1 322.452 3.047 20 30 DGEDMN CCCC(C)(C)C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001268584185 1084848703 /nfs/dbraw/zinc/84/87/03/1084848703.db2.gz HBXRXWQXFFLEMK-CMDGGOBGSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001268645013 1084912782 /nfs/dbraw/zinc/91/27/82/1084912782.db2.gz FVXPIDIDTUFONB-BMFZPTHFSA-N 0 1 320.864 3.273 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2cscc2s1 ZINC001268655200 1084919740 /nfs/dbraw/zinc/91/97/40/1084919740.db2.gz FNFCCXWKCKNQLQ-SECBINFHSA-N 0 1 314.863 3.423 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc2ccc(C)nc21 ZINC001268691839 1084950604 /nfs/dbraw/zinc/95/06/04/1084950604.db2.gz OANBDQQZEIQIRA-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001268714844 1084965689 /nfs/dbraw/zinc/96/56/89/1084965689.db2.gz FEBNGBGLGXIIQZ-HNNXBMFYSA-N 0 1 320.864 3.182 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)c1oc2c(cccc2C)c1C ZINC001268759798 1085037075 /nfs/dbraw/zinc/03/70/75/1085037075.db2.gz OLKNBHLDTOGCKS-AWEZNQCLSA-N 0 1 312.413 3.123 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1oc2c(cccc2C)c1C ZINC001268759798 1085037083 /nfs/dbraw/zinc/03/70/83/1085037083.db2.gz OLKNBHLDTOGCKS-AWEZNQCLSA-N 0 1 312.413 3.123 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001269004820 1085099258 /nfs/dbraw/zinc/09/92/58/1085099258.db2.gz AQGJYNKTUQJNEG-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001269056776 1085107619 /nfs/dbraw/zinc/10/76/19/1085107619.db2.gz LHPUMAQLSCGXQC-FMISOVINSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(Cc2ccsc2)C1 ZINC001269129926 1085144593 /nfs/dbraw/zinc/14/45/93/1085144593.db2.gz VERNTCARRXAMNR-MRXNPFEDSA-N 0 1 304.459 3.207 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C12CCC(CC1)CC2)C1CC1 ZINC001269148545 1085164498 /nfs/dbraw/zinc/16/44/98/1085164498.db2.gz FFQKMSLNFUMWPS-GNHJJJEISA-N 0 1 310.869 3.194 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001269261850 1085246141 /nfs/dbraw/zinc/24/61/41/1085246141.db2.gz DDHIKWQJRVMSPS-KRWDZBQOSA-N 0 1 318.436 3.379 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001269274587 1085257677 /nfs/dbraw/zinc/25/76/77/1085257677.db2.gz MLDAZOGPHIUANH-PKOBYXMFSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001269278074 1085261414 /nfs/dbraw/zinc/26/14/14/1085261414.db2.gz BSCJROSGRGOOFV-LJQANCHMSA-N 0 1 312.457 3.222 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C(CC)(CC)c2ccccc2)C1 ZINC001269296788 1085279120 /nfs/dbraw/zinc/27/91/20/1085279120.db2.gz QYUFRDMOSWRALF-GOSISDBHSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001269303224 1085284654 /nfs/dbraw/zinc/28/46/54/1085284654.db2.gz ZWLNDZKFIZIYSR-MJGOQNOKSA-N 0 1 314.473 3.364 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001269397665 1085362645 /nfs/dbraw/zinc/36/26/45/1085362645.db2.gz MTYOWBKCIOPFQB-CJNGLKHVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1oc(C(C)C)nc1C ZINC001269449173 1085390032 /nfs/dbraw/zinc/39/00/32/1085390032.db2.gz UZJFSDPXBQSVSJ-CQSZACIVSA-N 0 1 321.465 3.303 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(F)ccc1CC)C(C)C ZINC001284543014 1085460856 /nfs/dbraw/zinc/46/08/56/1085460856.db2.gz BPLFPAORHKVDHU-UHFFFAOYSA-N 0 1 318.436 3.194 20 30 DGEDMN C=C(C)CCC(=O)N[C@@](C)(CNCc1csc(C)n1)C1CC1 ZINC001269618259 1085499884 /nfs/dbraw/zinc/49/98/84/1085499884.db2.gz AEHCVOWWJIASES-KRWDZBQOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCCOCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC/C=C/CC ZINC001269682101 1085527831 /nfs/dbraw/zinc/52/78/31/1085527831.db2.gz ZTHASSBFXCJHCA-OBJZWFGXSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CCC3CCCC3)C2)CCC1 ZINC001269843111 1085581803 /nfs/dbraw/zinc/58/18/03/1085581803.db2.gz IOOHMFJVICDONW-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC2(CN(CC=C)C2)CC1)c1ccccc1 ZINC001482246478 1085586180 /nfs/dbraw/zinc/58/61/80/1085586180.db2.gz LMJMEMPYGUAOHS-IBGZPJMESA-N 0 1 324.468 3.457 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)C1CN(CC2CC2)C1)c1ccccc1 ZINC001269907036 1085608384 /nfs/dbraw/zinc/60/83/84/1085608384.db2.gz MVIJTHDGZPRKOM-LJQANCHMSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001270129351 1085702358 /nfs/dbraw/zinc/70/23/58/1085702358.db2.gz OTVKTGFJGODNGU-OLZOCXBDSA-N 0 1 320.811 3.145 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001482267789 1085704019 /nfs/dbraw/zinc/70/40/19/1085704019.db2.gz UOSQKDWPOCMANB-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)NC1(C)CCN(Cc2ccc(F)cc2)CC1 ZINC001270212769 1085735038 /nfs/dbraw/zinc/73/50/38/1085735038.db2.gz HXXZFLVTWDADQS-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1C)C1CCCC1 ZINC001270378768 1085792063 /nfs/dbraw/zinc/79/20/63/1085792063.db2.gz LLKBHEGBOXYRNT-HNNXBMFYSA-N 0 1 310.825 3.219 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1nccc2ccsc21 ZINC001482296419 1085856465 /nfs/dbraw/zinc/85/64/65/1085856465.db2.gz JRHKXRGFVQGDLO-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2ccc(F)cc2C)C1 ZINC001270559573 1085859453 /nfs/dbraw/zinc/85/94/53/1085859453.db2.gz YVHFKENEDFJBGK-SFHVURJKSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001482316132 1085946378 /nfs/dbraw/zinc/94/63/78/1085946378.db2.gz FDHBXUFDYSAWBK-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccccc1C ZINC001482376060 1086098008 /nfs/dbraw/zinc/09/80/08/1086098008.db2.gz KJNHQVRUKYHXGV-HNNXBMFYSA-N 0 1 308.853 3.482 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1CCCCC1 ZINC001482430744 1086163373 /nfs/dbraw/zinc/16/33/73/1086163373.db2.gz ZXZWXGSMDXYMBY-HXUWFJFHSA-N 0 1 324.468 3.306 20 30 DGEDMN C#CCC[N@H+](C)Cc1ccccc1CNC(=O)[C@]1(C)C=CCC1 ZINC001280334174 1086218964 /nfs/dbraw/zinc/21/89/64/1086218964.db2.gz TTYFASPKUJPLMJ-HXUWFJFHSA-N 0 1 310.441 3.114 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(CCC)s2)C1 ZINC001270965283 1086221517 /nfs/dbraw/zinc/22/15/17/1086221517.db2.gz DTLWPBCIEIFTHJ-SFHVURJKSA-N 0 1 318.486 3.166 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cccc(C(C)C)c2)C1 ZINC001270998718 1086238090 /nfs/dbraw/zinc/23/80/90/1086238090.db2.gz IBTCALPNWCTDEQ-FQEVSTJZSA-N 0 1 312.457 3.275 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(CC(C)C)CCCC2)C1 ZINC001271000106 1086240966 /nfs/dbraw/zinc/24/09/66/1086240966.db2.gz UOKFEVJPFGAIIL-IBGZPJMESA-N 0 1 318.505 3.444 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CCNCc1ccon1 ZINC001482451057 1086264393 /nfs/dbraw/zinc/26/43/93/1086264393.db2.gz RAULJGDJFDANMI-INIZCTEOSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](CCC)c1ccccn1 ZINC001271075123 1086277358 /nfs/dbraw/zinc/27/73/58/1086277358.db2.gz LNEPJEOFRLQRFU-LSDHHAIUSA-N 0 1 323.868 3.154 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl)CCC1 ZINC001271091266 1086286062 /nfs/dbraw/zinc/28/60/62/1086286062.db2.gz DNAPECXRZYLKGE-OQZOHAQUSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C[C@H](C)CC(C)C)C1 ZINC001271099638 1086292977 /nfs/dbraw/zinc/29/29/77/1086292977.db2.gz DYHVSUXUCSKATC-CABCVRRESA-N 0 1 316.436 3.318 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccccc2C(C)(C)C)[C@H]1CC ZINC001087616137 1086327800 /nfs/dbraw/zinc/32/78/00/1086327800.db2.gz HRXADGGDSXXZOD-ZWKOTPCHSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccccc2C(C)(C)C)[C@H]1CC ZINC001087616137 1086327806 /nfs/dbraw/zinc/32/78/06/1086327806.db2.gz HRXADGGDSXXZOD-ZWKOTPCHSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2cscc2Cl)[C@H]1CC ZINC001087614229 1086328069 /nfs/dbraw/zinc/32/80/69/1086328069.db2.gz IIYPUNUQWUZRHM-UONOGXRCSA-N 0 1 310.850 3.008 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)[C@H]1CC ZINC001087711577 1086378800 /nfs/dbraw/zinc/37/88/00/1086378800.db2.gz LZYNGVJOHKLUII-RRQGHBQHSA-N 0 1 318.848 3.046 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCc3ccccc32)[C@H]1CC ZINC001087805812 1086416662 /nfs/dbraw/zinc/41/66/62/1086416662.db2.gz XTDRULXPXIGOCG-YSIASYRMSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2Cc3c2cccc3Cl)[C@H]1CC ZINC001087845214 1086443368 /nfs/dbraw/zinc/44/33/68/1086443368.db2.gz MQRBBKHWQHYEAX-HYVNUMGLSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cnc(CC(C)C)s2)[C@H]1CC ZINC001087846493 1086444704 /nfs/dbraw/zinc/44/47/04/1086444704.db2.gz GAECQBZLHZZEGL-UONOGXRCSA-N 0 1 321.490 3.110 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)[C@H]1CC ZINC001087854014 1086449116 /nfs/dbraw/zinc/44/91/16/1086449116.db2.gz PYALTPAKQOQLNR-VQTJNVASSA-N 0 1 322.452 3.470 20 30 DGEDMN C[C@@H]1CC[C@@H](NCc2ccc(C#N)o2)CN1C(=O)OC(C)(C)C ZINC000690948626 1086460875 /nfs/dbraw/zinc/46/08/75/1086460875.db2.gz WMWSJFOMJMCBFJ-CHWSQXEVSA-N 0 1 319.405 3.029 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001126566892 1086525721 /nfs/dbraw/zinc/52/57/21/1086525721.db2.gz UQMOPTUIPXBPPE-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1ccc(CC)cc1 ZINC001271206089 1086544698 /nfs/dbraw/zinc/54/46/98/1086544698.db2.gz ATMLPTDWUMIDCW-OAHLLOKOSA-N 0 1 322.880 3.371 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cccc(OC)c1 ZINC001271311790 1086573203 /nfs/dbraw/zinc/57/32/03/1086573203.db2.gz SANQBBRLVONJTL-OAHLLOKOSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1conc1C ZINC001482487858 1086620218 /nfs/dbraw/zinc/62/02/18/1086620218.db2.gz AQKQESPRQVXLDI-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1=CCCC1)c1ccccc1CC ZINC001271446104 1086635364 /nfs/dbraw/zinc/63/53/64/1086635364.db2.gz JYMPQUVLLXILQX-LJQANCHMSA-N 0 1 310.441 3.130 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@@H](NCc2conc2C)C1 ZINC001088360200 1086860744 /nfs/dbraw/zinc/86/07/44/1086860744.db2.gz SUQRFSSPGDJDNV-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(C)=C(C)C)CC[C@@H]21 ZINC001482660923 1087104374 /nfs/dbraw/zinc/10/43/74/1087104374.db2.gz BACCGWLOODFCDE-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(CCC)CC3)CC[C@H]21 ZINC001482662301 1087105683 /nfs/dbraw/zinc/10/56/83/1087105683.db2.gz JNSGSOCCZRMTPJ-LSDHHAIUSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(OC(C)C)cc1 ZINC001482964942 1087202323 /nfs/dbraw/zinc/20/23/23/1087202323.db2.gz HRVAYLQTGUKERU-UHFFFAOYSA-N 0 1 324.852 3.278 20 30 DGEDMN CC(C)CCCC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001272117602 1087209233 /nfs/dbraw/zinc/20/92/33/1087209233.db2.gz KIOBFKMQTBSZPM-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2nc(C(C)(C)C)cs2)[C@H]1C ZINC001088637466 1087241640 /nfs/dbraw/zinc/24/16/40/1087241640.db2.gz JEJTWESLDYBDJW-OLZOCXBDSA-N 0 1 321.490 3.209 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CCCC[C@@H]1C1CC1 ZINC001483039152 1087251901 /nfs/dbraw/zinc/25/19/01/1087251901.db2.gz ZJVIJJQEOKSLDP-FZZYSBJUSA-N 0 1 310.869 3.217 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2cc3cscc3s2)[C@H]1C ZINC001088755430 1087337309 /nfs/dbraw/zinc/33/73/09/1087337309.db2.gz WTJVQJNUDGXQGB-YPMHNXCESA-N 0 1 318.467 3.179 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(-c2ccco2)s1 ZINC001483180948 1087412538 /nfs/dbraw/zinc/41/25/38/1087412538.db2.gz NGTDAQXCGVRHSS-LLVKDONJSA-N 0 1 324.833 3.469 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001483296810 1087461324 /nfs/dbraw/zinc/46/13/24/1087461324.db2.gz JTHIQXJCAFHPQN-YPMHNXCESA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@@H]1CNCc1ccon1 ZINC001483445035 1087505898 /nfs/dbraw/zinc/50/58/98/1087505898.db2.gz VUBQMKKRLDDKIB-GDBMZVCRSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(Cl)cs1)C1CC1 ZINC001483592843 1087530843 /nfs/dbraw/zinc/53/08/43/1087530843.db2.gz QPIDJQAUUZVALQ-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001483563395 1087525927 /nfs/dbraw/zinc/52/59/27/1087525927.db2.gz QBRQGFQVJHVJRH-FFXRNRBCSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CCC2(CC2)CC1)C1CC1 ZINC001483574622 1087528090 /nfs/dbraw/zinc/52/80/90/1087528090.db2.gz BAWQIUGDSHMOLD-HNNXBMFYSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C)C(C)(C)C1(C)C)C1CC1 ZINC001483608894 1087534839 /nfs/dbraw/zinc/53/48/39/1087534839.db2.gz IUZMNHORDLFNBK-CYBMUJFWSA-N 0 1 312.885 3.296 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(F)=C1CCCC1 ZINC001099012652 1087585362 /nfs/dbraw/zinc/58/53/62/1087585362.db2.gz LPDLYLRXIFRUQR-MRXNPFEDSA-N 0 1 320.452 3.414 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc(C(C)(C)C)n2)[C@H]1C ZINC001088919943 1087604682 /nfs/dbraw/zinc/60/46/82/1087604682.db2.gz HVXFMXSBHQHKMK-OLZOCXBDSA-N 0 1 321.490 3.209 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)[C@H]1C ZINC001088963521 1087610363 /nfs/dbraw/zinc/61/03/63/1087610363.db2.gz KNWFCUVYXWHBKN-DAYGRLMNSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(C3CCC3)c2)[C@H]1C ZINC001088981353 1087614734 /nfs/dbraw/zinc/61/47/34/1087614734.db2.gz OPUHGAWIIYJBDO-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(CC)c(CC)o2)[C@H]1C ZINC001088983242 1087614876 /nfs/dbraw/zinc/61/48/76/1087614876.db2.gz NNWUDQDGKAABML-HIFRSBDPSA-N 0 1 304.434 3.173 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2c(Cl)cccc2Cl)[C@H]1C ZINC001089001663 1087619227 /nfs/dbraw/zinc/61/92/27/1087619227.db2.gz VESISTIOGDAPGU-MFKMUULPSA-N 0 1 313.228 3.372 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(Cl)cccc2Cl)[C@H]1C ZINC001089001663 1087619230 /nfs/dbraw/zinc/61/92/30/1087619230.db2.gz VESISTIOGDAPGU-MFKMUULPSA-N 0 1 313.228 3.372 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)[C@H]1C ZINC001089051238 1087628551 /nfs/dbraw/zinc/62/85/51/1087628551.db2.gz HGUGIJKQXMGGBJ-CPUCHLNUSA-N 0 1 318.848 3.046 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C2(c3ccccc3C)CC2)[C@H]1C ZINC001089076896 1087631576 /nfs/dbraw/zinc/63/15/76/1087631576.db2.gz BPMDCABHKKUHOS-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC001089121974 1087645339 /nfs/dbraw/zinc/64/53/39/1087645339.db2.gz RNTXPAQIHKMDOM-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@H]1CNC(=O)c1scnc1C1CC1 ZINC001483684064 1087650068 /nfs/dbraw/zinc/65/00/68/1087650068.db2.gz CJCPTBGEIVVQHQ-OCCSQVGLSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H]1CNC(=O)c1sc(CC)nc1C ZINC001483684468 1087650112 /nfs/dbraw/zinc/65/01/12/1087650112.db2.gz GWUVJFYRDSUQDC-GXTWGEPZSA-N 0 1 321.490 3.173 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@H]1CCN1Cc1ccccc1)c1ccccc1 ZINC001483707280 1087683232 /nfs/dbraw/zinc/68/32/32/1087683232.db2.gz CSRGKLFGMOTFRN-VQTJNVASSA-N 0 1 320.436 3.347 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1c(Br)cccc1C#N ZINC001233694933 1087688151 /nfs/dbraw/zinc/68/81/51/1087688151.db2.gz NQYVWDFRJHUIHS-LLVKDONJSA-N 0 1 322.158 3.309 20 30 DGEDMN Cc1cc(Cl)ccc1OCC(=O)Nc1cc(C#N)ccc1O ZINC000176396232 1087722759 /nfs/dbraw/zinc/72/27/59/1087722759.db2.gz YYLYVEVBIBZMFP-UHFFFAOYSA-N 0 1 316.744 3.243 20 30 DGEDMN C[C@@H](NCCNC(=O)CC#Cc1ccccc1)c1ccccc1F ZINC001151958955 1087843110 /nfs/dbraw/zinc/84/31/10/1087843110.db2.gz VQAAXCLFFXUEIH-MRXNPFEDSA-N 0 1 324.399 3.034 20 30 DGEDMN Cc1cccc2nc(C(=O)Nc3ccc(F)c(C#N)c3F)[nH]c21 ZINC001151989563 1087858214 /nfs/dbraw/zinc/85/82/14/1087858214.db2.gz OYPCDESVAQPTDG-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099193981 1087862213 /nfs/dbraw/zinc/86/22/13/1087862213.db2.gz LDSYSJXYBUMHDW-DLBZAZTESA-N 0 1 304.478 3.219 20 30 DGEDMN CC(C)N1CCN(c2nc(Cl)c(Cl)cc2C#N)C[C@@H]1C ZINC001158494428 1087870712 /nfs/dbraw/zinc/87/07/12/1087870712.db2.gz IDPVHOQFMUAJTH-JTQLQIEISA-N 0 1 313.232 3.179 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H](C)C2CC2)cc1Cl ZINC000176534847 1087894419 /nfs/dbraw/zinc/89/44/19/1087894419.db2.gz MRFAKUACVLGPOG-JOYOIKCWSA-N 0 1 320.776 3.042 20 30 DGEDMN C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)c2ccoc2)CC(C)(C)C1 ZINC001089318073 1087926627 /nfs/dbraw/zinc/92/66/27/1087926627.db2.gz NPZMESGUCOYZSD-AWEZNQCLSA-N 0 1 310.825 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2ccoc2)CC(C)(C)C1 ZINC001089318073 1087926638 /nfs/dbraw/zinc/92/66/38/1087926638.db2.gz NPZMESGUCOYZSD-AWEZNQCLSA-N 0 1 310.825 3.253 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(C(C)C)c1 ZINC001158697192 1087985304 /nfs/dbraw/zinc/98/53/04/1087985304.db2.gz UOOSFDPNUORGNS-LJQANCHMSA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C)CCCCC1 ZINC001099207459 1087992422 /nfs/dbraw/zinc/99/24/22/1087992422.db2.gz CSOSPNQLLJCNKB-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNCc1csc(CC)n1 ZINC001158754768 1088008757 /nfs/dbraw/zinc/00/87/57/1088008757.db2.gz BJDPUFDGLWHIOC-UHFFFAOYSA-N 0 1 321.490 3.070 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CC[C@H](C)CC1 ZINC001158768377 1088021333 /nfs/dbraw/zinc/02/13/33/1088021333.db2.gz VGTQOTDNFPRENT-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCCNC/C(Cl)=C\Cl ZINC001158857497 1088071647 /nfs/dbraw/zinc/07/16/47/1088071647.db2.gz QCZIKJVUNHKXMD-JXMROGBWSA-N 0 1 313.656 3.180 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)CCCc1ccccc1 ZINC001152763240 1088074645 /nfs/dbraw/zinc/07/46/45/1088074645.db2.gz PCEOHHZSLIONIO-AWEZNQCLSA-N 0 1 308.853 3.104 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1ccccc1F ZINC001272594635 1088095537 /nfs/dbraw/zinc/09/55/37/1088095537.db2.gz GUICSNNHRWHHJX-LJQANCHMSA-N 0 1 316.420 3.359 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2cc(C)ccc2o1 ZINC001158915001 1088096740 /nfs/dbraw/zinc/09/67/40/1088096740.db2.gz YRXKUGMNOPBDRR-QGZVFWFLSA-N 0 1 324.424 3.301 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCC(C)(C)C1 ZINC001158942525 1088110460 /nfs/dbraw/zinc/11/04/60/1088110460.db2.gz KHHWPCJPJBWBEE-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CCN(Cc1cc(C)ccc1F)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207261 1088187708 /nfs/dbraw/zinc/18/77/08/1088187708.db2.gz DILNWDUDWHOUFK-MRXNPFEDSA-N 0 1 318.436 3.120 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@@H](C)CCc1ccccc1 ZINC001153215169 1088188925 /nfs/dbraw/zinc/18/89/25/1088188925.db2.gz RBHUVKFVOUWDIE-AWEZNQCLSA-N 0 1 308.853 3.104 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C2CC=CC2)CC(C)(C)C1 ZINC001089343689 1088323413 /nfs/dbraw/zinc/32/34/13/1088323413.db2.gz HCZAXYDYWZKFJI-OAHLLOKOSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)CC(C)(C)C ZINC001099259291 1088360346 /nfs/dbraw/zinc/36/03/46/1088360346.db2.gz ZORHNAOHHJCLFX-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1C(=O)COCC12CN(CCCCCC/C=C\CC)C2 ZINC001272655235 1088471654 /nfs/dbraw/zinc/47/16/54/1088471654.db2.gz RBISHCGQDYWCHP-WAYWQWQTSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CC)CCCC1 ZINC001099293090 1088489811 /nfs/dbraw/zinc/48/98/11/1088489811.db2.gz ZHHGRARJIZTICF-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCCCC(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001159858755 1088521136 /nfs/dbraw/zinc/52/11/36/1088521136.db2.gz BZCBDUTWKRPBIN-WDZFZDKYSA-N 0 1 308.853 3.071 20 30 DGEDMN C#CCCCCC(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001159858754 1088522917 /nfs/dbraw/zinc/52/29/17/1088522917.db2.gz BZCBDUTWKRPBIN-IZZDOVSWSA-N 0 1 308.853 3.071 20 30 DGEDMN CCc1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)ncn1 ZINC001160107736 1088641674 /nfs/dbraw/zinc/64/16/74/1088641674.db2.gz ZSMCLHKSCOQSHT-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154552322 1088653358 /nfs/dbraw/zinc/65/33/58/1088653358.db2.gz RSSGDSOKNHTAGT-UHFFFAOYSA-N 0 1 321.311 3.100 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001154743252 1088737629 /nfs/dbraw/zinc/73/76/29/1088737629.db2.gz XLYLUCOBAUWXTO-JKSUJKDBSA-N 0 1 303.450 3.011 20 30 DGEDMN C=CC[N@H+](C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001272675097 1088811171 /nfs/dbraw/zinc/81/11/71/1088811171.db2.gz GTVMUGDSQRDNSE-RBUKOAKNSA-N 0 1 312.457 3.357 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)oc1C ZINC001089607998 1088815847 /nfs/dbraw/zinc/81/58/47/1088815847.db2.gz UYVRQNXJXQKQNQ-OAHLLOKOSA-N 0 1 304.434 3.303 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)oc1C ZINC001089607997 1088815893 /nfs/dbraw/zinc/81/58/93/1088815893.db2.gz UYVRQNXJXQKQNQ-HNNXBMFYSA-N 0 1 304.434 3.303 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C(C)(C)CC ZINC001154963656 1088856764 /nfs/dbraw/zinc/85/67/64/1088856764.db2.gz SAPNCCVLXIKYAM-QGZVFWFLSA-N 0 1 314.473 3.301 20 30 DGEDMN C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001089642017 1088869649 /nfs/dbraw/zinc/86/96/49/1088869649.db2.gz ZDDIEWYXPGOXFE-IRXDYDNUSA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001089642017 1088869666 /nfs/dbraw/zinc/86/96/66/1088869666.db2.gz ZDDIEWYXPGOXFE-IRXDYDNUSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1scnc1CC ZINC001089651937 1088946939 /nfs/dbraw/zinc/94/69/39/1088946939.db2.gz RMBDIKKOMLBNBS-ZDUSSCGKSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160861629 1088996235 /nfs/dbraw/zinc/99/62/35/1088996235.db2.gz VIEQPSYYJQKCCI-WEVVVXLNSA-N 0 1 310.869 3.480 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CNCc1c(F)cccc1F ZINC001483942194 1089023990 /nfs/dbraw/zinc/02/39/90/1089023990.db2.gz IXFLECOUZLLOAF-AWEZNQCLSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCCc1ccccc1 ZINC001483943805 1089027049 /nfs/dbraw/zinc/02/70/49/1089027049.db2.gz XTZWKJKRPSEKNJ-KRWDZBQOSA-N 0 1 320.864 3.342 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160940926 1089029964 /nfs/dbraw/zinc/02/99/64/1089029964.db2.gz IOXAPYBPAKBDET-HBXAWUERSA-N 0 1 310.869 3.336 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CC(C1CC1)C1CC1 ZINC001483959399 1089036299 /nfs/dbraw/zinc/03/62/99/1089036299.db2.gz SRDCHDPQKDOPSK-HNNXBMFYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CCC[C@H]1CNc1nc(Cl)c(C)cc1[N+](=O)[O-] ZINC001160952910 1089037016 /nfs/dbraw/zinc/03/70/16/1089037016.db2.gz IDCMAUALKCHNQN-NSHDSACASA-N 0 1 310.785 3.014 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1sccc1CC ZINC001483956909 1089047437 /nfs/dbraw/zinc/04/74/37/1089047437.db2.gz WXBWFHMPSBGKSM-ZDUSSCGKSA-N 0 1 312.866 3.257 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCC)C1CCN(C/C=C/Cl)CC1 ZINC001483982523 1089059708 /nfs/dbraw/zinc/05/97/08/1089059708.db2.gz XCYRSBBKSCHLAX-WGPYJDKQSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCC)C1CCN(C/C=C\Cl)CC1 ZINC001483982521 1089060654 /nfs/dbraw/zinc/06/06/54/1089060654.db2.gz XCYRSBBKSCHLAX-MLBQELRYSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C(=O)CCC(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001483978564 1089063358 /nfs/dbraw/zinc/06/33/58/1089063358.db2.gz BOXOAERAPKZKFZ-INIZCTEOSA-N 0 1 310.457 3.097 20 30 DGEDMN COc1cc2ccnc(NCc3cncc(C#N)c3)c2cc1OC ZINC001160995843 1089066459 /nfs/dbraw/zinc/06/64/59/1089066459.db2.gz XLBKLTDPQRJEQK-UHFFFAOYSA-N 0 1 320.352 3.131 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001483984458 1089067984 /nfs/dbraw/zinc/06/79/84/1089067984.db2.gz NLOGNVULLPDGDR-LSEOUJGGSA-N 0 1 308.853 3.021 20 30 DGEDMN C#CCN(C(=O)c1cc2ccccc2o1)C1CCN(CC=C)CC1 ZINC001483989703 1089073634 /nfs/dbraw/zinc/07/36/34/1089073634.db2.gz TVXLQNAAWXBLND-UHFFFAOYSA-N 0 1 322.408 3.159 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C(C)C)C1CCCC1 ZINC001484009004 1089094049 /nfs/dbraw/zinc/09/40/49/1089094049.db2.gz XWRAJRGWLKNPGM-UKRRQHHQSA-N 0 1 300.874 3.296 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@@H]1CC12CCC2 ZINC001155503284 1089139830 /nfs/dbraw/zinc/13/98/30/1089139830.db2.gz KNTUXQRCNKFYPX-MJGOQNOKSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC[N@@H+]1CC=C(CCNC(=O)c2cc(C)cc(F)c2)CC1 ZINC001161314305 1089186766 /nfs/dbraw/zinc/18/67/66/1089186766.db2.gz URQFLWIYZFQSFQ-UHFFFAOYSA-N 0 1 302.393 3.072 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1cccc2cncn21 ZINC001155800391 1089251658 /nfs/dbraw/zinc/25/16/58/1089251658.db2.gz ZBAGVPVHAAFTPN-CQSZACIVSA-N 0 1 321.380 3.390 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C(C2CCC2)C2CCC2)C1 ZINC001484167270 1089260868 /nfs/dbraw/zinc/26/08/68/1089260868.db2.gz QUVBEXDUOBQCEI-HXUWFJFHSA-N 0 1 316.489 3.054 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CC2CCCCCC2)C1 ZINC001484170248 1089264842 /nfs/dbraw/zinc/26/48/42/1089264842.db2.gz MJKUMIWTJIMEMV-LJQANCHMSA-N 0 1 304.478 3.198 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](CCCC)C(C)C)C1 ZINC001484175463 1089271558 /nfs/dbraw/zinc/27/15/58/1089271558.db2.gz PSMNONKFCATRLW-IEBWSBKVSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CCCC(C)C)C1 ZINC001484194933 1089283399 /nfs/dbraw/zinc/28/33/99/1089283399.db2.gz BNXVXAJUQUIDTH-AWEZNQCLSA-N 0 1 302.409 3.072 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc([C@@H](C#N)c2ccc(C)cc2)nn1 ZINC001155887213 1089288357 /nfs/dbraw/zinc/28/83/57/1089288357.db2.gz JTSMYCZYAGVWJT-DDKJEQMHSA-N 0 1 306.369 3.345 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CC12CCCC2 ZINC001089719251 1089295044 /nfs/dbraw/zinc/29/50/44/1089295044.db2.gz ZZTYZXNQJZCZLD-IRXDYDNUSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(Cl)cn1 ZINC001089724438 1089304673 /nfs/dbraw/zinc/30/46/73/1089304673.db2.gz WCFMINSIEFFOSC-AWEZNQCLSA-N 0 1 321.852 3.141 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)ccc1C ZINC001089728513 1089307149 /nfs/dbraw/zinc/30/71/49/1089307149.db2.gz ACOKHOWMVNAIAB-KRWDZBQOSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccsc1)c1ccccc1CC ZINC001484326476 1089343031 /nfs/dbraw/zinc/34/30/31/1089343031.db2.gz ZAQDBQBJPSUFMI-QGZVFWFLSA-N 0 1 312.438 3.004 20 30 DGEDMN COC(=O)c1cc2cc(N[C@@H](C#N)c3ccccc3)cnc2[nH]1 ZINC001161718491 1089366776 /nfs/dbraw/zinc/36/67/76/1089366776.db2.gz OZHWKEZGOZDMAR-HNNXBMFYSA-N 0 1 306.325 3.026 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C(C)C)cc2)CC1 ZINC001161766729 1089391990 /nfs/dbraw/zinc/39/19/90/1089391990.db2.gz ZKYDWKZROXXQNF-UHFFFAOYSA-N 0 1 310.441 3.195 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccsc2C(F)F)CC1 ZINC001161796384 1089407307 /nfs/dbraw/zinc/40/73/07/1089407307.db2.gz FKHQOQDMZRJNQX-UHFFFAOYSA-N 0 1 324.396 3.071 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1cccnc1 ZINC001484460204 1089432787 /nfs/dbraw/zinc/43/27/87/1089432787.db2.gz NHJXCTZQNADYCB-MSOLQXFVSA-N 0 1 313.445 3.003 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@](C)(CC)CCC ZINC001484466034 1089435191 /nfs/dbraw/zinc/43/51/91/1089435191.db2.gz RLVMBOQOAVMZFC-SCTDSRPQSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@](C)(CC)CCC ZINC001484466034 1089435210 /nfs/dbraw/zinc/43/52/10/1089435210.db2.gz RLVMBOQOAVMZFC-SCTDSRPQSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C[C@@H](CC)C(C)C ZINC001484466445 1089436724 /nfs/dbraw/zinc/43/67/24/1089436724.db2.gz UBOQRBAKXUILQW-FGTMMUONSA-N 0 1 304.478 3.147 20 30 DGEDMN CC[C@@H](CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C(C)C ZINC001161914517 1089466706 /nfs/dbraw/zinc/46/67/06/1089466706.db2.gz UYPWGXNXHXVZND-ZDUSSCGKSA-N 0 1 311.389 3.354 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@]1(C)C=CCC1 ZINC001484556147 1089498895 /nfs/dbraw/zinc/49/88/95/1089498895.db2.gz LBCQKJFDGZPFRM-HXUWFJFHSA-N 0 1 310.441 3.114 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(CC)c(CC)c2)CC1 ZINC001162031132 1089534792 /nfs/dbraw/zinc/53/47/92/1089534792.db2.gz DIXYPEHZCKBOAM-UHFFFAOYSA-N 0 1 324.468 3.197 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)C[C@@H]2C=CCC2)CCC1 ZINC001484623476 1089547379 /nfs/dbraw/zinc/54/73/79/1089547379.db2.gz DXSANZCPDROASX-MRXNPFEDSA-N 0 1 323.440 3.043 20 30 DGEDMN CN1CCC(C#N)(Nc2cc(Cl)nc3ccc(F)cc32)CC1 ZINC001162226939 1089620739 /nfs/dbraw/zinc/62/07/39/1089620739.db2.gz DPDCYSYGIBLFOA-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN CC(C)c1nc(NC2(C#N)CCN(C)CC2)cc(C(C)(C)C)n1 ZINC001162231285 1089622307 /nfs/dbraw/zinc/62/23/07/1089622307.db2.gz PGOAEBHIOIRGLB-UHFFFAOYSA-N 0 1 315.465 3.297 20 30 DGEDMN CC[C@@H](C)c1nc(Cl)c(C)c(NC2(C#N)CCN(C)CC2)n1 ZINC001162230653 1089623092 /nfs/dbraw/zinc/62/30/92/1089623092.db2.gz BXQZWDUZJYWOBZ-LLVKDONJSA-N 0 1 321.856 3.352 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN(CCF)C1 ZINC001484772284 1089671200 /nfs/dbraw/zinc/67/12/00/1089671200.db2.gz QBUVADGGHUPJSK-IAGOWNOFSA-N 0 1 310.457 3.405 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)[C@](C)(C=C)CC ZINC001484822870 1089702158 /nfs/dbraw/zinc/70/21/58/1089702158.db2.gz IWUJQPILWQOCDV-PZJWPPBQSA-N 0 1 324.468 3.155 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)/C(C)=C\CC ZINC001484821982 1089704452 /nfs/dbraw/zinc/70/44/52/1089704452.db2.gz KPTFXHIZAWWCRT-DLXXGXJASA-N 0 1 312.457 3.462 20 30 DGEDMN Cc1cc(N2CCCC2)nc(N[C@@H](C)c2cccc(C#N)c2)n1 ZINC001156869382 1089724941 /nfs/dbraw/zinc/72/49/41/1089724941.db2.gz CWLFWHYQZUUNQH-AWEZNQCLSA-N 0 1 307.401 3.430 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2nc(C)c(C)o2)CCC1 ZINC001484998700 1089820241 /nfs/dbraw/zinc/82/02/41/1089820241.db2.gz XAYRJDPGWJPQKY-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(C)C[N@H+]1CCOC2(CCN(C(=O)[C@@H](C)CCCC)CC2)C1 ZINC001485008648 1089844147 /nfs/dbraw/zinc/84/41/47/1089844147.db2.gz QCLKZRLUQFKYBM-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)[C@@H](C)CCCC)CC2)C1 ZINC001485008648 1089844160 /nfs/dbraw/zinc/84/41/60/1089844160.db2.gz QCLKZRLUQFKYBM-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001485005983 1089846340 /nfs/dbraw/zinc/84/63/40/1089846340.db2.gz ZHSRROWGAYPELP-KETLNSEPSA-N 0 1 322.452 3.082 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1sccc1C ZINC001485042383 1089861160 /nfs/dbraw/zinc/86/11/60/1089861160.db2.gz NULJKOJGQWXTHX-IAGOWNOFSA-N 0 1 324.449 3.065 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1cc(F)cc(F)c1O ZINC001162686562 1089881560 /nfs/dbraw/zinc/88/15/60/1089881560.db2.gz ILBRTKBHQAXUJE-SNVBAGLBSA-N 0 1 316.307 3.375 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C)c(Cl)cc1C ZINC001485066694 1089898361 /nfs/dbraw/zinc/89/83/61/1089898361.db2.gz ZCHTZVAYVZBRHV-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1nccc2ccsc21 ZINC001485156924 1089996807 /nfs/dbraw/zinc/99/68/07/1089996807.db2.gz IQCPEEOOFSXSQZ-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001485181306 1090007769 /nfs/dbraw/zinc/00/77/69/1090007769.db2.gz KTAXTMXECMLCDZ-CABCVRRESA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1scnc1C(C)C ZINC001485198436 1090014605 /nfs/dbraw/zinc/01/46/05/1090014605.db2.gz IFWJWHBKSIWPBB-QWRGUYRKSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1ccc(C)s1 ZINC001485233145 1090039964 /nfs/dbraw/zinc/03/99/64/1090039964.db2.gz CMZFNTNNYHFMTD-CHWSQXEVSA-N 0 1 314.882 3.224 20 30 DGEDMN Cc1c(=O)[nH]n(-c2ccccc2)c1Nc1cc(CC#N)ccn1 ZINC001163111624 1090081219 /nfs/dbraw/zinc/08/12/19/1090081219.db2.gz UVGAYNLFAXAWPH-UHFFFAOYSA-N 0 1 305.341 3.091 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001157706281 1090081941 /nfs/dbraw/zinc/08/19/41/1090081941.db2.gz WWPOSPQAHMBRGD-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN CCC(=O)NC1(CNCc2cc(F)ccc2C#N)CCCCC1 ZINC001485444216 1090156258 /nfs/dbraw/zinc/15/62/58/1090156258.db2.gz ZWFJBHPLPFOSGJ-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1occ2c1CCC2 ZINC001485465387 1090166850 /nfs/dbraw/zinc/16/68/50/1090166850.db2.gz DAKCXEGJZSPMCY-CQSZACIVSA-N 0 1 324.852 3.399 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCCN1Cc1ccccn1 ZINC001485480523 1090184982 /nfs/dbraw/zinc/18/49/82/1090184982.db2.gz PGNBRVSMVHYGCV-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCCCN2C/C=C\Cl)C1 ZINC001485481715 1090186345 /nfs/dbraw/zinc/18/63/45/1090186345.db2.gz FMULBTBIHSMJDD-DDJMYBDESA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001158124858 1090188613 /nfs/dbraw/zinc/18/86/13/1090188613.db2.gz VMBOQPHHJDRZPA-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](C)CCC(C)(C)C ZINC001485485271 1090193289 /nfs/dbraw/zinc/19/32/89/1090193289.db2.gz PHMQBBLRQXRDBV-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001485507555 1090196788 /nfs/dbraw/zinc/19/67/88/1090196788.db2.gz XHXQUOAZZLWEKW-AWEZNQCLSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001485517978 1090199265 /nfs/dbraw/zinc/19/92/65/1090199265.db2.gz TWOLOHJLVRUHEY-KQPPXVQYSA-N 0 1 312.457 3.493 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)[C@H](C)CC)C2)C1 ZINC001485553592 1090208063 /nfs/dbraw/zinc/20/80/63/1090208063.db2.gz SXUQHURGUCXVGN-IEBWSBKVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CC(C)(C)C1CC1 ZINC001485807696 1090297122 /nfs/dbraw/zinc/29/71/22/1090297122.db2.gz AYEAKJBQDUUFGS-OAHLLOKOSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1c(F)cccc1F ZINC001164345454 1090407069 /nfs/dbraw/zinc/40/70/69/1090407069.db2.gz ADNPRFXZNUVONZ-CYBMUJFWSA-N 0 1 310.388 3.163 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001164346951 1090407478 /nfs/dbraw/zinc/40/74/78/1090407478.db2.gz YUXMHNCDNVEBPZ-ZDUSSCGKSA-N 0 1 321.490 3.219 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1nc2ccccc2o1 ZINC001164346299 1090407602 /nfs/dbraw/zinc/40/76/02/1090407602.db2.gz PDNBLXYEKICLDN-AWEZNQCLSA-N 0 1 315.417 3.026 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H](C)C3CC3)CCC[C@@H]12 ZINC001107353912 1090423918 /nfs/dbraw/zinc/42/39/18/1090423918.db2.gz OCUQHSSWBREYHZ-YSVLISHTSA-N 0 1 310.869 3.146 20 30 DGEDMN CC(C)(C)OC(=O)N1C=C(Nc2ncccc2C#N)CCC1 ZINC001213051762 1090428377 /nfs/dbraw/zinc/42/83/77/1090428377.db2.gz MINASPFAABEFLK-UHFFFAOYSA-N 0 1 300.362 3.237 20 30 DGEDMN N#CNC(=Nc1nccc(-c2ccccc2)c1C#N)c1ccncc1 ZINC001164502820 1090433695 /nfs/dbraw/zinc/43/36/95/1090433695.db2.gz LXDYIXNBAIPJMU-UHFFFAOYSA-N 0 1 324.347 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3(C)CCC3)CCC[C@@H]12 ZINC001107503732 1090450377 /nfs/dbraw/zinc/45/03/77/1090450377.db2.gz RAWYESYJOYHWNM-RHSMWYFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@]3(C)C=CCC3)CCC[C@@H]12 ZINC001107502657 1090452200 /nfs/dbraw/zinc/45/22/00/1090452200.db2.gz MAAPQTUCPHRBJK-BPQIPLTHSA-N 0 1 322.880 3.456 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CCC2CCCC2)C1 ZINC001107706157 1090487940 /nfs/dbraw/zinc/48/79/40/1090487940.db2.gz HIXWJQGIRGXJDZ-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CC2=CCCCC2)C1 ZINC001107814549 1090508667 /nfs/dbraw/zinc/50/86/67/1090508667.db2.gz DQEDOIQTJSLKEU-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN CCCCCCC[N@H+]1CCO[C@](C)(CNC(=O)/C=C/C2CC2)C1 ZINC001107841217 1090514302 /nfs/dbraw/zinc/51/43/02/1090514302.db2.gz KBSPVYXFRKOTLZ-GNISGLHKSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)/C=C/C2CC2)C1 ZINC001107841217 1090514310 /nfs/dbraw/zinc/51/43/10/1090514310.db2.gz KBSPVYXFRKOTLZ-GNISGLHKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C1CCC(C(=O)NCCCNCc2csc(CC)n2)CC1 ZINC001165913941 1090560676 /nfs/dbraw/zinc/56/06/76/1090560676.db2.gz PIBKCAGBVIMMOR-UHFFFAOYSA-N 0 1 321.490 3.048 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@]1(C)C[N@@H+](CCCCC)CCO1 ZINC001107966481 1090577011 /nfs/dbraw/zinc/57/70/11/1090577011.db2.gz HZNJSYPCJXITMI-LJQANCHMSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@]1(C)CN(CCCCC)CCO1 ZINC001107966481 1090577020 /nfs/dbraw/zinc/57/70/20/1090577020.db2.gz HZNJSYPCJXITMI-LJQANCHMSA-N 0 1 322.493 3.152 20 30 DGEDMN O=C(c1cccc(F)c1O)N(O)CCCCc1ccccc1 ZINC001649051909 1090578532 /nfs/dbraw/zinc/57/85/32/1090578532.db2.gz KZVABMNORKINPS-UHFFFAOYSA-N 0 1 303.333 3.386 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)/C=C/C(C)(C)C)C2)CC1 ZINC001272798546 1090587126 /nfs/dbraw/zinc/58/71/26/1090587126.db2.gz GAUXLMLPBVYETQ-AATRIKPKSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CN(CC/C=C/CCC)CCO1 ZINC001107976657 1090606512 /nfs/dbraw/zinc/60/65/12/1090606512.db2.gz SAGAUIUWAKCQOB-IHHMQQGQSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001128085584 1090646030 /nfs/dbraw/zinc/64/60/30/1090646030.db2.gz WFOFAIQLGVUIOM-UHFFFAOYSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)/C=C\c3ccco3)cccc2C1 ZINC001272909523 1090677852 /nfs/dbraw/zinc/67/78/52/1090677852.db2.gz ODGFDFMGGFLVPJ-HJWRWDBZSA-N 0 1 322.408 3.153 20 30 DGEDMN C=CCOc1ccc(CNCc2ccnc(F)c2)cc1OC ZINC001203290207 1090713210 /nfs/dbraw/zinc/71/32/10/1090713210.db2.gz XMFDZLWIKSIHSJ-UHFFFAOYSA-N 0 1 302.349 3.084 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(Cc1ccccc1F)C2 ZINC001203352564 1090724005 /nfs/dbraw/zinc/72/40/05/1090724005.db2.gz HOACQRMWSKKDRE-FUHWJXTLSA-N 0 1 305.393 3.152 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1ccc(CC)cc1 ZINC001485956482 1090733744 /nfs/dbraw/zinc/73/37/44/1090733744.db2.gz JZNKIOYOAREGCG-OAHLLOKOSA-N 0 1 322.880 3.371 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)c(C)o2)C1 ZINC001494123509 1090744579 /nfs/dbraw/zinc/74/45/79/1090744579.db2.gz CASURUOPNLUXTP-CQSZACIVSA-N 0 1 310.825 3.043 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)[C@@H]1C ZINC001486079371 1090749795 /nfs/dbraw/zinc/74/97/95/1090749795.db2.gz NTHCDKJDWJBTIL-DZGCQCFKSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2nc(C)c(C)s2)C[C@H]1C ZINC001486122790 1090755458 /nfs/dbraw/zinc/75/54/58/1090755458.db2.gz UEZWVYRDIMNDKR-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2scnc2C)[C@@H]1C ZINC001486178803 1090776826 /nfs/dbraw/zinc/77/68/26/1090776826.db2.gz FHVKHFKSVFFUQU-CABCVRRESA-N 0 1 321.490 3.277 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC(C2CCC2)C2CCC2)[C@@H]1C ZINC001486179896 1090778405 /nfs/dbraw/zinc/77/84/05/1090778405.db2.gz FOXCWAJATAILCA-KXBFYZLASA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN(CC#CC)[C@@H]2C)CCCC1 ZINC001486186712 1090781440 /nfs/dbraw/zinc/78/14/40/1090781440.db2.gz LIMXOAJEJYUAGV-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN Cc1ccnc(O[C@H]2CCCN(Cc3cccc(C#N)c3)C2)c1 ZINC001203763847 1090799691 /nfs/dbraw/zinc/79/96/91/1090799691.db2.gz OTRZPNKZHHRIDO-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1cccnc1O[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001203906684 1090835390 /nfs/dbraw/zinc/83/53/90/1090835390.db2.gz SXAJNVNHTWDCLZ-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN COc1ccc(O[C@@H]2CCCN(Cc3ccc(C#N)cc3)C2)nc1 ZINC001203905699 1090835523 /nfs/dbraw/zinc/83/55/23/1090835523.db2.gz ACCHTAZAISIVIZ-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NCc2csc(CC)n2)C1 ZINC001486475207 1090849459 /nfs/dbraw/zinc/84/94/59/1090849459.db2.gz DBYAXTCOJJFIOD-UHFFFAOYSA-N 0 1 321.490 3.141 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(CCC(F)(F)F)CC1 ZINC001486513086 1090864361 /nfs/dbraw/zinc/86/43/61/1090864361.db2.gz DPHKWAWZIWBWKU-UHFFFAOYSA-N 0 1 304.356 3.043 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](CC)C(C)(C)C)CC1 ZINC001486519415 1090865475 /nfs/dbraw/zinc/86/54/75/1090865475.db2.gz TUNNFWYSXPVPSA-KRWDZBQOSA-N 0 1 304.478 3.220 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCNCc1sccc1Cl ZINC001128208923 1090907121 /nfs/dbraw/zinc/90/71/21/1090907121.db2.gz KHTVDPKBWZSAEI-UHFFFAOYSA-N 0 1 321.273 3.386 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cccc(C(C)C)n1 ZINC001128242374 1090924225 /nfs/dbraw/zinc/92/42/25/1090924225.db2.gz ONKWVKYFIZZXRJ-UHFFFAOYSA-N 0 1 303.450 3.013 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2ccc(SC)cc2)C1=O ZINC001272966318 1090937340 /nfs/dbraw/zinc/93/73/40/1090937340.db2.gz QUKCDYMHDNJHRA-SFHVURJKSA-N 0 1 316.470 3.161 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@H](c3noc(C)n3)C2)cc1 ZINC001204297641 1090943067 /nfs/dbraw/zinc/94/30/67/1090943067.db2.gz MDJSCXKNLKQFBJ-INIZCTEOSA-N 0 1 313.401 3.322 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccccc2OCc2ccccc2)CCC1=O ZINC001204331202 1090950911 /nfs/dbraw/zinc/95/09/11/1090950911.db2.gz AMUZWEPDNZFUPA-GOSISDBHSA-N 0 1 320.392 3.180 20 30 DGEDMN C#CCN1CC[C@]2(CCC[N@H+](Cc3ccc(C)c(C)c3)CC2)C1=O ZINC001272988741 1090967813 /nfs/dbraw/zinc/96/78/13/1090967813.db2.gz WKJYSKIUXSOUOS-OAQYLSRUSA-N 0 1 324.468 3.141 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001276468949 1090983617 /nfs/dbraw/zinc/98/36/17/1090983617.db2.gz PIHBDEJNUNINGB-SJORKVTESA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1cc(C)c(C)o1 ZINC001273012859 1090993408 /nfs/dbraw/zinc/99/34/08/1090993408.db2.gz XGASPSATDJUQIB-SFHVURJKSA-N 0 1 302.418 3.039 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C2CCCCCCC2)C1 ZINC001108066896 1091006640 /nfs/dbraw/zinc/00/66/40/1091006640.db2.gz QGDUXGRHMIXHME-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN COCOc1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1Cl ZINC001205003068 1091081132 /nfs/dbraw/zinc/08/11/32/1091081132.db2.gz DQPAGYDCYANREF-UHFFFAOYSA-N 0 1 314.732 3.133 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108114207 1091094614 /nfs/dbraw/zinc/09/46/14/1091094614.db2.gz BWMTUKDXFMOALJ-CSHXORCISA-N 0 1 322.493 3.152 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108115823 1091094638 /nfs/dbraw/zinc/09/46/38/1091094638.db2.gz UPVXSKOEIXLXBS-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)C[N@@H+](CCCC(C)(C)C)CCO1 ZINC001108119825 1091101305 /nfs/dbraw/zinc/10/13/05/1091101305.db2.gz SVDGWEKYCQGKGJ-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC001108119825 1091101309 /nfs/dbraw/zinc/10/13/09/1091101309.db2.gz SVDGWEKYCQGKGJ-IBGZPJMESA-N 0 1 324.509 3.232 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1OC ZINC001138345941 1091148168 /nfs/dbraw/zinc/14/81/68/1091148168.db2.gz SGPRQLBTTILMFV-GOSISDBHSA-N 0 1 322.408 3.439 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cnn(C(C)(C)C)c3)sc2C1 ZINC001212567914 1091171984 /nfs/dbraw/zinc/17/19/84/1091171984.db2.gz ZORIKNGVJRCFPF-UHFFFAOYSA-N 0 1 315.446 3.303 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001205407234 1091213714 /nfs/dbraw/zinc/21/37/14/1091213714.db2.gz BUGBXGPIIAJGHI-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCOc3c(F)cccc32)cc1F ZINC001205414683 1091215778 /nfs/dbraw/zinc/21/57/78/1091215778.db2.gz NMRQEXFQKXZURX-INIZCTEOSA-N 0 1 300.308 3.450 20 30 DGEDMN C#CCOc1ccc(CN2CCOC[C@H]2Cc2ccccc2)cc1 ZINC001138560332 1091227521 /nfs/dbraw/zinc/22/75/21/1091227521.db2.gz RMVGVZNNGWJXSH-HXUWFJFHSA-N 0 1 321.420 3.142 20 30 DGEDMN C#CCOc1ccc(CN2CC[C@@H]2COCc2ccccc2)cc1 ZINC001138559542 1091227831 /nfs/dbraw/zinc/22/78/31/1091227831.db2.gz VYBJFOPFNSVFKR-HXUWFJFHSA-N 0 1 321.420 3.490 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@@H](n2cncn2)C1 ZINC001138665220 1091281568 /nfs/dbraw/zinc/28/15/68/1091281568.db2.gz IPLAPJSTLDFVKC-QGZVFWFLSA-N 0 1 312.417 3.070 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2cc3ccccc3[nH]2)C1=O ZINC001273182078 1091345285 /nfs/dbraw/zinc/34/52/85/1091345285.db2.gz REYNRQOZGKURHZ-FQEVSTJZSA-N 0 1 323.440 3.311 20 30 DGEDMN N#Cc1nc(N[C@H]2CCN(C3CCC3)C2)c(Cl)cc1Cl ZINC001161183401 1091345532 /nfs/dbraw/zinc/34/55/32/1091345532.db2.gz XOLHHQIDMSBTNI-VIFPVBQESA-N 0 1 311.216 3.299 20 30 DGEDMN Cc1cccnc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001205807491 1091357355 /nfs/dbraw/zinc/35/73/55/1091357355.db2.gz OCPNBUDHRUBQFP-KRWDZBQOSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C1CCC(C(=O)NC[C@]2(C)CN(CCCCC)CCO2)CC1 ZINC001108185980 1091360096 /nfs/dbraw/zinc/36/00/96/1091360096.db2.gz HOOGDRCIXVSCFG-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C2(CCCC)CC2)C1 ZINC001108185719 1091360950 /nfs/dbraw/zinc/36/09/50/1091360950.db2.gz DFPDURDFGGFZRR-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CC[N@H+](Cc1cc(Cl)cc(Br)c1[O-])C1CC1 ZINC001205882280 1091392325 /nfs/dbraw/zinc/39/23/25/1091392325.db2.gz KKDQSGLCICTANH-UHFFFAOYSA-N 0 1 314.610 3.406 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(C)(C(=O)OCC)CC1 ZINC001139029883 1091424062 /nfs/dbraw/zinc/42/40/62/1091424062.db2.gz ULUQOIFQLPEOFX-UHFFFAOYSA-N 0 1 317.429 3.417 20 30 DGEDMN COc1cc(O)ccc1CN1CCC(C#N)(c2ccccc2)CC1 ZINC001139137696 1091461365 /nfs/dbraw/zinc/46/13/65/1091461365.db2.gz DOYXCRSOJVPQQH-UHFFFAOYSA-N 0 1 322.408 3.458 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CC[C@H](C4CCC4)CC3)[C@@H]2C1 ZINC001084422716 1091486551 /nfs/dbraw/zinc/48/65/51/1091486551.db2.gz DKOVSDQFYSQVQA-NCXUSEDFSA-N 0 1 316.489 3.312 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccccc3C(C)(C)C)[C@@H]2C1 ZINC001084436819 1091491481 /nfs/dbraw/zinc/49/14/81/1091491481.db2.gz WRMHKYCDXDOMBS-CRAIPNDOSA-N 0 1 312.457 3.316 20 30 DGEDMN C=C(CN1CCCC1)c1nc(-c2ccc3c(c2)C(=O)CCC3)no1 ZINC001206100483 1091491873 /nfs/dbraw/zinc/49/18/73/1091491873.db2.gz QDJLEHFQLGRULU-UHFFFAOYSA-N 0 1 323.396 3.365 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(C)cc(Cl)c3)[C@@H]2C1 ZINC001084520401 1091498036 /nfs/dbraw/zinc/49/80/36/1091498036.db2.gz VPPVVYBKPFRHAG-RHSMWYFYSA-N 0 1 318.848 3.371 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C(=O)C=Cc1ccc(F)cc1 ZINC001295100045 1091503683 /nfs/dbraw/zinc/50/36/83/1091503683.db2.gz JSCCBEUPGIUEFL-DAXSKMNVSA-N 0 1 323.274 3.016 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2ccccc2C(F)F)C1=O ZINC001273222743 1091514896 /nfs/dbraw/zinc/51/48/96/1091514896.db2.gz XYVCECWTTFLWIX-GOSISDBHSA-N 0 1 320.383 3.377 20 30 DGEDMN N#Cc1ccc2c(c1)C[N@H+](Cc1ccc(-n3ccnc3)cc1)CC2 ZINC001139355846 1091531425 /nfs/dbraw/zinc/53/14/25/1091531425.db2.gz CEHVFRPSDONWRJ-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001084698007 1091541591 /nfs/dbraw/zinc/54/15/91/1091541591.db2.gz GHANNZVUNYCAOM-QXAKKESOSA-N 0 1 316.489 3.149 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3CCCCC34COC4)cc2)c1 ZINC001139407070 1091550420 /nfs/dbraw/zinc/55/04/20/1091550420.db2.gz OQUUWDBGCVEURK-UHFFFAOYSA-N 0 1 319.408 3.375 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)[C@@H]2C1 ZINC001084740001 1091550457 /nfs/dbraw/zinc/55/04/57/1091550457.db2.gz MDDVGBJWGDIZRK-BRSBDYLESA-N 0 1 304.478 3.168 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(C(C)C)oc3C)[C@@H]2C1 ZINC001084751684 1091553671 /nfs/dbraw/zinc/55/36/71/1091553671.db2.gz XAMZFACEOPWVCC-GDBMZVCRSA-N 0 1 302.418 3.044 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C(C)C)oc3C)[C@@H]2C1 ZINC001084751684 1091553681 /nfs/dbraw/zinc/55/36/81/1091553681.db2.gz XAMZFACEOPWVCC-GDBMZVCRSA-N 0 1 302.418 3.044 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCCc4ccccc43)[C@@H]2C1 ZINC001084789030 1091565697 /nfs/dbraw/zinc/56/56/97/1091565697.db2.gz OTNHKHBWQYTMGP-NLWGTHIKSA-N 0 1 324.468 3.215 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc4ccccc4c3)[C@@H]2C1 ZINC001084794217 1091568141 /nfs/dbraw/zinc/56/81/41/1091568141.db2.gz OZDYSKYKOSULNF-RTBURBONSA-N 0 1 306.409 3.172 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc(C(F)(F)F)c3)[C@@H]2C1 ZINC001084792020 1091570620 /nfs/dbraw/zinc/57/06/20/1091570620.db2.gz HXQSCGZOVVZJLE-UKRRQHHQSA-N 0 1 324.346 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(CC)CCCCC3)[C@@H]2C1 ZINC001084847428 1091590074 /nfs/dbraw/zinc/59/00/74/1091590074.db2.gz VKNZDVIGWOVRSR-IAGOWNOFSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(c4cccc(C)c4)CC3)[C@@H]2C1 ZINC001084853846 1091590926 /nfs/dbraw/zinc/59/09/26/1091590926.db2.gz MLZAPGQFADBKOL-IEBWSBKVSA-N 0 1 324.468 3.135 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3sccc3CC)[C@@H]2C1 ZINC001084848858 1091592629 /nfs/dbraw/zinc/59/26/29/1091592629.db2.gz BZHCVBPYJHZERH-HUUCEWRRSA-N 0 1 304.459 3.033 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001316658902 1091612565 /nfs/dbraw/zinc/61/25/65/1091612565.db2.gz UFZFWCGJJYLIKG-PPHUXIPZSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(CCCC)cc2)C1 ZINC001098931586 1091624678 /nfs/dbraw/zinc/62/46/78/1091624678.db2.gz YMJYRRRTBOXROG-FPOVZHCZSA-N 0 1 324.468 3.247 20 30 DGEDMN C=CCn1cc(CN2CCC[C@@H](Oc3ccccc3C)C2)cn1 ZINC001139770179 1091667098 /nfs/dbraw/zinc/66/70/98/1091667098.db2.gz GIYMWDMPVUGZNO-GOSISDBHSA-N 0 1 311.429 3.421 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001139933505 1091717737 /nfs/dbraw/zinc/71/77/37/1091717737.db2.gz DVVWXCZCAOFQKY-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN COc1ccc2n[nH]c(CN(C)Cc3cccc(C#N)c3)c2c1 ZINC001140071096 1091759640 /nfs/dbraw/zinc/75/96/40/1091759640.db2.gz WPNOIHLMMVGEJF-UHFFFAOYSA-N 0 1 306.369 3.075 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCc4c(O)cccc4C3)c2c1 ZINC001140109928 1091771417 /nfs/dbraw/zinc/77/14/17/1091771417.db2.gz ASQJOYRTFGHFKB-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN CN(Cc1c[nH]c2ccc(C#N)cc12)Cc1cccc2c1OCO2 ZINC001140116995 1091773227 /nfs/dbraw/zinc/77/32/27/1091773227.db2.gz KAVDUIXOQIHQRL-UHFFFAOYSA-N 0 1 319.364 3.400 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)C[C@@H]1c1ccccc1 ZINC001140137705 1091780455 /nfs/dbraw/zinc/78/04/55/1091780455.db2.gz UFLSAYOOUAKTGG-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](C)c2ccccc2F)C[C@H]1C ZINC001206912667 1091793446 /nfs/dbraw/zinc/79/34/46/1091793446.db2.gz WXFDRWLABIXNCO-RVKKMQEKSA-N 0 1 316.420 3.127 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(Cc2cccc(Cl)c2)C[C@H]1C ZINC001206912733 1091793616 /nfs/dbraw/zinc/79/36/16/1091793616.db2.gz ZZQSRXHIXCUUQT-RHSMWYFYSA-N 0 1 318.848 3.080 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC(=Nc2cccc(C#N)n2)CC1 ZINC001203024363 1091825160 /nfs/dbraw/zinc/82/51/60/1091825160.db2.gz AQBZAZURFKAZOO-UHFFFAOYSA-N 0 1 314.389 3.280 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1oc(CC)nc1C ZINC001154957920 1091842255 /nfs/dbraw/zinc/84/22/55/1091842255.db2.gz SEPRTVRSTDSMPC-CQSZACIVSA-N 0 1 321.465 3.228 20 30 DGEDMN N#Cc1cccc(N2CCN(Cc3ccc4c(c3)CCC4)CC2)c1 ZINC001203034828 1091859016 /nfs/dbraw/zinc/85/90/16/1091859016.db2.gz CLPUCOGXJVZKFX-UHFFFAOYSA-N 0 1 317.436 3.369 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C2CCC2)cc1 ZINC001085630249 1091862408 /nfs/dbraw/zinc/86/24/08/1091862408.db2.gz ORKXFYZYQOCBBU-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1C2CC3CC(C2)CC1C3 ZINC001085642935 1091866824 /nfs/dbraw/zinc/86/68/24/1091866824.db2.gz ZXOSQHWBGKWWCJ-OIAUPDTQSA-N 0 1 316.489 3.168 20 30 DGEDMN C=CCN(CCN1CCC(F)(F)CC1)C(=O)OC(C)(C)C ZINC001207198330 1091884343 /nfs/dbraw/zinc/88/43/43/1091884343.db2.gz BNCXEKOGJFFCIT-UHFFFAOYSA-N 0 1 304.381 3.141 20 30 DGEDMN C=CCN(CCN1CCc2ccccc2C1)C(=O)OC(C)(C)C ZINC001207198521 1091885103 /nfs/dbraw/zinc/88/51/03/1091885103.db2.gz FYAMNRGPVLMACN-UHFFFAOYSA-N 0 1 316.445 3.468 20 30 DGEDMN C=CCN(CCN(CC)Cc1ccncc1)C(=O)OC(C)(C)C ZINC001207200144 1091887298 /nfs/dbraw/zinc/88/72/98/1091887298.db2.gz ZNBHPKGQVIUJHU-UHFFFAOYSA-N 0 1 319.449 3.327 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2cc(C)ccc2F)C[C@H]1C ZINC001207215052 1091888323 /nfs/dbraw/zinc/88/83/23/1091888323.db2.gz ADEKHXNUGCXDQK-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001085750816 1091913015 /nfs/dbraw/zinc/91/30/15/1091913015.db2.gz PSGSHQFCHKCMGD-JTQLQIEISA-N 0 1 316.232 3.044 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C)c2ccccc12 ZINC001085843150 1091971587 /nfs/dbraw/zinc/97/15/87/1091971587.db2.gz FCFVRLZQBRGWQT-KRWDZBQOSA-N 0 1 320.436 3.318 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001085868303 1091989938 /nfs/dbraw/zinc/98/99/38/1091989938.db2.gz MKSKQXZXFBYDLL-GBESFXJTSA-N 0 1 312.457 3.207 20 30 DGEDMN Cc1cc(F)ccc1CN1CCN(c2cccc(C#N)c2)CC1 ZINC001140780602 1091997712 /nfs/dbraw/zinc/99/77/12/1091997712.db2.gz DVHBVGGQRXPRKY-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccn1C1CCCC1 ZINC001085895162 1092001500 /nfs/dbraw/zinc/00/15/00/1092001500.db2.gz VUTMYBQQKGSPEA-KRWDZBQOSA-N 0 1 315.461 3.326 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(OC(C)C)cc1 ZINC001085958032 1092047306 /nfs/dbraw/zinc/04/73/06/1092047306.db2.gz QUJODJLNNZSNTG-KRWDZBQOSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](NCc2oc(C(C)C)nc2C)C1 ZINC001207635770 1092055293 /nfs/dbraw/zinc/05/52/93/1092055293.db2.gz NEZOJTUXZKJYMM-OAHLLOKOSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001273382241 1092071252 /nfs/dbraw/zinc/07/12/52/1092071252.db2.gz NDJNVQJDPJIYKB-UHFFFAOYSA-N 0 1 323.868 3.183 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001085988563 1092072112 /nfs/dbraw/zinc/07/21/12/1092072112.db2.gz OYIUWCHMWFZOKV-QFBILLFUSA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001085988562 1092072537 /nfs/dbraw/zinc/07/25/37/1092072537.db2.gz OYIUWCHMWFZOKV-LPHOPBHVSA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c(CC)oc2ccccc21 ZINC001085989681 1092075198 /nfs/dbraw/zinc/07/51/98/1092075198.db2.gz WXHKQDTYNKSQCI-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1Cc2c1cccc2Cl ZINC001085995952 1092081937 /nfs/dbraw/zinc/08/19/37/1092081937.db2.gz ZCORAQJYNCZZBP-CZUORRHYSA-N 0 1 318.848 3.089 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccccc1CC(C)C ZINC001085994683 1092086244 /nfs/dbraw/zinc/08/62/44/1092086244.db2.gz ROHLSQGAMCNRFF-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001273399033 1092135434 /nfs/dbraw/zinc/13/54/34/1092135434.db2.gz GIAPHWZIUOZKHX-CQSZACIVSA-N 0 1 317.458 3.057 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001207914704 1092141423 /nfs/dbraw/zinc/14/14/23/1092141423.db2.gz NKIFLUCBXWNMML-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)C(C)C)C1 ZINC001108253458 1092159139 /nfs/dbraw/zinc/15/91/39/1092159139.db2.gz KINJHIYKNDWXGR-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1cccc(F)c1Cl)C1CC1 ZINC001276552433 1092175856 /nfs/dbraw/zinc/17/58/56/1092175856.db2.gz IKCCJJZYUCXGKF-HNNXBMFYSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)c1ccccc1F ZINC001491613629 1092189943 /nfs/dbraw/zinc/18/99/43/1092189943.db2.gz MUTUAWQNRBUOEC-AAEUAGOBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(OC2CCC2)cc1 ZINC001491684864 1092198618 /nfs/dbraw/zinc/19/86/18/1092198618.db2.gz QGOOJRKCMUWNAX-CYBMUJFWSA-N 0 1 322.836 3.078 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2oc(C)nc2C)CCCC1 ZINC001491699602 1092203191 /nfs/dbraw/zinc/20/31/91/1092203191.db2.gz SHDGVJPJHPDLGD-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001491748364 1092217902 /nfs/dbraw/zinc/21/79/02/1092217902.db2.gz OJBBWFXEAQRCBR-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCN(c3nccc(C)n3)CC2)CC1 ZINC001208179221 1092244307 /nfs/dbraw/zinc/24/43/07/1092244307.db2.gz SHNPPBKKRUBGRN-GOSISDBHSA-N 0 1 312.461 3.210 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2nc(C)oc2C)C1 ZINC001491882612 1092272156 /nfs/dbraw/zinc/27/21/56/1092272156.db2.gz OXQNWZDWDIBRBZ-CABCVRRESA-N 0 1 319.449 3.021 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2nc(C)oc2C)C1 ZINC001491882613 1092273263 /nfs/dbraw/zinc/27/32/63/1092273263.db2.gz OXQNWZDWDIBRBZ-GJZGRUSLSA-N 0 1 319.449 3.021 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CCCCCC)C1 ZINC001208294691 1092302248 /nfs/dbraw/zinc/30/22/48/1092302248.db2.gz NEERQBHSDMESNX-KZNAEPCWSA-N 0 1 306.494 3.443 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCCCN1Cc1ccccc1)C(C)(C)C ZINC001141644180 1092308093 /nfs/dbraw/zinc/30/80/93/1092308093.db2.gz KVRJEXUKJZMXRH-MSOLQXFVSA-N 0 1 312.457 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](CC)CC(F)F)C1 ZINC001208321796 1092314127 /nfs/dbraw/zinc/31/41/27/1092314127.db2.gz KRUKALCELMZKFW-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H](N(C)CCC(F)(F)F)C2)C1 ZINC001491946220 1092315206 /nfs/dbraw/zinc/31/52/06/1092315206.db2.gz AZCIAQBNKKXVET-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208393190 1092338612 /nfs/dbraw/zinc/33/86/12/1092338612.db2.gz KGZMOPGJIQXZFS-RVKKMQEKSA-N 0 1 318.436 3.365 20 30 DGEDMN CN(Cc1cc(Br)ccc1C#N)[C@H]1CC[C@H](O)CC1 ZINC001141897195 1092357216 /nfs/dbraw/zinc/35/72/16/1092357216.db2.gz JKUHCLDWZMQEPN-SHTZXODSSA-N 0 1 323.234 3.056 20 30 DGEDMN C=C1CCC(C(=O)NCC[C@@H](C)N[C@@H](C)c2ncc(C)o2)CC1 ZINC001492077526 1092373262 /nfs/dbraw/zinc/37/32/62/1092373262.db2.gz FCRRVGMBDFROJE-HIFRSBDPSA-N 0 1 319.449 3.275 20 30 DGEDMN C[C@H]1C[C@@H](NCc2ccccc2C#N)CN1C(=O)OC(C)(C)C ZINC001168810834 1092376369 /nfs/dbraw/zinc/37/63/69/1092376369.db2.gz JEGXSHOYFKYFQX-XJKSGUPXSA-N 0 1 315.417 3.046 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CC(C)(C)CC(F)F)C1 ZINC001208616767 1092431544 /nfs/dbraw/zinc/43/15/44/1092431544.db2.gz OVLCTPUKAFPMRO-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](CC)CCCCC)C1 ZINC001108414660 1092442364 /nfs/dbraw/zinc/44/23/64/1092442364.db2.gz QSZSNVJHRJFJBV-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN Cn1cc2c(cccc2CN2CCc3cc(C#N)ccc3C2)n1 ZINC001142444861 1092483749 /nfs/dbraw/zinc/48/37/49/1092483749.db2.gz DCVMVIDPBSVLBM-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2(F)CCCC2)C1 ZINC001317204489 1092527104 /nfs/dbraw/zinc/52/71/04/1092527104.db2.gz QCSSRYFOYOIAHC-ZIAGYGMSSA-N 0 1 316.848 3.238 20 30 DGEDMN CCOC(=O)c1ccc(CCCN2CC[C@](C)(C#N)C2)cc1 ZINC001208867737 1092553973 /nfs/dbraw/zinc/55/39/73/1092553973.db2.gz QZNOYWIHPXQDAD-GOSISDBHSA-N 0 1 300.402 3.031 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN(C[C@@H](C)C(F)(F)F)CCO2 ZINC001208891616 1092568382 /nfs/dbraw/zinc/56/83/82/1092568382.db2.gz LVQKXETZGBJVDZ-QLFBSQMISA-N 0 1 321.383 3.259 20 30 DGEDMN N#Cc1ccc2c(CN3CCOc4ccccc4C3)c[nH]c2c1 ZINC001142921919 1092587668 /nfs/dbraw/zinc/58/76/68/1092587668.db2.gz ZAHXSTGGOJPERR-UHFFFAOYSA-N 0 1 303.365 3.434 20 30 DGEDMN C=CCN(CCN(C)Cc1cccc(Cl)c1)C(=O)OCC ZINC001209020736 1092626255 /nfs/dbraw/zinc/62/62/55/1092626255.db2.gz BJEPCIORUUXXFT-UHFFFAOYSA-N 0 1 310.825 3.416 20 30 DGEDMN C=CCN(CCN1CCc2ccccc2[C@@H]1C)C(=O)OCC ZINC001209017032 1092626719 /nfs/dbraw/zinc/62/67/19/1092626719.db2.gz JBEPCSXJEJLNAD-HNNXBMFYSA-N 0 1 302.418 3.250 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001209183117 1092684040 /nfs/dbraw/zinc/68/40/40/1092684040.db2.gz LZONKGTZFGYZQK-FWRQMEPDSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCCCCCCCCN1CCN(CC(=O)NC(C)C)CC1 ZINC001209443687 1092776282 /nfs/dbraw/zinc/77/62/82/1092776282.db2.gz DVLMDRUEUMTGOC-UHFFFAOYSA-N 0 1 323.525 3.045 20 30 DGEDMN CC[C@H](CN(CCC#N)C1CCCC1)NC(=O)OC(C)(C)C ZINC001209459001 1092783104 /nfs/dbraw/zinc/78/31/04/1092783104.db2.gz SJLAJGFQAKTLPG-CQSZACIVSA-N 0 1 309.454 3.448 20 30 DGEDMN COc1cc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)ccn1 ZINC001209640843 1092859114 /nfs/dbraw/zinc/85/91/14/1092859114.db2.gz NGTWLMJJKYUOEO-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)ccn1 ZINC001209645056 1092860498 /nfs/dbraw/zinc/86/04/98/1092860498.db2.gz OGNPLQCPIUOSOK-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1[nH]c(NC(=O)c2cc3cc(Cl)c[nH]c-3n2)c(C#N)c1C ZINC001151297509 1092884319 /nfs/dbraw/zinc/88/43/19/1092884319.db2.gz ULXBMPMYUKSTNZ-UHFFFAOYSA-N 0 1 313.748 3.285 20 30 DGEDMN COc1ccc2oc(CN3CCC4SC(=O)C=C4C3)cc2c1 ZINC001209767702 1092911661 /nfs/dbraw/zinc/91/16/61/1092911661.db2.gz DJQXUGAFYUNIES-INIZCTEOSA-N 0 1 315.394 3.216 20 30 DGEDMN N#Cc1oc2ccccc2c1NC(=O)c1cc2cccnc2[nH]1 ZINC001151539596 1092919128 /nfs/dbraw/zinc/91/91/28/1092919128.db2.gz QBQCUQVHAKBCLK-UHFFFAOYSA-N 0 1 302.293 3.433 20 30 DGEDMN Nc1ccccc1C(=Nc1ccc(O)c(C(F)(F)F)c1)NO ZINC001209987501 1093006709 /nfs/dbraw/zinc/00/67/09/1093006709.db2.gz PQWDWPIHFFOWME-UHFFFAOYSA-N 0 1 311.263 3.050 20 30 DGEDMN COc1cccc(Nc2ccccc2N2CCN(C)CC2)c1C#N ZINC001210124067 1093052047 /nfs/dbraw/zinc/05/20/47/1093052047.db2.gz KYJRDXDWCJMXGB-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN N#Cc1cccc2c1c(N)nn2-c1cc(Cl)c(O)c(Cl)c1 ZINC001210927572 1093326641 /nfs/dbraw/zinc/32/66/41/1093326641.db2.gz WLUKOSMQYPPXOK-UHFFFAOYSA-N 0 1 319.151 3.492 20 30 DGEDMN Cc1cncc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1C ZINC001213530827 1093605072 /nfs/dbraw/zinc/60/50/72/1093605072.db2.gz NKXHIVRTMMTBPP-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](CCCCC)C(C)C)[C@H](OC)C1 ZINC001213689322 1093641065 /nfs/dbraw/zinc/64/10/65/1093641065.db2.gz FZJRCCTZQPSZND-RCCFBDPRSA-N 0 1 324.509 3.230 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](CCCCC)C(C)C)[C@H](OC)C1 ZINC001213689321 1093641241 /nfs/dbraw/zinc/64/12/41/1093641241.db2.gz FZJRCCTZQPSZND-KZNAEPCWSA-N 0 1 324.509 3.230 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001213895555 1093676221 /nfs/dbraw/zinc/67/62/21/1093676221.db2.gz HIDGRUXJBLFIGG-BRWVUGGUSA-N 0 1 312.498 3.208 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001214306273 1093753974 /nfs/dbraw/zinc/75/39/74/1093753974.db2.gz YSANBHFKGMJOOU-DVOMOZLQSA-N 0 1 322.399 3.429 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3[nH]nc(C4CC4)c3F)n2)c1 ZINC001214932454 1093881187 /nfs/dbraw/zinc/88/11/87/1093881187.db2.gz CVILYODWWWMCMJ-UHFFFAOYSA-N 0 1 313.267 3.154 20 30 DGEDMN N#Cc1ccnnc1Nc1cccc(CN2CCCCC2)c1F ZINC001215675963 1094029963 /nfs/dbraw/zinc/02/99/63/1094029963.db2.gz YFZXSTAEHIHCGM-UHFFFAOYSA-N 0 1 311.364 3.217 20 30 DGEDMN CCS(=O)(=O)c1ccc(O)c(Nc2cccc(C#N)c2C)c1 ZINC001216176419 1094138567 /nfs/dbraw/zinc/13/85/67/1094138567.db2.gz PNNBAPIXBILJMK-UHFFFAOYSA-N 0 1 316.382 3.110 20 30 DGEDMN N#Cc1cc(Nc2cnc(Br)s2)c(O)cc1F ZINC001216268893 1094162270 /nfs/dbraw/zinc/16/22/70/1094162270.db2.gz LGSNTRZJUSOIPC-UHFFFAOYSA-N 0 1 314.139 3.366 20 30 DGEDMN CC(C)(C)n1ncc(C#N)c1Nc1cncn1Cc1ccccc1 ZINC001216550086 1094217043 /nfs/dbraw/zinc/21/70/43/1094217043.db2.gz JYQRVGIJMLJYSI-UHFFFAOYSA-N 0 1 320.400 3.498 20 30 DGEDMN COc1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c(F)c1 ZINC001216969672 1094285895 /nfs/dbraw/zinc/28/58/95/1094285895.db2.gz LWJQHGBQFNWZII-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN CC(C)CCCCCC(=O)NCCNCc1ccccc1C#N ZINC001134789032 1094288445 /nfs/dbraw/zinc/28/84/45/1094288445.db2.gz PIEJKTGNGHWJKA-UHFFFAOYSA-N 0 1 315.461 3.371 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1coc(C2CC2)n1 ZINC001135236769 1094454921 /nfs/dbraw/zinc/45/49/21/1094454921.db2.gz WNHJDSMWMXJYFL-OLZOCXBDSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2ncc(C)o2)CC1 ZINC001135382587 1094509986 /nfs/dbraw/zinc/50/99/86/1094509986.db2.gz JZVIIHOCDAFJMD-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@H](C)NCc2ncc(C)o2)CC1 ZINC001135382586 1094510213 /nfs/dbraw/zinc/51/02/13/1094510213.db2.gz JZVIIHOCDAFJMD-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC1(C(=O)N[C@H](C)C[C@H](C)NCc2coc(C)n2)CC1 ZINC001135383794 1094511504 /nfs/dbraw/zinc/51/15/04/1094511504.db2.gz WFUPOQCHMLJKTP-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C[C@H](C)NCc2nc(C)c(C)o2)C1 ZINC001135419753 1094530270 /nfs/dbraw/zinc/53/02/70/1094530270.db2.gz QCIXAYUZORQBJQ-QWHCGFSZSA-N 0 1 319.449 3.021 20 30 DGEDMN CC(C)c1cc(Br)ccc1O[C@H]1CNC[C@@H]1C#N ZINC001218199968 1094655042 /nfs/dbraw/zinc/65/50/42/1094655042.db2.gz IMGQENBOBFKBMF-HZMBPMFUSA-N 0 1 309.207 3.063 20 30 DGEDMN CC(C)(C)c1ccc(O[C@H]2CNC[C@H]2C#N)c(Br)c1 ZINC001218200495 1094655558 /nfs/dbraw/zinc/65/55/58/1094655558.db2.gz MJJJCJBFKQHIOU-YGRLFVJLSA-N 0 1 323.234 3.237 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1cccc2cc(Br)ccc21 ZINC001218202387 1094656447 /nfs/dbraw/zinc/65/64/47/1094656447.db2.gz LAMIWWKOUVHDQX-IAQYHMDHSA-N 0 1 317.186 3.093 20 30 DGEDMN CC(C)(C)c1cc(O)c(O[C@@H]2CNC[C@@H]2C#N)c(C(C)(C)C)c1 ZINC001218201795 1094656674 /nfs/dbraw/zinc/65/66/74/1094656674.db2.gz VKZAGMUZDTUUHF-BLLLJJGKSA-N 0 1 316.445 3.478 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(Br)c2ccccc12 ZINC001218201850 1094656781 /nfs/dbraw/zinc/65/67/81/1094656781.db2.gz VVMOFKFRAUNTBX-ZUZCIYMTSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1cc(Br)c2ccccc2c1 ZINC001218202643 1094657076 /nfs/dbraw/zinc/65/70/76/1094657076.db2.gz NGHHAHVOGBHPRP-IAQYHMDHSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1cc(O)cc(/C=C/c2ccccc2)c1 ZINC001218204134 1094658772 /nfs/dbraw/zinc/65/87/72/1094658772.db2.gz VBTHSZHVQKYRQM-NHBNWDHASA-N 0 1 306.365 3.053 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1cc2ccccc2cc1Br ZINC001218203838 1094658817 /nfs/dbraw/zinc/65/88/17/1094658817.db2.gz SLYBDZCQEQAKHA-WFASDCNBSA-N 0 1 317.186 3.093 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@H](CCC)C1 ZINC001121509344 1094701574 /nfs/dbraw/zinc/70/15/74/1094701574.db2.gz HFUMVCMOOHDKMM-AWEZNQCLSA-N 0 1 314.437 3.179 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135858927 1094720454 /nfs/dbraw/zinc/72/04/54/1094720454.db2.gz GSANCNQPAMKKBR-GJZGRUSLSA-N 0 1 320.864 3.080 20 30 DGEDMN CC(C)C[C@@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135872159 1094723755 /nfs/dbraw/zinc/72/37/55/1094723755.db2.gz CLMIPQRYRVWPDH-OAHLLOKOSA-N 0 1 320.864 3.080 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)CC3=CCCCC3)n2)c1 ZINC001649146314 1094748634 /nfs/dbraw/zinc/74/86/34/1094748634.db2.gz JJMXEDUGLAJOGA-UHFFFAOYSA-N 0 1 307.357 3.172 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001336888579 1094772528 /nfs/dbraw/zinc/77/25/28/1094772528.db2.gz KGDRWNDHCTVJDA-UHFFFAOYSA-N 0 1 324.428 3.468 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@H](C)CCCCC)[C@H]2C1 ZINC001218737317 1094857683 /nfs/dbraw/zinc/85/76/83/1094857683.db2.gz MABLESVHUSWNCW-FGTMMUONSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC001122018164 1094869747 /nfs/dbraw/zinc/86/97/47/1094869747.db2.gz GATUYUDOTMYWSR-BBRMVZONSA-N 0 1 306.837 3.415 20 30 DGEDMN C#CC[C@H](CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001111515158 1094916939 /nfs/dbraw/zinc/91/69/39/1094916939.db2.gz MSJSFSICVCPVBL-WTGUMLROSA-N 0 1 322.452 3.091 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1n[nH]c(C(F)(F)F)c1C ZINC001337276396 1094917329 /nfs/dbraw/zinc/91/73/29/1094917329.db2.gz ZWNXOSAFEHDNHD-UHFFFAOYSA-N 0 1 321.302 3.013 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCc1ccc(C)s1)C2 ZINC001111524935 1094917375 /nfs/dbraw/zinc/91/73/75/1094917375.db2.gz HIIRXOUKHSUIHS-OIISXLGYSA-N 0 1 318.486 3.287 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@H]1CCC=CCCC1 ZINC001122448370 1094978147 /nfs/dbraw/zinc/97/81/47/1094978147.db2.gz LKWXZFOWHSUVJZ-GOEBONIOSA-N 0 1 302.418 3.281 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@@H]1CCC=CCCC1 ZINC001122448369 1094978838 /nfs/dbraw/zinc/97/88/38/1094978838.db2.gz LKWXZFOWHSUVJZ-GDBMZVCRSA-N 0 1 302.418 3.281 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC[C@@H](F)CC)C1 ZINC001112156762 1095038791 /nfs/dbraw/zinc/03/87/91/1095038791.db2.gz CVVCUYXIIOMODQ-YNEHKIRRSA-N 0 1 324.362 3.070 20 30 DGEDMN N#CC(C(=O)CCn1ccc2ccccc21)c1cccc(F)n1 ZINC001122712275 1095041291 /nfs/dbraw/zinc/04/12/91/1095041291.db2.gz LJYRHTCLOVGFON-AWEZNQCLSA-N 0 1 307.328 3.442 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@@H]1C[C@H]1C1CC1)c1ccccc1 ZINC001122882240 1095089339 /nfs/dbraw/zinc/08/93/39/1095089339.db2.gz BZSOCORICGAGNK-FJIDUMEYSA-N 0 1 324.424 3.399 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCC[C@H](C)CCC)CC1 ZINC001160227535 1095131532 /nfs/dbraw/zinc/13/15/32/1095131532.db2.gz FYEPEOCKXQQGCP-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CC[N@@H+]1CC=C(CCNC(=O)CCC[C@H](C)CCC)CC1 ZINC001160227535 1095131525 /nfs/dbraw/zinc/13/15/25/1095131525.db2.gz FYEPEOCKXQQGCP-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@H](N)c1ccccc1 ZINC001220663413 1095134677 /nfs/dbraw/zinc/13/46/77/1095134677.db2.gz DQMNLSOVRSVTAB-RDTXWAMCSA-N 0 1 310.397 3.129 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(C)C1CCCCC1 ZINC001115123145 1095137189 /nfs/dbraw/zinc/13/71/89/1095137189.db2.gz KPLBGVMTHUKWEO-DZFIZOCASA-N 0 1 316.489 3.053 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CCc2c1cccc2Cl ZINC001123233658 1095195030 /nfs/dbraw/zinc/19/50/30/1095195030.db2.gz MLQQDZQSCIMIMO-KGLIPLIRSA-N 0 1 313.788 3.463 20 30 DGEDMN C#CCN1CCC(OC(=O)C[C@@H](C)c2c[nH]c3ccccc32)CC1 ZINC001123349142 1095253082 /nfs/dbraw/zinc/25/30/82/1095253082.db2.gz PYHHUEDQITZYON-OAHLLOKOSA-N 0 1 324.424 3.302 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCC[C@@H](C)CC)[C@@H]2C1 ZINC001221574907 1095304584 /nfs/dbraw/zinc/30/45/84/1095304584.db2.gz PPPKKSNNKCJAHZ-RCCFBDPRSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)[C@H](C(=O)C(C#N)c1ncc(F)cc1F)c1cccnc1 ZINC001123475965 1095313060 /nfs/dbraw/zinc/31/30/60/1095313060.db2.gz IEAIYBJAOINTND-HIFRSBDPSA-N 0 1 315.323 3.371 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1ncccc1C ZINC001276825116 1095350563 /nfs/dbraw/zinc/35/05/63/1095350563.db2.gz XVMKGDJSJVQTDZ-MRXNPFEDSA-N 0 1 303.450 3.165 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](CC)c3ccccc3)[C@@H]2C1 ZINC001221942284 1095395787 /nfs/dbraw/zinc/39/57/87/1095395787.db2.gz GJXMFTUKGQHGQD-QXAKKESOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CC[C@@H](C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21)c1ccccc1 ZINC001222037017 1095414779 /nfs/dbraw/zinc/41/47/79/1095414779.db2.gz NMJKJGRTXONEMC-GUDVDZBRSA-N 0 1 310.441 3.065 20 30 DGEDMN N#CC1(c2ccccc2-c2cc(F)c([O-])cc2F)CC[NH2+]CC1 ZINC001222082823 1095427087 /nfs/dbraw/zinc/42/70/87/1095427087.db2.gz IRDVJEPUROIUFN-UHFFFAOYSA-N 0 1 314.335 3.482 20 30 DGEDMN N#C[C@@H]1CN(Cc2cc(Cl)cc(C(F)(F)F)c2)CCC1=O ZINC001143990012 1095466302 /nfs/dbraw/zinc/46/63/02/1095466302.db2.gz CPBZDLVYLRQQEH-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN N#Cc1cncc(CN2CCC(n3cnc4ccccc43)CC2)c1 ZINC001144085826 1095497910 /nfs/dbraw/zinc/49/79/10/1095497910.db2.gz IHVKOCDIDJLEOO-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN COc1ccccc1C1CCN(Cc2ccc(C#N)cn2)CC1 ZINC001144162975 1095541650 /nfs/dbraw/zinc/54/16/50/1095541650.db2.gz GLNDWZVEWIDOLJ-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=CCCO[C@H](C)C(=O)NCCN[C@H](C)c1ccccc1Cl ZINC001124495178 1095598422 /nfs/dbraw/zinc/59/84/22/1095598422.db2.gz NHVCNFOIHOJYHD-ZIAGYGMSSA-N 0 1 324.852 3.088 20 30 DGEDMN C=CCCC(=O)NCCNCc1c(Cl)oc2ccccc21 ZINC001124640801 1095613710 /nfs/dbraw/zinc/61/37/10/1095613710.db2.gz PYKNLTBNEQXZKK-UHFFFAOYSA-N 0 1 306.793 3.258 20 30 DGEDMN C=C[C@H](COC)N[C@@H](C)c1ccc(N2CCOCC2)cc1Cl ZINC001116621595 1095618984 /nfs/dbraw/zinc/61/89/84/1095618984.db2.gz YDASIOONSPCEDN-UONOGXRCSA-N 0 1 324.852 3.028 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC001116643369 1095624283 /nfs/dbraw/zinc/62/42/83/1095624283.db2.gz OWVFVCFFNFZLQA-CYBMUJFWSA-N 0 1 302.330 3.145 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC001116643371 1095624749 /nfs/dbraw/zinc/62/47/49/1095624749.db2.gz OWVFVCFFNFZLQA-ZDUSSCGKSA-N 0 1 302.330 3.145 20 30 DGEDMN N=C(Nc1ccc2c(N)noc2c1)c1ccc2cc(O)ccc2c1 ZINC001171181960 1095654773 /nfs/dbraw/zinc/65/47/73/1095654773.db2.gz GCYWINAYPNQSNE-UHFFFAOYSA-N 0 1 318.336 3.306 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1ccnc(C)n1 ZINC001116777467 1095655971 /nfs/dbraw/zinc/65/59/71/1095655971.db2.gz QBQORNLAVKJOSN-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN C=CCCC(=O)NCC1CCN(Cc2ccc(F)c(F)c2)CC1 ZINC001222578865 1095674509 /nfs/dbraw/zinc/67/45/09/1095674509.db2.gz HXCRLNUVPUZLIA-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1cccc(C)c1F)C2 ZINC001137676140 1095707543 /nfs/dbraw/zinc/70/75/43/1095707543.db2.gz KUFJGLRWGCXRNN-MJGOQNOKSA-N 0 1 319.420 3.460 20 30 DGEDMN COc1ccc(CN2CCC[C@@H]2Cc2ccccn2)c(C#N)c1 ZINC001137703925 1095718721 /nfs/dbraw/zinc/71/87/21/1095718721.db2.gz ZDLGPKDJGKPGFR-GOSISDBHSA-N 0 1 307.397 3.169 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)CCCC[C@@H](C)CC)C[C@@H]21 ZINC001222762587 1095745604 /nfs/dbraw/zinc/74/56/04/1095745604.db2.gz CPUSUJZFCNEHNG-KSZLIROESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001222765815 1095745791 /nfs/dbraw/zinc/74/57/91/1095745791.db2.gz KTNRPMYFNWJDDZ-LLVKDONJSA-N 0 1 318.369 3.378 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc2cncn2c1C)c1ccc(Cl)cc1 ZINC001117719189 1095813782 /nfs/dbraw/zinc/81/37/82/1095813782.db2.gz NSLKHENQZWESPA-QGZVFWFLSA-N 0 1 323.783 3.400 20 30 DGEDMN C=C(C)[C@H](CC(=O)NC[C@H]1CCN1CC1=CCCCC1)OCC ZINC001276860653 1095849985 /nfs/dbraw/zinc/84/99/85/1095849985.db2.gz KNWUZMCJHLDEHU-MSOLQXFVSA-N 0 1 320.477 3.049 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2cccc(C#N)n2)C1 ZINC001223061744 1095850382 /nfs/dbraw/zinc/85/03/82/1095850382.db2.gz PDOMOULVXOYLHR-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN CCOC(=O)[C@H](OC1=C(C)O[C@H](CC)C1=O)c1ccc(F)cc1 ZINC001223098430 1095868525 /nfs/dbraw/zinc/86/85/25/1095868525.db2.gz YQFNRUDZYPZZMN-CZUORRHYSA-N 0 1 322.332 3.056 20 30 DGEDMN Cc1csc2ccc(NC(=N)c3ccc(C(N)=O)cc3)cc12 ZINC001171257259 1095948427 /nfs/dbraw/zinc/94/84/27/1095948427.db2.gz WNSJJNNAOKXDIU-UHFFFAOYSA-N 0 1 309.394 3.346 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2cc(C#N)ccn2)C1 ZINC001223370164 1095952957 /nfs/dbraw/zinc/95/29/57/1095952957.db2.gz NXNPZEIBBYKFQH-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN C#C[C@@H](CC)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223403468 1095959885 /nfs/dbraw/zinc/95/98/85/1095959885.db2.gz MJJUJFOLVFJEKV-VFZGTOFNSA-N 0 1 324.739 3.097 20 30 DGEDMN Cc1cncc(NC2(C#N)CCN(Cc3ccccc3)CC2)c1 ZINC001171277380 1096031299 /nfs/dbraw/zinc/03/12/99/1096031299.db2.gz RIDMAXLTTQLPPM-UHFFFAOYSA-N 0 1 306.413 3.360 20 30 DGEDMN CC#CCCCC(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001160954423 1096031608 /nfs/dbraw/zinc/03/16/08/1096031608.db2.gz SYLYOSRXWKYEOP-IZZDOVSWSA-N 0 1 308.853 3.071 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1O[C@H](C)c1ccc(C(=O)OC)cc1 ZINC001223750854 1096053284 /nfs/dbraw/zinc/05/32/84/1096053284.db2.gz BBFOFQSCYVIOKK-YGRLFVJLSA-N 0 1 304.342 3.160 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CCCCC)C(C)C)C[C@@H]21 ZINC001223865989 1096082471 /nfs/dbraw/zinc/08/24/71/1096082471.db2.gz WPWRKGOEEVNTSR-QRVBRYPASA-N 0 1 318.505 3.395 20 30 DGEDMN COc1ccc([C@H](C)O/C(=N\O)c2cc(F)ccc2F)cn1 ZINC001223890352 1096086652 /nfs/dbraw/zinc/08/66/52/1096086652.db2.gz RAJMTQJWEMQLLM-XBYRPHLOSA-N 0 1 308.284 3.282 20 30 DGEDMN CN1[C@@H]2C[C@@H](OCc3ccc(C#N)c4ccccc34)[C@H]1CC(=O)C2 ZINC001224029234 1096119409 /nfs/dbraw/zinc/11/94/09/1096119409.db2.gz QHXGBPOHAHGEFT-CWFSZBLJSA-N 0 1 320.392 3.032 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@]1(C)CCCc2ccccc21 ZINC001276941258 1096121597 /nfs/dbraw/zinc/12/15/97/1096121597.db2.gz ODSLBGCDEXREMJ-YLJYHZDGSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CCN(Cc2ccns2)CC1 ZINC001224198289 1096159701 /nfs/dbraw/zinc/15/97/01/1096159701.db2.gz NRXZRHDCVMGYFX-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C[C@H](c1ccccc1)N(C(=O)c1cnc[nH]1)C1=CC(=O)CCC1 ZINC001147253230 1096187545 /nfs/dbraw/zinc/18/75/45/1096187545.db2.gz WSFQUZATALMWGD-CYBMUJFWSA-N 0 1 309.369 3.250 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224386823 1096200503 /nfs/dbraw/zinc/20/05/03/1096200503.db2.gz VHCWMSPRFIDQLZ-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCCCCO[C@@H]1C[N@H+]2C[C@H]1N(C(=O)OC(C)(C)C)CC2 ZINC001224428255 1096210572 /nfs/dbraw/zinc/21/05/72/1096210572.db2.gz STCPNXFVCMFTIB-HZPDHXFCSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CCCCCCO[C@@H]1CN2C[C@H]1N(C(=O)OC(C)(C)C)CC2 ZINC001224428255 1096210579 /nfs/dbraw/zinc/21/05/79/1096210579.db2.gz STCPNXFVCMFTIB-HZPDHXFCSA-N 0 1 324.465 3.053 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](OCCc2ccc(C#N)cc2)C1 ZINC001224656431 1096258738 /nfs/dbraw/zinc/25/87/38/1096258738.db2.gz WRMIZDHSGDCLSL-VQIMIIECSA-N 0 1 321.424 3.348 20 30 DGEDMN N#Cc1ccc(CCO[C@H]2CCN3Cc4ccccc4N=C23)cc1 ZINC001224655850 1096258812 /nfs/dbraw/zinc/25/88/12/1096258812.db2.gz PMIFBZVRNWBBRX-IBGZPJMESA-N 0 1 317.392 3.435 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001147796071 1096274837 /nfs/dbraw/zinc/27/48/37/1096274837.db2.gz CDLDPUNMXLRXPO-UHFFFAOYSA-N 0 1 315.244 3.364 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C(C)(C)c1ccccc1Cl ZINC001148403982 1096364719 /nfs/dbraw/zinc/36/47/19/1096364719.db2.gz UTFZXTOQNLHPLJ-UHFFFAOYSA-N 0 1 315.244 3.076 20 30 DGEDMN C[C@@H](C#N)c1cccc(C(=O)Nc2ccccc2-c2nnc[nH]2)c1 ZINC001148616966 1096412551 /nfs/dbraw/zinc/41/25/51/1096412551.db2.gz BXBGFLJFNMWAJG-LBPRGKRZSA-N 0 1 317.352 3.351 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)CCCCCCCCC)C2)C1 ZINC001148657549 1096422531 /nfs/dbraw/zinc/42/25/31/1096422531.db2.gz WXRQSQXYDNLPMM-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Br)c(OC)c1 ZINC001225423156 1096426654 /nfs/dbraw/zinc/42/66/54/1096426654.db2.gz GDXTXODAIBJIGE-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1cccnc1OC ZINC001148727672 1096431209 /nfs/dbraw/zinc/43/12/09/1096431209.db2.gz FMLVQSBJESCWOR-UHFFFAOYSA-N 0 1 321.465 3.047 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cc(C)no1 ZINC001148820749 1096455053 /nfs/dbraw/zinc/45/50/53/1096455053.db2.gz OSPWRNYSKVJZAJ-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@@H](F)CC)C2)CC1 ZINC001086936863 1096513654 /nfs/dbraw/zinc/51/36/54/1096513654.db2.gz AIUVRGFZUSKQFM-KBPBESRZSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@H](F)CC)C2)CC1 ZINC001086936865 1096513685 /nfs/dbraw/zinc/51/36/85/1096513685.db2.gz AIUVRGFZUSKQFM-UONOGXRCSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C[C@H]2C)CCN(CCF)CC3)CC1 ZINC001086941574 1096516099 /nfs/dbraw/zinc/51/60/99/1096516099.db2.gz XWHQGHQEGOLDGM-MRXNPFEDSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001110135370 1096627163 /nfs/dbraw/zinc/62/71/63/1096627163.db2.gz ZIEMEXYEGCUOFD-RNQOJCNYSA-N 0 1 322.452 3.091 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccccc2CC)[C@H](C)C1 ZINC001092918923 1096638308 /nfs/dbraw/zinc/63/83/08/1096638308.db2.gz ROQAPXSUOBXCOO-CZUORRHYSA-N 0 1 320.864 3.299 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)cc(Cl)c2)CC1 ZINC001277113029 1096648710 /nfs/dbraw/zinc/64/87/10/1096648710.db2.gz DGEGONKRMPZFEW-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN N#Cc1ccccc1C[N@H+]1CC[C@H](Oc2ccc3cnoc3c2)C1 ZINC001226449267 1096691974 /nfs/dbraw/zinc/69/19/74/1096691974.db2.gz PDMJOKAMZUHAIT-SFHVURJKSA-N 0 1 319.364 3.353 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](Oc2ccc3cnoc3c2)C1 ZINC001226449267 1096691977 /nfs/dbraw/zinc/69/19/77/1096691977.db2.gz PDMJOKAMZUHAIT-SFHVURJKSA-N 0 1 319.364 3.353 20 30 DGEDMN C=C[C@H](CCCC)Oc1ncnc2[nH]nc(Br)c21 ZINC001226669272 1096748101 /nfs/dbraw/zinc/74/81/01/1096748101.db2.gz MZDVWBAKVLSDFM-MRVPVSSYSA-N 0 1 311.183 3.239 20 30 DGEDMN C=C[C@@H](CCCC)Oc1ncnc2[nH]nc(Br)c21 ZINC001226669275 1096748245 /nfs/dbraw/zinc/74/82/45/1096748245.db2.gz MZDVWBAKVLSDFM-QMMMGPOBSA-N 0 1 311.183 3.239 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3cccs3)CCC[C@@H]12 ZINC001094354237 1096800944 /nfs/dbraw/zinc/80/09/44/1096800944.db2.gz VTVALVFFSREQER-GDBMZVCRSA-N 0 1 324.877 3.475 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H]3CC3(C)C)CCC[C@@H]12 ZINC001094392156 1096811316 /nfs/dbraw/zinc/81/13/16/1096811316.db2.gz ZPKQHQSFNNRCCX-CKEIUWERSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3cocc3C)CCC[C@@H]12 ZINC001094488176 1096832876 /nfs/dbraw/zinc/83/28/76/1096832876.db2.gz QNOKOGMGCKRIOE-NVXWUHKLSA-N 0 1 322.836 3.315 20 30 DGEDMN C=CC[C@H](Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21)C(C)C ZINC001227097260 1096867550 /nfs/dbraw/zinc/86/75/50/1096867550.db2.gz YYDWAHSUVLCJTQ-ZDUSSCGKSA-N 0 1 303.318 3.223 20 30 DGEDMN C=C[C@]1(C)CC[C@H](Oc2nc(=O)[nH]c(C)c2Cl)C(C)(C)O1 ZINC001227111766 1096871394 /nfs/dbraw/zinc/87/13/94/1096871394.db2.gz QNGBODRYIIPIHS-ZUZCIYMTSA-N 0 1 312.797 3.425 20 30 DGEDMN N#Cc1ccc(OCCNc2cc(OC(F)F)ccn2)cc1 ZINC001171484866 1096894996 /nfs/dbraw/zinc/89/49/96/1096894996.db2.gz UOWOVERFIOVZPE-UHFFFAOYSA-N 0 1 305.284 3.046 20 30 DGEDMN C[C@@H](COc1nc2ccc(C#N)cc2[nH]1)OCc1ccccc1 ZINC001227215932 1096896457 /nfs/dbraw/zinc/89/64/57/1096896457.db2.gz LWXVUELGJCFRPZ-ZDUSSCGKSA-N 0 1 307.353 3.419 20 30 DGEDMN N#Cc1ccc2nc(OC[C@@H]3CCc4ccccc4O3)[nH]c2c1 ZINC001227215349 1096896937 /nfs/dbraw/zinc/89/69/37/1096896937.db2.gz AFSXUIBRIVIKKI-AWEZNQCLSA-N 0 1 305.337 3.207 20 30 DGEDMN CC1(C)CC(Oc2nc3ccc(C#N)cc3[nH]2)CC(C)(C)N1O ZINC001227216900 1096898195 /nfs/dbraw/zinc/89/81/95/1096898195.db2.gz XWUPDAYLHVAGLD-UHFFFAOYSA-N 0 1 314.389 3.224 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(=O)O[C@H](C)CC)cc1 ZINC001227412978 1096926620 /nfs/dbraw/zinc/92/66/20/1096926620.db2.gz INKJBTGABKUNGA-MNOVXSKESA-N 0 1 304.342 3.240 20 30 DGEDMN C=C(C)CCC(=O)NC1CCN(Cc2ccc(C)nc2C)CC1 ZINC001227588802 1096956813 /nfs/dbraw/zinc/95/68/13/1096956813.db2.gz XCNUQNUBBFZHAQ-UHFFFAOYSA-N 0 1 315.461 3.135 20 30 DGEDMN CCCCCCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1nccn1C ZINC001277226343 1096962884 /nfs/dbraw/zinc/96/28/84/1096962884.db2.gz VYLBIFRUGZZWGK-QAPCUYQASA-N 0 1 318.465 3.184 20 30 DGEDMN CCCCCCCCN1CC[C@@H]2C[C@@]21C(=O)NCC(F)F ZINC001277230856 1096967572 /nfs/dbraw/zinc/96/75/72/1096967572.db2.gz NAYJTRLROUKSNM-CJNGLKHVSA-N 0 1 302.409 3.193 20 30 DGEDMN CCCCCCCCC(=O)NCCCN[C@H](C)c1ncccn1 ZINC001171818262 1096974220 /nfs/dbraw/zinc/97/42/20/1096974220.db2.gz DFJLZBHYVOGCBE-MRXNPFEDSA-N 0 1 320.481 3.384 20 30 DGEDMN N#C[C@H](Oc1[nH]c(=O)nc2sccc21)c1cccc(F)c1 ZINC001227845073 1096999010 /nfs/dbraw/zinc/99/90/10/1096999010.db2.gz GVZXDCAWDBKVHZ-NSHDSACASA-N 0 1 301.302 3.180 20 30 DGEDMN C=CC[C@@H](C)Oc1[nH]c(=O)nc2cc(Br)ccc21 ZINC001228062656 1097042725 /nfs/dbraw/zinc/04/27/25/1097042725.db2.gz RKCGZOHYCVYGLG-MRVPVSSYSA-N 0 1 309.163 3.441 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CCC[C@H]1C[C@@H](N1CC[C@H](C#N)C1)C2 ZINC001172101440 1097051816 /nfs/dbraw/zinc/05/18/16/1097051816.db2.gz GTLXFTMFCQNYAB-WCVJEAGWSA-N 0 1 319.449 3.152 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228270113 1097085389 /nfs/dbraw/zinc/08/53/89/1097085389.db2.gz MWUAJEVKBSORQS-ZIAGYGMSSA-N 0 1 307.463 3.016 20 30 DGEDMN Cc1ccc2c(c1)[C@H](N[C@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172290873 1097105777 /nfs/dbraw/zinc/10/57/77/1097105777.db2.gz UATBAGZDUPXUSB-ACJLOTCBSA-N 0 1 305.381 3.081 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228412734 1097116000 /nfs/dbraw/zinc/11/60/00/1097116000.db2.gz COLAVJROXHUTLZ-IIDMSEBBSA-N 0 1 319.449 3.102 20 30 DGEDMN CCCCCCC[C@H](CC)N1CCc2c(nnn2C)[C@H]1COC ZINC001172353629 1097123462 /nfs/dbraw/zinc/12/34/62/1097123462.db2.gz AGFQQTAHACKJBD-DOTOQJQBSA-N 0 1 322.497 3.500 20 30 DGEDMN C=C[C@]1(C)CC[C@H](C(C)(C)Oc2nc(Cl)nc3nc[nH]c32)O1 ZINC001228510742 1097133581 /nfs/dbraw/zinc/13/35/81/1097133581.db2.gz WTPRLRNDTPMHHL-RFAUZJTJSA-N 0 1 322.796 3.287 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001172498311 1097171294 /nfs/dbraw/zinc/17/12/94/1097171294.db2.gz LJFSRPURXWZBKM-CQSZACIVSA-N 0 1 319.836 3.180 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228749925 1097182235 /nfs/dbraw/zinc/18/22/35/1097182235.db2.gz VQJNLQVTVNMKLK-CABCVRRESA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001228787051 1097190338 /nfs/dbraw/zinc/19/03/38/1097190338.db2.gz VHCRIMLXQXDXCC-HNNXBMFYSA-N 0 1 315.461 3.073 20 30 DGEDMN CCC(C)(C)C(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001228935626 1097220707 /nfs/dbraw/zinc/22/07/07/1097220707.db2.gz VTIXEMKICDFTIN-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN COc1ccc2c(c1)nc(=O)[nH]c2O[C@H](C)c1ccccc1C#N ZINC001229139956 1097263273 /nfs/dbraw/zinc/26/32/73/1097263273.db2.gz PIQMDFXIMKXULM-LLVKDONJSA-N 0 1 321.336 3.356 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](CC2CCCC2)C(C)C)CC1 ZINC001229427174 1097319086 /nfs/dbraw/zinc/31/90/86/1097319086.db2.gz XKXXTCIALFLGEE-LJQANCHMSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@H](CC2CCCC2)C(C)C)CC1 ZINC001229427173 1097319291 /nfs/dbraw/zinc/31/92/91/1097319291.db2.gz XKXXTCIALFLGEE-IBGZPJMESA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC(N(C)CC(=C)Cl)CC1 ZINC001277403054 1097353280 /nfs/dbraw/zinc/35/32/80/1097353280.db2.gz FIQRBDKOGHJWHY-UHFFFAOYSA-N 0 1 310.869 3.430 20 30 DGEDMN C#CC[C@@H](C)Oc1nc2ccc(NCc3ccc(F)cc3)nc2[nH]1 ZINC001229677881 1097362870 /nfs/dbraw/zinc/36/28/70/1097362870.db2.gz ZVRZUXHAIUOSCJ-GFCCVEGCSA-N 0 1 324.359 3.500 20 30 DGEDMN CN1CCN(c2cccc(Nc3cccc(C#N)c3F)c2)CC1 ZINC001212713991 1097373714 /nfs/dbraw/zinc/37/37/14/1097373714.db2.gz YKUZTBWGIXOORT-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN CCOC(=O)C[C@@H](Oc1ccccc1/C(C)=N/O)C(F)(F)F ZINC001229736638 1097374439 /nfs/dbraw/zinc/37/44/39/1097374439.db2.gz FYVWRMXWNGBLFP-KBSHCFNASA-N 0 1 319.279 3.148 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(CC#N)CC2)CC1 ZINC001173332412 1097419535 /nfs/dbraw/zinc/41/95/35/1097419535.db2.gz MVTYURCYNMRHKR-UHFFFAOYSA-N 0 1 307.438 3.012 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001277436792 1097423536 /nfs/dbraw/zinc/42/35/36/1097423536.db2.gz YELRTGSLWYAMGR-WNRNVDISSA-N 0 1 322.493 3.079 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC[C@H]2CCc3ccccc32)CC1 ZINC001230337658 1097478026 /nfs/dbraw/zinc/47/80/26/1097478026.db2.gz AZLKGSFJMOJRHB-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C[C@@H]1CC(N2CCC[C@@H](C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173681925 1097488551 /nfs/dbraw/zinc/48/85/51/1097488551.db2.gz OZSWQLUDXYISSO-KFWWJZLASA-N 0 1 321.465 3.398 20 30 DGEDMN C=CCN(CCCNC(=O)CCCCC)Cc1cccnc1 ZINC001230671485 1097551542 /nfs/dbraw/zinc/55/15/42/1097551542.db2.gz UDHDLDBDPKOLHK-UHFFFAOYSA-N 0 1 303.450 3.156 20 30 DGEDMN Cc1ccc2c(c1)nc(=O)[nH]c2O[C@@H](C#N)c1cccc(F)c1 ZINC001230889176 1097615120 /nfs/dbraw/zinc/61/51/20/1097615120.db2.gz RSDCNQMFQFUNNQ-HNNXBMFYSA-N 0 1 309.300 3.427 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1cncs1 ZINC001230915835 1097622045 /nfs/dbraw/zinc/62/20/45/1097622045.db2.gz IPPWMVGEQGBYGK-CQSZACIVSA-N 0 1 307.463 3.036 20 30 DGEDMN C=C[C@]1(C)CC[C@H](C(C)(C)Oc2nc(Cl)nc3[nH]ncc32)O1 ZINC001231046876 1097654621 /nfs/dbraw/zinc/65/46/21/1097654621.db2.gz HEIFDOBACCVNOS-MEBBXXQBSA-N 0 1 322.796 3.287 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1[C@H](C)c1cccc(OC)c1 ZINC001231178249 1097689135 /nfs/dbraw/zinc/68/91/35/1097689135.db2.gz KMRAQTZLIOSXIA-WBVHZDCISA-N 0 1 316.445 3.255 20 30 DGEDMN N#Cc1cccc(N)c1NC1=CCCN(Cc2ccccc2)C1 ZINC001174393972 1097689552 /nfs/dbraw/zinc/68/95/52/1097689552.db2.gz BWHPHFLXTSAYBG-UHFFFAOYSA-N 0 1 304.397 3.342 20 30 DGEDMN CC(C)c1ccc(CN2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)cc1 ZINC001231246596 1097701630 /nfs/dbraw/zinc/70/16/30/1097701630.db2.gz HHBDBCYZFOMFQR-QAPCUYQASA-N 0 1 313.445 3.002 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(=Nc2c(C#N)cccc2C#N)CC1 ZINC001174441319 1097716541 /nfs/dbraw/zinc/71/65/41/1097716541.db2.gz HIEOZYWYSNYKID-UHFFFAOYSA-N 0 1 324.384 3.367 20 30 DGEDMN N#Cc1cnc(Nc2ccc3cn[nH]c3c2)c(Br)c1 ZINC001174498144 1097729248 /nfs/dbraw/zinc/72/92/48/1097729248.db2.gz XEMBHCOADOCYAL-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398173 1097739090 /nfs/dbraw/zinc/73/90/90/1097739090.db2.gz AABJGKDAGKGAHE-INIZCTEOSA-N 0 1 319.449 3.184 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCN(C)[C@H](c2ccccc2)C1 ZINC001231618603 1097793553 /nfs/dbraw/zinc/79/35/53/1097793553.db2.gz PCAPXSXAOASHSM-IBGZPJMESA-N 0 1 321.424 3.056 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001174672285 1097795891 /nfs/dbraw/zinc/79/58/91/1097795891.db2.gz MGXIKIZPNIDYDD-OAHLLOKOSA-N 0 1 321.465 3.350 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3ccc(F)c(F)c3)CC2)c1 ZINC001231655477 1097803898 /nfs/dbraw/zinc/80/38/98/1097803898.db2.gz DEEKFNZAEZHFPO-UHFFFAOYSA-N 0 1 312.363 3.268 20 30 DGEDMN COc1ccc(C[N@@H+](C)Cc2ccc(C#N)cc2)cc1OC(C)=O ZINC001231709476 1097822258 /nfs/dbraw/zinc/82/22/58/1097822258.db2.gz MYRIZEOQPRBYQU-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(C#N)cc2)cc1OC(C)=O ZINC001231709476 1097822263 /nfs/dbraw/zinc/82/22/63/1097822263.db2.gz MYRIZEOQPRBYQU-UHFFFAOYSA-N 0 1 324.380 3.124 20 30 DGEDMN C#CCN(C)Cc1cc(Cl)c(OC)c(Br)c1 ZINC001231726503 1097826045 /nfs/dbraw/zinc/82/60/45/1097826045.db2.gz OQXSQWGOUOLVIL-UHFFFAOYSA-N 0 1 302.599 3.176 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4c(c3)OCO4)sc2C1 ZINC001174862304 1097870920 /nfs/dbraw/zinc/87/09/20/1097870920.db2.gz ZDKQNQKFHUPAOU-UHFFFAOYSA-N 0 1 313.382 3.080 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CCC)CC(C)C)C2 ZINC001110176427 1097902434 /nfs/dbraw/zinc/90/24/34/1097902434.db2.gz VNTCFYAUEZQFSA-BDXSIMOUSA-N 0 1 304.478 3.194 20 30 DGEDMN CCOC(=O)CC1CCN(Cc2ccc(C#N)c(Cl)c2)CC1 ZINC001232113190 1097941636 /nfs/dbraw/zinc/94/16/36/1097941636.db2.gz QHVLZHQTINIXIS-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN COCc1ccc(CN2CCN(c3ccc(C#N)cc3)CC2)cc1 ZINC001232300358 1097996955 /nfs/dbraw/zinc/99/69/55/1097996955.db2.gz LRUIXTWDHCRVFP-UHFFFAOYSA-N 0 1 321.424 3.027 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3cccc(C(=O)[O-])c3)sc2C1 ZINC001175328715 1098013177 /nfs/dbraw/zinc/01/31/77/1098013177.db2.gz OATWDQKMBIZEEA-UHFFFAOYSA-N 0 1 313.382 3.049 20 30 DGEDMN C(#CC1CCN(Cc2[nH]nc3c2CCC3)CC1)c1ccccc1 ZINC001232429897 1098035997 /nfs/dbraw/zinc/03/59/97/1098035997.db2.gz GTZGIBNKHQESPU-UHFFFAOYSA-N 0 1 305.425 3.162 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC001277666142 1098041125 /nfs/dbraw/zinc/04/11/25/1098041125.db2.gz KHILQGKBXKFPAJ-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN Cn1ncc2c1cccc2Nc1sc2c(c1C#N)CCN(C)C2 ZINC001175434579 1098048196 /nfs/dbraw/zinc/04/81/96/1098048196.db2.gz XSKLJXDIXQMILN-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN COc1cccc([C@H]2CCCN(Cc3cc(C#N)ccn3)C2)c1 ZINC001232481350 1098052590 /nfs/dbraw/zinc/05/25/90/1098052590.db2.gz CUGYWXWWDIJYPP-KRWDZBQOSA-N 0 1 307.397 3.341 20 30 DGEDMN Cn1cc2cccc(Nc3sc4c(c3C#N)CCN(C)C4)c2n1 ZINC001175458230 1098068531 /nfs/dbraw/zinc/06/85/31/1098068531.db2.gz XICORMCMOZDPGM-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCC[C@H](Oc3ccccc3)C2)c1 ZINC001232679892 1098113697 /nfs/dbraw/zinc/11/36/97/1098113697.db2.gz VGQKJQOGSQZVJH-SFHVURJKSA-N 0 1 308.381 3.307 20 30 DGEDMN Cn1cc2ccc(Nc3sc4c(c3C#N)CCN(C)C4)cc2n1 ZINC001175611223 1098120304 /nfs/dbraw/zinc/12/03/04/1098120304.db2.gz XKJAZVRUFALUAQ-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001277706900 1098122180 /nfs/dbraw/zinc/12/21/80/1098122180.db2.gz MHFXNHMAMNYOKB-OAHLLOKOSA-N 0 1 318.436 3.113 20 30 DGEDMN Cc1[nH]nc2cc(Nc3c(C#N)cnn3-c3ccccn3)ccc12 ZINC001175656561 1098128153 /nfs/dbraw/zinc/12/81/53/1098128153.db2.gz ILUYVOLICFGTOY-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)s2)CCC[C@@]1(O)C(F)(F)F ZINC001232842200 1098150491 /nfs/dbraw/zinc/15/04/91/1098150491.db2.gz NZHDHDWLDFXHIG-MFKMUULPSA-N 0 1 318.364 3.145 20 30 DGEDMN Cc1ccnc(O[C@H]2CCCN(Cc3ccc(C#N)s3)C2)c1 ZINC001232845439 1098151852 /nfs/dbraw/zinc/15/18/52/1098151852.db2.gz XGDAEBUMVFBOEV-AWEZNQCLSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1cccc(N2CCCN(Cc3ccc(C#N)s3)CC2)n1 ZINC001232845795 1098152225 /nfs/dbraw/zinc/15/22/25/1098152225.db2.gz QXIDPSFRZWLYKP-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cccn1C(C)C ZINC001277726097 1098155049 /nfs/dbraw/zinc/15/50/49/1098155049.db2.gz FIIJSYLPFRYWBY-MRXNPFEDSA-N 0 1 323.868 3.262 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cnn(C4CCC4)c3)sc2C1 ZINC001175756073 1098162420 /nfs/dbraw/zinc/16/24/20/1098162420.db2.gz KPFMSZSHHJQKIS-UHFFFAOYSA-N 0 1 313.430 3.273 20 30 DGEDMN CC1(C)[C@@H]2CN(Cc3ccc(Br)c(C#N)c3)C[C@@H]21 ZINC001232899220 1098168507 /nfs/dbraw/zinc/16/85/07/1098168507.db2.gz OOCITYIXJJEFFE-BETUJISGSA-N 0 1 305.219 3.409 20 30 DGEDMN N#Cc1cccc(CN2CCC(C#Cc3ccccc3)CC2)n1 ZINC001232926555 1098175088 /nfs/dbraw/zinc/17/50/88/1098175088.db2.gz SYFVLVDONAMYDZ-UHFFFAOYSA-N 0 1 301.393 3.217 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2ccc(C)cc2C#N)CC1 ZINC001232966142 1098185145 /nfs/dbraw/zinc/18/51/45/1098185145.db2.gz GSRRWQVTPXNGMD-UHFFFAOYSA-N 0 1 314.429 3.422 20 30 DGEDMN CC(C)CC(=O)N(C)C[C@H](C)NCC#Cc1cccc(Cl)c1 ZINC001277743138 1098191225 /nfs/dbraw/zinc/19/12/25/1098191225.db2.gz UUZZZBNFDCEUQC-HNNXBMFYSA-N 0 1 320.864 3.174 20 30 DGEDMN COc1ccc(C[C@@H]2CCCN(Cc3[nH]ccc3C#N)C2)cc1 ZINC001233048067 1098212896 /nfs/dbraw/zinc/21/28/96/1098212896.db2.gz UMVQIZDUYGZUGS-INIZCTEOSA-N 0 1 309.413 3.350 20 30 DGEDMN N#Cc1cc(Br)cc(Nc2ccc3nn[nH]c3c2)c1 ZINC001176002370 1098228009 /nfs/dbraw/zinc/22/80/09/1098228009.db2.gz LWEWYSPLKYVVCM-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cc(Br)cc(Nc2ccc3n[nH]nc3c2)c1 ZINC001176002370 1098228013 /nfs/dbraw/zinc/22/80/13/1098228013.db2.gz LWEWYSPLKYVVCM-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1ccc(O)c(OCC2CC2)c1 ZINC001233229968 1098256520 /nfs/dbraw/zinc/25/65/20/1098256520.db2.gz SERMXYVYMRDHOK-OSYLJGHBSA-N 0 1 312.413 3.448 20 30 DGEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCC[N@H+](Cc1ccc([S-])cc1)C2 ZINC001233261010 1098267847 /nfs/dbraw/zinc/26/78/47/1098267847.db2.gz YEYNKTMWUMWOER-FUHWJXTLSA-N 0 1 319.470 3.301 20 30 DGEDMN Cc1cnsc1CN1CCC[C@H](Oc2ccc(C#N)cc2)C1 ZINC001233322508 1098288192 /nfs/dbraw/zinc/28/81/92/1098288192.db2.gz HZYWIWNYCKBCPQ-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@@H](OCc3ccccc3)C2)c([O-])c1 ZINC001233386607 1098311368 /nfs/dbraw/zinc/31/13/68/1098311368.db2.gz AJYFIGZEOZFCLB-LJQANCHMSA-N 0 1 322.408 3.445 20 30 DGEDMN C[N@@H+](CCC(=O)c1cccs1)Cc1ccc(C#N)cc1[O-] ZINC001233387551 1098311463 /nfs/dbraw/zinc/31/14/63/1098311463.db2.gz NIGCOYHGLDIOPP-UHFFFAOYSA-N 0 1 300.383 3.030 20 30 DGEDMN CC(C)=CC(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001233515999 1098359266 /nfs/dbraw/zinc/35/92/66/1098359266.db2.gz ACJZDHLHOZGWIL-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCCCN1CCO[C@@H]2CCN(C(=O)C=C(CC)CC)C[C@@H]21 ZINC001176982892 1098458866 /nfs/dbraw/zinc/45/88/66/1098458866.db2.gz KKYFQWLGJFAOGP-ZWKOTPCHSA-N 0 1 320.477 3.001 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1cc(F)cc2[nH]cnc21 ZINC001177036507 1098459620 /nfs/dbraw/zinc/45/96/20/1098459620.db2.gz OORWRVBHUGHCNN-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(CC(=O)OC)cc1Cl ZINC001233960560 1098467553 /nfs/dbraw/zinc/46/75/53/1098467553.db2.gz GTPXQMZOEPFIAS-GFCCVEGCSA-N 0 1 324.760 3.044 20 30 DGEDMN C=C(C)CCN1CCO[C@@H]2CCN(C(=O)CC(C)=C(C)C)C[C@H]21 ZINC001177073875 1098471576 /nfs/dbraw/zinc/47/15/76/1098471576.db2.gz TVFFOMWKILWOCH-QZTJIDSGSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CC(C)(C)CCNC(=O)NCCN(CC)CCC(F)(F)F ZINC001234054716 1098486717 /nfs/dbraw/zinc/48/67/17/1098486717.db2.gz WFWAUSXDAYFZIP-UHFFFAOYSA-N 0 1 323.403 3.162 20 30 DGEDMN COc1cc(CN2CCC(C)(C#N)CC2)ccc1-n1ccnc1 ZINC001234076486 1098490892 /nfs/dbraw/zinc/49/08/92/1098490892.db2.gz XXCKCZNNDUQHIQ-UHFFFAOYSA-N 0 1 310.401 3.007 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccncc1C ZINC001234089992 1098493670 /nfs/dbraw/zinc/49/36/70/1098493670.db2.gz XEKYYJVRCALQRQ-KRWDZBQOSA-N 0 1 315.461 3.283 20 30 DGEDMN Cc1cc(C)c(CN2CC[C@H]2CN(C)C(=O)C#CC2CC2)c(C)c1 ZINC001234177402 1098510243 /nfs/dbraw/zinc/51/02/43/1098510243.db2.gz BVIXDCXZUQTJRB-IBGZPJMESA-N 0 1 324.468 3.058 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(F)(F)F)CCCC1)C2 ZINC001110202811 1098510791 /nfs/dbraw/zinc/51/07/91/1098510791.db2.gz UVGHKXBKFRSLNG-AGIUHOORSA-N 0 1 316.367 3.017 20 30 DGEDMN CC(C)c1ccccc1CN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234186986 1098512054 /nfs/dbraw/zinc/51/20/54/1098512054.db2.gz RDXOBLHUMFHLJP-LJQANCHMSA-N 0 1 324.468 3.256 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H](OCC)C1=CCCC1 ZINC001234324035 1098536779 /nfs/dbraw/zinc/53/67/79/1098536779.db2.gz XOLHFADWFMFELT-MSOLQXFVSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CCCCC(C)(C)C ZINC001234508548 1098574139 /nfs/dbraw/zinc/57/41/39/1098574139.db2.gz BOJWSYGQFLQQSP-QGZVFWFLSA-N 0 1 324.509 3.328 20 30 DGEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CCC[C@H](C)CCC ZINC001234546511 1098594903 /nfs/dbraw/zinc/59/49/03/1098594903.db2.gz LNRIBQMMZGIFAU-MSOLQXFVSA-N 0 1 324.509 3.328 20 30 DGEDMN C=CCC[C@@H](CC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234567033 1098604137 /nfs/dbraw/zinc/60/41/37/1098604137.db2.gz AIRYZDPNBPRVFY-SECBINFHSA-N 0 1 301.184 3.377 20 30 DGEDMN C#C[C@H](CCCCC)Oc1nc(C)[nH]c(=O)c1Br ZINC001234567958 1098604157 /nfs/dbraw/zinc/60/41/57/1098604157.db2.gz KAJSHDNRZIFWFD-SNVBAGLBSA-N 0 1 313.195 3.214 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H]1CCN1CCc1ccccc1F ZINC001234613575 1098620228 /nfs/dbraw/zinc/62/02/28/1098620228.db2.gz JJLBRDHILFHDQH-QGZVFWFLSA-N 0 1 318.436 3.257 20 30 DGEDMN C[C@@H]1CCN(C(=O)C(C#N)Cc2cccs2)CCC1(F)F ZINC001177908459 1098645284 /nfs/dbraw/zinc/64/52/84/1098645284.db2.gz KXGNAPNEUFMKAZ-VXGBXAGGSA-N 0 1 312.385 3.324 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1CCC2(CC2)CC1 ZINC001277900811 1098685356 /nfs/dbraw/zinc/68/53/56/1098685356.db2.gz QZNGJEBBKNVVFL-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C[C@@H](CC)Oc1ccc(C(=O)N2CCN(C(C)C)CC2)cc1 ZINC001234904806 1098704055 /nfs/dbraw/zinc/70/40/55/1098704055.db2.gz YYYAAMKMDVUOFP-KRWDZBQOSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001277922105 1098797905 /nfs/dbraw/zinc/79/79/05/1098797905.db2.gz LHDXFRIVHMAUDC-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001178375724 1098799184 /nfs/dbraw/zinc/79/91/84/1098799184.db2.gz OTKXPRRRJCSILP-VKJFTORMSA-N 0 1 313.445 3.073 20 30 DGEDMN C/C=C(C)/C=C/C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001178514277 1098854254 /nfs/dbraw/zinc/85/42/54/1098854254.db2.gz FEWWVTNYZGYQNP-MCPMEUTQSA-N 0 1 323.440 3.183 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCCCCNCc1nocc1C ZINC001178587564 1098866664 /nfs/dbraw/zinc/86/66/64/1098866664.db2.gz ARGRJKFAVDXVQQ-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN CC(=O)Nc1cccc(CNCc2ccc(C#N)c(Cl)c2)c1 ZINC001178562003 1098871697 /nfs/dbraw/zinc/87/16/97/1098871697.db2.gz ZCTQBUDYRPNKGU-UHFFFAOYSA-N 0 1 313.788 3.460 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2Cc2ccccc2C)CCC1 ZINC001235209117 1098878961 /nfs/dbraw/zinc/87/89/61/1098878961.db2.gz JEDBSAZUQOXBSW-LJQANCHMSA-N 0 1 324.468 3.221 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2[C@@H](C)c2ccccc2)CCC1 ZINC001235223582 1098896828 /nfs/dbraw/zinc/89/68/28/1098896828.db2.gz COGRGMTYVJOJAH-HKUYNNGSSA-N 0 1 324.468 3.474 20 30 DGEDMN CN1CCCC[C@@H]1C(=O)N(CCC#N)Cc1ccc(Cl)cc1 ZINC001178695072 1098911722 /nfs/dbraw/zinc/91/17/22/1098911722.db2.gz FRBDMRDCEMSVIX-MRXNPFEDSA-N 0 1 319.836 3.067 20 30 DGEDMN COC[C@@H](C)Oc1ccc(CNCc2ccc(C#N)s2)cc1 ZINC001178981873 1098980172 /nfs/dbraw/zinc/98/01/72/1098980172.db2.gz PPIDWCOIFYXQMM-CYBMUJFWSA-N 0 1 316.426 3.323 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1cccs1 ZINC001277940883 1098985581 /nfs/dbraw/zinc/98/55/81/1098985581.db2.gz KMGGNAHOXMGEPJ-QWHCGFSZSA-N 0 1 312.866 3.185 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1cc(Cl)ccc1F ZINC001235436572 1099033660 /nfs/dbraw/zinc/03/36/60/1099033660.db2.gz NOLRMNHNULDMJS-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1sccc1Cl ZINC001235436023 1099035262 /nfs/dbraw/zinc/03/52/62/1099035262.db2.gz CWWBBGRIBNXLKI-UHFFFAOYSA-N 0 1 300.855 3.306 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@@H]1CCc2c1c(F)ccc2F ZINC001235451641 1099043498 /nfs/dbraw/zinc/04/34/98/1099043498.db2.gz NCNMBAMCBYMXAW-MRXNPFEDSA-N 0 1 322.399 3.356 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCCCCNCc1cc(C)on1 ZINC001179306253 1099056752 /nfs/dbraw/zinc/05/67/52/1099056752.db2.gz FPBSJAJMBDKWCQ-ZBFHGGJFSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001179351089 1099065197 /nfs/dbraw/zinc/06/51/97/1099065197.db2.gz LKESOAJWFATIMZ-WZDAXMPFSA-N 0 1 323.440 3.160 20 30 DGEDMN N#CCc1ccccc1CN1CCOC[C@H]1Cc1ccccc1 ZINC001235524464 1099074807 /nfs/dbraw/zinc/07/48/07/1099074807.db2.gz FNIXQISWHPJHMS-HXUWFJFHSA-N 0 1 306.409 3.196 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235654135 1099110599 /nfs/dbraw/zinc/11/05/99/1099110599.db2.gz VJCCIMCASINIBV-MRXNPFEDSA-N 0 1 321.465 3.408 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179693409 1099165275 /nfs/dbraw/zinc/16/52/75/1099165275.db2.gz ZGDCSCGUHGUADZ-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CC(C)(C)NCc1ccc(F)cc1I ZINC001179759206 1099201065 /nfs/dbraw/zinc/20/10/65/1099201065.db2.gz VQEISTCILIDGKZ-UHFFFAOYSA-N 0 1 319.161 3.485 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C/c1ccc(C)cc1)C2 ZINC001110233416 1099246249 /nfs/dbraw/zinc/24/62/49/1099246249.db2.gz IWLFMKQFDBPJKH-LRNBNCDBSA-N 0 1 310.441 3.306 20 30 DGEDMN C=C(C)c1cccc(NC(=O)c2cccc3nc(CO)[nH]c32)c1 ZINC001180119696 1099319786 /nfs/dbraw/zinc/31/97/86/1099319786.db2.gz UNZMFEVETXHBIH-UHFFFAOYSA-N 0 1 307.353 3.341 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@H](C)n2cccc2)CC1 ZINC001273468145 1099515403 /nfs/dbraw/zinc/51/54/03/1099515403.db2.gz XHMWDOKHWOBARN-HOTGVXAUSA-N 0 1 323.868 3.162 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ncccc1C(C)=O ZINC001187993148 1099650796 /nfs/dbraw/zinc/65/07/96/1099650796.db2.gz KSYHKEYINDUFQK-UHFFFAOYSA-N 0 1 312.435 3.386 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001188063758 1099674804 /nfs/dbraw/zinc/67/48/04/1099674804.db2.gz PGVMFINJEJSVTR-JKSUJKDBSA-N 0 1 318.486 3.383 20 30 DGEDMN Cc1nc(C)c(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)o1 ZINC001236602984 1099699175 /nfs/dbraw/zinc/69/91/75/1099699175.db2.gz VKSNOBIBAGLSFA-KRWDZBQOSA-N 0 1 311.385 3.207 20 30 DGEDMN CC1(C)[C@@H]2CN(Cc3ccc(C#N)c(Br)c3)C[C@@H]21 ZINC001236861006 1099839164 /nfs/dbraw/zinc/83/91/64/1099839164.db2.gz JRWLGJRTOVBKCB-BETUJISGSA-N 0 1 305.219 3.409 20 30 DGEDMN C#Cc1ccc(C(=O)N(Cc2cnc[nH]2)Cc2ccccc2)cc1 ZINC001188727979 1099842259 /nfs/dbraw/zinc/84/22/59/1099842259.db2.gz SWRZRHCENHHQIE-UHFFFAOYSA-N 0 1 315.376 3.234 20 30 DGEDMN CCOc1ccc(CN2CCc3ccc(C#N)cc3C2)c(O)c1 ZINC001237050726 1099945681 /nfs/dbraw/zinc/94/56/81/1099945681.db2.gz WDNMINMAHGSSIF-UHFFFAOYSA-N 0 1 308.381 3.221 20 30 DGEDMN CC/C=C(/C)C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189177457 1099967565 /nfs/dbraw/zinc/96/75/65/1099967565.db2.gz BQZQTBCBBPKZPB-MECSIWFOSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2cncs2)CC1 ZINC001278057941 1099999018 /nfs/dbraw/zinc/99/90/18/1099999018.db2.gz ZHYKFIOEVBCMJH-UHFFFAOYSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2C[C@@H]3C[C@H]2CN3C)c1 ZINC001189268215 1100011649 /nfs/dbraw/zinc/01/16/49/1100011649.db2.gz XUTZVMNIIWPBEF-IRXDYDNUSA-N 0 1 313.445 3.053 20 30 DGEDMN COC(=O)C1(C#N)CCN(Cc2ccc3cccccc2-3)CC1 ZINC001237185245 1100020680 /nfs/dbraw/zinc/02/06/80/1100020680.db2.gz NGXASKNBKUJGRG-UHFFFAOYSA-N 0 1 308.381 3.070 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(F)c4cccnc34)CCC2S1 ZINC001237425484 1100123459 /nfs/dbraw/zinc/12/34/59/1100123459.db2.gz MLIZSHKDSDXUNE-OAHLLOKOSA-N 0 1 314.385 3.148 20 30 DGEDMN CCOC[C@@]12CCC[C@@H]1CN(Cc1cc(C#N)c(F)cc1F)C2 ZINC001237527719 1100159354 /nfs/dbraw/zinc/15/93/54/1100159354.db2.gz MYTZYHMDZMDTEF-QAPCUYQASA-N 0 1 320.383 3.475 20 30 DGEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)Cc2ccc(Cl)s2)C1 ZINC001190047537 1100192239 /nfs/dbraw/zinc/19/22/39/1100192239.db2.gz SSGHXIHQHPVTPB-CYBMUJFWSA-N 0 1 324.877 3.094 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)N2CCCc3ccc(CN)nc32)cc1 ZINC001190232531 1100221250 /nfs/dbraw/zinc/22/12/50/1100221250.db2.gz DTSANHVHJMVGON-UHFFFAOYSA-N 0 1 321.424 3.252 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001278143904 1100244283 /nfs/dbraw/zinc/24/42/83/1100244283.db2.gz BKTXIYNNPULDDD-RZIFZGNASA-N 0 1 310.869 3.101 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cccnc2C)C1 ZINC001190398566 1100260244 /nfs/dbraw/zinc/26/02/44/1100260244.db2.gz XNVGKPRBWVZUQA-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001190398566 1100260252 /nfs/dbraw/zinc/26/02/52/1100260252.db2.gz XNVGKPRBWVZUQA-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2ccc(C3CC3)cc2)cs1 ZINC001190480438 1100275733 /nfs/dbraw/zinc/27/57/33/1100275733.db2.gz KHRDIPCZUGMALX-UHFFFAOYSA-N 0 1 304.396 3.298 20 30 DGEDMN Cc1coc(NC(=O)c2sc(C)nc2C(F)(F)F)c1C#N ZINC001190494457 1100288986 /nfs/dbraw/zinc/28/89/86/1100288986.db2.gz SNEYGEFEPCUKGH-UHFFFAOYSA-N 0 1 315.276 3.496 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccccc2Oc2cnccn2)C1 ZINC001238013802 1100326760 /nfs/dbraw/zinc/32/67/60/1100326760.db2.gz MYMIBZSDSRTEJE-HNNXBMFYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2cc(F)cc(F)c2C(C)=O)CC1 ZINC001190813248 1100351705 /nfs/dbraw/zinc/35/17/05/1100351705.db2.gz CIZJPOXVYWNMIX-UHFFFAOYSA-N 0 1 315.341 3.018 20 30 DGEDMN CCOC(=O)[C@H]1CCCN(Cc2ccc(C#N)cc2Cl)[C@@H]1C ZINC001238086112 1100356431 /nfs/dbraw/zinc/35/64/31/1100356431.db2.gz UTTPKDQYGCTCRN-DOMZBBRYSA-N 0 1 320.820 3.375 20 30 DGEDMN N#CCCN(Cc1cccnc1)Cc1cc(Cl)c(O)cc1F ZINC001238144629 1100371203 /nfs/dbraw/zinc/37/12/03/1100371203.db2.gz XOTCLINNQNAQPB-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)C1CC1)C1CCN(C[C@H](F)CC)CC1 ZINC001278208188 1100404260 /nfs/dbraw/zinc/40/42/60/1100404260.db2.gz VKUWYMITHXHPBU-NVXWUHKLSA-N 0 1 322.468 3.097 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)[C@@H]1C ZINC001278229878 1100435947 /nfs/dbraw/zinc/43/59/47/1100435947.db2.gz BNOOJLPHWFCETJ-BLLLJJGKSA-N 0 1 308.372 3.010 20 30 DGEDMN N#Cc1cccc(NC(=O)c2cc(-c3cccc(Cl)c3)n[nH]2)n1 ZINC001191359766 1100457573 /nfs/dbraw/zinc/45/75/73/1100457573.db2.gz FEMLFAGMECSCNM-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C1CCC1)C1CCCC1 ZINC001278250028 1100474050 /nfs/dbraw/zinc/47/40/50/1100474050.db2.gz HPGKHBKTYPAMDY-CZUORRHYSA-N 0 1 312.885 3.440 20 30 DGEDMN CSc1cc(C)cc(CN2CCC3SC(=O)C=C3C2)c1 ZINC001238678499 1100499461 /nfs/dbraw/zinc/49/94/61/1100499461.db2.gz MFARSKXYHXVVSK-OAHLLOKOSA-N 0 1 305.468 3.491 20 30 DGEDMN CCOc1ccc(C)c(CN2CCC3SC(=O)C=C3C2)c1 ZINC001238713322 1100509848 /nfs/dbraw/zinc/50/98/48/1100509848.db2.gz TXHWOCISROIGQN-INIZCTEOSA-N 0 1 303.427 3.168 20 30 DGEDMN CCOc1ccc(C)c(CN2CCC3SC(=O)C=C3C2)c1 ZINC001238713328 1100509899 /nfs/dbraw/zinc/50/98/99/1100509899.db2.gz TXHWOCISROIGQN-MRXNPFEDSA-N 0 1 303.427 3.168 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)CCCC2CC2)C1 ZINC001191859629 1100527208 /nfs/dbraw/zinc/52/72/08/1100527208.db2.gz OOBZNCQRHFDFFU-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)[C@H]2CCC2(C)C)C1 ZINC001191889290 1100541011 /nfs/dbraw/zinc/54/10/11/1100541011.db2.gz DTBYRAGPHKVWGI-RTBURBONSA-N 0 1 324.468 3.007 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)C(C)(C)C2CC2)C1 ZINC001191889500 1100541056 /nfs/dbraw/zinc/54/10/56/1100541056.db2.gz HARBMMBWRCZTPK-LJQANCHMSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1CCC(F)(F)CC1 ZINC001273628530 1100547141 /nfs/dbraw/zinc/54/71/41/1100547141.db2.gz KFVUZOGQKJEKHH-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2ccc(C)nc2C)C1 ZINC001192073502 1100565793 /nfs/dbraw/zinc/56/57/93/1100565793.db2.gz UWEIUEZVUOFLNO-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001192162739 1100580477 /nfs/dbraw/zinc/58/04/77/1100580477.db2.gz RGSLVHLYTLGXEG-JSGCOSHPSA-N 0 1 306.372 3.074 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC/C=C/c2ccccc2)C1 ZINC001192323633 1100604571 /nfs/dbraw/zinc/60/45/71/1100604571.db2.gz CRGDBZRPELKRAD-BEBFYNPSSA-N 0 1 310.441 3.036 20 30 DGEDMN COC(=O)c1ccc(O)c(C(=O)Nc2ccc([C@H](C)C#N)cc2)c1 ZINC001192397321 1100617728 /nfs/dbraw/zinc/61/77/28/1100617728.db2.gz RTCHJFCUVYPKHE-LLVKDONJSA-N 0 1 324.336 3.058 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001192471779 1100620228 /nfs/dbraw/zinc/62/02/28/1100620228.db2.gz HCALWJNXTKWTCL-ROUUACIJSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ncccc2C)C1 ZINC001192585752 1100639032 /nfs/dbraw/zinc/63/90/32/1100639032.db2.gz BOUWYJWRORCAGR-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001192585752 1100639034 /nfs/dbraw/zinc/63/90/34/1100639034.db2.gz BOUWYJWRORCAGR-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCCN1CCN(Cc2cc(Cl)cc(Cl)c2F)CC1 ZINC001193044852 1100691079 /nfs/dbraw/zinc/69/10/79/1100691079.db2.gz QRNXHASBKSTDQM-UHFFFAOYSA-N 0 1 315.219 3.273 20 30 DGEDMN COc1ncc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)cc1N ZINC001239645153 1100712976 /nfs/dbraw/zinc/71/29/76/1100712976.db2.gz UVFVQAAWTPFTBT-CGOBSMCZSA-N 0 1 311.263 3.166 20 30 DGEDMN COCC#CCN(C)C[C@@H](C)Oc1ccc(Cl)c(Cl)c1 ZINC001193384842 1100736670 /nfs/dbraw/zinc/73/66/70/1100736670.db2.gz OCGPGIKODLLXGY-GFCCVEGCSA-N 0 1 316.228 3.342 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(-n3cccc3)cc2)ccc1O ZINC001193493338 1100751569 /nfs/dbraw/zinc/75/15/69/1100751569.db2.gz DAHZBLGDJIESJF-UHFFFAOYSA-N 0 1 303.321 3.307 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc3cccc(N)c32)ccc1O ZINC001193506595 1100757439 /nfs/dbraw/zinc/75/74/39/1100757439.db2.gz UXFJZWFQZGLUBP-UHFFFAOYSA-N 0 1 303.321 3.252 20 30 DGEDMN COc1cc2[nH]ccc2c(NC(=O)c2ccc(O)c(C#N)c2)c1 ZINC001193512464 1100758398 /nfs/dbraw/zinc/75/83/98/1100758398.db2.gz NSMHBXRIFALLNM-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN CC[C@H](NC(=O)c1ccc(O)c(C#N)c1)c1ccccc1OC ZINC001193514788 1100759053 /nfs/dbraw/zinc/75/90/53/1100759053.db2.gz HFCXNJGHFWHDPZ-HNNXBMFYSA-N 0 1 310.353 3.154 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001193600693 1100780376 /nfs/dbraw/zinc/78/03/76/1100780376.db2.gz JJAAAGWDKPDEPN-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001193600693 1100780382 /nfs/dbraw/zinc/78/03/82/1100780382.db2.gz JJAAAGWDKPDEPN-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001193652840 1100784844 /nfs/dbraw/zinc/78/48/44/1100784844.db2.gz XQDLCUFXPKWNKJ-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN CC(C)C[C@@H](NC(=O)c1cccc(C#N)c1O)c1ccccn1 ZINC001193660311 1100786128 /nfs/dbraw/zinc/78/61/28/1100786128.db2.gz WTPZTPKSLKEOIY-MRXNPFEDSA-N 0 1 309.369 3.176 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc3ccccc3c2)C1 ZINC001193675221 1100788454 /nfs/dbraw/zinc/78/84/54/1100788454.db2.gz UCDUSMFSARFFBV-LJQANCHMSA-N 0 1 306.409 3.009 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(C#N)c(F)c3)[nH]c-2n1 ZINC001240144019 1100877809 /nfs/dbraw/zinc/87/78/09/1100877809.db2.gz HFHRFWMPTFRLEO-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3ccc(C#N)c(F)c3)cnc2[nH]1 ZINC001240156422 1100882494 /nfs/dbraw/zinc/88/24/94/1100882494.db2.gz BNLLHSAHWQEXHE-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=C[C@H](C(=O)N1CC[C@@H](N(C)C/C=C/Cl)C1)c1ccccc1 ZINC001194184066 1100886722 /nfs/dbraw/zinc/88/67/22/1100886722.db2.gz YETQDRVLNOIZOV-QZKWLWDQSA-N 0 1 318.848 3.241 20 30 DGEDMN CC[C@H](C)CCC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001273674624 1100910922 /nfs/dbraw/zinc/91/09/22/1100910922.db2.gz ZZCMUBIVSRNSBL-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccccc2CC(C)C)C1 ZINC001194356766 1100917927 /nfs/dbraw/zinc/91/79/27/1100917927.db2.gz ARCOCBKSAKFRGY-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cccc(C(C)C)c2)cnc1C#N ZINC001195120137 1101076051 /nfs/dbraw/zinc/07/60/51/1101076051.db2.gz BZJXVBMOIPKTBS-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCCCC(F)(F)F)CC1 ZINC001195191840 1101086676 /nfs/dbraw/zinc/08/66/76/1101086676.db2.gz OTFANUWSAOBUNR-UHFFFAOYSA-N 0 1 306.372 3.220 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCC[N@H+](CC/C=C\CCC)CC1 ZINC001195175044 1101092941 /nfs/dbraw/zinc/09/29/41/1101092941.db2.gz YUAXKWAHRAXGAV-CNZFZVQHSA-N 0 1 322.493 3.248 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1cc(F)ccc1O ZINC001240694587 1101099840 /nfs/dbraw/zinc/09/98/40/1101099840.db2.gz ZTJSJZOLNYKQIO-UHFFFAOYSA-N 0 1 313.332 3.450 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H]2Cc2cc(F)ccc2F)c1 ZINC001195268439 1101108803 /nfs/dbraw/zinc/10/88/03/1101108803.db2.gz DMCCZASLOCNLND-KRWDZBQOSA-N 0 1 313.351 3.439 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001278320510 1101151863 /nfs/dbraw/zinc/15/18/63/1101151863.db2.gz ONDBYGUZYYYKJA-AHNYADABSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCCCCC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001195540987 1101155810 /nfs/dbraw/zinc/15/58/10/1101155810.db2.gz KRWCIFABNRPAMT-UHFFFAOYSA-N 0 1 312.457 3.223 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(C4(C#N)CC4)ccn3)cc2)CC1 ZINC001240851330 1101155867 /nfs/dbraw/zinc/15/58/67/1101155867.db2.gz ACYMXGYNINRYIY-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN O/N=C/c1cc(-c2cnn3cccnc23)cc(C(F)(F)F)c1 ZINC001240935697 1101186798 /nfs/dbraw/zinc/18/67/98/1101186798.db2.gz XZHFPPKEJRZYJF-IFRROFPPSA-N 0 1 306.247 3.223 20 30 DGEDMN Cc1ccnc2cc(NC(=O)c3ccc(C#N)cc3O)ccc12 ZINC001195758240 1101190941 /nfs/dbraw/zinc/19/09/41/1101190941.db2.gz LMMMQXILNLAOAC-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(CCc2ccccc2F)CC1 ZINC001196018474 1101236681 /nfs/dbraw/zinc/23/66/81/1101236681.db2.gz VXQISQBFCCKGIR-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN N#C[C@@H](NC(=O)c1cc(Cl)c(F)cc1O)c1ccccc1 ZINC001196452737 1101318914 /nfs/dbraw/zinc/31/89/14/1101318914.db2.gz HPGUCHMFSVMRHN-CYBMUJFWSA-N 0 1 304.708 3.179 20 30 DGEDMN COc1cnc(C2=CCN(Cc3ccccc3)CC2)c(C#N)c1 ZINC001241501454 1101514557 /nfs/dbraw/zinc/51/45/57/1101514557.db2.gz GZDAPOWHSVLIJX-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CC2CCCCCC2)CC1 ZINC001197270092 1101516259 /nfs/dbraw/zinc/51/62/59/1101516259.db2.gz ZIIHTBRBCNDSOR-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001491566014 1101566714 /nfs/dbraw/zinc/56/67/14/1101566714.db2.gz HHGYOXLGZPKFBS-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN CC(=NC#N)N(C)Cc1ccc(-c2ccc3n[nH]c(C)c3c2)nc1 ZINC001241666853 1101620018 /nfs/dbraw/zinc/62/00/18/1101620018.db2.gz OFBUXTLHLOYVCP-FYJGNVAPSA-N 0 1 318.384 3.265 20 30 DGEDMN COc1cc(C#N)cc(-c2ccc3n[nH]c(C)c3c2)c1OC(C)=O ZINC001241668953 1101622488 /nfs/dbraw/zinc/62/24/88/1101622488.db2.gz QLOOLEVMKQKLHM-UHFFFAOYSA-N 0 1 321.336 3.344 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001197802298 1101670432 /nfs/dbraw/zinc/67/04/32/1101670432.db2.gz NKYROIBOVRYGCV-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN CCCCCCCCCC(=O)N1CCCN(CCOC)CC1 ZINC001197928780 1101702450 /nfs/dbraw/zinc/70/24/50/1101702450.db2.gz QZINOHQEZMIJDF-UHFFFAOYSA-N 0 1 312.498 3.308 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCC2CCCCC2)CC1 ZINC001198016060 1101730894 /nfs/dbraw/zinc/73/08/94/1101730894.db2.gz VOYMAUHGGNVGPX-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C(C)(C)CC(C)(C)C)CC1 ZINC001198204977 1101778263 /nfs/dbraw/zinc/77/82/63/1101778263.db2.gz PCDBZRWRQGSSLY-UHFFFAOYSA-N 0 1 324.509 3.186 20 30 DGEDMN C#CCC1(C(=O)N2CCCN(CC=C(C)C)CC2)CCCCC1 ZINC001198316288 1101817837 /nfs/dbraw/zinc/81/78/37/1101817837.db2.gz ZVVMSCNTEDYSAY-UHFFFAOYSA-N 0 1 316.489 3.461 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC001198491814 1101876720 /nfs/dbraw/zinc/87/67/20/1101876720.db2.gz VHSDKCHVUNWRGH-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCCCCN1CCOc2cc(C(=O)OC)ccc2C1 ZINC001198596652 1101920168 /nfs/dbraw/zinc/92/01/68/1101920168.db2.gz MYAXTEBHTBNESB-UHFFFAOYSA-N 0 1 303.402 3.414 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2ccc3occc3c2)cs1 ZINC001198828906 1101987934 /nfs/dbraw/zinc/98/79/34/1101987934.db2.gz KXNKJBKXKFGQOM-UHFFFAOYSA-N 0 1 304.352 3.167 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C1(CC)CC1)c1ccccc1OC ZINC001198885990 1102022576 /nfs/dbraw/zinc/02/25/76/1102022576.db2.gz WKADYUQLDXVWDU-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN N#Cc1ccc(NC(=O)c2ccc3c(c2)CCCC3=O)c(O)c1 ZINC001198906408 1102032401 /nfs/dbraw/zinc/03/24/01/1102032401.db2.gz HMVLRVMQWKXIJB-UHFFFAOYSA-N 0 1 306.321 3.035 20 30 DGEDMN N#Cc1cc(CNCc2ccccc2Cn2cccn2)cs1 ZINC001199069113 1102057448 /nfs/dbraw/zinc/05/74/48/1102057448.db2.gz PAPKLNUAJILAFA-UHFFFAOYSA-N 0 1 308.410 3.154 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001110535759 1102145419 /nfs/dbraw/zinc/14/54/19/1102145419.db2.gz UJGFVBFTDGDFLC-BCJSFDFJSA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)C)nc1Cl ZINC001199703987 1102187419 /nfs/dbraw/zinc/18/74/19/1102187419.db2.gz NIZKXUOHNWVDOE-ZDUSSCGKSA-N 0 1 321.852 3.239 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@@]23CCN(CCCC)C3=O)c1 ZINC001273791862 1102227279 /nfs/dbraw/zinc/22/72/79/1102227279.db2.gz BEMUFCZKRPCGFS-FQEVSTJZSA-N 0 1 310.441 3.035 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001273815013 1102318294 /nfs/dbraw/zinc/31/82/94/1102318294.db2.gz VXCSXAJZCQALRQ-IBGZPJMESA-N 0 1 324.468 3.155 20 30 DGEDMN C#CCC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)=C(C)C ZINC001273823321 1102334740 /nfs/dbraw/zinc/33/47/40/1102334740.db2.gz BAXUFOPTPUMIPS-HXUWFJFHSA-N 0 1 324.468 3.299 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)=C(C)C ZINC001273823321 1102334750 /nfs/dbraw/zinc/33/47/50/1102334750.db2.gz BAXUFOPTPUMIPS-HXUWFJFHSA-N 0 1 324.468 3.299 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)OC1CCCCC1)C2 ZINC001110560917 1102526781 /nfs/dbraw/zinc/52/67/81/1102526781.db2.gz GFLRYDHGLSCWNS-NRSFXHEJSA-N 0 1 320.477 3.022 20 30 DGEDMN N#Cc1ccn(C2=C[C@H]3CC[C@@H](C2)[N@H+]3Cc2ccccc2)c1N ZINC001201474870 1102624876 /nfs/dbraw/zinc/62/48/76/1102624876.db2.gz ODCSQBCFSFOOMW-SJORKVTESA-N 0 1 304.397 3.220 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCCN(C(=O)C(C)C)C2)C1 ZINC001201776916 1102733712 /nfs/dbraw/zinc/73/37/12/1102733712.db2.gz PAUPSAYJVLNRAW-IBGZPJMESA-N 0 1 324.509 3.306 20 30 DGEDMN CCCCCCCCCN1CCN(c2nccnc2C#N)CC1 ZINC001201845978 1102744661 /nfs/dbraw/zinc/74/46/61/1102744661.db2.gz CAMVQGAUESDAGS-UHFFFAOYSA-N 0 1 315.465 3.221 20 30 DGEDMN C/C(=N\C#N)N(C)Cc1ccc(-c2cc(F)cc(F)c2O)nc1 ZINC001243499620 1102756056 /nfs/dbraw/zinc/75/60/56/1102756056.db2.gz AQHFWKMCHBGUEG-UFFVCSGVSA-N 0 1 316.311 3.064 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(F)c(C#N)c(F)c3)c2)CC1 ZINC001243670710 1102904904 /nfs/dbraw/zinc/90/49/04/1102904904.db2.gz QHRGGDCSVOYWBX-UHFFFAOYSA-N 0 1 313.351 3.255 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cccc(C(=O)C(F)(F)F)c2)C1 ZINC001325513505 1103056466 /nfs/dbraw/zinc/05/64/66/1103056466.db2.gz UISDEXFORGQQMY-AWEZNQCLSA-N 0 1 313.319 3.209 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC(F)F ZINC001488644506 1103077161 /nfs/dbraw/zinc/07/71/61/1103077161.db2.gz OYKKWUIHZJDYPA-CYBMUJFWSA-N 0 1 302.409 3.167 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC(F)F ZINC001488644506 1103077176 /nfs/dbraw/zinc/07/71/76/1103077176.db2.gz OYKKWUIHZJDYPA-CYBMUJFWSA-N 0 1 302.409 3.167 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(F)cc(C)cc1F)C2 ZINC001095732797 1103096352 /nfs/dbraw/zinc/09/63/52/1103096352.db2.gz TTZSJBFDIXTDCU-KCXAZCMYSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1ccc(F)cc1F ZINC001488672967 1103102250 /nfs/dbraw/zinc/10/22/50/1103102250.db2.gz AQTLHURGQIXENC-MRXNPFEDSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCCCN1CC[C@H]1CN(C)C(=O)c1cnc(C2CC2)s1 ZINC001488683321 1103106476 /nfs/dbraw/zinc/10/64/76/1103106476.db2.gz DDMABKUVQALQJH-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCCCN1CC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)s1 ZINC001488683322 1103107379 /nfs/dbraw/zinc/10/73/79/1103107379.db2.gz DDMABKUVQALQJH-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001326334508 1103277929 /nfs/dbraw/zinc/27/79/29/1103277929.db2.gz SFHLPSBBBNOXGX-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001326369820 1103292835 /nfs/dbraw/zinc/29/28/35/1103292835.db2.gz NEVJBWWPXQKUKX-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001326489634 1103337899 /nfs/dbraw/zinc/33/78/99/1103337899.db2.gz BQHJOXHBIFRJQC-QAQDUYKDSA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001326496253 1103341966 /nfs/dbraw/zinc/34/19/66/1103341966.db2.gz XEDDDMWWSINBBL-VQTJNVASSA-N 0 1 324.468 3.194 20 30 DGEDMN Cc1n[nH]c(C2CC2)c1-c1nc(-c2cc(C#N)ccc2F)no1 ZINC001212959132 1103389314 /nfs/dbraw/zinc/38/93/14/1103389314.db2.gz UGVAPHOTSWFRNY-UHFFFAOYSA-N 0 1 309.304 3.323 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC(C)(C)CC)cccc2C1 ZINC001326675108 1103404399 /nfs/dbraw/zinc/40/43/99/1103404399.db2.gz NXTNOYPMCXKOLF-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(C)ccc3F)C[C@H]21 ZINC001114758101 1103427869 /nfs/dbraw/zinc/42/78/69/1103427869.db2.gz XKUXJEQFBYHNAS-MNZLEMJZSA-N 0 1 316.420 3.037 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2cc(C)ccc2F)CCC1 ZINC001326913231 1103478336 /nfs/dbraw/zinc/47/83/36/1103478336.db2.gz ININMMIMFNTBSI-UHFFFAOYSA-N 0 1 324.827 3.058 20 30 DGEDMN CCCCCCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccns1)C2 ZINC001110610568 1103511327 /nfs/dbraw/zinc/51/13/27/1103511327.db2.gz IUJHXBOQNVJRIF-KFWWJZLASA-N 0 1 321.490 3.449 20 30 DGEDMN CCCC(C)(C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001490241443 1103522785 /nfs/dbraw/zinc/52/27/85/1103522785.db2.gz RLZUIAYZXUXYEN-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN COC(=O)c1c(F)cc(-c2nccc3[nH]cc(C#N)c32)cc1F ZINC001244601746 1103555193 /nfs/dbraw/zinc/55/51/93/1103555193.db2.gz MCJVLUCDZQPHMP-UHFFFAOYSA-N 0 1 313.263 3.166 20 30 DGEDMN C=C[C@H](CC(=O)N[C@@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001327172116 1103565760 /nfs/dbraw/zinc/56/57/60/1103565760.db2.gz JTOCQBQMMMMVTR-SJLPKXTDSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2ncccc2C)C1 ZINC001490438339 1103601652 /nfs/dbraw/zinc/60/16/52/1103601652.db2.gz HLUKVKGOOHJKDS-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CCOc2ccccc2)C1 ZINC001490438316 1103602174 /nfs/dbraw/zinc/60/21/74/1103602174.db2.gz FJOLWYREXINABQ-KRWDZBQOSA-N 0 1 316.445 3.002 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001490454770 1103632349 /nfs/dbraw/zinc/63/23/49/1103632349.db2.gz KWLBMFIGNKRCCL-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN N#Cc1nc(-c2ccc(N)cc2C(F)(F)F)cc2[nH]cnc21 ZINC001244735554 1103658094 /nfs/dbraw/zinc/65/80/94/1103658094.db2.gz QLQFUOFFTVOETQ-UHFFFAOYSA-N 0 1 303.247 3.098 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)sc2C)CC1 ZINC001490617990 1103682100 /nfs/dbraw/zinc/68/21/00/1103682100.db2.gz YAKLVXIWEJSAOU-UHFFFAOYSA-N 0 1 318.486 3.315 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccc(C)cc2)CC1 ZINC001490622967 1103683627 /nfs/dbraw/zinc/68/36/27/1103683627.db2.gz GRPKFOIJIOMWGU-UHFFFAOYSA-N 0 1 312.457 3.335 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3cccc(C#N)c3C)nc2)CC1 ZINC001244816859 1103698926 /nfs/dbraw/zinc/69/89/26/1103698926.db2.gz AWYJJIHIJQCBOQ-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)cc1C)C2 ZINC001095960760 1103713185 /nfs/dbraw/zinc/71/31/85/1103713185.db2.gz ZBZCZVFSUFKTFI-KBMXLJTQSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(Cl)c1F)C2 ZINC001095957780 1103713817 /nfs/dbraw/zinc/71/38/17/1103713817.db2.gz DDWUFDZYKFEJTM-SWHYSGLUSA-N 0 1 308.784 3.000 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1(C)C(C)(C)C1(C)C)C1CC1 ZINC001490748167 1103719728 /nfs/dbraw/zinc/71/97/28/1103719728.db2.gz IDZDEYFNURSBLH-CYBMUJFWSA-N 0 1 312.885 3.296 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)c(F)c1)C2 ZINC001096060277 1103743726 /nfs/dbraw/zinc/74/37/26/1103743726.db2.gz SHRMUTUKACPXKI-UGFHNGPFSA-N 0 1 308.784 3.000 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccsn1 ZINC001490794225 1103747389 /nfs/dbraw/zinc/74/73/89/1103747389.db2.gz WZGPAYJHGXEJQH-GASCZTMLSA-N 0 1 321.490 3.402 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc([C@H](C)CC)no1)C2 ZINC001096121486 1103761208 /nfs/dbraw/zinc/76/12/08/1103761208.db2.gz AAYFJPHUNMXMAL-VDERGJSUSA-N 0 1 317.433 3.099 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccc(CC)cc1)C2 ZINC001096180101 1103778327 /nfs/dbraw/zinc/77/83/27/1103778327.db2.gz WITSCEROQYXGHY-VLQPXKRTSA-N 0 1 312.457 3.260 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccc(CC)cc1)C2 ZINC001096181945 1103779777 /nfs/dbraw/zinc/77/97/77/1103779777.db2.gz YZZUNLFIQQXLSJ-LDTOTXGLSA-N 0 1 324.468 3.097 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3ccncc3c1)C2 ZINC001096291305 1103795954 /nfs/dbraw/zinc/79/59/54/1103795954.db2.gz FKHQHWCPYRHSJM-QYZOEREBSA-N 0 1 321.424 3.146 20 30 DGEDMN COC(OC)c1c(/C=N/O)ccnc1-c1cc(Cl)ccc1O ZINC001245048272 1103824292 /nfs/dbraw/zinc/82/42/92/1103824292.db2.gz IBORWQYEBLOKBR-QGMBQPNBSA-N 0 1 322.748 3.207 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)csc1Cl)C2 ZINC001096438019 1103835832 /nfs/dbraw/zinc/83/58/32/1103835832.db2.gz OAXBLOXPRLRLKB-WOPDTQHZSA-N 0 1 310.850 3.231 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc3c1CCCC3)C2 ZINC001096501026 1103851296 /nfs/dbraw/zinc/85/12/96/1103851296.db2.gz RRHALLFPKYGJOY-KCXAZCMYSA-N 0 1 316.470 3.148 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc3c(c1)CCCC3)C2 ZINC001096520037 1103855594 /nfs/dbraw/zinc/85/55/94/1103855594.db2.gz FQQGDVQETTWHKJ-AABGKKOBSA-N 0 1 324.468 3.015 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnoc2C)[C@H](C)C1 ZINC001328259043 1103892483 /nfs/dbraw/zinc/89/24/83/1103892483.db2.gz OVNQIGOORHTUEN-CJNGLKHVSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCCCC1(C)C)C2 ZINC001096673896 1103900222 /nfs/dbraw/zinc/90/02/22/1103900222.db2.gz BUHXUCJFPRZICZ-MHORFTMASA-N 0 1 316.489 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)(F)F)cc1)C2 ZINC001096687784 1103900881 /nfs/dbraw/zinc/90/08/81/1103900881.db2.gz IGLRGXTUOAQVHA-PMPSAXMXSA-N 0 1 320.383 3.319 20 30 DGEDMN N#Cc1cc(-c2cccc3[nH]nnc32)ccc1OC1CCOCC1 ZINC001245306553 1103973947 /nfs/dbraw/zinc/97/39/47/1103973947.db2.gz QJLPAMOVJSOGQV-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN CN(CCOC(=O)c1csc(C#N)c1)Cc1ccc(F)cc1 ZINC001328673314 1104018003 /nfs/dbraw/zinc/01/80/03/1104018003.db2.gz PWYOUGLJEDKTKL-UHFFFAOYSA-N 0 1 318.373 3.048 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1sc(C)nc1C ZINC001328708319 1104033094 /nfs/dbraw/zinc/03/30/94/1104033094.db2.gz XNDPYCFJRVZLNW-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN N#Cc1cc(C2=CC[C@H](N3CCOCC3)CC2)nc2ccccc12 ZINC001245419893 1104041132 /nfs/dbraw/zinc/04/11/32/1104041132.db2.gz QRLGBLBIZOXLNE-KRWDZBQOSA-N 0 1 319.408 3.375 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(CC(C)C)s1 ZINC001328732501 1104044040 /nfs/dbraw/zinc/04/40/40/1104044040.db2.gz GPTHCPVUJFBFQJ-OAHLLOKOSA-N 0 1 318.486 3.116 20 30 DGEDMN N#Cc1nccc2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc21 ZINC001245424424 1104045220 /nfs/dbraw/zinc/04/52/20/1104045220.db2.gz DFIDPIZULCEDKV-SFHVURJKSA-N 0 1 319.408 3.375 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1occc1-c1ccccc1 ZINC001328750401 1104053626 /nfs/dbraw/zinc/05/36/26/1104053626.db2.gz SDBHPPIRAVDVOC-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC1CCC(C)(C)CC1 ZINC001328795241 1104075030 /nfs/dbraw/zinc/07/50/30/1104075030.db2.gz AGNUVOWGJXRWNY-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1nc(C)cs1 ZINC001329048020 1104135626 /nfs/dbraw/zinc/13/56/26/1104135626.db2.gz LLEPJRCANSBBGC-QWHCGFSZSA-N 0 1 309.479 3.037 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC001329048046 1104135659 /nfs/dbraw/zinc/13/56/59/1104135659.db2.gz LTPBMCGWOCFPGN-CHWSQXEVSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1C2CC3CC(C2)CC1C3 ZINC001329056528 1104139203 /nfs/dbraw/zinc/13/92/03/1104139203.db2.gz NLUZJUGKKAJCKD-GKAWKQOSSA-N 0 1 324.896 3.294 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1ccccc1CC ZINC001329070230 1104143444 /nfs/dbraw/zinc/14/34/44/1104143444.db2.gz PWZPKQUJJSXBGX-ZIAGYGMSSA-N 0 1 308.853 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c[nH]c2ccccc21 ZINC001329072422 1104144604 /nfs/dbraw/zinc/14/46/04/1104144604.db2.gz CBWQCKFPCDMHHC-NEPJUHHUSA-N 0 1 305.809 3.017 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2nc(C)cs2)CCC1 ZINC001329129651 1104164094 /nfs/dbraw/zinc/16/40/94/1104164094.db2.gz XAUMAEVNTYBVOQ-ZIAGYGMSSA-N 0 1 321.490 3.181 20 30 DGEDMN O/N=C/c1cc(-c2ccc3nncn3c2)cc(C(F)(F)F)c1 ZINC001245661010 1104184109 /nfs/dbraw/zinc/18/41/09/1104184109.db2.gz NKPWMIRZZOHYKX-KPSZGOFPSA-N 0 1 306.247 3.223 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cc(Cl)ccc2C)C1=O ZINC001274103863 1104247680 /nfs/dbraw/zinc/24/76/80/1104247680.db2.gz LEFBRLIOGRAENK-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2cccc(F)c2F)C1 ZINC001274208209 1104286594 /nfs/dbraw/zinc/28/65/94/1104286594.db2.gz IZLBBQQCMQYKFB-CJNGLKHVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001329518049 1104294725 /nfs/dbraw/zinc/29/47/25/1104294725.db2.gz VXJGAHNKPDSRBS-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001329532884 1104304622 /nfs/dbraw/zinc/30/46/22/1104304622.db2.gz RXKHNBJJMIANNP-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN COc1cc(OC)cc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)c1 ZINC001245933847 1104321674 /nfs/dbraw/zinc/32/16/74/1104321674.db2.gz UEPTYICHRLHQIR-UHFFFAOYSA-N 0 1 321.336 3.134 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001274251924 1104334962 /nfs/dbraw/zinc/33/49/62/1104334962.db2.gz BDQUPDCYHRJKRA-SREVYHEPSA-N 0 1 318.436 3.498 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001329601906 1104340499 /nfs/dbraw/zinc/34/04/99/1104340499.db2.gz WSFCTYHMJCLLDR-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cccc(Cl)c2C)C1=O ZINC001274413457 1104375701 /nfs/dbraw/zinc/37/57/01/1104375701.db2.gz UNMFWVDEIMMMAQ-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H]1CCN(CCF)CC1(C)C)c1ccccc1 ZINC001274460368 1104393203 /nfs/dbraw/zinc/39/32/03/1104393203.db2.gz RUKMEXLNPHALSZ-DLBZAZTESA-N 0 1 318.436 3.142 20 30 DGEDMN CC(C)CC(=O)N[C@@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001278431883 1104393838 /nfs/dbraw/zinc/39/38/38/1104393838.db2.gz SKEDWMULUUSQFF-TZIWHRDSSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cc(C)ccc2Cl)C1=O ZINC001274491830 1104396563 /nfs/dbraw/zinc/39/65/63/1104396563.db2.gz AOSDYRHEBIKOHG-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1cc(Cl)cs1)CC2 ZINC001274531368 1104406543 /nfs/dbraw/zinc/40/65/43/1104406543.db2.gz LBAAUTUEPJSQCP-CQSZACIVSA-N 0 1 324.877 3.306 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1c(C)cccc1F ZINC001274584476 1104411663 /nfs/dbraw/zinc/41/16/63/1104411663.db2.gz UYYPMNPIIZAVKC-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001274599031 1104417507 /nfs/dbraw/zinc/41/75/07/1104417507.db2.gz XLZZWWWGZKXWOB-IEBWSBKVSA-N 0 1 312.457 3.197 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001278434917 1104427809 /nfs/dbraw/zinc/42/78/09/1104427809.db2.gz YOGKVZZIJKSNKZ-PXNSSMCTSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@H]1N(Cc1cnc(C)s1)CC2 ZINC001278439095 1104462881 /nfs/dbraw/zinc/46/28/81/1104462881.db2.gz GCOPGMBUHXBZAU-NVXWUHKLSA-N 0 1 319.474 3.031 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001330054360 1104466230 /nfs/dbraw/zinc/46/62/30/1104466230.db2.gz OGZBPCBVSWLZFT-ZDUSSCGKSA-N 0 1 320.864 3.240 20 30 DGEDMN Cc1nc(N[C@@H]2CCN(C)[C@@H]2c2ccc(F)cc2)ccc1C#N ZINC001330155358 1104490264 /nfs/dbraw/zinc/49/02/64/1104490264.db2.gz XZOZHCDUHHVDAB-SJLPKXTDSA-N 0 1 310.376 3.258 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CC(CC)CC)C2)C1 ZINC001330160127 1104491601 /nfs/dbraw/zinc/49/16/01/1104491601.db2.gz LKCXYPBTQJUJSU-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](CC)OC2CCCCC2)C(C)(C)C1 ZINC001330203405 1104506378 /nfs/dbraw/zinc/50/63/78/1104506378.db2.gz OMRCAXJYTOKOIG-DLBZAZTESA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC001330499999 1104561271 /nfs/dbraw/zinc/56/12/71/1104561271.db2.gz QBNPLKZKIVCTAF-POHAHGRESA-N 0 1 322.880 3.432 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](CCCCC)C(C)C ZINC001275160724 1104657637 /nfs/dbraw/zinc/65/76/37/1104657637.db2.gz PXGTTZDCHJOZDM-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CCCCC)C(C)C ZINC001275160724 1104657643 /nfs/dbraw/zinc/65/76/43/1104657643.db2.gz PXGTTZDCHJOZDM-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CC[N@H+](C)C[C@H]1CCCN1C(=O)C[C@H](CC)C(C)(C)C ZINC001275161071 1104658238 /nfs/dbraw/zinc/65/82/38/1104658238.db2.gz XWGLAUWOXSJAET-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](CC)C(C)(C)C ZINC001275161071 1104658241 /nfs/dbraw/zinc/65/82/41/1104658241.db2.gz XWGLAUWOXSJAET-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccccc1CC(C)C ZINC001275175205 1104667366 /nfs/dbraw/zinc/66/73/66/1104667366.db2.gz HFLGTDWVMFKWAS-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1ccc(C#N)cc1)[C@@H]1CNC(=O)C1 ZINC001246889107 1104724782 /nfs/dbraw/zinc/72/47/82/1104724782.db2.gz DDIWRHFCKIGYMP-BEFAXECRSA-N 0 1 319.408 3.010 20 30 DGEDMN Cc1ccc(CN2C[C@H]3CC[C@@H](C2)N3Cc2ccc[nH]2)cc1C#N ZINC001275373416 1104739795 /nfs/dbraw/zinc/73/97/95/1104739795.db2.gz GXTRCVZDJREKRC-BGYRXZFFSA-N 0 1 320.440 3.044 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001275403432 1104748304 /nfs/dbraw/zinc/74/83/04/1104748304.db2.gz IQQWEHCTRIVLNH-NWDGAFQWSA-N 0 1 323.506 3.345 20 30 DGEDMN CN1CCC12CN(Cc1ccc(Oc3ccc(C#N)cc3)cc1)C2 ZINC001275452190 1104764810 /nfs/dbraw/zinc/76/48/10/1104764810.db2.gz UGPGVRONPMUNDQ-UHFFFAOYSA-N 0 1 319.408 3.240 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001280715333 1104788464 /nfs/dbraw/zinc/78/84/64/1104788464.db2.gz VTGZYDHKPJPGPJ-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCOCC(=O)N[C@H](C)CN(C)[C@@H](C)c1ccccc1Cl ZINC001275520706 1104789117 /nfs/dbraw/zinc/78/91/17/1104789117.db2.gz JBHPJCFUNQFHHM-KGLIPLIRSA-N 0 1 324.852 3.040 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)C(C)(CC)CC ZINC001275569951 1104814463 /nfs/dbraw/zinc/81/44/63/1104814463.db2.gz AGDVXBFWUSWCHJ-VXGBXAGGSA-N 0 1 319.287 3.204 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc(C(C)(C)C)c1 ZINC001275681439 1104861885 /nfs/dbraw/zinc/86/18/85/1104861885.db2.gz SCIVGSXMOZXZBK-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN N#Cc1ccc(-c2noc(C3(N)CCCC3)n2)c2ccccc12 ZINC001247501093 1104913979 /nfs/dbraw/zinc/91/39/79/1104913979.db2.gz OQKRXOZIDRXNLQ-UHFFFAOYSA-N 0 1 304.353 3.489 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001275818602 1104922170 /nfs/dbraw/zinc/92/21/70/1104922170.db2.gz KHWDHNQVENKODT-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2ccccc2cc1F ZINC001275881828 1104942478 /nfs/dbraw/zinc/94/24/78/1104942478.db2.gz HYHDGSFBSUIXAL-CQSZACIVSA-N 0 1 312.388 3.052 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001275944588 1104963616 /nfs/dbraw/zinc/96/36/16/1104963616.db2.gz HCYHRKRAYCBLNN-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110985334 1105133820 /nfs/dbraw/zinc/13/38/20/1105133820.db2.gz VTWYUNPXNXXGIR-GUIRCDHDSA-N 0 1 318.383 3.263 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(Cc3ccc4nc[nH]c4c3)n2)c1 ZINC001249109339 1105227244 /nfs/dbraw/zinc/22/72/44/1105227244.db2.gz RYCMXCDTBKJMLM-UHFFFAOYSA-N 0 1 319.299 3.214 20 30 DGEDMN CCc1ccc(CN(C)Cc2cnn3ccc(C#N)cc23)cc1 ZINC001249114360 1105228241 /nfs/dbraw/zinc/22/82/41/1105228241.db2.gz ZSVVGFSRRHVICO-UHFFFAOYSA-N 0 1 304.397 3.400 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(OC)cc3Cl)no2)C1 ZINC001249231721 1105252779 /nfs/dbraw/zinc/25/27/79/1105252779.db2.gz NOGWQWUMFTVVJC-HNNXBMFYSA-N 0 1 305.765 3.163 20 30 DGEDMN COc1ccc(Cn2cc(CN3CCC[C@H](C#N)CC3)cn2)cc1 ZINC001249344853 1105271138 /nfs/dbraw/zinc/27/11/38/1105271138.db2.gz ITHRKXNRVYDQSI-INIZCTEOSA-N 0 1 324.428 3.066 20 30 DGEDMN O=C1C=C2CN(Cc3cc(F)cc4cc[nH]c43)CCC2S1 ZINC001249522672 1105305060 /nfs/dbraw/zinc/30/50/60/1105305060.db2.gz KRKUVZGWMPLYRS-AWEZNQCLSA-N 0 1 302.374 3.081 20 30 DGEDMN CCN(CCC#N)Cc1nc2ccc(OC(F)(F)F)cc2[nH]1 ZINC001249533321 1105307695 /nfs/dbraw/zinc/30/76/95/1105307695.db2.gz WYXJRNWALOBJBI-UHFFFAOYSA-N 0 1 312.295 3.197 20 30 DGEDMN N#Cc1cc(F)c(N=C2CCC(N3CCOCC3)CC2)c(F)c1 ZINC001249558923 1105313925 /nfs/dbraw/zinc/31/39/25/1105313925.db2.gz NOVGUHLZIYTMHL-CQSZACIVSA-N 0 1 319.355 3.017 20 30 DGEDMN C[C@@H](NC(=S)Nc1cc(C#N)ccc1O)c1ccc(F)cc1 ZINC001249604966 1105330256 /nfs/dbraw/zinc/33/02/56/1105330256.db2.gz RWSVGTWCRTXMCH-SNVBAGLBSA-N 0 1 315.373 3.451 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2oc(C)nc2C)[C@@H]1C ZINC001278521440 1105330739 /nfs/dbraw/zinc/33/07/39/1105330739.db2.gz NSIAOWYAOGLMHU-GDBMZVCRSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2oc(C)nc2C)[C@@H]1C ZINC001278521443 1105330984 /nfs/dbraw/zinc/33/09/84/1105330984.db2.gz NSIAOWYAOGLMHU-ZBFHGGJFSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)ccc1C1CC1)C2 ZINC001097579552 1105409439 /nfs/dbraw/zinc/40/94/39/1105409439.db2.gz VMHMLGVPGBKSRK-AHRSYUTCSA-N 0 1 322.452 3.231 20 30 DGEDMN N#C[C@@H]1CN(Cc2c(Cl)ccc(F)c2Cl)CCC1=O ZINC001137358342 1105497134 /nfs/dbraw/zinc/49/71/34/1105497134.db2.gz JZSKOVBKFUACFS-MRVPVSSYSA-N 0 1 301.148 3.047 20 30 DGEDMN N#Cc1ncc(Nc2ccc3cncn3c2)cc1C(F)(F)F ZINC001250329647 1105500746 /nfs/dbraw/zinc/50/07/46/1105500746.db2.gz LCNIIGLOYINZSF-UHFFFAOYSA-N 0 1 303.247 3.363 20 30 DGEDMN Cn1cc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)cc1C#N ZINC001250531216 1105532452 /nfs/dbraw/zinc/53/24/52/1105532452.db2.gz DECAYJJTYVMVJY-UHFFFAOYSA-N 0 1 306.247 3.339 20 30 DGEDMN COCOc1ccc2nc(CCc3ccc(C#N)cc3)[nH]c2c1 ZINC001250581553 1105541255 /nfs/dbraw/zinc/54/12/55/1105541255.db2.gz FGYHFXAKDOKFMC-UHFFFAOYSA-N 0 1 307.353 3.202 20 30 DGEDMN C#CCOc1ccc(-c2nc3ccc(OC)cc3[nH]2)cc1OC ZINC001250689680 1105560755 /nfs/dbraw/zinc/56/07/55/1105560755.db2.gz FICIPCMWGGHDGK-UHFFFAOYSA-N 0 1 308.337 3.259 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)csc1Cl)C2 ZINC001098287658 1105567459 /nfs/dbraw/zinc/56/74/59/1105567459.db2.gz OAXBLOXPRLRLKB-UTUOFQBUSA-N 0 1 310.850 3.231 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]cc1-c1ccccc1)C2 ZINC001098401029 1105573587 /nfs/dbraw/zinc/57/35/87/1105573587.db2.gz YZGSJPNQCLQQKY-LZQZEXGQSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCCc3ccccc31)C2 ZINC001098470192 1105577284 /nfs/dbraw/zinc/57/72/84/1105577284.db2.gz HAJBQFPAOYLOLU-AFYVEPGGSA-N 0 1 324.468 3.404 20 30 DGEDMN CC(C)c1ccc(OC[C@H](O)CNCc2ccccc2C#N)cc1 ZINC001250772514 1105580751 /nfs/dbraw/zinc/58/07/51/1105580751.db2.gz RDMACKOAKLQREQ-LJQANCHMSA-N 0 1 324.424 3.211 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCc3ccccc3C1)C2 ZINC001098538013 1105583176 /nfs/dbraw/zinc/58/31/76/1105583176.db2.gz ZKEIYCCLGHIUJL-WERVVEIQSA-N 0 1 324.468 3.089 20 30 DGEDMN N#Cc1cccc(Cl)c1-c1nc2cc3c(cc2[nH]1)OCC(=O)N3 ZINC001250990590 1105616855 /nfs/dbraw/zinc/61/68/55/1105616855.db2.gz HVYMYFFBCLYQQG-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cccc3cccnc32)ccc1C#N ZINC001251005120 1105618510 /nfs/dbraw/zinc/61/85/10/1105618510.db2.gz ARJBOHFHNNVQDH-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2csc3ccccc23)C1 ZINC001098966140 1105620052 /nfs/dbraw/zinc/62/00/52/1105620052.db2.gz YVNNAZSQVIADPH-LIRRHRJNSA-N 0 1 324.449 3.119 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC(C)(C)CN1C/C=C/Cl ZINC001099042743 1105633217 /nfs/dbraw/zinc/63/32/17/1105633217.db2.gz AVKIMHQRFXCMOB-XKOZXHHJSA-N 0 1 310.869 3.149 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC(C)(C)CN1C[C@H](F)CC ZINC001099043286 1105633887 /nfs/dbraw/zinc/63/38/87/1105633887.db2.gz MEKGCTZDRSMVOY-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(C)(C)C1CC1 ZINC001099055910 1105635977 /nfs/dbraw/zinc/63/59/77/1105635977.db2.gz XCJASJMKJJXDTQ-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN CC[C@H](F)CN1CC(C)(C)CC[C@@H]1CNC(=O)C#CC(C)C ZINC001099065439 1105638027 /nfs/dbraw/zinc/63/80/27/1105638027.db2.gz SXOCPKXHZGTKFX-JKSUJKDBSA-N 0 1 310.457 3.001 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C)CCCC1 ZINC001099158197 1105651575 /nfs/dbraw/zinc/65/15/75/1105651575.db2.gz COYORMBNWNJORT-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099169923 1105652625 /nfs/dbraw/zinc/65/26/25/1105652625.db2.gz BEIBNNCGWOKGIU-SFHVURJKSA-N 0 1 316.489 3.200 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(C)=C(C)C ZINC001099182374 1105654751 /nfs/dbraw/zinc/65/47/51/1105654751.db2.gz KRYZLSMTVASKQF-KRWDZBQOSA-N 0 1 304.478 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)c1ccsc1 ZINC001099234611 1105660614 /nfs/dbraw/zinc/66/06/14/1105660614.db2.gz KHMCXXAFGNPSRQ-GOEBONIOSA-N 0 1 318.486 3.092 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCCC(C)C ZINC001099245944 1105660983 /nfs/dbraw/zinc/66/09/83/1105660983.db2.gz OUNWBDVPTMOTRO-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)C(C)(C)C ZINC001099321303 1105669146 /nfs/dbraw/zinc/66/91/46/1105669146.db2.gz UCMYCDPJMMTYHZ-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN CC(=O)Nc1ccc2nc(-c3cc(C#N)c(F)cc3F)[nH]c2c1 ZINC001251616201 1105674649 /nfs/dbraw/zinc/67/46/49/1105674649.db2.gz INTKYKLKBWSVNW-UHFFFAOYSA-N 0 1 312.279 3.338 20 30 DGEDMN COc1cc2[nH]c(-c3cn4cc(C#N)ccc4n3)nc2cc1C ZINC001251632128 1105675465 /nfs/dbraw/zinc/67/54/65/1105675465.db2.gz DFZCDGTYZYWCJV-UHFFFAOYSA-N 0 1 303.325 3.066 20 30 DGEDMN CSc1ccc2nc(-c3cnn4ccc(C#N)cc34)[nH]c2c1 ZINC001251809708 1105698715 /nfs/dbraw/zinc/69/87/15/1105698715.db2.gz JTMXXFNFLKZGAK-UHFFFAOYSA-N 0 1 305.366 3.471 20 30 DGEDMN C#CCC1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3CCF)CCCCC1 ZINC001490982684 1105730906 /nfs/dbraw/zinc/73/09/06/1105730906.db2.gz RAVLWPSMJZEQGV-ALOPSCKCSA-N 0 1 320.452 3.041 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001252139967 1105749780 /nfs/dbraw/zinc/74/97/80/1105749780.db2.gz WBDWVFKYCRKFJO-KRWDZBQOSA-N 0 1 323.481 3.465 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001491119352 1105757628 /nfs/dbraw/zinc/75/76/28/1105757628.db2.gz DBYSEROFJOUHCC-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001491214318 1105774905 /nfs/dbraw/zinc/77/49/05/1105774905.db2.gz PPLKHBQQBMZUHC-AWEZNQCLSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)Cc1ccccc1C ZINC001491235401 1105783859 /nfs/dbraw/zinc/78/38/59/1105783859.db2.gz DLJWPXPGGFKWGU-IEBWSBKVSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cc1ccc(C)cc1 ZINC001491254338 1105788776 /nfs/dbraw/zinc/78/87/76/1105788776.db2.gz NOFHGDZLSPBRKK-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(Cl)[nH]1 ZINC001491261107 1105790552 /nfs/dbraw/zinc/79/05/52/1105790552.db2.gz JSIGVYLFUUQFJP-NSHDSACASA-N 0 1 316.232 3.005 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001491260492 1105790634 /nfs/dbraw/zinc/79/06/34/1105790634.db2.gz PFAOBPNXEMUIFL-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN CCCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC001491280676 1105795381 /nfs/dbraw/zinc/79/53/81/1105795381.db2.gz OJOZGJWJZLHAPU-ZIAGYGMSSA-N 0 1 319.474 3.137 20 30 DGEDMN CC(C)=CC(=O)N[C@@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491279645 1105795569 /nfs/dbraw/zinc/79/55/69/1105795569.db2.gz JNHNSADOMHOYLV-AZUAARDMSA-N 0 1 324.468 3.221 20 30 DGEDMN C=C[C@@](C)(O)CN[C@@H]1CN(C(=O)OC(C)(C)C)c2ccccc21 ZINC001252578423 1105797737 /nfs/dbraw/zinc/79/77/37/1105797737.db2.gz DBDPCAKCQOOLOQ-RDTXWAMCSA-N 0 1 318.417 3.009 20 30 DGEDMN C=CCC[C@@H](O)CNc1c(C)nc2ccc(Br)cn21 ZINC001252593769 1105799359 /nfs/dbraw/zinc/79/93/59/1105799359.db2.gz MWWBZZYYELZREN-GFCCVEGCSA-N 0 1 324.222 3.144 20 30 DGEDMN C=CCC[C@H](O)CN1CCO[C@@](C)(c2ccc(Cl)cc2)C1 ZINC001252603208 1105801357 /nfs/dbraw/zinc/80/13/57/1105801357.db2.gz PPILPEQVJPXSBW-DLBZAZTESA-N 0 1 309.837 3.215 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001491414922 1105823000 /nfs/dbraw/zinc/82/30/00/1105823000.db2.gz ZAOMBLQQWSFFAJ-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cc(C)sc1C)C2 ZINC001111479254 1105832866 /nfs/dbraw/zinc/83/28/66/1105832866.db2.gz NTIMETOSMQLTBA-ZACQAIPSSA-N 0 1 318.486 3.205 20 30 DGEDMN C[C@@H](CNC(=O)[C@@H]1CCC=CCCC1)NCC#Cc1ccccc1 ZINC001491497757 1105836123 /nfs/dbraw/zinc/83/61/23/1105836123.db2.gz VIPBGTOQRYTQMA-AZUAARDMSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001491507338 1105836491 /nfs/dbraw/zinc/83/64/91/1105836491.db2.gz IUENDQACFLRKAR-NSHDSACASA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2ccccc2cc1F ZINC001491522038 1105838005 /nfs/dbraw/zinc/83/80/05/1105838005.db2.gz BCZKVGBOWTYEJU-GFCCVEGCSA-N 0 1 320.795 3.439 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CNCc1ccnc(OC)n1 ZINC001252985928 1105865502 /nfs/dbraw/zinc/86/55/02/1105865502.db2.gz ISKXKYCOJONPQA-QGZVFWFLSA-N 0 1 323.481 3.467 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCc1cn(CC2CC2)cn1 ZINC001253253140 1105913002 /nfs/dbraw/zinc/91/30/02/1105913002.db2.gz CAJOTISJTWWOQG-GOSISDBHSA-N 0 1 305.466 3.270 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)CCCC)[C@H](C)C1 ZINC001101930940 1105999459 /nfs/dbraw/zinc/99/94/59/1105999459.db2.gz CSPOGBSLIDXVQJ-GZBFAFLISA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)C(CC)CC)[C@H](C)C1 ZINC001102107475 1106023103 /nfs/dbraw/zinc/02/31/03/1106023103.db2.gz PTTYFCHODGOTGD-IVMMDQJWSA-N 0 1 314.901 3.495 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H]2CN(CC(=C)Cl)C[C@H]2C)CC1 ZINC001102325282 1106050364 /nfs/dbraw/zinc/05/03/64/1106050364.db2.gz JSSPFAYPXJBFDV-UKRRQHHQSA-N 0 1 310.869 3.169 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](N2CC[C@](C)(C#N)C2)CC1 ZINC001254311579 1106054722 /nfs/dbraw/zinc/05/47/22/1106054722.db2.gz GIUMFHBKDVJQGW-WMLDXEAASA-N 0 1 307.438 3.012 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CCCC(C)C)[C@H](C)C1 ZINC001102397379 1106054908 /nfs/dbraw/zinc/05/49/08/1106054908.db2.gz DUJBVBWVEOQZBD-UKRRQHHQSA-N 0 1 300.874 3.249 20 30 DGEDMN Cc1c(C(=O)Nc2ccc(C#N)c(Cl)c2)ccc2cncn21 ZINC001254338524 1106056188 /nfs/dbraw/zinc/05/61/88/1106056188.db2.gz JULJJNPXPQYJSZ-UHFFFAOYSA-N 0 1 310.744 3.420 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N[C@H]2CCSC2=O)CC1 ZINC001254345308 1106057445 /nfs/dbraw/zinc/05/74/45/1106057445.db2.gz WRKVDGSSWAXABT-CKDBGZEDSA-N 0 1 318.417 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cc(Cl)ccc1OC ZINC001491545911 1106069950 /nfs/dbraw/zinc/06/99/50/1106069950.db2.gz AGNXFLOJZOTMDG-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N[C@@H]2COc3ccc(Br)cc32)C1 ZINC001254642720 1106119559 /nfs/dbraw/zinc/11/95/59/1106119559.db2.gz GGBQAXZFIKZDRW-IRUJWGPZSA-N 0 1 307.191 3.164 20 30 DGEDMN C[C@H](N[C@H]1CC[C@@H](C#N)C1)C(=O)Nc1ccc2ccccc2c1 ZINC001254644100 1106120686 /nfs/dbraw/zinc/12/06/86/1106120686.db2.gz QNIIXKKLJRUMNJ-VBQJREDUSA-N 0 1 307.397 3.449 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cccc(CN[C@@H]2CC[C@H](C#N)C2)n1 ZINC001254655379 1106124244 /nfs/dbraw/zinc/12/42/44/1106124244.db2.gz CYCRHSMUCXFQEC-QWHCGFSZSA-N 0 1 316.405 3.210 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254658865 1106125029 /nfs/dbraw/zinc/12/50/29/1106125029.db2.gz JVXKQRIPPGYHHN-LXTVHRRPSA-N 0 1 307.438 3.008 20 30 DGEDMN CC(C)[C@@H]1CN([C@H]2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001254659295 1106125774 /nfs/dbraw/zinc/12/57/74/1106125774.db2.gz NONHMWHJXWFZJI-PMPSAXMXSA-N 0 1 321.465 3.256 20 30 DGEDMN COc1cccc([C@@H]2CSCCN2[C@H]2CC[C@@H](C#N)C2)c1 ZINC001254676581 1106132051 /nfs/dbraw/zinc/13/20/51/1106132051.db2.gz MHYOSENSRZMWTL-KMFMINBZSA-N 0 1 302.443 3.477 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1C[C@@H](N1CC[C@@](C)(C#N)C1)C2 ZINC001254987950 1106163501 /nfs/dbraw/zinc/16/35/01/1106163501.db2.gz YCOUPSJXGFQXTQ-XSWJXKHESA-N 0 1 319.449 3.010 20 30 DGEDMN CC[C@H](CC#N)N[C@H](C)Cc1cc2ccn(C(C)=O)c2c(C#N)c1 ZINC001255159640 1106194175 /nfs/dbraw/zinc/19/41/75/1106194175.db2.gz ZJTNBUMEKINPQT-FZKQIMNGSA-N 0 1 322.412 3.386 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(CCNC(=O)OC(C)(C)C)CC1 ZINC001255167322 1106197278 /nfs/dbraw/zinc/19/72/78/1106197278.db2.gz YIUYDKUUVFCIQS-HNNXBMFYSA-N 0 1 309.454 3.305 20 30 DGEDMN CC[C@H](CC#N)N1C[C@H]2Cc3c(c(-c4ccccc4)nn3C)[C@H]2C1 ZINC001255169620 1106198310 /nfs/dbraw/zinc/19/83/10/1106198310.db2.gz IITAUCWJPWAYDE-ZACQAIPSSA-N 0 1 320.440 3.351 20 30 DGEDMN CC[C@@H](CC#N)N1[C@@H]2CC[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C2 ZINC001255173657 1106199812 /nfs/dbraw/zinc/19/98/12/1106199812.db2.gz NTUYQPLWOFLQFW-XQLPTFJDSA-N 0 1 307.438 3.199 20 30 DGEDMN C[C@H](Cc1ccccc1F)N1CCN(c2cccc(C#N)c2)CC1 ZINC001255852057 1106328249 /nfs/dbraw/zinc/32/82/49/1106328249.db2.gz CESDRVNZQMVADD-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1nccc2ccccc21)C(C)C ZINC001278917481 1106385016 /nfs/dbraw/zinc/38/50/16/1106385016.db2.gz CWWPQGHPQKAOGQ-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1CCC(C)(C)CC1)C(C)C ZINC001278929840 1106406787 /nfs/dbraw/zinc/40/67/87/1106406787.db2.gz MRGLSEUZKQDFBU-UHFFFAOYSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1CC(C(C)(C)C)C1)C(C)C ZINC001278929797 1106406868 /nfs/dbraw/zinc/40/68/68/1106406868.db2.gz LJGUICLRQFBXQA-UHFFFAOYSA-N 0 1 306.494 3.251 20 30 DGEDMN C[C@@H]1C[C@H](N2CC[C@@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001256490830 1106430006 /nfs/dbraw/zinc/43/00/06/1106430006.db2.gz URHLLAODFUHCDI-CPUCHLNUSA-N 0 1 307.438 3.010 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](CC)SC)C(C)(C)C ZINC001278951897 1106456594 /nfs/dbraw/zinc/45/65/94/1106456594.db2.gz YYSKBECBJFAYDB-VXGBXAGGSA-N 0 1 306.903 3.001 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC(C)C)c1ccccc1CC ZINC001278964901 1106483154 /nfs/dbraw/zinc/48/31/54/1106483154.db2.gz ZSGAFQXZFTWKSJ-GOSISDBHSA-N 0 1 300.446 3.065 20 30 DGEDMN Cn1ncc2c1C[N@H+](C1CCC(c3ccc(C#N)cc3)CC1)C2 ZINC001257021304 1106525576 /nfs/dbraw/zinc/52/55/76/1106525576.db2.gz RYSFIDVLCKNSLB-UHFFFAOYSA-N 0 1 306.413 3.334 20 30 DGEDMN Cn1ncc2c1CN(C1CCC(c3ccc(C#N)cc3)CC1)C2 ZINC001257021304 1106525580 /nfs/dbraw/zinc/52/55/80/1106525580.db2.gz RYSFIDVLCKNSLB-UHFFFAOYSA-N 0 1 306.413 3.334 20 30 DGEDMN CC[C@@H]1C[C@H](N2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257139719 1106543624 /nfs/dbraw/zinc/54/36/24/1106543624.db2.gz LNMYFTGCGQVLGW-RRFJBIMHSA-N 0 1 307.438 3.010 20 30 DGEDMN CC(C)[C@H]1C[C@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257199736 1106549972 /nfs/dbraw/zinc/54/99/72/1106549972.db2.gz YCNQWORTCJRAGB-BZUAXINKSA-N 0 1 321.465 3.256 20 30 DGEDMN Cc1nc(Cl)cc([N-][NH+]=C2CCN(C)c3ccccc32)n1 ZINC001257405671 1106583324 /nfs/dbraw/zinc/58/33/24/1106583324.db2.gz SXEUQMSXCQAHFA-UHFFFAOYSA-N 0 1 301.781 3.095 20 30 DGEDMN CN(CCC#N)[C@@H]1CCC[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001257803690 1106630010 /nfs/dbraw/zinc/63/00/10/1106630010.db2.gz MLQWXOTZLUHXBD-CRAIPNDOSA-N 0 1 321.465 3.402 20 30 DGEDMN CCCCCCC[C@@H](C)N1[C@H]2COC[C@@H]1C[C@@H](C(=O)OC)C2 ZINC001258049248 1106664640 /nfs/dbraw/zinc/66/46/40/1106664640.db2.gz CEVCFHDADVONNT-WCXIOVBPSA-N 0 1 311.466 3.388 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cc2cccccc-2c1 ZINC001258207803 1106696608 /nfs/dbraw/zinc/69/66/08/1106696608.db2.gz ALKKDGLUSLWKIU-UHFFFAOYSA-N 0 1 308.362 3.464 20 30 DGEDMN C=CCOCc1nn([C@@H](C)CC(C)(C)SC)c2c1CNC2 ZINC001258336697 1106719159 /nfs/dbraw/zinc/71/91/59/1106719159.db2.gz FOPKRIXHVWJAMO-LBPRGKRZSA-N 0 1 309.479 3.282 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H](C)c1ccsc1 ZINC001316900642 1106747077 /nfs/dbraw/zinc/74/70/77/1106747077.db2.gz KXLYMHIOLUKCOU-ZDUSSCGKSA-N 0 1 314.882 3.432 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(C)cc(F)c1 ZINC001316905305 1106750685 /nfs/dbraw/zinc/75/06/85/1106750685.db2.gz YKUKQYSSHQVTCH-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN CCC1(C(=O)NCCCN(CC#Cc2ccccc2)C2CC2)CC1 ZINC001316924228 1106770398 /nfs/dbraw/zinc/77/03/98/1106770398.db2.gz ZVCRCZSZPDTRGV-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2ccccc2F)CC1 ZINC001316933204 1106780843 /nfs/dbraw/zinc/78/08/43/1106780843.db2.gz LANZHXNQHRQNNO-UHFFFAOYSA-N 0 1 318.436 3.461 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC001316941515 1106795135 /nfs/dbraw/zinc/79/51/35/1106795135.db2.gz RJINIFAHMAMVDU-GOSISDBHSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001320031972 1106798466 /nfs/dbraw/zinc/79/84/66/1106798466.db2.gz AOWWURAGYJSCMW-UHFFFAOYSA-N 0 1 317.477 3.191 20 30 DGEDMN CC[C@H](NCC(=O)Nc1sccc1C#N)c1ccc(F)cc1 ZINC001320040390 1106814157 /nfs/dbraw/zinc/81/41/57/1106814157.db2.gz ZKKAMRPUWQSLQF-AWEZNQCLSA-N 0 1 317.389 3.438 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001316975953 1106837001 /nfs/dbraw/zinc/83/70/01/1106837001.db2.gz NMSDSLAOAQMWAW-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)CCC(C)(F)F)CC1 ZINC001316997744 1106880514 /nfs/dbraw/zinc/88/05/14/1106880514.db2.gz HAFLSAZSHNXHQQ-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2sccc2C)CC1 ZINC001317008285 1106893196 /nfs/dbraw/zinc/89/31/96/1106893196.db2.gz SJMRWZWBAJTBOY-UHFFFAOYSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc2ccccc2o1)C1CC1 ZINC001317026489 1106916225 /nfs/dbraw/zinc/91/62/25/1106916225.db2.gz HUTUELIUSBRLNZ-CQSZACIVSA-N 0 1 318.804 3.283 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@H](F)C1 ZINC001258725541 1106931326 /nfs/dbraw/zinc/93/13/26/1106931326.db2.gz MBQYGRQAPUYJOS-MGPQQGTHSA-N 0 1 300.418 3.278 20 30 DGEDMN C=CCC[C@H](C)N1Cc2ncn(C)c2[C@H](COCC(C)C)C1 ZINC001258726085 1106932598 /nfs/dbraw/zinc/93/25/98/1106932598.db2.gz DUBMWBNFAKROCM-HOTGVXAUSA-N 0 1 305.466 3.347 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2nn(C)cc2[C@@H](COCC2CC2)C1 ZINC001258729717 1106933976 /nfs/dbraw/zinc/93/39/76/1106933976.db2.gz XYHWRXQNOJEFQK-GDBMZVCRSA-N 0 1 303.450 3.101 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C(C2CC2)C2CC2)C1 ZINC001317038323 1106936576 /nfs/dbraw/zinc/93/65/76/1106936576.db2.gz CKEFGFGFNOXMGC-AWEZNQCLSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)c2ccco2)C1 ZINC001317041831 1106941588 /nfs/dbraw/zinc/94/15/88/1106941588.db2.gz JBMINYFREQWNRE-HUUCEWRRSA-N 0 1 324.852 3.306 20 30 DGEDMN C=CCO[C@H]1CCN(C[C@H](O)c2ccccc2C(F)(F)F)C1 ZINC001320320346 1106958406 /nfs/dbraw/zinc/95/84/06/1106958406.db2.gz HACNMUIHRXFVEY-WFASDCNBSA-N 0 1 315.335 3.016 20 30 DGEDMN CCCc1[nH]ncc1C(=O)Nc1ccc(OC(C)C)c(C#N)c1 ZINC001320391401 1106991107 /nfs/dbraw/zinc/99/11/07/1106991107.db2.gz VCRPDYSHNIEOKC-UHFFFAOYSA-N 0 1 312.373 3.273 20 30 DGEDMN C=C(C)CCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC001317095669 1106993355 /nfs/dbraw/zinc/99/33/55/1106993355.db2.gz JTNDGSMJWNYOLS-GOOCMWNKSA-N 0 1 319.474 3.111 20 30 DGEDMN C=C[C@H](COC)N[C@@H]1CCc2c1cc(Br)cc2F ZINC001320428388 1107004449 /nfs/dbraw/zinc/00/44/49/1107004449.db2.gz YTZAKKZFPNOESY-QMTHXVAHSA-N 0 1 314.198 3.366 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCNC/C(Cl)=C/Cl ZINC001320438135 1107008062 /nfs/dbraw/zinc/00/80/62/1107008062.db2.gz UTOOBQNHZQGFIO-ZGSOTFDTSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@@H](NC/C(Cl)=C/Cl)C2)CCC1 ZINC001317119501 1107013155 /nfs/dbraw/zinc/01/31/55/1107013155.db2.gz SJPGINPWIALIFN-OGKWRQLDSA-N 0 1 317.260 3.289 20 30 DGEDMN N#Cc1cccc(S(=O)(=O)Nc2ccccc2C(F)F)c1 ZINC001259122873 1107082561 /nfs/dbraw/zinc/08/25/61/1107082561.db2.gz GXQGVEQNNBNZIP-UHFFFAOYSA-N 0 1 308.309 3.297 20 30 DGEDMN COc1ccccc1S(=O)(=O)Nc1ccc([C@@H](C)C#N)cc1 ZINC001259300169 1107117910 /nfs/dbraw/zinc/11/79/10/1107117910.db2.gz LLRUVOJOKIARQF-LBPRGKRZSA-N 0 1 316.382 3.123 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C(C2CC2)C2CC2)C1 ZINC001317203649 1107122361 /nfs/dbraw/zinc/12/23/61/1107122361.db2.gz JQMQZGPHARBPRT-CJNGLKHVSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C(C2CC2)C2CC2)C1 ZINC001317203648 1107122894 /nfs/dbraw/zinc/12/28/94/1107122894.db2.gz JQMQZGPHARBPRT-BBRMVZONSA-N 0 1 324.896 3.392 20 30 DGEDMN CCC[C@H](C)CC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001317298254 1107217509 /nfs/dbraw/zinc/21/75/09/1107217509.db2.gz GUQZHRQONUOHMS-HKUYNNGSSA-N 0 1 312.457 3.055 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1cnc2ccccc2c1 ZINC001260011057 1107234282 /nfs/dbraw/zinc/23/42/82/1107234282.db2.gz WUDYFYTZDOYTKF-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN C=CCC1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CCC1 ZINC001321230884 1107295226 /nfs/dbraw/zinc/29/52/26/1107295226.db2.gz DTFZYIHTEUNNFL-VOTSOKGWSA-N 0 1 322.452 3.047 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(C)c(C)cc2OC)c1 ZINC001260772169 1107319130 /nfs/dbraw/zinc/31/91/30/1107319130.db2.gz DXUIBRMKPRLEFX-UHFFFAOYSA-N 0 1 315.394 3.094 20 30 DGEDMN C=C[C@H](COC)N[C@@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC001321495040 1107366079 /nfs/dbraw/zinc/36/60/79/1107366079.db2.gz LEUJDVPSAZYXHN-BXUZGUMPSA-N 0 1 300.324 3.268 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC[C@H]1CCCN1Cc1ccon1 ZINC001317438327 1107368542 /nfs/dbraw/zinc/36/85/42/1107368542.db2.gz YFIAOAWNYQKLCP-WMZOPIPTSA-N 0 1 319.449 3.138 20 30 DGEDMN CCc1ccc(C#CC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC001261245319 1107369421 /nfs/dbraw/zinc/36/94/21/1107369421.db2.gz XROBOBVCMZTEPA-UHFFFAOYSA-N 0 1 323.440 3.280 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC[C@H]1CCCN1Cc1ccon1 ZINC001317438323 1107370044 /nfs/dbraw/zinc/37/00/44/1107370044.db2.gz YFIAOAWNYQKLCP-FUHWJXTLSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCC=C(Cl)Cl)CC1 ZINC001321637788 1107400945 /nfs/dbraw/zinc/40/09/45/1107400945.db2.gz WEUPBPLIBNJTGF-LLVKDONJSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)Cc1ccc(C)nc1C ZINC001317485607 1107442917 /nfs/dbraw/zinc/44/29/17/1107442917.db2.gz BHSFQLDVHOPXAE-LJQANCHMSA-N 0 1 317.477 3.239 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@@H](CCO)c2ccccc2F)C1 ZINC001261493800 1107446549 /nfs/dbraw/zinc/44/65/49/1107446549.db2.gz RJBYIKBELIZVCK-AJPWXKBRSA-N 0 1 324.399 3.463 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN1Cc1ccccc1F ZINC001317500629 1107460368 /nfs/dbraw/zinc/46/03/68/1107460368.db2.gz AUEZOUYZNMFFKV-MRXNPFEDSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C1CC1)C1CC1 ZINC001261703384 1107506842 /nfs/dbraw/zinc/50/68/42/1107506842.db2.gz BAOPXYPZSFOCPF-SJORKVTESA-N 0 1 302.462 3.064 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001262010325 1107571783 /nfs/dbraw/zinc/57/17/83/1107571783.db2.gz BAUVLKKMGDKQCO-CYBMUJFWSA-N 0 1 301.308 3.204 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)N(C)CCNCc1ccccc1C#N ZINC001317645509 1107578154 /nfs/dbraw/zinc/57/81/54/1107578154.db2.gz MBXJLKGATGAAFZ-LJQANCHMSA-N 0 1 315.461 3.323 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN(C)Cc2ccc(F)c(F)c2)C1 ZINC001322245039 1107590324 /nfs/dbraw/zinc/59/03/24/1107590324.db2.gz SCZNUOLAIHKZFW-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CCCC1(C)C)c1ccccc1 ZINC001317722594 1107623538 /nfs/dbraw/zinc/62/35/38/1107623538.db2.gz XCBQOHIDJCHCJN-ZWKOTPCHSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001322306984 1107624445 /nfs/dbraw/zinc/62/44/45/1107624445.db2.gz MTSYKZOLWYLIBZ-ZDUSSCGKSA-N 0 1 306.837 3.147 20 30 DGEDMN Cc1c(C(=O)N[C@H](C)c2cccc(C#N)c2)ccc2cncn21 ZINC001262127675 1107626796 /nfs/dbraw/zinc/62/67/96/1107626796.db2.gz KLXRYXZDXVCVNV-GFCCVEGCSA-N 0 1 304.353 3.005 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC(C)(C)C2CC2)C1 ZINC001317757907 1107643878 /nfs/dbraw/zinc/64/38/78/1107643878.db2.gz AJQBABLFMDBMBM-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2cccc(C)c2)C1 ZINC001317763872 1107649505 /nfs/dbraw/zinc/64/95/05/1107649505.db2.gz SYLOSBGDVLGVAS-GOSISDBHSA-N 0 1 300.446 3.036 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2coc3ccccc23)C1 ZINC001317772319 1107656708 /nfs/dbraw/zinc/65/67/08/1107656708.db2.gz JYPXOARCHAONTI-MRXNPFEDSA-N 0 1 324.424 3.383 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)CCCC)C1 ZINC001317837778 1107698939 /nfs/dbraw/zinc/69/89/39/1107698939.db2.gz VHRSUEQZIYXHGX-ZFWWWQNUSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001317796599 1107673498 /nfs/dbraw/zinc/67/34/98/1107673498.db2.gz BGUXYXJKGWXRSH-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001317797349 1107673741 /nfs/dbraw/zinc/67/37/41/1107673741.db2.gz NQXBZSIGZMKUPH-GJZGRUSLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001317804276 1107681597 /nfs/dbraw/zinc/68/15/97/1107681597.db2.gz ARRXADUKYBJYEW-CABCVRRESA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(Cl)o2)C1 ZINC001317825491 1107690697 /nfs/dbraw/zinc/69/06/97/1107690697.db2.gz BIMMNXLRBDPIPM-LLVKDONJSA-N 0 1 317.216 3.079 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2c(C)coc2C)C1 ZINC001317834956 1107696049 /nfs/dbraw/zinc/69/60/49/1107696049.db2.gz AIHQBTAMXXOGDS-CQSZACIVSA-N 0 1 310.825 3.043 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001317838768 1107697896 /nfs/dbraw/zinc/69/78/96/1107697896.db2.gz ASSGEBDUMOSONI-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN[C@H](C)c1ncc(C)o1 ZINC001322528794 1107698349 /nfs/dbraw/zinc/69/83/49/1107698349.db2.gz MUIJJUMEZVBUCO-CQSZACIVSA-N 0 1 307.438 3.084 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001317875680 1107729002 /nfs/dbraw/zinc/72/90/02/1107729002.db2.gz KIXYUFGIIMEVBS-LSDHHAIUSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C2(CC)CCC2)CC1 ZINC001317875753 1107729340 /nfs/dbraw/zinc/72/93/40/1107729340.db2.gz LHKLFVWQSKQDHE-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC001317931333 1107751271 /nfs/dbraw/zinc/75/12/71/1107751271.db2.gz SUUXNZMKLUDRSY-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](CCC)c2ccccn2)C1 ZINC001317981913 1107780118 /nfs/dbraw/zinc/78/01/18/1107780118.db2.gz WZPOVPDJTDIECO-DLBZAZTESA-N 0 1 315.461 3.122 20 30 DGEDMN Nc1ccc(C=NNCCCOc2ccccc2)cc1Cl ZINC001322932485 1107781700 /nfs/dbraw/zinc/78/17/00/1107781700.db2.gz YFCNLWWOFIKJMR-UHFFFAOYSA-N 0 1 303.793 3.315 20 30 DGEDMN C=CCN(CC=C)C(=O)C[N@H+](CCc1ccccc1)C(C)C ZINC001318065650 1107820102 /nfs/dbraw/zinc/82/01/02/1107820102.db2.gz UTDRTQOIZGCGCX-UHFFFAOYSA-N 0 1 300.446 3.140 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1ccccc1)C1CC1 ZINC001323094503 1107831503 /nfs/dbraw/zinc/83/15/03/1107831503.db2.gz NGOMNEUXAXFZKU-BBRMVZONSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cc(C)on2)[C@H](CC)C1 ZINC001323152367 1107852340 /nfs/dbraw/zinc/85/23/40/1107852340.db2.gz VEJHCIUPLSQDOL-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC[N@H+]1CC[C@@H]1CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001323246665 1107903501 /nfs/dbraw/zinc/90/35/01/1107903501.db2.gz OOWDNJNGSCBMSB-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001323339485 1107942608 /nfs/dbraw/zinc/94/26/08/1107942608.db2.gz HHSPFYLNWIMZRU-QZTJIDSGSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1ccc(F)s1 ZINC001323368955 1107952920 /nfs/dbraw/zinc/95/29/20/1107952920.db2.gz AFSMTKAHNQPZDI-CYBMUJFWSA-N 0 1 310.438 3.438 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cc(Cl)ccc2OC)CC1 ZINC001323406644 1107965919 /nfs/dbraw/zinc/96/59/19/1107965919.db2.gz QPMVKDOSKDWGAF-UHFFFAOYSA-N 0 1 322.836 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001318429968 1108001653 /nfs/dbraw/zinc/00/16/53/1108001653.db2.gz VOYVIOJPUFYDCE-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)cc1 ZINC001263307077 1108010623 /nfs/dbraw/zinc/01/06/23/1108010623.db2.gz QLXIDQDZNVXQFN-BONHGPHZSA-N 0 1 322.408 3.188 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)[C@H](C)CCC ZINC001263340479 1108022683 /nfs/dbraw/zinc/02/26/83/1108022683.db2.gz MCXFWXHINBCWHP-GFCCVEGCSA-N 0 1 302.426 3.178 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)[C@H]1CCN(Cc2ccccn2)C1 ZINC001318474159 1108035372 /nfs/dbraw/zinc/03/53/72/1108035372.db2.gz SFFATQYPZAKUHS-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cccnc2N(C)C)c1 ZINC001323664371 1108047249 /nfs/dbraw/zinc/04/72/49/1108047249.db2.gz LCILPKGDRKIIBF-QGZVFWFLSA-N 0 1 324.428 3.118 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001318491704 1108051855 /nfs/dbraw/zinc/05/18/55/1108051855.db2.gz KQSJIHLELYKDFA-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](CCCC)C(C)C)C1 ZINC001318491684 1108053325 /nfs/dbraw/zinc/05/33/25/1108053325.db2.gz KPEAERUIYAGQCB-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CC2CCC(C)(C)CC2)C1 ZINC001318493254 1108054519 /nfs/dbraw/zinc/05/45/19/1108054519.db2.gz YQAUFNCJJSITBE-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC[C@@H](C)NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001318555115 1108092235 /nfs/dbraw/zinc/09/22/35/1108092235.db2.gz MUMFUWJBXXYNGI-AEFFLSMTSA-N 0 1 300.446 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1ccn(C(C)C)n1 ZINC001318556828 1108093138 /nfs/dbraw/zinc/09/31/38/1108093138.db2.gz TYOYAQSOUZWSLU-HNNXBMFYSA-N 0 1 320.481 3.051 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C(C)=C\CC)C2)CC1 ZINC001323816833 1108094433 /nfs/dbraw/zinc/09/44/33/1108094433.db2.gz UCXSGMOZUFXTIC-RZNTYIFUSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001318582612 1108108575 /nfs/dbraw/zinc/10/85/75/1108108575.db2.gz LBWDYQGIJNTTEY-BHYGNILZSA-N 0 1 320.864 3.335 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1cnc(CC(C)(C)C)s1 ZINC001263615837 1108109941 /nfs/dbraw/zinc/10/99/41/1108109941.db2.gz GXVZNDLWPIGFEY-NSHDSACASA-N 0 1 316.430 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(CC(C)C)c[nH]1 ZINC001318594878 1108115186 /nfs/dbraw/zinc/11/51/86/1108115186.db2.gz LDPCNXLGXJHBKW-AWEZNQCLSA-N 0 1 311.857 3.064 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)CCC(F)(F)F)C1 ZINC001323909891 1108118638 /nfs/dbraw/zinc/11/86/38/1108118638.db2.gz XDJJKDKQRTVZIF-UHFFFAOYSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(C)CCC(C)CC1 ZINC001318607051 1108122491 /nfs/dbraw/zinc/12/24/91/1108122491.db2.gz NAUGTPRQLWPAHJ-CXOJTPOUSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C(C)(C)[C@H]2CCCCO2)C1 ZINC001323929886 1108122950 /nfs/dbraw/zinc/12/29/50/1108122950.db2.gz BIQZFYJSUUXUAF-NVXWUHKLSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c[nH]c3ccccc32)C1 ZINC001323944855 1108126328 /nfs/dbraw/zinc/12/63/28/1108126328.db2.gz GILBVHJOPSPNFK-CQSZACIVSA-N 0 1 311.429 3.279 20 30 DGEDMN N#Cc1ccccc1CNCC1(NC(=O)C2=CCCC2)CCCC1 ZINC001318625077 1108131007 /nfs/dbraw/zinc/13/10/07/1108131007.db2.gz VNJUMPSCMCDPHX-UHFFFAOYSA-N 0 1 323.440 3.187 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CN(CC2CC(C)(C)C2)C1 ZINC001323963112 1108131823 /nfs/dbraw/zinc/13/18/23/1108131823.db2.gz HABSHNYADINNMH-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN N#CC[C@@H](OC(=O)c1cccc2nc(C(F)F)[nH]c21)C1CC1 ZINC001263659857 1108145346 /nfs/dbraw/zinc/14/53/46/1108145346.db2.gz UCEYIPJUASIESU-LLVKDONJSA-N 0 1 305.284 3.350 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CC(C2CC2)C2CC2)C1 ZINC001324029754 1108152894 /nfs/dbraw/zinc/15/28/94/1108152894.db2.gz CUYVNFSJSQGTBJ-CQSZACIVSA-N 0 1 304.478 3.310 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(-c2ccc(C(=O)OC)cc2)o1 ZINC001324160005 1108194285 /nfs/dbraw/zinc/19/42/85/1108194285.db2.gz QVFNNUQEKRNAAS-OAHLLOKOSA-N 0 1 315.369 3.024 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccoc1CC ZINC001263988416 1108326756 /nfs/dbraw/zinc/32/67/56/1108326756.db2.gz PLPBRJJVXSCRQA-OCCSQVGLSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C1CC(C)(C)C1 ZINC001263988188 1108326913 /nfs/dbraw/zinc/32/69/13/1108326913.db2.gz HXKNWBQPNFAMMG-DOMZBBRYSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)[C@@H](C)CC)C1 ZINC001264041025 1108348516 /nfs/dbraw/zinc/34/85/16/1108348516.db2.gz XLSQRRMADAFDFO-BMGDILEWSA-N 0 1 318.436 3.332 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC2CCCCC2)C(C)C)[C@H]1C ZINC001264162014 1108408888 /nfs/dbraw/zinc/40/88/88/1108408888.db2.gz FFRLCZXWTFOEGR-NEWSRXKRSA-N 0 1 318.505 3.441 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001319405235 1108447302 /nfs/dbraw/zinc/44/73/02/1108447302.db2.gz KEWQTZXPFJXRRY-HOTGVXAUSA-N 0 1 310.457 3.261 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@H](C)CC(C)(C)C)CC2 ZINC001319407859 1108449185 /nfs/dbraw/zinc/44/91/85/1108449185.db2.gz PARTWJRHLYWJRD-KRWDZBQOSA-N 0 1 318.505 3.397 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1F ZINC001319449135 1108473345 /nfs/dbraw/zinc/47/33/45/1108473345.db2.gz VYNSEQHZENMZAE-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](CCC)c1ccccc1 ZINC001264378961 1108494798 /nfs/dbraw/zinc/49/47/98/1108494798.db2.gz VYRZRXJPAFKMEF-RBUKOAKNSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001264403064 1108509687 /nfs/dbraw/zinc/50/96/87/1108509687.db2.gz CPOIAJWRTFDYGK-JKIFEVAISA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC1(NC(=O)c2ccn(-c3ccncc3)n2)CCCCC1 ZINC001324935630 1108520870 /nfs/dbraw/zinc/52/08/70/1108520870.db2.gz BQUBDHZOCLKSRC-UHFFFAOYSA-N 0 1 310.401 3.276 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(CC)CCCCC2)C1 ZINC001324979325 1108549013 /nfs/dbraw/zinc/54/90/13/1108549013.db2.gz WNGJQKUBGMQWOA-GOSISDBHSA-N 0 1 304.478 3.198 20 30 DGEDMN CC1(C)CN([C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)C1(C)C ZINC001325026616 1108560732 /nfs/dbraw/zinc/56/07/32/1108560732.db2.gz ICLDYHLTYHIUSX-MRXNPFEDSA-N 0 1 311.429 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1CC ZINC001264615309 1108593242 /nfs/dbraw/zinc/59/32/42/1108593242.db2.gz RKVBLBPDQRLVGT-UONOGXRCSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCN(Cc1sc(N2CCCCC2)nc1C)CC1CC1 ZINC001319728455 1108613785 /nfs/dbraw/zinc/61/37/85/1108613785.db2.gz HYBSTORKXJQRTB-UHFFFAOYSA-N 0 1 303.475 3.287 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CCc2ccccc2Cl)[C@@H]1C ZINC001264693416 1108623461 /nfs/dbraw/zinc/62/34/61/1108623461.db2.gz KPFPGAGZHKWSOA-PBHICJAKSA-N 0 1 320.864 3.428 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1c(C)coc1C)c1ccccc1CC ZINC001325360052 1108630952 /nfs/dbraw/zinc/63/09/52/1108630952.db2.gz BACRXZBIWCOMCY-GOSISDBHSA-N 0 1 324.424 3.153 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)c1ccccc1CC ZINC001325364486 1108635499 /nfs/dbraw/zinc/63/54/99/1108635499.db2.gz AXWQVUQFALSBRV-ZCNNSNEGSA-N 0 1 324.468 3.065 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC001265139431 1108746750 /nfs/dbraw/zinc/74/67/50/1108746750.db2.gz NNTVITQLXDRHGO-JKSUJKDBSA-N 0 1 312.885 3.393 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](F)CC2CCCCC2)CC1 ZINC001265198620 1108770068 /nfs/dbraw/zinc/77/00/68/1108770068.db2.gz DFPAUYUUIFKJRQ-GOSISDBHSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001265212683 1108779603 /nfs/dbraw/zinc/77/96/03/1108779603.db2.gz DQCRXAVJPHJVNF-KEEQEYLASA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccc(C(C)C)nc2C)C1 ZINC001265275346 1108801408 /nfs/dbraw/zinc/80/14/08/1108801408.db2.gz NTOUXKPIBGNKLD-QGZVFWFLSA-N 0 1 315.461 3.170 20 30 DGEDMN C[C@H](c1cccc(F)c1)N1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265284128 1108806445 /nfs/dbraw/zinc/80/64/45/1108806445.db2.gz MPDOUMLOIYFGEW-RHSMWYFYSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001265285769 1108806649 /nfs/dbraw/zinc/80/66/49/1108806649.db2.gz HGWVIWWLUNBLGR-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCCCC(=O)N(CCC)C1CCN(C/C=C\Cl)CC1 ZINC001265338779 1108833121 /nfs/dbraw/zinc/83/31/21/1108833121.db2.gz KQRPQWQJRPOGBX-XFFZJAGNSA-N 0 1 310.869 3.245 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2coc(C3CC3)n2)CC1 ZINC001265340888 1108834152 /nfs/dbraw/zinc/83/41/52/1108834152.db2.gz DTOWLVOZURUORX-UHFFFAOYSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1 ZINC001265340325 1108834321 /nfs/dbraw/zinc/83/43/21/1108834321.db2.gz NTUHJVMSRWGBPC-YTQUADARSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001265341333 1108834698 /nfs/dbraw/zinc/83/46/98/1108834698.db2.gz OEHVNXZNVPIHGM-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CN(C)CC=C(Cl)Cl)C1 ZINC001265386421 1108856792 /nfs/dbraw/zinc/85/67/92/1108856792.db2.gz GBXFRFQEZGAZCA-CYBMUJFWSA-N 0 1 319.276 3.442 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(C)CC(=C)C2)C1 ZINC001265395038 1108860954 /nfs/dbraw/zinc/86/09/54/1108860954.db2.gz NQAQFLABUGALKL-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)CC(C)(C)CC)C1 ZINC001265523016 1108899241 /nfs/dbraw/zinc/89/92/41/1108899241.db2.gz GKGOYZMOKOJLIC-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001265554686 1108908607 /nfs/dbraw/zinc/90/86/07/1108908607.db2.gz XRSQBEURZHKOHC-KFWWJZLASA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](F)CC1CCCCC1 ZINC001265655350 1108953274 /nfs/dbraw/zinc/95/32/74/1108953274.db2.gz GEPJTNAKQVOCQB-DLBZAZTESA-N 0 1 310.457 3.452 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001265679509 1108961984 /nfs/dbraw/zinc/96/19/84/1108961984.db2.gz DIFRNZFPRJHLGL-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](C)C(C)(F)F ZINC001265681625 1108964021 /nfs/dbraw/zinc/96/40/21/1108964021.db2.gz DNLDAVQALNDIOW-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001265757159 1108995509 /nfs/dbraw/zinc/99/55/09/1108995509.db2.gz RMPPAJDSUXMHQQ-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(CCCC)cc1 ZINC001265799109 1109007300 /nfs/dbraw/zinc/00/73/00/1109007300.db2.gz SAUVLNRNIYGZJP-AWEZNQCLSA-N 0 1 308.853 3.490 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001265815682 1109013840 /nfs/dbraw/zinc/01/38/40/1109013840.db2.gz FLCJESCWIXGWFD-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCCC1(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CC1 ZINC001265821142 1109015369 /nfs/dbraw/zinc/01/53/69/1109015369.db2.gz MXXMPQPUWJASPP-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001265829650 1109018482 /nfs/dbraw/zinc/01/84/82/1109018482.db2.gz FLYNPXZMDSVQGH-LBPRGKRZSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001265829649 1109018582 /nfs/dbraw/zinc/01/85/82/1109018582.db2.gz FLYNPXZMDSVQGH-GFCCVEGCSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001265835040 1109020817 /nfs/dbraw/zinc/02/08/17/1109020817.db2.gz GIKVGKDKVSVVIY-RBOXIYTFSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@]1(C)CCCc2ccccc21 ZINC001265841705 1109022674 /nfs/dbraw/zinc/02/26/74/1109022674.db2.gz UFOXHJSMXBDUOT-RDTXWAMCSA-N 0 1 320.864 3.127 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001265872612 1109032154 /nfs/dbraw/zinc/03/21/54/1109032154.db2.gz CFNFJUMSULFRIA-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001265925305 1109043137 /nfs/dbraw/zinc/04/31/37/1109043137.db2.gz OUGMGGUPOGBJDT-GJZGRUSLSA-N 0 1 321.490 3.053 20 30 DGEDMN C[C@@H](C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001266096550 1109088076 /nfs/dbraw/zinc/08/80/76/1109088076.db2.gz HXXPSYUBPOSAOM-XLIONFOSSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001266110192 1109093715 /nfs/dbraw/zinc/09/37/15/1109093715.db2.gz COWXXEXSRUXGKJ-HIFRSBDPSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001266186428 1109120033 /nfs/dbraw/zinc/12/00/33/1109120033.db2.gz CRMQSHPGNANJMA-OAHLLOKOSA-N 0 1 319.449 3.008 20 30 DGEDMN O=C(C#CC1CC1)N[C@@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001266218189 1109130458 /nfs/dbraw/zinc/13/04/58/1109130458.db2.gz MQIWQXTXZRJZQN-HXUWFJFHSA-N 0 1 322.452 3.058 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C(CC)(CC)c2ccccc2)CC1 ZINC001279687458 1109160347 /nfs/dbraw/zinc/16/03/47/1109160347.db2.gz LPYOZRFBZQQLFS-UHFFFAOYSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CCCC3CC3)cccc2C1 ZINC001280426768 1109201951 /nfs/dbraw/zinc/20/19/51/1109201951.db2.gz FJEKAZFAARCNAE-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)CC1CCCCC1 ZINC001316603679 1109207374 /nfs/dbraw/zinc/20/73/74/1109207374.db2.gz DUBDMCPPZVPVNE-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCCC[C@H]1[C@H]1CCCN(CCF)C1 ZINC001280888941 1109270071 /nfs/dbraw/zinc/27/00/71/1109270071.db2.gz FPAIQDNJPMTYFA-IRXDYDNUSA-N 0 1 322.468 3.099 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)C3CCC3)cc2C1 ZINC001281292297 1109324275 /nfs/dbraw/zinc/32/42/75/1109324275.db2.gz AIXVTWCVBIROTK-HNNXBMFYSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)CC1(C)C ZINC001281542828 1109376124 /nfs/dbraw/zinc/37/61/24/1109376124.db2.gz XHDYXIQWXONBGY-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC/C=C\c2ccccc2)C(C)(C)C1 ZINC001281553485 1109379676 /nfs/dbraw/zinc/37/96/76/1109379676.db2.gz QXUMVMIHCAXWFO-ICEKFOEVSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](C)CC ZINC001281768226 1109405618 /nfs/dbraw/zinc/40/56/18/1109405618.db2.gz KGGRLPRDOSXBTH-MAUKXSAKSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CC[C@H](C)CC)CC2)C1 ZINC001281783086 1109407987 /nfs/dbraw/zinc/40/79/87/1109407987.db2.gz YUWAUONXKICLLU-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)Cc1cnc(C)s1 ZINC001281940173 1109418452 /nfs/dbraw/zinc/41/84/52/1109418452.db2.gz PPKRPRUGVFLXPY-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H]1CCc2ccccc21 ZINC001281965205 1109423624 /nfs/dbraw/zinc/42/36/24/1109423624.db2.gz PCYYUSYNEQRXKN-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001282124478 1109453850 /nfs/dbraw/zinc/45/38/50/1109453850.db2.gz ONESJCCPSCPHDQ-GJQIVEAUSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2ncccc21 ZINC001282175022 1109462722 /nfs/dbraw/zinc/46/27/22/1109462722.db2.gz MNPVQBZWFQTLIJ-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001282400851 1109503826 /nfs/dbraw/zinc/50/38/26/1109503826.db2.gz BVKSEYPPKRQGNL-MRXNPFEDSA-N 0 1 314.473 3.448 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001282455675 1109514490 /nfs/dbraw/zinc/51/44/90/1109514490.db2.gz QNRZHXXCQFASHV-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC[C@H](C)c1ccccc1 ZINC001282465091 1109517173 /nfs/dbraw/zinc/51/71/73/1109517173.db2.gz PGGGDIVAZQUWNL-IRXDYDNUSA-N 0 1 300.446 3.030 20 30 DGEDMN C/C=C(\C)C(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001282660705 1109545540 /nfs/dbraw/zinc/54/55/40/1109545540.db2.gz CIYAXXOFVNEILD-VUGVYLIFSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001282661389 1109545612 /nfs/dbraw/zinc/54/56/12/1109545612.db2.gz KADBSVCIUJRRNE-HXUWFJFHSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN([C@H](C)c2cccc(F)c2)C1 ZINC001282693355 1109553208 /nfs/dbraw/zinc/55/32/08/1109553208.db2.gz OAOAZVPXHFPLIX-UONOGXRCSA-N 0 1 304.409 3.289 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H](C)c2ccccc2)C(C)(C)C1 ZINC001282833163 1109572946 /nfs/dbraw/zinc/57/29/46/1109572946.db2.gz ISEFKMAPCCXECF-WMZOPIPTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@](C)(CC)CCC)[C@@H]2C1 ZINC001282889853 1109579785 /nfs/dbraw/zinc/57/97/85/1109579785.db2.gz RVQYVDBQEOPVOA-PNBKFKSVSA-N 0 1 312.885 3.249 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)[C@H]1C ZINC001283901199 1109696994 /nfs/dbraw/zinc/69/69/94/1109696994.db2.gz ZUQHGCDOWMHZHS-CZUORRHYSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@@H]1N(C/C=C\Cl)CC2 ZINC001284058415 1109726198 /nfs/dbraw/zinc/72/61/98/1109726198.db2.gz QNIDBHXHYFGUBZ-CZMGKOCRSA-N 0 1 310.869 3.454 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cnccc2C)[C@@H]1C ZINC001284132279 1109745216 /nfs/dbraw/zinc/74/52/16/1109745216.db2.gz VMCGCROTHWPNIK-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1ccco1)C(C)(C)C ZINC001284625919 1109827464 /nfs/dbraw/zinc/82/74/64/1109827464.db2.gz UPHATQYEVZHDTA-JSGCOSHPSA-N 0 1 312.841 3.256 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001284784779 1109860395 /nfs/dbraw/zinc/86/03/95/1109860395.db2.gz UBQVEQZDTIOSGJ-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN Cc1cc(C(=O)NCC#CCN(C)C)sc1-c1ccccc1 ZINC001302295606 1111111270 /nfs/dbraw/zinc/11/12/70/1111111270.db2.gz QLEKZIBJZDYMGF-UHFFFAOYSA-N 0 1 312.438 3.018 20 30 DGEDMN C=CCC1(C(=O)N2CC3(CN(Cc4ccccc4)C3)C2)CCC1 ZINC001302379066 1111113233 /nfs/dbraw/zinc/11/32/33/1111113233.db2.gz HDNCQSJIODTQMV-UHFFFAOYSA-N 0 1 310.441 3.077 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C/[C@]2(C)CC[C@@H](C)C2(C)C)C1 ZINC001303558667 1111147350 /nfs/dbraw/zinc/14/73/50/1111147350.db2.gz MKZQIZLOSWCCJH-ZNXUWGQKSA-N 0 1 316.489 3.219 20 30 DGEDMN CC[C@H](Nc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001306883189 1111246939 /nfs/dbraw/zinc/24/69/39/1111246939.db2.gz VNNCJYPNRPEJPT-AWEZNQCLSA-N 0 1 319.368 3.264 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CC[C@@](C)(CCc2ccccc2)C1 ZINC001307520919 1111311026 /nfs/dbraw/zinc/31/10/26/1111311026.db2.gz QUMXBJABQQZIEV-IEBWSBKVSA-N 0 1 301.430 3.449 20 30 DGEDMN C#CCN(Cc1c2ccccc2oc1C(=O)OCC)CC1CC1 ZINC001307588468 1111317281 /nfs/dbraw/zinc/31/72/81/1111317281.db2.gz KDYMPOMSIRXNCV-UHFFFAOYSA-N 0 1 311.381 3.455 20 30 DGEDMN CN(C)c1cccc(CNC2(c3ccc(C#N)cc3)CCC2)n1 ZINC001307876815 1111350041 /nfs/dbraw/zinc/35/00/41/1111350041.db2.gz AQTBDWJELPMPOW-UHFFFAOYSA-N 0 1 306.413 3.188 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2cccc(OC)c2)o1 ZINC001307949720 1111358848 /nfs/dbraw/zinc/35/88/48/1111358848.db2.gz JNQJFPQRSXXVLR-GASCZTMLSA-N 0 1 311.385 3.428 20 30 DGEDMN N#Cc1ccc(N2CCN(CC[C@@H]3CC3(Cl)Cl)CC2)cc1 ZINC001308011957 1111366115 /nfs/dbraw/zinc/36/61/15/1111366115.db2.gz URJLKAPSJYALIS-CQSZACIVSA-N 0 1 324.255 3.264 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2nc3ccccc3c(C)c2C#N)[nH]n1 ZINC001339626767 1111540555 /nfs/dbraw/zinc/54/05/55/1111540555.db2.gz FKMCUNSRLYXRQY-NSHDSACASA-N 0 1 305.385 3.490 20 30 DGEDMN C#CCC1(C(=O)NCCC[N@H+](C)C[C@@H](F)CC)CCCCC1 ZINC001316866697 1111651963 /nfs/dbraw/zinc/65/19/63/1111651963.db2.gz AXAWZOIDWZXRKS-INIZCTEOSA-N 0 1 310.457 3.146 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCCN1C(=O)CC1CC1 ZINC001316801370 1111738993 /nfs/dbraw/zinc/73/89/93/1111738993.db2.gz PUBODUBQAQDHOC-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001316826604 1111780402 /nfs/dbraw/zinc/78/04/02/1111780402.db2.gz COZAUAQWBHGTOQ-UHFFFAOYSA-N 0 1 319.474 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001316839973 1111782773 /nfs/dbraw/zinc/78/27/73/1111782773.db2.gz JRSHBWSBTMZAMA-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1nc(C)cs1 ZINC001316873205 1111787133 /nfs/dbraw/zinc/78/71/33/1111787133.db2.gz OCXCAILUBCFLGP-CABCVRRESA-N 0 1 321.490 3.277 20 30 DGEDMN C=CCOc1cccc(CN2CCC(O)(C(F)(F)F)CC2)c1 ZINC000272872127 210262934 /nfs/dbraw/zinc/26/29/34/210262934.db2.gz WIRWITGCJVFHJP-UHFFFAOYSA-N 0 1 315.335 3.141 20 30 DGEDMN CC[C@@H]1OCCC[C@@H]1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000330246306 529466185 /nfs/dbraw/zinc/46/61/85/529466185.db2.gz FBQMGKOLVRUHSY-ZFWWWQNUSA-N 0 1 300.362 3.265 20 30 DGEDMN CCNC(=O)c1cccc(CN[C@H](C)c2cccc(C#N)c2)c1 ZINC000181600702 199324802 /nfs/dbraw/zinc/32/48/02/199324802.db2.gz WXSAKXCJBYXXIG-CQSZACIVSA-N 0 1 307.397 3.159 20 30 DGEDMN Cc1csc([C@H](NCCOc2ccccc2C#N)C2CC2)n1 ZINC000181590391 199322873 /nfs/dbraw/zinc/32/28/73/199322873.db2.gz QDTDFKLWXDAVFT-MRXNPFEDSA-N 0 1 313.426 3.443 20 30 DGEDMN CN(CCCc1cc(-c2ccccc2)n[nH]1)C[C@H](C#N)CCC#N ZINC000161359079 529896409 /nfs/dbraw/zinc/89/64/09/529896409.db2.gz ZAKNJJWTKACLPZ-INIZCTEOSA-N 0 1 321.428 3.385 20 30 DGEDMN CCN1CCCC[C@H]1C(=O)Nc1cccc(OCCCC#N)c1 ZINC000104283443 432016357 /nfs/dbraw/zinc/01/63/57/432016357.db2.gz QJYGNEJLRFUMNF-KRWDZBQOSA-N 0 1 315.417 3.182 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(Cc1ccc(C)s1)C(C)C ZINC000066474762 432016477 /nfs/dbraw/zinc/01/64/77/432016477.db2.gz AFLGVQXZGGHSIO-AWEZNQCLSA-N 0 1 321.490 3.275 20 30 DGEDMN COC(=O)CC[C@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC000106248175 432020729 /nfs/dbraw/zinc/02/07/29/432020729.db2.gz AYDHDVCYNHQCQK-SFHVURJKSA-N 0 1 308.381 3.342 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1cccc(C#Cc2ccccn2)c1)N(C)C ZINC000106429269 432021399 /nfs/dbraw/zinc/02/13/99/432021399.db2.gz DWVDEPHQODZBSP-LJQANCHMSA-N 0 1 321.424 3.006 20 30 DGEDMN C[C@]1(O)CCCN(Cc2ccc(C#N)cc2Br)CC1 ZINC000279885706 215206357 /nfs/dbraw/zinc/20/63/57/215206357.db2.gz CTRWZOZGGXBTRZ-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN Cc1ccc(CNCc2cccc(C#N)c2)c(O[C@H]2CCOC2)c1 ZINC000150752792 186063617 /nfs/dbraw/zinc/06/36/17/186063617.db2.gz BNNVQLMZMLPDFE-IBGZPJMESA-N 0 1 322.408 3.324 20 30 DGEDMN CC(C)n1cnnc1[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000172357340 198084855 /nfs/dbraw/zinc/08/48/55/198084855.db2.gz YVAJZGGCSFWRDA-QGZVFWFLSA-N 0 1 309.417 3.110 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC000277105303 213295365 /nfs/dbraw/zinc/29/53/65/213295365.db2.gz WAQBZLTXAUXRQC-MNOVXSKESA-N 0 1 312.377 3.073 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1cccc(-n2cccc2)c1 ZINC000068075498 432049786 /nfs/dbraw/zinc/04/97/86/432049786.db2.gz WBWZWDGWSCAKMO-UHFFFAOYSA-N 0 1 323.377 3.150 20 30 DGEDMN C#CC[C@H](NCc1ccc(N(C)CCOC)nc1)c1ccccc1 ZINC000276612192 213000220 /nfs/dbraw/zinc/00/02/20/213000220.db2.gz ITQUJJVVIZVDTN-IBGZPJMESA-N 0 1 323.440 3.018 20 30 DGEDMN C[C@@H]1CN(CCOc2ccccc2C#N)Cc2ccccc2O1 ZINC000429192086 238043034 /nfs/dbraw/zinc/04/30/34/238043034.db2.gz QTQURFJBYVLPEM-OAHLLOKOSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1cc(F)cc(C(=O)[C@@H](C#N)c2nc3c(nccc3C)[nH]2)c1 ZINC000429570307 238055204 /nfs/dbraw/zinc/05/52/04/238055204.db2.gz UQZCYKXEWXQXAR-CYBMUJFWSA-N 0 1 308.316 3.204 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@@H](c3ccccc3)C2)c1 ZINC000433062995 238145710 /nfs/dbraw/zinc/14/57/10/238145710.db2.gz QWTUIBJPDROLCY-GOSISDBHSA-N 0 1 319.408 3.376 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H](c3ccccc3)C2)cc1 ZINC000433073295 238146079 /nfs/dbraw/zinc/14/60/79/238146079.db2.gz FLWQBVIHDUJYLN-SFHVURJKSA-N 0 1 319.408 3.376 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(Cc2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000271819416 209242877 /nfs/dbraw/zinc/24/28/77/209242877.db2.gz OTPRRMLGUPPPCF-DNVCBOLYSA-N 0 1 319.408 3.010 20 30 DGEDMN C=CCC1(C(=O)NCCN2CCc3ccccc3C2)CCCC1 ZINC000449427959 240198706 /nfs/dbraw/zinc/19/87/06/240198706.db2.gz XMDOWUMEKYVQSO-UHFFFAOYSA-N 0 1 312.457 3.297 20 30 DGEDMN Cc1cc([C@@H](C)N[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)ccc1F ZINC000451161994 241028007 /nfs/dbraw/zinc/02/80/07/241028007.db2.gz MXKDYHUHGBHHIW-GLJUWKHASA-N 0 1 319.424 3.228 20 30 DGEDMN Cc1oc(NC(=O)CN2[C@H](C)C[C@@H]3CCCC[C@H]32)c(C#N)c1C ZINC000246215256 432108841 /nfs/dbraw/zinc/10/88/41/432108841.db2.gz AWDYQNZGOSEMQS-DIOULYMOSA-N 0 1 315.417 3.360 20 30 DGEDMN CCO[C@H]1C[C@@H]([N@@H+](C)Cc2ccc(OCC#N)cc2)C12CCC2 ZINC000268616043 432112127 /nfs/dbraw/zinc/11/21/27/432112127.db2.gz ACIJFXBBNPJYDB-MSOLQXFVSA-N 0 1 314.429 3.368 20 30 DGEDMN CC[C@@H]1CCCN([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000246279115 432115258 /nfs/dbraw/zinc/11/52/58/432115258.db2.gz AFCGLRVGQCRBAN-HIFRSBDPSA-N 0 1 317.458 3.237 20 30 DGEDMN C=C(CC)CNC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000459110069 243253986 /nfs/dbraw/zinc/25/39/86/243253986.db2.gz ZPZPPIXXCCUJQA-UHFFFAOYSA-N 0 1 321.387 3.011 20 30 DGEDMN N#Cc1ccnc(N2CCN(Cc3ccc(C4CC4)cc3)CC2)c1 ZINC000459301330 243323812 /nfs/dbraw/zinc/32/38/12/243323812.db2.gz CVNVRUBCBVCZCG-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN CSCc1cccc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC000109245290 284065865 /nfs/dbraw/zinc/06/58/65/284065865.db2.gz AAXSMDJVGYUFLT-UHFFFAOYSA-N 0 1 318.423 3.222 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCc2ccccc2F)CC1 ZINC000468752203 244064254 /nfs/dbraw/zinc/06/42/54/244064254.db2.gz AENGUZJIPZFWMN-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN Cc1cccnc1CN1CCC(Oc2cccc(C#N)c2)CC1 ZINC000093172196 193210835 /nfs/dbraw/zinc/21/08/35/193210835.db2.gz MNKSILQTBUIQHV-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN CC#CCCNC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000483426673 244859843 /nfs/dbraw/zinc/85/98/43/244859843.db2.gz OLKSDYBBQKYMBC-MRXNPFEDSA-N 0 1 310.401 3.131 20 30 DGEDMN Cc1cc(CN(CCC2CCCCC2)CC(N)=O)ccc1C#N ZINC000487875576 245115852 /nfs/dbraw/zinc/11/58/52/245115852.db2.gz QGKRFVLHXLSXHU-UHFFFAOYSA-N 0 1 313.445 3.124 20 30 DGEDMN Cc1cc(C[N@@H+](C)Cc2nc(=O)c3sccc3[n-]2)ccc1C#N ZINC000487999422 245133466 /nfs/dbraw/zinc/13/34/66/245133466.db2.gz AHBCIKIQYRPAIP-UHFFFAOYSA-N 0 1 324.409 3.209 20 30 DGEDMN C=CCn1cccc1C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000488042337 245138215 /nfs/dbraw/zinc/13/82/15/245138215.db2.gz KSPDQDDUVDQEJB-CYBMUJFWSA-N 0 1 304.353 3.349 20 30 DGEDMN Cc1cc(CN[C@H](C)c2ccc3c(c2)NC(=O)CO3)ccc1C#N ZINC000488098767 245146891 /nfs/dbraw/zinc/14/68/91/245146891.db2.gz JKACYAIGWVRUIL-CYBMUJFWSA-N 0 1 321.380 3.048 20 30 DGEDMN C=CCCCCCN1CCN(Cc2noc(C(C)(C)C)n2)CC1 ZINC000488197595 245161518 /nfs/dbraw/zinc/16/15/18/245161518.db2.gz IAHIAEXXNYDJLI-UHFFFAOYSA-N 0 1 320.481 3.231 20 30 DGEDMN Cc1cc(CN2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)ccc1C#N ZINC000488973880 245258082 /nfs/dbraw/zinc/25/80/82/245258082.db2.gz VGWSATKRXWCSQH-HUUCEWRRSA-N 0 1 312.335 3.002 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000488986371 245259701 /nfs/dbraw/zinc/25/97/01/245259701.db2.gz SGEBSFOHSLFFBR-MRXNPFEDSA-N 0 1 315.417 3.080 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2cccc3nc[nH]c32)cc1 ZINC000489966461 245366583 /nfs/dbraw/zinc/36/65/83/245366583.db2.gz QKOPWZFPWSALTM-INIZCTEOSA-N 0 1 304.353 3.120 20 30 DGEDMN Cc1ccccc1NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271745152 209176533 /nfs/dbraw/zinc/17/65/33/209176533.db2.gz DJRXVJZLJFLLOK-UHFFFAOYSA-N 0 1 305.381 3.084 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000271674151 209118016 /nfs/dbraw/zinc/11/80/16/209118016.db2.gz HDGLWUCIQBSWGV-QFBILLFUSA-N 0 1 306.409 3.218 20 30 DGEDMN CC(C)c1cccc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC000016126962 182034985 /nfs/dbraw/zinc/03/49/85/182034985.db2.gz WCIALAMCZDVMRB-UHFFFAOYSA-N 0 1 300.383 3.482 20 30 DGEDMN CCCc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1 ZINC000016126991 182035068 /nfs/dbraw/zinc/03/50/68/182035068.db2.gz JZGDLKFSNRCUNE-UHFFFAOYSA-N 0 1 300.383 3.312 20 30 DGEDMN N#CC1(NC(=O)/C=C/C2CCC2)CCN(Cc2ccccc2)CC1 ZINC000492343153 245685238 /nfs/dbraw/zinc/68/52/38/245685238.db2.gz VRUHZFHAKGFQIF-MDZDMXLPSA-N 0 1 323.440 3.017 20 30 DGEDMN N#Cc1ccccc1CN1CCC(OC[C@@H]2CCCCO2)CC1 ZINC000267378581 206022759 /nfs/dbraw/zinc/02/27/59/206022759.db2.gz LWFYINNZVNYITL-IBGZPJMESA-N 0 1 314.429 3.108 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1cccc2[nH]ccc21 ZINC000493999467 246104517 /nfs/dbraw/zinc/10/45/17/246104517.db2.gz IXDHTSLTCBHUON-OAHLLOKOSA-N 0 1 311.429 3.189 20 30 DGEDMN Cc1sc(NC(=O)CN2CCC[C@H]2C(C)C)c(C#N)c1C ZINC000267400922 206040176 /nfs/dbraw/zinc/04/01/76/206040176.db2.gz QWMUSGHHHNSCRE-AWEZNQCLSA-N 0 1 305.447 3.296 20 30 DGEDMN CC(C)(C)OC(=O)N(CCNCC1(C#N)CCC1)C(C)(C)C ZINC000496220146 246368505 /nfs/dbraw/zinc/36/85/05/246368505.db2.gz VTMOLCSMSCWLQH-UHFFFAOYSA-N 0 1 309.454 3.305 20 30 DGEDMN CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)CC(C)(C)C#N ZINC000496716934 246432042 /nfs/dbraw/zinc/43/20/42/246432042.db2.gz OBUPJDHHSQLIOF-CQSZACIVSA-N 0 1 309.454 3.115 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@@H](NCC1(C#N)CCC1)C(C)(C)C ZINC000496950359 246464162 /nfs/dbraw/zinc/46/41/62/246464162.db2.gz PSHGMKXGRQUDJH-CYBMUJFWSA-N 0 1 309.454 3.209 20 30 DGEDMN Cc1nnc(NC(=O)c2ccccc2Oc2ccccc2C#N)[nH]1 ZINC000181655405 432142482 /nfs/dbraw/zinc/14/24/82/432142482.db2.gz QHWUPHIXXVKENO-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN Cc1n[nH]c(NC(=O)c2ccccc2Oc2ccccc2C#N)n1 ZINC000181655405 432142485 /nfs/dbraw/zinc/14/24/85/432142485.db2.gz QHWUPHIXXVKENO-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000268894579 432146900 /nfs/dbraw/zinc/14/69/00/432146900.db2.gz JYORQWDBYGHTAK-HNNXBMFYSA-N 0 1 323.400 3.410 20 30 DGEDMN N#Cc1cccc(NC(=O)NC[C@H](c2ccco2)N2CCCC2)c1 ZINC000043330126 183355629 /nfs/dbraw/zinc/35/56/29/183355629.db2.gz ZPVNTJWBUGQMJQ-MRXNPFEDSA-N 0 1 324.384 3.110 20 30 DGEDMN C=CCOc1cccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)c1 ZINC000268899129 207067773 /nfs/dbraw/zinc/06/77/73/207067773.db2.gz PYKHLZIMSJQQNP-OAHLLOKOSA-N 0 1 312.373 3.174 20 30 DGEDMN N#Cc1ccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000269175911 207295733 /nfs/dbraw/zinc/29/57/33/207295733.db2.gz YLAKCYWQSCPWSW-ZDUSSCGKSA-N 0 1 315.764 3.134 20 30 DGEDMN C[C@H](N[C@H](C[S@@](C)=O)c1ccccc1)c1cccc(C#N)c1 ZINC000248926042 285039816 /nfs/dbraw/zinc/03/98/16/285039816.db2.gz LORFLFXPWMNGHX-GKIWIXTESA-N 0 1 312.438 3.329 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)Cc1ccc2c(c1)OCO2 ZINC000120034996 195083471 /nfs/dbraw/zinc/08/34/71/195083471.db2.gz YMNQTDNGVNYPOY-UHFFFAOYSA-N 0 1 300.383 3.371 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000249092940 285055106 /nfs/dbraw/zinc/05/51/06/285055106.db2.gz DPFXPMFNTCEQEA-RCDICMHDSA-N 0 1 312.438 3.489 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc2c(c1)OCCO2 ZINC000120130277 195101513 /nfs/dbraw/zinc/10/15/13/195101513.db2.gz HUIUYVWYUAKRGM-GFCCVEGCSA-N 0 1 312.344 3.319 20 30 DGEDMN C[C@H](NCc1ccc(C#N)s1)c1ccc([S@](C)=O)cc1 ZINC000270161948 208224458 /nfs/dbraw/zinc/22/44/58/208224458.db2.gz UGKSGFSNAOGZKJ-YBTHPKLGSA-N 0 1 304.440 3.208 20 30 DGEDMN CN(CCOc1ccc(C#N)cc1)C[C@H]1OCCc2ccccc21 ZINC000337676664 253158072 /nfs/dbraw/zinc/15/80/72/253158072.db2.gz LRJVITFTEFEQSN-HXUWFJFHSA-N 0 1 322.408 3.183 20 30 DGEDMN COC(=O)c1ccc(CN[C@H](C)c2ccc(C#N)cc2)c(F)c1 ZINC000338363141 253273456 /nfs/dbraw/zinc/27/34/56/253273456.db2.gz PDOHFFFPYKZSES-GFCCVEGCSA-N 0 1 312.344 3.335 20 30 DGEDMN N#Cc1ccccc1-c1ccc(CN2CC[C@@](F)(CO)C2)cc1 ZINC000338624181 253322340 /nfs/dbraw/zinc/32/23/40/253322340.db2.gz FIKPGBOHHNANHX-IBGZPJMESA-N 0 1 310.372 3.132 20 30 DGEDMN C=CCN(CC=C)Cc1c[nH]nc1-c1ccc2c(c1)OCCO2 ZINC000158144966 197247330 /nfs/dbraw/zinc/24/73/30/197247330.db2.gz ZGWMBPGOZMCERN-UHFFFAOYSA-N 0 1 311.385 3.022 20 30 DGEDMN C[C@@H](NCC(=O)N1c2ccccc2C[C@@H]1C)c1ccc(C#N)cc1 ZINC000271656135 209100199 /nfs/dbraw/zinc/10/01/99/209100199.db2.gz KDEJNNPWHCBDFX-LSDHHAIUSA-N 0 1 319.408 3.187 20 30 DGEDMN COc1ccc(CN(C)Cc2ccccc2N(C)C)cc1C#N ZINC000344125143 254229341 /nfs/dbraw/zinc/22/93/41/254229341.db2.gz RCLKUBYPUAYHNB-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccc(C#N)cc2)cc1NC(C)=O ZINC000344161063 254233424 /nfs/dbraw/zinc/23/34/24/254233424.db2.gz FSVRJUZDZJVPIO-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@H](C)c2n[nH]c(C(C)C)n2)cc1 ZINC000271580931 209032060 /nfs/dbraw/zinc/03/20/60/209032060.db2.gz WWICFPNOAJQPAT-ZIAGYGMSSA-N 0 1 312.417 3.352 20 30 DGEDMN Cn1cccc1CN1CCC(Oc2ccc(C#N)cc2F)CC1 ZINC000289759304 221206026 /nfs/dbraw/zinc/20/60/26/221206026.db2.gz QYNUACIAHWSJQC-UHFFFAOYSA-N 0 1 313.376 3.079 20 30 DGEDMN COc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c(Cl)c1 ZINC000435335593 533226489 /nfs/dbraw/zinc/22/64/89/533226489.db2.gz JHFWDFJTEHYZLU-RMKNXTFCSA-N 0 1 315.760 3.173 20 30 DGEDMN N#Cc1ccc(NCc2n[nH]c(C3CC3)n2)c(C(F)(F)F)c1 ZINC000294950300 224333983 /nfs/dbraw/zinc/33/39/83/224333983.db2.gz MBWUNICBYBQHLN-UHFFFAOYSA-N 0 1 307.279 3.185 20 30 DGEDMN N#Cc1cnc2ccc(Cl)cc2c1NCc1n[nH]c(C2CC2)n1 ZINC000294980613 224355450 /nfs/dbraw/zinc/35/54/50/224355450.db2.gz PBQDLEJPPDAWDP-UHFFFAOYSA-N 0 1 324.775 3.367 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@@H](CCCO)c1ccccc1 ZINC000305784413 533269543 /nfs/dbraw/zinc/26/95/43/533269543.db2.gz WYYBXCDLHYWVIX-SFHVURJKSA-N 0 1 310.397 3.170 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2ncc(C(C)(C)C)s2)cn1 ZINC000430612669 533355304 /nfs/dbraw/zinc/35/53/04/533355304.db2.gz SFHZGCCIQMMMOB-NSHDSACASA-N 0 1 315.398 3.334 20 30 DGEDMN COc1ccc(C(=O)[C@H](C#N)c2ncc(C(C)(C)C)s2)cn1 ZINC000430612669 533355310 /nfs/dbraw/zinc/35/53/10/533355310.db2.gz SFHZGCCIQMMMOB-NSHDSACASA-N 0 1 315.398 3.334 20 30 DGEDMN COc1c(Br)cccc1CNCC1(CC#N)CC1 ZINC000430041532 533384523 /nfs/dbraw/zinc/38/45/23/533384523.db2.gz WCJMUCJCVSKDBQ-UHFFFAOYSA-N 0 1 309.207 3.241 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)c1 ZINC000469378634 533519418 /nfs/dbraw/zinc/51/94/18/533519418.db2.gz IAXJUCTZQCJLEM-GJZGRUSLSA-N 0 1 302.418 3.453 20 30 DGEDMN COc1ccc(CN2CCS[C@H](C)C2)cc1OCCCC#N ZINC000131410540 533565617 /nfs/dbraw/zinc/56/56/17/533565617.db2.gz JGNLEDUXIKNNAT-CQSZACIVSA-N 0 1 320.458 3.315 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc3ccncc32)ccc1C#N ZINC000324210108 400178411 /nfs/dbraw/zinc/17/84/11/400178411.db2.gz SMHSQTIIXFZPAC-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#C[C@H](Cc1ccccc1)Sc1nc(-c2cccnc2)n[nH]1 ZINC000609092295 400139029 /nfs/dbraw/zinc/13/90/29/400139029.db2.gz BJYWUFWMNAXFKA-AWEZNQCLSA-N 0 1 307.382 3.094 20 30 DGEDMN CCS[C@@H]1CCC[C@H]1NCc1cccc(C(=O)OC)c1C#N ZINC000585817438 400145114 /nfs/dbraw/zinc/14/51/14/400145114.db2.gz BQFCRNGZUJDIPV-HZPDHXFCSA-N 0 1 318.442 3.109 20 30 DGEDMN N#CCn1c(C(=O)Nc2ccc3nc[nH]c3c2)cc2ccccc21 ZINC000609168048 400147692 /nfs/dbraw/zinc/14/76/92/400147692.db2.gz VPHSXXXYAWDHIB-UHFFFAOYSA-N 0 1 315.336 3.293 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCCCCC1 ZINC000014914433 400159041 /nfs/dbraw/zinc/15/90/41/400159041.db2.gz KZNMDDMFCQGOHY-LBPRGKRZSA-N 0 1 305.809 3.415 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(C#N)c(F)c2)C1 ZINC000616769360 400222155 /nfs/dbraw/zinc/22/21/55/400222155.db2.gz HLYWMKOCYXVVTC-OAHLLOKOSA-N 0 1 318.392 3.109 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](c1ccccc1F)C(C)C ZINC000595685990 400227600 /nfs/dbraw/zinc/22/76/00/400227600.db2.gz MIWMSYPPHAEFTK-KSSFIOAISA-N 0 1 319.424 3.121 20 30 DGEDMN CC(C)[C@@H](N[C@H](C)C(=O)NC1(C#N)CCC1)c1ccccc1F ZINC000595694922 400230397 /nfs/dbraw/zinc/23/03/97/400230397.db2.gz WQELUEUIQVZBLS-CZUORRHYSA-N 0 1 317.408 3.063 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](c3ccccc3)[C@H](CO)C2)c1F ZINC000595748160 400248795 /nfs/dbraw/zinc/24/87/95/400248795.db2.gz QEEWHQJCJIWZOJ-RBUKOAKNSA-N 0 1 324.399 3.295 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1C[C@@H]2CCCC[C@@H]21 ZINC000595566801 400190782 /nfs/dbraw/zinc/19/07/82/400190782.db2.gz NXFQEJWVWXICHN-JBWWOZQKSA-N 0 1 317.477 3.180 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(Cc1ccsc1)C(C)(C)C ZINC000595601701 400200268 /nfs/dbraw/zinc/20/02/68/400200268.db2.gz QOKGJMSOCOQACW-QGZVFWFLSA-N 0 1 321.490 3.403 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccnc(C#N)c2)c(C)c1OC ZINC000588216110 400203125 /nfs/dbraw/zinc/20/31/25/400203125.db2.gz RWQOCFFFFIUOFJ-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccccc3C#N)CC2)c1 ZINC000022775855 400204523 /nfs/dbraw/zinc/20/45/23/400204523.db2.gz TXTFMSADBIFTBA-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1cc(C)cc(C)c1)C1CCC1 ZINC000610001865 400206542 /nfs/dbraw/zinc/20/65/42/400206542.db2.gz INQXPKNCWQGNBY-UHFFFAOYSA-N 0 1 313.445 3.425 20 30 DGEDMN COc1cccc(NC(=O)CNC(C)(C)c2cccc(C#N)c2)c1 ZINC000595637266 400213449 /nfs/dbraw/zinc/21/34/49/400213449.db2.gz VHVFDCZFNGDPEU-UHFFFAOYSA-N 0 1 323.396 3.030 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2cccc(C)c2)n1 ZINC000067895140 400214341 /nfs/dbraw/zinc/21/43/41/400214341.db2.gz PEWVMFCRLNEYKD-LBPRGKRZSA-N 0 1 314.366 3.118 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CCC[C@H](C2CCC2)C1 ZINC000595839500 400277159 /nfs/dbraw/zinc/27/71/59/400277159.db2.gz ZICTXGWGOVTFMB-YOEHRIQHSA-N 0 1 311.429 3.397 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000595845930 400280507 /nfs/dbraw/zinc/28/05/07/400280507.db2.gz WYCQZRYGKZQOSR-YUELXQCFSA-N 0 1 315.373 3.105 20 30 DGEDMN CC1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)Nc1cc(Cl)ccc1C#N ZINC000595856415 400282476 /nfs/dbraw/zinc/28/24/76/400282476.db2.gz BYPIJGVOVGERBS-PHTAMDTFSA-N 0 1 317.820 3.413 20 30 DGEDMN CC1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1cc(Cl)ccc1C#N ZINC000595856415 400282477 /nfs/dbraw/zinc/28/24/77/400282477.db2.gz BYPIJGVOVGERBS-PHTAMDTFSA-N 0 1 317.820 3.413 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C=Cc4ccccc4O3)CC2)nc1 ZINC000595879230 400285154 /nfs/dbraw/zinc/28/51/54/400285154.db2.gz PTTSDDNZZSGNJS-UHFFFAOYSA-N 0 1 317.392 3.394 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N(C)C[C@@H]2CC2(C)C)c(C#N)c1C ZINC000595909069 400291692 /nfs/dbraw/zinc/29/16/92/400291692.db2.gz LQHFBIIJZVTYDD-YPMHNXCESA-N 0 1 303.406 3.073 20 30 DGEDMN COC(=O)c1cnc([C@H]2CCCN(CCC(C)(C)C#N)C2)s1 ZINC000595915472 400293482 /nfs/dbraw/zinc/29/34/82/400293482.db2.gz ZRIRMBXSUUIXAP-LBPRGKRZSA-N 0 1 321.446 3.049 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2ccc(C#N)c([N+](=O)[O-])c2)C1 ZINC000589585062 400305886 /nfs/dbraw/zinc/30/58/86/400305886.db2.gz KXZRNJPGYLGEAH-MRXNPFEDSA-N 0 1 308.341 3.065 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1)N(C)C ZINC000610798721 400307736 /nfs/dbraw/zinc/30/77/36/400307736.db2.gz VTPFPJOXAHKFML-INIZCTEOSA-N 0 1 324.384 3.024 20 30 DGEDMN CC[C@@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000030142469 400320972 /nfs/dbraw/zinc/32/09/72/400320972.db2.gz LCGOKTWQUKTHNP-GFCCVEGCSA-N 0 1 305.809 3.272 20 30 DGEDMN COc1cc2ccnc(N3CCC[C@H](CC#N)C3)c2cc1OC ZINC000596325265 400380833 /nfs/dbraw/zinc/38/08/33/400380833.db2.gz IXRKHZUZFIJOOH-CYBMUJFWSA-N 0 1 311.385 3.382 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)c(F)c2)c(=O)c1CCC#N ZINC000601946472 400384043 /nfs/dbraw/zinc/38/40/43/400384043.db2.gz ZMZUGHSDFUMNQF-JTQLQIEISA-N 0 1 324.153 3.231 20 30 DGEDMN C[C@H]1CCc2[nH]n(-c3ccc(C#N)cc3C(F)(F)F)c(=O)c21 ZINC000601949431 400384633 /nfs/dbraw/zinc/38/46/33/400384633.db2.gz PGUXJJJFVBJDNA-ISVAXAHUSA-N 0 1 307.275 3.326 20 30 DGEDMN N#Cc1cccc(CN2C[C@H]3CN(c4ccccc4)C[C@@H]3C2)c1F ZINC000611371234 400384983 /nfs/dbraw/zinc/38/49/83/400384983.db2.gz MLFRLXMOAKBIAT-ROUUACIJSA-N 0 1 321.399 3.266 20 30 DGEDMN C[C@@H](NCc1cc(F)cc2c1OCOC2)c1cccc(C#N)c1 ZINC000611387642 400389609 /nfs/dbraw/zinc/38/96/09/400389609.db2.gz RIDAXAICHNZLIB-GFCCVEGCSA-N 0 1 312.344 3.415 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@H]1CCCC[C@@H]1C)c1cccc(C#N)c1 ZINC000611387208 400389693 /nfs/dbraw/zinc/38/96/93/400389693.db2.gz HJOYKJCFRJWPNN-MPGHIAIKSA-N 0 1 313.445 3.246 20 30 DGEDMN COc1ccc(C)cc1NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611388920 400390416 /nfs/dbraw/zinc/39/04/16/400390416.db2.gz UOUHZFSQFCZLOR-AWEZNQCLSA-N 0 1 323.396 3.165 20 30 DGEDMN COC(=O)C[C@@H]1CCCCN1CC#Cc1cccc(Cl)c1 ZINC000610953314 400328266 /nfs/dbraw/zinc/32/82/66/400328266.db2.gz MOFNLSHBONRFSK-INIZCTEOSA-N 0 1 305.805 3.109 20 30 DGEDMN N#CC1(CNC(=O)c2cc(Cl)c3ccccc3c2O)CC1 ZINC000578710226 400331726 /nfs/dbraw/zinc/33/17/26/400331726.db2.gz SOKBIJITOFORSN-UHFFFAOYSA-N 0 1 300.745 3.232 20 30 DGEDMN CC(C)(CCC#N)CNc1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000578812496 400332748 /nfs/dbraw/zinc/33/27/48/400332748.db2.gz GRCXDZRTNABRLH-UHFFFAOYSA-N 0 1 312.299 3.065 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N(C)C1CCCCC1 ZINC000611389264 400391470 /nfs/dbraw/zinc/39/14/70/400391470.db2.gz ZDNPWFCFXKZJDQ-LSDHHAIUSA-N 0 1 313.445 3.388 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2nc3ncccc3cc2C#N)n[nH]1 ZINC000596205114 400349295 /nfs/dbraw/zinc/34/92/95/400349295.db2.gz GMJXHVNGOFYACF-MNOVXSKESA-N 0 1 321.388 3.306 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2nc3ncccc3cc2C#N)n[nH]1 ZINC000596205113 400349862 /nfs/dbraw/zinc/34/98/62/400349862.db2.gz GMJXHVNGOFYACF-GHMZBOCLSA-N 0 1 321.388 3.306 20 30 DGEDMN CN1CCN(c2nc(C#N)c(Cl)s2)CC12CCCCC2 ZINC000596251330 400361418 /nfs/dbraw/zinc/36/14/18/400361418.db2.gz QVQVXDNFMSOPHX-UHFFFAOYSA-N 0 1 310.854 3.123 20 30 DGEDMN N#CC1(C(=O)NC[C@@H](c2cccs2)N2CCCC2)CCCC1 ZINC000032762108 400375082 /nfs/dbraw/zinc/37/50/82/400375082.db2.gz NZHPNJXSTYGSPO-AWEZNQCLSA-N 0 1 317.458 3.085 20 30 DGEDMN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000072698396 400398422 /nfs/dbraw/zinc/39/84/22/400398422.db2.gz MIMKDSDEQMPRII-SMDDNHRTSA-N 0 1 305.809 3.271 20 30 DGEDMN N#C[C@H](C(=O)c1cc(C2CC2)[nH]n1)c1ccc(C(F)(F)F)cn1 ZINC000041552678 400409225 /nfs/dbraw/zinc/40/92/25/400409225.db2.gz UTAFJZMWTBTSGI-JTQLQIEISA-N 0 1 320.274 3.191 20 30 DGEDMN N#CC(C(=O)c1cc(C2CC2)[nH]n1)c1ccc(C(F)(F)F)cn1 ZINC000041552678 400409230 /nfs/dbraw/zinc/40/92/30/400409230.db2.gz UTAFJZMWTBTSGI-JTQLQIEISA-N 0 1 320.274 3.191 20 30 DGEDMN COc1cccc2c1CN(CCOc1ccc(C#N)cc1)CC2 ZINC000590759786 400430096 /nfs/dbraw/zinc/43/00/96/400430096.db2.gz NZPBDWOTWBEEJP-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN N#CCN(C(=O)[C@@H]1CC[N@@H+](Cc2ccccc2)C1)c1ccccc1 ZINC000602251742 400430570 /nfs/dbraw/zinc/43/05/70/400430570.db2.gz MGGIHBIBLJFDRA-GOSISDBHSA-N 0 1 319.408 3.065 20 30 DGEDMN N#CC(C(=O)c1coc2ccccc12)c1nnc2n1CCCCC2 ZINC000073089407 400430806 /nfs/dbraw/zinc/43/08/06/400430806.db2.gz DLJQQAUEJOXLND-ZDUSSCGKSA-N 0 1 320.352 3.241 20 30 DGEDMN CC1CCC(N(C(=O)CN2CCC(CC#N)CC2)C2CC2)CC1 ZINC000611686833 400437241 /nfs/dbraw/zinc/43/72/41/400437241.db2.gz APPHCXXEXZFKFP-UHFFFAOYSA-N 0 1 317.477 3.182 20 30 DGEDMN CC1(c2nnc(-c3cccc(F)c3)o2)CN(CCCCC#N)C1 ZINC000596605936 400455975 /nfs/dbraw/zinc/45/59/75/400455975.db2.gz QOJCFEVRSFGUAM-UHFFFAOYSA-N 0 1 314.364 3.143 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1CCc2ccccc21)c1ccc(C#N)cc1 ZINC000127466672 400502028 /nfs/dbraw/zinc/50/20/28/400502028.db2.gz WWSHXZNVVQNVMC-CABCVRRESA-N 0 1 319.408 3.187 20 30 DGEDMN N#Cc1cccc(CN2CCC(OCCc3ccccc3)CC2)n1 ZINC000602449885 400466880 /nfs/dbraw/zinc/46/68/80/400466880.db2.gz TUMARDRZOVSBFO-UHFFFAOYSA-N 0 1 321.424 3.177 20 30 DGEDMN C[C@H](CCC#N)CN[C@H](c1nccn1C)c1cccc(F)c1 ZINC000602500808 400481950 /nfs/dbraw/zinc/48/19/50/400481950.db2.gz PWXHWFWBLMVFMK-CJNGLKHVSA-N 0 1 300.381 3.178 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC000075300086 400571282 /nfs/dbraw/zinc/57/12/82/400571282.db2.gz FGWFROIQEDIJHI-QAPCUYQASA-N 0 1 320.396 3.199 20 30 DGEDMN CCCc1cc(C(=O)N2CCC[C@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000075300219 400572671 /nfs/dbraw/zinc/57/26/71/400572671.db2.gz LLPIJLBNAYQXCN-WMZOPIPTSA-N 0 1 322.412 3.274 20 30 DGEDMN COc1cc2c(cc1CN1CCC[C@@H](CC#N)C1)O[C@@H](C)C2 ZINC000597175472 400577322 /nfs/dbraw/zinc/57/73/22/400577322.db2.gz ZUCWCFJXODQLPI-KBPBESRZSA-N 0 1 300.402 3.144 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](CC#N)C2)cc1OC(F)F ZINC000597175131 400577507 /nfs/dbraw/zinc/57/75/07/400577507.db2.gz XEMJBBVWUGLKJF-LBPRGKRZSA-N 0 1 310.344 3.422 20 30 DGEDMN COc1ccc(-n2cc(CN3CCC[C@@H](CC#N)C3)cn2)cc1 ZINC000597178039 400578006 /nfs/dbraw/zinc/57/80/06/400578006.db2.gz UOWGIWZLCXDDEW-HNNXBMFYSA-N 0 1 310.401 3.007 20 30 DGEDMN CCOC(=O)c1ccc(CNCc2ccc(C#N)c(Cl)c2)[nH]1 ZINC000602659111 400528381 /nfs/dbraw/zinc/52/83/81/400528381.db2.gz NNMLKOCUKBHGLJ-UHFFFAOYSA-N 0 1 317.776 3.006 20 30 DGEDMN CC[C@H](NCc1cccc(C#N)n1)c1ccc(OC)c(OC)c1 ZINC000602664770 400530108 /nfs/dbraw/zinc/53/01/08/400530108.db2.gz VFFOJDCCQASWBO-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](NCC1(C#N)CCCC1)CC2 ZINC000602697565 400543032 /nfs/dbraw/zinc/54/30/32/400543032.db2.gz BHRBFPFYUMDGMI-OAHLLOKOSA-N 0 1 300.402 3.365 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](N[C@@H]1CCCC[C@@H]1C#N)CC2 ZINC000602710182 400548484 /nfs/dbraw/zinc/54/84/84/400548484.db2.gz VIEWCQBXEZCHEB-FVQBIDKESA-N 0 1 300.402 3.363 20 30 DGEDMN Cc1cc(C(C)(C)C)ccc1OC[C@H](O)CNCC(C)(C)C#N ZINC000591759007 400553793 /nfs/dbraw/zinc/55/37/93/400553793.db2.gz CLRLBSWVYUATKJ-MRXNPFEDSA-N 0 1 318.461 3.172 20 30 DGEDMN CC[C@](C)(NCc1cnc2c(C#N)cnn2c1)c1ccc(F)cc1 ZINC000602728387 400554790 /nfs/dbraw/zinc/55/47/90/400554790.db2.gz KYOIFURRJCYEEL-SFHVURJKSA-N 0 1 323.375 3.155 20 30 DGEDMN COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1Cc1ccc(C2(C#N)CC2)cc1 ZINC000597111182 400559911 /nfs/dbraw/zinc/55/99/11/400559911.db2.gz WPCWWDXNFDBPLV-NJAFHUGGSA-N 0 1 324.424 3.015 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H]2CCc3cc(C#N)ccc32)cc1F ZINC000597119150 400562028 /nfs/dbraw/zinc/56/20/28/400562028.db2.gz REZMBRYUOPPTGJ-GOSISDBHSA-N 0 1 324.355 3.261 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2cccc(OCc3ccccc3)c2)C1 ZINC000592151139 400614982 /nfs/dbraw/zinc/61/49/82/400614982.db2.gz MQBRSDYGNPLKSM-FQEVSTJZSA-N 0 1 322.408 3.116 20 30 DGEDMN C[C@](CO)(NCC1(CC#N)CC1)c1cc(Cl)cc(Cl)c1 ZINC000602840418 400588450 /nfs/dbraw/zinc/58/84/50/400588450.db2.gz OCSORPYHFADFMC-CQSZACIVSA-N 0 1 313.228 3.484 20 30 DGEDMN N#Cc1csc(CN[C@H](c2ccncc2)C2(CO)CCC2)c1 ZINC000597254653 400600324 /nfs/dbraw/zinc/60/03/24/400600324.db2.gz NRHNRPNDIGZCJU-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN N#CCCC[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1ccccc1 ZINC000597691823 400661275 /nfs/dbraw/zinc/66/12/75/400661275.db2.gz NVJRCPHSRKTFLH-HOTGVXAUSA-N 0 1 322.412 3.066 20 30 DGEDMN Cc1c(C(=O)C(C#N)c2cc(C)nc(C)n2)oc2c1C(=O)CCC2 ZINC000049407817 400668388 /nfs/dbraw/zinc/66/83/88/400668388.db2.gz QTVJRDASERROFA-LBPRGKRZSA-N 0 1 323.352 3.004 20 30 DGEDMN N#CCCCOc1cccnc1NCc1[nH]nc2ccccc21 ZINC000613442839 400721164 /nfs/dbraw/zinc/72/11/64/400721164.db2.gz NGDWGAQJWDXBPD-UHFFFAOYSA-N 0 1 307.357 3.253 20 30 DGEDMN CCCCN(C)C(=O)C1CCN(Cc2ccccc2C#N)CC1 ZINC000052186244 400721621 /nfs/dbraw/zinc/72/16/21/400721621.db2.gz HUUWOEDEOABXGR-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN N#Cc1ccc(F)c(CN2C[C@H]3CN(c4ccccc4)C[C@@H]3C2)c1 ZINC000603865276 400726711 /nfs/dbraw/zinc/72/67/11/400726711.db2.gz UFWUZSLUKHLJAD-ROUUACIJSA-N 0 1 321.399 3.266 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3CN(c4ccccc4)C[C@@H]3C2)c(F)c1 ZINC000603865306 400726817 /nfs/dbraw/zinc/72/68/17/400726817.db2.gz WGQQWQGPDGADNX-HDICACEKSA-N 0 1 321.399 3.266 20 30 DGEDMN CN(C)Cc1ccc(-c2cccc(C[C@@H](C#N)CO)c2)cc1F ZINC000592987369 400727603 /nfs/dbraw/zinc/72/76/03/400727603.db2.gz QUILBMLCUIJMLW-HNNXBMFYSA-N 0 1 312.388 3.229 20 30 DGEDMN N#Cc1c(F)cccc1NC1CCN(Cc2ccccn2)CC1 ZINC000052407566 400728990 /nfs/dbraw/zinc/72/89/90/400728990.db2.gz RRSDRFNSQUIRGB-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@@H](C)c1cccc(OC)c1 ZINC000052705553 400744080 /nfs/dbraw/zinc/74/40/80/400744080.db2.gz FSJIQVOJBGAYHI-INIZCTEOSA-N 0 1 316.445 3.279 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(F)c(F)c1 ZINC000077183502 400691899 /nfs/dbraw/zinc/69/18/99/400691899.db2.gz CTGGUKPWMHBDJO-UHFFFAOYSA-N 0 1 316.351 3.485 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1cccc(C#N)c1 ZINC000077183513 400692166 /nfs/dbraw/zinc/69/21/66/400692166.db2.gz YSSAWNCFOUTFBW-UHFFFAOYSA-N 0 1 305.381 3.079 20 30 DGEDMN CCc1nc(C)c(C(=O)C(C#N)c2nc3ccccc3[nH]2)s1 ZINC000051897180 400708953 /nfs/dbraw/zinc/70/89/53/400708953.db2.gz VSESVDFXKYNHBK-SNVBAGLBSA-N 0 1 310.382 3.380 20 30 DGEDMN C[C@@]1(C#N)CCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000598406944 400805798 /nfs/dbraw/zinc/80/57/98/400805798.db2.gz BEHSCFYFZIKJCJ-INIZCTEOSA-N 0 1 314.776 3.106 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N(C)CC(=O)N[C@@](C)(C#N)C(C)C)c1 ZINC000604492275 400780067 /nfs/dbraw/zinc/78/00/67/400780067.db2.gz NVVZVRBEJLCSTB-APWZRJJASA-N 0 1 315.461 3.351 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1 ZINC000604529947 400785238 /nfs/dbraw/zinc/78/52/38/400785238.db2.gz CCGWSEQRLBBDOP-UHFFFAOYSA-N 0 1 324.384 3.024 20 30 DGEDMN C[C@@H]1[C@H](C)N(C(=O)C[C@@H](CC#N)c2ccccc2)[C@@H](C)CN1C ZINC000593189594 400786284 /nfs/dbraw/zinc/78/62/84/400786284.db2.gz VFJMZSFWDATQHH-UIBIWLFHSA-N 0 1 313.445 3.013 20 30 DGEDMN C[C@@H]1CN(C)[C@H](C)[C@H](C)N1C(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000593189433 400786418 /nfs/dbraw/zinc/78/64/18/400786418.db2.gz OXIQOPKKCQBQGU-KFWWJZLASA-N 0 1 313.445 3.041 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1c[nH]c2ccccc2c1=O ZINC000053761088 400797443 /nfs/dbraw/zinc/79/74/43/400797443.db2.gz HQGKRYPGUSBHAM-UHFFFAOYSA-N 0 1 324.405 3.418 20 30 DGEDMN CC[C@H](C)CC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593351170 400826058 /nfs/dbraw/zinc/82/60/58/400826058.db2.gz JLAIKCKLZLAIAZ-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN Cc1ccc([C@H](NC[C@@H](O)CC(C)(C)C#N)c2ccccn2)cc1 ZINC000598612440 400842778 /nfs/dbraw/zinc/84/27/78/400842778.db2.gz VEDRAELFMJLJFG-HKUYNNGSSA-N 0 1 323.440 3.370 20 30 DGEDMN C=CCCCN1CCN(c2ncc(Cl)cc2Cl)CC1 ZINC000605150113 400843481 /nfs/dbraw/zinc/84/34/81/400843481.db2.gz SONRPEVCXFJNMY-UHFFFAOYSA-N 0 1 300.233 3.477 20 30 DGEDMN CCOC(=O)CCN(Cc1ccc(Cl)cc1C#N)CC1CC1 ZINC000614163073 400846761 /nfs/dbraw/zinc/84/67/61/400846761.db2.gz SPPYGBHNJHADQH-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1cccc(CN2CCSCC2)c1C ZINC000593440359 400850708 /nfs/dbraw/zinc/85/07/08/400850708.db2.gz KJZDCALSCPRGJS-AWEZNQCLSA-N 0 1 317.458 3.032 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1ccc(CN2CCSCC2)cc1C ZINC000593444107 400851594 /nfs/dbraw/zinc/85/15/94/400851594.db2.gz MFMSPWSWSPGVLI-OAHLLOKOSA-N 0 1 317.458 3.032 20 30 DGEDMN N#CCc1cccc(S(=O)(=O)Nc2cnc3ccccc3c2)c1 ZINC000619461547 400852079 /nfs/dbraw/zinc/85/20/79/400852079.db2.gz TVHJRGPULRPOGB-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=C(C)CN(CC)C(=O)CNC(C)(C)c1ccc(F)c(F)c1 ZINC000180866754 400886742 /nfs/dbraw/zinc/88/67/42/400886742.db2.gz MEFXJHYHNAVSFN-UHFFFAOYSA-N 0 1 310.388 3.214 20 30 DGEDMN N#CC1(CC(=O)Nc2ncc(C(F)(F)F)[nH]2)CCCCC1 ZINC000598689542 400858195 /nfs/dbraw/zinc/85/81/95/400858195.db2.gz IMCLQGBCNNVKDL-UHFFFAOYSA-N 0 1 300.284 3.231 20 30 DGEDMN Cc1cccc([C@H](NC[C@@H](O)CC(C)(C)C#N)c2ccccn2)c1 ZINC000598722157 400865550 /nfs/dbraw/zinc/86/55/50/400865550.db2.gz AFTJCCRQZDSJOF-HKUYNNGSSA-N 0 1 323.440 3.370 20 30 DGEDMN C[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccc(C#N)cc1 ZINC000080334377 400941407 /nfs/dbraw/zinc/94/14/07/400941407.db2.gz ZYPNUMBBFWVUFI-JTQLQIEISA-N 0 1 308.316 3.065 20 30 DGEDMN N#Cc1ccc(CN2CCCCC[C@H]2CO)c(Br)c1 ZINC000594016693 400949347 /nfs/dbraw/zinc/94/93/47/400949347.db2.gz DVYUAICOUDFHGJ-AWEZNQCLSA-N 0 1 323.234 3.058 20 30 DGEDMN CCC[C@H]1CN(C(=O)C(C)(C)C#N)CCN1Cc1ccccc1 ZINC000182490941 400892872 /nfs/dbraw/zinc/89/28/72/400892872.db2.gz WTAKPWREUASHRQ-KRWDZBQOSA-N 0 1 313.445 3.049 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN(CCSC2CCCCC2)CC1 ZINC000619719762 400893635 /nfs/dbraw/zinc/89/36/35/400893635.db2.gz BJPIRGPQWYMTJT-KRWDZBQOSA-N 0 1 309.523 3.218 20 30 DGEDMN Cc1cc(C#N)ccc1CNC(C)(C)C(=O)Nc1ccccc1 ZINC000614403522 400894921 /nfs/dbraw/zinc/89/49/21/400894921.db2.gz FSBAGOYUSFCRJT-UHFFFAOYSA-N 0 1 307.397 3.374 20 30 DGEDMN C[C@H](NCC(=O)N(CCC#N)c1ccccc1)c1ccsc1 ZINC000619782623 400905962 /nfs/dbraw/zinc/90/59/62/400905962.db2.gz GQJRYJHNHLGEIL-AWEZNQCLSA-N 0 1 313.426 3.346 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CC[C@@H]3CCC[C@H]32)c1C#N ZINC000614600299 400920883 /nfs/dbraw/zinc/92/08/83/400920883.db2.gz CLRNOYWWFAIZLP-MAUKXSAKSA-N 0 1 306.413 3.427 20 30 DGEDMN CCN(CC(=O)NCC(C)(C)C)[C@@H](C)c1ccc(C#N)cc1 ZINC000599271498 401007159 /nfs/dbraw/zinc/00/71/59/401007159.db2.gz YNNVTENZWILLNB-AWEZNQCLSA-N 0 1 301.434 3.103 20 30 DGEDMN CO[C@]1(C(F)(F)F)CCCN(Cc2ccc(C#N)cc2C)C1 ZINC000614925080 400968023 /nfs/dbraw/zinc/96/80/23/400968023.db2.gz ULXQDUYWILZYEP-OAHLLOKOSA-N 0 1 312.335 3.410 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C)[C@H](C)c1cc(C)ccc1C ZINC000621281373 401150646 /nfs/dbraw/zinc/15/06/46/401150646.db2.gz FPGJDELFRCQJFN-QGZVFWFLSA-N 0 1 300.446 3.497 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2NCC2(CC#N)CC2)C[C@H](C)O1 ZINC000594702896 401154123 /nfs/dbraw/zinc/15/41/23/401154123.db2.gz ZNZPDYFZELNGEN-HOTGVXAUSA-N 0 1 313.445 3.402 20 30 DGEDMN C=CCCOCC(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000615815209 401155652 /nfs/dbraw/zinc/15/56/52/401155652.db2.gz FKDPDLOWQIFKCM-NSHDSACASA-N 0 1 321.808 3.328 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000625646049 401170105 /nfs/dbraw/zinc/17/01/05/401170105.db2.gz JDLMNOQPBXPTDQ-MCIONIFRSA-N 0 1 302.409 3.023 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000616030107 401198822 /nfs/dbraw/zinc/19/88/22/401198822.db2.gz BMTRWFDMVBNPNG-YAXRCOADSA-N 0 1 323.440 3.115 20 30 DGEDMN C[C@H]1CN(Cc2cc(C#N)cs2)CC[C@H]1C(=O)OC(C)(C)C ZINC000600194234 401217567 /nfs/dbraw/zinc/21/75/67/401217567.db2.gz BSSSTUZVECOANW-SWLSCSKDSA-N 0 1 320.458 3.419 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(CC)[C@@H](C)CC ZINC000634389709 401278355 /nfs/dbraw/zinc/27/83/55/401278355.db2.gz SSSDAXQDNBNRRI-AWEZNQCLSA-N 0 1 316.453 3.101 20 30 DGEDMN C=CCOCCCC(=O)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC000622213828 401364165 /nfs/dbraw/zinc/36/41/65/401364165.db2.gz NVAIACWDOVTVDU-UHFFFAOYSA-N 0 1 311.744 3.277 20 30 DGEDMN C[C@@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@@H](O)C(F)(F)F ZINC000631235876 401433479 /nfs/dbraw/zinc/43/34/79/401433479.db2.gz KWDVTNVAPQRLPN-LHLIQPBNSA-N 0 1 319.220 3.246 20 30 DGEDMN C=CCC[C@H](NC[C@@H](n1cncn1)C(F)(F)F)c1ccco1 ZINC000631693903 401537663 /nfs/dbraw/zinc/53/76/63/401537663.db2.gz VRZXNIQXUOONIE-WCQYABFASA-N 0 1 314.311 3.272 20 30 DGEDMN N#Cc1ccc(CN2CCC(O)(c3ccccc3)CC2)cc1F ZINC000192190688 165286795 /nfs/dbraw/zinc/28/67/95/165286795.db2.gz REKYEQWWZGXCHT-UHFFFAOYSA-N 0 1 310.372 3.181 20 30 DGEDMN CCN(CC(=O)Nc1ccc(C#N)cc1)Cc1ccccc1C ZINC000195567260 165374298 /nfs/dbraw/zinc/37/42/98/165374298.db2.gz NJCLCFOVURZYFJ-UHFFFAOYSA-N 0 1 307.397 3.327 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc2c(c1)CCC2)c1ccc(C#N)cc1 ZINC000271626437 209073484 /nfs/dbraw/zinc/07/34/84/209073484.db2.gz DTLQJJYCVFTUAR-CQSZACIVSA-N 0 1 319.408 3.336 20 30 DGEDMN N#Cc1ccc(NCc2n[nH]c(C3CC3)n2)cc1C(F)(F)F ZINC000190381010 432150703 /nfs/dbraw/zinc/15/07/03/432150703.db2.gz ZVXWQLHZHQAHSN-UHFFFAOYSA-N 0 1 307.279 3.185 20 30 DGEDMN C[C@@H](C#N)CNC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000171842729 432177125 /nfs/dbraw/zinc/17/71/25/432177125.db2.gz CXNBZXBCPCNWTQ-INIZCTEOSA-N 0 1 321.424 3.208 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1 ZINC000182696853 432218781 /nfs/dbraw/zinc/21/87/81/432218781.db2.gz QSZGPEBZNVKJBV-QZTJIDSGSA-N 0 1 314.335 3.456 20 30 DGEDMN Cc1nc(NC[C@H](c2ccco2)N(C)C)c(Cl)cc1C#N ZINC000290990567 222053910 /nfs/dbraw/zinc/05/39/10/222053910.db2.gz RSAHUDFMVUZEFB-CYBMUJFWSA-N 0 1 304.781 3.223 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cc(C#N)ccc2Cl)ccc1F ZINC000160809332 228249239 /nfs/dbraw/zinc/24/92/39/228249239.db2.gz IVZBKTGKYOGYNB-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN(C)C2CCC2)ccc1Cl ZINC000163755660 228263652 /nfs/dbraw/zinc/26/36/52/228263652.db2.gz ZHBPMFVCPLDYRU-UHFFFAOYSA-N 0 1 319.836 3.379 20 30 DGEDMN Cc1cc([C@@H](C)N[C@H](C)C(=O)NC2(C#N)CCCCC2)c(C)o1 ZINC000183800175 228376666 /nfs/dbraw/zinc/37/66/66/228376666.db2.gz AYGPXULTYWHVCG-ZIAGYGMSSA-N 0 1 317.433 3.278 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C(C)(C)C#N)cc2)c(C)o1 ZINC000182777620 228370774 /nfs/dbraw/zinc/37/07/74/228370774.db2.gz RXYNAZQDGSRCIK-UHFFFAOYSA-N 0 1 318.398 3.498 20 30 DGEDMN Cc1c2ccccc2oc1[C@H](C)N[C@@H](C)C(=O)N(C)CCC#N ZINC000183601909 228373564 /nfs/dbraw/zinc/37/35/64/228373564.db2.gz UQDJZMYQQZWRGV-KBPBESRZSA-N 0 1 313.401 3.152 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2cn[nH]c2C2CC2)c1 ZINC000184286670 228382360 /nfs/dbraw/zinc/38/23/60/228382360.db2.gz TWZUQFUATOQXGA-UHFFFAOYSA-N 0 1 310.357 3.222 20 30 DGEDMN O=C(N[C@H]1CCOC2(CCCC2)C1)c1cc2[nH]cnc2cc1F ZINC000329217099 228932828 /nfs/dbraw/zinc/93/28/28/228932828.db2.gz PPGXAFJCVPRLNA-NSHDSACASA-N 0 1 317.364 3.498 20 30 DGEDMN Cc1cc(N(C)C)cc(C)c1NC([O-])=[NH+][C@@H]1CCC[C@@H]1C#N ZINC000330906517 229008142 /nfs/dbraw/zinc/00/81/42/229008142.db2.gz VRSBJRJKJRRSEU-UKRRQHHQSA-N 0 1 300.406 3.388 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SC[C@H](C#N)CCC#N)n2)o1 ZINC000340665311 229091061 /nfs/dbraw/zinc/09/10/61/229091061.db2.gz OQTLVFFBNKDIBZ-DGMVEKRQSA-N 0 1 313.386 3.412 20 30 DGEDMN OCC1(CN(CC#Cc2ccccc2)Cc2ccccc2)CC1 ZINC000341930174 229104807 /nfs/dbraw/zinc/10/48/07/229104807.db2.gz BXXOTXZFJFYLGK-UHFFFAOYSA-N 0 1 305.421 3.313 20 30 DGEDMN COCC1(C#N)CCN([C@H](C)c2cc([N+](=O)[O-])ccc2C)CC1 ZINC000341991622 229105477 /nfs/dbraw/zinc/10/54/77/229105477.db2.gz OBACBOBGYZOIIL-CQSZACIVSA-N 0 1 317.389 3.216 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(-c3ccoc3)n2)nc(C)n1 ZINC000342405357 229111387 /nfs/dbraw/zinc/11/13/87/229111387.db2.gz AZXWTRIIMLPHBK-LBPRGKRZSA-N 0 1 324.365 3.300 20 30 DGEDMN COCCN(Cc1cccs1)Cc1ccc(CC#N)cc1 ZINC000342765856 229117974 /nfs/dbraw/zinc/11/79/74/229117974.db2.gz QXPYSLATYRYWOD-UHFFFAOYSA-N 0 1 300.427 3.463 20 30 DGEDMN COCC1(C#N)CCN(Cc2cccn2CCC(C)C)CC1 ZINC000342839461 229118748 /nfs/dbraw/zinc/11/87/48/229118748.db2.gz NDXUDGNRUJNPFY-UHFFFAOYSA-N 0 1 303.450 3.286 20 30 DGEDMN N#CC(C(=O)c1cc2cc([N+](=O)[O-])ccc2o1)c1ccccn1 ZINC000338311674 229063064 /nfs/dbraw/zinc/06/30/64/229063064.db2.gz CSVUNNSXHDVODY-LBPRGKRZSA-N 0 1 307.265 3.226 20 30 DGEDMN Cc1cc2c[nH]nc2c(C(=O)N(CCC#N)Cc2ccco2)c1 ZINC000338668722 229067532 /nfs/dbraw/zinc/06/75/32/229067532.db2.gz UGNUYHJZCHIESP-UHFFFAOYSA-N 0 1 308.341 3.020 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cccc(N(C)C)c3)[nH]c21 ZINC000338677811 229067876 /nfs/dbraw/zinc/06/78/76/229067876.db2.gz STABIAHMOUTISX-OAHLLOKOSA-N 0 1 318.380 3.427 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccnc(OC(C)C)c2)n1 ZINC000347847815 229192978 /nfs/dbraw/zinc/19/29/78/229192978.db2.gz OUVGZZOREGAHSK-GFCCVEGCSA-N 0 1 301.371 3.124 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnc(OC(C)C)c2)n1 ZINC000347847815 229192984 /nfs/dbraw/zinc/19/29/84/229192984.db2.gz OUVGZZOREGAHSK-GFCCVEGCSA-N 0 1 301.371 3.124 20 30 DGEDMN N#CC(C(=O)c1cc(Cl)ccc1OC(F)F)c1ccncn1 ZINC000354467822 229294708 /nfs/dbraw/zinc/29/47/08/229294708.db2.gz ODXYBJPSQFCLPI-SNVBAGLBSA-N 0 1 323.686 3.221 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc4cc[nH]c4n3)[nH]c21 ZINC000360141556 229390758 /nfs/dbraw/zinc/39/07/58/229390758.db2.gz LNVSLXDJONSQDU-LBPRGKRZSA-N 0 1 315.336 3.238 20 30 DGEDMN C[C@H](N[C@@H]1CC(=O)N(c2ccccc2)C1)c1ccc(C#N)c(F)c1 ZINC000346149748 263969864 /nfs/dbraw/zinc/96/98/64/263969864.db2.gz DKPWUXVHNLTNDL-XJKSGUPXSA-N 0 1 323.371 3.153 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000346942948 264124814 /nfs/dbraw/zinc/12/48/14/264124814.db2.gz TZALFWOUCXMMSN-AWEZNQCLSA-N 0 1 314.433 3.193 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCN(C)c2ccccc2C1 ZINC000347211409 264176771 /nfs/dbraw/zinc/17/67/71/264176771.db2.gz FWFMROPWDPLSMK-UHFFFAOYSA-N 0 1 307.397 3.019 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cc(Cl)ccc2Cl)o1 ZINC000361119755 270004500 /nfs/dbraw/zinc/00/45/00/270004500.db2.gz MGLKVYAPKICCMS-UHFFFAOYSA-N 0 1 317.153 3.259 20 30 DGEDMN CCCc1c(NCc2[nH]ncc2C)cnn1-c1cccc(C#N)c1 ZINC000368089860 266083204 /nfs/dbraw/zinc/08/32/04/266083204.db2.gz AACBCAYZDDLIOM-UHFFFAOYSA-N 0 1 320.400 3.340 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C#N)c(F)c1 ZINC000361825578 267056245 /nfs/dbraw/zinc/05/62/45/267056245.db2.gz MUDPVQGGLFOGOD-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN C[C@@H]1COc2ccccc2CN1CCOc1cccc(C#N)c1 ZINC000359852781 267072353 /nfs/dbraw/zinc/07/23/53/267072353.db2.gz VTNPNJXWUWNDOV-OAHLLOKOSA-N 0 1 308.381 3.220 20 30 DGEDMN C[C@H]1CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC12CCC2 ZINC000357031474 267216924 /nfs/dbraw/zinc/21/69/24/267216924.db2.gz GFWPYAFETUDDKY-YJBOKZPZSA-N 0 1 323.440 3.176 20 30 DGEDMN N#Cc1ccnc(NC2CCN(Cc3ccc(F)cc3)CC2)c1 ZINC000376186785 268296834 /nfs/dbraw/zinc/29/68/34/268296834.db2.gz HQBCEQAYXAGSQT-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN Cc1ccc(CNCc2cc(C#N)n(C)c2)c(OCC2CC2)c1 ZINC000191305151 432249039 /nfs/dbraw/zinc/24/90/39/432249039.db2.gz KWICTDHADLJXBT-UHFFFAOYSA-N 0 1 309.413 3.284 20 30 DGEDMN C[C@H]1C[C@H](c2cccnc2)[N@@H+](CCOc2cccc(C#N)c2)C1 ZINC000359868197 271004110 /nfs/dbraw/zinc/00/41/10/271004110.db2.gz HIIFVWWGWXSDTI-HNAYVOBHSA-N 0 1 307.397 3.415 20 30 DGEDMN C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329609217 274320581 /nfs/dbraw/zinc/32/05/81/274320581.db2.gz NIIIIPNNYIERDB-UVBSCNOISA-N 0 1 324.428 3.094 20 30 DGEDMN C#CCN(C[C@@H](C)c1ccc(S(C)(=O)=O)cc1)C1CCCC1 ZINC000491764984 275381054 /nfs/dbraw/zinc/38/10/54/275381054.db2.gz CLIAPHQNOZRIAY-OAHLLOKOSA-N 0 1 319.470 3.071 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)[C@H](c2ccccc2)C1 ZINC000324204474 277109465 /nfs/dbraw/zinc/10/94/65/277109465.db2.gz RGGCVLNRKQQNQH-SFHVURJKSA-N 0 1 309.388 3.186 20 30 DGEDMN C[C@@H]1CCN(C(=O)c2ccc(C#N)c(O)c2)c2c(O)cccc21 ZINC000188872517 278540759 /nfs/dbraw/zinc/54/07/59/278540759.db2.gz SFXCFLUPDVOFQM-LLVKDONJSA-N 0 1 308.337 3.123 20 30 DGEDMN C[C@@](O)(CNCc1ccc(F)cc1C(F)(F)F)C(F)(F)F ZINC000270563640 279150987 /nfs/dbraw/zinc/15/09/87/279150987.db2.gz QUBLZSKTXYERBQ-SNVBAGLBSA-N 0 1 319.220 3.247 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000108361566 431135304 /nfs/dbraw/zinc/13/53/04/431135304.db2.gz VWZCUWFOZNEYQR-ZDUSSCGKSA-N 0 1 305.447 3.219 20 30 DGEDMN C#CCCOc1ccc(CN[C@@H](C)C(=O)Nc2ccccc2)cc1 ZINC000113341987 431341376 /nfs/dbraw/zinc/34/13/76/431341376.db2.gz HAOZGMMVYVAKPJ-INIZCTEOSA-N 0 1 322.408 3.206 20 30 DGEDMN N#CC(C(=O)c1cccnc1)c1nc(-c2ccncc2)cs1 ZINC000114620392 431401920 /nfs/dbraw/zinc/40/19/20/431401920.db2.gz HELCYVXEZBBOTO-ZDUSSCGKSA-N 0 1 306.350 3.090 20 30 DGEDMN N#C[C@@H](C(=O)c1cccnc1)c1nc(-c2ccncc2)cs1 ZINC000114620392 431401926 /nfs/dbraw/zinc/40/19/26/431401926.db2.gz HELCYVXEZBBOTO-ZDUSSCGKSA-N 0 1 306.350 3.090 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000114712421 431405749 /nfs/dbraw/zinc/40/57/49/431405749.db2.gz IZPLNASHSGZOKJ-ZDUSSCGKSA-N 0 1 310.388 3.382 20 30 DGEDMN CN(CCCCc1ccccc1)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000115536235 431459248 /nfs/dbraw/zinc/45/92/48/431459248.db2.gz FUXBYZOBXZUYTL-IBGZPJMESA-N 0 1 321.424 3.039 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC000076007751 431514049 /nfs/dbraw/zinc/51/40/49/431514049.db2.gz RQHXVENEPCYIHZ-INIZCTEOSA-N 0 1 315.417 3.047 20 30 DGEDMN C=CC[C@H](C)NC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000117024739 431547521 /nfs/dbraw/zinc/54/75/21/431547521.db2.gz AWOHIDIMYVLRBF-LSDHHAIUSA-N 0 1 305.422 3.070 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](CCCO)c1ccccc1 ZINC000077467101 431597987 /nfs/dbraw/zinc/59/79/87/431597987.db2.gz UVSNQMBVCBXAAO-LJQANCHMSA-N 0 1 309.409 3.302 20 30 DGEDMN CSc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1 ZINC000014871285 431598468 /nfs/dbraw/zinc/59/84/68/431598468.db2.gz IOSRONUXIWFUNQ-UHFFFAOYSA-N 0 1 304.396 3.081 20 30 DGEDMN C=CCCCN1CCC[C@@H]1C(=O)Nc1cc(C)ccc1OC ZINC000117891247 431581495 /nfs/dbraw/zinc/58/14/95/431581495.db2.gz FXPBLENTRMZBGO-MRXNPFEDSA-N 0 1 302.418 3.373 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)c1c(O)cc(Cl)cc1Cl ZINC000119042951 431638609 /nfs/dbraw/zinc/63/86/09/431638609.db2.gz LBKAQUQGZQONFH-MRVPVSSYSA-N 0 1 301.173 3.321 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(C#N)cc2)cc1[N+](=O)[O-] ZINC000119329652 431676301 /nfs/dbraw/zinc/67/63/01/431676301.db2.gz POCHHHCUEUONGH-LBPRGKRZSA-N 0 1 311.341 3.326 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@H](CC)c1ccccc1OC(F)F ZINC000119531216 431703966 /nfs/dbraw/zinc/70/39/66/431703966.db2.gz HCLOKOBONAEMNF-DGCLKSJQSA-N 0 1 312.360 3.019 20 30 DGEDMN CCCCN1C(=O)N=NC1SCc1cc(C#N)ccc1F ZINC000029616832 431723937 /nfs/dbraw/zinc/72/39/37/431723937.db2.gz CFFTWDQZFUJHJT-UHFFFAOYSA-N 0 1 306.366 3.087 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000120771809 431733426 /nfs/dbraw/zinc/73/34/26/431733426.db2.gz FPAUOEQDJBTUGM-IAGOWNOFSA-N 0 1 315.461 3.266 20 30 DGEDMN N#CC(C(=O)c1ccn(C2CCCC2)n1)c1nc2ccccc2[nH]1 ZINC000121305862 431770531 /nfs/dbraw/zinc/77/05/31/431770531.db2.gz STEPLOSCFIAXTN-ZDUSSCGKSA-N 0 1 319.368 3.365 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H](C)[N@H+]1CCc2ccccc2C1 ZINC000122789382 431844599 /nfs/dbraw/zinc/84/45/99/431844599.db2.gz VEZBLQBQSCZABW-INIZCTEOSA-N 0 1 312.457 3.318 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000122789382 431844601 /nfs/dbraw/zinc/84/46/01/431844601.db2.gz VEZBLQBQSCZABW-INIZCTEOSA-N 0 1 312.457 3.318 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)[N@H+]1CCc2ccccc2C1 ZINC000122789581 431844813 /nfs/dbraw/zinc/84/48/13/431844813.db2.gz VEZBLQBQSCZABW-MRXNPFEDSA-N 0 1 312.457 3.318 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000122789581 431844814 /nfs/dbraw/zinc/84/48/14/431844814.db2.gz VEZBLQBQSCZABW-MRXNPFEDSA-N 0 1 312.457 3.318 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](C(=O)Nc3ccccc3)C2)o1 ZINC000122865229 431846714 /nfs/dbraw/zinc/84/67/14/431846714.db2.gz JZKAENHHYJEJMO-AWEZNQCLSA-N 0 1 309.369 3.002 20 30 DGEDMN C=CC1CCN(Cc2cc(OC)c(OC)cc2[N+](=O)[O-])CC1 ZINC000093359238 431914468 /nfs/dbraw/zinc/91/44/68/431914468.db2.gz BUVLCZGKMKQRDF-UHFFFAOYSA-N 0 1 306.362 3.010 20 30 DGEDMN C=CCc1cc(CN2CCSC(C)(C)C2)cc(OC)c1O ZINC000093504385 431916214 /nfs/dbraw/zinc/91/62/14/431916214.db2.gz HEICGQWCIJRZLG-UHFFFAOYSA-N 0 1 307.459 3.457 20 30 DGEDMN C=CC1CCN(C(=O)c2cc(-c3cccc(OC)c3)[nH]n2)CC1 ZINC000124119155 431883662 /nfs/dbraw/zinc/88/36/62/431883662.db2.gz YTPNVYODTYIIGE-UHFFFAOYSA-N 0 1 311.385 3.124 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1[nH]nc2ccccc21 ZINC000045404548 431885715 /nfs/dbraw/zinc/88/57/15/431885715.db2.gz UEZGVRSSTJZSAM-UHFFFAOYSA-N 0 1 318.380 3.487 20 30 DGEDMN C=CCCOCCNCc1cc(F)ccc1Br ZINC000090193714 431890423 /nfs/dbraw/zinc/89/04/23/431890423.db2.gz LKUDQYRZDKWHGZ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccccn1 ZINC000046439660 431897482 /nfs/dbraw/zinc/89/74/82/431897482.db2.gz FYYZGONHZBXUMR-LLVKDONJSA-N 0 1 301.689 3.133 20 30 DGEDMN N#CC(C(=O)c1ccc(Br)s1)c1ccccn1 ZINC000046705620 431899562 /nfs/dbraw/zinc/89/95/62/431899562.db2.gz SMGATHFYSQQGHJ-QMMMGPOBSA-N 0 1 307.172 3.396 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@@H]1c1c(C)n[nH]c1C ZINC000091081289 431901032 /nfs/dbraw/zinc/90/10/32/431901032.db2.gz RQTBYBSLVUEUFK-MRXNPFEDSA-N 0 1 310.401 3.244 20 30 DGEDMN CSc1ccc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000097493859 431970658 /nfs/dbraw/zinc/97/06/58/431970658.db2.gz NTUWZJWZNRYCEH-UHFFFAOYSA-N 0 1 311.370 3.225 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccc(CC)cc2)C2CC2)CC1 ZINC000098093224 431977677 /nfs/dbraw/zinc/97/76/77/431977677.db2.gz LADBSEQZXBUFIB-UHFFFAOYSA-N 0 1 324.468 3.085 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc(OC(C)C)nc2)n1 ZINC000048789537 431932037 /nfs/dbraw/zinc/93/20/37/431932037.db2.gz UUBKQZPPEBQMAV-LBPRGKRZSA-N 0 1 301.371 3.124 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(OC(C)C)nc2)n1 ZINC000048789537 431932039 /nfs/dbraw/zinc/93/20/39/431932039.db2.gz UUBKQZPPEBQMAV-LBPRGKRZSA-N 0 1 301.371 3.124 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000125927482 431938356 /nfs/dbraw/zinc/93/83/56/431938356.db2.gz UUGTUZTYCNOTFL-ZDUSSCGKSA-N 0 1 305.378 3.128 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)Nc1cccc([N+](=O)[O-])c1C ZINC000125927482 431938357 /nfs/dbraw/zinc/93/83/57/431938357.db2.gz UUGTUZTYCNOTFL-ZDUSSCGKSA-N 0 1 305.378 3.128 20 30 DGEDMN Cc1cc2ncc([C@@H](C)NCc3cccc(C#N)c3)c(C)n2n1 ZINC000127318313 431969231 /nfs/dbraw/zinc/96/92/31/431969231.db2.gz CRWLJGLMEURXTL-CYBMUJFWSA-N 0 1 305.385 3.069 20 30 DGEDMN CC[C@@H](C)CC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000191576895 432279449 /nfs/dbraw/zinc/27/94/49/432279449.db2.gz PMFDIUZZXWKKAA-MRXNPFEDSA-N 0 1 313.445 3.029 20 30 DGEDMN N#CC(C(=O)c1cncc(F)c1)c1nc(-c2ccncc2)cs1 ZINC000151848697 432282291 /nfs/dbraw/zinc/28/22/91/432282291.db2.gz FPGRQXVLRGCYAP-ZDUSSCGKSA-N 0 1 324.340 3.229 20 30 DGEDMN CN(Cc1cc(C#N)ccc1Br)C[C@@H]1CCCOC1 ZINC000191788313 432302666 /nfs/dbraw/zinc/30/26/66/432302666.db2.gz NPEJLZFPUPKVLR-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN C=CCN(CCOC)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000191970888 432320518 /nfs/dbraw/zinc/32/05/18/432320518.db2.gz IVKKZBDLEFFKNZ-UHFFFAOYSA-N 0 1 319.792 3.005 20 30 DGEDMN C[C@@H](NCc1cnn(C)c1C(F)(F)F)c1ccc(C#N)cc1 ZINC000152544830 432338938 /nfs/dbraw/zinc/33/89/38/432338938.db2.gz QWGHXCQCDCLUQH-SNVBAGLBSA-N 0 1 308.307 3.161 20 30 DGEDMN C[C@@H](C[N@@H+](C)CCOc1ccccc1C#N)c1nccs1 ZINC000184022149 432346166 /nfs/dbraw/zinc/34/61/66/432346166.db2.gz AOCIFKCLJIMYHI-ZDUSSCGKSA-N 0 1 301.415 3.129 20 30 DGEDMN C=C(Br)CN1CCCC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000253086215 432388281 /nfs/dbraw/zinc/38/82/81/432388281.db2.gz AUPGIQCKALLSRM-MGPQQGTHSA-N 0 1 302.256 3.301 20 30 DGEDMN Cc1cnc([C@@H](C)NCCC(=O)Nc2ccc(C#N)cc2)s1 ZINC000184979190 281635283 /nfs/dbraw/zinc/63/52/83/281635283.db2.gz KESNJKWLTGNXJZ-GFCCVEGCSA-N 0 1 314.414 3.003 20 30 DGEDMN COc1cc(C#N)ccc1S(=O)(=O)Nc1ccc(Cl)cc1 ZINC000184765302 432430382 /nfs/dbraw/zinc/43/03/82/432430382.db2.gz IHBBYEFQYSRSTC-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccco1)N1CCCCC1)C1CC1 ZINC000271523759 432415710 /nfs/dbraw/zinc/41/57/10/432415710.db2.gz WDKIPEYHAVVYOP-MRXNPFEDSA-N 0 1 317.433 3.167 20 30 DGEDMN CSc1cccc(C)c1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000185334679 432491638 /nfs/dbraw/zinc/49/16/38/432491638.db2.gz AIEYOIZYMBMEDM-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN Cc1c(C(=O)[C@@H](C#N)c2ccccn2)cnn1Cc1ccccc1 ZINC000193389908 432470225 /nfs/dbraw/zinc/47/02/25/432470225.db2.gz XTTKIGRNIMVMGA-INIZCTEOSA-N 0 1 316.364 3.125 20 30 DGEDMN C=CCc1ccccc1OC[C@H](O)CN(C)Cc1ccoc1C ZINC000194001447 432515465 /nfs/dbraw/zinc/51/54/65/432515465.db2.gz BGLQAZFPGBLCMR-GOSISDBHSA-N 0 1 315.413 3.188 20 30 DGEDMN C[C@H](O)C[C@@H]1CCCN1Cc1ccc(C#N)cc1Br ZINC000272530866 432517452 /nfs/dbraw/zinc/51/74/52/432517452.db2.gz MKWOWWRALNWUOP-FZMZJTMJSA-N 0 1 323.234 3.056 20 30 DGEDMN COc1cccc2c1CCN(Cc1ccc(OCC#N)cc1)C2 ZINC000272980830 432539148 /nfs/dbraw/zinc/53/91/48/432539148.db2.gz QKPRAWKQGPDTNG-UHFFFAOYSA-N 0 1 308.381 3.156 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)Nc2ccc(C#N)cc2)cc1 ZINC000194319187 432540937 /nfs/dbraw/zinc/54/09/37/432540937.db2.gz VSLBIXQTPRKXGX-OAHLLOKOSA-N 0 1 307.397 3.498 20 30 DGEDMN C=CCCOCC[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000186186286 432566659 /nfs/dbraw/zinc/56/66/59/432566659.db2.gz SWDMARFEKTXLGC-LLVKDONJSA-N 0 1 314.223 3.398 20 30 DGEDMN COC(=O)c1ccc(CN(C)Cc2ccc(C#N)cc2C)cc1 ZINC000186265176 432576891 /nfs/dbraw/zinc/57/68/91/432576891.db2.gz ZNVLISAYWJAONH-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@H](C[S@](C)=O)c1ccccc1 ZINC000186322735 432581817 /nfs/dbraw/zinc/58/18/17/432581817.db2.gz BKXNDDNWGWDAQP-GCJKJVERSA-N 0 1 312.438 3.076 20 30 DGEDMN CCOC(=O)[C@@]1(C(C)C)CCN(Cc2cc(F)ccc2C#N)C1 ZINC000194877054 432583717 /nfs/dbraw/zinc/58/37/17/432583717.db2.gz ISKCCSRMKZGXPJ-SFHVURJKSA-N 0 1 318.392 3.109 20 30 DGEDMN Cn1c2ccccc2nc1[C@H](C#N)C(=O)c1csc(C2CC2)n1 ZINC000194987546 432593384 /nfs/dbraw/zinc/59/33/84/432593384.db2.gz UNAQDFBLUJHECN-LLVKDONJSA-N 0 1 322.393 3.397 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1csc(C2CC2)n1 ZINC000194987546 432593389 /nfs/dbraw/zinc/59/33/89/432593389.db2.gz UNAQDFBLUJHECN-LLVKDONJSA-N 0 1 322.393 3.397 20 30 DGEDMN C=CCOc1cccc(CN2CCN(c3ccc(O)cc3)CC2)c1 ZINC000157897405 432633382 /nfs/dbraw/zinc/63/33/82/432633382.db2.gz QBJMQEYWULPMLF-UHFFFAOYSA-N 0 1 324.424 3.279 20 30 DGEDMN C[C@@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCCC2(CC2)C1 ZINC000186981830 432640402 /nfs/dbraw/zinc/64/04/02/432640402.db2.gz NNSSVRJFKBIAAY-WUJZJPHMSA-N 0 1 317.477 3.182 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(O)c(OCC)c1 ZINC000264394467 432641216 /nfs/dbraw/zinc/64/12/16/432641216.db2.gz UALDVQASPKCVRZ-UHFFFAOYSA-N 0 1 311.381 3.093 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CC[C@H](C)[C@@H]2C)c1C ZINC000177867523 432669669 /nfs/dbraw/zinc/66/96/69/432669669.db2.gz XJQHYVYZIIMQMX-RDJZCZTQSA-N 0 1 313.445 3.280 20 30 DGEDMN CSCCc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000188138085 432707777 /nfs/dbraw/zinc/70/77/77/432707777.db2.gz GCYNVTIPSBIZPN-UHFFFAOYSA-N 0 1 312.394 3.422 20 30 DGEDMN Cc1n[nH]c([C@H]2CCCN(Cc3ccc(Cl)cc3C#N)C2)n1 ZINC000274785778 432708455 /nfs/dbraw/zinc/70/84/55/432708455.db2.gz MBHVBSINSIXRCQ-ZDUSSCGKSA-N 0 1 315.808 3.018 20 30 DGEDMN Cc1nnc([C@H]2CCCN(Cc3ccc(Cl)cc3C#N)C2)[nH]1 ZINC000274785778 432708460 /nfs/dbraw/zinc/70/84/60/432708460.db2.gz MBHVBSINSIXRCQ-ZDUSSCGKSA-N 0 1 315.808 3.018 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N2CCc3ccccc3C2)CCC1 ZINC000188264837 432714589 /nfs/dbraw/zinc/71/45/89/432714589.db2.gz DQNAZPJQLRDVRC-INIZCTEOSA-N 0 1 312.457 3.296 20 30 DGEDMN CC[C@@]1(C)Oc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2O1 ZINC000189297054 432776252 /nfs/dbraw/zinc/77/62/52/432776252.db2.gz XSEZIJRIRJZNHM-SFHVURJKSA-N 0 1 324.336 3.414 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2ccc(OC)c(O)c2)cc1 ZINC000178409064 432732237 /nfs/dbraw/zinc/73/22/37/432732237.db2.gz PENDXAPAWBTFHT-AWEZNQCLSA-N 0 1 311.381 3.264 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1ccc(C#N)c(C)c1 ZINC000235069550 432742853 /nfs/dbraw/zinc/74/28/53/432742853.db2.gz YMMYIKBDAJFSSH-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OC(F)(F)F)cc2)cc1O ZINC000189768267 432817023 /nfs/dbraw/zinc/81/70/23/432817023.db2.gz JUKBPBMAKWPYBH-UHFFFAOYSA-N 0 1 322.242 3.415 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H](O)CCOCC ZINC000277547067 432859846 /nfs/dbraw/zinc/85/98/46/432859846.db2.gz QGOMBUMXWRGBBO-LBPRGKRZSA-N 0 1 303.855 3.177 20 30 DGEDMN COc1ccc(CNCc2ccc3c(n2)CCCC3)cc1C#N ZINC000277797331 432876640 /nfs/dbraw/zinc/87/66/40/432876640.db2.gz WYAGDXXQYTUFPB-UHFFFAOYSA-N 0 1 307.397 3.130 20 30 DGEDMN N#CCCN(CCC(=O)Nc1ccc(Cl)cc1)CC1CC1 ZINC000277497502 432855706 /nfs/dbraw/zinc/85/57/06/432855706.db2.gz PCNUUBDACLEJOA-UHFFFAOYSA-N 0 1 305.809 3.294 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NCc2ccc(C#N)cc2F)n[nH]1 ZINC000277517629 432857338 /nfs/dbraw/zinc/85/73/38/432857338.db2.gz XDFKXULQGKHTJJ-QWRGUYRKSA-N 0 1 301.369 3.180 20 30 DGEDMN C#Cc1ccc(C[N@H+](C)Cc2ccccc2N2CCOCC2)cc1 ZINC000289200907 432932316 /nfs/dbraw/zinc/93/23/16/432932316.db2.gz VMENPSMEMIIDDQ-UHFFFAOYSA-N 0 1 320.436 3.137 20 30 DGEDMN C=CCOc1ccc(CNCc2cnc([C@H](C)O)s2)cc1 ZINC000289347112 432947186 /nfs/dbraw/zinc/94/71/86/432947186.db2.gz IOUUYONAWFVOQP-LBPRGKRZSA-N 0 1 304.415 3.051 20 30 DGEDMN O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)[C@@H]1CCCN1C1CC1 ZINC000330214610 432898748 /nfs/dbraw/zinc/89/87/48/432898748.db2.gz GHZPKYMZMVNMDC-CJNGLKHVSA-N 0 1 313.401 3.345 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CCCC[C@H]2C)cn1 ZINC000330234413 432899144 /nfs/dbraw/zinc/89/91/44/432899144.db2.gz SQDPUQUZGNWWKX-BXUZGUMPSA-N 0 1 301.394 3.176 20 30 DGEDMN N#Cc1cccc(OCCN2CCC=C(c3cccnc3)C2)c1 ZINC000289028112 432914945 /nfs/dbraw/zinc/91/49/45/432914945.db2.gz ASNFZWPDBIBIHF-UHFFFAOYSA-N 0 1 305.381 3.121 20 30 DGEDMN CC[C@H]1CC[C@@H](C)N1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000330644157 432921670 /nfs/dbraw/zinc/92/16/70/432921670.db2.gz FMAPZIRTDJRZNY-RISCZKNCSA-N 0 1 305.809 3.413 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(C)cc2OCCOC)cc1 ZINC000289962055 432980477 /nfs/dbraw/zinc/98/04/77/432980477.db2.gz BHZIXQIFMQEZFL-UHFFFAOYSA-N 0 1 309.409 3.291 20 30 DGEDMN N#CCCN(C[C@H](O)Cc1ccc2ccccc2c1)CC1CC1 ZINC000279767606 432991166 /nfs/dbraw/zinc/99/11/66/432991166.db2.gz SFSXREHZXPYEQR-HXUWFJFHSA-N 0 1 308.425 3.369 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N[C@@H](C)c1n[nH]c([C@H](C)CC)n1 ZINC000297476692 432996057 /nfs/dbraw/zinc/99/60/57/432996057.db2.gz SIWJYDSVYGCPOM-NEPJUHHUSA-N 0 1 307.442 3.281 20 30 DGEDMN COc1ccccc1[C@H](CNc1snc(C)c1C#N)N(C)C ZINC000341341133 433032555 /nfs/dbraw/zinc/03/25/55/433032555.db2.gz FKDKHNGVQHDOOK-AWEZNQCLSA-N 0 1 316.430 3.047 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)NC2CCCCC2)s1 ZINC000419827716 433073213 /nfs/dbraw/zinc/07/32/13/433073213.db2.gz BQQAVLSWAJVRCM-LBPRGKRZSA-N 0 1 305.447 3.497 20 30 DGEDMN C=CCN(CCN1CCCOCC1)Cc1ccc(Cl)s1 ZINC000361285099 433080028 /nfs/dbraw/zinc/08/00/28/433080028.db2.gz BCNKKNAFRVZFLT-UHFFFAOYSA-N 0 1 314.882 3.112 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000298581439 433187548 /nfs/dbraw/zinc/18/75/48/433187548.db2.gz LTGZDHNIZODTQG-MAUKXSAKSA-N 0 1 301.434 3.042 20 30 DGEDMN C#CC(C)(C)[N@@H+](C)CCc1nc(-c2ccc(Cl)cc2)no1 ZINC000298677791 433212002 /nfs/dbraw/zinc/21/20/02/433212002.db2.gz YTNOLQYCIOSXKS-UHFFFAOYSA-N 0 1 303.793 3.276 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(CC(C)C)cc2)C1=O ZINC000337198767 433213876 /nfs/dbraw/zinc/21/38/76/433213876.db2.gz AKRHGAHLKPJJCJ-SFHVURJKSA-N 0 1 300.446 3.104 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(Cc2cc(C#N)cs2)C1 ZINC000453602186 433230795 /nfs/dbraw/zinc/23/07/95/433230795.db2.gz FYIFIPSDKSLQQD-INIZCTEOSA-N 0 1 306.431 3.173 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)Cc1ccc(F)cc1)c1ccccc1 ZINC000298738893 433233179 /nfs/dbraw/zinc/23/31/79/433233179.db2.gz BTLGCXIWNQMIDR-IBGZPJMESA-N 0 1 324.399 3.138 20 30 DGEDMN COc1cccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C#N)c1 ZINC000298855271 433263049 /nfs/dbraw/zinc/26/30/49/433263049.db2.gz FFZXIKGNWHMOPA-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN C=CCN1CC[C@H](NC(c2ccccc2)c2ccccc2)C1=O ZINC000281174188 433265796 /nfs/dbraw/zinc/26/57/96/433265796.db2.gz LHIMTNNMNOGILO-SFHVURJKSA-N 0 1 306.409 3.153 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cccc(C(=O)N(C)C)c1 ZINC000425530655 433284667 /nfs/dbraw/zinc/28/46/67/433284667.db2.gz FUDPLIUEFRIQHY-OAHLLOKOSA-N 0 1 321.424 3.453 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425543470 433295414 /nfs/dbraw/zinc/29/54/14/433295414.db2.gz BFERYTHSKZMMMF-GOSISDBHSA-N 0 1 314.404 3.478 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)NCc2cccc(C#N)c2)cc1 ZINC000339084417 433348623 /nfs/dbraw/zinc/34/86/23/433348623.db2.gz IJDDRVYMELQSMV-INIZCTEOSA-N 0 1 321.424 3.176 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2nc(C3CCC3)n[nH]2)cc1 ZINC000281705362 433352664 /nfs/dbraw/zinc/35/26/64/433352664.db2.gz WDYWTKDHKXXADB-UHFFFAOYSA-N 0 1 309.373 3.126 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2n[nH]c(C3CCC3)n2)cc1 ZINC000281705362 433352669 /nfs/dbraw/zinc/35/26/69/433352669.db2.gz WDYWTKDHKXXADB-UHFFFAOYSA-N 0 1 309.373 3.126 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)[C@@H](CC)c2ccccc2)CC1 ZINC000299347558 433361134 /nfs/dbraw/zinc/36/11/34/433361134.db2.gz GATIMLHEJDFBKM-IBGZPJMESA-N 0 1 312.457 3.331 20 30 DGEDMN C#CCN1CCC(C(=O)N([C@@H](C)c2ccccc2)C2CC2)CC1 ZINC000299461256 433366429 /nfs/dbraw/zinc/36/64/29/433366429.db2.gz XLLYDEJEGCXYKW-INIZCTEOSA-N 0 1 310.441 3.084 20 30 DGEDMN CCC1CCC(N(C)CC(=O)Nc2sccc2C#N)CC1 ZINC000299136459 433326801 /nfs/dbraw/zinc/32/68/01/433326801.db2.gz PMGWVRFRPBPBKQ-UHFFFAOYSA-N 0 1 305.447 3.459 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)C[C@@H]1Cc1ccccc1 ZINC000341979436 433390614 /nfs/dbraw/zinc/39/06/14/433390614.db2.gz OUNKIVBAGRZBDY-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)C[C@@H]1Cc1ccccc1 ZINC000341988172 433394398 /nfs/dbraw/zinc/39/43/98/433394398.db2.gz HNWMJQBZFQCWJX-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000426038572 433406713 /nfs/dbraw/zinc/40/67/13/433406713.db2.gz ZQVFROWJNPPYIV-QGZVFWFLSA-N 0 1 319.424 3.008 20 30 DGEDMN C[C@H]1CN(CCCNc2c(Cl)cccc2C#N)C[C@H](C)O1 ZINC000301205888 433451487 /nfs/dbraw/zinc/45/14/87/433451487.db2.gz PXBRSGQYHWXXGC-STQMWFEESA-N 0 1 307.825 3.123 20 30 DGEDMN CN1CCN(c2ccc(Cl)c(C#N)n2)[C@H](c2ccccc2)C1 ZINC000267236473 290057560 /nfs/dbraw/zinc/05/75/60/290057560.db2.gz QYAYFCDRDPTTFY-INIZCTEOSA-N 0 1 312.804 3.100 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)cc1F ZINC000375459315 433472107 /nfs/dbraw/zinc/47/21/07/433472107.db2.gz UGHXMVPKTWFQRV-KRWDZBQOSA-N 0 1 320.371 3.494 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@H](C(F)(F)F)[C@H](CO)C1 ZINC000286342456 290221302 /nfs/dbraw/zinc/22/13/02/290221302.db2.gz FDWKTZVJSCTEEI-UGFHNGPFSA-N 0 1 312.335 3.112 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NCC[N@H+](CC)CCC(F)(F)F ZINC000426374009 433476879 /nfs/dbraw/zinc/47/68/79/433476879.db2.gz WHAVEJDXQPGOTE-ZDUSSCGKSA-N 0 1 321.387 3.011 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NCCN(CC)CCC(F)(F)F ZINC000426374009 433476889 /nfs/dbraw/zinc/47/68/89/433476889.db2.gz WHAVEJDXQPGOTE-ZDUSSCGKSA-N 0 1 321.387 3.011 20 30 DGEDMN CC(C)N1CCC[C@@H](N2CC=C(c3ccc(C#N)cc3)CC2)C1=O ZINC000362104874 433525674 /nfs/dbraw/zinc/52/56/74/433525674.db2.gz ZODVDTQMAZRTQO-LJQANCHMSA-N 0 1 323.440 3.047 20 30 DGEDMN C=CCC[C@@H](C(=O)N1CCN(C(C)C)CC1)c1ccccc1 ZINC000426451836 433501641 /nfs/dbraw/zinc/50/16/41/433501641.db2.gz SKFVFZOLQTWFAH-GOSISDBHSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000292360351 433560322 /nfs/dbraw/zinc/56/03/22/433560322.db2.gz YQXWEEFJRFZUFX-SFHVURJKSA-N 0 1 324.428 3.228 20 30 DGEDMN N#CCCCC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000292625481 433582501 /nfs/dbraw/zinc/58/25/01/433582501.db2.gz NUOTYWMMAALCKD-INIZCTEOSA-N 0 1 319.836 3.287 20 30 DGEDMN CCCC[N@@H+](CCCO)Cc1ccc(C#N)cc1OC(F)F ZINC000342284252 433585455 /nfs/dbraw/zinc/58/54/55/433585455.db2.gz RFUKTZWZNRZOKK-UHFFFAOYSA-N 0 1 312.360 3.144 20 30 DGEDMN COC[C@@H](NCc1ccc(F)c(C#N)c1)c1ccc(F)cc1 ZINC000342316361 433613134 /nfs/dbraw/zinc/61/31/34/433613134.db2.gz LOODKAZVRHFNFZ-QGZVFWFLSA-N 0 1 302.324 3.314 20 30 DGEDMN N#CC(C(=O)c1c[nH]nc1-c1cccc(Cl)c1)c1ccncn1 ZINC000362283980 433620114 /nfs/dbraw/zinc/62/01/14/433620114.db2.gz DXYKQOWSCFEYDT-LBPRGKRZSA-N 0 1 323.743 3.010 20 30 DGEDMN N#C[C@H](C(=O)c1c[nH]nc1-c1cccc(Cl)c1)c1ccncn1 ZINC000362283980 433620122 /nfs/dbraw/zinc/62/01/22/433620122.db2.gz DXYKQOWSCFEYDT-LBPRGKRZSA-N 0 1 323.743 3.010 20 30 DGEDMN Cn1cc(-c2cccc(CNCc3ccc(C#N)cc3F)c2)cn1 ZINC000342432128 433691073 /nfs/dbraw/zinc/69/10/73/433691073.db2.gz RNIJJYVWXZZKSG-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN C=CCSc1ccccc1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000362422532 433705030 /nfs/dbraw/zinc/70/50/30/433705030.db2.gz YBBGBPSXVRPEAZ-AWEZNQCLSA-N 0 1 313.426 3.495 20 30 DGEDMN CC(C)[C@@H]1N(Cc2ccc(C#N)cc2F)CC12CCOCC2 ZINC000414543924 433709526 /nfs/dbraw/zinc/70/95/26/433709526.db2.gz UBHIMLJPSWCVQW-KRWDZBQOSA-N 0 1 302.393 3.334 20 30 DGEDMN CC(C)C[C@H](N)c1noc([C@H](C)Oc2ccccc2C#N)n1 ZINC000414622714 433752769 /nfs/dbraw/zinc/75/27/69/433752769.db2.gz SQIHDIYGSIZVIV-AAEUAGOBSA-N 0 1 300.362 3.127 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC(C(F)(F)F)(C(F)(F)F)C2)C1 ZINC000429692136 433779194 /nfs/dbraw/zinc/77/91/94/433779194.db2.gz QXJXKJOFXNHWJZ-BDAKNGLRSA-N 0 1 300.246 3.495 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCc2cccc(C)c2C1 ZINC000429562584 433781159 /nfs/dbraw/zinc/78/11/59/433781159.db2.gz WQKKESAHBJPIGK-INIZCTEOSA-N 0 1 300.446 3.166 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)[O-])c(C(F)(F)F)c1 ZINC000414674508 433783961 /nfs/dbraw/zinc/78/39/61/433783961.db2.gz FCBJQPKOGKNMKU-ZDUSSCGKSA-N 0 1 312.291 3.016 20 30 DGEDMN N#Cc1ccc2c(c1)N(C(=O)c1cc(-c3ccccc3)n[nH]1)CC2 ZINC000339818730 433803653 /nfs/dbraw/zinc/80/36/53/433803653.db2.gz SHOCZHIYJJDHDK-UHFFFAOYSA-N 0 1 314.348 3.151 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCC2(CC2)CC1 ZINC000376799755 433818886 /nfs/dbraw/zinc/81/88/86/433818886.db2.gz UBSMYCZQMRWOAZ-MRXNPFEDSA-N 0 1 311.429 3.198 20 30 DGEDMN N#Cc1ccc(SCCN2C[C@H]3CC[C@@H](C2)O3)c(Cl)c1 ZINC000376866496 433835343 /nfs/dbraw/zinc/83/53/43/433835343.db2.gz KGLXYRDBHRHFOD-BETUJISGSA-N 0 1 308.834 3.167 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000351995306 433842560 /nfs/dbraw/zinc/84/25/60/433842560.db2.gz MLZGIGPEPSRBSY-LJQANCHMSA-N 0 1 321.399 3.334 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000285137931 433917992 /nfs/dbraw/zinc/91/79/92/433917992.db2.gz NUSDVTLZXAEIAZ-YOEHRIQHSA-N 0 1 312.373 3.293 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000362965280 433932524 /nfs/dbraw/zinc/93/25/24/433932524.db2.gz BAIGEUGKPLBLRI-UHFFFAOYSA-N 0 1 304.409 3.330 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1cc(F)ccc1C#N ZINC000345886365 433978395 /nfs/dbraw/zinc/97/83/95/433978395.db2.gz IRWIWIBLUHOMIL-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC(O)(c3ccccc3Cl)CC2)C1 ZINC000294202549 434039627 /nfs/dbraw/zinc/03/96/27/434039627.db2.gz RMHFVAHVZUHSLA-KGLIPLIRSA-N 0 1 304.821 3.316 20 30 DGEDMN N#Cc1ccc(NC2CC2)c(NC(=O)c2cc(F)ccc2O)c1 ZINC000436683888 434068398 /nfs/dbraw/zinc/06/83/98/434068398.db2.gz WAPOBQUUORVXEI-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353179470 434071582 /nfs/dbraw/zinc/07/15/82/434071582.db2.gz JDZKQCNDFCTXPX-GFCCVEGCSA-N 0 1 306.369 3.294 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](c1ccccc1OC)N1CCCC1 ZINC000294422430 434112438 /nfs/dbraw/zinc/11/24/38/434112438.db2.gz QWKYPSJQWMKAIC-INIZCTEOSA-N 0 1 316.445 3.161 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CC[C@@H](C)N(Cc2ccccc2)CC1 ZINC000286091322 434163825 /nfs/dbraw/zinc/16/38/25/434163825.db2.gz MTAMXGQEZBOINY-APWZRJJASA-N 0 1 313.445 3.049 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)c(NCc2n[nH]c(C3CC3)n2)c1 ZINC000295066650 434177684 /nfs/dbraw/zinc/17/76/84/434177684.db2.gz ZGPYZJIBKSTTII-UHFFFAOYSA-N 0 1 307.279 3.185 20 30 DGEDMN N#Cc1ccc(CN(C(=O)c2c(O)cccc2F)C2CC2)cc1 ZINC000436943707 434179247 /nfs/dbraw/zinc/17/92/47/434179247.db2.gz GMMRODMXUIIUEX-UHFFFAOYSA-N 0 1 310.328 3.208 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cc(C#N)cs3)[nH]c21 ZINC000437353748 434249882 /nfs/dbraw/zinc/24/98/82/434249882.db2.gz JGQYLNDAKIHKSV-NSHDSACASA-N 0 1 306.350 3.295 20 30 DGEDMN CNC(=O)CC[C@@H]1CCCC[N@H+]1CC#Cc1cccc(Cl)c1 ZINC000286628161 434283331 /nfs/dbraw/zinc/28/33/31/434283331.db2.gz YDZPIFTYTHNGRI-KRWDZBQOSA-N 0 1 318.848 3.072 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)N[C@@H]3CCC[C@@H](C)C3)C2)n[nH]1 ZINC000328666851 434294786 /nfs/dbraw/zinc/29/47/86/434294786.db2.gz CVSLEIUNHGBINU-BPLDGKMQSA-N 0 1 304.438 3.390 20 30 DGEDMN O=C(Nc1scnc1C1CC1)[C@@H]1CCCN1C1CCOCC1 ZINC000328956358 434333751 /nfs/dbraw/zinc/33/37/51/434333751.db2.gz ZHWAMGVZWRZTDW-ZDUSSCGKSA-N 0 1 321.446 3.252 20 30 DGEDMN CC1(C)C[C@@H]1[NH+]=C([O-])N1CCC[C@@H]1Cn1cnc2ccccc21 ZINC000328793468 434315007 /nfs/dbraw/zinc/31/50/07/434315007.db2.gz RXFARCFVZSJXRB-CJNGLKHVSA-N 0 1 312.417 3.213 20 30 DGEDMN CC(C)(C)[C@@H]1OCCC[C@H]1CNC(=O)c1ccc2cncn2c1 ZINC000328796848 434315187 /nfs/dbraw/zinc/31/51/87/434315187.db2.gz DMXSQCCHLNGNQS-XJKSGUPXSA-N 0 1 315.417 3.480 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)c1ccc3cncn3c1)CCCC2 ZINC000340715954 434319820 /nfs/dbraw/zinc/31/98/20/434319820.db2.gz YPLANULSFAEVRB-UHFFFAOYSA-N 0 1 322.393 3.399 20 30 DGEDMN COc1ccc2c(c1)CCN(Cc1cc(C#N)ccc1F)CC2 ZINC000340755972 434343155 /nfs/dbraw/zinc/34/31/55/434343155.db2.gz JGFICQPLRWULEO-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccc(Cl)cc2Cl)o1 ZINC000340771820 434349400 /nfs/dbraw/zinc/34/94/00/434349400.db2.gz DGUXIACIMZDVRE-UHFFFAOYSA-N 0 1 317.153 3.259 20 30 DGEDMN N#Cc1cccc(CN(CCO)[C@@H]2CCc3ccccc32)c1F ZINC000340871384 434401887 /nfs/dbraw/zinc/40/18/87/434401887.db2.gz NLGHGUBZUXPHQP-GOSISDBHSA-N 0 1 310.372 3.179 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cncc2ccccc21 ZINC000396474047 434422779 /nfs/dbraw/zinc/42/27/79/434422779.db2.gz RTUKAJAEEZUBCD-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN CC(C)CC[C@@H]1CCC[C@H]1[NH+]=C([O-])N1CCn2cncc2C1 ZINC000329504897 434425825 /nfs/dbraw/zinc/42/58/25/434425825.db2.gz AEJQGZXXUXAYNP-GOEBONIOSA-N 0 1 304.438 3.218 20 30 DGEDMN Cc1cnn(CCNC([O-])=[NH+][C@@H]2CCCCC23CCCC3)c1 ZINC000329604184 434440843 /nfs/dbraw/zinc/44/08/43/434440843.db2.gz GRMLKFWBDYBORI-OAHLLOKOSA-N 0 1 304.438 3.198 20 30 DGEDMN Cc1cnn(CC[NH+]=C([O-])N[C@@H]2CCCCC23CCCC3)c1 ZINC000329604184 434440852 /nfs/dbraw/zinc/44/08/52/434440852.db2.gz GRMLKFWBDYBORI-OAHLLOKOSA-N 0 1 304.438 3.198 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329738877 434469731 /nfs/dbraw/zinc/46/97/31/434469731.db2.gz UQAOVOHWZCXBAA-MGPQQGTHSA-N 0 1 318.465 3.328 20 30 DGEDMN CC(C)(C)[C@@H]1CCCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000329741714 434470575 /nfs/dbraw/zinc/47/05/75/434470575.db2.gz OVPVIIMMVGFCFN-KGLIPLIRSA-N 0 1 318.465 3.329 20 30 DGEDMN O=C(N[C@@H]1COc2ccc(F)cc21)[C@H]1CCCc2[nH]ncc21 ZINC000329778895 434477894 /nfs/dbraw/zinc/47/78/94/434477894.db2.gz QMVLDMFTOZKWJB-IINYFYTJSA-N 0 1 301.321 3.059 20 30 DGEDMN [O-]C(N[C@H]1CCCCC12CCCC2)=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329840151 434492903 /nfs/dbraw/zinc/49/29/03/434492903.db2.gz WHECEWZHRSJGGW-ZBFHGGJFSA-N 0 1 316.449 3.274 20 30 DGEDMN [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+][C@H]1CCCCC12CCCC2 ZINC000329840151 434492910 /nfs/dbraw/zinc/49/29/10/434492910.db2.gz WHECEWZHRSJGGW-ZBFHGGJFSA-N 0 1 316.449 3.274 20 30 DGEDMN [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+][C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000329860692 434495183 /nfs/dbraw/zinc/49/51/83/434495183.db2.gz PQTGAJRWPSZBEF-SJXGUFTOSA-N 0 1 316.449 3.130 20 30 DGEDMN [O-]C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329860692 434495189 /nfs/dbraw/zinc/49/51/89/434495189.db2.gz PQTGAJRWPSZBEF-SJXGUFTOSA-N 0 1 316.449 3.130 20 30 DGEDMN O=C(Nc1cccc2c1CCCC2)c1c[nH]nc1[C@H]1CCOC1 ZINC000329887973 434501369 /nfs/dbraw/zinc/50/13/69/434501369.db2.gz YEIJOWDRVXQAPQ-ZDUSSCGKSA-N 0 1 311.385 3.429 20 30 DGEDMN C#Cc1ccc(CN2CCC(C#N)(c3ccccn3)CC2)cc1 ZINC000367317409 434546415 /nfs/dbraw/zinc/54/64/15/434546415.db2.gz KVAKIPZYIQGHKQ-UHFFFAOYSA-N 0 1 301.393 3.120 20 30 DGEDMN N#CCCN(C(=O)CN1CCC(C2CC2)CC1)c1ccccc1 ZINC000368328101 434569881 /nfs/dbraw/zinc/56/98/81/434569881.db2.gz BWCQUNDPDWLUOA-UHFFFAOYSA-N 0 1 311.429 3.055 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000330130015 295380513 /nfs/dbraw/zinc/38/05/13/295380513.db2.gz KGNRRYGHHAJOES-DDIKIMCHSA-N 0 1 314.433 3.212 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@@H]1c1cccs1 ZINC000329542482 295384228 /nfs/dbraw/zinc/38/42/28/295384228.db2.gz DWRSZTRYQJXYHP-BXUZGUMPSA-N 0 1 316.430 3.080 20 30 DGEDMN O=C(N[C@H]1CCCc2cn[nH]c21)NC12CC3CC(CC(C3)C1)C2 ZINC000330147699 295386968 /nfs/dbraw/zinc/38/69/68/295386968.db2.gz UFJAEQGACOLFGW-ZJZJNUNYSA-N 0 1 314.433 3.260 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1cc(C(F)(F)F)n[nH]1 ZINC000418142080 434604765 /nfs/dbraw/zinc/60/47/65/434604765.db2.gz GVQBMBDGBKHCGR-AWEZNQCLSA-N 0 1 320.318 3.467 20 30 DGEDMN C=CCc1cc(CNCc2ccc(C)c(C)n2)cc(OC)c1O ZINC000459666052 434652452 /nfs/dbraw/zinc/65/24/52/434652452.db2.gz LDLWGPBTYXHSPN-UHFFFAOYSA-N 0 1 312.413 3.431 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCN(CCc2cccs2)CC1 ZINC000360975173 434752003 /nfs/dbraw/zinc/75/20/03/434752003.db2.gz RTRTYYULCJKDBJ-UHFFFAOYSA-N 0 1 315.417 3.124 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](C)N2CCc3ccccc3C2)CC1 ZINC000354381711 434742201 /nfs/dbraw/zinc/74/22/01/434742201.db2.gz JDMLZQNUONGYJM-MRXNPFEDSA-N 0 1 312.457 3.296 20 30 DGEDMN Cc1cc(CN2CC(C)(C)O[C@]3(CCCOC3)C2)ccc1C#N ZINC000361113683 434799302 /nfs/dbraw/zinc/79/93/02/434799302.db2.gz NESILRHZWWFMGV-LJQANCHMSA-N 0 1 314.429 3.027 20 30 DGEDMN CC[C@]1(C)COCCN1Cc1cccc(OCCCC#N)c1 ZINC000361167275 434819152 /nfs/dbraw/zinc/81/91/52/434819152.db2.gz PMOCAHOJTRDYGF-GOSISDBHSA-N 0 1 302.418 3.370 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3ccncn3)CC2)c(Cl)c1 ZINC000411823956 434832354 /nfs/dbraw/zinc/83/23/54/434832354.db2.gz FCHPGPCARMIIOW-UHFFFAOYSA-N 0 1 312.804 3.381 20 30 DGEDMN C[C@@H](CCC#N)C[NH+]1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000637885767 434900373 /nfs/dbraw/zinc/90/03/73/434900373.db2.gz ZQHVDUOATJJJKC-AWEZNQCLSA-N 0 1 300.402 3.227 20 30 DGEDMN CCOc1cc(CN2CCC(CC#N)CC2)ccc1[N+](=O)[O-] ZINC000522055314 434909915 /nfs/dbraw/zinc/90/99/15/434909915.db2.gz XMNQHVIOHZRWAA-UHFFFAOYSA-N 0 1 303.362 3.119 20 30 DGEDMN CCOC(=O)c1c(C)[nH]nc1CSc1ccc(C#N)cc1F ZINC000573103793 434874577 /nfs/dbraw/zinc/87/45/77/434874577.db2.gz CHCSYNUSSFLWCF-UHFFFAOYSA-N 0 1 319.361 3.198 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cn1)c1ccc(OC(F)F)cc1 ZINC000554360142 434924320 /nfs/dbraw/zinc/92/43/20/434924320.db2.gz XNQZADMGQXPIIG-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN COc1ccc([C@@H](NCc2ccc(C#N)c(F)c2)C2CC2)cn1 ZINC000527841298 435016344 /nfs/dbraw/zinc/01/63/44/435016344.db2.gz GOSKDNIWKYPZEN-SFHVURJKSA-N 0 1 311.360 3.342 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H]2Cc3c2cccc3Cl)nc(C)n1 ZINC000528913775 435030646 /nfs/dbraw/zinc/03/06/46/435030646.db2.gz DNLKAXSWBSCJAN-KBPBESRZSA-N 0 1 311.772 3.263 20 30 DGEDMN CC(C)[C@]1(CO)CCCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000555711773 434984189 /nfs/dbraw/zinc/98/41/89/434984189.db2.gz BLBXEEJXBQDWOO-GOSISDBHSA-N 0 1 305.849 3.422 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H](O)COc1ccc(C(C)(C)C)cc1 ZINC000577409491 435061423 /nfs/dbraw/zinc/06/14/23/435061423.db2.gz YUSOXKRLYGURRC-JKSUJKDBSA-N 0 1 304.434 3.006 20 30 DGEDMN CC(C)(C)c1ncc2c(n1)CCN(CC(C)(C)CCC#N)C2 ZINC000529639205 435038157 /nfs/dbraw/zinc/03/81/57/435038157.db2.gz DNFORZQAFCCOCF-UHFFFAOYSA-N 0 1 300.450 3.462 20 30 DGEDMN COCc1ccc(NC(=O)c2c(C)n[nH]c2C(C)C)cc1C#N ZINC000529816104 435041263 /nfs/dbraw/zinc/04/12/63/435041263.db2.gz HRIVHPWDSHMWJJ-UHFFFAOYSA-N 0 1 312.373 3.112 20 30 DGEDMN Cc1cc(Cl)ccc1CN1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC000576771210 435056938 /nfs/dbraw/zinc/05/69/38/435056938.db2.gz YMVKKYZZUSOEEZ-QAPCUYQASA-N 0 1 317.864 3.458 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)C1 ZINC000531675799 435109748 /nfs/dbraw/zinc/10/97/48/435109748.db2.gz DEUPTCVTTJUWET-AAEPOTHZSA-N 0 1 324.384 3.293 20 30 DGEDMN CC(C)N(CCC#N)C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000495458687 435204322 /nfs/dbraw/zinc/20/43/22/435204322.db2.gz MCSUAZUDKAWZCB-KRWDZBQOSA-N 0 1 309.454 3.258 20 30 DGEDMN C[C@@H](C#N)CN(C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495377869 435204602 /nfs/dbraw/zinc/20/46/02/435204602.db2.gz UVIWWGNKFCGXTP-KBXCAEBGSA-N 0 1 321.465 3.258 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1cnn2cc(Br)cnc12 ZINC000639111276 435158967 /nfs/dbraw/zinc/15/89/67/435158967.db2.gz GNXSFBJKDUEDEJ-CYBMUJFWSA-N 0 1 321.222 3.032 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H]([C@@H]2CCCCN2CCC#N)C1 ZINC000496629371 435235183 /nfs/dbraw/zinc/23/51/83/435235183.db2.gz ZPZBMUMNYDQLFT-CVEARBPZSA-N 0 1 321.465 3.402 20 30 DGEDMN N#Cc1cnc(N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)cn1 ZINC000533461890 435238297 /nfs/dbraw/zinc/23/82/97/435238297.db2.gz JRSSOWMGOBMNFO-AWEZNQCLSA-N 0 1 324.775 3.219 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCOCc1ccc(C#N)cc1 ZINC000582264825 435239010 /nfs/dbraw/zinc/23/90/10/435239010.db2.gz AXMPOTMUAOOHNW-UHFFFAOYSA-N 0 1 322.383 3.197 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC000496892787 298273591 /nfs/dbraw/zinc/27/35/91/298273591.db2.gz PLCXMVJJAVQDEN-RBSFLKMASA-N 0 1 309.454 3.256 20 30 DGEDMN COc1ccccc1-n1nccc1CN1CCC(CC#N)CC1 ZINC000562389077 435258666 /nfs/dbraw/zinc/25/86/66/435258666.db2.gz NDLIJBRNVRIXIJ-UHFFFAOYSA-N 0 1 310.401 3.007 20 30 DGEDMN CC(C)(C)OC(=O)NCC[C@@H]1CCCCN1CC1(C#N)CCC1 ZINC000496814610 435259086 /nfs/dbraw/zinc/25/90/86/435259086.db2.gz LBBJCJCXUINABM-HNNXBMFYSA-N 0 1 321.465 3.450 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000564712659 435273855 /nfs/dbraw/zinc/27/38/55/435273855.db2.gz GNIYNYSKAAGJTE-IAGOWNOFSA-N 0 1 324.428 3.274 20 30 DGEDMN COc1cc(CN2CCC(CCC#N)CC2)ccc1[N+](=O)[O-] ZINC000566174078 435284505 /nfs/dbraw/zinc/28/45/05/435284505.db2.gz PTKYRWQPFNXLJW-UHFFFAOYSA-N 0 1 303.362 3.119 20 30 DGEDMN CN(CCCN(C)C(=O)OC(C)(C)C)Cc1cc(C#N)cs1 ZINC000497239041 435301586 /nfs/dbraw/zinc/30/15/86/435301586.db2.gz DTMLEIDZACJXSP-UHFFFAOYSA-N 0 1 323.462 3.309 20 30 DGEDMN CCSCC[C@@H](C)N(C)Cc1cccc(C(=O)OC)c1C#N ZINC000535204401 435324495 /nfs/dbraw/zinc/32/44/95/435324495.db2.gz BNDFBIQHRUREJK-CYBMUJFWSA-N 0 1 320.458 3.308 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@@H](c3nnc[nH]3)C2)cc1Cl ZINC000567722887 435346203 /nfs/dbraw/zinc/34/62/03/435346203.db2.gz ORWFIDINCHYRAE-CYBMUJFWSA-N 0 1 318.808 3.012 20 30 DGEDMN C=CCC[N@@H+](CCc1ccc(Cl)cc1Cl)CC(=O)[O-] ZINC000567358992 435311441 /nfs/dbraw/zinc/31/14/41/435311441.db2.gz DSVRHHXROMBGNW-UHFFFAOYSA-N 0 1 302.201 3.499 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@H](c2cccnc2)C1 ZINC000639697169 435394741 /nfs/dbraw/zinc/39/47/41/435394741.db2.gz CGOKOTVFNFQXQC-SJLPKXTDSA-N 0 1 315.461 3.074 20 30 DGEDMN CN(CCOc1ccc(C#N)cc1)Cc1cc(F)c(F)c(F)c1 ZINC000537537940 435446494 /nfs/dbraw/zinc/44/64/94/435446494.db2.gz YAOXMJHQOVPIKN-UHFFFAOYSA-N 0 1 320.314 3.486 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2ncc3c(c2C#N)CCC3)n[nH]1 ZINC000568386157 435430292 /nfs/dbraw/zinc/43/02/92/435430292.db2.gz YEJFVYVVDQRNBJ-GHMZBOCLSA-N 0 1 310.405 3.247 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000483063846 435494104 /nfs/dbraw/zinc/49/41/04/435494104.db2.gz QNRXOICLBVTTMA-SOLBZPMBSA-N 0 1 300.446 3.493 20 30 DGEDMN COCC#CCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000649613137 435644259 /nfs/dbraw/zinc/64/42/59/435644259.db2.gz XPTYVTGGWNKEPL-HNNXBMFYSA-N 0 1 303.793 3.003 20 30 DGEDMN C=CCCCn1cc([C@@H](N)c2ccc(Br)cc2)nn1 ZINC000640936465 435654613 /nfs/dbraw/zinc/65/46/13/435654613.db2.gz YRJIGKVWLXUAQT-AWEZNQCLSA-N 0 1 321.222 3.055 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC)Cc2ccc(C)cc2)CC1 ZINC000490590379 435663694 /nfs/dbraw/zinc/66/36/94/435663694.db2.gz RMQHZCANKJMHIC-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC(C)C)C2CCCC2)CC1 ZINC000490575855 435664040 /nfs/dbraw/zinc/66/40/40/435664040.db2.gz YGRAHZNTYKPBMS-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)c2cc(C)ccc2C)CC1 ZINC000490672274 435671406 /nfs/dbraw/zinc/67/14/06/435671406.db2.gz YFSNAUICXPGBFB-QGZVFWFLSA-N 0 1 312.457 3.168 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](C)c2cccc(Cl)c2)CC1 ZINC000490897905 435688344 /nfs/dbraw/zinc/68/83/44/435688344.db2.gz GMKHBTZIYQROQO-CYBMUJFWSA-N 0 1 305.805 3.289 20 30 DGEDMN COc1cc(C#N)ccc1OCCn1c(C)nc2c1CCCC2 ZINC000519639581 435694883 /nfs/dbraw/zinc/69/48/83/435694883.db2.gz AXSHRRISQIOGNL-UHFFFAOYSA-N 0 1 311.385 3.030 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccccc2)CC2(O)CCCC2)nc1 ZINC000571816152 435742481 /nfs/dbraw/zinc/74/24/81/435742481.db2.gz QWYZCNLDNUABCJ-UHFFFAOYSA-N 0 1 321.424 3.261 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000491418277 435744853 /nfs/dbraw/zinc/74/48/53/435744853.db2.gz QKFCXJMPVMTODI-VIFPVBQESA-N 0 1 306.316 3.424 20 30 DGEDMN C=CCN1CC[C@H](N(C)CCSc2cccc(Cl)c2)C1=O ZINC000660464385 435761285 /nfs/dbraw/zinc/76/12/85/435761285.db2.gz PARALRRIQKTCSI-HNNXBMFYSA-N 0 1 324.877 3.151 20 30 DGEDMN C#CCNC(=O)N1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000491252916 435720723 /nfs/dbraw/zinc/72/07/23/435720723.db2.gz KIIYHFWQOWGGFD-AWEZNQCLSA-N 0 1 316.792 3.086 20 30 DGEDMN C=C(C)[C@H](CO)N1CC[C@@H](c2cccc(Br)c2)C1 ZINC000650009863 435787423 /nfs/dbraw/zinc/78/74/23/435787423.db2.gz QPMRNWUHVODWHP-HIFRSBDPSA-N 0 1 310.235 3.175 20 30 DGEDMN C#C[C@H](CC)NCc1c(C)nn(Cc2ccc(OC)cc2)c1C ZINC000491665526 435788629 /nfs/dbraw/zinc/78/86/29/435788629.db2.gz JBBVWLQXUIWMOG-QGZVFWFLSA-N 0 1 311.429 3.058 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](CC(C)(C)C)C2(C)C)CC1 ZINC000491565662 435772804 /nfs/dbraw/zinc/77/28/04/435772804.db2.gz BFYFLWBTKPWCJA-KRWDZBQOSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000646776105 435918905 /nfs/dbraw/zinc/91/89/05/435918905.db2.gz IVHRQOSNWXITMG-QAPCUYQASA-N 0 1 323.440 3.333 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@@H](C)c2n[nH]c(CC)n2)CCCC1 ZINC000665588842 436012404 /nfs/dbraw/zinc/01/24/04/436012404.db2.gz FLDJXPDVALDZFK-ZDUSSCGKSA-N 0 1 319.453 3.254 20 30 DGEDMN C=CCCn1cc(CNCCc2ccc3ccccc3c2)nn1 ZINC000657333737 436142055 /nfs/dbraw/zinc/14/20/55/436142055.db2.gz WIODEOAYUVAGQS-UHFFFAOYSA-N 0 1 306.413 3.340 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2[C@@H](C)CC[C@@H]2C)c1 ZINC000123037706 301224782 /nfs/dbraw/zinc/22/47/82/301224782.db2.gz HIWVJISUCCLHQN-IRXDYDNUSA-N 0 1 313.445 3.423 20 30 DGEDMN Cc1cc(N(C)C)cc(C)c1NC([O-])=[NH+][C@@H]1CCC[C@H]1C#N ZINC000330906515 301326507 /nfs/dbraw/zinc/32/65/07/301326507.db2.gz VRSBJRJKJRRSEU-DZGCQCFKSA-N 0 1 300.406 3.388 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@](C)(c3ccccc3)C2)nn1 ZINC000653555955 436204156 /nfs/dbraw/zinc/20/41/56/436204156.db2.gz GCGKBYOIRMSILB-IBGZPJMESA-N 0 1 310.445 3.408 20 30 DGEDMN Cc1ccccc1[C@@H]1CCCN1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000052212404 302149912 /nfs/dbraw/zinc/14/99/12/302149912.db2.gz PYUHGXYLLLBKBM-HKUYNNGSSA-N 0 1 313.445 3.186 20 30 DGEDMN CCC[C@@H]1CCCCN1Cc1cccc(C(=O)OC)c1C#N ZINC000542628326 314087188 /nfs/dbraw/zinc/08/71/88/314087188.db2.gz UMDHURLJKFZJET-OAHLLOKOSA-N 0 1 300.402 3.499 20 30 DGEDMN N#Cc1ccc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)nc1 ZINC000554366617 314676614 /nfs/dbraw/zinc/67/66/14/314676614.db2.gz OMGSLNNMBKFZHJ-KXBFYZLASA-N 0 1 307.397 3.211 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1cnc(C2CC2)nc1 ZINC000562811726 315054977 /nfs/dbraw/zinc/05/49/77/315054977.db2.gz CSORSKCGHJJJBR-SFHVURJKSA-N 0 1 304.397 3.393 20 30 DGEDMN CCOc1ccc([C@@H](C)NCc2ccc(C#N)cn2)cc1OC ZINC000563356655 315105658 /nfs/dbraw/zinc/10/56/58/315105658.db2.gz UALJOYRXEMKSBA-CYBMUJFWSA-N 0 1 311.385 3.211 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NCC1CCCCC1)c1ccc(C#N)cc1 ZINC000565611130 315274875 /nfs/dbraw/zinc/27/48/75/315274875.db2.gz OYMBHUBATGGICR-CABCVRRESA-N 0 1 313.445 3.294 20 30 DGEDMN C[C@H](N[C@@H]1C[C@H](C)N(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000181995841 323279083 /nfs/dbraw/zinc/27/90/83/323279083.db2.gz RRZXDYFSUKBBFT-YZVOILCLSA-N 0 1 319.408 3.403 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(-c3ccccc3)on2)cc1O ZINC000191105781 323444785 /nfs/dbraw/zinc/44/47/85/323444785.db2.gz HGPYDWPSNLMXJN-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN CN(c1ccccc1)C1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000582217873 324203396 /nfs/dbraw/zinc/20/33/96/324203396.db2.gz PHUBSCUKFOZAAN-UHFFFAOYSA-N 0 1 306.413 3.054 20 30 DGEDMN N#Cc1cnc(C[N@H+]2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)nc1 ZINC000582291159 324212401 /nfs/dbraw/zinc/21/24/01/324212401.db2.gz CPLFRHHWQGROIR-RCCFBDPRSA-N 0 1 304.397 3.116 20 30 DGEDMN N#Cc1cnc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)nc1 ZINC000582291159 324212405 /nfs/dbraw/zinc/21/24/05/324212405.db2.gz CPLFRHHWQGROIR-RCCFBDPRSA-N 0 1 304.397 3.116 20 30 DGEDMN Cn1ccnc1[C@@H](N[C@@H]1CCCc2cc(C#N)ccc21)C1CC1 ZINC000582351482 324220170 /nfs/dbraw/zinc/22/01/70/324220170.db2.gz YSWQBQXDTKJJNB-MSOLQXFVSA-N 0 1 306.413 3.410 20 30 DGEDMN N#Cc1ccc(N2CCN(CCCCCF)CC2)c(Cl)c1 ZINC000583148046 324319192 /nfs/dbraw/zinc/31/91/92/324319192.db2.gz VFYHRKXMNKRXST-UHFFFAOYSA-N 0 1 309.816 3.473 20 30 DGEDMN COc1ccsc1CN(C)CCOCc1ccc(C#N)cc1 ZINC000583527029 324365866 /nfs/dbraw/zinc/36/58/66/324365866.db2.gz KUFJBBPADXOCAI-UHFFFAOYSA-N 0 1 316.426 3.277 20 30 DGEDMN Cc1cc(CNCc2cnn(Cc3ccccc3)c2)ccc1C#N ZINC000443971893 533708675 /nfs/dbraw/zinc/70/86/75/533708675.db2.gz WQVQVCISBXLWQB-UHFFFAOYSA-N 0 1 316.408 3.401 20 30 DGEDMN C[C@H](CN1CCN(c2cnccc2C#N)CC1)c1ccc(F)cc1 ZINC000584149747 331843569 /nfs/dbraw/zinc/84/35/69/331843569.db2.gz ONNKWGAUXYAENE-OAHLLOKOSA-N 0 1 324.403 3.018 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)[C@]3(C#N)C[C@@H]3C)[nH]c2c1 ZINC000560637444 332371765 /nfs/dbraw/zinc/37/17/65/332371765.db2.gz ULNREDVVOFJGJF-QITLCBANSA-N 0 1 308.385 3.085 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCC(c2cn[nH]c2C)CC1 ZINC000560812261 332388416 /nfs/dbraw/zinc/38/84/16/332388416.db2.gz SYJGUSKJOFQWLG-UHFFFAOYSA-N 0 1 319.449 3.187 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N(C)C3(C#N)CCC3)n[nH]2)cc1C ZINC000188762832 333054690 /nfs/dbraw/zinc/05/46/90/333054690.db2.gz SCSYJANKHLCHCL-UHFFFAOYSA-N 0 1 308.385 3.212 20 30 DGEDMN Cc1cc2cccnc2c(NS(=O)(=O)CCC(C)(C)C#N)c1 ZINC000518778870 333165735 /nfs/dbraw/zinc/16/57/35/333165735.db2.gz UNXUFMKYCUTWQV-UHFFFAOYSA-N 0 1 317.414 3.225 20 30 DGEDMN CCN(CCC#N)C(=O)CNC(c1ccccc1)c1ccccc1 ZINC000051213549 335295168 /nfs/dbraw/zinc/29/51/68/335295168.db2.gz XTRMDRIEPCIZAE-UHFFFAOYSA-N 0 1 321.424 3.128 20 30 DGEDMN CCCN(CC(=O)N(CC)C[C@@H](C)C#N)Cc1ccc(C)cc1 ZINC000532355460 336243102 /nfs/dbraw/zinc/24/31/02/336243102.db2.gz UKDNYFALGNPZJZ-KRWDZBQOSA-N 0 1 315.461 3.215 20 30 DGEDMN N#CCC1CCN(Cc2ccc(Cl)cc2-n2cncn2)CC1 ZINC000526985578 336277415 /nfs/dbraw/zinc/27/74/15/336277415.db2.gz WDXXHAPFFROPDY-UHFFFAOYSA-N 0 1 315.808 3.046 20 30 DGEDMN CC(C)(C)CN(CC(=O)NC1(C#N)CCC1)Cc1ccccc1 ZINC000525893601 336382458 /nfs/dbraw/zinc/38/24/58/336382458.db2.gz KWKBVTUOSNWQCJ-UHFFFAOYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(C)CCNC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000583855458 337343740 /nfs/dbraw/zinc/34/37/40/337343740.db2.gz VWHKWLPCPOHFBX-HNNXBMFYSA-N 0 1 309.841 3.208 20 30 DGEDMN Cc1ccc(C)c([C@H](C)N(C)Cc2cnc3c(C#N)cnn3c2)c1 ZINC000584470575 337345253 /nfs/dbraw/zinc/34/52/53/337345253.db2.gz QSVDMSFJCRKRFM-HNNXBMFYSA-N 0 1 319.412 3.411 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1ccc(Cl)cc1Cl ZINC000571697280 337571657 /nfs/dbraw/zinc/57/16/57/337571657.db2.gz GCDWROFIVSXMLY-RYUDHWBXSA-N 0 1 317.216 3.015 20 30 DGEDMN C=CCN1CC[C@H](N(C)C2c3ccccc3-c3ccccc32)C1=O ZINC000499567683 340039641 /nfs/dbraw/zinc/03/96/41/340039641.db2.gz ZOFBGHHSAQPZSR-IBGZPJMESA-N 0 1 318.420 3.475 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1cc(=O)n2cccc(C)c2n1 ZINC000502324616 340099842 /nfs/dbraw/zinc/09/98/42/340099842.db2.gz LEQCMANBGPMTCX-UHFFFAOYSA-N 0 1 319.408 3.191 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@]1(c2cccc(C)c2)C[C@H]1CC ZINC000502513241 340106626 /nfs/dbraw/zinc/10/66/26/340106626.db2.gz SOGYKQWQZZWSIT-XLIONFOSSA-N 0 1 312.457 3.410 20 30 DGEDMN COc1ccc([C@@H](NCC#Cc2ccc(F)cc2)C2CC2)cn1 ZINC000528854970 340736961 /nfs/dbraw/zinc/73/69/61/340736961.db2.gz XEDIODCMLWCAOP-IBGZPJMESA-N 0 1 310.372 3.322 20 30 DGEDMN COc1ccc(CN2CCC(CC#N)CC2)c(OC(F)F)c1 ZINC000542852756 341023557 /nfs/dbraw/zinc/02/35/57/341023557.db2.gz BQFVDAWQTHEWPW-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN N#CCc1ccc(CN2CCC[C@H](OCC(F)(F)F)C2)cc1 ZINC000543205873 341036569 /nfs/dbraw/zinc/03/65/69/341036569.db2.gz HXTFSDPQHIHLSL-HNNXBMFYSA-N 0 1 312.335 3.296 20 30 DGEDMN Cc1ccccc1N1CCN(Cc2ccc(CC#N)cc2)CC1 ZINC000544069856 341069094 /nfs/dbraw/zinc/06/90/94/341069094.db2.gz URGCLBCHISGSKT-UHFFFAOYSA-N 0 1 305.425 3.383 20 30 DGEDMN CC[C@H](CC#N)N[C@H](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC000545874005 341143667 /nfs/dbraw/zinc/14/36/67/341143667.db2.gz DELDKDXGWVUSDW-DGCLKSJQSA-N 0 1 323.824 3.348 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N(CCC#N)C(C)C)n[nH]2)cc1C ZINC000548362355 341257019 /nfs/dbraw/zinc/25/70/19/341257019.db2.gz PFMOUKJZHNTDGO-UHFFFAOYSA-N 0 1 310.401 3.458 20 30 DGEDMN C=C(C)[C@@H](NCCOC[C@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000548491934 341263976 /nfs/dbraw/zinc/26/39/76/341263976.db2.gz GHPKOPBXSAPMNH-RHSMWYFYSA-N 0 1 311.372 3.367 20 30 DGEDMN CC(C)(CNC[C@@H](C#N)CCC#N)Oc1cc(F)cc(F)c1 ZINC000552017456 341361163 /nfs/dbraw/zinc/36/11/63/341361163.db2.gz HAUMQOJIMDAKFP-GFCCVEGCSA-N 0 1 307.344 3.155 20 30 DGEDMN C[C@H](c1nc(-c2cccnc2)no1)N1CCC(CCC#N)CC1 ZINC000565536699 341530378 /nfs/dbraw/zinc/53/03/78/341530378.db2.gz CIPXDFFAROPUJY-CYBMUJFWSA-N 0 1 311.389 3.208 20 30 DGEDMN COc1cc(C(=O)Nc2ccccc2SC[C@@H](C)C#N)on1 ZINC000155883717 341614335 /nfs/dbraw/zinc/61/43/35/341614335.db2.gz LAPRCZDGKXAWCD-JTQLQIEISA-N 0 1 317.370 3.187 20 30 DGEDMN C=CCOc1ccccc1CN1CCN(c2ccc(O)cc2)CC1 ZINC000157903128 341647546 /nfs/dbraw/zinc/64/75/46/341647546.db2.gz DMRMGEUWYKYVEH-UHFFFAOYSA-N 0 1 324.424 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C(C)(F)F)C(C)(C)C ZINC001700993233 1177053560 /nfs/dbraw/zinc/05/35/60/1177053560.db2.gz RIICQKBMYXSTAQ-MNOVXSKESA-N 0 1 310.816 3.151 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)o1 ZINC000430178295 533743655 /nfs/dbraw/zinc/74/36/55/533743655.db2.gz KVZQEUMXASRJQR-XYPHTWIQSA-N 0 1 323.396 3.323 20 30 DGEDMN C[C@H](NCc1ccncc1OCC(F)F)c1cccc(C#N)c1 ZINC000442123664 533936626 /nfs/dbraw/zinc/93/66/26/533936626.db2.gz HAYRAUIMMHDWDN-LBPRGKRZSA-N 0 1 317.339 3.448 20 30 DGEDMN C[C@@H](c1ccc(S(C)(=O)=O)cc1)N(C)CCCC(C)(C)C#N ZINC000174816050 534067861 /nfs/dbraw/zinc/06/78/61/534067861.db2.gz BRABLRONUZIUDV-AWEZNQCLSA-N 0 1 322.474 3.413 20 30 DGEDMN C=C(Br)CN[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000192132174 517314130 /nfs/dbraw/zinc/31/41/30/517314130.db2.gz AEACZCQMPBATGY-OLZOCXBDSA-N 0 1 318.161 3.293 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@@H](c2ccccc2)C1 ZINC000324241562 534297733 /nfs/dbraw/zinc/29/77/33/534297733.db2.gz AWWPKUWORUGEAJ-CRAIPNDOSA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1c[nH]c(-c2ccccc2NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC000442796105 534519171 /nfs/dbraw/zinc/51/91/71/534519171.db2.gz FVWPSMPLNFOMQG-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000451680837 534575112 /nfs/dbraw/zinc/57/51/12/534575112.db2.gz KWYZTUQBFQWYMR-XLIONFOSSA-N 0 1 323.440 3.184 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N[C@@H](C)Cc1ccccc1C ZINC000489407521 534693655 /nfs/dbraw/zinc/69/36/55/534693655.db2.gz QCJHTMCZZCUZTM-WMZOPIPTSA-N 0 1 300.446 3.083 20 30 DGEDMN N#CCSCCCN[C@H](c1nccs1)c1ccccc1 ZINC000174132844 534707277 /nfs/dbraw/zinc/70/72/77/534707277.db2.gz HAIPHNFVOBLVCQ-AWEZNQCLSA-N 0 1 303.456 3.469 20 30 DGEDMN Cc1cccc(CN2CCN([C@@H](C#N)c3ccccc3)CC2)c1O ZINC000183376741 534709276 /nfs/dbraw/zinc/70/92/76/534709276.db2.gz CXICETFHOFVZII-IBGZPJMESA-N 0 1 321.424 3.083 20 30 DGEDMN N#CCc1ccc(CN2CCCC[C@H]2[C@H](O)C(F)(F)F)cc1 ZINC000343721767 534717280 /nfs/dbraw/zinc/71/72/80/534717280.db2.gz WSFXHGBOIFGIEE-GJZGRUSLSA-N 0 1 312.335 3.030 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OC)c(CC)o1 ZINC000338934606 526325225 /nfs/dbraw/zinc/32/52/25/526325225.db2.gz DLEBZENUJGCSGD-UHFFFAOYSA-N 0 1 317.385 3.452 20 30 DGEDMN CC(C)(C)OC(=O)N(CC1CC1)C1CCN(CCCC#N)CC1 ZINC000175871583 526381645 /nfs/dbraw/zinc/38/16/45/526381645.db2.gz VKYWMFWFQDLXAY-UHFFFAOYSA-N 0 1 321.465 3.402 20 30 DGEDMN CNC(=O)c1ccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1Cl ZINC000330959543 526409361 /nfs/dbraw/zinc/40/93/61/526409361.db2.gz AFSSXFJQWQLDTF-PHIMTYICSA-N 0 1 323.824 3.086 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC[C@H]2c2ccc(OCC)cc2)C1=O ZINC000337129584 526480025 /nfs/dbraw/zinc/48/00/25/526480025.db2.gz JDCNEPSFRTVGKV-ZWKOTPCHSA-N 0 1 314.429 3.009 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](c2ccc(F)cc2F)C(C)C)C1=O ZINC000337177247 526485469 /nfs/dbraw/zinc/48/54/69/526485469.db2.gz JKDILGQTWNXFNM-CVEARBPZSA-N 0 1 308.372 3.038 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](c2ccc(F)cc2F)C(C)C)C1=O ZINC000337177248 526515913 /nfs/dbraw/zinc/51/59/13/526515913.db2.gz JKDILGQTWNXFNM-HOTGVXAUSA-N 0 1 308.372 3.038 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@@H](c2ccccc2F)C1 ZINC000337530340 526537928 /nfs/dbraw/zinc/53/79/28/526537928.db2.gz RXSVKOUWESIDCO-HZPDHXFCSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000348239881 526543343 /nfs/dbraw/zinc/54/33/43/526543343.db2.gz AFNZYTQRAFQEGV-RWMBFGLXSA-N 0 1 306.372 3.072 20 30 DGEDMN C=C(C)CN(CCO[C@@H]1CCCCO1)Cc1cnn(C(C)C)c1 ZINC000352921248 526553849 /nfs/dbraw/zinc/55/38/49/526553849.db2.gz HFAOPBPSMAMYBS-GOSISDBHSA-N 0 1 321.465 3.385 20 30 DGEDMN C=CCNC(=O)CN[C@H]1CCCSc2ccc(Cl)cc21 ZINC000180038159 526572863 /nfs/dbraw/zinc/57/28/63/526572863.db2.gz IDZPVHCMEKVZMS-ZDUSSCGKSA-N 0 1 310.850 3.159 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1CC=C(c2c[nH]c3cc(C)ccc32)CC1 ZINC000339285898 526622074 /nfs/dbraw/zinc/62/20/74/526622074.db2.gz LXWWTDNYDAKCTI-OAHLLOKOSA-N 0 1 323.440 3.256 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1CC=C(c2c[nH]c3cc(C)ccc32)CC1 ZINC000339285897 526630715 /nfs/dbraw/zinc/63/07/15/526630715.db2.gz LXWWTDNYDAKCTI-HNNXBMFYSA-N 0 1 323.440 3.256 20 30 DGEDMN CC(C)(C)c1ccc([C@H](C#N)NC(=O)CCc2cnc[nH]2)cc1 ZINC000355817663 526791955 /nfs/dbraw/zinc/79/19/55/526791955.db2.gz YRHXKSYWJGJMKL-INIZCTEOSA-N 0 1 310.401 3.021 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cncc(F)c2)s1 ZINC000430622385 526827926 /nfs/dbraw/zinc/82/79/26/526827926.db2.gz LKBIDWRAFNZYBG-LLVKDONJSA-N 0 1 303.362 3.465 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)c2cncc(F)c2)s1 ZINC000430622385 526827936 /nfs/dbraw/zinc/82/79/36/526827936.db2.gz LKBIDWRAFNZYBG-LLVKDONJSA-N 0 1 303.362 3.465 20 30 DGEDMN C=CCOc1cccc(NS(=O)(=O)c2cncc(Cl)c2)c1 ZINC000350279738 526921177 /nfs/dbraw/zinc/92/11/77/526921177.db2.gz RAPANWYMTQXCSQ-UHFFFAOYSA-N 0 1 324.789 3.101 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000432094708 527006659 /nfs/dbraw/zinc/00/66/59/527006659.db2.gz VFMBBFRFDMHORE-UHFFFAOYSA-N 0 1 321.440 3.159 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000116943112 527024699 /nfs/dbraw/zinc/02/46/99/527024699.db2.gz XLKCUXMVJVYNQI-CQSZACIVSA-N 0 1 319.424 3.054 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000337104905 527051366 /nfs/dbraw/zinc/05/13/66/527051366.db2.gz YVPUAXMBTDPAPM-QGZVFWFLSA-N 0 1 318.486 3.320 20 30 DGEDMN C=CCCC1(C(=O)NCc2ccc(CN(C)C)c(F)c2)CC1 ZINC000356620629 527091174 /nfs/dbraw/zinc/09/11/74/527091174.db2.gz LVTDWGVQZXJSPX-UHFFFAOYSA-N 0 1 304.409 3.250 20 30 DGEDMN C#CCOc1ccc(CNCc2ccc(OC)cc2OC)cc1 ZINC000113324846 527105780 /nfs/dbraw/zinc/10/57/80/527105780.db2.gz MMEGTEZLERVNFA-UHFFFAOYSA-N 0 1 311.381 3.006 20 30 DGEDMN C=CC[C@H](CO)CN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000346630357 527188796 /nfs/dbraw/zinc/18/87/96/527188796.db2.gz VKICVOGKLJLRAW-KSSFIOAISA-N 0 1 300.377 3.084 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1ccc(C(=O)OC(C)C)cc1 ZINC000342742341 527323459 /nfs/dbraw/zinc/32/34/59/527323459.db2.gz VHISTZUGUKGDSV-AWEZNQCLSA-N 0 1 318.417 3.087 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1cccc(Br)c1 ZINC000342725905 527323939 /nfs/dbraw/zinc/32/39/39/527323939.db2.gz SJRMSJULYYBWMG-LLVKDONJSA-N 0 1 311.223 3.284 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCCOc1c(C)cccc1C ZINC000348132993 527332421 /nfs/dbraw/zinc/33/24/21/527332421.db2.gz PBNQAKMJFZGFSM-UHFFFAOYSA-N 0 1 319.430 3.348 20 30 DGEDMN C=CCCOCCNC(=O)c1c(O)cc(Cl)cc1Cl ZINC000129629755 527363291 /nfs/dbraw/zinc/36/32/91/527363291.db2.gz DMXKISRIJSDMPE-UHFFFAOYSA-N 0 1 304.173 3.022 20 30 DGEDMN CC(C)[NH+]=C([O-])Nc1ccc(NC(=O)[C@@]2(C)CCCOC2)cc1 ZINC000330791480 527386609 /nfs/dbraw/zinc/38/66/09/527386609.db2.gz TZQJNSGXVHXRTR-KRWDZBQOSA-N 0 1 319.405 3.176 20 30 DGEDMN C=CCC[C@@H](O)CN1CCc2cc(OCC)c(OCC)cc2C1 ZINC000178033727 527457607 /nfs/dbraw/zinc/45/76/07/527457607.db2.gz MJHFXXBHRKJPSG-QGZVFWFLSA-N 0 1 319.445 3.169 20 30 DGEDMN CC(C)(C#N)CCN1CCCN(C(=O)CC2CCCC2)CC1 ZINC000345716556 527465741 /nfs/dbraw/zinc/46/57/41/527465741.db2.gz SYTASXOMAZEQBE-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)[C@@H]2CCCc3[nH]ncc32)cc1 ZINC000134473992 527497693 /nfs/dbraw/zinc/49/76/93/527497693.db2.gz NNIRHDKRUDVQMW-CQSZACIVSA-N 0 1 308.385 3.269 20 30 DGEDMN C=CCCc1ccccc1NS(=O)(=O)c1ccc(C)nc1 ZINC000350871695 527511329 /nfs/dbraw/zinc/51/13/29/527511329.db2.gz RNPMUKCJQLIQGB-UHFFFAOYSA-N 0 1 302.399 3.309 20 30 DGEDMN CC(=Cc1ccc2ncccc2c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435340251 527527728 /nfs/dbraw/zinc/52/77/28/527527728.db2.gz FSEBTXOTPDXKMH-DHZHZOJOSA-N 0 1 302.337 3.059 20 30 DGEDMN C=C[C@](C)(CC)C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000134869176 527613281 /nfs/dbraw/zinc/61/32/81/527613281.db2.gz VKTXOSJNHIPKMU-LJQANCHMSA-N 0 1 315.461 3.288 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000441333330 527614285 /nfs/dbraw/zinc/61/42/85/527614285.db2.gz UCOHJVZHOKSRDX-YJBOKZPZSA-N 0 1 316.420 3.409 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@@H](C)C1 ZINC000344422017 527971012 /nfs/dbraw/zinc/97/10/12/527971012.db2.gz LKUZQEAJVMVNQC-GJZGRUSLSA-N 0 1 313.445 3.042 20 30 DGEDMN CCC(C)(C)C1CCC(NC(=O)N(C)Cc2nnc[nH]2)CC1 ZINC000331356825 528487643 /nfs/dbraw/zinc/48/76/43/528487643.db2.gz SKKGUGLXWARBRS-UHFFFAOYSA-N 0 1 307.442 3.146 20 30 DGEDMN CCOc1cccc(CNCC2(CC#N)CC2)c1OC(F)F ZINC000430042904 528653556 /nfs/dbraw/zinc/65/35/56/528653556.db2.gz RLCUJDUQKNWVIO-UHFFFAOYSA-N 0 1 310.344 3.470 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN([C@H](C)c1ccccc1)C1CC1 ZINC000352837272 528691375 /nfs/dbraw/zinc/69/13/75/528691375.db2.gz DEZDHEJDFRLQNV-HZPDHXFCSA-N 0 1 313.445 3.220 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000181761437 528851480 /nfs/dbraw/zinc/85/14/80/528851480.db2.gz FQIAOCIRXUSATG-SCLBCKFNSA-N 0 1 313.401 3.138 20 30 DGEDMN CC(C)N(Cc1n[nH]c(C(C)(C)C)n1)Cc1ccc(C#N)cc1 ZINC000292631666 528964421 /nfs/dbraw/zinc/96/44/21/528964421.db2.gz NQCJQOIPVOYMAG-UHFFFAOYSA-N 0 1 311.433 3.384 20 30 DGEDMN CC[C@H]1CCCN1CC(=O)Nc1ccccc1SCC#N ZINC000436094204 529220769 /nfs/dbraw/zinc/22/07/69/529220769.db2.gz YFZBNWFLZTVEHY-ZDUSSCGKSA-N 0 1 303.431 3.115 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccccc1-c1cccs1 ZINC000360203698 529270986 /nfs/dbraw/zinc/27/09/86/529270986.db2.gz IBMUSXCOPWLGDX-NSHDSACASA-N 0 1 306.412 3.459 20 30 DGEDMN OC[C@@]1(NCC#Cc2cccc(Cl)c2)CCc2ccccc21 ZINC000132283104 545962861 /nfs/dbraw/zinc/96/28/61/545962861.db2.gz IOVCSHBEHVMWOF-IBGZPJMESA-N 0 1 311.812 3.115 20 30 DGEDMN N#CC(C(=O)c1coc(C2CCC2)n1)c1nc2ccccc2[nH]1 ZINC000195261518 546253968 /nfs/dbraw/zinc/25/39/68/546253968.db2.gz YRHKMYAABKLIQJ-NSHDSACASA-N 0 1 306.325 3.308 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H](NCc2ccc(C#N)o2)C1 ZINC000230660525 546274529 /nfs/dbraw/zinc/27/45/29/546274529.db2.gz XIRKSSNNDZHUIL-CYBMUJFWSA-N 0 1 319.405 3.030 20 30 DGEDMN C=C(C)Cn1c(C2CCCC2)nnc1N1CCN(CC)[C@H](C)C1 ZINC000670230191 547738247 /nfs/dbraw/zinc/73/82/47/547738247.db2.gz MULAWTGJVFIDMJ-OAHLLOKOSA-N 0 1 317.481 3.042 20 30 DGEDMN N#C[C@H](C(=O)c1ccc2cncn2c1)c1ccc2ccccc2n1 ZINC000671279018 547782852 /nfs/dbraw/zinc/78/28/52/547782852.db2.gz WLTDPPZUEOZJCU-INIZCTEOSA-N 0 1 312.332 3.373 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3occ4c3CCC4)[nH]c21 ZINC000671767040 547831045 /nfs/dbraw/zinc/83/10/45/547831045.db2.gz VRUAMRGFLSRVDG-CYBMUJFWSA-N 0 1 305.337 3.443 20 30 DGEDMN C=C[C@H](C)NC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000674972341 548143682 /nfs/dbraw/zinc/14/36/82/548143682.db2.gz QYSUZPHOZONCLQ-XJKSGUPXSA-N 0 1 321.852 3.351 20 30 DGEDMN CC[C@@H](C(=O)Nc1cccc(C#Cc2cccs2)c1)N(C)C ZINC000675734213 548261500 /nfs/dbraw/zinc/26/15/00/548261500.db2.gz CLXWXKXWCZJWCG-KRWDZBQOSA-N 0 1 312.438 3.427 20 30 DGEDMN CC(C)c1ccc(C(=O)C(C#N)c2nnc3n2CCCCC3)cn1 ZINC000676458189 548363751 /nfs/dbraw/zinc/36/37/51/548363751.db2.gz CUUHRTMXJFYIJG-AWEZNQCLSA-N 0 1 323.400 3.013 20 30 DGEDMN C=C(C)[C@@H](CC(=O)NCc1nc2ccc(Cl)cc2[nH]1)OCC ZINC000677306032 548483980 /nfs/dbraw/zinc/48/39/80/548483980.db2.gz DHTWQRVPWUDWOQ-CQSZACIVSA-N 0 1 321.808 3.204 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(c2cccnc2)CC1 ZINC000678168118 548631131 /nfs/dbraw/zinc/63/11/31/548631131.db2.gz ONXGGSQNXRBHMQ-INIZCTEOSA-N 0 1 315.461 3.074 20 30 DGEDMN N#CC(C(=O)c1ccn(C(F)F)n1)c1ccc2ccccc2n1 ZINC000678922632 548792372 /nfs/dbraw/zinc/79/23/72/548792372.db2.gz WRQXTSPNAZSQHX-LLVKDONJSA-N 0 1 312.279 3.316 20 30 DGEDMN CCC#C[C@H](C)N[C@@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000683144415 549438712 /nfs/dbraw/zinc/43/87/12/549438712.db2.gz JGKUKVXEOISLQH-CXMBCZLWSA-N 0 1 322.811 3.142 20 30 DGEDMN N#CCN1CCC(/N=C\c2cc(Cl)cc(Cl)c2O)CC1 ZINC000730434736 574529380 /nfs/dbraw/zinc/52/93/80/574529380.db2.gz RUWLXFSPOHIXAA-NVMNQCDNSA-N 0 1 312.200 3.106 20 30 DGEDMN CCOCCNC(=S)Nc1ccccc1SC[C@H](C)C#N ZINC000730955283 574540058 /nfs/dbraw/zinc/54/00/58/574540058.db2.gz XGJBIGPVXADXSE-GFCCVEGCSA-N 0 1 323.487 3.261 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2cc(Cl)ccc2O)c1 ZINC000730976267 574540766 /nfs/dbraw/zinc/54/07/66/574540766.db2.gz WXOZXTWFZLNWEW-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN CC(=NNc1ccccc1S(C)(=O)=O)c1ccc(C)s1 ZINC000731934196 574562983 /nfs/dbraw/zinc/56/29/83/574562983.db2.gz VNOWSGJGLVYABG-UHFFFAOYSA-N 0 1 308.428 3.296 20 30 DGEDMN C[C@@H](NC(=O)C1(C#N)CCCC1)c1nc2ccc(Cl)cc2[nH]1 ZINC000732540200 574575709 /nfs/dbraw/zinc/57/57/09/574575709.db2.gz CJWKAAUAKVDEKA-SNVBAGLBSA-N 0 1 316.792 3.477 20 30 DGEDMN C=CCOc1cccc(CNCc2cccc3c2OCCO3)c1 ZINC000733331306 574617078 /nfs/dbraw/zinc/61/70/78/574617078.db2.gz BZEXIDMADJTKFS-UHFFFAOYSA-N 0 1 311.381 3.312 20 30 DGEDMN CO[C@@H](CNCc1cccc(OCCCC#N)c1)C(F)(F)F ZINC000733330371 574617169 /nfs/dbraw/zinc/61/71/69/574617169.db2.gz VPKMYYZITJYEAM-AWEZNQCLSA-N 0 1 316.323 3.036 20 30 DGEDMN COc1ccc(C(C)=NNc2cnnc3ccccc32)c(OC)c1 ZINC000733748476 574636418 /nfs/dbraw/zinc/63/64/18/574636418.db2.gz ZIVACAGXPHPRRU-UHFFFAOYSA-N 0 1 322.368 3.483 20 30 DGEDMN COc1cccc(CN=Nc2cnnc3ccccc23)c1OC ZINC000733748134 574636426 /nfs/dbraw/zinc/63/64/26/574636426.db2.gz IPFLCAVNGYQXAZ-UHFFFAOYSA-N 0 1 308.341 3.093 20 30 DGEDMN CC(N=Nc1cnnc2ccccc12)c1ccc([N+](=O)[O-])cc1 ZINC000733749940 574636675 /nfs/dbraw/zinc/63/66/75/574636675.db2.gz GHAXZDGBEQUELP-UHFFFAOYSA-N 0 1 307.313 3.374 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1cccc(OCC#N)c1 ZINC000735649768 574710498 /nfs/dbraw/zinc/71/04/98/574710498.db2.gz KPHCEYWYCWRRSG-UHFFFAOYSA-N 0 1 303.406 3.040 20 30 DGEDMN Cc1nc(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cs1 ZINC000735790788 574714981 /nfs/dbraw/zinc/71/49/81/574714981.db2.gz QAVNGNOLZANROE-LBPRGKRZSA-N 0 1 310.382 3.055 20 30 DGEDMN CC[C@@H]1CCCC(N=Nc2oc(C(C)C)nc2-c2nn[nH]n2)C1 ZINC000736395631 574747557 /nfs/dbraw/zinc/74/75/57/574747557.db2.gz JCNGJMRPKLCGAU-SNVBAGLBSA-N 0 1 317.397 3.346 20 30 DGEDMN C[C@@H]1c2ccccc2OCC[N@H+]1[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000736839542 574808556 /nfs/dbraw/zinc/80/85/56/574808556.db2.gz BOERRMFEKDUZRO-ACJLOTCBSA-N 0 1 322.364 3.140 20 30 DGEDMN C[C@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)Cc2ccccc21 ZINC000736809691 574807101 /nfs/dbraw/zinc/80/71/01/574807101.db2.gz QYQRATLZBXMENI-UGSOOPFHSA-N 0 1 306.365 3.303 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2cccc3c2OCO3)n1 ZINC000739421632 574902996 /nfs/dbraw/zinc/90/29/96/574902996.db2.gz NQIUTXAFDDKFBZ-UHFFFAOYSA-N 0 1 309.247 3.275 20 30 DGEDMN CC(=NN=c1cc[nH]c(N(C)C)n1)c1ccc(OC(C)C)cc1 ZINC000739418640 574902425 /nfs/dbraw/zinc/90/24/25/574902425.db2.gz YLDKVSZMFVQPGG-UHFFFAOYSA-N 0 1 313.405 3.166 20 30 DGEDMN CC(C)COc1ccc(CN=Nc2ccnc(N(C)C)n2)cc1 ZINC000739411101 574902623 /nfs/dbraw/zinc/90/26/23/574902623.db2.gz GRMVAYNGZMCJRL-UHFFFAOYSA-N 0 1 313.405 3.023 20 30 DGEDMN CCc1cc(N=NCc2scnc2C)nc(-c2ccccn2)n1 ZINC000743439811 575051259 /nfs/dbraw/zinc/05/12/59/575051259.db2.gz MXAJERUELVRFBI-UHFFFAOYSA-N 0 1 324.413 3.312 20 30 DGEDMN CCc1ccc(N2CCN(Cc3cccc(C#N)c3)CC2)cc1 ZINC000743868196 575067012 /nfs/dbraw/zinc/06/70/12/575067012.db2.gz XXZYRGTYWUOSSP-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN Cc1ncsc1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000744028680 575069157 /nfs/dbraw/zinc/06/91/57/575069157.db2.gz NJLGOLWREJXZSW-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3Cc4ccccc43)[nH]c21 ZINC000744159533 575075275 /nfs/dbraw/zinc/07/52/75/575075275.db2.gz DOYVYDCLZNPLAG-CABCVRRESA-N 0 1 301.349 3.388 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC[C@H]3CC[C@H](C)O3)[nH]c21 ZINC000744159747 575075372 /nfs/dbraw/zinc/07/53/72/575075372.db2.gz DUTBGLLXONOXBV-BFHYXJOUSA-N 0 1 311.385 3.395 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c[nH]c3cccc(C)c23)nc(C)n1 ZINC000729067198 575213308 /nfs/dbraw/zinc/21/33/08/575213308.db2.gz BKVINVJZDAKLER-HNNXBMFYSA-N 0 1 318.380 3.302 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=Cc1ccc(F)c(C)c1 ZINC000729145370 575219827 /nfs/dbraw/zinc/21/98/27/575219827.db2.gz OSRLVDVPJPTHAW-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN CC(=NNc1ccccc1F)c1cccc(NS(C)(=O)=O)c1 ZINC000729816503 575264210 /nfs/dbraw/zinc/26/42/10/575264210.db2.gz NJXNKBRGCCQZFG-UHFFFAOYSA-N 0 1 321.377 3.033 20 30 DGEDMN CCCCn1nc(C)c(C=NNc2ccc(C)nn2)c1Cl ZINC000729936265 575267377 /nfs/dbraw/zinc/26/73/77/575267377.db2.gz NFDNIWQPUKPQSI-UHFFFAOYSA-N 0 1 306.801 3.189 20 30 DGEDMN COc1ccc(Br)c(CN=Nc2ccccn2)c1 ZINC000747746960 575538774 /nfs/dbraw/zinc/53/87/74/575538774.db2.gz OKHLMGQYMDUBEL-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN CCOC[C@@H]1CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000747965867 575554414 /nfs/dbraw/zinc/55/44/14/575554414.db2.gz DBUBGZBEGBNXSW-CYBMUJFWSA-N 0 1 308.809 3.101 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc3cc([N+](=O)[O-])ccc3o2)c1 ZINC000748411199 575585083 /nfs/dbraw/zinc/58/50/83/575585083.db2.gz LRXOZBNTAOTNRG-UHFFFAOYSA-N 0 1 323.264 3.171 20 30 DGEDMN Cc1[nH]c(-c2ccccc2)nc1C(=O)Nc1cc(C#N)ccc1O ZINC000748411237 575585090 /nfs/dbraw/zinc/58/50/90/575585090.db2.gz ZELSVGLWALFIOM-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN Cc1ccsc1[C@@H]1C[C@H]1C(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000748834871 575610111 /nfs/dbraw/zinc/61/01/11/575610111.db2.gz SULGQLYWSYTRAN-MCIONIFRSA-N 0 1 311.410 3.443 20 30 DGEDMN CC(=Nn1c(=O)c2ccccc2[nH]c1=S)c1ccsc1 ZINC000749099217 575626935 /nfs/dbraw/zinc/62/69/35/575626935.db2.gz LTBUKUOFXDVFGP-UHFFFAOYSA-N 0 1 301.396 3.019 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2cccc(CC#N)c2)cc1F ZINC000749248388 575638768 /nfs/dbraw/zinc/63/87/68/575638768.db2.gz NUMBLWZGFPSJIP-UHFFFAOYSA-N 0 1 311.360 3.206 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc([C@@H](C#N)c2ccccc2)cc1)N(C)C ZINC000750600459 575741577 /nfs/dbraw/zinc/74/15/77/575741577.db2.gz XMYUFBCZBNLQJX-KSSFIOAISA-N 0 1 307.397 3.231 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1ccc(F)cc1C ZINC000750937451 575762954 /nfs/dbraw/zinc/76/29/54/575762954.db2.gz STBWLEMYMXHARB-UHFFFAOYSA-N 0 1 303.293 3.497 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1ccc(OC(F)F)cc1 ZINC000751133265 575771530 /nfs/dbraw/zinc/77/15/30/575771530.db2.gz NZBWTBNBJJPYCV-UHFFFAOYSA-N 0 1 323.255 3.348 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1cnn(-c2ccccc2)c1 ZINC000751338990 575781017 /nfs/dbraw/zinc/78/10/17/575781017.db2.gz ZFYUPGNQTFIJJM-UHFFFAOYSA-N 0 1 307.313 3.227 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC(CC)(CC)c3ccccc32)CC1 ZINC000752409969 575835758 /nfs/dbraw/zinc/83/57/58/575835758.db2.gz VOGUDGHSTUXOAO-UHFFFAOYSA-N 0 1 324.468 3.436 20 30 DGEDMN CCOc1ccc(CN=Nc2nc3ccccc3n2C)cc1OC ZINC000728776276 576200968 /nfs/dbraw/zinc/20/09/68/576200968.db2.gz OGCYUVIEFNXHCE-UHFFFAOYSA-N 0 1 324.384 3.427 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)cn1 ZINC000728864566 576222412 /nfs/dbraw/zinc/22/24/12/576222412.db2.gz LZIRCQOXAAUEQO-UHFFFAOYSA-N 0 1 316.364 3.459 20 30 DGEDMN C=CCc1cc(OCC)ccc1OC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000916858717 620647580 /nfs/dbraw/zinc/64/75/80/620647580.db2.gz DWWCRBGBDRTPHB-UHFFFAOYSA-N 0 1 316.313 3.269 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CC(C)(C)C ZINC000916922444 620649650 /nfs/dbraw/zinc/64/96/50/620649650.db2.gz DCTNVQMKBMZZJI-GFCCVEGCSA-N 0 1 302.374 3.169 20 30 DGEDMN C=CCN(CC(=O)N[C@H](c1ccc(OC)cc1)C(C)C)C(C)C ZINC000917070888 620655342 /nfs/dbraw/zinc/65/53/42/620655342.db2.gz JIGHOSBNAPLRGZ-IBGZPJMESA-N 0 1 318.461 3.405 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CC3(CCC3)[C@@H]2c2ccncc2)cc1 ZINC000853067137 620666025 /nfs/dbraw/zinc/66/60/25/620666025.db2.gz PLPHDMIWUAZKMF-OALUTQOASA-N 0 1 319.408 3.214 20 30 DGEDMN C=CCSc1nc2ccccc2n1CN1CCSCC1 ZINC000917465824 620670813 /nfs/dbraw/zinc/67/08/13/620670813.db2.gz RFNPRZUWGZHUHJ-UHFFFAOYSA-N 0 1 305.472 3.321 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1ccc(Cl)cc1Cl ZINC000917555869 620675423 /nfs/dbraw/zinc/67/54/23/620675423.db2.gz QCTOYLGXUWAGOW-UHFFFAOYSA-N 0 1 321.171 3.496 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CC[C@](C)(C(=O)[O-])C2)cc1Cl ZINC000263494547 604674158 /nfs/dbraw/zinc/67/41/58/604674158.db2.gz JKRBORZOYCQBCS-INIZCTEOSA-N 0 1 309.793 3.201 20 30 DGEDMN C#Cc1cccnc1NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000853195988 620677037 /nfs/dbraw/zinc/67/70/37/620677037.db2.gz LTOZAFMBPZFTSX-UHFFFAOYSA-N 0 1 309.388 3.279 20 30 DGEDMN N#CC(C(=O)Cc1cccc(F)c1F)c1nc2ccccc2[nH]1 ZINC000154501523 620682188 /nfs/dbraw/zinc/68/21/88/620682188.db2.gz WFQKZYLAMRZBAC-NSHDSACASA-N 0 1 311.291 3.260 20 30 DGEDMN FC(F)(F)c1ccnc(NN=Cc2ccnn2CC2CC2)c1 ZINC000853353141 620689263 /nfs/dbraw/zinc/68/92/63/620689263.db2.gz RQBHUOXDNNTDBZ-UHFFFAOYSA-N 0 1 309.295 3.153 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)C(C)(C)[C@@H]1c1ccncc1 ZINC000853598148 620714277 /nfs/dbraw/zinc/71/42/77/620714277.db2.gz MDPURAQVSWYZLM-BBWFWOEESA-N 0 1 311.429 3.132 20 30 DGEDMN C#CC[C@@H]1CCCN(c2nccc3cc(OC)c(OC)cc32)C1 ZINC000853709255 620727453 /nfs/dbraw/zinc/72/74/53/620727453.db2.gz XMESDPVDZBDPDM-CQSZACIVSA-N 0 1 310.397 3.492 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1(C(F)(F)F)CCN(CC)CC1 ZINC000891209794 617749592 /nfs/dbraw/zinc/74/95/92/617749592.db2.gz SSBAEIIXDUBYPE-UHFFFAOYSA-N 0 1 318.383 3.288 20 30 DGEDMN C=CC[S@](=O)(=NC(=O)C1SCCOC1=C)c1ccccc1 ZINC000891379743 617786805 /nfs/dbraw/zinc/78/68/05/617786805.db2.gz JPYVSYCKZBTESG-OAQYLSRUSA-N 0 1 323.439 3.221 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2cc(C#N)ccc2F)o1 ZINC000891448265 617802845 /nfs/dbraw/zinc/80/28/45/617802845.db2.gz IUDPMSNOXNJAEH-UHFFFAOYSA-N 0 1 315.348 3.384 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000891507498 617820262 /nfs/dbraw/zinc/82/02/62/617820262.db2.gz FZWJFXBFCOXMJX-AWEZNQCLSA-N 0 1 309.479 3.349 20 30 DGEDMN N#Cc1cc(CN2CCC(c3nnc4ccccn43)CC2)cs1 ZINC000891522882 617824916 /nfs/dbraw/zinc/82/49/16/617824916.db2.gz SPUYVFMDYFBRNE-UHFFFAOYSA-N 0 1 323.425 3.042 20 30 DGEDMN CC(C)CN(Cc1c2c(nn1C)CCC2)Cc1ccc(C#N)cc1 ZINC000891685403 617868606 /nfs/dbraw/zinc/86/86/06/617868606.db2.gz YSOPCZVEBGAALK-UHFFFAOYSA-N 0 1 322.456 3.439 20 30 DGEDMN COc1ccccc1OCCN(C)Cc1csc(C#N)c1 ZINC000891706085 617875390 /nfs/dbraw/zinc/87/53/90/617875390.db2.gz YTKPTDXVRGLQJJ-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000891716440 617878379 /nfs/dbraw/zinc/87/83/79/617878379.db2.gz QAKGLVQEZGUEFF-PBHICJAKSA-N 0 1 309.454 3.115 20 30 DGEDMN C=CCCCCCN1CC(C)(c2nnc(C3CCOCC3)o2)C1 ZINC000854077629 620767543 /nfs/dbraw/zinc/76/75/43/620767543.db2.gz XQJLCQYVLXVVED-UHFFFAOYSA-N 0 1 319.449 3.283 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)C2CCC(C(C)(C)C)CC2)CC1 ZINC000919966857 620774857 /nfs/dbraw/zinc/77/48/57/620774857.db2.gz VFTKWDKKYYBGIP-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)C[C@@H]2C[C@H]2C2CC2)cc1 ZINC000892488991 618080241 /nfs/dbraw/zinc/08/02/41/618080241.db2.gz BRGLITGKVBWKKY-BHYGNILZSA-N 0 1 310.397 3.333 20 30 DGEDMN CC(C)(CC(=O)[C@H](C#N)C(=O)NC1CCCC1)CC(F)(F)F ZINC000892530586 618100322 /nfs/dbraw/zinc/10/03/22/618100322.db2.gz RVTWJMUXHODIGI-NSHDSACASA-N 0 1 318.339 3.123 20 30 DGEDMN CC(C)(CC(=O)[C@@H](C#N)C(=O)NC1CCCC1)CC(F)(F)F ZINC000892530585 618100397 /nfs/dbraw/zinc/10/03/97/618100397.db2.gz RVTWJMUXHODIGI-LLVKDONJSA-N 0 1 318.339 3.123 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCOC4(CCC4)C3)[nH]c21 ZINC000892705733 618143390 /nfs/dbraw/zinc/14/33/90/618143390.db2.gz QURGGTZHXJFMLI-UONOGXRCSA-N 0 1 323.396 3.397 20 30 DGEDMN COc1cc(C=NNc2cc(F)cc(F)c2)cc([N+](=O)[O-])c1O ZINC000920376131 620798371 /nfs/dbraw/zinc/79/83/71/620798371.db2.gz CRSWFGJGPYXCMT-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnn(C)c2C2CC2)c1 ZINC000892971896 618373672 /nfs/dbraw/zinc/37/36/72/618373672.db2.gz FRIRLHBFOXMRSO-QGZVFWFLSA-N 0 1 324.428 3.268 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccc(OC)cn2)c1 ZINC000892973610 618375883 /nfs/dbraw/zinc/37/58/83/618375883.db2.gz SWPIUXSJCRPWHV-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN COC(=O)c1cc(CN[C@@H]2CCc3cc(C#N)ccc32)cs1 ZINC000894589240 618395387 /nfs/dbraw/zinc/39/53/87/618395387.db2.gz MOWJTWRQUNEBLK-OAHLLOKOSA-N 0 1 312.394 3.183 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)OC[C@H]3CC[C@@H](C#N)CC3)c2C1 ZINC000893027404 618397137 /nfs/dbraw/zinc/39/71/37/618397137.db2.gz ZTFDUDQONXCWEF-RWMBFGLXSA-N 0 1 301.390 3.021 20 30 DGEDMN CC[C@H](NCC#Cc1ccccc1)[C@H](O)c1c(F)cccc1F ZINC000893063201 618409546 /nfs/dbraw/zinc/40/95/46/618409546.db2.gz XTEUZPCLAZHQLZ-HKUYNNGSSA-N 0 1 315.363 3.418 20 30 DGEDMN Cc1ccc(CNCC#Cc2ccccc2)c(O[C@@H]2CCOC2)c1 ZINC000893109945 618429830 /nfs/dbraw/zinc/42/98/30/618429830.db2.gz FEXMMEKOOMNENZ-HXUWFJFHSA-N 0 1 321.420 3.304 20 30 DGEDMN Cc1cc(C#N)nc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)c1 ZINC000895061238 618522675 /nfs/dbraw/zinc/52/26/75/618522675.db2.gz GEMKAKWTWSOFNA-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN CN(CCC#N)c1ccc(CNCc2cnc(Cl)s2)cc1 ZINC000895155223 618557031 /nfs/dbraw/zinc/55/70/31/618557031.db2.gz AGQVSMMJJJEOQA-UHFFFAOYSA-N 0 1 320.849 3.436 20 30 DGEDMN Cc1ccc(CNCC#Cc2ccccc2)c(N2CCCC2)n1 ZINC000893484172 618568941 /nfs/dbraw/zinc/56/89/41/618568941.db2.gz KHGJUMOWMVIRAR-UHFFFAOYSA-N 0 1 305.425 3.132 20 30 DGEDMN Cn1ncc(CNC2(c3ccc(C#N)cc3)CCC2)c1C1CC1 ZINC000895214565 618576163 /nfs/dbraw/zinc/57/61/63/618576163.db2.gz FIFGTFDLXMTQQU-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN N#Cc1ccc(CCNCc2csc(C(F)(F)F)n2)cc1 ZINC000895311456 618593066 /nfs/dbraw/zinc/59/30/66/618593066.db2.gz ZFFFOXZYKUKTHF-UHFFFAOYSA-N 0 1 311.332 3.366 20 30 DGEDMN CCCCCCCCNCc1nc(Br)nn1C ZINC000894152432 618720795 /nfs/dbraw/zinc/72/07/95/618720795.db2.gz LYVBFUVFRFIWPK-UHFFFAOYSA-N 0 1 303.248 3.028 20 30 DGEDMN C=C(C)[C@H]1OCC[C@H]1CNCc1csc(C(F)(F)F)n1 ZINC000894218750 618732461 /nfs/dbraw/zinc/73/24/61/618732461.db2.gz IDJIGOVWTVLULX-GXSJLCMTSA-N 0 1 306.353 3.233 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC2CC2)c2ccc(C)cc2)CC1 ZINC000894235015 618735698 /nfs/dbraw/zinc/73/56/98/618735698.db2.gz OTOVNGNTTXAXNW-UHFFFAOYSA-N 0 1 310.441 3.083 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3ccc(C#N)cc3)C2)cc1 ZINC000895493819 618791302 /nfs/dbraw/zinc/79/13/02/618791302.db2.gz AGSUNIVHQZCHPZ-GOSISDBHSA-N 0 1 305.381 3.150 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCCN(Cc3ccccc3)CC2)cc1 ZINC000895527124 618794987 /nfs/dbraw/zinc/79/49/87/618794987.db2.gz VDQDMSYVMNMPHG-UHFFFAOYSA-N 0 1 318.420 3.016 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1cc(O)ccc1Cl ZINC000895632573 618809574 /nfs/dbraw/zinc/80/95/74/618809574.db2.gz GHHOEXLAEYJDMC-GFCCVEGCSA-N 0 1 308.809 3.234 20 30 DGEDMN C=CCC1(O)CCN(Cc2cn(C)nc2C2CCCCC2)CC1 ZINC000895835354 618839698 /nfs/dbraw/zinc/83/96/98/618839698.db2.gz DRGKGHRIWRJHID-UHFFFAOYSA-N 0 1 317.477 3.371 20 30 DGEDMN COc1ncc(C(C)=NNc2ccccc2[N+](=O)[O-])cc1Cl ZINC000788928368 625297580 /nfs/dbraw/zinc/29/75/80/625297580.db2.gz IKEISSCGKUICEN-UHFFFAOYSA-N 0 1 320.736 3.488 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)NCC(=O)Nc1cccc2cccnc21 ZINC000895960407 618853714 /nfs/dbraw/zinc/85/37/14/618853714.db2.gz CNFKAGTZJGAHRI-ZDUSSCGKSA-N 0 1 310.401 3.091 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000896033698 618864122 /nfs/dbraw/zinc/86/41/22/618864122.db2.gz XMKALLWNCDZMKN-GOSISDBHSA-N 0 1 324.428 3.005 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000897268791 619040156 /nfs/dbraw/zinc/04/01/56/619040156.db2.gz QPVJCBAGORIHQK-OAHLLOKOSA-N 0 1 314.433 3.135 20 30 DGEDMN COc1ccc(CNCc2cc(C)nc(Cl)c2)cc1C#N ZINC000797601118 619067914 /nfs/dbraw/zinc/06/79/14/619067914.db2.gz KMVGLWBXRMEVHT-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN C[C@H](CCC#N)CNC1(c2ncc(Br)cn2)CCC1 ZINC000897351925 619071191 /nfs/dbraw/zinc/07/11/91/619071191.db2.gz SJSQKYKRNUCMRV-LLVKDONJSA-N 0 1 323.238 3.148 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cnn(CC2CCC2)c1 ZINC000897429383 619118119 /nfs/dbraw/zinc/11/81/19/619118119.db2.gz GEYHGDLVXXQHBP-UHFFFAOYSA-N 0 1 308.429 3.462 20 30 DGEDMN N#Cc1ccc([C@@H]2CN(Cc3[nH]nc4ccccc43)CCO2)cc1 ZINC000897590805 619163786 /nfs/dbraw/zinc/16/37/86/619163786.db2.gz CDWIZCQIOLIIJB-IBGZPJMESA-N 0 1 318.380 3.008 20 30 DGEDMN C#CCCc1cc(=O)n(-c2ccc(OC)cc2C(F)(F)F)[nH]1 ZINC000899356778 619329117 /nfs/dbraw/zinc/32/91/17/619329117.db2.gz SSLZRQIFHHIHSX-UHFFFAOYSA-N 0 1 310.275 3.220 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1cnn(C2CCC2)c1 ZINC000899408262 619337858 /nfs/dbraw/zinc/33/78/58/619337858.db2.gz XHPXTTFXCFWKFL-DAXSKMNVSA-N 0 1 313.313 3.118 20 30 DGEDMN C=CCCCN(CC)C(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000900863890 619456260 /nfs/dbraw/zinc/45/62/60/619456260.db2.gz ZLFLECKTWUWVGF-UHFFFAOYSA-N 0 1 317.414 3.052 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1C[C@H]([C@@H]2CCCO2)CC1=O ZINC000902132458 619594310 /nfs/dbraw/zinc/59/43/10/619594310.db2.gz YDYGYEUAGHMEOE-MSOLQXFVSA-N 0 1 320.477 3.040 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2cc(C#N)ccn2)c1 ZINC000902866703 619684094 /nfs/dbraw/zinc/68/40/94/619684094.db2.gz LVIWTDALWMZYAP-YOEHRIQHSA-N 0 1 320.396 3.485 20 30 DGEDMN CC[C@@H](N[C@H](CO)c1ccccc1OC)c1cccc(C#N)c1 ZINC000902869214 619684511 /nfs/dbraw/zinc/68/45/11/619684511.db2.gz ULWUYSINJDJPTC-QZTJIDSGSA-N 0 1 310.397 3.341 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc3ccccc32)CC1 ZINC000902940241 619695485 /nfs/dbraw/zinc/69/54/85/619695485.db2.gz ODIQJKUNROKXGI-UHFFFAOYSA-N 0 1 307.397 3.059 20 30 DGEDMN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1cc(C#N)ccn1 ZINC000902993392 619700672 /nfs/dbraw/zinc/70/06/72/619700672.db2.gz RVTOBTQSRIOECB-SJKOYZFVSA-N 0 1 313.426 3.153 20 30 DGEDMN C[C@@H](NC[C@H](O)c1cc(F)cc(Cl)c1)c1cc(C#N)ccn1 ZINC000902975017 619701506 /nfs/dbraw/zinc/70/15/06/619701506.db2.gz DEYBULRFNWVKAN-HWPZZCPQSA-N 0 1 319.767 3.130 20 30 DGEDMN C=CCC[C@H](NCc1nnc(CC)s1)[C@]1(C)CCCCO1 ZINC000902995747 619702660 /nfs/dbraw/zinc/70/26/60/619702660.db2.gz GRYJLYJGFIVCCY-BBRMVZONSA-N 0 1 309.479 3.484 20 30 DGEDMN CC(C)[C@@H](N[C@@H]1CCCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066263 619709582 /nfs/dbraw/zinc/70/95/82/619709582.db2.gz ULBMUABOUSTNIT-QZTJIDSGSA-N 0 1 323.444 3.441 20 30 DGEDMN Cc1cc(Br)cc([C@@H](C)NCC2(C#N)CC2)c1O ZINC000903105247 619717320 /nfs/dbraw/zinc/71/73/20/619717320.db2.gz BEJMSCPYAHEGPP-SNVBAGLBSA-N 0 1 309.207 3.418 20 30 DGEDMN CC(C)[C@H](COCc1ccccc1)NCc1nc(C#N)cs1 ZINC000903263914 619747483 /nfs/dbraw/zinc/74/74/83/619747483.db2.gz PFZOEXUAXFFLIK-INIZCTEOSA-N 0 1 315.442 3.346 20 30 DGEDMN C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1cccc(C#N)c1[O-] ZINC000903266022 619748932 /nfs/dbraw/zinc/74/89/32/619748932.db2.gz JMETUQHABSHSIQ-UXEPBGEESA-N 0 1 312.344 3.386 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1[C@H](C(=O)[O-])C[C@H]2CCCC[C@@H]21 ZINC000903402211 619773905 /nfs/dbraw/zinc/77/39/05/619773905.db2.gz NVQYMZYRIKIXGD-NJAFHUGGSA-N 0 1 312.413 3.393 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cncc(C(C)C)c1 ZINC000903663137 619793435 /nfs/dbraw/zinc/79/34/35/619793435.db2.gz LDIKVCGKDDLGDU-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CC[N@H+](CCC1(C(=O)[O-])CCC1)Cc1ccc(OC)cc1 ZINC000903696331 619800243 /nfs/dbraw/zinc/80/02/43/619800243.db2.gz FROXGXMQLSQNHA-UHFFFAOYSA-N 0 1 303.402 3.328 20 30 DGEDMN Cc1oc(-c2cccs2)nc1C=NNCCN1CCCCC1 ZINC000905436116 619999384 /nfs/dbraw/zinc/99/93/84/619999384.db2.gz JGUCNUXNZPZVMJ-UHFFFAOYSA-N 0 1 318.446 3.121 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2csc(C3CC3)n2)n1 ZINC000905504213 620002660 /nfs/dbraw/zinc/00/26/60/620002660.db2.gz DCGLQPXKDSWFEA-UHFFFAOYSA-N 0 1 317.418 3.101 20 30 DGEDMN C#CCCCCCC[N@@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC000905752819 620027095 /nfs/dbraw/zinc/02/70/95/620027095.db2.gz WAKVQWAXRXSCRP-ZDUSSCGKSA-N 0 1 306.431 3.214 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000881467121 625395026 /nfs/dbraw/zinc/39/50/26/625395026.db2.gz RGGPJOOJIUEPCI-RNCFNFMXSA-N 0 1 312.348 3.034 20 30 DGEDMN N#CC1(CC(=O)Nc2ccc3oc(CN4CCCC4)nc3c2)CC1 ZINC000908407674 620204879 /nfs/dbraw/zinc/20/48/79/620204879.db2.gz LBCAAVLBYFELLP-UHFFFAOYSA-N 0 1 324.384 3.056 20 30 DGEDMN CC(=NNc1ccnc(Br)c1)c1cc(N)ccc1F ZINC000814904337 620454527 /nfs/dbraw/zinc/45/45/27/620454527.db2.gz DXGUGGRUIGUAML-UHFFFAOYSA-N 0 1 323.169 3.402 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2ccccc2)CC1 ZINC000913688802 620472204 /nfs/dbraw/zinc/47/22/04/620472204.db2.gz MLRRKQLEUHIUOU-OALUTQOASA-N 0 1 310.441 3.218 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CC[C@H]2CCC)CC1 ZINC000152416239 620505929 /nfs/dbraw/zinc/50/59/29/620505929.db2.gz WSGDNDWNXFNDFK-LJQANCHMSA-N 0 1 324.468 3.480 20 30 DGEDMN CC1(C)CCCC[C@@H]1CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000152702581 620518201 /nfs/dbraw/zinc/51/82/01/620518201.db2.gz JAYICLMBAWTXJX-ZIAGYGMSSA-N 0 1 313.401 3.335 20 30 DGEDMN C=CC1CCN(C[C@H](O)c2ccccc2Br)CC1 ZINC000152979142 620530415 /nfs/dbraw/zinc/53/04/15/620530415.db2.gz LKIPCLGVMMYXFR-HNNXBMFYSA-N 0 1 310.235 3.381 20 30 DGEDMN CC(C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)=C1CCCC1 ZINC000754163584 620540856 /nfs/dbraw/zinc/54/08/56/620540856.db2.gz QULCEOTUQWDLFJ-ZDUSSCGKSA-N 0 1 318.398 3.062 20 30 DGEDMN CC(C(=O)OCC(=O)C(C#N)c1nc(C)cs1)=C1CCCC1 ZINC000754163584 620540857 /nfs/dbraw/zinc/54/08/57/620540857.db2.gz QULCEOTUQWDLFJ-ZDUSSCGKSA-N 0 1 318.398 3.062 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000153277342 620545804 /nfs/dbraw/zinc/54/58/04/620545804.db2.gz AMVHKTIQANUXSU-HOTGVXAUSA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2C=NNc2cc(C)nc(C)n2)cc1 ZINC000915967150 620609149 /nfs/dbraw/zinc/60/91/49/620609149.db2.gz CUPZECIVIWQJHS-UHFFFAOYSA-N 0 1 306.373 3.238 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2C=NNc2nc3ccccc3n2C)o1 ZINC000915971243 620609954 /nfs/dbraw/zinc/60/99/54/620609954.db2.gz LKPUKDQWNIMEJP-UHFFFAOYSA-N 0 1 320.356 3.311 20 30 DGEDMN COc1ccc(O)c(C(C)=NNc2nc3ccccc3n2C)c1 ZINC000915971155 620610061 /nfs/dbraw/zinc/61/00/61/620610061.db2.gz GTEGXYYTNGFNPW-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1nc2ccccc2s1 ZINC000915975308 620610241 /nfs/dbraw/zinc/61/02/41/620610241.db2.gz RNNVSWOEFMGWLA-UHFFFAOYSA-N 0 1 302.447 3.096 20 30 DGEDMN Cc1nn(Cc2ccccc2)c(C)c1C=NNc1ccccn1 ZINC000916273602 620623594 /nfs/dbraw/zinc/62/35/94/620623594.db2.gz MTBYVEZEFKGQMK-UHFFFAOYSA-N 0 1 305.385 3.389 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1cccc(Cl)c1 ZINC000916305454 620625146 /nfs/dbraw/zinc/62/51/46/620625146.db2.gz SAXKXQFYSBONRI-UHFFFAOYSA-N 0 1 306.700 3.121 20 30 DGEDMN CNC(=O)c1ccc(CN=Nc2cccc(Cl)c2F)cc1 ZINC000921137960 620838489 /nfs/dbraw/zinc/83/84/89/620838489.db2.gz WMAQRASFAMCMFU-UHFFFAOYSA-N 0 1 305.740 3.285 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2ccc(O)cc2O)c(OC)c1 ZINC000220947742 620894866 /nfs/dbraw/zinc/89/48/66/620894866.db2.gz JIEXXTPDFRDQKC-YWEYNIOJSA-N 0 1 300.310 3.011 20 30 DGEDMN C=CCCONC(=O)Cc1csc(Cc2ccc(F)cc2)n1 ZINC000856030379 620955176 /nfs/dbraw/zinc/95/51/76/620955176.db2.gz DLJSLGPWGHHZAO-UHFFFAOYSA-N 0 1 320.389 3.039 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnc(C)s2)c1 ZINC000113961469 620969226 /nfs/dbraw/zinc/96/92/26/620969226.db2.gz CMZITIBWTCMJQY-CQSZACIVSA-N 0 1 301.415 3.422 20 30 DGEDMN N#Cc1cc(CNC2(c3cccc(C#N)c3)CCOCC2)cs1 ZINC000922254961 621013749 /nfs/dbraw/zinc/01/37/49/621013749.db2.gz SFBUJSQJJRLBTF-UHFFFAOYSA-N 0 1 323.421 3.287 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1ncc(Cl)cn1 ZINC000857220177 621069575 /nfs/dbraw/zinc/06/95/75/621069575.db2.gz ADBAOOOOZQXWHD-LBPRGKRZSA-N 0 1 315.808 3.050 20 30 DGEDMN C#CCC1(OC(=O)c2[nH]c(-c3cccc(OC)c3)nc2C)CCC1 ZINC000788080655 621118124 /nfs/dbraw/zinc/11/81/24/621118124.db2.gz SFJAKGQJIRYMHR-UHFFFAOYSA-N 0 1 324.380 3.497 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H]2CCCc3c[nH]nc32)c1 ZINC000857858634 621123673 /nfs/dbraw/zinc/12/36/73/621123673.db2.gz LSJLWGFZVZKTAG-WBVHZDCISA-N 0 1 310.401 3.258 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](Oc3ccc(C4CC4)cn3)C2)c1 ZINC000858014859 621145573 /nfs/dbraw/zinc/14/55/73/621145573.db2.gz PSDYOZRAEYMDIQ-LJQANCHMSA-N 0 1 319.408 3.484 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000858299355 621172889 /nfs/dbraw/zinc/17/28/89/621172889.db2.gz UPHVCMSZMHVXKC-GUYCJALGSA-N 0 1 305.805 3.009 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2ccns2)cc(OC)c1O ZINC000858316747 621175259 /nfs/dbraw/zinc/17/52/59/621175259.db2.gz KDRXFWBJQACBFY-LLVKDONJSA-N 0 1 304.415 3.437 20 30 DGEDMN C#CC[C@@H](COC)NCc1cc(C(F)(F)F)ccc1Cl ZINC000858334645 621177122 /nfs/dbraw/zinc/17/71/22/621177122.db2.gz DUDMXEBDWACMRT-LBPRGKRZSA-N 0 1 305.727 3.487 20 30 DGEDMN C#CCOCCN[C@@H](C)c1cc(F)c(Br)cc1F ZINC000858403861 621186220 /nfs/dbraw/zinc/18/62/20/621186220.db2.gz DJMMFIDEASBFSV-VIFPVBQESA-N 0 1 318.161 3.028 20 30 DGEDMN C#CC[C@H](CCOC)Nc1ccnc2cc(F)c(OC)cc21 ZINC000858856521 621226011 /nfs/dbraw/zinc/22/60/11/621226011.db2.gz LALNNLYGKSZJEW-GFCCVEGCSA-N 0 1 302.349 3.223 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2cc(-c3ccccc3)n[nH]2)c1 ZINC000103102702 621259403 /nfs/dbraw/zinc/25/94/03/621259403.db2.gz SSGLFHHFJILXMJ-UHFFFAOYSA-N 0 1 301.349 3.335 20 30 DGEDMN CC(C)(C)C1CC(C(=O)C(C#N)C(=O)NC2CCCCC2)C1 ZINC000838788928 621280886 /nfs/dbraw/zinc/28/08/86/621280886.db2.gz XEXSCNFNYPGKGH-PIMMBPRGSA-N 0 1 304.434 3.216 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C1CCC1 ZINC000838787575 621281116 /nfs/dbraw/zinc/28/11/16/621281116.db2.gz PFCRJECFCPECRJ-GXTWGEPZSA-N 0 1 314.385 3.169 20 30 DGEDMN N#C[C@@H](C(=O)Cc1ccccc1OC1CCCC1)c1ccncn1 ZINC000838857425 621291029 /nfs/dbraw/zinc/29/10/29/621291029.db2.gz RTOWCMUWQZOWDL-MRXNPFEDSA-N 0 1 321.380 3.217 20 30 DGEDMN Cc1ccc(COC(=O)[C@@H](C(C)C)N2CCCC2)cc1C#N ZINC000859566146 621292266 /nfs/dbraw/zinc/29/22/66/621292266.db2.gz FGLNEZOTEMVZLO-QGZVFWFLSA-N 0 1 300.402 3.030 20 30 DGEDMN COC(=O)C1(C#N)CCN([C@@H](C)c2ccc3c(c2)CCC3)CC1 ZINC000839031006 621317574 /nfs/dbraw/zinc/31/75/74/621317574.db2.gz DXAKRBIIGGFNTN-AWEZNQCLSA-N 0 1 312.413 3.015 20 30 DGEDMN C#CCNC(=O)[C@H](C)N1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000839186069 621345139 /nfs/dbraw/zinc/34/51/39/621345139.db2.gz HZQQPYKHCIWOFW-WMZOPIPTSA-N 0 1 310.441 3.132 20 30 DGEDMN O=[N+]([O-])c1cccc(N=NC2CCN(Cc3ccccc3)C2)c1 ZINC000839384906 621365332 /nfs/dbraw/zinc/36/53/32/621365332.db2.gz VYSZGJFWCNYQHP-UHFFFAOYSA-N 0 1 310.357 3.269 20 30 DGEDMN O=C([O-])c1ccc(N=NC2CC[N@@H+](Cc3ccccc3)C2)cc1 ZINC000839381298 621365457 /nfs/dbraw/zinc/36/54/57/621365457.db2.gz HQMHJFJWEXCKED-UHFFFAOYSA-N 0 1 309.369 3.059 20 30 DGEDMN CSCCCCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000860483746 621409784 /nfs/dbraw/zinc/40/97/84/621409784.db2.gz USRBVBVSVNJYPL-ZDUSSCGKSA-N 0 1 319.430 3.042 20 30 DGEDMN c1ccc2c(c1)OC1(CCC1)CC2=NNCCN1CCCCC1 ZINC000753162487 621429297 /nfs/dbraw/zinc/42/92/97/621429297.db2.gz LHNYGOIHBFQCFB-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN C#CC[N@H+]1CCC[C@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000753254602 621433744 /nfs/dbraw/zinc/43/37/44/621433744.db2.gz VLEKEXLEQDUHCM-OAHLLOKOSA-N 0 1 301.434 3.395 20 30 DGEDMN C#CCN1CCC[C@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000753254602 621433747 /nfs/dbraw/zinc/43/37/47/621433747.db2.gz VLEKEXLEQDUHCM-OAHLLOKOSA-N 0 1 301.434 3.395 20 30 DGEDMN CCCC(CCC)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000753438715 621440230 /nfs/dbraw/zinc/44/02/30/621440230.db2.gz KOPZSOVPLYQTAM-ZDUSSCGKSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC(CCC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000753438715 621440233 /nfs/dbraw/zinc/44/02/33/621440233.db2.gz KOPZSOVPLYQTAM-ZDUSSCGKSA-N 0 1 322.430 3.387 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1sc(C(C)C)nc1C ZINC000753934189 621472186 /nfs/dbraw/zinc/47/21/86/621472186.db2.gz GHJCEELHLJNCEZ-BETUJISGSA-N 0 1 319.478 3.159 20 30 DGEDMN CCCCOc1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)cc1 ZINC000753928693 621472311 /nfs/dbraw/zinc/47/23/11/621472311.db2.gz MPFUHDFQFJWYKZ-CALCHBBNSA-N 0 1 314.433 3.059 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1c(F)cccc1Cl ZINC000753934313 621472745 /nfs/dbraw/zinc/47/27/45/621472745.db2.gz HGMNHWCCYRFDDC-ZIAGYGMSSA-N 0 1 322.815 3.453 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(Cl)s1 ZINC000753935726 621472828 /nfs/dbraw/zinc/47/28/28/621472828.db2.gz PFYOHULFOVTIPA-GHMZBOCLSA-N 0 1 310.854 3.376 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)CC(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000754530859 621515362 /nfs/dbraw/zinc/51/53/62/621515362.db2.gz FPLDYECAXKIVFU-XPTSAGLGSA-N 0 1 312.373 3.068 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccnc(F)c2C)c1 ZINC000862281487 621571818 /nfs/dbraw/zinc/57/18/18/621571818.db2.gz ZZTBRBOVGRUIJV-MRXNPFEDSA-N 0 1 313.376 3.500 20 30 DGEDMN CC1(C#N)CCN(Cc2c(O)cccc2Br)CC1 ZINC000862307687 621573761 /nfs/dbraw/zinc/57/37/61/621573761.db2.gz NUQQMJTVSRROAW-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN COc1nc(Cl)c(C=NNc2nncc3ccccc32)s1 ZINC000755491055 621575575 /nfs/dbraw/zinc/57/55/75/621575575.db2.gz LLIHZQVEVJGSRE-UHFFFAOYSA-N 0 1 319.777 3.194 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cccc2[nH]ccc21 ZINC000755617314 621580939 /nfs/dbraw/zinc/58/09/39/621580939.db2.gz KZRYFLLVGXMTLM-UHFFFAOYSA-N 0 1 320.377 3.049 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1C=NNc1cc(C)nc(C)n1 ZINC000755648422 621583379 /nfs/dbraw/zinc/58/33/79/621583379.db2.gz OBLHWRVCAVPVME-UHFFFAOYSA-N 0 1 306.373 3.034 20 30 DGEDMN CCc1nc(CN=Nc2ccc(Br)cn2)cs1 ZINC000755690066 621585808 /nfs/dbraw/zinc/58/58/08/621585808.db2.gz VCENFBYHRAVLFU-UHFFFAOYSA-N 0 1 311.208 3.309 20 30 DGEDMN C/C(=C\c1ccccc1)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000862421075 621588019 /nfs/dbraw/zinc/58/80/19/621588019.db2.gz FYTVPLRMYLIQJI-GHRIWEEISA-N 0 1 318.424 3.179 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc3c(cc2Br)OCO3)C1 ZINC000862444028 621590785 /nfs/dbraw/zinc/59/07/85/621590785.db2.gz WNAWRYOSENWLRL-LLVKDONJSA-N 0 1 322.202 3.023 20 30 DGEDMN CCCCc1nc(C=NN=C(C)c2cnccn2)c(Cl)[nH]1 ZINC000755753317 621591330 /nfs/dbraw/zinc/59/13/30/621591330.db2.gz DYRFJQSDYGOURX-UHFFFAOYSA-N 0 1 304.785 3.039 20 30 DGEDMN CN(C)c1ncc(C=NNc2cc(F)c(F)c(F)c2)s1 ZINC000755756126 621591334 /nfs/dbraw/zinc/59/13/34/621591334.db2.gz RHDKVPOGNXEAOC-UHFFFAOYSA-N 0 1 300.309 3.072 20 30 DGEDMN COc1ccc(C=NNc2cc(C)ccn2)cc1OC(F)F ZINC000755904298 621601453 /nfs/dbraw/zinc/60/14/53/621601453.db2.gz WYASXWSUELTUBY-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN Cc1ccnc(NN=Cc2ccc(O)c(Br)c2)c1 ZINC000755901421 621601704 /nfs/dbraw/zinc/60/17/04/621601704.db2.gz FOMWFJXRQOCPCF-UHFFFAOYSA-N 0 1 306.163 3.304 20 30 DGEDMN CCCCCCCC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862960812 621629632 /nfs/dbraw/zinc/62/96/32/621629632.db2.gz PHHGDSFCMPVXMT-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN Brc1ccc(N=NCc2cnc(C3CC3)o2)nc1 ZINC000863089538 621638438 /nfs/dbraw/zinc/63/84/38/621638438.db2.gz YDPQFXAPRCVFQZ-UHFFFAOYSA-N 0 1 307.151 3.156 20 30 DGEDMN CCCCn1nc(C)c(C=NNc2ccncc2C)c1Cl ZINC000863157595 621643359 /nfs/dbraw/zinc/64/33/59/621643359.db2.gz PLAYHZMJGDZDAA-UHFFFAOYSA-N 0 1 305.813 3.216 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)C[C@@H](C)c1ccc(F)cc1F ZINC000756541525 621646685 /nfs/dbraw/zinc/64/66/85/621646685.db2.gz CIIKXIBMNLTHHE-HIFRSBDPSA-N 0 1 321.367 3.099 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000756553982 621647889 /nfs/dbraw/zinc/64/78/89/621647889.db2.gz PWNKQHCELWYVRA-VXGBXAGGSA-N 0 1 311.834 3.146 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H](C)C3CCOCC3)[nH]c21 ZINC000756559513 621648382 /nfs/dbraw/zinc/64/83/82/621648382.db2.gz BAQPVLPOSWOBCI-GXTWGEPZSA-N 0 1 311.385 3.110 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@@H]2COCC[C@@H]21 ZINC000756902429 621671527 /nfs/dbraw/zinc/67/15/27/621671527.db2.gz SLCCVXSVWTZVHF-CJNGLKHVSA-N 0 1 320.820 3.243 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C2CC2)[C@H]1c1cccc(OC)c1 ZINC000839594619 621697937 /nfs/dbraw/zinc/69/79/37/621697937.db2.gz WVSRWUMMEFUJFM-IRXDYDNUSA-N 0 1 306.837 3.315 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(CC(F)(F)F)C[C@H]1c1ccccc1 ZINC000839615855 621700890 /nfs/dbraw/zinc/70/08/90/621700890.db2.gz QDQLXMLLJMNNFV-UONOGXRCSA-N 0 1 318.770 3.359 20 30 DGEDMN COC(=O)c1ccc(/C=C/C(=O)c2cc(F)ccc2O)cc1 ZINC000757469005 621714409 /nfs/dbraw/zinc/71/44/09/621714409.db2.gz YBJSHBFJTVQBKL-XBXARRHUSA-N 0 1 300.285 3.214 20 30 DGEDMN C#CCOc1ccc(CNc2ccc(F)c(-c3nc[nH]n3)c2)cc1 ZINC000864011930 621727185 /nfs/dbraw/zinc/72/71/85/621727185.db2.gz HXYBHGIZMBDQKP-UHFFFAOYSA-N 0 1 322.343 3.235 20 30 DGEDMN C=C(Br)CN1CCC[C@H]1Cn1cnc2ccccc21 ZINC000757641855 621730720 /nfs/dbraw/zinc/73/07/20/621730720.db2.gz JIZFFHMCIYEQRR-ZDUSSCGKSA-N 0 1 320.234 3.409 20 30 DGEDMN O=C(OCC#Cc1ccc(Cl)cc1)[C@H]1CCCc2[nH]ncc21 ZINC000757912397 621746305 /nfs/dbraw/zinc/74/63/05/621746305.db2.gz HLCSPNCDOQSBJG-AWEZNQCLSA-N 0 1 314.772 3.078 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(O[C@@H](C)C#N)cc2)cn1 ZINC000757918379 621746874 /nfs/dbraw/zinc/74/68/74/621746874.db2.gz YZNODFSOXCIXLD-AWEZNQCLSA-N 0 1 311.385 3.013 20 30 DGEDMN Clc1csc(C=NNc2ccc(Br)cn2)n1 ZINC000758119364 621759213 /nfs/dbraw/zinc/75/92/13/621759213.db2.gz DBMINXVUSHAFSJ-UHFFFAOYSA-N 0 1 317.599 3.400 20 30 DGEDMN C=C(C)C[C@H](/N=C/c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000864346970 621759476 /nfs/dbraw/zinc/75/94/76/621759476.db2.gz HOPGHFWUFWKERA-QUYZQLMHSA-N 0 1 318.417 3.165 20 30 DGEDMN C=C(C)C[C@@H]([NH2+]Cc1ncc(-c2ccccc2)s1)C(=O)[O-] ZINC000864529391 621780242 /nfs/dbraw/zinc/78/02/42/621780242.db2.gz IJJWGGXJYRAMFN-CYBMUJFWSA-N 0 1 302.399 3.319 20 30 DGEDMN COc1ccc(CNCc2ccc(O[C@@H](C)C#N)cc2)c(F)c1 ZINC000758486589 621784639 /nfs/dbraw/zinc/78/46/39/621784639.db2.gz GFKBPGQXGDVIJB-ZDUSSCGKSA-N 0 1 314.360 3.415 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC[C@H]3[C@@H]3CCCO3)n2)cc1 ZINC000789514376 625515857 /nfs/dbraw/zinc/51/58/57/625515857.db2.gz PTBTXZWZAFGKIF-OALUTQOASA-N 0 1 322.412 3.023 20 30 DGEDMN CCO[C@@H]1COCC[C@@H]1/N=C\c1cc(Cl)cc(Cl)c1O ZINC000758815450 621803025 /nfs/dbraw/zinc/80/30/25/621803025.db2.gz MUEHHQZEPQRMNS-MEHHYTIKSA-N 0 1 318.200 3.312 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(F)c(C#N)c1)N1CCCCCC1 ZINC000758953432 621813755 /nfs/dbraw/zinc/81/37/55/621813755.db2.gz XABVPDUDWUYWGN-CYBMUJFWSA-N 0 1 304.365 3.005 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCc3ccncc3)[nH]c21 ZINC000759364560 621850093 /nfs/dbraw/zinc/85/00/93/621850093.db2.gz BOMDEJLWUDAGCI-AWEZNQCLSA-N 0 1 304.353 3.075 20 30 DGEDMN O=C(/C=C\c1ccnn1CC1CCC1)c1ccc(O)c(F)c1 ZINC000865216727 621874336 /nfs/dbraw/zinc/87/43/36/621874336.db2.gz FWBJLHMQKHZSDX-ALCCZGGFSA-N 0 1 300.333 3.424 20 30 DGEDMN N#Cc1ccccc1CSCCNCc1cn(C2CC2)cn1 ZINC000865405643 621911908 /nfs/dbraw/zinc/91/19/08/621911908.db2.gz PTWQXVLWTSIQCG-UHFFFAOYSA-N 0 1 312.442 3.113 20 30 DGEDMN COc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1F ZINC000865407157 621912114 /nfs/dbraw/zinc/91/21/14/621912114.db2.gz DSLFZQYHFGQCEK-UHFFFAOYSA-N 0 1 313.376 3.062 20 30 DGEDMN CCOCc1ccc(CNCc2cc(C#N)ccc2N(C)C)cc1 ZINC000865412911 621914341 /nfs/dbraw/zinc/91/43/41/621914341.db2.gz XFYJULMETDDFQE-UHFFFAOYSA-N 0 1 323.440 3.451 20 30 DGEDMN Fc1cc(C=NNc2ccc(Cl)nn2)c2ncccc2c1 ZINC000760224505 621922286 /nfs/dbraw/zinc/92/22/86/621922286.db2.gz CCFLMTFKQZWAAR-UHFFFAOYSA-N 0 1 301.712 3.263 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3ncccc3C)[nH]c21 ZINC000760218919 621922299 /nfs/dbraw/zinc/92/22/99/621922299.db2.gz NXYRHSKZHPDGNB-CKXPSTMWSA-N 0 1 316.364 3.464 20 30 DGEDMN C#CCCCCNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805199959 621927977 /nfs/dbraw/zinc/92/79/77/621927977.db2.gz KCALTUKGYWFZCR-UHFFFAOYSA-N 0 1 315.200 3.302 20 30 DGEDMN N#CC(C(=O)CCCCC(=O)c1ccccc1)c1ccncn1 ZINC000760333648 621932580 /nfs/dbraw/zinc/93/25/80/621932580.db2.gz MASPOVROICKARV-OAHLLOKOSA-N 0 1 307.353 3.096 20 30 DGEDMN N#C[C@@H](C(=O)C[C@@H](c1ccccc1)C(F)(F)F)c1ccncn1 ZINC000760346140 621933644 /nfs/dbraw/zinc/93/36/44/621933644.db2.gz OQNNZPGYDTXZGU-OLZOCXBDSA-N 0 1 319.286 3.389 20 30 DGEDMN Cn1ncc2cc(CN[C@H](CCC#N)c3ccccc3)cnc21 ZINC000865508312 621938716 /nfs/dbraw/zinc/93/87/16/621938716.db2.gz HVWDUTDGSGTICS-QGZVFWFLSA-N 0 1 305.385 3.103 20 30 DGEDMN C#CCOc1ccccc1CNCc1cnc(Cl)c(C)c1 ZINC000760675900 621957560 /nfs/dbraw/zinc/95/75/60/621957560.db2.gz IQHYZUPJEWTWNX-UHFFFAOYSA-N 0 1 300.789 3.345 20 30 DGEDMN N#Cc1cc(C(F)(F)F)cc(N[C@@H]2CCCc3cn[nH]c32)n1 ZINC000865621637 621964126 /nfs/dbraw/zinc/96/41/26/621964126.db2.gz WRZSPPIVGZDVKF-LLVKDONJSA-N 0 1 307.279 3.185 20 30 DGEDMN C#CCCCC(=O)Nc1nc(-c2cccc(C(F)(F)F)c2)n[nH]1 ZINC000760815670 621966842 /nfs/dbraw/zinc/96/68/42/621966842.db2.gz PQALZYIARTVZLN-UHFFFAOYSA-N 0 1 322.290 3.233 20 30 DGEDMN C#CCOc1ccccc1CNCc1cccc2c1CCOC2 ZINC000865725777 621984031 /nfs/dbraw/zinc/98/40/31/621984031.db2.gz OJZNZPRWPHRWNB-UHFFFAOYSA-N 0 1 307.393 3.061 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)N2CC[C@@H]3C[C@@H]32)c1 ZINC000761082479 621984990 /nfs/dbraw/zinc/98/49/90/621984990.db2.gz HWSZHGJXOMBEPY-JZXOWHBKSA-N 0 1 311.429 3.033 20 30 DGEDMN CCO[C@H](CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)C(C)C ZINC000761193175 621993284 /nfs/dbraw/zinc/99/32/84/621993284.db2.gz CCSNMVVLGVJFDA-CZUORRHYSA-N 0 1 313.401 3.499 20 30 DGEDMN N#Cc1cccc(Br)c1N[C@@H]1CCc2[nH]cnc2C1 ZINC000865817935 622000377 /nfs/dbraw/zinc/00/03/77/622000377.db2.gz JHNSPMFQYCYSGQ-SNVBAGLBSA-N 0 1 317.190 3.013 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)c2ccnn2C)cc1Cl ZINC000865838871 622005071 /nfs/dbraw/zinc/00/50/71/622005071.db2.gz OFVKWUYTPOQIDM-LBPRGKRZSA-N 0 1 305.809 3.489 20 30 DGEDMN CSCc1cnc(CN[C@H]2Cc3ccc(C#N)cc3C2)s1 ZINC000865847819 622007618 /nfs/dbraw/zinc/00/76/18/622007618.db2.gz PBETZDSBCDOBRO-AWEZNQCLSA-N 0 1 315.467 3.135 20 30 DGEDMN N#Cc1c(F)ccc(C=NNc2ccc([N+](=O)[O-])cn2)c1Cl ZINC000761782398 622029337 /nfs/dbraw/zinc/02/93/37/622029337.db2.gz NCFJBROKDNTWBJ-UHFFFAOYSA-N 0 1 319.683 3.100 20 30 DGEDMN Cn1cc(N=NCc2ccc(OCc3ccccc3)cc2)cn1 ZINC000761884038 622036696 /nfs/dbraw/zinc/03/66/96/622036696.db2.gz CSCNYWYFERVQOD-UHFFFAOYSA-N 0 1 306.369 3.445 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc2c(ccnc2Cl)o1)[C@H]1CCCO1 ZINC000866341568 622097850 /nfs/dbraw/zinc/09/78/50/622097850.db2.gz OFTWIZDUHZPMJP-SCDSUCTJSA-N 0 1 304.777 3.313 20 30 DGEDMN O=C(OCC#Cc1ccc(F)cc1)c1ccc(O)c(Cl)c1 ZINC000762826130 622102166 /nfs/dbraw/zinc/10/21/66/622102166.db2.gz ZKGSBNBIDKDSJW-UHFFFAOYSA-N 0 1 304.704 3.393 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2ccccc2COc2ccccc2)NO1 ZINC000763259631 622133828 /nfs/dbraw/zinc/13/38/28/622133828.db2.gz KZYCIVTWLOZZKS-CYBMUJFWSA-N 0 1 310.353 3.118 20 30 DGEDMN CCC(=NNc1ncnc2nc[nH]c21)c1cccc(Cl)c1F ZINC000763406938 622143781 /nfs/dbraw/zinc/14/37/81/622143781.db2.gz SHMQSBWHAASXGF-UHFFFAOYSA-N 0 1 318.743 3.372 20 30 DGEDMN Cc1ccc(NC(=O)[C@@H]2NCCc3cc(C#N)ccc32)c(C)c1 ZINC000867942282 622195642 /nfs/dbraw/zinc/19/56/42/622195642.db2.gz ODVJVRYLTACAAO-GOSISDBHSA-N 0 1 305.381 3.001 20 30 DGEDMN O=c1ccc2c([nH]1)CCCC2=NN=c1ccc2ccccc2[nH]1 ZINC000764659485 622211030 /nfs/dbraw/zinc/21/10/30/622211030.db2.gz VFPVCKKOVGEVNH-UHFFFAOYSA-N 0 1 304.353 3.488 20 30 DGEDMN C=CC1CCN(Cn2nc(C)c3cc([N+](=O)[O-])ccc32)CC1 ZINC000764989894 622238033 /nfs/dbraw/zinc/23/80/33/622238033.db2.gz WKSDHXYULFOKFC-UHFFFAOYSA-N 0 1 300.362 3.108 20 30 DGEDMN CC(C)c1ccc(NC(=S)NN=C2CCN3CCC[C@H]23)cc1 ZINC000765558203 622273477 /nfs/dbraw/zinc/27/34/77/622273477.db2.gz WRTMUHJHBZLLJP-MRXNPFEDSA-N 0 1 316.474 3.321 20 30 DGEDMN CCc1cccc(C)c1NC(=S)NN=C1CCN2CCC[C@H]12 ZINC000765563612 622273894 /nfs/dbraw/zinc/27/38/94/622273894.db2.gz LLACPXRESVLJNF-OAHLLOKOSA-N 0 1 316.474 3.068 20 30 DGEDMN N#C[C@@H](NC(=O)C(F)(F)c1ccccc1F)c1ccc(F)cc1 ZINC000765576112 622274416 /nfs/dbraw/zinc/27/44/16/622274416.db2.gz KWIRLIBQYCNPET-CQSZACIVSA-N 0 1 322.261 3.438 20 30 DGEDMN C=CCCCCCN(C)CN1C[C@@H](c2cccnc2)CC1=O ZINC000765694658 622283027 /nfs/dbraw/zinc/28/30/27/622283027.db2.gz OFWLIDSRDLAKAC-KRWDZBQOSA-N 0 1 301.434 3.033 20 30 DGEDMN CC#CCN(Cc1ccccc1)C[C@H](O)c1cccc(OC)c1 ZINC000766123988 622307655 /nfs/dbraw/zinc/30/76/55/622307655.db2.gz YWVVSUKYBSJMHZ-FQEVSTJZSA-N 0 1 309.409 3.254 20 30 DGEDMN CC#CCN(Cc1ccccc1)C[C@@H](O)c1cccc(OC)c1 ZINC000766123989 622307866 /nfs/dbraw/zinc/30/78/66/622307866.db2.gz YWVVSUKYBSJMHZ-HXUWFJFHSA-N 0 1 309.409 3.254 20 30 DGEDMN C[C@@H]1CCC[C@@H](CC(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000122414881 622317449 /nfs/dbraw/zinc/31/74/49/622317449.db2.gz VBSAYIFURUEFBY-DJIMGWMZSA-N 0 1 312.413 3.228 20 30 DGEDMN C=C(Cl)CNC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000766532514 622337238 /nfs/dbraw/zinc/33/72/38/622337238.db2.gz AOJJBFGTNDZEAW-LSDHHAIUSA-N 0 1 321.852 3.043 20 30 DGEDMN CC(C)(C)C[C@@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766619183 622342883 /nfs/dbraw/zinc/34/28/83/622342883.db2.gz IVYLEEPJQUKOQP-HNNXBMFYSA-N 0 1 313.445 3.067 20 30 DGEDMN CCC[C@H](C)[C@@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634509 622343869 /nfs/dbraw/zinc/34/38/69/622343869.db2.gz XDGBQFXSMZEWAX-KSSFIOAISA-N 0 1 313.445 3.067 20 30 DGEDMN CC(C)CC[C@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634359 622343917 /nfs/dbraw/zinc/34/39/17/622343917.db2.gz UKQSGIDVOYKMRM-MRXNPFEDSA-N 0 1 313.445 3.067 20 30 DGEDMN N#CCOc1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1 ZINC000766934832 622362655 /nfs/dbraw/zinc/36/26/55/622362655.db2.gz JGXSXAQOMLVGDB-UHFFFAOYSA-N 0 1 318.380 3.065 20 30 DGEDMN C(=NNc1cnnc2ccccc21)c1cc(-c2ccccc2)[nH]n1 ZINC000767780969 622421313 /nfs/dbraw/zinc/42/13/13/622421313.db2.gz FZJHLACVRZYGMM-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN COc1ccc(N=NCc2ccc(F)c(F)c2)c([N+](=O)[O-])c1 ZINC000767801465 622422391 /nfs/dbraw/zinc/42/23/91/622422391.db2.gz MMWUZYLDNZRJPP-UHFFFAOYSA-N 0 1 307.256 3.328 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCC(=O)c2cccc(F)c2)c1 ZINC000767878953 622426121 /nfs/dbraw/zinc/42/61/21/622426121.db2.gz YIYAXOCBGOYLTJ-UHFFFAOYSA-N 0 1 312.300 3.005 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000870140091 622437091 /nfs/dbraw/zinc/43/70/91/622437091.db2.gz VSEQNPJFTDABKK-QNWJLWSRSA-N 0 1 316.788 3.279 20 30 DGEDMN C#CCN(Cc1ccc(N(C)C)nc1)[C@@H]1CCc2ccccc21 ZINC000768130362 622440341 /nfs/dbraw/zinc/44/03/41/622440341.db2.gz QDRHLOSHQFUFNR-LJQANCHMSA-N 0 1 305.425 3.270 20 30 DGEDMN C#CCOc1ccccc1CN(C)Cc1ccc2c(c1)OCO2 ZINC000768196727 622444943 /nfs/dbraw/zinc/44/49/43/622444943.db2.gz GBOGAZJEZJHBTQ-UHFFFAOYSA-N 0 1 309.365 3.059 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=Cc2c(O)cccc2Cl)c1 ZINC000768380672 622453548 /nfs/dbraw/zinc/45/35/48/622453548.db2.gz SKNHIPQTLSMXTR-UHFFFAOYSA-N 0 1 307.140 3.412 20 30 DGEDMN Cc1cc(C=NNc2cc(C#N)cc(Cl)n2)cnc1Cl ZINC000768380579 622453672 /nfs/dbraw/zinc/45/36/72/622453672.db2.gz RAOIQUHEIIEJKJ-UHFFFAOYSA-N 0 1 306.156 3.410 20 30 DGEDMN CCc1c(C(=O)Nc2cc(C#N)ccc2O)cnn1C1CCCC1 ZINC000789831321 625569401 /nfs/dbraw/zinc/56/94/01/625569401.db2.gz CKEAJNISBCFOOM-UHFFFAOYSA-N 0 1 324.384 3.390 20 30 DGEDMN Cn1cc(C=NNc2ccccc2[N+](=O)[O-])c(C(C)(C)C)n1 ZINC000769568350 622541549 /nfs/dbraw/zinc/54/15/49/622541549.db2.gz YRZNPEFOTRWFRU-UHFFFAOYSA-N 0 1 301.350 3.072 20 30 DGEDMN CC[C@H](OC1CCCC1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071128 622543201 /nfs/dbraw/zinc/54/32/01/622543201.db2.gz RIJSCVROSGPOFZ-HNNXBMFYSA-N 0 1 312.373 3.111 20 30 DGEDMN C[C@H]1C(=O)CC[C@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1(C)C ZINC000871071233 622543581 /nfs/dbraw/zinc/54/35/81/622543581.db2.gz BQZDLZKFCMISFR-CMPLNLGQSA-N 0 1 324.384 3.014 20 30 DGEDMN COc1ccsc1C(C)=N[N-]c1[nH+]c2ccccc2n1C ZINC000769782179 622559109 /nfs/dbraw/zinc/55/91/09/622559109.db2.gz VVFDIMVAFZWMHJ-UHFFFAOYSA-N 0 1 300.387 3.480 20 30 DGEDMN C[C@H](N[C@H](CO)c1ccsc1)c1ccc(OCC#N)cc1 ZINC000769983803 622585429 /nfs/dbraw/zinc/58/54/29/622585429.db2.gz AEISPDRPNIKPFT-BLLLJJGKSA-N 0 1 302.399 3.035 20 30 DGEDMN Cc1[nH]n(-c2cccc(F)c2Br)c(=O)c1CCC#N ZINC000871963202 622745982 /nfs/dbraw/zinc/74/59/82/622745982.db2.gz FKOUEVXALWGGFQ-SECBINFHSA-N 0 1 324.153 3.231 20 30 DGEDMN CCC(=NN=c1cc[nH]c(N(C)C)n1)c1cc(C)ccc1OC ZINC000771562047 622776134 /nfs/dbraw/zinc/77/61/34/622776134.db2.gz ZWTPTHABFFDFID-UHFFFAOYSA-N 0 1 313.405 3.086 20 30 DGEDMN Brc1cc(NN=Cc2scnc2C2CC2)ncn1 ZINC000872435658 622914511 /nfs/dbraw/zinc/91/45/11/622914511.db2.gz BQNCDARFLLTWHX-UHFFFAOYSA-N 0 1 324.207 3.019 20 30 DGEDMN Cc1cncc(C=CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000772653169 622923501 /nfs/dbraw/zinc/92/35/01/622923501.db2.gz IHPHTWFWSXSFKQ-IDTUSYRASA-N 0 1 316.364 3.464 20 30 DGEDMN Cc1cc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)cc(C#N)n1 ZINC000872647335 622947500 /nfs/dbraw/zinc/94/75/00/622947500.db2.gz CSTCXENABOYFBB-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN Cc1nnc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)c(C#N)c1C ZINC000872649322 622948345 /nfs/dbraw/zinc/94/83/45/622948345.db2.gz XKXPTKFGBUWZOP-CQSZACIVSA-N 0 1 324.432 3.196 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CCC(CCF)CC3)n2)cc1 ZINC000872648309 622948749 /nfs/dbraw/zinc/94/87/49/622948749.db2.gz OZZPDQASZKKSJJ-UHFFFAOYSA-N 0 1 312.392 3.451 20 30 DGEDMN Cc1cccnc1N=NCc1cc2c(cc1OC(F)F)OCO2 ZINC000790190919 625615254 /nfs/dbraw/zinc/61/52/54/625615254.db2.gz NQOUQZFNGYXRLG-UHFFFAOYSA-N 0 1 321.283 3.166 20 30 DGEDMN O=C1c2ccc(F)cc2O/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000773171244 622995551 /nfs/dbraw/zinc/99/55/51/622995551.db2.gz IQCLXZQZEHBEKU-NSIKDUERSA-N 0 1 301.229 3.056 20 30 DGEDMN CCN(C)c1ccc(C=[NH+][N-]c2nc(-c3ccccc3)no2)cn1 ZINC000790165895 625612194 /nfs/dbraw/zinc/61/21/94/625612194.db2.gz WIQOTIGAVYNYDI-UHFFFAOYSA-N 0 1 322.372 3.034 20 30 DGEDMN C#C[C@H](NC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1)C(C)C ZINC000873000620 623014272 /nfs/dbraw/zinc/01/42/72/623014272.db2.gz TVHARCJLQBQGTQ-CABCVRRESA-N 0 1 316.449 3.080 20 30 DGEDMN Cc1cccnc1N=NC1CCN(Cc2ccccn2)C[C@H]1C ZINC000790193872 625615465 /nfs/dbraw/zinc/61/54/65/625615465.db2.gz QHOYZJNVDROTBY-OAHLLOKOSA-N 0 1 309.417 3.095 20 30 DGEDMN C#CCOc1ccc(CNCc2cn(CCCC)nc2C)cc1 ZINC000773407827 623023794 /nfs/dbraw/zinc/02/37/94/623023794.db2.gz FHMVVIVRFOFUMG-UHFFFAOYSA-N 0 1 311.429 3.293 20 30 DGEDMN C#C[C@H]1CC[C@H]([NH2+]Cc2cccc([O-])c2Br)CC1 ZINC000873198145 623072538 /nfs/dbraw/zinc/07/25/38/623072538.db2.gz SZWHQUJFKMRCNA-AULYBMBSSA-N 0 1 308.219 3.436 20 30 DGEDMN C#CCCCCC(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000790244530 625622112 /nfs/dbraw/zinc/62/21/12/625622112.db2.gz VNQFQOZRFYPNIR-INIZCTEOSA-N 0 1 300.402 3.204 20 30 DGEDMN Cn1ccnc1NN=Cc1c(-c2ccccc2)nc2ccccn12 ZINC000790299572 625631283 /nfs/dbraw/zinc/63/12/83/625631283.db2.gz FGLSYVZBCBSSML-UHFFFAOYSA-N 0 1 316.368 3.181 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000775298541 623250754 /nfs/dbraw/zinc/25/07/54/623250754.db2.gz YKDFMSVECQBFSR-UHFFFAOYSA-N 0 1 315.394 3.116 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@H]1CCCO1 ZINC000775347127 623258211 /nfs/dbraw/zinc/25/82/11/623258211.db2.gz FLNOWRBBTZPHDM-IAGOWNOFSA-N 0 1 312.438 3.389 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@H]1CCCO1 ZINC000775347125 623258221 /nfs/dbraw/zinc/25/82/21/623258221.db2.gz FLNOWRBBTZPHDM-DLBZAZTESA-N 0 1 312.438 3.389 20 30 DGEDMN C#CC[C@H](NCC(=O)NCCc1ccccc1C)c1ccccc1 ZINC000775398356 623267545 /nfs/dbraw/zinc/26/75/45/623267545.db2.gz HGYMSLXEJCZTTL-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)C1(C#N)CCCCC1)c1ccccc1 ZINC000775400598 623268529 /nfs/dbraw/zinc/26/85/29/623268529.db2.gz QCTVEOJMGVLVOJ-SFHVURJKSA-N 0 1 323.440 3.025 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC000775400449 623268856 /nfs/dbraw/zinc/26/88/56/623268856.db2.gz PLOKLADUDWOVHY-FUHWJXTLSA-N 0 1 300.446 3.234 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1cc(F)cc(F)c1)c1ccccc1 ZINC000775400133 623268958 /nfs/dbraw/zinc/26/89/58/623268958.db2.gz JAHKZZBTLXEJCC-QGZVFWFLSA-N 0 1 314.335 3.258 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccccc2O)[nH]n1)C1CCCCC1 ZINC000874435865 623270387 /nfs/dbraw/zinc/27/03/87/623270387.db2.gz DBZGFGFTVUXARD-UHFFFAOYSA-N 0 1 323.396 3.190 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(Cl)cn2)cc1C#N ZINC000775522725 623284064 /nfs/dbraw/zinc/28/40/64/623284064.db2.gz YTRVDRLLJSITJQ-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OCc3ccc(CC#N)cc3)[nH]n2)o1 ZINC000776115108 623380910 /nfs/dbraw/zinc/38/09/10/623380910.db2.gz VJWHCUHBIZVXMR-UHFFFAOYSA-N 0 1 321.336 3.401 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000874900767 623387550 /nfs/dbraw/zinc/38/75/50/623387550.db2.gz UIOHNJBPTPOJOX-XQQFMLRXSA-N 0 1 303.381 3.206 20 30 DGEDMN C[C@@H]1CCN(Cc2ccccc2)CC1=NOCC(F)(F)F ZINC000776187873 623392596 /nfs/dbraw/zinc/39/25/96/623392596.db2.gz OLVLXICSOMJHGA-GFCCVEGCSA-N 0 1 300.324 3.463 20 30 DGEDMN C#CCCN1CCN(CCOc2ccc(C(C)C)c(C)c2)CC1 ZINC000776594452 623445291 /nfs/dbraw/zinc/44/52/91/623445291.db2.gz HFFCDFHAUCNAIY-UHFFFAOYSA-N 0 1 314.473 3.138 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NOCCC(F)(F)F ZINC000777455547 623527723 /nfs/dbraw/zinc/52/77/23/623527723.db2.gz HNSHOVKEWHCINK-GFCCVEGCSA-N 0 1 315.339 3.248 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2ncnc3ccsc32)c(F)c1 ZINC000777889406 623581125 /nfs/dbraw/zinc/58/11/25/623581125.db2.gz VPBZYGGUQOJDAY-UHFFFAOYSA-N 0 1 317.305 3.185 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000876320648 623584280 /nfs/dbraw/zinc/58/42/80/623584280.db2.gz QOGOUIGQUTXOQG-UHFFFAOYSA-N 0 1 304.438 3.291 20 30 DGEDMN COc1ccc2nc(N=NC(C)c3cn(C)nc3C)c(C)cc2c1 ZINC000777912929 623584738 /nfs/dbraw/zinc/58/47/38/623584738.db2.gz ZPWPKGGGXWLFQU-UHFFFAOYSA-N 0 1 323.400 3.430 20 30 DGEDMN COc1ccc2[nH]c(=NN=C(C)c3cn(C)nc3C)c(C)cc2c1 ZINC000777912929 623584743 /nfs/dbraw/zinc/58/47/43/623584743.db2.gz ZPWPKGGGXWLFQU-UHFFFAOYSA-N 0 1 323.400 3.430 20 30 DGEDMN CN(C)c1ccc(CN(Cc2cccc(C#N)n2)C2CC2)cc1 ZINC000876570769 623647967 /nfs/dbraw/zinc/64/79/67/623647967.db2.gz NURDZJDIXVNOFI-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN CC1(CC(=O)C(C#N)C(=O)NCc2ccccc2)CCCCC1 ZINC000126078297 623663155 /nfs/dbraw/zinc/66/31/55/623663155.db2.gz CJLBMSQQLLKOCM-INIZCTEOSA-N 0 1 312.413 3.372 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2c(Cl)cccc2Cl)n1 ZINC000778551396 623668474 /nfs/dbraw/zinc/66/84/74/623668474.db2.gz XGOUWEWVUPLXDQ-UHFFFAOYSA-N 0 1 316.579 3.465 20 30 DGEDMN CN(C)c1cccc([C@H]2CCCN2Cc2cccc(C#N)n2)c1 ZINC000876750565 623696897 /nfs/dbraw/zinc/69/68/97/623696897.db2.gz WRMPWTOCQFCKJR-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN Cc1cccc(OC[C@H](C)NCc2cc(C#N)cnc2Cl)c1 ZINC000876962663 623755866 /nfs/dbraw/zinc/75/58/66/623755866.db2.gz WLXFLCXZQNXDSR-ZDUSSCGKSA-N 0 1 315.804 3.472 20 30 DGEDMN Cc1cc(C)cc(OCCNCc2cc(C#N)cnc2Cl)c1 ZINC000876964838 623756355 /nfs/dbraw/zinc/75/63/55/623756355.db2.gz SKHNTCKXJLYYHK-UHFFFAOYSA-N 0 1 315.804 3.392 20 30 DGEDMN CC(C)CCCCN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000779348239 623762153 /nfs/dbraw/zinc/76/21/53/623762153.db2.gz QPHASJUVPSJVJK-HUUCEWRRSA-N 0 1 309.454 3.161 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C(C)(C)c1cccnc1 ZINC000779456509 623772626 /nfs/dbraw/zinc/77/26/26/623772626.db2.gz KKYCTWRFMBDHCC-CQSZACIVSA-N 0 1 318.380 3.122 20 30 DGEDMN CCO[C@H]1C[C@@H](NCc2cc(C#N)cnc2Cl)C12CCC2 ZINC000877043373 623774791 /nfs/dbraw/zinc/77/47/91/623774791.db2.gz HLMRYRPYEOKBKN-KGLIPLIRSA-N 0 1 305.809 3.044 20 30 DGEDMN C(=NNc1ncnc2nc[nH]c21)c1ccc(Oc2ccccc2)o1 ZINC000779772585 623799765 /nfs/dbraw/zinc/79/97/65/623799765.db2.gz VTPFHBZVOSRSMD-UHFFFAOYSA-N 0 1 320.312 3.184 20 30 DGEDMN Fc1ccc(N=NC2CCN(CCC(F)(F)F)CC2)nc1 ZINC000779776234 623800653 /nfs/dbraw/zinc/80/06/53/623800653.db2.gz NQHSILJHYWRHKH-UHFFFAOYSA-N 0 1 304.291 3.037 20 30 DGEDMN Clc1ccc(CN2CCC(N=Nc3ccncc3)CC2)cc1 ZINC000779810176 623811248 /nfs/dbraw/zinc/81/12/48/623811248.db2.gz YNYMQKHYDJVZRM-UHFFFAOYSA-N 0 1 314.820 3.221 20 30 DGEDMN COc1cccc(NN=Cc2cccc(OC)c2OC(C)C)n1 ZINC000779818346 623813762 /nfs/dbraw/zinc/81/37/62/623813762.db2.gz PGHBMPHTGQBJCZ-UHFFFAOYSA-N 0 1 315.373 3.332 20 30 DGEDMN CC(=NN[C@@H]1CCOC(C)(C)C1)c1ccc(F)cc1N(C)C ZINC000780246539 623892744 /nfs/dbraw/zinc/89/27/44/623892744.db2.gz JPBGUZXECHENBF-CQSZACIVSA-N 0 1 307.413 3.163 20 30 DGEDMN COc1ccc(N=NCc2ccc3c(c2)COC(C)(C)O3)nc1 ZINC000780268817 623900555 /nfs/dbraw/zinc/90/05/55/623900555.db2.gz WDWIUEVHDQJMBX-UHFFFAOYSA-N 0 1 313.357 3.181 20 30 DGEDMN C[C@H]1CC(N=Nc2ncncc2Cl)CN1Cc1ccccc1 ZINC000780279019 623902245 /nfs/dbraw/zinc/90/22/45/623902245.db2.gz JFKQBHDOVMXNGQ-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1cc(C#N)ccc1N(C)C ZINC000877487147 623908247 /nfs/dbraw/zinc/90/82/47/623908247.db2.gz QFLPYGZUETXYSJ-RISCZKNCSA-N 0 1 311.351 3.397 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cccc(Cl)n3)[nH]c21 ZINC000780380642 623919220 /nfs/dbraw/zinc/91/92/20/623919220.db2.gz FTADUNWGJZLRDN-SNVBAGLBSA-N 0 1 310.744 3.410 20 30 DGEDMN C#CCCCCCC(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000780457589 623933705 /nfs/dbraw/zinc/93/37/05/623933705.db2.gz STVMESGBGUKESY-MRXNPFEDSA-N 0 1 303.402 3.371 20 30 DGEDMN C#CCCCCCCN[C@H](C)c1ncc(Br)cn1 ZINC000877549002 623937022 /nfs/dbraw/zinc/93/70/22/623937022.db2.gz GDTQRGVUYSXTCV-GFCCVEGCSA-N 0 1 310.239 3.473 20 30 DGEDMN C[C@@]1(CNCc2cc(C#N)cnc2Cl)CC1(Cl)Cl ZINC000877564687 623943825 /nfs/dbraw/zinc/94/38/25/623943825.db2.gz IYRVCXXPBLELTC-NSHDSACASA-N 0 1 304.608 3.280 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccc(OC)nc2)c1 ZINC000780526268 623943832 /nfs/dbraw/zinc/94/38/32/623943832.db2.gz CJZLBPJXOPGMNH-INIZCTEOSA-N 0 1 311.385 3.061 20 30 DGEDMN N#Cc1cnc(Cl)c(CN[C@H]2C[C@H](Oc3ccccc3)C2)c1 ZINC000877629267 623969812 /nfs/dbraw/zinc/96/98/12/623969812.db2.gz QHKKOGBYRHDVBC-KOMQPUFPSA-N 0 1 313.788 3.306 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cccc2[nH]ncc21 ZINC000781034738 624012195 /nfs/dbraw/zinc/01/21/95/624012195.db2.gz NTMTUCHQIJUQEJ-LLVKDONJSA-N 0 1 306.325 3.106 20 30 DGEDMN N#Cc1cc(NC(=O)[C@H]2CCCc3[nH]ncc32)ccc1C1CC1 ZINC000882312462 625695428 /nfs/dbraw/zinc/69/54/28/625695428.db2.gz PVGFPUWHTMDMIK-HNNXBMFYSA-N 0 1 306.369 3.217 20 30 DGEDMN N#CC(C(=O)C=Cc1ccncn1)c1nc2cc(F)ccc2s1 ZINC000781471810 624076725 /nfs/dbraw/zinc/07/67/25/624076725.db2.gz XFLWLVUBBOIZSY-ZZKXABKFSA-N 0 1 324.340 3.115 20 30 DGEDMN CC(C)(C)c1[nH]n(CCc2ccns2)c(=O)c1CCC#N ZINC000877879359 624077433 /nfs/dbraw/zinc/07/74/33/624077433.db2.gz YZRBCHAHTRSWGB-UHFFFAOYSA-N 0 1 304.419 3.042 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)CC1(O)CCCCC1 ZINC000877907010 624087299 /nfs/dbraw/zinc/08/72/99/624087299.db2.gz LSSNZVHDGVSSBP-OAHLLOKOSA-N 0 1 309.475 3.182 20 30 DGEDMN Nc1ncc(C=NNc2c(Cl)cc(Cl)cc2Cl)cn1 ZINC000781576046 624096418 /nfs/dbraw/zinc/09/64/18/624096418.db2.gz XPASSAHMAZAWDD-UHFFFAOYSA-N 0 1 316.579 3.465 20 30 DGEDMN CCCCc1nc(C=NN2Cc3ccccc3C2=O)c(Cl)[nH]1 ZINC000781598015 624101306 /nfs/dbraw/zinc/10/13/06/624101306.db2.gz WQNJIQLPSGAJFK-UHFFFAOYSA-N 0 1 316.792 3.396 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000781677701 624123930 /nfs/dbraw/zinc/12/39/30/624123930.db2.gz ZGHQCAKXLDATQM-UHFFFAOYSA-N 0 1 312.498 3.042 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCO[C@@H](C(C)C)C2)nc(C)n1 ZINC000781759471 624139525 /nfs/dbraw/zinc/13/95/25/624139525.db2.gz GTRYSUBTDCBKSR-RLFYNMQTSA-N 0 1 315.417 3.111 20 30 DGEDMN CC(=O)[C@](C#N)(CC[N@@H+]1CCS[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000878114295 624173699 /nfs/dbraw/zinc/17/36/99/624173699.db2.gz HYKXVWVHIKYKEL-RLFYNMQTSA-N 0 1 316.470 3.253 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCS[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000878114295 624173701 /nfs/dbraw/zinc/17/37/01/624173701.db2.gz HYKXVWVHIKYKEL-RLFYNMQTSA-N 0 1 316.470 3.253 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H]1CCC2(CCOCC2)O1 ZINC000878159824 624193573 /nfs/dbraw/zinc/19/35/73/624193573.db2.gz XEHDGWARNVJSEW-QGZVFWFLSA-N 0 1 308.466 3.366 20 30 DGEDMN N#CCC1CCN(C[C@H](O)c2cccc(Br)c2)CC1 ZINC000782087111 624202302 /nfs/dbraw/zinc/20/23/02/624202302.db2.gz BJRCZTPHWHOLOT-HNNXBMFYSA-N 0 1 323.234 3.108 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCC[C@@H](N2CCCCC2=O)C1 ZINC000782182566 624216221 /nfs/dbraw/zinc/21/62/21/624216221.db2.gz RALCJVMLIMTVAG-CRAIPNDOSA-N 0 1 311.429 3.096 20 30 DGEDMN COC(=O)c1ccc(NN=C2CCn3cccc32)cc1Cl ZINC000782237274 624225191 /nfs/dbraw/zinc/22/51/91/624225191.db2.gz BOLKZWSMQGIISG-UHFFFAOYSA-N 0 1 303.749 3.148 20 30 DGEDMN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC(CC#N)CC1 ZINC000878396371 624266136 /nfs/dbraw/zinc/26/61/36/624266136.db2.gz LQZGDDGYVFARBA-GOSISDBHSA-N 0 1 313.445 3.362 20 30 DGEDMN C#CCCCCCN[C@H](C)c1nc(C)c(C(=O)OCC)s1 ZINC000878423705 624274756 /nfs/dbraw/zinc/27/47/56/624274756.db2.gz JKUCUEKNBSEVST-CYBMUJFWSA-N 0 1 308.447 3.472 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000878491742 624290204 /nfs/dbraw/zinc/29/02/04/624290204.db2.gz RUFVTBSQRVYSPL-BEFAXECRSA-N 0 1 323.440 3.333 20 30 DGEDMN Cc1cccc(NC(=O)C[C@H](C)NCc2ccc(C#N)cn2)c1C ZINC000783300516 624335742 /nfs/dbraw/zinc/33/57/42/624335742.db2.gz ODKLPMKOBPMIOY-AWEZNQCLSA-N 0 1 322.412 3.077 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1nc(C)c(Br)s1 ZINC000878679836 624338409 /nfs/dbraw/zinc/33/84/09/624338409.db2.gz DCHROOYWKXZJEH-ZJUUUORDSA-N 0 1 317.252 3.037 20 30 DGEDMN N#Cc1ccc(CN2CCC(Cc3nc4ccccc4[nH]3)CC2)o1 ZINC000878725906 624348668 /nfs/dbraw/zinc/34/86/68/624348668.db2.gz APBASZLYDQFOMS-UHFFFAOYSA-N 0 1 320.396 3.482 20 30 DGEDMN Fc1cc(Cl)ccc1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000783511566 624359748 /nfs/dbraw/zinc/35/97/48/624359748.db2.gz JFRVATFWKXCJSP-UHFFFAOYSA-N 0 1 324.690 3.260 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc(CC(C)C)cc1 ZINC000783535687 624363495 /nfs/dbraw/zinc/36/34/95/624363495.db2.gz FZBJIVYMAVKMLW-HNNXBMFYSA-N 0 1 309.475 3.137 20 30 DGEDMN CC(=NNc1ccc(Cl)nn1)c1ccc(F)cc1[N+](=O)[O-] ZINC000783777032 624395583 /nfs/dbraw/zinc/39/55/83/624395583.db2.gz HRNMBUQJMCRMFV-UHFFFAOYSA-N 0 1 309.688 3.013 20 30 DGEDMN COc1cccc(NN=Cc2cn(-c3ccncc3)nc2C)c1 ZINC000783815066 624397821 /nfs/dbraw/zinc/39/78/21/624397821.db2.gz BSSDDYLOINKNTK-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN Cn1cc(CN=Nc2ccc(F)cn2)c(C2CCCCC2)n1 ZINC000783842547 624399867 /nfs/dbraw/zinc/39/98/67/624399867.db2.gz MQJBWUQNNGAUAH-UHFFFAOYSA-N 0 1 301.369 3.448 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CN=Nc1c(F)cccc1F ZINC000783844070 624399940 /nfs/dbraw/zinc/39/99/40/624399940.db2.gz BMOAGXDRXRNJDL-UHFFFAOYSA-N 0 1 313.311 3.300 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCc1ccc(C#N)cc1Cl ZINC000783986352 624416947 /nfs/dbraw/zinc/41/69/47/624416947.db2.gz NTISIPNOWOJKQX-LBPRGKRZSA-N 0 1 320.824 3.085 20 30 DGEDMN Cc1c(Cl)cnc(NCc2n[nH]c(-c3ccccc3)n2)c1C#N ZINC000784158449 624447198 /nfs/dbraw/zinc/44/71/98/624447198.db2.gz LMRNHVFSNLJPGA-UHFFFAOYSA-N 0 1 324.775 3.312 20 30 DGEDMN Cc1c(Cl)cnc(NCc2nc(-c3ccccc3)n[nH]2)c1C#N ZINC000784158449 624447199 /nfs/dbraw/zinc/44/71/99/624447199.db2.gz LMRNHVFSNLJPGA-UHFFFAOYSA-N 0 1 324.775 3.312 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1CN(CCCC(C)(C)C#N)C2 ZINC000879222803 624453971 /nfs/dbraw/zinc/45/39/71/624453971.db2.gz IKJIBXKZTUTKMI-GASCZTMLSA-N 0 1 321.465 3.400 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@H]1[C@H](O)c1ccccc1 ZINC000879239991 624457651 /nfs/dbraw/zinc/45/76/51/624457651.db2.gz CMJNHPYYAFJEPG-RBUKOAKNSA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H]1[C@H](O)c1ccccc1 ZINC000879239991 624457652 /nfs/dbraw/zinc/45/76/52/624457652.db2.gz CMJNHPYYAFJEPG-RBUKOAKNSA-N 0 1 310.372 3.395 20 30 DGEDMN CN(CC[C@@H]1CCN(C(=O)OC(C)(C)C)C1)[C@H]1CCC[C@@H]1C#N ZINC000879308605 624494602 /nfs/dbraw/zinc/49/46/02/624494602.db2.gz DSAIDEOLUINGSC-OAGGEKHMSA-N 0 1 321.465 3.258 20 30 DGEDMN CC(C)[C@H](F)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000784490580 624533386 /nfs/dbraw/zinc/53/33/86/624533386.db2.gz HBFKEVJYXAFAEU-HOCLYGCPSA-N 0 1 312.344 3.481 20 30 DGEDMN COc1ccc(OC)c(/C=C\C(=O)c2ccc(O)cc2O)c1 ZINC000210009092 624534009 /nfs/dbraw/zinc/53/40/09/624534009.db2.gz NDJFHDRXEUFSQY-CLTKARDFSA-N 0 1 300.310 3.011 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CCC[C@@H](NC(=O)OC(C)(C)C)[C@H]2C1 ZINC000784522993 624540180 /nfs/dbraw/zinc/54/01/80/624540180.db2.gz JUIIMHUQUCFDQO-MELADBBJSA-N 0 1 314.857 3.364 20 30 DGEDMN COc1ccc([C@H]2CN(CCc3ccc(C#N)cc3)CCO2)cc1 ZINC000879636060 624617077 /nfs/dbraw/zinc/61/70/77/624617077.db2.gz FYEUDPRTXHYPSF-HXUWFJFHSA-N 0 1 322.408 3.183 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1CN=Nc1ccnc(N(C)C)n1 ZINC000785454248 624668255 /nfs/dbraw/zinc/66/82/55/624668255.db2.gz RHDQETODOUWGDW-UHFFFAOYSA-N 0 1 323.322 3.316 20 30 DGEDMN CC(C)CC(=O)N1CCCN([C@H](C)c2ccc(C#N)cc2)CC1 ZINC000879760376 624669307 /nfs/dbraw/zinc/66/93/07/624669307.db2.gz ZVMWCTSQGMNXMX-MRXNPFEDSA-N 0 1 313.445 3.200 20 30 DGEDMN CC(C)CC(=O)N1CCCN(CCC2(C#N)CCCCC2)CC1 ZINC000879761756 624669326 /nfs/dbraw/zinc/66/93/26/624669326.db2.gz QGPSZJILGWAYNA-UHFFFAOYSA-N 0 1 319.493 3.431 20 30 DGEDMN C[C@]1(C(F)(F)F)CCN(CCOCC(F)(F)C(F)F)C1 ZINC000880003207 624748478 /nfs/dbraw/zinc/74/84/78/624748478.db2.gz BLTLEIIORAEGOW-VIFPVBQESA-N 0 1 311.241 3.178 20 30 DGEDMN Cc1csc([C@@H]2CCN(Cc3cc(C#N)ccc3F)C2)n1 ZINC000880006530 624749857 /nfs/dbraw/zinc/74/98/57/624749857.db2.gz PBKIAVOTZDHWPQ-CYBMUJFWSA-N 0 1 301.390 3.452 20 30 DGEDMN COc1ccc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)cc1C#N ZINC000880190616 624829855 /nfs/dbraw/zinc/82/98/55/624829855.db2.gz WCCLBFKNOQGYQU-OALUTQOASA-N 0 1 319.408 3.030 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880296174 624900392 /nfs/dbraw/zinc/90/03/92/624900392.db2.gz WMDBWFIHWUQCKU-IDHHARJASA-N 0 1 323.440 3.379 20 30 DGEDMN N#CC(C(=O)CC1CCCC1)C(=O)NCc1cccc(Cl)c1 ZINC000786537495 624915141 /nfs/dbraw/zinc/91/51/41/624915141.db2.gz JTEYVIODDOCLNM-OAHLLOKOSA-N 0 1 318.804 3.245 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786542795 624916763 /nfs/dbraw/zinc/91/67/63/624916763.db2.gz VOQLGOFCHKCPNJ-YOEHRIQHSA-N 0 1 314.429 3.392 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786546138 624918403 /nfs/dbraw/zinc/91/84/03/624918403.db2.gz HIOYNLAXZCLWPG-DOMZBBRYSA-N 0 1 320.820 3.491 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786546140 624918518 /nfs/dbraw/zinc/91/85/18/624918518.db2.gz HIOYNLAXZCLWPG-SWLSCSKDSA-N 0 1 320.820 3.491 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786553534 624920234 /nfs/dbraw/zinc/92/02/34/624920234.db2.gz VPWLQUKNDQSKAZ-LZWOXQAQSA-N 0 1 300.402 3.002 20 30 DGEDMN C/C(=C/c1cccc([N+](=O)[O-])c1)CNCc1ccc(C#N)cn1 ZINC000786820169 624955983 /nfs/dbraw/zinc/95/59/83/624955983.db2.gz WJLNIIDRNOMSED-QPEQYQDCSA-N 0 1 308.341 3.055 20 30 DGEDMN N#Cc1cccc(COC(=O)[C@H]2CCCN2C2CCCC2)c1F ZINC000786884155 624961376 /nfs/dbraw/zinc/96/13/76/624961376.db2.gz PLRDEWXSBFKTLM-MRXNPFEDSA-N 0 1 316.376 3.148 20 30 DGEDMN O=C(NC1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)C(F)F ZINC000786924419 624964820 /nfs/dbraw/zinc/96/48/20/624964820.db2.gz AMJJRLDFLKPGTG-WHFBIAKZSA-N 0 1 313.188 3.277 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C1(F)CCCC1)c1ccccc1 ZINC000787034721 624972834 /nfs/dbraw/zinc/97/28/34/624972834.db2.gz BCBGPGGFBIOJKV-GJZGRUSLSA-N 0 1 316.376 3.245 20 30 DGEDMN CC(N=Nc1ccc(-n2cccn2)nn1)c1csc(Cl)c1 ZINC000787152877 624983611 /nfs/dbraw/zinc/98/36/11/624983611.db2.gz FDTXHQZXWKQICE-UHFFFAOYSA-N 0 1 318.793 3.213 20 30 DGEDMN CC(C)(C)CCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000805860915 625100104 /nfs/dbraw/zinc/10/01/04/625100104.db2.gz MBVKKBVGTSIRQU-CYBMUJFWSA-N 0 1 301.390 3.335 20 30 DGEDMN COc1cc(NN=Cc2cc(O)ccc2[N+](=O)[O-])ccc1Cl ZINC000788466995 625185175 /nfs/dbraw/zinc/18/51/75/625185175.db2.gz AFTIVPNKCDFHHD-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN COc1ccc(CNCc2ccc(N(C)C(C)C)nc2)cc1C#N ZINC000790983725 625729500 /nfs/dbraw/zinc/72/95/00/625729500.db2.gz WZGKHWFBFPAVSD-UHFFFAOYSA-N 0 1 324.428 3.096 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1C[C@@H](O)c1ccccc1 ZINC000791468868 625807560 /nfs/dbraw/zinc/80/75/60/625807560.db2.gz WRWLVNNTGSAOKS-HSALFYBXSA-N 0 1 306.409 3.492 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CSCCS1)c1nccc2ccccc21 ZINC000792093736 625909330 /nfs/dbraw/zinc/90/93/30/625909330.db2.gz AHKSVGREETYNTC-UONOGXRCSA-N 0 1 314.435 3.260 20 30 DGEDMN CCC[C@](C)(CC)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000796326674 626038735 /nfs/dbraw/zinc/03/87/35/626038735.db2.gz RDBQYGYLXWUQIK-WBMJQRKESA-N 0 1 322.430 3.387 20 30 DGEDMN CCC[C@](C)(CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000796326674 626038736 /nfs/dbraw/zinc/03/87/36/626038736.db2.gz RDBQYGYLXWUQIK-WBMJQRKESA-N 0 1 322.430 3.387 20 30 DGEDMN C#CCCOc1ccc(CNCc2cnn3ccccc23)cc1 ZINC000796478315 626054372 /nfs/dbraw/zinc/05/43/72/626054372.db2.gz XSAWSYWAQFLPDO-UHFFFAOYSA-N 0 1 305.381 3.026 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@H]1Cc2ccc(F)cc21 ZINC000796618529 626066505 /nfs/dbraw/zinc/06/65/05/626066505.db2.gz JIZATFYERFWYSR-CABCVRRESA-N 0 1 319.339 3.229 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H](CC#N)c2ccccc2)nc(C)n1 ZINC000796632808 626068036 /nfs/dbraw/zinc/06/80/36/626068036.db2.gz NUZNDUXVRPATNW-IRXDYDNUSA-N 0 1 318.380 3.357 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@H]3CCC(=O)[C@@H]3C)[nH]c21 ZINC000796720180 626075845 /nfs/dbraw/zinc/07/58/45/626075845.db2.gz UFJHKYXFKNWYEK-JHJVBQTASA-N 0 1 309.369 3.053 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC2CC(C)(C)C2)c1 ZINC000796746917 626078263 /nfs/dbraw/zinc/07/82/63/626078263.db2.gz LHAQARJKEQLLAP-OAHLLOKOSA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)c1cncs1 ZINC000796749251 626078365 /nfs/dbraw/zinc/07/83/65/626078365.db2.gz YIZAGNVCIMLSHQ-ZDUSSCGKSA-N 0 1 321.361 3.257 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)c1ccc(C(C)(F)F)cc1 ZINC000796849594 626089698 /nfs/dbraw/zinc/08/96/98/626089698.db2.gz VKADRBFVFKOUSF-OAHLLOKOSA-N 0 1 307.340 3.053 20 30 DGEDMN N#Cc1ccc(C(=O)OC[C@H]2CCCCN2Cc2ccccc2)o1 ZINC000797230781 626129472 /nfs/dbraw/zinc/12/94/72/626129472.db2.gz DDPCMNMGUOVKNO-MRXNPFEDSA-N 0 1 324.380 3.363 20 30 DGEDMN COc1ccccc1-n1nccc1CN=Nc1ccc(C)cn1 ZINC000797402658 626140385 /nfs/dbraw/zinc/14/03/85/626140385.db2.gz KEIYTBOTQHMXNW-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN C[C@H](NCC1(CC#N)CC1)c1cc(Br)ncc1F ZINC000797586991 626155568 /nfs/dbraw/zinc/15/55/68/626155568.db2.gz OSUAZQZYXXONFO-VIFPVBQESA-N 0 1 312.186 3.328 20 30 DGEDMN N#CC1(CNCc2ccc(OCc3ccccc3)cc2O)CC1 ZINC000797605623 626159622 /nfs/dbraw/zinc/15/96/22/626159622.db2.gz SROKPYWTRMILIX-UHFFFAOYSA-N 0 1 308.381 3.365 20 30 DGEDMN COc1ccc(N=NC2CCc3cc(F)c(OC)cc32)nc1 ZINC000799113283 626273030 /nfs/dbraw/zinc/27/30/30/626273030.db2.gz LTYCCPASQPADEG-UHFFFAOYSA-N 0 1 301.321 3.000 20 30 DGEDMN C#CCNC(=O)CN(C)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000799174688 626277148 /nfs/dbraw/zinc/27/71/48/626277148.db2.gz RAPCTZBHIOZUFC-SFHVURJKSA-N 0 1 318.848 3.253 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cc(C(F)(F)F)n[nH]1 ZINC000799342305 626293300 /nfs/dbraw/zinc/29/33/00/626293300.db2.gz OHANVGXMAINOIE-CYBMUJFWSA-N 0 1 306.291 3.077 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2nccc3sccc32)n[nH]1 ZINC000799477078 626303768 /nfs/dbraw/zinc/30/37/68/626303768.db2.gz GPSIOVOPXJFWSM-UHFFFAOYSA-N 0 1 311.292 3.484 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2nccc3sccc32)[nH]n1 ZINC000799477078 626303770 /nfs/dbraw/zinc/30/37/70/626303770.db2.gz GPSIOVOPXJFWSM-UHFFFAOYSA-N 0 1 311.292 3.484 20 30 DGEDMN COC(=O)c1[nH]c(C=NNc2nc3ccccc3s2)cc1C ZINC000799864976 626331833 /nfs/dbraw/zinc/33/18/33/626331833.db2.gz AYEMQLQRHWUQCF-UHFFFAOYSA-N 0 1 314.370 3.165 20 30 DGEDMN Cc1cc(C(C)N=Nc2ccc(C#N)cc2C(F)(F)F)nn1C ZINC000799888827 626333565 /nfs/dbraw/zinc/33/35/65/626333565.db2.gz TYSGKZDKNVRFDN-UHFFFAOYSA-N 0 1 321.306 3.455 20 30 DGEDMN Cc1cc(C)c(C(=O)/C=C\c2cnc3ccc(C#N)cn23)c(O)c1 ZINC000799895572 626334026 /nfs/dbraw/zinc/33/40/26/626334026.db2.gz PYACKYYETPEZIK-PLNGDYQASA-N 0 1 317.348 3.425 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000800383852 626355283 /nfs/dbraw/zinc/35/52/83/626355283.db2.gz GCFAXEQXFLUAKY-MYQWFSQQSA-N 0 1 300.383 3.286 20 30 DGEDMN Cc1ccnc(NN=C2C(=O)Nc3cccc(C(F)(F)F)c32)c1 ZINC000800813787 626376646 /nfs/dbraw/zinc/37/66/46/626376646.db2.gz JKHGFBWDAHIILG-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1cncc(C)c1 ZINC000800818673 626376945 /nfs/dbraw/zinc/37/69/45/626376945.db2.gz KATHISWTTWDIGG-UHFFFAOYSA-N 0 1 301.321 3.152 20 30 DGEDMN C=C(Br)CNCc1ccc(-c2cnn(C)c2)s1 ZINC000800886230 626380839 /nfs/dbraw/zinc/38/08/39/626380839.db2.gz CJYULJQILGEOQN-UHFFFAOYSA-N 0 1 312.236 3.147 20 30 DGEDMN Cc1nc2cccc(C(=O)Nc3ccc(F)cc3OCC#N)c2[nH]1 ZINC000801923526 626425895 /nfs/dbraw/zinc/42/58/95/626425895.db2.gz JQFZBKOYYBBONT-UHFFFAOYSA-N 0 1 324.315 3.165 20 30 DGEDMN C[C@H](C#N)OCCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000801941485 626427503 /nfs/dbraw/zinc/42/75/03/626427503.db2.gz OUTLBMWTWMMLNT-CHWSQXEVSA-N 0 1 301.415 3.389 20 30 DGEDMN C[C@H](C#N)OCCN[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000801947036 626427827 /nfs/dbraw/zinc/42/78/27/626427827.db2.gz QSDDYHGQHFIGFU-MNOVXSKESA-N 0 1 308.332 3.011 20 30 DGEDMN C[C@@H](Cn1ccc2ccccc21)C(=O)Nc1cc(C#N)ccc1O ZINC000802890628 626580913 /nfs/dbraw/zinc/58/09/13/626580913.db2.gz DVLVOWVCWJFEPJ-ZDUSSCGKSA-N 0 1 319.364 3.493 20 30 DGEDMN C=CCCCN(C)CN1C[C@@H](Cc2cccc(F)c2)OC1=O ZINC000839998926 626608863 /nfs/dbraw/zinc/60/88/63/626608863.db2.gz JQGRSXDWZOHBOB-MRXNPFEDSA-N 0 1 306.381 3.045 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](CC)c1sccc1Cl ZINC000883215779 626611609 /nfs/dbraw/zinc/61/16/09/626611609.db2.gz FXRITVDTWPFNGP-NSHDSACASA-N 0 1 307.868 3.043 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)NCc1nn(CC)nc1C ZINC000883230690 626616610 /nfs/dbraw/zinc/61/66/10/626616610.db2.gz NMFZAGPAZUCWGQ-MRXNPFEDSA-N 0 1 314.433 3.110 20 30 DGEDMN C[C@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000883358586 626660151 /nfs/dbraw/zinc/66/01/51/626660151.db2.gz OADXRDBFKCHIFN-WKEGUHRASA-N 0 1 319.220 3.174 20 30 DGEDMN C=CCC[C@@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1ccco1 ZINC000883362092 626661475 /nfs/dbraw/zinc/66/14/75/626661475.db2.gz UAQUUGMXKNXWJM-MWLCHTKSSA-N 0 1 313.266 3.435 20 30 DGEDMN CC1(C)CCC(C(=O)C(C#N)C(=O)NC2CCCCC2)CC1 ZINC000131979826 626691437 /nfs/dbraw/zinc/69/14/37/626691437.db2.gz YIZVMPLDJBXQOT-OAHLLOKOSA-N 0 1 304.434 3.361 20 30 DGEDMN C#CCC(CC#C)C(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC000806533827 626719120 /nfs/dbraw/zinc/71/91/20/626719120.db2.gz JARLJGIYLLHWPS-UHFFFAOYSA-N 0 1 317.348 3.424 20 30 DGEDMN Cc1ccccc1-n1cc(/C=C/C(=O)c2ccccc2O)nn1 ZINC000806573681 626723317 /nfs/dbraw/zinc/72/33/17/626723317.db2.gz PNJFVCIROPPEPQ-ZHACJKMWSA-N 0 1 305.337 3.177 20 30 DGEDMN CC(C)(C#N)CNC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807092677 626745848 /nfs/dbraw/zinc/74/58/48/626745848.db2.gz DSAFCTGRBXAJGI-UHFFFAOYSA-N 0 1 316.188 3.048 20 30 DGEDMN CC(N=Nc1ccc(Cl)nc1)c1cccc(-n2ccnn2)c1 ZINC000807974373 626781581 /nfs/dbraw/zinc/78/15/81/626781581.db2.gz DZGHXSVNAPGZNG-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN Cc1ccc(C=NNc2nccnc2C2CCC2)cc1[N+](=O)[O-] ZINC000807994713 626784543 /nfs/dbraw/zinc/78/45/43/626784543.db2.gz VZQHRDFLJBQPKM-UHFFFAOYSA-N 0 1 311.345 3.407 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NN[C@@H]2CCSC2)ccc1[O-] ZINC000808001782 626784847 /nfs/dbraw/zinc/78/48/47/626784847.db2.gz QBXTWGNJQGDGLH-MRXNPFEDSA-N 0 1 321.490 3.053 20 30 DGEDMN C[C@@H](OC1CCCCCC1)C(=O)Nc1cc(C#N)ccc1O ZINC000133726084 626835090 /nfs/dbraw/zinc/83/50/90/626835090.db2.gz ROOVIABGIKZXRJ-GFCCVEGCSA-N 0 1 302.374 3.330 20 30 DGEDMN CCC[C@@]1(CO)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000808796929 626862252 /nfs/dbraw/zinc/86/22/52/626862252.db2.gz VFCPVBRWYOGFAI-QGZVFWFLSA-N 0 1 322.836 3.227 20 30 DGEDMN c1cnc2c(c1)CCC2=NN=c1nc[nH]c2sc3c(c21)CCC3 ZINC000884384527 626873827 /nfs/dbraw/zinc/87/38/27/626873827.db2.gz OYHPWUJJOKXCEV-UHFFFAOYSA-N 0 1 321.409 3.337 20 30 DGEDMN CCCCCCCNC(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC000884427590 626879431 /nfs/dbraw/zinc/87/94/31/626879431.db2.gz BWUCFGLGEAXCTM-MRXNPFEDSA-N 0 1 301.434 3.124 20 30 DGEDMN COc1ccc(C=NNc2nccnc2C(C)C)c(F)c1OC ZINC000884456251 626883042 /nfs/dbraw/zinc/88/30/42/626883042.db2.gz FCPNHWKUEAHLGB-UHFFFAOYSA-N 0 1 318.352 3.202 20 30 DGEDMN CN(Cn1cccc(C#N)c1=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000840181713 626922665 /nfs/dbraw/zinc/92/26/65/626922665.db2.gz MQEHUAPAAYGPBC-RTBURBONSA-N 0 1 321.424 3.336 20 30 DGEDMN C#Cc1ccc(CNCc2cccnc2OCC(F)(F)F)cc1 ZINC000809603892 626925244 /nfs/dbraw/zinc/92/52/44/626925244.db2.gz AVCNWXFQIYELRV-UHFFFAOYSA-N 0 1 320.314 3.294 20 30 DGEDMN C#Cc1ccc(CN[C@@H](COC)c2ccc(F)c(F)c2)cc1 ZINC000809630925 626929606 /nfs/dbraw/zinc/92/96/06/626929606.db2.gz MJZHKJWLUQSYFW-SFHVURJKSA-N 0 1 301.336 3.423 20 30 DGEDMN C#C[C@@H](NCc1c(C)nn(CC(C)C)c1OCC)C(C)(C)C ZINC000809781200 626952088 /nfs/dbraw/zinc/95/20/88/626952088.db2.gz JBRANVVDJXKQGJ-MRXNPFEDSA-N 0 1 305.466 3.384 20 30 DGEDMN Cc1cn[nH]c1CNc1ccn(-c2ccc(C#N)cc2Cl)n1 ZINC000810152332 626981794 /nfs/dbraw/zinc/98/17/94/626981794.db2.gz XEXRAFFRFMVILJ-UHFFFAOYSA-N 0 1 312.764 3.041 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](NCc1cccc3c1OCCO3)CC2 ZINC000810225302 626990000 /nfs/dbraw/zinc/99/00/00/626990000.db2.gz AQBZEPXESIVCQV-QGZVFWFLSA-N 0 1 306.365 3.107 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](c3ccccc3)CC2(C)C)CC1 ZINC000885236901 626990691 /nfs/dbraw/zinc/99/06/91/626990691.db2.gz ILHFGBJGKNJAEC-IBGZPJMESA-N 0 1 324.468 3.126 20 30 DGEDMN CC(C)(COCC(F)F)C(=O)C(C#N)c1nc2ccccc2o1 ZINC000810537091 627013067 /nfs/dbraw/zinc/01/30/67/627013067.db2.gz XEDLYYLXHXFESA-JTQLQIEISA-N 0 1 322.311 3.312 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810639644 627029903 /nfs/dbraw/zinc/02/99/03/627029903.db2.gz XBIRBTLWDDCTBK-GOSISDBHSA-N 0 1 318.392 3.122 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)OCC2(C#N)CCCCC2)C1 ZINC000810661299 627033205 /nfs/dbraw/zinc/03/32/05/627033205.db2.gz IHOGYFSQRRZEAH-QGZVFWFLSA-N 0 1 310.413 3.216 20 30 DGEDMN CN(Cc1ccc(F)cc1Cl)C[C@H](O)CC1(C#N)CCC1 ZINC000886001940 627080573 /nfs/dbraw/zinc/08/05/73/627080573.db2.gz NINCBHFCWLBJMZ-CQSZACIVSA-N 0 1 310.800 3.356 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCc3c(Cl)cccc3C2)CCC1 ZINC000886017037 627084807 /nfs/dbraw/zinc/08/48/07/627084807.db2.gz QSRIZURONBDMNC-AWEZNQCLSA-N 0 1 304.821 3.143 20 30 DGEDMN C[C@@H]1c2c(F)cccc2CCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000886016986 627084994 /nfs/dbraw/zinc/08/49/94/627084994.db2.gz PVWAKBNKFBWQDP-HIFRSBDPSA-N 0 1 302.393 3.190 20 30 DGEDMN N#CC1(C[C@H](O)CN[C@H](c2ccccc2)c2ccccn2)CCC1 ZINC000886046917 627093081 /nfs/dbraw/zinc/09/30/81/627093081.db2.gz SGCMJLVHAIHROL-PKOBYXMFSA-N 0 1 321.424 3.205 20 30 DGEDMN C#Cc1ccccc1CC(=O)Nc1cc(F)cc(Cl)c1O ZINC000811120822 627093122 /nfs/dbraw/zinc/09/31/22/627093122.db2.gz XOECKUOXENVEAQ-UHFFFAOYSA-N 0 1 303.720 3.347 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CCC2(CCC2)CO1 ZINC000886203403 627120637 /nfs/dbraw/zinc/12/06/37/627120637.db2.gz UQSWSBJUWMLEQZ-MRXNPFEDSA-N 0 1 319.445 3.499 20 30 DGEDMN C#CCN1CCC[C@H](NCc2csc(-c3ccsc3)n2)C1 ZINC000886213427 627121850 /nfs/dbraw/zinc/12/18/50/627121850.db2.gz SQGWGKQZEYKMRD-AWEZNQCLSA-N 0 1 317.483 3.059 20 30 DGEDMN CSc1ccc(CNCc2cnn(CC(F)F)c2)cc1C#N ZINC000886277697 627129737 /nfs/dbraw/zinc/12/97/37/627129737.db2.gz ZTFPPMGIRCQHKR-UHFFFAOYSA-N 0 1 322.384 3.032 20 30 DGEDMN CSc1ccc(CNCc2cnn(C(C)C)c2)cc1C#N ZINC000886278547 627129948 /nfs/dbraw/zinc/12/99/48/627129948.db2.gz BUSZEUPWTIURMR-UHFFFAOYSA-N 0 1 300.431 3.347 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000886353703 627141546 /nfs/dbraw/zinc/14/15/46/627141546.db2.gz NPXZVIZHGLSPSJ-ZIAGYGMSSA-N 0 1 302.443 3.081 20 30 DGEDMN C=CCCc1ccc(S(=O)(=O)Nc2c(C)cnn2CC)cc1 ZINC000886417480 627146839 /nfs/dbraw/zinc/14/68/39/627146839.db2.gz VUGCZKSDHCPWAG-UHFFFAOYSA-N 0 1 319.430 3.131 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)N[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000886603043 627171187 /nfs/dbraw/zinc/17/11/87/627171187.db2.gz FZIHSASUQOGBPY-XIKOKIGWSA-N 0 1 321.380 3.078 20 30 DGEDMN CSc1ccc(CN[C@@H](C)c2cn(C)nc2C)cc1C#N ZINC000886687766 627190671 /nfs/dbraw/zinc/19/06/71/627190671.db2.gz FAQSFDFICKZRAM-NSHDSACASA-N 0 1 300.431 3.173 20 30 DGEDMN C=CC[C@@H](C)[C@@H](C)NCc1nc(Cc2ccccc2F)no1 ZINC000886714571 627196454 /nfs/dbraw/zinc/19/64/54/627196454.db2.gz OEJAPGPEQNJLED-CHWSQXEVSA-N 0 1 303.381 3.490 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H]([C@H]3CCCO3)C2)c(OC(F)F)c1 ZINC000886829601 627209634 /nfs/dbraw/zinc/20/96/34/627209634.db2.gz DCWLUFPGEUHXAH-HUUCEWRRSA-N 0 1 322.355 3.161 20 30 DGEDMN COC[C@H](C)N=NC([C@H](C)OCc1ccccc1)C(F)(F)F ZINC000811647000 627298777 /nfs/dbraw/zinc/29/87/77/627298777.db2.gz TWMOIABLPPNUQD-RYUDHWBXSA-N 0 1 318.339 3.135 20 30 DGEDMN CC(=NNC[C@H]1CCSC1)c1ccc(N2CCCC2)cc1O ZINC000811659897 627301139 /nfs/dbraw/zinc/30/11/39/627301139.db2.gz AVTPQKFJHSJTOE-CQSZACIVSA-N 0 1 319.474 3.059 20 30 DGEDMN C[C@H](COc1ccccc1)NN=Cc1ccc(N(C)C)c(F)c1 ZINC000811667392 627304129 /nfs/dbraw/zinc/30/41/29/627304129.db2.gz UNWRMWLCZRDSRE-CQSZACIVSA-N 0 1 315.392 3.283 20 30 DGEDMN COc1ncc(C=NNc2ncc(Cl)cc2Cl)s1 ZINC000812223918 627361397 /nfs/dbraw/zinc/36/13/97/627361397.db2.gz SLUDKAFOVPDSBR-UHFFFAOYSA-N 0 1 303.174 3.300 20 30 DGEDMN COc1ccc(N=NCc2cc(F)ccc2OC)c([N+](=O)[O-])c1 ZINC000812227731 627361558 /nfs/dbraw/zinc/36/15/58/627361558.db2.gz OLSOQZODGIYFTE-UHFFFAOYSA-N 0 1 319.292 3.197 20 30 DGEDMN C#C[C@H](NC(=O)[C@H](c1ccccc1)N(C)CC)c1ccc(F)cc1 ZINC000812750192 627416333 /nfs/dbraw/zinc/41/63/33/627416333.db2.gz NQHHLXJDXOUKBA-OALUTQOASA-N 0 1 324.399 3.309 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000813089200 627448001 /nfs/dbraw/zinc/44/80/01/627448001.db2.gz OMOKODWXXSYXKQ-YUELXQCFSA-N 0 1 318.804 3.101 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CCc2ncccn2)s1 ZINC000813096860 627448853 /nfs/dbraw/zinc/44/88/53/627448853.db2.gz YSHJDABMUULANM-NSHDSACASA-N 0 1 314.414 3.040 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)OCc1cccnc1C#N ZINC000813163895 627460479 /nfs/dbraw/zinc/46/04/79/627460479.db2.gz VBQXZTMOVPUPHV-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN N#CC(C(=O)CC[C@H]1CCOC1)c1cc(C(F)(F)F)ccn1 ZINC000813211823 627467619 /nfs/dbraw/zinc/46/76/19/627467619.db2.gz RIYMPOKKCGCWCO-CMPLNLGQSA-N 0 1 312.291 3.093 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813212090 627467648 /nfs/dbraw/zinc/46/76/48/627467648.db2.gz WZGXPMVDQHJZRE-KOLCDFICSA-N 0 1 314.332 3.420 20 30 DGEDMN N#CC(C(=O)CCc1ccccn1)c1cc(C(F)(F)F)ccn1 ZINC000813213893 627468105 /nfs/dbraw/zinc/46/81/05/627468105.db2.gz NHWJTUPKWYZRNU-CYBMUJFWSA-N 0 1 319.286 3.304 20 30 DGEDMN N#CC(C(=O)CC[C@H]1CCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813216978 627468932 /nfs/dbraw/zinc/46/89/32/627468932.db2.gz ACLJYCJUJDEWJN-VXGBXAGGSA-N 0 1 312.291 3.236 20 30 DGEDMN N#Cc1ccc(C(=O)N[C@H](CN2CCCCC2)c2ccccc2)o1 ZINC000814108132 627542811 /nfs/dbraw/zinc/54/28/11/627542811.db2.gz GVWMTYXFWWOPEE-QGZVFWFLSA-N 0 1 323.396 3.108 20 30 DGEDMN C#CCC1(C(=O)OCc2nnc(C(C)(C)C)[nH]2)CCCCC1 ZINC000814467429 627568908 /nfs/dbraw/zinc/56/89/08/627568908.db2.gz JIEZCQGMUAVDCL-UHFFFAOYSA-N 0 1 303.406 3.119 20 30 DGEDMN C#CCC1(C(=O)OCc2n[nH]c(C(C)(C)C)n2)CCCCC1 ZINC000814467429 627568911 /nfs/dbraw/zinc/56/89/11/627568911.db2.gz JIEZCQGMUAVDCL-UHFFFAOYSA-N 0 1 303.406 3.119 20 30 DGEDMN CC(=[NH+]Nc1cccc(C(=O)[O-])c1)c1cc(F)c(N)c(F)c1 ZINC000814687730 627583674 /nfs/dbraw/zinc/58/36/74/627583674.db2.gz SUNBBJKZFCGOSA-UHFFFAOYSA-N 0 1 305.284 3.081 20 30 DGEDMN O=[N+]([O-])c1cc(C(F)(F)F)ccc1N=NCc1cocn1 ZINC000814697229 627584482 /nfs/dbraw/zinc/58/44/82/627584482.db2.gz DXKVOMOREFELSI-UHFFFAOYSA-N 0 1 300.196 3.048 20 30 DGEDMN Fc1ccc2c(c1)OCC2=NN=c1nc[nH]c2sccc21 ZINC000814767612 627589539 /nfs/dbraw/zinc/58/95/39/627589539.db2.gz NHVIDUVESYCHFG-UHFFFAOYSA-N 0 1 300.318 3.039 20 30 DGEDMN CC(=[NH+]Nc1ccccc1C(=O)[O-])c1ccc(Cl)c(N)c1 ZINC000814768638 627589847 /nfs/dbraw/zinc/58/98/47/627589847.db2.gz GNWYQLYXHAMLKU-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=CCC1CCCCC1 ZINC000814780851 627590605 /nfs/dbraw/zinc/59/06/05/627590605.db2.gz KQSUKRMMMCCYNZ-UHFFFAOYSA-N 0 1 301.415 3.490 20 30 DGEDMN Fc1ccc2c(c1)OCC2=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1 ZINC000814796092 627592569 /nfs/dbraw/zinc/59/25/69/627592569.db2.gz NXAPBEGDSXGYHH-UHFFFAOYSA-N 0 1 318.258 3.189 20 30 DGEDMN Fc1ccc2c(c1)OCC2=NNc1nc2cc(F)c(F)cc2[nH]1 ZINC000814796092 627592572 /nfs/dbraw/zinc/59/25/72/627592572.db2.gz NXAPBEGDSXGYHH-UHFFFAOYSA-N 0 1 318.258 3.189 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1ccc2oncc2c1 ZINC000814821883 627595297 /nfs/dbraw/zinc/59/52/97/627595297.db2.gz NAPSQPFFGRDGHG-UHFFFAOYSA-N 0 1 312.285 3.191 20 30 DGEDMN Cc1ccc(N=NC2CCC[N@@H+](Cc3ccccc3)[C@H]2C)nn1 ZINC000814840027 627597293 /nfs/dbraw/zinc/59/72/93/627597293.db2.gz CEWPMCBXJRMFKG-HNNXBMFYSA-N 0 1 309.417 3.237 20 30 DGEDMN Cc1ccc(N=NC2CCCN(Cc3ccccc3)[C@H]2C)nn1 ZINC000814840027 627597295 /nfs/dbraw/zinc/59/72/95/627597295.db2.gz CEWPMCBXJRMFKG-HNNXBMFYSA-N 0 1 309.417 3.237 20 30 DGEDMN CCC(N=Nc1nc2ccccc2c(=O)[nH]1)c1cccc(N)c1 ZINC000814868699 627600442 /nfs/dbraw/zinc/60/04/42/627600442.db2.gz DZERZNHGXQYXBY-UHFFFAOYSA-N 0 1 307.357 3.144 20 30 DGEDMN CC(N=Nc1cncc(Br)c1)c1cccc(CO)c1 ZINC000814887286 627603368 /nfs/dbraw/zinc/60/33/68/627603368.db2.gz PIOCYRUPCJSTEJ-UHFFFAOYSA-N 0 1 320.190 3.173 20 30 DGEDMN C[C@@H]1C(=NOC[C@H]2CCOC2)CCCN1Cc1ccccc1 ZINC000814912409 627606945 /nfs/dbraw/zinc/60/69/45/627606945.db2.gz RXANLOCUALWWAV-WBVHZDCISA-N 0 1 302.418 3.080 20 30 DGEDMN COc1cc(C(C)=NNc2ncnc(Cl)c2C(C)C)on1 ZINC000814922133 627608156 /nfs/dbraw/zinc/60/81/56/627608156.db2.gz WGVSTKNRLJBHFF-UHFFFAOYSA-N 0 1 309.757 3.086 20 30 DGEDMN C=CCC(F)(F)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000815095249 627625958 /nfs/dbraw/zinc/62/59/58/627625958.db2.gz BGHMSEYKPCAUGJ-DZGCQCFKSA-N 0 1 308.372 3.150 20 30 DGEDMN CC(C)[C@H]1CN([C@H]2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000815098835 627626129 /nfs/dbraw/zinc/62/61/29/627626129.db2.gz NONHMWHJXWFZJI-OWCLPIDISA-N 0 1 321.465 3.256 20 30 DGEDMN C[C@H](c1ccc(OCC#N)cc1)N1CCOc2ccc(N)cc2C1 ZINC000925753910 627788543 /nfs/dbraw/zinc/78/85/43/627788543.db2.gz SSUGIMVAMVNXCB-CQSZACIVSA-N 0 1 323.396 3.127 20 30 DGEDMN CCc1ccc(C#CC(=O)N[C@@H](C)c2n[nH]c([C@H](C)CC)n2)cc1 ZINC000816480464 627795165 /nfs/dbraw/zinc/79/51/65/627795165.db2.gz NSOAFQFKGMAWSC-KGLIPLIRSA-N 0 1 324.428 3.110 20 30 DGEDMN CCc1ccc(C#CC(=O)N[C@@H](C)c2n[nH]c([C@@H](C)CC)n2)cc1 ZINC000816480463 627795488 /nfs/dbraw/zinc/79/54/88/627795488.db2.gz NSOAFQFKGMAWSC-KBPBESRZSA-N 0 1 324.428 3.110 20 30 DGEDMN Nc1ccc2c(c1)C(N=Nc1ccncc1Cl)CCCC2 ZINC000817325701 627883068 /nfs/dbraw/zinc/88/30/68/627883068.db2.gz MRNKWEYDSICCLE-UHFFFAOYSA-N 0 1 300.793 3.282 20 30 DGEDMN C[C@@H](NCc1ccc(OCC#N)cc1)c1ccncc1Cl ZINC000817578263 627923806 /nfs/dbraw/zinc/92/38/06/627923806.db2.gz JIQFOWXEVLWPMU-GFCCVEGCSA-N 0 1 301.777 3.488 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)[C@H]1CC12CC2 ZINC000817713080 627937396 /nfs/dbraw/zinc/93/73/96/627937396.db2.gz AGFXQITVRAVLIF-VHSXEESVSA-N 0 1 323.179 3.441 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC23CC3)cc1 ZINC000817714609 627937645 /nfs/dbraw/zinc/93/76/45/627937645.db2.gz VHGPPRUODCLXEY-JKSUJKDBSA-N 0 1 310.397 3.477 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1Cl)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000817713919 627937825 /nfs/dbraw/zinc/93/78/25/627937825.db2.gz ILYSHWKZQLNZJH-LPWJVIDDSA-N 0 1 316.788 3.424 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H]1CC12CC2 ZINC000817713370 627938094 /nfs/dbraw/zinc/93/80/94/627938094.db2.gz BXIXDMREVFGOQC-LSDHHAIUSA-N 0 1 310.397 3.259 20 30 DGEDMN CC(C)[C@H](N[C@@H](C)c1ccc(C#N)cc1F)c1cnn(C)c1 ZINC000927068381 628006830 /nfs/dbraw/zinc/00/68/30/628006830.db2.gz IVQBSMCVMRBXRM-SJCJKPOMSA-N 0 1 300.381 3.479 20 30 DGEDMN C=CCn1cc(CN[C@@H](CC)c2cc(Cl)ccc2O)nn1 ZINC000818347282 628017358 /nfs/dbraw/zinc/01/73/58/628017358.db2.gz PIAILGZFHLCSQT-AWEZNQCLSA-N 0 1 306.797 3.064 20 30 DGEDMN C=C[C@H](CC(=O)NCc1cc(N(C)C)ccn1)c1ccccc1 ZINC000927703731 628093010 /nfs/dbraw/zinc/09/30/10/628093010.db2.gz WRTSYZNSYXFNAR-OAHLLOKOSA-N 0 1 309.413 3.124 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CC(C)C)c2ccccc2)CC1 ZINC000928655057 628173032 /nfs/dbraw/zinc/17/30/32/628173032.db2.gz JOPFDXIVXCZFEM-IBGZPJMESA-N 0 1 312.457 3.030 20 30 DGEDMN CC(C)(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCC2(C)C)o1 ZINC000820590687 628276189 /nfs/dbraw/zinc/27/61/89/628276189.db2.gz YAIIQHJSLWZCOV-UHFFFAOYSA-N 0 1 317.397 3.130 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cccc3c2OCCO3)c1 ZINC000821021106 628327338 /nfs/dbraw/zinc/32/73/38/628327338.db2.gz DHSJRKFJBKJNLB-MDZDMXLPSA-N 0 1 323.392 3.416 20 30 DGEDMN N#C[C@H]1CC[C@@H](COC(=O)c2cc3[nH]cnc3cc2F)CC1 ZINC000821107705 628337256 /nfs/dbraw/zinc/33/72/56/628337256.db2.gz JBSODPJUYFKJSN-PHIMTYICSA-N 0 1 301.321 3.189 20 30 DGEDMN N#CC[C@@H]1CC[C@H](NC(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000821196190 628345140 /nfs/dbraw/zinc/34/51/40/628345140.db2.gz KOWSVDMOWIKLOJ-GJZGRUSLSA-N 0 1 315.417 3.078 20 30 DGEDMN N#CCCCOc1cccc(C[N@@H+]2CCC[C@@H](CC(=O)[O-])C2)c1 ZINC000821320551 628356348 /nfs/dbraw/zinc/35/63/48/628356348.db2.gz RKOUAAULTBMEKR-INIZCTEOSA-N 0 1 316.401 3.056 20 30 DGEDMN CCCCCCC[C@@H](C)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000823064362 628522090 /nfs/dbraw/zinc/52/20/90/628522090.db2.gz YUQQDIFVOUEEGX-KGLIPLIRSA-N 0 1 306.454 3.337 20 30 DGEDMN CC(C)CN(CCC#N)C[C@]12C[C@H]1CN(C(=O)OC(C)(C)C)C2 ZINC000823382755 628555323 /nfs/dbraw/zinc/55/53/23/628555323.db2.gz SESWEVVJARQCTI-YJBOKZPZSA-N 0 1 321.465 3.115 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000823811464 628598988 /nfs/dbraw/zinc/59/89/88/628598988.db2.gz QOANQGQUUQESMK-CQSZACIVSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000823811464 628598991 /nfs/dbraw/zinc/59/89/91/628598991.db2.gz QOANQGQUUQESMK-CQSZACIVSA-N 0 1 318.465 3.312 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC[C@@H]2C(C)C)o1 ZINC000824352531 628653888 /nfs/dbraw/zinc/65/38/88/628653888.db2.gz HGZKYOKPWGEPQE-SNVBAGLBSA-N 0 1 317.397 3.202 20 30 DGEDMN CCCCCCCC[C@@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000826694230 628874276 /nfs/dbraw/zinc/87/42/76/628874276.db2.gz XJQUQOMQQYBITE-MRXNPFEDSA-N 0 1 307.482 3.477 20 30 DGEDMN CCC(F)(F)C(=O)N[C@H](C#N)c1cccc(C(F)(F)F)c1 ZINC000827030715 628914504 /nfs/dbraw/zinc/91/45/04/628914504.db2.gz MJPIMDMHXSPGCX-SNVBAGLBSA-N 0 1 306.234 3.432 20 30 DGEDMN C#C[C@H](NCc1ncc(-c2ccccc2)s1)C1CCOCC1 ZINC000827564731 628971399 /nfs/dbraw/zinc/97/13/99/628971399.db2.gz FOWRIMBLNJVTCU-INIZCTEOSA-N 0 1 312.438 3.328 20 30 DGEDMN C[C@@H]([NH2+][C@H](C(=O)[O-])c1cccc(C#N)c1)c1c(F)cccc1F ZINC000827717691 628985032 /nfs/dbraw/zinc/98/50/32/628985032.db2.gz KTUXTNPRPQXVER-HWPZZCPQSA-N 0 1 316.307 3.313 20 30 DGEDMN C[C@@]1(c2ccccc2)CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000828128728 629025982 /nfs/dbraw/zinc/02/59/82/629025982.db2.gz WPXQSEVNDVSNCO-UYAOXDASSA-N 0 1 320.392 3.348 20 30 DGEDMN N#Cc1cnccc1CN[C@@H](c1ccccc1)C1CCOCC1 ZINC000828159332 629029094 /nfs/dbraw/zinc/02/90/94/629029094.db2.gz FMUPQFJMSHCDBI-IBGZPJMESA-N 0 1 307.397 3.211 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccccc2OCC)C1 ZINC000829775208 629190634 /nfs/dbraw/zinc/19/06/34/629190634.db2.gz ZFRDQCKGJPDMNI-HZPDHXFCSA-N 0 1 314.429 3.148 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000829789083 629192814 /nfs/dbraw/zinc/19/28/14/629192814.db2.gz NPZBVFQIFIMAFC-GFCCVEGCSA-N 0 1 317.339 3.250 20 30 DGEDMN C#CC1CCN(CC(=O)N(CC)[C@H](C)c2ccc(F)cc2)CC1 ZINC000830330354 629253965 /nfs/dbraw/zinc/25/39/65/629253965.db2.gz WNGWGISRFJSCIE-OAHLLOKOSA-N 0 1 316.420 3.080 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)N2CCC[C@@H]2c2cccs2)CC1 ZINC000830338135 629255183 /nfs/dbraw/zinc/25/51/83/629255183.db2.gz SXYOERPWKJKSEN-GOEBONIOSA-N 0 1 316.470 3.145 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)CC1 ZINC000830338010 629255435 /nfs/dbraw/zinc/25/54/35/629255435.db2.gz NUSMFEVGUPNUJL-CYBMUJFWSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC1CCN(Cc2nn(-c3ccccc3)c3c2CCC3)CC1 ZINC000830342905 629256378 /nfs/dbraw/zinc/25/63/78/629256378.db2.gz FZDAWBNEDOELQK-UHFFFAOYSA-N 0 1 305.425 3.206 20 30 DGEDMN COC[C@]1(C)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000830520216 629280313 /nfs/dbraw/zinc/28/03/13/629280313.db2.gz RNPSBRDVEHMHGK-MRXNPFEDSA-N 0 1 308.809 3.101 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)C#CC(C)C)C3)[nH]c2c1 ZINC000830899704 629318392 /nfs/dbraw/zinc/31/83/92/629318392.db2.gz KHMNEJKNIPXHEU-OAHLLOKOSA-N 0 1 309.413 3.237 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H]2Cc2ccccc2)cc1 ZINC000833071329 629554615 /nfs/dbraw/zinc/55/46/15/629554615.db2.gz FPTONKVIVZCVNH-MSOLQXFVSA-N 0 1 306.365 3.001 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3)C2)c1 ZINC000833073802 629555375 /nfs/dbraw/zinc/55/53/75/629555375.db2.gz IKDLXXHTGCRAPH-QZTJIDSGSA-N 0 1 306.365 3.173 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](Cc3ccccc3)C2)c1 ZINC000833074480 629555390 /nfs/dbraw/zinc/55/53/90/629555390.db2.gz YSXHIBXIDOPGGC-IEBWSBKVSA-N 0 1 320.392 3.249 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CCCc3sccc3C2)c1 ZINC000833074191 629555671 /nfs/dbraw/zinc/55/56/71/629555671.db2.gz RMGDDDHOKYPWPY-INIZCTEOSA-N 0 1 312.394 3.194 20 30 DGEDMN CC[C@@H](O)CNN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834980592 629816896 /nfs/dbraw/zinc/81/68/96/629816896.db2.gz RYDZENOTERGQPE-MRXNPFEDSA-N 0 1 317.458 3.079 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CC2CC(F)(F)C2)c1 ZINC000835063179 629841748 /nfs/dbraw/zinc/84/17/48/629841748.db2.gz IABVSEVNAMXHEK-ZDUSSCGKSA-N 0 1 306.312 3.078 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C[C@H]1C ZINC000835063738 629841798 /nfs/dbraw/zinc/84/17/98/629841798.db2.gz QDFSXNJYCGJQEQ-NYTXWWLZSA-N 0 1 304.434 3.216 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=CC3(C)CCOCC3)[nH]c21 ZINC000835174094 629869087 /nfs/dbraw/zinc/86/90/87/629869087.db2.gz SNDDXNWYZMYADR-UZYOAWRESA-N 0 1 323.396 3.421 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000835341924 629910486 /nfs/dbraw/zinc/91/04/86/629910486.db2.gz XAKANRZWJFQAAX-ZACQAIPSSA-N 0 1 312.413 3.146 20 30 DGEDMN CC(C)CCCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000835341602 629910583 /nfs/dbraw/zinc/91/05/83/629910583.db2.gz VMOKQRXBSUEYGQ-AWEZNQCLSA-N 0 1 322.355 3.116 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C1CCCCC1 ZINC000102122893 629951541 /nfs/dbraw/zinc/95/15/41/629951541.db2.gz OTLJEJNCVOPTHQ-HIFRSBDPSA-N 0 1 313.401 3.335 20 30 DGEDMN C[N@@H+](Cc1nc2ccccc2c(=O)n1CC#N)C1CCCCCC1 ZINC000836529604 630128797 /nfs/dbraw/zinc/12/87/97/630128797.db2.gz SGCBLSBKWXEIPI-UHFFFAOYSA-N 0 1 324.428 3.075 20 30 DGEDMN CN(Cc1nc2ccccc2c(=O)n1CC#N)C1CCCCCC1 ZINC000836529604 630128798 /nfs/dbraw/zinc/12/87/98/630128798.db2.gz SGCBLSBKWXEIPI-UHFFFAOYSA-N 0 1 324.428 3.075 20 30 DGEDMN C=CCC1(CNC(=O)c2nnc3ccccc3c2O)CCCC1 ZINC000836990944 630198702 /nfs/dbraw/zinc/19/87/02/630198702.db2.gz LWGGCTJLCVTFEF-UHFFFAOYSA-N 0 1 311.385 3.202 20 30 DGEDMN CN(C)[C@H](CNC(=O)C#CC(C)(C)C)c1ccc(Cl)cc1 ZINC000837448052 630259408 /nfs/dbraw/zinc/25/94/08/630259408.db2.gz JTPSJQKEDRRPIQ-OAHLLOKOSA-N 0 1 306.837 3.108 20 30 DGEDMN C[C@@H]1CCC[C@H](C)N1CC(N)=NOCc1ccc(CC#N)cc1 ZINC000842049608 630482928 /nfs/dbraw/zinc/48/29/28/630482928.db2.gz VXNCGWSIYBILAY-GASCZTMLSA-N 0 1 314.433 3.014 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H]2C[C@@]2(F)c2ccccc2)nc(C)n1 ZINC000842283542 630510909 /nfs/dbraw/zinc/51/09/09/630510909.db2.gz COKOYFBOPMDBSC-RLFYNMQTSA-N 0 1 309.344 3.155 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H]2C[C@]2(F)c2ccccc2)nc(C)n1 ZINC000842283541 630510969 /nfs/dbraw/zinc/51/09/69/630510969.db2.gz COKOYFBOPMDBSC-MPGHIAIKSA-N 0 1 309.344 3.155 20 30 DGEDMN O=[N+]([O-])c1cc(C=NNC23CC(C2)C3)ccc1N1CCCCC1 ZINC000842547595 630546429 /nfs/dbraw/zinc/54/64/29/630546429.db2.gz QAJICZUBHVGHCS-UHFFFAOYSA-N 0 1 314.389 3.061 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C=CC2CCCC2)n1 ZINC000842699832 630565147 /nfs/dbraw/zinc/56/51/47/630565147.db2.gz FHCOUISFJBXRQD-ABZNLYFFSA-N 0 1 318.398 3.242 20 30 DGEDMN C[C@H](Oc1ccccn1)C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000842943230 630597029 /nfs/dbraw/zinc/59/70/29/630597029.db2.gz RCPALQGVNBJPNH-JQWIXIFHSA-N 0 1 313.382 3.059 20 30 DGEDMN C#CC[C@H]1CCC[N@@H+](Cc2cc(Br)ccc2[O-])C1 ZINC000843424570 630657087 /nfs/dbraw/zinc/65/70/87/630657087.db2.gz WJFLKOGBYJNJKJ-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2cc(Br)ccc2[O-])C1 ZINC000843424570 630657088 /nfs/dbraw/zinc/65/70/88/630657088.db2.gz WJFLKOGBYJNJKJ-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN Cc1ccc2cc(Cl)cc(C(=O)NC3=NO[C@@H](C)C3)c2n1 ZINC000843841126 630701923 /nfs/dbraw/zinc/70/19/23/630701923.db2.gz YKFJAXLNDABYPX-VIFPVBQESA-N 0 1 303.749 3.049 20 30 DGEDMN Cc1ccccc1CN(CCO[C@@H](C)C#N)Cc1ccccn1 ZINC000844332957 630719746 /nfs/dbraw/zinc/71/97/46/630719746.db2.gz DNMDHCOEGHZINZ-KRWDZBQOSA-N 0 1 309.413 3.321 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](CC)OC[C@@H]2C)c(O)c(OC)c1 ZINC000844730834 630819956 /nfs/dbraw/zinc/81/99/56/630819956.db2.gz LBWVHMRSPMALDZ-XJKSGUPXSA-N 0 1 305.418 3.129 20 30 DGEDMN CC(C(=O)NC1CCN(Cc2cccc(C#N)c2)CC1)=C1CCC1 ZINC000845003775 631030242 /nfs/dbraw/zinc/03/02/42/631030242.db2.gz PZVFZHYVRZUUQK-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000105756524 631057350 /nfs/dbraw/zinc/05/73/50/631057350.db2.gz XRCOXZUBHNRNHH-HZPDHXFCSA-N 0 1 300.402 3.084 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000105757974 631057567 /nfs/dbraw/zinc/05/75/67/631057567.db2.gz HCKPLRQRXNAKIO-ZIAGYGMSSA-N 0 1 316.401 3.415 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000105756613 631057614 /nfs/dbraw/zinc/05/76/14/631057614.db2.gz AYKODMDXFIZHGI-XJKSGUPXSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)Cc1cccs1)=C(O)C1(c2ccccc2)CC1 ZINC000845835594 631198416 /nfs/dbraw/zinc/19/84/16/631198416.db2.gz CSVNBMNOBSDALX-OAHLLOKOSA-N 0 1 309.390 3.300 20 30 DGEDMN Cc1ccc(CC(=O)C(C#N)=C(O)C2(c3ccccc3)CC2)o1 ZINC000845841467 631198572 /nfs/dbraw/zinc/19/85/72/631198572.db2.gz NNUHGEKJQSGBSZ-INIZCTEOSA-N 0 1 307.349 3.140 20 30 DGEDMN CCC(C)(C)OCC(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845844475 631198807 /nfs/dbraw/zinc/19/88/07/631198807.db2.gz RPLNLUORYIWONG-OAHLLOKOSA-N 0 1 313.397 3.201 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C(F)(F)F)=C(O)C1(c2ccccc2)CC1 ZINC000845844004 631198823 /nfs/dbraw/zinc/19/88/23/631198823.db2.gz ODGUIVDTROZICC-FRRDWIJNSA-N 0 1 321.298 3.195 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C(F)(F)F)=C(O)C1(c2ccccc2)CC1 ZINC000845844006 631198844 /nfs/dbraw/zinc/19/88/44/631198844.db2.gz ODGUIVDTROZICC-JHJVBQTASA-N 0 1 321.298 3.195 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@@H](C)C#N)cc2)[C@H](CC)CO1 ZINC000846027372 631206860 /nfs/dbraw/zinc/20/68/60/631206860.db2.gz LXBKPPFGFINXPC-USXIJHARSA-N 0 1 302.418 3.367 20 30 DGEDMN C=C(C)CONC(=O)[C@H](C)c1ccc(Br)s1 ZINC000846536998 631256186 /nfs/dbraw/zinc/25/61/86/631256186.db2.gz FJIBPIYOKRQUAQ-MRVPVSSYSA-N 0 1 304.209 3.238 20 30 DGEDMN C=C(C)CONC(=O)C(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000846535308 631256234 /nfs/dbraw/zinc/25/62/34/631256234.db2.gz XIXOKHJTLKRQMW-UHFFFAOYSA-N 0 1 317.307 3.487 20 30 DGEDMN C=C(C)CONC(=O)C(C)(C)c1ccc(Br)cc1 ZINC000846539041 631257197 /nfs/dbraw/zinc/25/71/97/631257197.db2.gz WQKZSFYKUGISPS-UHFFFAOYSA-N 0 1 312.207 3.351 20 30 DGEDMN C=C[C@H](C)ONC(=O)C(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000846554056 631260331 /nfs/dbraw/zinc/26/03/31/631260331.db2.gz HBDOFAYNHWVWNE-JTQLQIEISA-N 0 1 317.307 3.485 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000847158857 631425718 /nfs/dbraw/zinc/42/57/18/631425718.db2.gz BLQKEAQSSGEJSO-JTQLQIEISA-N 0 1 318.327 3.378 20 30 DGEDMN N#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000847623838 631498370 /nfs/dbraw/zinc/49/83/70/631498370.db2.gz OEZYLBJMEACPHZ-CYBMUJFWSA-N 0 1 303.749 3.071 20 30 DGEDMN CC(C)c1nc(C#N)c(N=NC2CCCN3CCCC[C@H]23)o1 ZINC000848404066 631654810 /nfs/dbraw/zinc/65/48/10/631654810.db2.gz IWLMPYLIOFTDRK-CQSZACIVSA-N 0 1 301.394 3.086 20 30 DGEDMN C=CCN(Cn1cc(-c2ccccc2[N+](=O)[O-])cn1)C(C)C ZINC000848475969 631667349 /nfs/dbraw/zinc/66/73/49/631667349.db2.gz WZTIPIJIFZZRHZ-UHFFFAOYSA-N 0 1 300.362 3.312 20 30 DGEDMN C#CC[C@@H]1CCCN(Cn2cc(-c3ccccc3[N+](=O)[O-])cn2)C1 ZINC000848489434 631669960 /nfs/dbraw/zinc/66/99/60/631669960.db2.gz NBKOYPZWUQOZDZ-OAHLLOKOSA-N 0 1 324.384 3.151 20 30 DGEDMN COCCN1[C@H](C)CN(c2cccc(C(F)F)c2C#N)C[C@@H]1C ZINC000848734717 631737825 /nfs/dbraw/zinc/73/78/25/631737825.db2.gz GLMVSHMBFQETGZ-BETUJISGSA-N 0 1 323.387 3.041 20 30 DGEDMN CCc1cccc(CN2CCO[C@H](c3cccc(C#N)c3)C2)c1O ZINC000848891459 631774134 /nfs/dbraw/zinc/77/41/34/631774134.db2.gz YLWBBRGJRBJJSE-IBGZPJMESA-N 0 1 322.408 3.400 20 30 DGEDMN COC(=O)/C=C/c1ccc(CN[C@H]2CCc3cc(C#N)ccc32)o1 ZINC000848907380 631781978 /nfs/dbraw/zinc/78/19/78/631781978.db2.gz MUDJAUYQQINYCH-YOCVDRLZSA-N 0 1 322.364 3.115 20 30 DGEDMN COC[C@H](CC/N=C/c1cc(Cl)cc(Cl)c1O)OC ZINC000849170738 631852633 /nfs/dbraw/zinc/85/26/33/631852633.db2.gz UEJYPZOUPSWOFL-JRUYLCMPSA-N 0 1 306.189 3.169 20 30 DGEDMN N#C[C@H](C(=O)CC1CCC(c2ccccc2)CC1)C(=O)NC1CC1 ZINC000108624595 631909204 /nfs/dbraw/zinc/90/92/04/631909204.db2.gz PPYNSSYNKVFTAD-JFUPDXTOSA-N 0 1 324.424 3.338 20 30 DGEDMN C=CCCn1cc(CN[C@@H](C)Cc2ccccc2Cl)nn1 ZINC000850031070 632033790 /nfs/dbraw/zinc/03/37/90/632033790.db2.gz PQDVCPSLYUXAIS-ZDUSSCGKSA-N 0 1 304.825 3.228 20 30 DGEDMN C#CC[C@H](NCc1cc(C(F)(F)F)nn1C)c1ccccc1 ZINC000850225250 632085232 /nfs/dbraw/zinc/08/52/32/632085232.db2.gz ANZOUGRBGAUOQK-AWEZNQCLSA-N 0 1 307.319 3.293 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@@H]2CCCn3nccc32)c1 ZINC000850441754 632154231 /nfs/dbraw/zinc/15/42/31/632154231.db2.gz BRUBZDIGWHWHKN-DOTOQJQBSA-N 0 1 310.401 3.189 20 30 DGEDMN N#CC(C(=O)C1CCC2(CC2)CC1)c1nnc2n1CCCCC2 ZINC000850779968 632222768 /nfs/dbraw/zinc/22/27/68/632222768.db2.gz CZWIWASJDKUZAC-CQSZACIVSA-N 0 1 312.417 3.151 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCCC3(CC3)C2)c1 ZINC000850977518 632275178 /nfs/dbraw/zinc/27/51/78/632275178.db2.gz WZPAWYZWZKFNKE-SJORKVTESA-N 0 1 324.424 3.291 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCCC1 ZINC000850987237 632278738 /nfs/dbraw/zinc/27/87/38/632278738.db2.gz XKNCPERRMDLARK-KUHUBIRLSA-N 0 1 323.396 3.401 20 30 DGEDMN C=C(C)[C@H](CC(=O)OC[C@@H]1CCN1Cc1ccccc1)OCC ZINC000851467365 632417248 /nfs/dbraw/zinc/41/72/48/632417248.db2.gz UVDNJXRYLOCMBP-ROUUACIJSA-N 0 1 317.429 3.175 20 30 DGEDMN CCCCCCNC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000852354840 632594581 /nfs/dbraw/zinc/59/45/81/632594581.db2.gz VHTWXZYSMXEGIA-UHFFFAOYSA-N 0 1 301.434 3.079 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001032162306 660208932 /nfs/dbraw/zinc/20/89/32/660208932.db2.gz ACFYQBJIRURYSW-UHFFFAOYSA-N 0 1 316.426 3.246 20 30 DGEDMN C#CCCCCC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000185335723 660215631 /nfs/dbraw/zinc/21/56/31/660215631.db2.gz CQAVCAZUUVDEMC-LJQANCHMSA-N 0 1 312.457 3.303 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1nc2ccccc2n1C ZINC000180165556 659953724 /nfs/dbraw/zinc/95/37/24/659953724.db2.gz GQZBHTYVQMUTME-AWEZNQCLSA-N 0 1 320.396 3.326 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001031874550 660031658 /nfs/dbraw/zinc/03/16/58/660031658.db2.gz UVDDLFBDKLCXBQ-UHFFFAOYSA-N 0 1 316.376 3.118 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC2CCC(C)(C)CC2)C(C)(C)C1 ZINC000941259560 660099498 /nfs/dbraw/zinc/09/94/98/660099498.db2.gz XTNLYTXIZRVNOK-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)NCc1ccc(N(C)CCC#N)cc1 ZINC000073006978 660235365 /nfs/dbraw/zinc/23/53/65/660235365.db2.gz OGZQEKIIQFFJQC-CYBMUJFWSA-N 0 1 312.417 3.492 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(C)C)CC1(C)C ZINC000995852370 660271798 /nfs/dbraw/zinc/27/17/98/660271798.db2.gz ZFYFNQNQGBEHRB-CYBMUJFWSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C23CCC(CC2)C3)CC1(C)C ZINC000995983952 660273484 /nfs/dbraw/zinc/27/34/84/660273484.db2.gz SNWVOCQMWIJTOZ-UUCFBXCCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(CC)o2)CC1(C)C ZINC000995968470 660273800 /nfs/dbraw/zinc/27/38/00/660273800.db2.gz SFCKYXFLSBLNFC-AWEZNQCLSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2ccc(C)cc2)CC1(C)C ZINC000996007448 660273896 /nfs/dbraw/zinc/27/38/96/660273896.db2.gz NYXVERNCOHLAJC-MRXNPFEDSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1(C)C ZINC000996080986 660274996 /nfs/dbraw/zinc/27/49/96/660274996.db2.gz DXKBUESUHOTZIM-CAOSSQGBSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1(C)C ZINC000996082760 660275063 /nfs/dbraw/zinc/27/50/63/660275063.db2.gz VIRANIVKVAEKDL-QKPAOTATSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccoc2C2CC2)CC1(C)C ZINC000996184617 660277238 /nfs/dbraw/zinc/27/72/38/660277238.db2.gz DOEKUIVURHGSMT-AWEZNQCLSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC000996233626 660277896 /nfs/dbraw/zinc/27/78/96/660277896.db2.gz KXCSSLDPLOIPJK-PBHICJAKSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1(C)C ZINC000996403383 660282005 /nfs/dbraw/zinc/28/20/05/660282005.db2.gz WIQNULZWFVVFFQ-ZNMIVQPWSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2oc(CC)cc2C)CC1(C)C ZINC000996522132 660284819 /nfs/dbraw/zinc/28/48/19/660284819.db2.gz WESIHNDOWQOWAA-CQSZACIVSA-N 0 1 324.852 3.343 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1sc(C)cc1C ZINC001032425378 660320373 /nfs/dbraw/zinc/32/03/73/660320373.db2.gz ORBIUXQUBOMPKD-GJZGRUSLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C)c(Cl)c1 ZINC001032472159 660327134 /nfs/dbraw/zinc/32/71/34/660327134.db2.gz MGPWJDCWEPQYDD-HOTGVXAUSA-N 0 1 318.848 3.052 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CC)s1 ZINC001032505291 660332989 /nfs/dbraw/zinc/33/29/89/660332989.db2.gz LTRQQMXDRDAJEJ-KBPBESRZSA-N 0 1 304.459 3.175 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cccc(Cl)c1 ZINC001032545163 660342218 /nfs/dbraw/zinc/34/22/18/660342218.db2.gz YUKKLJWYOXNMKY-IRXDYDNUSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001032557872 660346016 /nfs/dbraw/zinc/34/60/16/660346016.db2.gz UBTFSMLDZXSMBG-ROUUACIJSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@H]2CC2(F)F)CC1 ZINC000998011110 660363685 /nfs/dbraw/zinc/36/36/85/660363685.db2.gz GAUVUZHEFUDCTL-QWHCGFSZSA-N 0 1 320.811 3.097 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)cc1C ZINC001032601252 660371494 /nfs/dbraw/zinc/37/14/94/660371494.db2.gz RGBHJYQLGSNTHQ-GJZGRUSLSA-N 0 1 304.821 3.123 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3CC2CC(F)(F)C2)CC1 ZINC001032606009 660374965 /nfs/dbraw/zinc/37/49/65/660374965.db2.gz LYVRQSHIDSZZIT-HOTGVXAUSA-N 0 1 324.415 3.063 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1cccs1 ZINC001032607070 660375915 /nfs/dbraw/zinc/37/59/15/660375915.db2.gz AJMYVZSAHYEHPZ-MPGHIAIKSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001032607070 660375916 /nfs/dbraw/zinc/37/59/16/660375916.db2.gz AJMYVZSAHYEHPZ-MPGHIAIKSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC000999322590 660416320 /nfs/dbraw/zinc/41/63/20/660416320.db2.gz AARIEVPFZREDTO-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(C3CCC3)CCC2)C1 ZINC000999816947 660427843 /nfs/dbraw/zinc/42/78/43/660427843.db2.gz YSPOSTKVEWUHIE-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2c(C)cc(F)cc2C)C1 ZINC000999856655 660428620 /nfs/dbraw/zinc/42/86/20/660428620.db2.gz LZZSIHWENQTFGK-OAHLLOKOSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncsc1C(C)C ZINC001032727403 660434699 /nfs/dbraw/zinc/43/46/99/660434699.db2.gz LYAFOEGLANGDEH-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)(F)F)cc1 ZINC001032748238 660437991 /nfs/dbraw/zinc/43/79/91/660437991.db2.gz DSGKNYZGOWCMIO-GJZGRUSLSA-N 0 1 324.346 3.180 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(c2ccccc2C)CCC1 ZINC001032756873 660439669 /nfs/dbraw/zinc/43/96/69/660439669.db2.gz VLCRRMPQUUELLG-ROUUACIJSA-N 0 1 324.468 3.278 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001000401638 660445598 /nfs/dbraw/zinc/44/55/98/660445598.db2.gz FWTFXTSJBQOIPW-KBPBESRZSA-N 0 1 310.825 3.025 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2sccc2CC)C1 ZINC001000989551 660450672 /nfs/dbraw/zinc/45/06/72/660450672.db2.gz WVDDNUJFRRTNLZ-ZDUSSCGKSA-N 0 1 312.866 3.257 20 30 DGEDMN C=C1CCC(C(=O)NCC2CCN(C/C=C\Cl)CC2)CC1 ZINC001001796209 660458070 /nfs/dbraw/zinc/45/80/70/660458070.db2.gz DNWXXWBRIDGJEV-MBXJOHMKSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2occc2-c2ccccc2)CC1 ZINC001003273700 660490605 /nfs/dbraw/zinc/49/06/05/660490605.db2.gz VCFPLWMZRQSGJY-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CCN(Cc3ccccc3F)CC2)C1 ZINC001003595656 660498364 /nfs/dbraw/zinc/49/83/64/660498364.db2.gz APRFXVNDPLJZDJ-UHFFFAOYSA-N 0 1 316.420 3.263 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001003737055 660501903 /nfs/dbraw/zinc/50/19/03/660501903.db2.gz DVRCEBWENIBEJX-KXBFYZLASA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001044311747 660515683 /nfs/dbraw/zinc/51/56/83/660515683.db2.gz XNZSDMZPMRDEQQ-UHFFFAOYSA-N 0 1 304.409 3.165 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(c3ccc(C)cc3)CCC2)CC1 ZINC001004239854 660535049 /nfs/dbraw/zinc/53/50/49/660535049.db2.gz CSTFIXNTYHRSQB-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN CC(C(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001033064799 660535164 /nfs/dbraw/zinc/53/51/64/660535164.db2.gz AGBISFUUZTTWAO-IBGZPJMESA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(CC(C)C)s2)C1 ZINC001033152592 660565333 /nfs/dbraw/zinc/56/53/33/660565333.db2.gz RXESIDJIICVFSG-CQSZACIVSA-N 0 1 306.475 3.279 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@@H]1[C@H](C)NCc1ccccc1C#N ZINC001004743905 660571892 /nfs/dbraw/zinc/57/18/92/660571892.db2.gz PEVQRWRKMVSDQS-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc3ccccc3s2)C1 ZINC001033237391 660596057 /nfs/dbraw/zinc/59/60/57/660596057.db2.gz ONQPCPYYBKPMFZ-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc3c4c(cccc42)CC3)C1 ZINC001033251883 660599201 /nfs/dbraw/zinc/59/92/01/660599201.db2.gz AUESXBQUPJQISB-QGZVFWFLSA-N 0 1 320.436 3.271 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001033365520 660614502 /nfs/dbraw/zinc/61/45/02/660614502.db2.gz OHTUISCYEZCNIY-YNEHKIRRSA-N 0 1 324.877 3.224 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cccc(Cl)c2F)C1 ZINC001033386072 660617955 /nfs/dbraw/zinc/61/79/55/660617955.db2.gz SGXBUHQIXIRTEA-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(Cc3csc(C)c3)C2)C1 ZINC001033399702 660620029 /nfs/dbraw/zinc/62/00/29/660620029.db2.gz PWCGFTZANAUEOB-MRXNPFEDSA-N 0 1 318.486 3.446 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(F)c3ccccc23)C1 ZINC001033453037 660624163 /nfs/dbraw/zinc/62/41/63/660624163.db2.gz LSKCGHJFGBEVOW-HNNXBMFYSA-N 0 1 324.399 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc3ccsc32)C1 ZINC001033557291 660632142 /nfs/dbraw/zinc/63/21/42/660632142.db2.gz IEMXWJSGUWNUMN-AWEZNQCLSA-N 0 1 300.427 3.234 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc(CC)c(CC)o2)C1 ZINC001033587225 660634804 /nfs/dbraw/zinc/63/48/04/660634804.db2.gz DSOZSMOUXZGYJJ-OAHLLOKOSA-N 0 1 304.434 3.127 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2oc3ccccc3c2Cl)C1 ZINC001033584644 660636059 /nfs/dbraw/zinc/63/60/59/660636059.db2.gz ZRXQWDSLXNECNM-GFCCVEGCSA-N 0 1 318.804 3.419 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc3ccccc3c2)C1 ZINC001033611570 660639420 /nfs/dbraw/zinc/63/94/20/660639420.db2.gz XOCVHVAFFNEOPK-LJQANCHMSA-N 0 1 306.409 3.009 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001033634475 660642905 /nfs/dbraw/zinc/64/29/05/660642905.db2.gz PSNJLGKKEDKCAJ-JCYILVPMSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001033627868 660643448 /nfs/dbraw/zinc/64/34/48/660643448.db2.gz OPZDRTKIQZFPMO-LBPRGKRZSA-N 0 1 310.800 3.120 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001033671801 660647741 /nfs/dbraw/zinc/64/77/41/660647741.db2.gz JRBJJCDMUHVHHG-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001033692513 660650061 /nfs/dbraw/zinc/65/00/61/660650061.db2.gz JCTLQSMWCACMKU-IEBWSBKVSA-N 0 1 312.457 3.215 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001033693259 660650436 /nfs/dbraw/zinc/65/04/36/660650436.db2.gz QZCCLLJKFRDMFK-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc(Cl)c2C)C1 ZINC001033840997 660668560 /nfs/dbraw/zinc/66/85/60/660668560.db2.gz OOXWYRQXQQXTGG-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001033828616 660668681 /nfs/dbraw/zinc/66/86/81/660668681.db2.gz QMBUFJAIIBHXBX-OAHLLOKOSA-N 0 1 319.449 3.219 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001033828616 660668683 /nfs/dbraw/zinc/66/86/83/660668683.db2.gz QMBUFJAIIBHXBX-OAHLLOKOSA-N 0 1 319.449 3.219 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2c(C)oc3ccccc32)C1 ZINC001033849856 660670665 /nfs/dbraw/zinc/67/06/65/660670665.db2.gz KBVFVLNYMKXDFK-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNCc1nc(C)sc1C ZINC001045151572 660676818 /nfs/dbraw/zinc/67/68/18/660676818.db2.gz NXPUIHHWKVJRRT-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc(CC)s2)C1 ZINC001033889347 660674360 /nfs/dbraw/zinc/67/43/60/660674360.db2.gz XOALSQKRPWNAHZ-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ccc(CC)s2)C1 ZINC001033889347 660674362 /nfs/dbraw/zinc/67/43/62/660674362.db2.gz XOALSQKRPWNAHZ-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(-n3cccc3)c2)C1 ZINC001033888162 660675767 /nfs/dbraw/zinc/67/57/67/660675767.db2.gz QDDXFHUSNSCYGW-LJQANCHMSA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001033917393 660680028 /nfs/dbraw/zinc/68/00/28/660680028.db2.gz VLQDYLWUZNZDTB-MRXNPFEDSA-N 0 1 311.429 3.199 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)cc2Cl)C1 ZINC001033968345 660686558 /nfs/dbraw/zinc/68/65/58/660686558.db2.gz ORZRLZWZRAEFTM-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC(c3cn[nH]c3)CC2)c1 ZINC000929449797 661308467 /nfs/dbraw/zinc/30/84/67/661308467.db2.gz RRAALFQBVUMRQP-UHFFFAOYSA-N 0 1 300.793 3.314 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2scnc2C2CC2)C1 ZINC001033979595 660690181 /nfs/dbraw/zinc/69/01/81/660690181.db2.gz JISZRJIOLIFNRH-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2oc(CCC)nc2C)C1 ZINC001034014234 660693366 /nfs/dbraw/zinc/69/33/66/660693366.db2.gz VCJMKNFLQARECE-OAHLLOKOSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C3CCCC3)no2)C1 ZINC001034043215 660700739 /nfs/dbraw/zinc/70/07/39/660700739.db2.gz ZCWXRDWZXHOMOS-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)nc3ccccc32)C1 ZINC001034031803 660696474 /nfs/dbraw/zinc/69/64/74/660696474.db2.gz GZHMRBQHLUQHLH-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2CCC(C3CC3)CC2)C1 ZINC001034035815 660697702 /nfs/dbraw/zinc/69/77/02/660697702.db2.gz LPNMSNIEEFPUJC-ACBHZAAOSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001034235868 660727581 /nfs/dbraw/zinc/72/75/81/660727581.db2.gz WXKPGMXKERMXRM-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cscc2Cl)C1 ZINC001034267429 660730023 /nfs/dbraw/zinc/73/00/23/660730023.db2.gz HNIBENVJBZAOLV-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001034300599 660734423 /nfs/dbraw/zinc/73/44/23/660734423.db2.gz WQAUZGOGINVBMK-KRWDZBQOSA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccccc2CCC)C1 ZINC001034303359 660734682 /nfs/dbraw/zinc/73/46/82/660734682.db2.gz DXDBQFGHVKBKBP-SFHVURJKSA-N 0 1 312.457 3.247 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001034340322 660739331 /nfs/dbraw/zinc/73/93/31/660739331.db2.gz NMELULPUQPOQIZ-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC001034344285 660740837 /nfs/dbraw/zinc/74/08/37/660740837.db2.gz GTRQGLRIQHESBV-OAHLLOKOSA-N 0 1 318.486 3.020 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCCCN(C[C@@H](F)CC)C2)CC1 ZINC001034381517 660745535 /nfs/dbraw/zinc/74/55/35/660745535.db2.gz NOVJTNGTVVGMNI-DLBZAZTESA-N 0 1 310.457 3.452 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCCCN(C/C=C/Cl)C2)CC1 ZINC001034381143 660745672 /nfs/dbraw/zinc/74/56/72/660745672.db2.gz NCHUGGCVJFBVKE-WJXUGBSRSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC001034381966 660746004 /nfs/dbraw/zinc/74/60/04/660746004.db2.gz OJGGXTXZPUQNQE-MRXNPFEDSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC001034421465 660751763 /nfs/dbraw/zinc/75/17/63/660751763.db2.gz VFFCTDNPAPEFBF-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001034422002 660752213 /nfs/dbraw/zinc/75/22/13/660752213.db2.gz ZPIBJIWFDIFMOZ-HNNXBMFYSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001034507446 660763445 /nfs/dbraw/zinc/76/34/45/660763445.db2.gz JAFJJMHNCRVTMW-WBVHZDCISA-N 0 1 318.848 3.136 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001034516964 660766296 /nfs/dbraw/zinc/76/62/96/660766296.db2.gz HWQSUYAMKOQOLQ-HNNXBMFYSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001034533680 660767587 /nfs/dbraw/zinc/76/75/87/660767587.db2.gz WUOMRLQSETUSHB-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001034536511 660769387 /nfs/dbraw/zinc/76/93/87/660769387.db2.gz BSAKFZAXQBMNJP-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001034543592 660770869 /nfs/dbraw/zinc/77/08/69/660770869.db2.gz YKCBFLQQCNYLSW-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001034543854 660771222 /nfs/dbraw/zinc/77/12/22/660771222.db2.gz BHYXDNDIJGSYGW-HKUYNNGSSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001034544938 660771670 /nfs/dbraw/zinc/77/16/70/660771670.db2.gz VRHVBIYCQDEVSJ-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC001005575349 660780654 /nfs/dbraw/zinc/78/06/54/660780654.db2.gz OIEVDAMUIMOBBM-RTBURBONSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)ccc2C2CC2)CC1 ZINC001005766594 660812918 /nfs/dbraw/zinc/81/29/18/660812918.db2.gz VUMASZIGBYZYRF-UHFFFAOYSA-N 0 1 310.441 3.042 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1[C@@H]3CCC[C@@H]31)CC2 ZINC001035171508 660821120 /nfs/dbraw/zinc/82/11/20/660821120.db2.gz AZAQHRWBZKRNEV-ZSHCYNCHSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@]1(C)C=CCC1)CC2 ZINC001035215478 660830835 /nfs/dbraw/zinc/83/08/35/660830835.db2.gz VKSPSFCZFIZJQY-KRWDZBQOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1CCC1(C)C)CC2 ZINC001035215713 660830852 /nfs/dbraw/zinc/83/08/52/660830852.db2.gz RIMBEJCVFKMEIR-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@]1(C)C=CCC1)CC2 ZINC001035215480 660830467 /nfs/dbraw/zinc/83/04/67/660830467.db2.gz VKSPSFCZFIZJQY-QGZVFWFLSA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3occc3s1)CC2 ZINC001035795990 660852612 /nfs/dbraw/zinc/85/26/12/660852612.db2.gz XCXIXSUKUOWJRZ-UHFFFAOYSA-N 0 1 316.426 3.218 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1[C@H](C=C(C)C)C1(C)C)CC2 ZINC001035746958 660850654 /nfs/dbraw/zinc/85/06/54/660850654.db2.gz FQPIVHPMZWOBAE-IRXDYDNUSA-N 0 1 316.489 3.335 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H]1CCc3ccccc31)CC2 ZINC001035822868 660854094 /nfs/dbraw/zinc/85/40/94/660854094.db2.gz XTGKSLJTCXPTMJ-GOSISDBHSA-N 0 1 324.468 3.217 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CC)CC1CCCC1)CC2 ZINC001035811988 660855543 /nfs/dbraw/zinc/85/55/43/660855543.db2.gz LTNCCNISPBWVDJ-GOSISDBHSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)c1ccccc1)CC2 ZINC001035847328 660855857 /nfs/dbraw/zinc/85/58/57/660855857.db2.gz KFJRIAULOGNTNN-GOSISDBHSA-N 0 1 324.468 3.128 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(F)cc1Cl)CC2 ZINC001035846390 660855979 /nfs/dbraw/zinc/85/59/79/660855979.db2.gz AOUHZQGHLUIGPH-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc3ccccc3c1)CC2 ZINC001035842626 660858005 /nfs/dbraw/zinc/85/80/05/660858005.db2.gz ZLDXHHLDDLTHSZ-UHFFFAOYSA-N 0 1 318.420 3.011 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1cccc(F)c1)CC2 ZINC001035854605 660859999 /nfs/dbraw/zinc/85/99/99/660859999.db2.gz BTUFJHBWSSVMBU-HNNXBMFYSA-N 0 1 316.420 3.040 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1CCC(C)(C)CC1)CC2 ZINC001035860502 660860706 /nfs/dbraw/zinc/86/07/06/660860706.db2.gz PSYLIQBMLZQUEM-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3cccc(C)c3)CC[C@H]21 ZINC001036631508 660933397 /nfs/dbraw/zinc/93/33/97/660933397.db2.gz YHDRETQRXIWGQC-IAGOWNOFSA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cc(C)oc3C)CC[C@@H]21 ZINC001036642577 660935734 /nfs/dbraw/zinc/93/57/34/660935734.db2.gz SGMGEMIOGBMYKU-HOCLYGCPSA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc3c(c2)C=CCC3)CC1 ZINC001006123225 660964828 /nfs/dbraw/zinc/96/48/28/660964828.db2.gz MDQGRSJTCUFWLX-UHFFFAOYSA-N 0 1 322.452 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccoc3CC)CC[C@@H]21 ZINC001036705340 660957713 /nfs/dbraw/zinc/95/77/13/660957713.db2.gz HGGZIIRRCTXEAO-HIFRSBDPSA-N 0 1 322.836 3.131 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC001006277110 660971958 /nfs/dbraw/zinc/97/19/58/660971958.db2.gz ZVWKKLRLNCGUBJ-LBPRGKRZSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cccc(C3CCC3)c2)CC1 ZINC001006291143 660973171 /nfs/dbraw/zinc/97/31/71/660973171.db2.gz ABWLJWCMOIVZBD-UHFFFAOYSA-N 0 1 310.441 3.124 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCCC(C)(C)C2)CC1 ZINC001006293310 660973242 /nfs/dbraw/zinc/97/32/42/660973242.db2.gz GDYVPZOGGGMPPA-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN COc1cc(C=NNc2cccc(Cl)n2)ccc1OCC#N ZINC000793161103 661008781 /nfs/dbraw/zinc/00/87/81/661008781.db2.gz IACQQMCEKJAXRV-UHFFFAOYSA-N 0 1 316.748 3.092 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ncc(CN(C)C)s2)c(O)c1 ZINC000794700834 661099905 /nfs/dbraw/zinc/09/99/05/661099905.db2.gz JQNOVCGHAWXMDW-VOTSOKGWSA-N 0 1 302.399 3.115 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc2n[nH]cc2c1 ZINC000794912477 661113361 /nfs/dbraw/zinc/11/33/61/661113361.db2.gz FXXYQYYUWOLCQJ-UHFFFAOYSA-N 0 1 302.341 3.342 20 30 DGEDMN Fc1cc(N=NC2c3ccccc3CC23CCOCC3)ccn1 ZINC000794928430 661113892 /nfs/dbraw/zinc/11/38/92/661113892.db2.gz GOGUTRWSAXHAAO-UHFFFAOYSA-N 0 1 311.360 3.390 20 30 DGEDMN Clc1ccc(OCC2CC2)c(CN=Nc2cncnc2)c1 ZINC000794976170 661118137 /nfs/dbraw/zinc/11/81/37/661118137.db2.gz FSDGQTICSIPQRZ-UHFFFAOYSA-N 0 1 302.765 3.365 20 30 DGEDMN Fc1ccc(Br)c2c1CCC2N=Nc1cncnc1 ZINC000794978195 661118329 /nfs/dbraw/zinc/11/83/29/661118329.db2.gz JOLKKTHRPPHXDD-UHFFFAOYSA-N 0 1 321.153 3.141 20 30 DGEDMN N#Cc1ccc(CN2CCC(N=Nc3cccc(F)n3)CC2)cc1 ZINC000795008038 661121261 /nfs/dbraw/zinc/12/12/61/661121261.db2.gz SWBQUVHDARLCQD-UHFFFAOYSA-N 0 1 323.375 3.156 20 30 DGEDMN COc1ccc(C=NNc2cccc(F)n2)cc1Br ZINC000795009844 661121443 /nfs/dbraw/zinc/12/14/43/661121443.db2.gz LXDXZLALHLTMNQ-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN COC1(C/N=C/c2cc(Cl)cc(Cl)c2O)CCOCC1 ZINC000160182468 655525274 /nfs/dbraw/zinc/52/52/74/655525274.db2.gz NIVFHBCYFUCREI-CAOOACKPSA-N 0 1 318.200 3.314 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cnc(C2CC2)s1 ZINC000969121121 655525415 /nfs/dbraw/zinc/52/54/15/655525415.db2.gz BYXUVPNWUFDAHF-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC000969125026 655526059 /nfs/dbraw/zinc/52/60/59/655526059.db2.gz XVFKGOPWSXQHHM-KZNAEPCWSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cc2ccccc2[nH]1 ZINC000969140124 655527034 /nfs/dbraw/zinc/52/70/34/655527034.db2.gz YCZMAQGBZHKARR-KRWDZBQOSA-N 0 1 323.440 3.166 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1sccc1CC ZINC000969156570 655529332 /nfs/dbraw/zinc/52/93/32/655529332.db2.gz WWCXTJBZOULXIV-INIZCTEOSA-N 0 1 318.486 3.308 20 30 DGEDMN C=C(C)C[N@H+]1CCCCC[C@H]1CNC(=O)c1ccc(F)s1 ZINC000969164654 655530066 /nfs/dbraw/zinc/53/00/66/655530066.db2.gz MOJZTANKFOOXAJ-ZDUSSCGKSA-N 0 1 310.438 3.438 20 30 DGEDMN C=C(C)CN1CCCCC[C@H]1CNC(=O)c1ccc(F)s1 ZINC000969164654 655530067 /nfs/dbraw/zinc/53/00/67/655530067.db2.gz MOJZTANKFOOXAJ-ZDUSSCGKSA-N 0 1 310.438 3.438 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC000969173429 655530524 /nfs/dbraw/zinc/53/05/24/655530524.db2.gz JNPCWBBUTGLVPT-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc(C(C)C)cc2)CC1 ZINC000949266265 655534849 /nfs/dbraw/zinc/53/48/49/655534849.db2.gz WHKGVAWARBPKEC-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN Cn1cc(/C=C\C(=O)c2ccc(O)c(F)c2)c(-c2ccncc2)n1 ZINC000254944583 655547237 /nfs/dbraw/zinc/54/72/37/655547237.db2.gz MUDGXCYOYNWFIC-ARJAWSKDSA-N 0 1 323.327 3.223 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC000969569871 655551118 /nfs/dbraw/zinc/55/11/18/655551118.db2.gz CLZMMNYTPOPCTA-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC000969807064 655558091 /nfs/dbraw/zinc/55/80/91/655558091.db2.gz XWNJYDWZZWXNSO-CYBMUJFWSA-N 0 1 312.388 3.215 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC000969945278 655565047 /nfs/dbraw/zinc/56/50/47/655565047.db2.gz UVJOLNUIIMWPRR-CGTJXYLNSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc3c(C)c(C)[nH]c32)C1 ZINC000969986012 655566858 /nfs/dbraw/zinc/56/68/58/655566858.db2.gz VAGTWNJDYRORAU-AWEZNQCLSA-N 0 1 311.429 3.021 20 30 DGEDMN COc1ccc(O)c(/C=N\C[C@H](c2cccs2)N(C)C)c1 ZINC000255046397 655572231 /nfs/dbraw/zinc/57/22/31/655572231.db2.gz FYYPKQOZGPNOOX-AYCSXLNKSA-N 0 1 304.415 3.184 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(CCCC)cc2)C1 ZINC000970099190 655574789 /nfs/dbraw/zinc/57/47/89/655574789.db2.gz BOFLNOKLAYPCIC-INIZCTEOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2sc3ccccc3c2C)C1 ZINC000970099138 655574910 /nfs/dbraw/zinc/57/49/10/655574910.db2.gz AZPXQYRUSBBNEF-CYBMUJFWSA-N 0 1 314.454 3.446 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC000970271548 655591253 /nfs/dbraw/zinc/59/12/53/655591253.db2.gz RUFNPBQAJZQHPM-CYBMUJFWSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC000970323279 655594067 /nfs/dbraw/zinc/59/40/67/655594067.db2.gz JVRBJAAVOHEGOC-CYZMBNFOSA-N 0 1 324.877 3.128 20 30 DGEDMN CCOc1cc(/C=C\C(=O)c2ccc(OC)cc2O)ccc1O ZINC000255131220 655608013 /nfs/dbraw/zinc/60/80/13/655608013.db2.gz YXPNELRYUSHJOX-YWEYNIOJSA-N 0 1 314.337 3.401 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3cccc(F)c3o2)C1 ZINC000970760250 655617393 /nfs/dbraw/zinc/61/73/93/655617393.db2.gz FTRANNFCQLCGLM-GFCCVEGCSA-N 0 1 322.767 3.129 20 30 DGEDMN CCOc1ccc(O)c(/C=N\CCN2CCc3ccccc3C2)c1 ZINC000255165727 655618609 /nfs/dbraw/zinc/61/86/09/655618609.db2.gz OPGBVBSCIYMYLG-STZFKDTASA-N 0 1 324.424 3.268 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC000970791754 655620867 /nfs/dbraw/zinc/62/08/67/655620867.db2.gz VKNINNCRDSOFEX-SNVBAGLBSA-N 0 1 313.228 3.230 20 30 DGEDMN C[C@]1(c2ccccc2)CCCN(Cn2cccc(C#N)c2=O)CC1 ZINC000795671144 661166622 /nfs/dbraw/zinc/16/66/22/661166622.db2.gz KFPKLVOQNWMLAP-FQEVSTJZSA-N 0 1 321.424 3.121 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)C2=CCCC2)C1 ZINC001021560873 655632913 /nfs/dbraw/zinc/63/29/13/655632913.db2.gz AANIKNNZRKLNEU-HZPDHXFCSA-N 0 1 308.853 3.162 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CC)c(CC)c2)C1 ZINC000970901412 655634524 /nfs/dbraw/zinc/63/45/24/655634524.db2.gz MPPMKVQOLPKYFJ-QGZVFWFLSA-N 0 1 320.864 3.368 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c(Cl)nc3ccccn32)n1 ZINC000048786860 655636204 /nfs/dbraw/zinc/63/62/04/655636204.db2.gz LEPSBMXUVLMDMZ-VIFPVBQESA-N 0 1 316.773 3.243 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC000970988297 655647701 /nfs/dbraw/zinc/64/77/01/655647701.db2.gz JYTSOSZHPASZBO-GFCCVEGCSA-N 0 1 308.372 3.034 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cscc2C(F)(F)F)C1 ZINC000970995332 655648070 /nfs/dbraw/zinc/64/80/70/655648070.db2.gz BUFZRVPDJGUULN-SECBINFHSA-N 0 1 318.364 3.003 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC000970997122 655648415 /nfs/dbraw/zinc/64/84/15/655648415.db2.gz QYFPZLNURTXXNC-INIZCTEOSA-N 0 1 322.452 3.327 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2cc(C)cc(C)c2)C1 ZINC001021718653 655663232 /nfs/dbraw/zinc/66/32/32/655663232.db2.gz HJLOBTZIENDOST-UYAOXDASSA-N 0 1 324.468 3.253 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3sccc3s2)C[C@@H]1C ZINC000947449460 655697741 /nfs/dbraw/zinc/69/77/41/655697741.db2.gz CYTJQHVPSYWHRT-NWDGAFQWSA-N 0 1 318.467 3.179 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CC3CC4(CCC4)C3)CC2)cc1 ZINC000949597488 655744508 /nfs/dbraw/zinc/74/45/08/655744508.db2.gz YYSKDFRWTBHNEH-UHFFFAOYSA-N 0 1 322.452 3.006 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC000972073500 655761994 /nfs/dbraw/zinc/76/19/94/655761994.db2.gz SJSDYXMZMHQRAY-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2cncc(C)c2)C1 ZINC000972248207 655782642 /nfs/dbraw/zinc/78/26/42/655782642.db2.gz XJVIPZAOSWNGBA-HKUYNNGSSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN1CCC[C@H](CNC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001023705980 655856549 /nfs/dbraw/zinc/85/65/49/655856549.db2.gz ZJVZBEAZQXKKEJ-MRXNPFEDSA-N 0 1 324.424 3.125 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)C3CCC3)CC[C@@H]21 ZINC001036780797 661200237 /nfs/dbraw/zinc/20/02/37/661200237.db2.gz HXVSIKQOJJGZKN-HOCLYGCPSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C)CCCC3)CC[C@@H]21 ZINC001036783488 661200697 /nfs/dbraw/zinc/20/06/97/661200697.db2.gz ZSGDYEALUVOXDF-GJZGRUSLSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3(C)CCCC3)CC[C@H]21 ZINC001036783490 661200866 /nfs/dbraw/zinc/20/08/66/661200866.db2.gz ZSGDYEALUVOXDF-LSDHHAIUSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001024453307 655906517 /nfs/dbraw/zinc/90/65/17/655906517.db2.gz HOPBVBKFUAIBNA-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCCCN1C[C@H](F)CC ZINC001024479891 655909907 /nfs/dbraw/zinc/90/99/07/655909907.db2.gz SLCSQYXYGJTAFF-CVEARBPZSA-N 0 1 321.440 3.007 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC=CCCC1 ZINC001024505455 655911552 /nfs/dbraw/zinc/91/15/52/655911552.db2.gz DGHVGTNIYYNMAS-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001024510358 655911896 /nfs/dbraw/zinc/91/18/96/655911896.db2.gz KFJRUPZLKGOOTP-HRCADAONSA-N 0 1 304.459 3.008 20 30 DGEDMN COc1ccc(Cl)cc1C(=O)Nc1cc(C#N)ccc1O ZINC000179736226 655912467 /nfs/dbraw/zinc/91/24/67/655912467.db2.gz DWYHZHIYSOCIEV-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2c(F)c(F)cc(F)c2F)c1 ZINC000179733282 655912714 /nfs/dbraw/zinc/91/27/14/655912714.db2.gz RFGNSTCJODSTIG-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1coc2cc(F)ccc12 ZINC001024517969 655913037 /nfs/dbraw/zinc/91/30/37/655913037.db2.gz DRGZWQKUNOTWCO-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@H](C)C2)cc1 ZINC000947611237 655913848 /nfs/dbraw/zinc/91/38/48/655913848.db2.gz IQBPWIGWULRYTO-XDSRPSOSSA-N 0 1 316.832 3.003 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnc2ccccc2c1C ZINC001024558540 655916531 /nfs/dbraw/zinc/91/65/31/655916531.db2.gz KEJNXQSYPJMGFR-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@H]1CNC(=O)c1cc[nH]c1CCC ZINC001024615388 655924164 /nfs/dbraw/zinc/92/41/64/655924164.db2.gz RQXNXXMUUJXIHU-HNNXBMFYSA-N 0 1 303.450 3.128 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001024676260 655929052 /nfs/dbraw/zinc/92/90/52/655929052.db2.gz SZPYUKABJKOHGR-INIZCTEOSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc(Cl)cs1 ZINC001024692541 655931213 /nfs/dbraw/zinc/93/12/13/655931213.db2.gz OBXRLMASSQEXBN-CYBMUJFWSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(OC)cc1Cl ZINC001024714004 655933265 /nfs/dbraw/zinc/93/32/65/655933265.db2.gz RBDZXVZYXYQMGN-ZDUSSCGKSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001024775877 655937987 /nfs/dbraw/zinc/93/79/87/655937987.db2.gz KWHDQTZTPBDRCI-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc2ccccc2c1 ZINC001024775884 655938033 /nfs/dbraw/zinc/93/80/33/655938033.db2.gz LBPLEMIUNWVOQL-LJQANCHMSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccccc1C1CCC1 ZINC001024782159 655938342 /nfs/dbraw/zinc/93/83/42/655938342.db2.gz HLJSXUQQOSTDEB-QGZVFWFLSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(OC)c(Cl)c1 ZINC001024791514 655938526 /nfs/dbraw/zinc/93/85/26/655938526.db2.gz BTEHJDPSNFCAIR-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc2ccccc21 ZINC001024786156 655938830 /nfs/dbraw/zinc/93/88/30/655938830.db2.gz ZSFATFQRUHXXPN-KRWDZBQOSA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001024790434 655939584 /nfs/dbraw/zinc/93/95/84/655939584.db2.gz IPQUGIIZRLTUHP-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001024790571 655939809 /nfs/dbraw/zinc/93/98/09/655939809.db2.gz MSFTXUWHTBGGLK-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(Cl)cccc1OC ZINC001024810473 655941141 /nfs/dbraw/zinc/94/11/41/655941141.db2.gz FNQNIXJMYAZPHO-CYBMUJFWSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)C)s1 ZINC001024819252 655941443 /nfs/dbraw/zinc/94/14/43/655941443.db2.gz NDZSBAWYVPLPCA-HNNXBMFYSA-N 0 1 318.486 3.479 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001025069309 655963638 /nfs/dbraw/zinc/96/36/38/655963638.db2.gz HPSFGVOLEONVNS-QAPCUYQASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1CCC1 ZINC001025190610 655974519 /nfs/dbraw/zinc/97/45/19/655974519.db2.gz YJWIMPPQJPSWMJ-HZPDHXFCSA-N 0 1 310.869 3.385 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001025234572 655982935 /nfs/dbraw/zinc/98/29/35/655982935.db2.gz JAPKIHMFWZCDHZ-CVEARBPZSA-N 0 1 321.852 3.226 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C2C=CC=CC=C2)C1 ZINC001025429231 656011010 /nfs/dbraw/zinc/01/10/10/656011010.db2.gz AFIUQYKTRWJSFB-NVXWUHKLSA-N 0 1 320.864 3.254 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001008312904 656140597 /nfs/dbraw/zinc/14/05/97/656140597.db2.gz LBHBALBBRRHRJF-YNEHKIRRSA-N 0 1 324.877 3.272 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)oc3ccccc32)C(C)(C)C1 ZINC000974622020 656217560 /nfs/dbraw/zinc/21/75/60/656217560.db2.gz NWLAONAHRILDIA-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1C[N@H+](CC=C(C)C)CC1(C)C ZINC000974678482 656221735 /nfs/dbraw/zinc/22/17/35/656221735.db2.gz BNRPWUDTFSDGRE-QGZVFWFLSA-N 0 1 315.461 3.081 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC000847021401 661238800 /nfs/dbraw/zinc/23/88/00/661238800.db2.gz LOOLGNFFHPVFRJ-MRXNPFEDSA-N 0 1 305.425 3.206 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C(C)(C)C1 ZINC000974759710 656227456 /nfs/dbraw/zinc/22/74/56/656227456.db2.gz WMZZLHHDNNVCOO-QRVBRYPASA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000974807765 656230588 /nfs/dbraw/zinc/23/05/88/656230588.db2.gz MDMAVTYDTYICTD-MOPGFXCFSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ccc(C)c(F)c2)C(C)(C)C1 ZINC000974805059 656230603 /nfs/dbraw/zinc/23/06/03/656230603.db2.gz CIIRGCJIBRTBJP-MRXNPFEDSA-N 0 1 304.409 3.150 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(CC)s2)C(C)(C)C1 ZINC000974800560 656231700 /nfs/dbraw/zinc/23/17/00/656231700.db2.gz WAJKGNKHMMRYIE-HNNXBMFYSA-N 0 1 306.475 3.327 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000975010272 656249696 /nfs/dbraw/zinc/24/96/96/656249696.db2.gz IIJTXOJGAGFTEU-HNNXBMFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2cccc(C)c2)C(C)(C)C1 ZINC000975082077 656254347 /nfs/dbraw/zinc/25/43/47/656254347.db2.gz IKSKGJHTDPGLMH-RDJZCZTQSA-N 0 1 300.446 3.111 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(F)c3ccccc23)C(C)(C)C1 ZINC000975083799 656255363 /nfs/dbraw/zinc/25/53/63/656255363.db2.gz AJUXWANLJNCMBN-GOSISDBHSA-N 0 1 324.399 3.052 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(OCC)c2)C(C)(C)C1 ZINC000975109921 656257007 /nfs/dbraw/zinc/25/70/07/656257007.db2.gz MWSUZDVYXQQCHH-QGZVFWFLSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2scnc2C2CC2)C(C)(C)C1 ZINC000977272413 656319927 /nfs/dbraw/zinc/31/99/27/656319927.db2.gz JIZGCZKBGPCAKZ-CYBMUJFWSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(C(C)C)nc2C)C(C)(C)C1 ZINC000977284540 656320389 /nfs/dbraw/zinc/32/03/89/656320389.db2.gz LULGFXHZJDCVIH-CQSZACIVSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C(C)(C)C1 ZINC000977312347 656321479 /nfs/dbraw/zinc/32/14/79/656321479.db2.gz VUHMRQZEPMLIMW-UWVAXJGDSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000950000640 656327007 /nfs/dbraw/zinc/32/70/07/656327007.db2.gz QTTJUFFJHOKHBZ-CQSZACIVSA-N 0 1 306.837 3.164 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2cnccc2C)C1 ZINC001027521563 656328771 /nfs/dbraw/zinc/32/87/71/656328771.db2.gz GOHBKFVATNZPQM-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2CCC)C(C)(C)C1 ZINC000977466362 656333726 /nfs/dbraw/zinc/33/37/26/656333726.db2.gz SDOOPDXQMOQYKW-MRXNPFEDSA-N 0 1 304.434 3.248 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000977530616 656339676 /nfs/dbraw/zinc/33/96/76/656339676.db2.gz KCJHYESALFYTSB-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2C2CCC2)C(C)(C)C1 ZINC000977542529 656340594 /nfs/dbraw/zinc/34/05/94/656340594.db2.gz WOOXFRMKSAARLS-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3ccccc3o2)C(C)(C)C1 ZINC000977560955 656342780 /nfs/dbraw/zinc/34/27/80/656342780.db2.gz YMTDOFQYULOARU-QGZVFWFLSA-N 0 1 312.413 3.449 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3ccccc3o2)C(C)(C)C1 ZINC000977560947 656342829 /nfs/dbraw/zinc/34/28/29/656342829.db2.gz YMTDOFQYULOARU-KRWDZBQOSA-N 0 1 312.413 3.449 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(Cl)s2)C(C)(C)C1 ZINC000977590120 656346264 /nfs/dbraw/zinc/34/62/64/656346264.db2.gz ZETDKPSTHBICRQ-GFCCVEGCSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C(C)(C)C1 ZINC000977608284 656347611 /nfs/dbraw/zinc/34/76/11/656347611.db2.gz WNJLGWOKOSGLHZ-BBRMVZONSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cccc(C)c3o2)C(C)(C)C1 ZINC000977633871 656348633 /nfs/dbraw/zinc/34/86/33/656348633.db2.gz FWCVPICBWXGULD-INIZCTEOSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CC[N@H+]1C[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657263 656353535 /nfs/dbraw/zinc/35/35/35/656353535.db2.gz YIFFARGMGWTRCE-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977657263 656353537 /nfs/dbraw/zinc/35/35/37/656353537.db2.gz YIFFARGMGWTRCE-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCC[N@H+]1C[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977659573 656353805 /nfs/dbraw/zinc/35/38/05/656353805.db2.gz CDVLVPWNBLVMNX-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccccc2C2CCC2)CC1 ZINC001006354485 661257530 /nfs/dbraw/zinc/25/75/30/661257530.db2.gz XQCWPFKOAIEAJG-UHFFFAOYSA-N 0 1 310.441 3.124 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C2(c3ccccc3)CCCC2)CC1 ZINC001006371585 661258297 /nfs/dbraw/zinc/25/82/97/661258297.db2.gz GCANFMYSKOMDDT-UHFFFAOYSA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(C)c(CC)s2)CC1 ZINC001006378669 661258807 /nfs/dbraw/zinc/25/88/07/661258807.db2.gz WYZHQYRYFZENCJ-UHFFFAOYSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2c(C)cncc2C)CC1 ZINC001052557061 656444270 /nfs/dbraw/zinc/44/42/70/656444270.db2.gz HNSVNRKUUGAGEV-HNNXBMFYSA-N 0 1 321.852 3.035 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@H]2CC=CCC2)CC1 ZINC001052624620 656450806 /nfs/dbraw/zinc/45/08/06/656450806.db2.gz LWJXPHCRIBRSJU-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1ccoc1C(F)(F)F ZINC001027907799 656478704 /nfs/dbraw/zinc/47/87/04/656478704.db2.gz FBIALYURSYDMCJ-NSHDSACASA-N 0 1 316.323 3.069 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)s1 ZINC001039323190 656483424 /nfs/dbraw/zinc/48/34/24/656483424.db2.gz FAMQVIQYRFQDLI-CABCVRRESA-N 0 1 304.459 3.312 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ccco1)C2 ZINC001048497867 656502083 /nfs/dbraw/zinc/50/20/83/656502083.db2.gz XHQDFCXUIPHEQU-BTTYYORXSA-N 0 1 308.809 3.005 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cccc(C)c1 ZINC001039444371 656502855 /nfs/dbraw/zinc/50/28/55/656502855.db2.gz BZMHMJYJCFNRHA-UHOSZYNNSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)cc1Cl ZINC001039466638 656508764 /nfs/dbraw/zinc/50/87/64/656508764.db2.gz WXJDKNQOLRSTGC-KGLIPLIRSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCC(C)(C)CC1 ZINC001039476017 656511585 /nfs/dbraw/zinc/51/15/85/656511585.db2.gz VPTIYNLOFBCROB-SJORKVTESA-N 0 1 304.478 3.454 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC000980550064 656523049 /nfs/dbraw/zinc/52/30/49/656523049.db2.gz JZNCCSRBVGTBKV-HTWSVDAQSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(C)CCCCC3)C[C@@H]2C1 ZINC001049027098 656555212 /nfs/dbraw/zinc/55/52/12/656555212.db2.gz KASMXJDUVMCQFP-GASCZTMLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3cccs3)C2)CC1 ZINC001040587351 656598362 /nfs/dbraw/zinc/59/83/62/656598362.db2.gz WOHSCOGQENWZRE-UHFFFAOYSA-N 0 1 324.877 3.429 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C=C(\C)C3CC3)C2)CC1 ZINC001040621236 656599609 /nfs/dbraw/zinc/59/96/09/656599609.db2.gz NWISWDKUUJOOOR-SDNWHVSQSA-N 0 1 322.880 3.410 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1CCCCCCC1 ZINC001049586387 656645768 /nfs/dbraw/zinc/64/57/68/656645768.db2.gz SHMSKGJUMMCXFF-ROUUACIJSA-N 0 1 302.462 3.045 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC001028049336 656646876 /nfs/dbraw/zinc/64/68/76/656646876.db2.gz HBDZNEJKRLDBNS-KRWDZBQOSA-N 0 1 310.441 3.090 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)ccc1C1CC1 ZINC001049612824 656650199 /nfs/dbraw/zinc/65/01/99/656650199.db2.gz CMXPOKVTPZHFTA-WOJBJXKFSA-N 0 1 322.452 3.185 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001041536832 656652211 /nfs/dbraw/zinc/65/22/11/656652211.db2.gz RQUTZJHYZZSDIJ-ZGRWHYIRSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C[C@@H](F)CC ZINC001049632769 656652860 /nfs/dbraw/zinc/65/28/60/656652860.db2.gz BAVAEOLCICPMAN-ARFHVFGLSA-N 0 1 310.457 3.402 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc2c(cc(C)cc2C)[nH]1 ZINC001028054382 656654243 /nfs/dbraw/zinc/65/42/43/656654243.db2.gz XBSMCZADTQUHSF-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662580 656660031 /nfs/dbraw/zinc/66/00/31/656660031.db2.gz HUVSIPNRGWFZGO-SJORKVTESA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028072548 656663390 /nfs/dbraw/zinc/66/33/90/656663390.db2.gz HBDOFKICJDXHTA-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028072548 656663393 /nfs/dbraw/zinc/66/33/93/656663393.db2.gz HBDOFKICJDXHTA-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@H]2CCN3C/C=C\Cl)C1 ZINC001049704608 656671287 /nfs/dbraw/zinc/67/12/87/656671287.db2.gz YRZAKPOFTLMKIZ-DGPIVGRDSA-N 0 1 308.853 3.161 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CCc1ccccc1C ZINC001049750684 656678956 /nfs/dbraw/zinc/67/89/56/656678956.db2.gz ZTKCBIUCVWHHHS-UXHICEINSA-N 0 1 324.468 3.016 20 30 DGEDMN CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CC1CCCCCC1 ZINC001049750968 656679234 /nfs/dbraw/zinc/67/92/34/656679234.db2.gz ATKJTIXCOFJDKL-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](c1ccccc1)C(C)C ZINC001049753934 656679985 /nfs/dbraw/zinc/67/99/85/656679985.db2.gz LZWMNJYRQRMBAF-ZCNNSNEGSA-N 0 1 324.468 3.125 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001049763164 656683090 /nfs/dbraw/zinc/68/30/90/656683090.db2.gz UQUCDWHNODQGQT-CLWJZODNSA-N 0 1 316.489 3.147 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc(C(C)C)c1 ZINC001049827568 656693149 /nfs/dbraw/zinc/69/31/49/656693149.db2.gz WUFWKOZDVXQYCX-OALUTQOASA-N 0 1 310.441 3.122 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(Cl)cc1 ZINC001049854572 656699713 /nfs/dbraw/zinc/69/97/13/656699713.db2.gz NVQQATICWHCEOY-DLBZAZTESA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2ccccc21 ZINC001049872145 656707064 /nfs/dbraw/zinc/70/70/64/656707064.db2.gz QFAUPQGJDKFCSZ-UXHICEINSA-N 0 1 318.420 3.152 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049873667 656708076 /nfs/dbraw/zinc/70/80/76/656708076.db2.gz XZWMJAMQOGMVMM-VXGBXAGGSA-N 0 1 310.850 3.266 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001049904855 656717542 /nfs/dbraw/zinc/71/75/42/656717542.db2.gz SNEULUUDPGIHPB-GGPKGHCWSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3scc(C)c3Cl)C[C@@H]21 ZINC001042075538 656719550 /nfs/dbraw/zinc/71/95/50/656719550.db2.gz DANWTMDDYTVZJR-OLZOCXBDSA-N 0 1 324.877 3.432 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(CC)CCCCC1 ZINC001049912958 656720928 /nfs/dbraw/zinc/72/09/28/656720928.db2.gz RKFZTYAJJQKJTF-QZTJIDSGSA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C)CCC(C)CC1 ZINC001049924890 656724696 /nfs/dbraw/zinc/72/46/96/656724696.db2.gz UUPXPNHRFXZJOW-SFANZJOMSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(-n3cccc3)cc2)CC1 ZINC000981566814 656725238 /nfs/dbraw/zinc/72/52/38/656725238.db2.gz IXZNOUJPKPYHMY-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc([C@@H]3C[C@H]3C)o2)CC1 ZINC000981586904 656726383 /nfs/dbraw/zinc/72/63/83/656726383.db2.gz MIMMAZOCNZNGPX-NVXWUHKLSA-N 0 1 316.445 3.056 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H]3CCN(C/C=C\Cl)[C@H]3C2)CC1 ZINC001042216283 656738240 /nfs/dbraw/zinc/73/82/40/656738240.db2.gz NSXVGDNSCUGCHW-RFIQORGWSA-N 0 1 322.880 3.408 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)C3CCCCC3)C[C@H]21 ZINC001042262168 656746758 /nfs/dbraw/zinc/74/67/58/656746758.db2.gz HBXPXXMIXGPREW-SJLPKXTDSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccc(C(C)(C)C)c3)C[C@@H]21 ZINC001042282590 656747356 /nfs/dbraw/zinc/74/73/56/656747356.db2.gz FMSBRRNQMLVPRP-LPHOPBHVSA-N 0 1 324.468 3.154 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(C4CC4)s3)C[C@H]21 ZINC001042314230 656750369 /nfs/dbraw/zinc/75/03/69/656750369.db2.gz YTFLWTNNWLNAAA-UKRRQHHQSA-N 0 1 316.470 3.348 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)C2(c3cccc(C)c3)CCC2)CC1 ZINC000981727523 656755564 /nfs/dbraw/zinc/75/55/64/656755564.db2.gz WNTYZRFTZHIYOX-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3cccc(C)c3)CCC2)CC1 ZINC000981727523 656755568 /nfs/dbraw/zinc/75/55/68/656755568.db2.gz WNTYZRFTZHIYOX-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(Cl)s3)C[C@@H]21 ZINC001042334841 656755590 /nfs/dbraw/zinc/75/55/90/656755590.db2.gz WQZIMAKXMIDTGR-NEPJUHHUSA-N 0 1 310.850 3.124 20 30 DGEDMN CCc1onc(C)c1CN1CCCN(c2ccccc2C#N)CC1 ZINC000929262162 661292505 /nfs/dbraw/zinc/29/25/05/661292505.db2.gz FGABIABOTDGQGA-UHFFFAOYSA-N 0 1 324.428 3.129 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccccc3CC(C)C)C[C@@H]21 ZINC001042376044 656768547 /nfs/dbraw/zinc/76/85/47/656768547.db2.gz NSFNJRYUMGADRD-XLIONFOSSA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc2c(c1)CCC(=O)N2 ZINC000090117815 656791054 /nfs/dbraw/zinc/79/10/54/656791054.db2.gz CWARCURZRJJOIJ-LBPRGKRZSA-N 0 1 323.371 3.433 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001042716764 656794652 /nfs/dbraw/zinc/79/46/52/656794652.db2.gz AWIPWUZUBCSZIH-HZPDHXFCSA-N 0 1 318.486 3.269 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCN(Cc3ccc(C)cc3)CC2)C1 ZINC000981918111 656801690 /nfs/dbraw/zinc/80/16/90/656801690.db2.gz PVEOKLGVSMMXTN-UHFFFAOYSA-N 0 1 312.457 3.386 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H]2CCCN(C(=O)CCC)C2)C1 ZINC001052999222 656805979 /nfs/dbraw/zinc/80/59/79/656805979.db2.gz IXGRRJLBMYHDAI-JKSUJKDBSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(F)c2ccccc12 ZINC001028153862 656808476 /nfs/dbraw/zinc/80/84/76/656808476.db2.gz BTTZLTRHHMKIRM-HNNXBMFYSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC001053004770 656814085 /nfs/dbraw/zinc/81/40/85/656814085.db2.gz ZILYDDYNZAIGLB-SJORKVTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC001053004767 656815025 /nfs/dbraw/zinc/81/50/25/656815025.db2.gz ZILYDDYNZAIGLB-IAGOWNOFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001028162425 656823001 /nfs/dbraw/zinc/82/30/01/656823001.db2.gz HVNSXEXSLYRRLI-BDXSIMOUSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001028162427 656823088 /nfs/dbraw/zinc/82/30/88/656823088.db2.gz HVNSXEXSLYRRLI-IKGGRYGDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc(C(CC)CC)no1 ZINC001028187245 656848019 /nfs/dbraw/zinc/84/80/19/656848019.db2.gz GHTHREROZURNJH-OAHLLOKOSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(F)cc2Cl)C1 ZINC001043745787 656852841 /nfs/dbraw/zinc/85/28/41/656852841.db2.gz WKFRYCXFXHWCTF-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(C(F)F)cc2)C1 ZINC001044054178 656876194 /nfs/dbraw/zinc/87/61/94/656876194.db2.gz MMSKUUYBEMCMTD-UHFFFAOYSA-N 0 1 308.372 3.347 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2cccc(C)c2)CC1 ZINC000982046977 656881879 /nfs/dbraw/zinc/88/18/79/656881879.db2.gz KIKVAPNMIYMSFO-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](C)CC3CCCCC3)C2)C1 ZINC000982046844 656883256 /nfs/dbraw/zinc/88/32/56/656883256.db2.gz RWCVBUGXGBQUIF-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(Cl)c(OC)c2)CC1 ZINC000982083809 656884968 /nfs/dbraw/zinc/88/49/68/656884968.db2.gz BCGWMMXUJUXTEG-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3C[C@]3(CC)C(C)C)C2)C1 ZINC000982098056 656893073 /nfs/dbraw/zinc/89/30/73/656893073.db2.gz PHEHHQGKRXBZEL-YLJYHZDGSA-N 0 1 316.489 3.006 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3cccc(C4CCC4)c3)C2)C1 ZINC000982117119 656897677 /nfs/dbraw/zinc/89/76/77/656897677.db2.gz ZJQCFRZLTWCJQI-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C23CCC(CC2)C3(C)C)CC1 ZINC000982117575 656898648 /nfs/dbraw/zinc/89/86/48/656898648.db2.gz BKPAFNPEBPDCOA-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cccc3ccccc32)C1 ZINC001044219947 656898771 /nfs/dbraw/zinc/89/87/71/656898771.db2.gz WFMPQQNYZLKEQV-UHFFFAOYSA-N 0 1 322.452 3.491 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1CCCC1)C2 ZINC001054069089 656901205 /nfs/dbraw/zinc/90/12/05/656901205.db2.gz JSPIZYKGYUIJMN-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc3ccccc3c2)C1 ZINC001044276598 656905716 /nfs/dbraw/zinc/90/57/16/656905716.db2.gz FKKKVENVHAYFAY-UHFFFAOYSA-N 0 1 322.452 3.491 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001044292569 656906514 /nfs/dbraw/zinc/90/65/14/656906514.db2.gz WAPQSFPIZMDEMM-UHFFFAOYSA-N 0 1 309.413 3.014 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001044298259 656906880 /nfs/dbraw/zinc/90/68/80/656906880.db2.gz RQAZXLGUEGKJRK-UHFFFAOYSA-N 0 1 323.440 3.404 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccccc3CC(C)C)C2)C1 ZINC000982169002 656910313 /nfs/dbraw/zinc/91/03/13/656910313.db2.gz KTDZYBHNIFBULA-UHFFFAOYSA-N 0 1 324.468 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C=C\C1CC1)C2 ZINC001054105945 656910903 /nfs/dbraw/zinc/91/09/03/656910903.db2.gz HCOLEASCIRLFDI-KEGWNNHHSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CC1CCC1)C2 ZINC001054109361 656911717 /nfs/dbraw/zinc/91/17/17/656911717.db2.gz LWJPZHBVKLJYJI-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)c1[nH]ccc1C)C2 ZINC001054125965 656916369 /nfs/dbraw/zinc/91/63/69/656916369.db2.gz VXUSFKZCDFLHRP-KRWDZBQOSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@]1(C)C=CCC1)C2 ZINC001054180822 656927170 /nfs/dbraw/zinc/92/71/70/656927170.db2.gz YBFVFLSCUOJWEZ-QZTJIDSGSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001054182956 656928847 /nfs/dbraw/zinc/92/88/47/656928847.db2.gz UXDWBWOJDWXDAO-MUQADHOPSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC000982266204 656929451 /nfs/dbraw/zinc/92/94/51/656929451.db2.gz ZGPSQSXCLGOQOH-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H]1CC13CCC3)C2 ZINC001054205526 656937663 /nfs/dbraw/zinc/93/76/63/656937663.db2.gz SSVDRDGHONUFHZ-WBVHZDCISA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001054212693 656939978 /nfs/dbraw/zinc/93/99/78/656939978.db2.gz LTVQAQJKMUUMCM-JZXOWHBKSA-N 0 1 322.880 3.100 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc(C2CCC2)c1 ZINC001028265317 656953007 /nfs/dbraw/zinc/95/30/07/656953007.db2.gz YLFLJSRVRGOMMN-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cncc(C)c3)cc2C1 ZINC001054260340 656953265 /nfs/dbraw/zinc/95/32/65/656953265.db2.gz KXOAZIZYTUSECX-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C34CCC(CC3)C4)cc2C1 ZINC001054270346 656955868 /nfs/dbraw/zinc/95/58/68/656955868.db2.gz LJVWCKKESQYSPD-UHFFFAOYSA-N 0 1 322.452 3.222 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3CC[C@@H](F)C3)cc2C1 ZINC001054279114 656959444 /nfs/dbraw/zinc/95/94/44/656959444.db2.gz UYRHITUVLLQGOC-MAUKXSAKSA-N 0 1 316.420 3.333 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3C[C@@]3(C)CC)cc2C1 ZINC001054287717 656962169 /nfs/dbraw/zinc/96/21/69/656962169.db2.gz YWPNONQIPCUNIX-UYAOXDASSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001028280416 656968284 /nfs/dbraw/zinc/96/82/84/656968284.db2.gz MIQCYJIPROGZQE-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1coc2ccc(Cl)cc12 ZINC001028290035 656974612 /nfs/dbraw/zinc/97/46/12/656974612.db2.gz HNXHMPKIKOAFEH-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CCC(CCCC)CC1 ZINC001028304656 656986101 /nfs/dbraw/zinc/98/61/01/656986101.db2.gz WIINHZXBULQSKQ-DAWZGUTISA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2c(s1)CC[C@@H](C)C2 ZINC001028307237 656989119 /nfs/dbraw/zinc/98/91/19/656989119.db2.gz YLNPHCUOBJZRDG-UKRRQHHQSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001028313162 656990908 /nfs/dbraw/zinc/99/09/08/656990908.db2.gz VURDJWXASUOCGI-CQSZACIVSA-N 0 1 321.490 3.345 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c2ccccc2oc1CC ZINC001028338271 656996931 /nfs/dbraw/zinc/99/69/31/656996931.db2.gz XGVAHLJOYUAHQK-OAHLLOKOSA-N 0 1 324.424 3.213 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc3occc3c2)C[C@H]1C ZINC001054685494 657000577 /nfs/dbraw/zinc/00/05/77/657000577.db2.gz CJGRDYKUMCPARI-IAQYHMDHSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CC)s2)C[C@@H]1C ZINC001054879494 657017460 /nfs/dbraw/zinc/01/74/60/657017460.db2.gz QTBNNWLINLVVPW-GXFFZTMASA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c(C)oc(C)c2C)C[C@@H]1C ZINC001054879349 657017605 /nfs/dbraw/zinc/01/76/05/657017605.db2.gz IHAMPCLFTODUFS-XPTSAGLGSA-N 0 1 310.825 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2occc2C(C)C)C[C@H]1C ZINC001055002241 657030494 /nfs/dbraw/zinc/03/04/94/657030494.db2.gz UKMHNNDFBXHEAX-BXUZGUMPSA-N 0 1 310.825 3.206 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC001045521112 657032405 /nfs/dbraw/zinc/03/24/05/657032405.db2.gz LRZALCQDVRHZHY-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC001045521294 657032646 /nfs/dbraw/zinc/03/26/46/657032646.db2.gz UCMUVRGCTLSFOA-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2oc3ccccc3c2C)CC1 ZINC001045635142 657046985 /nfs/dbraw/zinc/04/69/85/657046985.db2.gz SIZDFGUNNFYKEU-UHFFFAOYSA-N 0 1 324.424 3.349 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc(OCC#N)cc1 ZINC000178789162 657053189 /nfs/dbraw/zinc/05/31/89/657053189.db2.gz TYMZDJPOZMBVAD-ZDUSSCGKSA-N 0 1 309.344 3.451 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)c1cc[nH]c1)C2 ZINC001045940045 657100901 /nfs/dbraw/zinc/10/09/01/657100901.db2.gz OPTBTUHDNQYONY-KRWDZBQOSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2CCC(F)(F)CC2)C1 ZINC001000300052 657110125 /nfs/dbraw/zinc/11/01/25/657110125.db2.gz VFPXLLPBZLOSEE-ZDUSSCGKSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2ccc(CC)cc2)C1 ZINC001000327095 657113827 /nfs/dbraw/zinc/11/38/27/657113827.db2.gz DQWNRTFXENYNFP-KRWDZBQOSA-N 0 1 320.864 3.125 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001046320129 657147128 /nfs/dbraw/zinc/14/71/28/657147128.db2.gz PISOPDNJORHWGZ-INIZCTEOSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(F)cc2C)C1 ZINC001000748414 657148983 /nfs/dbraw/zinc/14/89/83/657148983.db2.gz QFCFTMRQDQBMDY-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2ccc(CCC)s2)C1 ZINC001046350686 657151614 /nfs/dbraw/zinc/15/16/14/657151614.db2.gz IPCUHUQJQZJOBP-KRWDZBQOSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001046361909 657152978 /nfs/dbraw/zinc/15/29/78/657152978.db2.gz LXHHMOORSCSZAP-HXUWFJFHSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C(F)F)o2)C1 ZINC001000807915 657154024 /nfs/dbraw/zinc/15/40/24/657154024.db2.gz VWRFIMGSDVRUIH-SNVBAGLBSA-N 0 1 318.751 3.164 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)C(C)(F)F)C1 ZINC001000800192 657154772 /nfs/dbraw/zinc/15/47/72/657154772.db2.gz JNQCHFGHKUVIDE-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001046378185 657155684 /nfs/dbraw/zinc/15/56/84/657155684.db2.gz LFLBNPOLXWJRHJ-AOTQUWGHSA-N 0 1 316.489 3.215 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001046423768 657160917 /nfs/dbraw/zinc/16/09/17/657160917.db2.gz QYVRTVNHSBBYGK-FQEVSTJZSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C[C@@H](C(=O)N1CCC[C@@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001000971653 657169957 /nfs/dbraw/zinc/16/99/57/657169957.db2.gz KQXKXKPSCMVOOI-IAGOWNOFSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001046532960 657173718 /nfs/dbraw/zinc/17/37/18/657173718.db2.gz BSNDUGGOJFGQQJ-QGZVFWFLSA-N 0 1 306.837 3.337 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc3c(c2)C=CCC3)CC1 ZINC001001254517 657201962 /nfs/dbraw/zinc/20/19/62/657201962.db2.gz MJAZZTXRPSDVCK-UHFFFAOYSA-N 0 1 320.436 3.031 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2cc3cc(C)ccc3s2)CC1 ZINC001001323768 657207445 /nfs/dbraw/zinc/20/74/45/657207445.db2.gz AGCXYEZRRNVVQS-UHFFFAOYSA-N 0 1 324.449 3.205 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001046732142 657209734 /nfs/dbraw/zinc/20/97/34/657209734.db2.gz ZWVKANMOYMKZAK-MRXNPFEDSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cc3sccc3[nH]2)C1 ZINC001028908648 657216747 /nfs/dbraw/zinc/21/67/47/657216747.db2.gz TXZYRUBHISWWAY-LLVKDONJSA-N 0 1 323.849 3.034 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc3ccccc32)CC1 ZINC001001422678 657217662 /nfs/dbraw/zinc/21/76/62/657217662.db2.gz GBYCNAZHRPRWCP-UHFFFAOYSA-N 0 1 318.420 3.225 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001046791370 657219366 /nfs/dbraw/zinc/21/93/66/657219366.db2.gz IBXSZYSMMONIHR-OAHLLOKOSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(s2)CCCCC3)C1 ZINC001046815574 657227098 /nfs/dbraw/zinc/22/70/98/657227098.db2.gz BDEDVFGLVFVGEX-GOSISDBHSA-N 0 1 318.486 3.397 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc3ccccc32)C1 ZINC001046817496 657228591 /nfs/dbraw/zinc/22/85/91/657228591.db2.gz LMFFXDXEZZREKK-FQEVSTJZSA-N 0 1 306.409 3.057 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2sc(CCC)nc2C)C1 ZINC001046831408 657232754 /nfs/dbraw/zinc/23/27/54/657232754.db2.gz KPWLPZXJZNSUSB-QGZVFWFLSA-N 0 1 321.490 3.174 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCCC2(C)C)C1 ZINC001046963295 657247436 /nfs/dbraw/zinc/24/74/36/657247436.db2.gz GINPSBUTXDAMEQ-KBPBESRZSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](C)c3ccccc3C)[C@@H]2C1 ZINC001050158310 657262148 /nfs/dbraw/zinc/26/21/48/657262148.db2.gz SFALBTWHMDQNGK-CMKODMSKSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001050183227 657265389 /nfs/dbraw/zinc/26/53/89/657265389.db2.gz VLCOOYLHLMACMT-FGTMMUONSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc([C@@H](C)CC)cc3)[C@@H]2C1 ZINC001050207089 657270366 /nfs/dbraw/zinc/27/03/66/657270366.db2.gz CVXSWNIDBWYGEU-FFZOFVMBSA-N 0 1 324.468 3.370 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC([C@H](C)NCc2cscn2)CC1 ZINC001029126421 657313855 /nfs/dbraw/zinc/31/38/55/657313855.db2.gz RZWVXMJQAIHXCP-ZDUSSCGKSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3oc(C)cc3C)C2)CC1 ZINC001050478678 657314551 /nfs/dbraw/zinc/31/45/51/657314551.db2.gz RVBLCJVKAJMBEO-UHFFFAOYSA-N 0 1 322.836 3.187 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H](C)C3CCC3)C2)CC1 ZINC001050560126 657323693 /nfs/dbraw/zinc/32/36/93/657323693.db2.gz QAUBHAUVMURBHZ-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)CC1 ZINC001050571985 657325722 /nfs/dbraw/zinc/32/57/22/657325722.db2.gz SLKOFWVZZZFJPU-BZUAXINKSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@]3(C)CCC[C@H]3C)C2)CC1 ZINC001050652542 657338666 /nfs/dbraw/zinc/33/86/66/657338666.db2.gz VIFGFAZVJHLTGN-PBHICJAKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3CCCCC3)cccc2C1 ZINC001051276020 657376562 /nfs/dbraw/zinc/37/65/62/657376562.db2.gz BWFCGSYQWZZYGW-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CC=CCC3)cccc2C1 ZINC001051279934 657379894 /nfs/dbraw/zinc/37/98/94/657379894.db2.gz NXFZLCBIKZRYMC-INIZCTEOSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3oc(C)cc3C)cccc2C1 ZINC001051283434 657380552 /nfs/dbraw/zinc/38/05/52/657380552.db2.gz BACCVFAQARKCAD-UHFFFAOYSA-N 0 1 324.424 3.371 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CCC[C@H]4C[C@H]43)cccc2C1 ZINC001051292036 657382814 /nfs/dbraw/zinc/38/28/14/657382814.db2.gz JODLRLPNYKBPCB-CWFSZBLJSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cocc3C)cccc2C1 ZINC001051297872 657384056 /nfs/dbraw/zinc/38/40/56/657384056.db2.gz SVYVRUREETWRHF-UHFFFAOYSA-N 0 1 310.397 3.062 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC[C@@H](C)C3)cccc2C1 ZINC001051302101 657384392 /nfs/dbraw/zinc/38/43/92/657384392.db2.gz RGYATMRRVQDZOT-HZPDHXFCSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H]3C[C@]3(C)CC)cccc2C1 ZINC001051306111 657385099 /nfs/dbraw/zinc/38/50/99/657385099.db2.gz LSIGBIKOAISSBN-CTNGQTDRSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccc(C)cc3)cccc2C1 ZINC001051305628 657385327 /nfs/dbraw/zinc/38/53/27/657385327.db2.gz NRSSMIVKWGHFGP-UHFFFAOYSA-N 0 1 320.436 3.469 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2sc(C)cc2OC)C1 ZINC000968387121 657402485 /nfs/dbraw/zinc/40/24/85/657402485.db2.gz VLFKWZDZDPYKFW-OCCSQVGLSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2oc(C(C)C)nc2C)C1 ZINC000968399972 657405202 /nfs/dbraw/zinc/40/52/02/657405202.db2.gz JOIWNYCBZOOBMO-UKRRQHHQSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968404082 657406247 /nfs/dbraw/zinc/40/62/47/657406247.db2.gz BVIBWYJOGAKHJC-BEFAXECRSA-N 0 1 310.441 3.272 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968405308 657407806 /nfs/dbraw/zinc/40/78/06/657407806.db2.gz KBQGSVORKYCBCK-OXQOHEQNSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC000957428501 657415202 /nfs/dbraw/zinc/41/52/02/657415202.db2.gz DOWOVKCVVYZDJI-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC000968486841 657415430 /nfs/dbraw/zinc/41/54/30/657415430.db2.gz AGTJWOYACXPNRA-CJNGLKHVSA-N 0 1 304.434 3.338 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968506640 657419493 /nfs/dbraw/zinc/41/94/93/657419493.db2.gz VNZBWLWRPHWBPM-QAPCUYQASA-N 0 1 300.446 3.436 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC000968566573 657428507 /nfs/dbraw/zinc/42/85/07/657428507.db2.gz UZFWHPMVPJBXAS-TVFCKZIOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968571383 657429106 /nfs/dbraw/zinc/42/91/06/657429106.db2.gz YOENDWSYFNAPKL-BXUZGUMPSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2c(F)cccc2Cl)C1 ZINC000968582648 657432887 /nfs/dbraw/zinc/43/28/87/657432887.db2.gz AYYXIJHIAAEADK-MLGOLLRUSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(C)cc(C)cc2C)CC1 ZINC000957564489 657433381 /nfs/dbraw/zinc/43/33/81/657433381.db2.gz XMZZSRHCVLMUHN-UHFFFAOYSA-N 0 1 300.446 3.336 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968591766 657435158 /nfs/dbraw/zinc/43/51/58/657435158.db2.gz FJXPQNHXLMCFKA-TZMCWYRMSA-N 0 1 317.458 3.246 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC000968596269 657435789 /nfs/dbraw/zinc/43/57/89/657435789.db2.gz IPJCLVTVVTWUBR-BLLLJJGKSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968620693 657445577 /nfs/dbraw/zinc/44/55/77/657445577.db2.gz YBBSGFBOMKTEJV-JXFKEZNVSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC000968630702 657449293 /nfs/dbraw/zinc/44/92/93/657449293.db2.gz AVIDRXRIBDOAPK-KDOFPFPSSA-N 0 1 317.477 3.312 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000968664458 657452562 /nfs/dbraw/zinc/45/25/62/657452562.db2.gz GNBYYGRCCWZFFC-MLGOLLRUSA-N 0 1 304.409 3.069 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2sccc2CC)C1 ZINC000968682815 657456113 /nfs/dbraw/zinc/45/61/13/657456113.db2.gz VEWOUKAHFJTCRE-ZFWWWQNUSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC000968694840 657462251 /nfs/dbraw/zinc/46/22/51/657462251.db2.gz BHPZBKZODZGFFO-SJLPKXTDSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@]2(C)CCc3ccccc32)CC1 ZINC000957861530 657469598 /nfs/dbraw/zinc/46/95/98/657469598.db2.gz OOLKYUQYQZTFAA-FQEVSTJZSA-N 0 1 312.457 3.001 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(-c3ccccc3)[nH]2)CC1 ZINC000957893173 657470882 /nfs/dbraw/zinc/47/08/82/657470882.db2.gz JBNBBEBUTULFDX-UHFFFAOYSA-N 0 1 309.413 3.016 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)Cc2cccc(Cl)c2)CC1 ZINC000957939241 657473238 /nfs/dbraw/zinc/47/32/38/657473238.db2.gz BUZNADPTHGOFIL-OAHLLOKOSA-N 0 1 320.864 3.239 20 30 DGEDMN C=CCCN1CCN(C(=O)C2CC(c3ccc(F)cc3)C2)CC1 ZINC000957924801 657473292 /nfs/dbraw/zinc/47/32/92/657473292.db2.gz XKYWABNWXKJUHT-UHFFFAOYSA-N 0 1 316.420 3.040 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(C)c1F ZINC000968869544 657506863 /nfs/dbraw/zinc/50/68/63/657506863.db2.gz SPNVYAUWAVOVEJ-MRXNPFEDSA-N 0 1 316.420 3.132 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC000968917489 657515619 /nfs/dbraw/zinc/51/56/19/657515619.db2.gz YFWDXPCCQAEYEK-AZUAARDMSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(Cl)ccc1F ZINC000968941597 657519889 /nfs/dbraw/zinc/51/98/89/657519889.db2.gz OWGDGOSXNFJARM-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC000968985467 657527496 /nfs/dbraw/zinc/52/74/96/657527496.db2.gz UFTUUWXEPRZGJU-MISYRCLQSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc[nH]c1CCC ZINC000968998026 657529048 /nfs/dbraw/zinc/52/90/48/657529048.db2.gz JEGRJSUDEGHVNH-HNNXBMFYSA-N 0 1 303.450 3.128 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc(C(C)C)no1 ZINC000969058963 657545641 /nfs/dbraw/zinc/54/56/41/657545641.db2.gz DZJBNNLSDZFEQY-OAHLLOKOSA-N 0 1 319.449 3.349 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1ccsc1Cl ZINC000969059656 657547393 /nfs/dbraw/zinc/54/73/93/657547393.db2.gz QFZPXHLUOWFNBW-CYBMUJFWSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccsc1Cl ZINC000969059656 657547394 /nfs/dbraw/zinc/54/73/94/657547394.db2.gz QFZPXHLUOWFNBW-CYBMUJFWSA-N 0 1 324.877 3.399 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC000969069681 657550171 /nfs/dbraw/zinc/55/01/71/657550171.db2.gz BODTWDWVXDLEPT-YZGWKJHDSA-N 0 1 324.468 3.093 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001007346179 657595902 /nfs/dbraw/zinc/59/59/02/657595902.db2.gz VBCHFXZVDKVDKK-OAHLLOKOSA-N 0 1 312.413 3.375 20 30 DGEDMN CN(Cc1ccccc1C#N)C1CCN(C(=O)C2=CCCC2)CC1 ZINC000985373177 657613007 /nfs/dbraw/zinc/61/30/07/657613007.db2.gz JJFWSSSDQJWNNO-UHFFFAOYSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001007571730 657619153 /nfs/dbraw/zinc/61/91/53/657619153.db2.gz SIXKOVFAMJYIBO-OAHLLOKOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001007640829 657625266 /nfs/dbraw/zinc/62/52/66/657625266.db2.gz NAZSGQPRHMGDDT-HNNXBMFYSA-N 0 1 313.445 3.150 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC001007979652 657649724 /nfs/dbraw/zinc/64/97/24/657649724.db2.gz BIRXYQSIFSLRKV-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC001008374173 657689966 /nfs/dbraw/zinc/68/99/66/657689966.db2.gz SVIKBVATOPKEOQ-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC001008383250 657690128 /nfs/dbraw/zinc/69/01/28/657690128.db2.gz GABBIDLFKGZUGI-HNNXBMFYSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(Cc3ccc(C)cc3)C2)C1 ZINC001008436763 657694468 /nfs/dbraw/zinc/69/44/68/657694468.db2.gz ITCILPMTXBFKMU-SFHVURJKSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC001008903837 657738373 /nfs/dbraw/zinc/73/83/73/657738373.db2.gz CYGBNEHOJMZJHT-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001008954998 657740303 /nfs/dbraw/zinc/74/03/03/657740303.db2.gz IYNLATAVQYQUOV-GOSISDBHSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001008990328 657741509 /nfs/dbraw/zinc/74/15/09/657741509.db2.gz WTQQCABOLKLWAN-GOSISDBHSA-N 0 1 321.424 3.129 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001029802182 657748826 /nfs/dbraw/zinc/74/88/26/657748826.db2.gz WVWBENVDOIEYHH-GJZGRUSLSA-N 0 1 319.449 3.037 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001009314001 657769075 /nfs/dbraw/zinc/76/90/75/657769075.db2.gz RBIHUTNFMJLDGO-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001029828495 657772303 /nfs/dbraw/zinc/77/23/03/657772303.db2.gz BMQGBICSQSUWRD-YOEHRIQHSA-N 0 1 321.490 3.026 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(C)CCC(C)CC1 ZINC000960331584 657779122 /nfs/dbraw/zinc/77/91/22/657779122.db2.gz AFQXYHLCOUWFNA-ADZOXNECSA-N 0 1 324.468 3.208 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC001009403655 657779753 /nfs/dbraw/zinc/77/97/53/657779753.db2.gz SYVBOFYQSJIXEG-QGZVFWFLSA-N 0 1 306.409 3.057 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@H]2CC)CC1 ZINC000985637905 657791727 /nfs/dbraw/zinc/79/17/27/657791727.db2.gz NIVNDOPBQQLDQJ-GDBMZVCRSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001009583747 657797107 /nfs/dbraw/zinc/79/71/07/657797107.db2.gz CCHJTFQBXBYQMH-YXOSVLIBSA-N 0 1 316.489 3.215 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC001009661157 657805321 /nfs/dbraw/zinc/80/53/21/657805321.db2.gz PYTURTLCKZJJMA-PELRDEGISA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001009690710 657810174 /nfs/dbraw/zinc/81/01/74/657810174.db2.gz LWIAGOUIQOSUFA-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)c2ccc(C)o2)CC1 ZINC000985693898 657811289 /nfs/dbraw/zinc/81/12/89/657811289.db2.gz XZCXACCPHGWUHI-AWEZNQCLSA-N 0 1 324.852 3.367 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC001009721925 657811827 /nfs/dbraw/zinc/81/18/27/657811827.db2.gz FPSAOQAMYBCLOP-QGZVFWFLSA-N 0 1 312.457 3.183 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H](C)n2cccc2)CC1 ZINC000985757569 657834545 /nfs/dbraw/zinc/83/45/45/657834545.db2.gz RMAYKSYQBCWGTK-OAHLLOKOSA-N 0 1 323.868 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)c3ccco3)C2)C1 ZINC000961080202 657942687 /nfs/dbraw/zinc/94/26/87/657942687.db2.gz ACRMYQWMRHSHHZ-HUUCEWRRSA-N 0 1 322.836 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CC3(C)CC3)C2)C1 ZINC000961210912 657977513 /nfs/dbraw/zinc/97/75/13/657977513.db2.gz KCPFOORRGSOMPU-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001014727967 658044463 /nfs/dbraw/zinc/04/44/63/658044463.db2.gz KAEJESVJBZNDJU-SECBINFHSA-N 0 1 319.257 3.435 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C(C)C)n2)[C@H]1C ZINC000986481681 658054403 /nfs/dbraw/zinc/05/44/03/658054403.db2.gz MRQABVCIMIXZMD-ZFWWWQNUSA-N 0 1 321.852 3.150 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2ccc(C(F)(F)F)cn2)cc1 ZINC000254384621 658086959 /nfs/dbraw/zinc/08/69/59/658086959.db2.gz CJCJYOWQEWGTIK-UHFFFAOYSA-N 0 1 310.235 3.455 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2sc(C)nc2CC(C)C)C1 ZINC001015128437 658088133 /nfs/dbraw/zinc/08/81/33/658088133.db2.gz RNWKWUTYDMVYRA-CQSZACIVSA-N 0 1 321.490 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc(C(F)F)c2)[C@@H]1C ZINC000986733863 658094821 /nfs/dbraw/zinc/09/48/21/658094821.db2.gz JCBGQYDRROCMSI-KOLCDFICSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc(C)ccc2C)[C@H]1C ZINC000986791086 658095961 /nfs/dbraw/zinc/09/59/61/658095961.db2.gz DWKIVCZTGCSNFP-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)cc(F)c2)[C@H]1C ZINC000987033292 658142043 /nfs/dbraw/zinc/14/20/43/658142043.db2.gz ZOYPXBLDRKBSMB-WFASDCNBSA-N 0 1 310.800 3.079 20 30 DGEDMN Oc1ccccc1C=Nn1c(=S)[nH]nc1-c1ccc(F)cc1 ZINC000254746410 658153541 /nfs/dbraw/zinc/15/35/41/658153541.db2.gz SNLIBXRFNMRGRX-UHFFFAOYSA-N 0 1 314.345 3.335 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3cc4ccccc4o3)C2)C1 ZINC001015673057 658163469 /nfs/dbraw/zinc/16/34/69/658163469.db2.gz GSOLZCODHYVWJT-INIZCTEOSA-N 0 1 324.424 3.480 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001015929461 658204551 /nfs/dbraw/zinc/20/45/51/658204551.db2.gz VIYUXRCATOICES-HNNXBMFYSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccccc2F)[C@H]1C ZINC000987520066 658225398 /nfs/dbraw/zinc/22/53/98/658225398.db2.gz XKTNZRLEZAKEGB-XJKSGUPXSA-N 0 1 324.827 3.090 20 30 DGEDMN N#C[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2)C[C@H]1c1ccccc1 ZINC000263414189 658227640 /nfs/dbraw/zinc/22/76/40/658227640.db2.gz MPFKFYGQSOAJTN-MSOLQXFVSA-N 0 1 306.365 3.124 20 30 DGEDMN N#C[C@@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2)C[C@H]1c1ccccc1 ZINC000263414189 658227648 /nfs/dbraw/zinc/22/76/48/658227648.db2.gz MPFKFYGQSOAJTN-MSOLQXFVSA-N 0 1 306.365 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccn2C(C)C)[C@@H]1C ZINC000987539284 658227794 /nfs/dbraw/zinc/22/77/94/658227794.db2.gz RVGWUTDGSCSCPM-ZIAGYGMSSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001016084862 658231563 /nfs/dbraw/zinc/23/15/63/658231563.db2.gz CJHSXTPZEJOUNF-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2sccc2CC)[C@H]1C ZINC000987567896 658232256 /nfs/dbraw/zinc/23/22/56/658232256.db2.gz PFQXZQZWDPWJMF-WCQYABFASA-N 0 1 312.866 3.256 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001016160042 658246240 /nfs/dbraw/zinc/24/62/40/658246240.db2.gz PDQQVPAJSLZXHS-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2sc(C(C)(C)C)nc2C)C1 ZINC001016416093 658280663 /nfs/dbraw/zinc/28/06/63/658280663.db2.gz KNYJYIWOEDQNDB-CYBMUJFWSA-N 0 1 321.490 3.129 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cccc(C)c2)CC1 ZINC001016489234 658293546 /nfs/dbraw/zinc/29/35/46/658293546.db2.gz MNYHNMGNTNLZNO-MRXNPFEDSA-N 0 1 318.848 3.332 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2CC(C)(C)C2)CC1 ZINC001016605593 658305731 /nfs/dbraw/zinc/30/57/31/658305731.db2.gz RNWSRBGHWUIGKY-CQSZACIVSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2C=CC=CC=C2)CC1 ZINC001016642617 658310895 /nfs/dbraw/zinc/31/08/95/658310895.db2.gz FUYUPCNVSFHBCV-INIZCTEOSA-N 0 1 318.848 3.008 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001016740337 658322728 /nfs/dbraw/zinc/32/27/28/658322728.db2.gz GKDYKGDHGNCRGM-JYJNAYRXSA-N 0 1 322.880 3.146 20 30 DGEDMN COc1ccc(C#N)cc1CONC(=O)C(C)(C)c1ccccc1 ZINC000163425818 658339384 /nfs/dbraw/zinc/33/93/84/658339384.db2.gz ZKXCXUNDVVJXDB-UHFFFAOYSA-N 0 1 324.380 3.092 20 30 DGEDMN CCCC(=O)N1CCC[C@@H](CN(C)Cc2ccccc2C#N)C1 ZINC001017022089 658380310 /nfs/dbraw/zinc/38/03/10/658380310.db2.gz DQAIAKJULFNHCG-INIZCTEOSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](CN(C)Cc2cncs2)C1 ZINC001017179145 658403127 /nfs/dbraw/zinc/40/31/27/658403127.db2.gz DWSSPQJNGQOGBV-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cn(CC)c2ccccc12 ZINC001038478571 658404560 /nfs/dbraw/zinc/40/45/60/658404560.db2.gz VOFSGAIRRHKISZ-HNNXBMFYSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2csc(C)c2C)C1 ZINC000988874916 658412813 /nfs/dbraw/zinc/41/28/13/658412813.db2.gz GEVLNRPWLWSRJD-MFKMUULPSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3c(o2)CCCC3)C1 ZINC000988944914 658418980 /nfs/dbraw/zinc/41/89/80/658418980.db2.gz BMMMOHYPVICGDE-OCCSQVGLSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(CC)s2)C1 ZINC000989131148 658439671 /nfs/dbraw/zinc/43/96/71/658439671.db2.gz NHDOBZIYNDCUEF-RYUDHWBXSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C)c(F)c2)C1 ZINC000989139561 658442162 /nfs/dbraw/zinc/44/21/62/658442162.db2.gz VOLPJYJLBHKOGK-JSGCOSHPSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)n(CC)c2C)C1 ZINC000989142881 658442767 /nfs/dbraw/zinc/44/27/67/658442767.db2.gz VFIGXIKAJASEDL-IUODEOHRSA-N 0 1 323.868 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(C)sc2C)C1 ZINC000989144316 658442807 /nfs/dbraw/zinc/44/28/07/658442807.db2.gz YKFWPCVJZOSYIO-ZWNOBZJWSA-N 0 1 312.866 3.310 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C2CCC2)CCC1 ZINC001017771529 658460883 /nfs/dbraw/zinc/46/08/83/658460883.db2.gz IAWZJKHBSGRRBG-CALCHBBNSA-N 0 1 302.462 3.208 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1cccs1 ZINC001017792769 658462270 /nfs/dbraw/zinc/46/22/70/658462270.db2.gz UPFMBPJLOXVYGC-GASCZTMLSA-N 0 1 318.486 3.277 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966292126 658463248 /nfs/dbraw/zinc/46/32/48/658463248.db2.gz BNFMHJZHEKDSAI-HNAYVOBHSA-N 0 1 312.457 3.192 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2scc(C)c2Cl)C1 ZINC000966325152 658467268 /nfs/dbraw/zinc/46/72/68/658467268.db2.gz LVZBFVOCCLGLES-YPMHNXCESA-N 0 1 324.877 3.173 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(OCCC)c2)C1 ZINC000966392139 658470587 /nfs/dbraw/zinc/47/05/87/658470587.db2.gz WXSGTJBISBYPDS-CRAIPNDOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]ccc2c1 ZINC001017871274 658470638 /nfs/dbraw/zinc/47/06/38/658470638.db2.gz PBYGJVIVSNKEJZ-CALCHBBNSA-N 0 1 309.413 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C(C)C)cn2)C1 ZINC000989450759 658489500 /nfs/dbraw/zinc/48/95/00/658489500.db2.gz PGXQCBUTSGIVIW-DZGCQCFKSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)c1ccccc1 ZINC001018004687 658490207 /nfs/dbraw/zinc/49/02/07/658490207.db2.gz KVKXYBGLSTWIQW-FGTMMUONSA-N 0 1 316.420 3.339 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)cc(F)c2)C1 ZINC000989474174 658495574 /nfs/dbraw/zinc/49/55/74/658495574.db2.gz RAEQINKFXYPCGJ-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCc2ccccc2C)C1 ZINC000989485321 658496524 /nfs/dbraw/zinc/49/65/24/658496524.db2.gz MAZIFLFVTRCEOX-DOTOQJQBSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C)cc2F)C1 ZINC000989481307 658497171 /nfs/dbraw/zinc/49/71/71/658497171.db2.gz PGCYOGJNEVINSR-QWHCGFSZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc3cc[nH]c3c2)C1 ZINC000989487907 658500867 /nfs/dbraw/zinc/50/08/67/658500867.db2.gz OFJLGQTXQUOGRC-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC000966874354 658503034 /nfs/dbraw/zinc/50/30/34/658503034.db2.gz GVDHKEGTWVUHDN-HIFRSBDPSA-N 0 1 319.449 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2csc(Cl)c2)C1 ZINC000989518769 658506281 /nfs/dbraw/zinc/50/62/81/658506281.db2.gz HYVKMEQFMAXLJF-GXSJLCMTSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccc(C)s1 ZINC001018169694 658509598 /nfs/dbraw/zinc/50/95/98/658509598.db2.gz MMGWPDLLQYNBAL-OAGGEKHMSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC000966908095 658513757 /nfs/dbraw/zinc/51/37/57/658513757.db2.gz KJHYUVOHFMPQOT-YOEHRIQHSA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1ccccc1 ZINC001018240071 658524344 /nfs/dbraw/zinc/52/43/44/658524344.db2.gz FBBBFXDKJPZQIP-QRQLOZEOSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC000989628294 658532473 /nfs/dbraw/zinc/53/24/73/658532473.db2.gz WXHWWKNDEOGIGY-UHFFFAOYSA-N 0 1 300.446 3.075 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc2ccccc21 ZINC001018301093 658532671 /nfs/dbraw/zinc/53/26/71/658532671.db2.gz NHCLJEQNVGMNGV-CALCHBBNSA-N 0 1 321.424 3.100 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1CCC2 ZINC001018333042 658539617 /nfs/dbraw/zinc/53/96/17/658539617.db2.gz WUHOSIKKTCCUHM-CALCHBBNSA-N 0 1 310.441 3.040 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](C)c2cccc(Cl)c2)CC1 ZINC000989702596 658544424 /nfs/dbraw/zinc/54/44/24/658544424.db2.gz UYGCQKLEKJDLQC-AWEZNQCLSA-N 0 1 306.837 3.164 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@H](C)c2ccccc2C)CC1 ZINC000989714218 658551408 /nfs/dbraw/zinc/55/14/08/658551408.db2.gz LBSUGNIVASLXPX-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccccc2C)CC1 ZINC000989714218 658551414 /nfs/dbraw/zinc/55/14/14/658551414.db2.gz LBSUGNIVASLXPX-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2c(C)cccc2Cl)C1 ZINC000967231316 658552054 /nfs/dbraw/zinc/55/20/54/658552054.db2.gz PQQMUKCQHLORNN-RHSMWYFYSA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3cccc(C)c3o2)CC1 ZINC000989746924 658558828 /nfs/dbraw/zinc/55/88/28/658558828.db2.gz FVTCPXZFVABMTG-UHFFFAOYSA-N 0 1 312.413 3.465 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967275391 658559978 /nfs/dbraw/zinc/55/99/78/658559978.db2.gz WUVJKDCBPIWVET-LRDDRELGSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(Cl)s2)C1 ZINC000989752447 658569928 /nfs/dbraw/zinc/56/99/28/658569928.db2.gz MXEROPXVEPFVRK-NXEZZACHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)CC1 ZINC000989770496 658572957 /nfs/dbraw/zinc/57/29/57/658572957.db2.gz DDTGYPUACZMRLM-ZWKOTPCHSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCc2cccs2)C1 ZINC000989776061 658574740 /nfs/dbraw/zinc/57/47/40/658574740.db2.gz KEQIZYSXWIDZOR-OLZOCXBDSA-N 0 1 312.866 3.012 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC000967419418 658579771 /nfs/dbraw/zinc/57/97/71/658579771.db2.gz KUEOVIRSVRBVFG-SMDDNHRTSA-N 0 1 324.827 3.414 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc(-c3ccccc3)co2)CC1 ZINC000989811415 658581967 /nfs/dbraw/zinc/58/19/67/658581967.db2.gz UMMBJLANPFLMDW-UHFFFAOYSA-N 0 1 322.408 3.118 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2scc(C)c2Cl)C1 ZINC001018902315 658596123 /nfs/dbraw/zinc/59/61/23/658596123.db2.gz AZXQPJBRTQLJPI-JTQLQIEISA-N 0 1 319.257 3.267 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(-c3ccco3)o2)C1 ZINC001019129255 658610759 /nfs/dbraw/zinc/61/07/59/658610759.db2.gz GEUNOCQELQTJES-LBPRGKRZSA-N 0 1 320.776 3.096 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC001019238180 658618680 /nfs/dbraw/zinc/61/86/80/658618680.db2.gz PKRMWCGBRDQVCB-OCCSQVGLSA-N 0 1 322.399 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001019237998 658618691 /nfs/dbraw/zinc/61/86/91/658618691.db2.gz IJQWGSPTANAOAJ-OLZOCXBDSA-N 0 1 322.399 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC001019237919 658618777 /nfs/dbraw/zinc/61/87/77/658618777.db2.gz DIUKCHPTYCJNEA-AWEZNQCLSA-N 0 1 306.837 3.243 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2cccc(F)c2F)C1 ZINC001019332206 658633766 /nfs/dbraw/zinc/63/37/66/658633766.db2.gz MSLVEWBGONPKNS-KBXCAEBGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccn(C(C)C)c2C)C1 ZINC000967940402 658645692 /nfs/dbraw/zinc/64/56/92/658645692.db2.gz AZYWVLAVZGSFFC-YOEHRIQHSA-N 0 1 303.450 3.004 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccoc2C2CC2)C1 ZINC000968052950 658657499 /nfs/dbraw/zinc/65/74/99/658657499.db2.gz CMEUVVBNDNIKQT-BBRMVZONSA-N 0 1 302.418 3.173 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001019736763 658670685 /nfs/dbraw/zinc/67/06/85/658670685.db2.gz GMWFVOGMUHNGOC-KRWDZBQOSA-N 0 1 318.848 3.122 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2csc3c2CCCC3)C1 ZINC001019748261 658672573 /nfs/dbraw/zinc/67/25/73/658672573.db2.gz SLTBSRNCYFCKDI-LBPRGKRZSA-N 0 1 324.877 3.184 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC000968207591 658681884 /nfs/dbraw/zinc/68/18/84/658681884.db2.gz CDQXJEYBQXQRAM-SWLSCSKDSA-N 0 1 322.399 3.290 20 30 DGEDMN Cc1nn(C)cc1[C@H](C)NCc1cccc(OCCCC#N)c1 ZINC000237495289 658683008 /nfs/dbraw/zinc/68/30/08/658683008.db2.gz ONTIJIYFDCSPCX-AWEZNQCLSA-N 0 1 312.417 3.262 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3ccsc3)CC[C@@H]2C)C1 ZINC000968277118 658690348 /nfs/dbraw/zinc/69/03/48/658690348.db2.gz XOCDDYQCZYZDLL-GOEBONIOSA-N 0 1 318.486 3.431 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321441 658696376 /nfs/dbraw/zinc/69/63/76/658696376.db2.gz KMAYUSBEOHLPTM-VQIMIIECSA-N 0 1 324.468 3.202 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321438 658696772 /nfs/dbraw/zinc/69/67/72/658696772.db2.gz KMAYUSBEOHLPTM-APWZRJJASA-N 0 1 324.468 3.202 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968349813 658706657 /nfs/dbraw/zinc/70/66/57/658706657.db2.gz OZCNEHAJSUCISF-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC001038402762 658748395 /nfs/dbraw/zinc/74/83/95/658748395.db2.gz CNCHFWUWZYQGLI-MCIONIFRSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@@H]2CCN2CC=C(C)C)cc1 ZINC001038427772 658752695 /nfs/dbraw/zinc/75/26/95/658752695.db2.gz MEVHIJXXSJFWJP-KRWDZBQOSA-N 0 1 314.429 3.022 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3csc(C)c3C)CCC[C@@H]12 ZINC000990872485 658772042 /nfs/dbraw/zinc/77/20/42/658772042.db2.gz SLKSTFSRONKVKE-AEFFLSMTSA-N 0 1 316.470 3.115 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3sc(C)cc3C)CCC[C@H]12 ZINC000990937546 658782516 /nfs/dbraw/zinc/78/25/16/658782516.db2.gz UNIFXEOMAVNJKH-YJBOKZPZSA-N 0 1 316.470 3.115 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(CCC)s3)CCC[C@H]12 ZINC000990939556 658782926 /nfs/dbraw/zinc/78/29/26/658782926.db2.gz ZOODWHCYQUYQEX-WMZOPIPTSA-N 0 1 316.470 3.061 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccccc1O[C@H](C)CC ZINC001038758499 658796586 /nfs/dbraw/zinc/79/65/86/658796586.db2.gz YEMIQKFVDPCMNG-HZPDHXFCSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc(C(CC)CC)no1 ZINC001038791073 658802592 /nfs/dbraw/zinc/80/25/92/658802592.db2.gz NPVVFPCLVKOJMM-HNNXBMFYSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC001038797760 658803909 /nfs/dbraw/zinc/80/39/09/658803909.db2.gz DJZXZUFQXHHPNW-IXDOHACOSA-N 0 1 318.848 3.210 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1scnc1C(C)C ZINC001038795222 658806169 /nfs/dbraw/zinc/80/61/69/658806169.db2.gz YJNBQDNIXUBPEL-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cscc3Cl)CCC[C@H]12 ZINC000991250104 658845873 /nfs/dbraw/zinc/84/58/73/658845873.db2.gz YJUMAAGUPIRWFB-HOCLYGCPSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1c(Cl)cccc1Cl ZINC001038950339 658962596 /nfs/dbraw/zinc/96/25/96/658962596.db2.gz NHUYFSPPFMJIRE-NSHDSACASA-N 0 1 313.228 3.374 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001038985921 658971772 /nfs/dbraw/zinc/97/17/72/658971772.db2.gz KTFRFPFKQLMRSV-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(CCC)c(C)s1 ZINC001038997105 658976081 /nfs/dbraw/zinc/97/60/81/658976081.db2.gz RISXUHDIYVHFLP-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2c(s1)CC[C@H](C)C2 ZINC001039010074 658980267 /nfs/dbraw/zinc/98/02/67/658980267.db2.gz QPVLGXDJTFYQON-ZFWWWQNUSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CC[C@@H](C)C2 ZINC001039010073 658980451 /nfs/dbraw/zinc/98/04/51/658980451.db2.gz QPVLGXDJTFYQON-UKRRQHHQSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2c(s1)CC[C@@H](C)C2 ZINC001039010072 658980814 /nfs/dbraw/zinc/98/08/14/658980814.db2.gz QPVLGXDJTFYQON-HIFRSBDPSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001039023861 658981897 /nfs/dbraw/zinc/98/18/97/658981897.db2.gz ZXRVVFDNFXIVJJ-MRXNPFEDSA-N 0 1 309.413 3.062 20 30 DGEDMN C=CCOc1cccc(NS(=O)(=O)c2ccc(Cl)nc2)c1 ZINC000057124088 659003137 /nfs/dbraw/zinc/00/31/37/659003137.db2.gz BNHKWEWTYMPLMZ-UHFFFAOYSA-N 0 1 324.789 3.101 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)C[C@H]1C ZINC000947315094 659044299 /nfs/dbraw/zinc/04/42/99/659044299.db2.gz MPQCNTZZSFYRLV-ZBFHGGJFSA-N 0 1 324.424 3.211 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1ccc(Br)cc1Cl ZINC000168227065 659138060 /nfs/dbraw/zinc/13/80/60/659138060.db2.gz JBIDPKXCEIHMEK-UHFFFAOYSA-N 0 1 318.598 3.175 20 30 DGEDMN N#Cc1ccc(C[NH2+]Cc2cc(Br)ccc2[O-])cc1 ZINC000227437955 659154589 /nfs/dbraw/zinc/15/45/89/659154589.db2.gz SXGCJKYTNCQPQS-UHFFFAOYSA-N 0 1 317.186 3.316 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(CCC2CCCC2)CC1 ZINC000948762342 659172557 /nfs/dbraw/zinc/17/25/57/659172557.db2.gz WCJGKSBNLOBIPS-UHFFFAOYSA-N 0 1 315.461 3.012 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001030568042 659234453 /nfs/dbraw/zinc/23/44/53/659234453.db2.gz BSXITPHEWPPCTJ-UHFFFAOYSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2CC23CCC3)CC1 ZINC000948817200 659256126 /nfs/dbraw/zinc/25/61/26/659256126.db2.gz SYLJQEFHRXVQLM-CABCVRRESA-N 0 1 310.869 3.242 20 30 DGEDMN Cc1ncsc1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000172378760 659333019 /nfs/dbraw/zinc/33/30/19/659333019.db2.gz XNAUIZVYYDVJTE-LLVKDONJSA-N 0 1 319.773 3.066 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCC[C@H](C)C1 ZINC000173977240 659407396 /nfs/dbraw/zinc/40/73/96/659407396.db2.gz QFRLXKRJVMNKCD-BFHYXJOUSA-N 0 1 314.385 3.169 20 30 DGEDMN CCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000173972390 659407452 /nfs/dbraw/zinc/40/74/52/659407452.db2.gz OYSJOZVSCFCSIM-CHWSQXEVSA-N 0 1 302.374 3.169 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)C1CCCC1 ZINC000130113533 659491542 /nfs/dbraw/zinc/49/15/42/659491542.db2.gz MLNSWEVKLZIRLF-LLVKDONJSA-N 0 1 308.740 3.317 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc(Cl)cs3)CCC[C@@H]12 ZINC000992292810 659512830 /nfs/dbraw/zinc/51/28/30/659512830.db2.gz DKBTWKHOMRZENT-GDBMZVCRSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C)c3Cl)CCC[C@H]12 ZINC000992330592 659526251 /nfs/dbraw/zinc/52/62/51/659526251.db2.gz LDDUXVZHIDOKCZ-MAUKXSAKSA-N 0 1 316.832 3.008 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc4ccccc4o3)CCC[C@H]12 ZINC000992448965 659551701 /nfs/dbraw/zinc/55/17/01/659551701.db2.gz BDSHCRNRZHXOOA-AZUAARDMSA-N 0 1 322.408 3.183 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc4ccccc4o3)CCC[C@@H]12 ZINC000992448983 659551982 /nfs/dbraw/zinc/55/19/82/659551982.db2.gz BDSHCRNRZHXOOA-UYAOXDASSA-N 0 1 322.408 3.183 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc4cc(C)ccc4o3)CCC[C@H]12 ZINC000992493465 659563346 /nfs/dbraw/zinc/56/33/46/659563346.db2.gz IXADTHPIHALYSW-AZUAARDMSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@@H](C)c3cccc(F)c3)CCC[C@H]12 ZINC000992494215 659563842 /nfs/dbraw/zinc/56/38/42/659563842.db2.gz KBDBHTLFJSIDEG-UCLAIMLFSA-N 0 1 316.420 3.228 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3CCCC(C)(C)C3)CCC[C@@H]12 ZINC000992515936 659568547 /nfs/dbraw/zinc/56/85/47/659568547.db2.gz MYJDMYSEOXYNTG-MBOZVWFJSA-N 0 1 316.489 3.339 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc4c(cccc4C)o3)CCC[C@H]12 ZINC000992517744 659569140 /nfs/dbraw/zinc/56/91/40/659569140.db2.gz QSUFUUMNFCESQR-ICSRJNTNSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(CCC)s2)[C@H]1C ZINC000993410346 659729182 /nfs/dbraw/zinc/72/91/82/659729182.db2.gz JITZYKOJCRNEHL-GDBMZVCRSA-N 0 1 318.486 3.307 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccccc2C(C)C)[C@@H]1C ZINC000993411798 659730206 /nfs/dbraw/zinc/73/02/06/659730206.db2.gz ITDIVQDJPNYWBL-QFBILLFUSA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(o2)CCCC3)[C@@H]1C ZINC000993436257 659739713 /nfs/dbraw/zinc/73/97/13/659739713.db2.gz XSSUHCDNTVHRFH-GOEBONIOSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)cc(OC)c2C)[C@@H]1C ZINC000993544111 659758556 /nfs/dbraw/zinc/75/85/56/659758556.db2.gz FIOMDMIHVSVZOJ-DOTOQJQBSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)cc(OC)c2C)[C@H]1C ZINC000993544125 659758891 /nfs/dbraw/zinc/75/88/91/659758891.db2.gz FIOMDMIHVSVZOJ-NVXWUHKLSA-N 0 1 316.445 3.081 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C(C)(C)C)on2)[C@H]1C ZINC000993582665 659759453 /nfs/dbraw/zinc/75/94/53/659759453.db2.gz AEQWFDLSTDLKLE-ZIAGYGMSSA-N 0 1 319.449 3.131 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccccc2CCC)[C@@H]1C ZINC000993560239 659762377 /nfs/dbraw/zinc/76/23/77/659762377.db2.gz HGNNRNZGAMHQFK-QFBILLFUSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)[C@H]1C ZINC000993560693 659762761 /nfs/dbraw/zinc/76/27/61/659762761.db2.gz ICQYWORCNTUCEE-NVXWUHKLSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2CCCCCCC2)[C@H]1C ZINC000993564587 659763328 /nfs/dbraw/zinc/76/33/28/659763328.db2.gz CTOOFXMVHQURGR-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-c3ccco3)o2)[C@@H]1C ZINC000993565444 659764557 /nfs/dbraw/zinc/76/45/57/659764557.db2.gz DCCNQJQLGGPYOR-KBPBESRZSA-N 0 1 314.385 3.308 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@@H]1C ZINC000993635157 659769785 /nfs/dbraw/zinc/76/97/85/659769785.db2.gz UFIZFQQPMNTCDQ-JXFKEZNVSA-N 0 1 324.468 3.478 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)[C@@H]1C ZINC000993652036 659770388 /nfs/dbraw/zinc/77/03/88/659770388.db2.gz JBVWLECFCDFPBK-JXFKEZNVSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)[C@H]1C ZINC000993658417 659777242 /nfs/dbraw/zinc/77/72/42/659777242.db2.gz GZECCBQDROFLJE-IUODEOHRSA-N 0 1 315.392 3.076 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C(F)F)c2)[C@H]1C ZINC000993716128 659779340 /nfs/dbraw/zinc/77/93/40/659779340.db2.gz NTMDYDSLLPJHOS-YPMHNXCESA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3ccsc3c2)[C@@H]1C ZINC000993690043 659781354 /nfs/dbraw/zinc/78/13/54/659781354.db2.gz PZIUWWMFBWEQRE-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C2CCC2)C2CCC2)[C@H]1C ZINC000993705168 659783152 /nfs/dbraw/zinc/78/31/52/659783152.db2.gz UBZSGWRLHIKINA-PBHICJAKSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2C)[C@@H]1C ZINC000993733019 659787353 /nfs/dbraw/zinc/78/73/53/659787353.db2.gz PYEPVDLAYQTCIL-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(F)c2F)[C@H]1C ZINC000993862639 659794698 /nfs/dbraw/zinc/79/46/98/659794698.db2.gz QYFYTQKXJFAJKF-TZMCWYRMSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncsc2C2CC2)[C@@H]1C ZINC000993837430 659795121 /nfs/dbraw/zinc/79/51/21/659795121.db2.gz ZISWKWMJSKBGIH-JSGCOSHPSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc[nH]c2C2CCC2)[C@@H]1C ZINC000993835948 659795225 /nfs/dbraw/zinc/79/52/25/659795225.db2.gz WMQVKIQNGOHSDE-BBRMVZONSA-N 0 1 301.434 3.051 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C3CC3)nc2C)[C@H]1C ZINC000993840476 659795571 /nfs/dbraw/zinc/79/55/71/659795571.db2.gz DUFPUURUVDBEME-PBHICJAKSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C3CC3)nc2C)[C@@H]1C ZINC000993840488 659795998 /nfs/dbraw/zinc/79/59/98/659795998.db2.gz DUFPUURUVDBEME-YOEHRIQHSA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2CCCCCC2)[C@H]1C ZINC000993883404 659796920 /nfs/dbraw/zinc/79/69/20/659796920.db2.gz CORAROHGFQECHN-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OCC)c(C)c2)[C@H]1C ZINC000993929295 659799465 /nfs/dbraw/zinc/79/94/65/659799465.db2.gz OSRWMKRCCOGZSE-NVXWUHKLSA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(OCC)c2)[C@@H]1C ZINC000993907824 659800577 /nfs/dbraw/zinc/80/05/77/659800577.db2.gz URHYSMZOPOMZDM-DOTOQJQBSA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(C(C)C)nc2C)[C@H]1C ZINC000993966312 659804098 /nfs/dbraw/zinc/80/40/98/659804098.db2.gz VZBIYKJXXNJBAO-CABCVRRESA-N 0 1 319.449 3.265 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2scnc2C(C)C)[C@@H]1C ZINC000993951100 659807012 /nfs/dbraw/zinc/80/70/12/659807012.db2.gz NERWSWOBLIPPOL-KBPBESRZSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2sccc2COC)[C@@H]1C ZINC000994235067 659821987 /nfs/dbraw/zinc/82/19/87/659821987.db2.gz VEUQQVSRDZYUSG-DZGCQCFKSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)[C@@H]1C ZINC000994238235 659822997 /nfs/dbraw/zinc/82/29/97/659822997.db2.gz IIMAUKYICJQHLJ-WMZOPIPTSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ccccc3n2)[C@H]1C ZINC000994270980 659830145 /nfs/dbraw/zinc/83/01/45/659830145.db2.gz AXPAKEZPHFUXLQ-GDBMZVCRSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)[C@@H]1C ZINC000994291293 659831817 /nfs/dbraw/zinc/83/18/17/659831817.db2.gz WJYHQSQSKQJWLA-HYLHYLHVSA-N 0 1 316.489 3.358 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)nc3ccccc32)[C@H]1C ZINC000994340289 659839075 /nfs/dbraw/zinc/83/90/75/659839075.db2.gz TUFCWYRPXCRONF-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@H]1C ZINC000994386299 659850868 /nfs/dbraw/zinc/85/08/68/659850868.db2.gz JHHAPHZDQIAOTB-QAPCUYQASA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2C)[C@@H]1C ZINC000994518323 659869124 /nfs/dbraw/zinc/86/91/24/659869124.db2.gz MBRRUGKRBNCLDG-WMZOPIPTSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@@H]1C ZINC000994526157 659871893 /nfs/dbraw/zinc/87/18/93/659871893.db2.gz DLWKZWQWKZQDIF-BBRMVZONSA-N 0 1 320.383 3.014 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2[C@H](C)c2ccccc2)cc1 ZINC001038581237 659879035 /nfs/dbraw/zinc/87/90/35/659879035.db2.gz HAHWJSAKABNYQW-OXQOHEQNSA-N 0 1 318.420 3.233 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cccc(C(F)F)c2F)C1 ZINC001031676140 659912331 /nfs/dbraw/zinc/91/23/31/659912331.db2.gz HJGRRFSUNOXXAL-UHFFFAOYSA-N 0 1 312.335 3.001 20 30 DGEDMN Cc1ccc(SCCC(=O)C(C#N)C(=O)NC(C)C)cc1C ZINC000130871432 659976603 /nfs/dbraw/zinc/97/66/03/659976603.db2.gz UKVDLOGSGMTTAS-HNNXBMFYSA-N 0 1 318.442 3.019 20 30 DGEDMN Cc1ccc(SCCC(=O)C(C#N)C(=O)NC(C)C)cc1C ZINC000130871216 659977036 /nfs/dbraw/zinc/97/70/36/659977036.db2.gz UKVDLOGSGMTTAS-OAHLLOKOSA-N 0 1 318.442 3.019 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2cc(C)cc(C)c2)C[C@H]1C ZINC000939054995 659979271 /nfs/dbraw/zinc/97/92/71/659979271.db2.gz RXWNIHGMXNRALN-RHSMWYFYSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C23CCC(CC2)C3(C)C)C[C@H]1C ZINC000939284394 659993723 /nfs/dbraw/zinc/99/37/23/659993723.db2.gz SOSVHOZUQCWUQY-PBIRYHDKSA-N 0 1 324.896 3.392 20 30 DGEDMN CC(C)C(=O)N(C)[C@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC000948205606 659994496 /nfs/dbraw/zinc/99/44/96/659994496.db2.gz JWJGXVSFYOXQCC-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2CC)C[C@H]1C ZINC000939807484 660015121 /nfs/dbraw/zinc/01/51/21/660015121.db2.gz YWQGCMQRBFHYOB-ZWNOBZJWSA-N 0 1 312.866 3.113 20 30 DGEDMN CC1(C(=O)N[C@H]2CCN(CC#Cc3ccccc3)CC2(C)C)CC1 ZINC000940768797 660062741 /nfs/dbraw/zinc/06/27/41/660062741.db2.gz OEZHHRNAYANWKM-SFHVURJKSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2sc(C)cc2C)C(C)(C)C1 ZINC000940780482 660064459 /nfs/dbraw/zinc/06/44/59/660064459.db2.gz SFLYYYXJZWEOMR-HNNXBMFYSA-N 0 1 318.486 3.219 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccoc2C2CC2)C(C)(C)C1 ZINC000940983836 660078229 /nfs/dbraw/zinc/07/82/29/660078229.db2.gz BUPVSUZZPQMLGX-MRXNPFEDSA-N 0 1 314.429 3.011 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(C[C@@H](F)CC)CC2(C)C)C1 ZINC000941016283 660080301 /nfs/dbraw/zinc/08/03/01/660080301.db2.gz GEYWOLWWUVPESX-LSDHHAIUSA-N 0 1 310.457 3.308 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cnc(C3CC3)s2)C(C)(C)C1 ZINC000941173741 660091348 /nfs/dbraw/zinc/09/13/48/660091348.db2.gz BFTKESJHPAICNO-AWEZNQCLSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC000941168512 660092856 /nfs/dbraw/zinc/09/28/56/660092856.db2.gz DXJDZLXLRRIINN-DOTOQJQBSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)[C@H](C)c2cccc(F)c2)C(C)(C)C1 ZINC000941229461 660096847 /nfs/dbraw/zinc/09/68/47/660096847.db2.gz AMGIFKCMYFFLOY-RHSMWYFYSA-N 0 1 318.436 3.332 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2sccc2CC)C(C)(C)C1 ZINC000941237128 660098605 /nfs/dbraw/zinc/09/86/05/660098605.db2.gz IMEPWMJGNXUAGC-OAHLLOKOSA-N 0 1 318.486 3.164 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H](C)C1 ZINC000942080464 660135182 /nfs/dbraw/zinc/13/51/82/660135182.db2.gz YCKUROVOZHBQOZ-CMPLNLGQSA-N 0 1 312.866 3.336 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CC(C)C)s2)[C@@H](C)C1 ZINC000942136987 660139691 /nfs/dbraw/zinc/13/96/91/660139691.db2.gz OUMFFWIACHYWRY-HOCLYGCPSA-N 0 1 318.486 3.020 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@]2(CO)CCc3ccccc32)c1 ZINC000930063254 661362835 /nfs/dbraw/zinc/36/28/35/661362835.db2.gz YMIZNTZIPNQGPU-GOSISDBHSA-N 0 1 312.800 3.135 20 30 DGEDMN COC(=O)CN(CCc1ccccc1)CC1CCC(C#N)CC1 ZINC000930242366 661381634 /nfs/dbraw/zinc/38/16/34/661381634.db2.gz TUXGUOJGMVJEJQ-UHFFFAOYSA-N 0 1 314.429 3.034 20 30 DGEDMN N#CC[C@@H](O)CN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000930687563 661421718 /nfs/dbraw/zinc/42/17/18/661421718.db2.gz QPHWXVNNMHYAEP-IUODEOHRSA-N 0 1 318.808 3.018 20 30 DGEDMN N#CC1(CCCCN2CCOc3ccc(O)cc3C2)CCC1 ZINC000931061725 661448771 /nfs/dbraw/zinc/44/87/71/661448771.db2.gz CHLPGRFFFRFKAI-UHFFFAOYSA-N 0 1 300.402 3.451 20 30 DGEDMN COC[C@@H](C)N(Cc1cncc(C#N)c1)[C@H]1CCc2ccccc21 ZINC000931332514 661468231 /nfs/dbraw/zinc/46/82/31/661468231.db2.gz GOJARGCHQJHXER-QRWLVFNGSA-N 0 1 321.424 3.478 20 30 DGEDMN COc1ccc([C@@H]2CCN(Cc3cncc(C#N)c3)C2)cc1F ZINC000931334683 661468643 /nfs/dbraw/zinc/46/86/43/661468643.db2.gz QUNUEKNHYCOLLU-MRXNPFEDSA-N 0 1 311.360 3.090 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC000931491472 661482053 /nfs/dbraw/zinc/48/20/53/661482053.db2.gz PKDGJVXYOYNVLY-UFYCRDLUSA-N 0 1 303.409 3.210 20 30 DGEDMN N#C[C@@H](c1ccccc1)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000931491472 661482056 /nfs/dbraw/zinc/48/20/56/661482056.db2.gz PKDGJVXYOYNVLY-UFYCRDLUSA-N 0 1 303.409 3.210 20 30 DGEDMN C[C@@]1(Cc2ccc(F)c(Cl)c2)CCCN1C[C@H](O)CC#N ZINC000931555620 661487972 /nfs/dbraw/zinc/48/79/72/661487972.db2.gz WCQOBUAUXDCWFR-CJNGLKHVSA-N 0 1 310.800 3.151 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3cncc(C#N)c3)C2)[nH]n1 ZINC000931607091 661492219 /nfs/dbraw/zinc/49/22/19/661492219.db2.gz CRVQVOZXFHFOBP-MRXNPFEDSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3cncc(C#N)c3)C2)n[nH]1 ZINC000931607091 661492220 /nfs/dbraw/zinc/49/22/20/661492220.db2.gz CRVQVOZXFHFOBP-MRXNPFEDSA-N 0 1 309.417 3.179 20 30 DGEDMN N#Cc1cncc(CN[C@H]2CC3(CCC3)Oc3ccccc32)c1 ZINC000932212897 661549985 /nfs/dbraw/zinc/54/99/85/661549985.db2.gz JAGNWXFKGSWETH-KRWDZBQOSA-N 0 1 305.381 3.489 20 30 DGEDMN C[C@H](N[C@@H](c1ccccn1)C1CC1)C(=O)Nc1cccc(C#N)c1 ZINC000932377817 661564087 /nfs/dbraw/zinc/56/40/87/661564087.db2.gz QPXPDWODIXVDGB-SCLBCKFNSA-N 0 1 320.396 3.021 20 30 DGEDMN C[C@@H](N[C@@H](c1ccccn1)C1CC1)C(=O)Nc1ccccc1C#N ZINC000932381247 661564170 /nfs/dbraw/zinc/56/41/70/661564170.db2.gz PSDKCCNZMKRYPQ-FZKQIMNGSA-N 0 1 320.396 3.021 20 30 DGEDMN Cc1cc(F)ccc1[C@@H](C)NCC(=O)Nc1sccc1C#N ZINC000932555502 661578829 /nfs/dbraw/zinc/57/88/29/661578829.db2.gz FFPFHWVDHHBZOO-LLVKDONJSA-N 0 1 317.389 3.357 20 30 DGEDMN N#CC1CCC(CN2CCn3c(cnc3C(F)(F)F)C2)CC1 ZINC000932579615 661582715 /nfs/dbraw/zinc/58/27/15/661582715.db2.gz WYNWEKYRGWMWMS-UHFFFAOYSA-N 0 1 312.339 3.047 20 30 DGEDMN OC1(C2CCC2)CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC000932813283 661598733 /nfs/dbraw/zinc/59/87/33/661598733.db2.gz JHEMLWWYVAAWFJ-UHFFFAOYSA-N 0 1 303.833 3.319 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H](C2CCOCC2)C1 ZINC000933077934 661615987 /nfs/dbraw/zinc/61/59/87/661615987.db2.gz OKSTWIKCZQNRFY-HNNXBMFYSA-N 0 1 302.393 3.336 20 30 DGEDMN N#CC1(CCN2CCOc3ccccc3[C@H]2CO)CCCCC1 ZINC000933380053 661631495 /nfs/dbraw/zinc/63/14/95/661631495.db2.gz HUSPSSYQTMIQES-QGZVFWFLSA-N 0 1 314.429 3.279 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@H](C)c1ccc2c(c1)CCO2 ZINC000933489128 661636720 /nfs/dbraw/zinc/63/67/20/661636720.db2.gz UFMLIXAUBHDVKH-CYBMUJFWSA-N 0 1 308.381 3.353 20 30 DGEDMN N#Cc1ccc(NC(=O)N2CCN(C3CCC3)CC2)c(C2CC2)c1 ZINC000933837051 661661584 /nfs/dbraw/zinc/66/15/84/661661584.db2.gz AYWHLRLLQRINMR-UHFFFAOYSA-N 0 1 324.428 3.138 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N1CCC[C@@H]1c1cccs1 ZINC000934467355 661708904 /nfs/dbraw/zinc/70/89/04/661708904.db2.gz WVBIQLDNHOKAFM-RRFJBIMHSA-N 0 1 304.459 3.451 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@@H](c2ccco2)N2CCCC2)cc1 ZINC000934937137 661749870 /nfs/dbraw/zinc/74/98/70/661749870.db2.gz CUVXZLOAWPTITK-KRWDZBQOSA-N 0 1 323.396 3.220 20 30 DGEDMN N#CCC1CCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)CC1 ZINC000935317810 661776734 /nfs/dbraw/zinc/77/67/34/661776734.db2.gz IKJWBMRSDRTDMM-UHFFFAOYSA-N 0 1 318.327 3.266 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@@H](C)Oc2ccc(C)cc2)n1 ZINC000041521378 661834933 /nfs/dbraw/zinc/83/49/33/661834933.db2.gz SWCTZBPGSMXYOF-TZMCWYRMSA-N 0 1 300.383 3.404 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000155464810 661847225 /nfs/dbraw/zinc/84/72/25/661847225.db2.gz QHGYSORQILPOGU-SWLSCSKDSA-N 0 1 302.374 3.169 20 30 DGEDMN COCCOc1ccc(/C=C/C(=O)c2ccc(O)cc2O)cc1 ZINC000156631361 661863923 /nfs/dbraw/zinc/86/39/23/661863923.db2.gz VATFOZJKFLCNRL-RUDMXATFSA-N 0 1 314.337 3.019 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2CC(C)(C)C2)CC1 ZINC000948349846 661970204 /nfs/dbraw/zinc/97/02/04/661970204.db2.gz RIUCXUZJFYDWNS-OAHLLOKOSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C(C)(C)C)s2)CC1 ZINC001006432295 661981034 /nfs/dbraw/zinc/98/10/34/661981034.db2.gz WLFGKYYMJWOCSE-UHFFFAOYSA-N 0 1 318.486 3.215 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@H](N(C)C(=O)[C@@H]2CCC2(C)C)CC1 ZINC000948684957 661990989 /nfs/dbraw/zinc/99/09/89/661990989.db2.gz SUAQIVOPCTUYLN-GJZGRUSLSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2CCC2(C)C)CC1 ZINC000948684957 661990992 /nfs/dbraw/zinc/99/09/92/661990992.db2.gz SUAQIVOPCTUYLN-GJZGRUSLSA-N 0 1 312.885 3.488 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)c2ccccc2C)CC1 ZINC001006435319 661994022 /nfs/dbraw/zinc/99/40/22/661994022.db2.gz NEYSUUXEOLPTQC-KRWDZBQOSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1=CCCC1 ZINC000948910067 662006611 /nfs/dbraw/zinc/00/66/11/662006611.db2.gz IDCKCZPYMRXORF-IBGZPJMESA-N 0 1 310.441 3.388 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CCC1(C)C ZINC000948954332 662009211 /nfs/dbraw/zinc/00/92/11/662009211.db2.gz LNPUDWUNIFTBPP-OALUTQOASA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1CC12CCC2 ZINC000948962877 662009934 /nfs/dbraw/zinc/00/99/34/662009934.db2.gz RXLLBTSCPSUGBD-RTBURBONSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC000949642852 662022575 /nfs/dbraw/zinc/02/25/75/662022575.db2.gz ISPYASCOCAONBD-UHFFFAOYSA-N 0 1 306.837 3.291 20 30 DGEDMN C=CCCN1CCN(C(=O)C(C)(C)c2ccc(Cl)cc2)CC1 ZINC000949986753 662040352 /nfs/dbraw/zinc/04/03/52/662040352.db2.gz RGXCVQOSQPVSJY-UHFFFAOYSA-N 0 1 320.864 3.338 20 30 DGEDMN CCN(C)c1ccc(/C=C/C(=O)c2cc(F)ccc2O)cn1 ZINC000191319530 662059366 /nfs/dbraw/zinc/05/93/66/662059366.db2.gz LIWVGZUUWNWONS-QPJJXVBHSA-N 0 1 300.333 3.279 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@H](C)c2cccc(F)c2)CC1 ZINC000950413948 662065041 /nfs/dbraw/zinc/06/50/41/662065041.db2.gz QWWRXLISALNFFA-INIZCTEOSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)Cc2ccccc2F)CC1 ZINC000950465953 662067942 /nfs/dbraw/zinc/06/79/42/662067942.db2.gz AMEQJZLHNUHZRG-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(C)c3ccccc23)CC1 ZINC000950786727 662087874 /nfs/dbraw/zinc/08/78/74/662087874.db2.gz BEYNXIIAHUPJJA-UHFFFAOYSA-N 0 1 308.425 3.482 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(F)ccc2C)C1 ZINC000951010457 662100157 /nfs/dbraw/zinc/10/01/57/662100157.db2.gz VDCOEEYLNOLNCH-UHFFFAOYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)c2ccccc2F)CC1 ZINC000951777500 662151329 /nfs/dbraw/zinc/15/13/29/662151329.db2.gz RAGQWOLJMRESDN-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc2c(c1)CCC(=O)N2 ZINC000090117816 662253673 /nfs/dbraw/zinc/25/36/73/662253673.db2.gz CWARCURZRJJOIJ-GFCCVEGCSA-N 0 1 323.371 3.433 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000246424088 662259241 /nfs/dbraw/zinc/25/92/41/662259241.db2.gz GDKGANKLIKDSIY-LJISPDSOSA-N 0 1 316.788 3.424 20 30 DGEDMN O=C(/C=C/c1ccn(-c2ccccc2)n1)c1ccc(O)cc1O ZINC000090988576 662288322 /nfs/dbraw/zinc/28/83/22/662288322.db2.gz MBKVEMAUMDRCAX-RMKNXTFCSA-N 0 1 306.321 3.180 20 30 DGEDMN CC(C)Cn1ncc(C(=O)Nc2cc(C#N)ccc2O)c1C1CC1 ZINC000092433621 662330945 /nfs/dbraw/zinc/33/09/45/662330945.db2.gz QYKMIOWJOOMNBO-UHFFFAOYSA-N 0 1 324.384 3.246 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2c[nH]cc2C2CC2)C1 ZINC000957063982 662347163 /nfs/dbraw/zinc/34/71/63/662347163.db2.gz RKUYHMWOODFAKN-UHFFFAOYSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC000072252687 685058641 /nfs/dbraw/zinc/05/86/41/685058641.db2.gz IEFWRVKGHQJGAD-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC000072252687 685058645 /nfs/dbraw/zinc/05/86/45/685058645.db2.gz IEFWRVKGHQJGAD-UHFFFAOYSA-N 0 1 300.446 3.318 20 30 DGEDMN CCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OCC ZINC000173972400 685105378 /nfs/dbraw/zinc/10/53/78/685105378.db2.gz OYSJOZVSCFCSIM-QWHCGFSZSA-N 0 1 302.374 3.169 20 30 DGEDMN C=C(Br)CN1CC[C@@H](C)N(Cc2ccccc2)CC1 ZINC000383043534 685165591 /nfs/dbraw/zinc/16/55/91/685165591.db2.gz DNTAGZVQMDZTPS-OAHLLOKOSA-N 0 1 323.278 3.491 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C=C2CCC2)CN1Cc1ccc(C#N)cc1 ZINC001071420595 686658794 /nfs/dbraw/zinc/65/87/94/686658794.db2.gz PTSZMMKCOXHZFQ-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3ccccc3nc2C)CC[C@H]1C ZINC001071545622 686685918 /nfs/dbraw/zinc/68/59/18/686685918.db2.gz BVXSFWUKKCLHCJ-RHSMWYFYSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C)CCCCCC2)CC[C@H]1C ZINC001071576882 686693347 /nfs/dbraw/zinc/69/33/47/686693347.db2.gz RLJFYMBDLGZZQM-SJORKVTESA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3c2CCCCC3)CC[C@@H]1C ZINC001071590858 686695837 /nfs/dbraw/zinc/69/58/37/686695837.db2.gz JEQXWDMUSBMQSZ-FUHWJXTLSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@@H]1C ZINC001071584451 686696385 /nfs/dbraw/zinc/69/63/85/686696385.db2.gz QJUUEWPQHOGGKF-NWDGAFQWSA-N 0 1 312.360 3.294 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3ccc(C)nc32)CC[C@H]1C ZINC001071600558 686697596 /nfs/dbraw/zinc/69/75/96/686697596.db2.gz MUHIWVGEQGWHSK-WBVHZDCISA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)CC[C@@H]1C ZINC001071613018 686701799 /nfs/dbraw/zinc/70/17/99/686701799.db2.gz QINNTTUPCWBHEN-XJKSGUPXSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@H]2CC[C@H](C)N(CC=C)C2)cc1 ZINC001071619353 686703632 /nfs/dbraw/zinc/70/36/32/686703632.db2.gz NGOBBGAKSWARFY-RDJZCZTQSA-N 0 1 314.429 3.020 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)ccc2CC)CC[C@@H]1C ZINC001071645863 686711534 /nfs/dbraw/zinc/71/15/34/686711534.db2.gz OLXZXTBQQYFKJX-BBRMVZONSA-N 0 1 304.409 3.157 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccnc3ccccc32)CC[C@@H]1C ZINC001071662896 686716089 /nfs/dbraw/zinc/71/60/89/686716089.db2.gz FVCXTINSRPKGSK-GJZGRUSLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)CC[C@@H]1C ZINC001071731445 686735528 /nfs/dbraw/zinc/73/55/28/686735528.db2.gz DNPHAPRVONBTQM-HOCLYGCPSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2cccs2)CC[C@@H]1C ZINC001071741494 686739083 /nfs/dbraw/zinc/73/90/83/686739083.db2.gz HMAIBXGNGVOFAO-KBPBESRZSA-N 0 1 306.475 3.181 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccsc3c2)CC[C@H]1C ZINC001071743154 686740458 /nfs/dbraw/zinc/74/04/58/686740458.db2.gz XEYSOQBUPRNXKS-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncsc2C2CC2)CC[C@H]1C ZINC001071822507 686760792 /nfs/dbraw/zinc/76/07/92/686760792.db2.gz XXQBRBWUZSGCRV-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(F)c2Cl)CC[C@H]1C ZINC001071839503 686767193 /nfs/dbraw/zinc/76/71/93/686767193.db2.gz FQBPIQQWBLVMTC-CHWSQXEVSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@@H]1C ZINC001071848544 686770629 /nfs/dbraw/zinc/77/06/29/686770629.db2.gz UJEHFADNCPUOLS-JSGCOSHPSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@H]1C ZINC001071848545 686771000 /nfs/dbraw/zinc/77/10/00/686771000.db2.gz UJEHFADNCPUOLS-OCCSQVGLSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2CCCCCC2)CC[C@H]1C ZINC001071852039 686772016 /nfs/dbraw/zinc/77/20/16/686772016.db2.gz ULYBEHLLTBOYBG-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3cc(F)ccc3o2)CC[C@H]1C ZINC001071867536 686775536 /nfs/dbraw/zinc/77/55/36/686775536.db2.gz BVEGQCAOJRLKBW-IUODEOHRSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(C)cc2OC)CC[C@H]1C ZINC001071869285 686776826 /nfs/dbraw/zinc/77/68/26/686776826.db2.gz PGWCSMYIUJTCOX-OCCSQVGLSA-N 0 1 322.474 3.224 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2oc(C3CC3)nc2C)CC[C@@H]1C ZINC001071884086 686782162 /nfs/dbraw/zinc/78/21/62/686782162.db2.gz XKIJOTTUFFGOLF-WFASDCNBSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)cc(OC)c2)CC[C@@H]1C ZINC001071917185 686790679 /nfs/dbraw/zinc/79/06/79/686790679.db2.gz YFUVQGYQIMAMQS-SWLSCSKDSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccoc2CCC)CC[C@@H]1C ZINC001071982651 686803801 /nfs/dbraw/zinc/80/38/01/686803801.db2.gz OKJXDXJPPADMPV-GJZGRUSLSA-N 0 1 304.434 3.391 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(C(F)F)c2)CC[C@H]1C ZINC001072005924 686812263 /nfs/dbraw/zinc/81/22/63/686812263.db2.gz QIUDCZHNQSNCLX-DOMZBBRYSA-N 0 1 308.372 3.393 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)CC[C@@H]1C ZINC001072009578 686815891 /nfs/dbraw/zinc/81/58/91/686815891.db2.gz YHBSCNJYSSVSMQ-XLAORIBOSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ccccc3n2)CC[C@@H]1C ZINC001072019732 686826917 /nfs/dbraw/zinc/82/69/17/686826917.db2.gz NDFZMQIGPNSASX-GOEBONIOSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C(C)C)cc2)CC[C@H]1C ZINC001072020423 686827897 /nfs/dbraw/zinc/82/78/97/686827897.db2.gz ZOXZHUULWOUDTP-APWZRJJASA-N 0 1 312.457 3.416 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(Cl)s2)CC[C@@H]1C ZINC001072050178 686845933 /nfs/dbraw/zinc/84/59/33/686845933.db2.gz UCSLTKAIXRKQSV-RYUDHWBXSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C3CCCC3)no2)CC[C@H]1C ZINC001072088184 686859896 /nfs/dbraw/zinc/85/98/96/686859896.db2.gz HINKOQIZAZFETP-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cncc3ccccc32)CC[C@@H]1C ZINC001072092653 686861281 /nfs/dbraw/zinc/86/12/81/686861281.db2.gz VLWLQPFTOUEJJN-HOCLYGCPSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3ccc(C)cc3)CCC2)CC[C@H]1C ZINC001072101921 686868181 /nfs/dbraw/zinc/86/81/81/686868181.db2.gz LNLDZWZNDXTBMS-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2CCC(C)(C)CC2)CC[C@@H]1C ZINC001072104815 686868703 /nfs/dbraw/zinc/86/87/03/686868703.db2.gz DGHUWEITJBGQSW-DOTOQJQBSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C3CC3)c2)CC[C@@H]1C ZINC001072109622 686870307 /nfs/dbraw/zinc/87/03/07/686870307.db2.gz KGBBCPBRZLXURD-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C3CC3)c2)CC[C@H]1C ZINC001072109620 686870702 /nfs/dbraw/zinc/87/07/02/686870702.db2.gz KGBBCPBRZLXURD-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC2(C1)CCN(C[C@H](F)CC)C2 ZINC001072779768 686960091 /nfs/dbraw/zinc/96/00/91/686960091.db2.gz FUWLQIYCFWRAMX-CYBMUJFWSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCC(F)(F)C2)[C@H]1C ZINC001074681121 687289541 /nfs/dbraw/zinc/28/95/41/687289541.db2.gz FWLSZVJPJQKSHA-XQQFMLRXSA-N 0 1 320.811 3.143 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C4CCCC4)cc3)[C@@H]2C1 ZINC001076054697 687471204 /nfs/dbraw/zinc/47/12/04/687471204.db2.gz SCPJRVWOPSQUGO-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4cc(C)c(C)cc4o3)[C@@H]2C1 ZINC001076467984 687526265 /nfs/dbraw/zinc/52/62/65/687526265.db2.gz YNPJIHMAHPCRCC-DOTOQJQBSA-N 0 1 324.424 3.382 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3oc4ccccc4c3CC)[C@@H]2C1 ZINC001076623229 687545167 /nfs/dbraw/zinc/54/51/67/687545167.db2.gz MGFTWKNOVAUHMS-WMLDXEAASA-N 0 1 324.424 3.328 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(-c4ccccc4)co3)[C@@H]2C1 ZINC001076645432 687546698 /nfs/dbraw/zinc/54/66/98/687546698.db2.gz JEFXDHNUTNCOHO-FUHWJXTLSA-N 0 1 322.408 3.279 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001079887394 687901523 /nfs/dbraw/zinc/90/15/23/687901523.db2.gz YRMANNPQKSLYKO-NXWXRZEISA-N 0 1 316.489 3.053 20 30 DGEDMN CCC[C@@H](C(=O)OCC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001620623032 1192047439 /nfs/dbraw/zinc/04/74/39/1192047439.db2.gz JGRHQZNPUHVSTA-SFHVURJKSA-N 0 1 314.429 3.469 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](F)C(C)C ZINC001661261913 1196589814 /nfs/dbraw/zinc/58/98/14/1196589814.db2.gz JCKDCQVVMDFXGI-BBWFWOEESA-N 0 1 310.457 3.402 20 30 DGEDMN C=C(CC(C)C)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC001584307070 1192188524 /nfs/dbraw/zinc/18/85/24/1192188524.db2.gz UGCUYSUVGGRGJS-INIZCTEOSA-N 0 1 304.434 3.443 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2cc(C)cc(C=O)c2O)c1 ZINC001585090041 1192240917 /nfs/dbraw/zinc/24/09/17/1192240917.db2.gz MVBUCTAHKYZMSF-UHFFFAOYSA-N 0 1 307.349 3.161 20 30 DGEDMN C#CCN(CC)CCNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001663460665 1196873761 /nfs/dbraw/zinc/87/37/61/1196873761.db2.gz AZGRSJQKBRJBRU-UHFFFAOYSA-N 0 1 306.837 3.148 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2ccccc2C#Cc2ccccc2)C1 ZINC001587736354 1192415145 /nfs/dbraw/zinc/41/51/45/1192415145.db2.gz NTLDPEGGMLAUNN-QGZVFWFLSA-N 0 1 318.420 3.367 20 30 DGEDMN C[C@H]1CCN(CC(=O)Nc2ccccc2C#Cc2ccccc2)C1 ZINC001587736353 1192415208 /nfs/dbraw/zinc/41/52/08/1192415208.db2.gz NTLDPEGGMLAUNN-KRWDZBQOSA-N 0 1 318.420 3.367 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C(C)C)Cc1ccc(C#N)s1 ZINC001663684042 1196928508 /nfs/dbraw/zinc/92/85/08/1196928508.db2.gz OJQLLMMDWGYICG-CQSZACIVSA-N 0 1 321.490 3.240 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1ccc(-c2nn[nH]n2)cc1Cl ZINC001588527772 1192448800 /nfs/dbraw/zinc/44/88/00/1192448800.db2.gz WEJYHISKOBGOEZ-CYBMUJFWSA-N 0 1 303.797 3.061 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@H](C)[C@@H](C)C2)o1 ZINC001589773967 1192473388 /nfs/dbraw/zinc/47/33/88/1192473388.db2.gz UHYCAOWHBVRUPZ-UWVGGRQHSA-N 0 1 317.397 3.202 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4ccncc43)[nH]c2c1 ZINC001595740376 1192668550 /nfs/dbraw/zinc/66/85/50/1192668550.db2.gz ROHOQSBQZGMOCH-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(Cl)ccc3F)[nH]c2c1 ZINC001595735083 1192668093 /nfs/dbraw/zinc/66/80/93/1192668093.db2.gz UYYSCHCOJYXUNW-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)/C=C(/CC)c2ccc(C)cc2)C1 ZINC001595790697 1192670614 /nfs/dbraw/zinc/67/06/14/1192670614.db2.gz POQPWBHAQVFADL-RBAUTDOXSA-N 0 1 310.441 3.002 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C=C2CCC(C(C)(C)C)CC2)C1 ZINC001595791055 1192670829 /nfs/dbraw/zinc/67/08/29/1192670829.db2.gz WJHFEZIZWWKDMJ-QRWMCTBCSA-N 0 1 316.489 3.363 20 30 DGEDMN Cc1cc(C=O)c(O)c(C(=O)Nc2ccc(CCC#N)cc2)c1 ZINC001596631185 1192699557 /nfs/dbraw/zinc/69/95/57/1192699557.db2.gz BBMVSDYOGWUZCV-UHFFFAOYSA-N 0 1 308.337 3.222 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(C(C)C)c1 ZINC001691794212 1176073088 /nfs/dbraw/zinc/07/30/88/1176073088.db2.gz UOOSFDPNUORGNS-IBGZPJMESA-N 0 1 312.457 3.370 20 30 DGEDMN C#CCN(CC#C)CC/C=C\c1ccc(Br)cc1 ZINC001772516080 1176105406 /nfs/dbraw/zinc/10/54/06/1176105406.db2.gz DQQUUGCWQVEDNM-ALCCZGGFSA-N 0 1 302.215 3.421 20 30 DGEDMN C#CCN(CC)CCNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001691026215 1176132364 /nfs/dbraw/zinc/13/23/64/1176132364.db2.gz VRYSOOFKTIFWNG-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(CC)cc1)C1CC1 ZINC001670551995 1176169190 /nfs/dbraw/zinc/16/91/90/1176169190.db2.gz ZHECUSNAOJMPLX-INIZCTEOSA-N 0 1 306.837 3.100 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2CC2=CCCCC2)CCC1 ZINC001670686586 1176218006 /nfs/dbraw/zinc/21/80/06/1176218006.db2.gz HXZKKBCVVFLQQL-QGZVFWFLSA-N 0 1 302.462 3.424 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001754142575 1176269650 /nfs/dbraw/zinc/26/96/50/1176269650.db2.gz WRSZLUQDJSOIOM-ONEGZZNKSA-N 0 1 320.811 3.217 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001691082342 1176299721 /nfs/dbraw/zinc/29/97/21/1176299721.db2.gz IHYZSPFSWMSSEA-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C(C)C[N@@H+](CCNC(=O)c1cc(F)c(C)cc1F)C1CC1 ZINC001691213053 1176395465 /nfs/dbraw/zinc/39/54/65/1176395465.db2.gz IWMKYXVHCLCYRJ-UHFFFAOYSA-N 0 1 308.372 3.044 20 30 DGEDMN CC(C)C#CC(=O)N1CCCC[C@H]1CN(C)Cc1ccsc1 ZINC001691784397 1176437687 /nfs/dbraw/zinc/43/76/87/1176437687.db2.gz ALHGXVTYGNIIOT-KRWDZBQOSA-N 0 1 318.486 3.220 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](CC)CC1CCCC1 ZINC001691401954 1176468857 /nfs/dbraw/zinc/46/88/57/1176468857.db2.gz CGJHYAONQMDQPE-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H](C=C)c1ccccc1 ZINC001691416722 1176475630 /nfs/dbraw/zinc/47/56/30/1176475630.db2.gz OTZOEDVCZPKPHU-MJGOQNOKSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cc(F)ccc1Cl ZINC001691427373 1176511295 /nfs/dbraw/zinc/51/12/95/1176511295.db2.gz XJVPDKGMJMGZCL-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)CCC(F)(F)F ZINC001750937870 1176569746 /nfs/dbraw/zinc/56/97/46/1176569746.db2.gz QJTKHVUZLDHDKH-ZDUSSCGKSA-N 0 1 306.372 3.218 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1csc(C)n1)C1CC1 ZINC001754447390 1176575805 /nfs/dbraw/zinc/57/58/05/1176575805.db2.gz DPWFSGWOCBLLRG-OAHLLOKOSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCC(=O)N(C)CCN[C@H]1CCc2c1c(F)ccc2F ZINC001691454090 1176620667 /nfs/dbraw/zinc/62/06/67/1176620667.db2.gz QYMDJZQTAKJBAR-INIZCTEOSA-N 0 1 322.399 3.356 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001691458276 1176623943 /nfs/dbraw/zinc/62/39/43/1176623943.db2.gz PGDJBQFEBCLFRN-KFWWJZLASA-N 0 1 321.490 3.038 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1 ZINC001597988931 1192757693 /nfs/dbraw/zinc/75/76/93/1192757693.db2.gz BPDDLBFQWNZQQF-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cn[nH]c3CCC)cc21 ZINC001598315572 1192769875 /nfs/dbraw/zinc/76/98/75/1192769875.db2.gz GBKFZXZJRXEVTR-UHFFFAOYSA-N 0 1 309.373 3.150 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cc(C(F)F)n[nH]3)cc21 ZINC001598316896 1192770059 /nfs/dbraw/zinc/77/00/59/1192770059.db2.gz YWYBEVKNKBUORR-UHFFFAOYSA-N 0 1 317.299 3.135 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C(C)(C)C(F)F)C1 ZINC001752518386 1176880091 /nfs/dbraw/zinc/88/00/91/1176880091.db2.gz ULQWVXPHAIFPSC-LBPRGKRZSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H](C)C(C)C)cc1 ZINC001753590843 1176913536 /nfs/dbraw/zinc/91/35/36/1176913536.db2.gz QQWQUFBCANPMQR-CQSZACIVSA-N 0 1 308.853 3.437 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CC(C)C)C1CCCCC1 ZINC001753742976 1176946834 /nfs/dbraw/zinc/94/68/34/1176946834.db2.gz CFAZBVSRBFQZGD-HNNXBMFYSA-N 0 1 300.874 3.440 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001753852058 1176965725 /nfs/dbraw/zinc/96/57/25/1176965725.db2.gz ZTALCPDNYUDNGM-CQSZACIVSA-N 0 1 319.424 3.024 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC=C)CCCC1)c1ccccc1 ZINC001691805886 1177014090 /nfs/dbraw/zinc/01/40/90/1177014090.db2.gz RZMCHWMGAZQCAT-SFHVURJKSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC(C1CCC1)C1CCC1 ZINC001752822264 1177204590 /nfs/dbraw/zinc/20/45/90/1177204590.db2.gz CVPKWTYDYIZMOW-GOSISDBHSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001670829519 1177213395 /nfs/dbraw/zinc/21/33/95/1177213395.db2.gz OXZRNLFOBDBWFT-HUUCEWRRSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C\C(C)(C)C)C[C@@H]2C1 ZINC001691547606 1177309218 /nfs/dbraw/zinc/30/92/18/1177309218.db2.gz IHCOKRIWODULJT-IORUMNFESA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(Cl)c1Cl ZINC001691569770 1177316109 /nfs/dbraw/zinc/31/61/09/1177316109.db2.gz BGGAVGQWZYOIEX-UHFFFAOYSA-N 0 1 321.635 3.408 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001691781756 1177422430 /nfs/dbraw/zinc/42/24/30/1177422430.db2.gz CJZGXFZOKGNNQR-OALUTQOASA-N 0 1 324.468 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C)c(C)o2)C1 ZINC001691849804 1177451558 /nfs/dbraw/zinc/45/15/58/1177451558.db2.gz VMASLOCGKPZLIU-INIZCTEOSA-N 0 1 304.434 3.399 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC001670889384 1177465815 /nfs/dbraw/zinc/46/58/15/1177465815.db2.gz DRRHMIRBYMAWNR-AWEZNQCLSA-N 0 1 316.848 3.286 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cnc(C3CC3)o2)C1 ZINC001691853318 1177508787 /nfs/dbraw/zinc/50/87/87/1177508787.db2.gz UMHPJXOHCJKVQV-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CCC(F)F)C2)CC1 ZINC001671055250 1177607772 /nfs/dbraw/zinc/60/77/72/1177607772.db2.gz MFMGHQGPNNSHLR-UHFFFAOYSA-N 0 1 320.811 3.099 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2c(F)cccc2Cl)C1 ZINC001671192381 1194803810 /nfs/dbraw/zinc/80/38/10/1194803810.db2.gz BWWRPYSEYGEIFC-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c[nH]c3ccc(F)cc32)CC1 ZINC001113735642 1177729705 /nfs/dbraw/zinc/72/97/05/1177729705.db2.gz YHFJUNASWAYDHQ-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN Cn1ccnc1C[C@H](NCc1csc(C#N)c1)c1ccccc1 ZINC001621016648 1192834106 /nfs/dbraw/zinc/83/41/06/1192834106.db2.gz RGHLUEYWLLOWFM-KRWDZBQOSA-N 0 1 322.437 3.427 20 30 DGEDMN COc1cc(C#N)ccc1CN1Cc2ccccc2N(C)C[C@@H]1C ZINC000347238463 1177817794 /nfs/dbraw/zinc/81/77/94/1177817794.db2.gz QHOVLFVEDBKPIU-HNNXBMFYSA-N 0 1 321.424 3.407 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@@H](C)CC(C)(C)C)CC1 ZINC001197262875 1177842725 /nfs/dbraw/zinc/84/27/25/1177842725.db2.gz RGLSCIDWDQVCCK-QGZVFWFLSA-N 0 1 324.509 3.186 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110933546 1177944507 /nfs/dbraw/zinc/94/45/07/1177944507.db2.gz MWUJWGQWXXJNGM-DGAVXFQQSA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C[C@@H]2CC[C@H]3C[C@H]32)C1 ZINC001671144412 1178047337 /nfs/dbraw/zinc/04/73/37/1178047337.db2.gz HNSMQGJPEPWDOW-XYJFISCASA-N 0 1 304.478 3.312 20 30 DGEDMN Cc1cc(O)cc(NS(=O)(=O)c2ccc(C#N)cc2Cl)c1 ZINC001259112855 1178083435 /nfs/dbraw/zinc/08/34/35/1178083435.db2.gz NOVDLUHOQWBTMZ-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCC1(C(=O)N2CCC(N(C)CC(=C)Cl)CC2)CCC1 ZINC001331039147 1178120695 /nfs/dbraw/zinc/12/06/95/1178120695.db2.gz OOIWQRBCQJZQEF-UHFFFAOYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C)nc1C(C)C ZINC001331520295 1178233367 /nfs/dbraw/zinc/23/33/67/1178233367.db2.gz ZZEXZZMCSFIPKB-CQSZACIVSA-N 0 1 323.868 3.316 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2ncc(C)s2)CCC1 ZINC001331544373 1178240920 /nfs/dbraw/zinc/24/09/20/1178240920.db2.gz AKOZVBWOILYFRQ-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C[C@@H](NC[C@H](O)CC1(C#N)CC1)c1nc(C(C)(C)C)cs1 ZINC001331730175 1178274784 /nfs/dbraw/zinc/27/47/84/1178274784.db2.gz KRAKZIDKPJYXSZ-VXGBXAGGSA-N 0 1 307.463 3.146 20 30 DGEDMN Cc1cccc2c1C[N@H+]([C@H](C(=O)[O-])c1ccc(C#N)cc1)CC2 ZINC001600556745 1192873844 /nfs/dbraw/zinc/87/38/44/1192873844.db2.gz OJLIAXLRGJAGEK-SFHVURJKSA-N 0 1 306.365 3.051 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C#CC(C)(C)C)CCCN1Cc1ccsc1 ZINC001332174426 1178379755 /nfs/dbraw/zinc/37/97/55/1178379755.db2.gz FZPTUPDQNBKGPG-GDBMZVCRSA-N 0 1 318.486 3.267 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1CCCCC1)C(C)(C)C ZINC001332753655 1178491205 /nfs/dbraw/zinc/49/12/05/1178491205.db2.gz ODNGRDHXHUZLEW-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CN[C@@H](C)c1cnccn1)C(C)(C)C ZINC001332811771 1178501100 /nfs/dbraw/zinc/50/11/00/1178501100.db2.gz WVPUUCJZYIZAHL-HOCLYGCPSA-N 0 1 318.465 3.014 20 30 DGEDMN C=CCC1(C(=O)NCC2=CCN(C[C@@H](F)CC)CC2)CCC1 ZINC001333398540 1178600327 /nfs/dbraw/zinc/60/03/27/1178600327.db2.gz APOXJFDBVBAJTO-INIZCTEOSA-N 0 1 308.441 3.229 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2c(C)cc(Cl)cc2C)CC1 ZINC001333530041 1178622302 /nfs/dbraw/zinc/62/23/02/1178622302.db2.gz VLVVNSWYLNCXEH-UHFFFAOYSA-N 0 1 319.836 3.176 20 30 DGEDMN C#Cc1ccc(NC(=O)c2ccc(CN3CCCCC3)o2)cc1 ZINC001333587743 1178633070 /nfs/dbraw/zinc/63/30/70/1178633070.db2.gz QCUQHCLYDFHIRB-UHFFFAOYSA-N 0 1 308.381 3.499 20 30 DGEDMN N#C[C@@H]1CCC[N@@H+]([C@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059582 1192914942 /nfs/dbraw/zinc/91/49/42/1192914942.db2.gz HTPKUFNVRYLEMS-GWCFXTLKSA-N 0 1 312.291 3.067 20 30 DGEDMN N#C[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059582 1192914944 /nfs/dbraw/zinc/91/49/44/1192914944.db2.gz HTPKUFNVRYLEMS-GWCFXTLKSA-N 0 1 312.291 3.067 20 30 DGEDMN N#CCOc1ccc(Cl)cc1C[N@@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC001601074333 1192915653 /nfs/dbraw/zinc/91/56/53/1192915653.db2.gz SOIYQLBZNSDZMW-CQSZACIVSA-N 0 1 322.792 3.072 20 30 DGEDMN N#CCOc1ccc(Cl)cc1C[N@@H+]1CCCCC[C@H]1C(=O)[O-] ZINC001601074332 1192915935 /nfs/dbraw/zinc/91/59/35/1192915935.db2.gz SOIYQLBZNSDZMW-AWEZNQCLSA-N 0 1 322.792 3.072 20 30 DGEDMN N#Cc1c(C[NH+]2CCC(C(F)(F)F)CC2)cccc1C(=O)[O-] ZINC001601081294 1192916668 /nfs/dbraw/zinc/91/66/68/1192916668.db2.gz JSMLQNOOHBBPAY-UHFFFAOYSA-N 0 1 312.291 3.031 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@@H]21 ZINC001601086932 1192917288 /nfs/dbraw/zinc/91/72/88/1192917288.db2.gz NONDTDBDQCBRAL-JYJNAYRXSA-N 0 1 318.804 3.287 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)[C@@H](C)c1ccccc1 ZINC001342070492 1179097978 /nfs/dbraw/zinc/09/79/78/1179097978.db2.gz CYNLIQAJWSLTRS-AWEZNQCLSA-N 0 1 322.416 3.360 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@H](C(C)C)C1 ZINC001342071781 1179098258 /nfs/dbraw/zinc/09/82/58/1179098258.db2.gz NWVRJYGZYXMTSU-AWEZNQCLSA-N 0 1 314.437 3.035 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CC)Cc1ccccc1 ZINC001342073151 1179099973 /nfs/dbraw/zinc/09/99/73/1179099973.db2.gz XYKVNEQEHIDMFE-UHFFFAOYSA-N 0 1 322.416 3.189 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)C[C@H](N)c1ccccc1OCC ZINC001342453651 1179142007 /nfs/dbraw/zinc/14/20/07/1179142007.db2.gz IKQAQQQIKRYIHI-WBVHZDCISA-N 0 1 316.445 3.432 20 30 DGEDMN N#CC(C(=O)C=Cc1cccs1)c1nc(N)c2ccccc2n1 ZINC001342521549 1179149214 /nfs/dbraw/zinc/14/92/14/1179149214.db2.gz SGUIXGSJLXIGQK-MEJMFZKBSA-N 0 1 320.377 3.163 20 30 DGEDMN C=C[C@@H](COC)NCC1=Cc2cc(Br)ccc2OC1 ZINC001342727591 1179178904 /nfs/dbraw/zinc/17/89/04/1179178904.db2.gz JJTISBKWUZRZFU-AWEZNQCLSA-N 0 1 324.218 3.016 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C(C)(C)c1cccc(Cl)c1 ZINC001342924659 1179210085 /nfs/dbraw/zinc/21/00/85/1179210085.db2.gz NUYDQHCWYNOHMS-CYBMUJFWSA-N 0 1 301.777 3.228 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2cccc(Cl)c2)CC1 ZINC001342923138 1179210323 /nfs/dbraw/zinc/21/03/23/1179210323.db2.gz BKIMEVDVXOZNJW-CQSZACIVSA-N 0 1 313.788 3.273 20 30 DGEDMN N#CC(C(=O)c1coc(-c2ccccc2)n1)c1cccc(F)n1 ZINC001343313174 1179254679 /nfs/dbraw/zinc/25/46/79/1179254679.db2.gz VPWYUTLZBUSCKJ-GFCCVEGCSA-N 0 1 307.284 3.366 20 30 DGEDMN C=CC1(CC(=O)C(C#N)C(=O)NC2CCCC2)CCCCC1 ZINC001343642172 1179309151 /nfs/dbraw/zinc/30/91/51/1179309151.db2.gz OZGUQXYEMLXXSI-HNNXBMFYSA-N 0 1 302.418 3.281 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2ccc(Cl)cc2)CC1 ZINC001343704062 1179319585 /nfs/dbraw/zinc/31/95/85/1179319585.db2.gz GRVWNTGHYYKVAG-CQSZACIVSA-N 0 1 313.788 3.273 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)CCC(C)C ZINC001343761840 1179328779 /nfs/dbraw/zinc/32/87/79/1179328779.db2.gz BLZRIBRMANQSGP-UHFFFAOYSA-N 0 1 302.426 3.035 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)[C@]1(c2ccc(F)cc2)CC1(C)C ZINC001343841620 1179336462 /nfs/dbraw/zinc/33/64/62/1179336462.db2.gz GWXCGXBAGNBNIP-KBXCAEBGSA-N 0 1 311.360 3.103 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCCC[C@@H]1CC ZINC001343920268 1179350444 /nfs/dbraw/zinc/35/04/44/1179350444.db2.gz ZRPRCTTXRLGWGA-AWEZNQCLSA-N 0 1 314.437 3.322 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)CCCC ZINC001343927830 1179350704 /nfs/dbraw/zinc/35/07/04/1179350704.db2.gz LDGRNMMHNLVOPF-UHFFFAOYSA-N 0 1 302.426 3.179 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001344322177 1179412456 /nfs/dbraw/zinc/41/24/56/1179412456.db2.gz JJFHWAYIKAURGX-HIFRSBDPSA-N 0 1 313.788 3.444 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c[nH]c2cc(C)ccc12 ZINC001344325811 1179414463 /nfs/dbraw/zinc/41/44/63/1179414463.db2.gz NDJDWGRGWBEVSY-HNNXBMFYSA-N 0 1 306.369 3.112 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@H]2CCc3c2cccc3Cl)CC1 ZINC001344372825 1179422383 /nfs/dbraw/zinc/42/23/83/1179422383.db2.gz FFAYFDULZSHESE-INIZCTEOSA-N 0 1 317.816 3.011 20 30 DGEDMN CCCc1nc(C)c(C(=O)C(C#N)c2nccn2CC)s1 ZINC001344875124 1179490589 /nfs/dbraw/zinc/49/05/89/1179490589.db2.gz KDIGNUYKEHMSNU-LLVKDONJSA-N 0 1 302.403 3.111 20 30 DGEDMN C=C1CCC(CNC(=O)C[C@H](N)c2ccccc2OCC)CC1 ZINC001344889320 1179493395 /nfs/dbraw/zinc/49/33/95/1179493395.db2.gz BVGXFACRLMDDHI-KRWDZBQOSA-N 0 1 316.445 3.338 20 30 DGEDMN CCCCCCN(C)CC(=O)C(C#N)c1ncc(F)cc1F ZINC001344982626 1179506844 /nfs/dbraw/zinc/50/68/44/1179506844.db2.gz FSUPPTPBFYNDKL-ZDUSSCGKSA-N 0 1 309.360 3.048 20 30 DGEDMN CC(C)c1cccc(C(=O)[C@@H](C#N)c2ncc(Cl)n2C)n1 ZINC001346096138 1179653362 /nfs/dbraw/zinc/65/33/62/1179653362.db2.gz MVKAWGNQRPFIQR-SNVBAGLBSA-N 0 1 302.765 3.082 20 30 DGEDMN CC(C)c1cccc(C(=O)C(C#N)c2ncc(Cl)n2C)n1 ZINC001346096138 1179653366 /nfs/dbraw/zinc/65/33/66/1179653366.db2.gz MVKAWGNQRPFIQR-SNVBAGLBSA-N 0 1 302.765 3.082 20 30 DGEDMN C#CCN1CCC(OC(=O)C2(CC)CCC(F)(F)CC2)CC1 ZINC001346150015 1179662863 /nfs/dbraw/zinc/66/28/63/1179662863.db2.gz FGGYZKWUXHUPKB-UHFFFAOYSA-N 0 1 313.388 3.233 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)c1nnc2n1CCCCC2 ZINC001346527029 1179715283 /nfs/dbraw/zinc/71/52/83/1179715283.db2.gz PVHDOBMBIFPUPA-APIJFGDWSA-N 0 1 312.417 3.007 20 30 DGEDMN C=C(CC(C)C)C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC001347250039 1179788728 /nfs/dbraw/zinc/78/87/28/1179788728.db2.gz GZIDQRJWISYRQC-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cc(C(F)F)ccc2F)CC1 ZINC001347391953 1179802310 /nfs/dbraw/zinc/80/23/10/1179802310.db2.gz OBGRCMFZAGWUAE-UHFFFAOYSA-N 0 1 311.303 3.018 20 30 DGEDMN C#C[C@@H]([NH2+][C@@H](C(=O)[O-])c1ccccc1C(F)(F)F)C(C)(C)C ZINC001602677847 1192994265 /nfs/dbraw/zinc/99/42/65/1192994265.db2.gz DABFHLQTHDSUSO-CHWSQXEVSA-N 0 1 313.319 3.469 20 30 DGEDMN C#C[C@H]([NH2+][C@@H](C(=O)[O-])c1ccccc1C(F)(F)F)C(C)(C)C ZINC001602677849 1192994525 /nfs/dbraw/zinc/99/45/25/1192994525.db2.gz DABFHLQTHDSUSO-QWHCGFSZSA-N 0 1 313.319 3.469 20 30 DGEDMN C#CC[C@H]([NH2+][C@H](C)c1ncc(C(=O)[O-])s1)c1ccccc1 ZINC001602693955 1192994706 /nfs/dbraw/zinc/99/47/06/1192994706.db2.gz DLUJWFMRCRKYLC-YPMHNXCESA-N 0 1 300.383 3.257 20 30 DGEDMN C=C(C)C[C@@H]([NH2+]Cc1csc(-c2ccccc2)n1)C(=O)[O-] ZINC001602755082 1192995973 /nfs/dbraw/zinc/99/59/73/1192995973.db2.gz GUXFIFKNIDBJGK-CQSZACIVSA-N 0 1 302.399 3.319 20 30 DGEDMN C[C@H](NCc1ccc(NC(=O)C2CC2)cc1)c1cc(C#N)ccn1 ZINC001350623965 1180096222 /nfs/dbraw/zinc/09/62/22/1180096222.db2.gz UDXAJBOOHKNNFS-ZDUSSCGKSA-N 0 1 320.396 3.153 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@H](c1ccsc1)N(C)C)c1ccccc1 ZINC001350880777 1180136343 /nfs/dbraw/zinc/13/63/43/1180136343.db2.gz DPJYBTIJFZVMJL-IAGOWNOFSA-N 0 1 314.454 3.437 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H](c3nc4ccccc4[nH]3)C2)c1 ZINC001351151632 1180179350 /nfs/dbraw/zinc/17/93/50/1180179350.db2.gz VEPOQZSOIFKXGL-MRXNPFEDSA-N 0 1 317.396 3.209 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)Nc2ccc(CCC#N)cc2)CC1 ZINC001351643538 1180233204 /nfs/dbraw/zinc/23/32/04/1180233204.db2.gz QZAUNHWJROJXDQ-UHFFFAOYSA-N 0 1 314.433 3.091 20 30 DGEDMN Cc1c[nH]c(-c2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2)n1 ZINC001300081791 1180377927 /nfs/dbraw/zinc/37/79/27/1180377927.db2.gz CZTYRCBXAULDQE-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C)[C@H](C(C)(C)C)C2)CCCCC1 ZINC001300096383 1180387625 /nfs/dbraw/zinc/38/76/25/1180387625.db2.gz HNCASBRYAMQUGE-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@@H](NCCOC1CCSCC1)c1ccc(C#N)cc1F ZINC001352738409 1180389820 /nfs/dbraw/zinc/38/98/20/1180389820.db2.gz VQQHYJPZIILWEO-GFCCVEGCSA-N 0 1 308.422 3.260 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001480532667 1180615442 /nfs/dbraw/zinc/61/54/42/1180615442.db2.gz OEVPTGUFUPCNBJ-PXAZEXFGSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NCc2nc(C)c(C)s2)C1 ZINC001100370972 1180623905 /nfs/dbraw/zinc/62/39/05/1180623905.db2.gz JKYJVMDDRFXSLU-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100597085 1180835804 /nfs/dbraw/zinc/83/58/04/1180835804.db2.gz PGDJBQFEBCLFRN-NFOMZHRRSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100597457 1180838343 /nfs/dbraw/zinc/83/83/43/1180838343.db2.gz WFJYWIWDAGQRON-NFOMZHRRSA-N 0 1 321.490 3.038 20 30 DGEDMN C[C@H](C[N@@H+](Cc1ccc(C#N)s1)Cc1ccccc1)C(=O)[O-] ZINC001604165857 1193058244 /nfs/dbraw/zinc/05/82/44/1193058244.db2.gz PDHRJMREGYGBKY-CYBMUJFWSA-N 0 1 314.410 3.343 20 30 DGEDMN CCCCCCCCC[NH2+]Cc1nc(-c2nn[n-]n2)cs1 ZINC001604176615 1193059176 /nfs/dbraw/zinc/05/91/76/1193059176.db2.gz VPVDGMMJKJHPFY-UHFFFAOYSA-N 0 1 308.455 3.163 20 30 DGEDMN Cc1ccc2nc(CNC(=O)[C@@H](CC#N)c3ccccc3)[nH]c2c1 ZINC001354826305 1180915261 /nfs/dbraw/zinc/91/52/61/1180915261.db2.gz SXCKIQHCGPDXDF-HNNXBMFYSA-N 0 1 318.380 3.185 20 30 DGEDMN N#Cc1ccc(OC(=O)c2ccc(CN3CCCCC3)o2)cc1 ZINC001354888317 1180927511 /nfs/dbraw/zinc/92/75/11/1180927511.db2.gz NPHORZMVGJMSGH-UHFFFAOYSA-N 0 1 310.353 3.356 20 30 DGEDMN C=C1CCC(CNC(=O)C[C@@H](N)c2ccccc2OCC)CC1 ZINC001354929379 1180936706 /nfs/dbraw/zinc/93/67/06/1180936706.db2.gz BVGXFACRLMDDHI-QGZVFWFLSA-N 0 1 316.445 3.338 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2nc(C)cs2)CCCC1 ZINC001649453646 1195343082 /nfs/dbraw/zinc/34/30/82/1195343082.db2.gz XVCVSNGIYVNUEG-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C#CCN(C(=O)c1cnc(C2CC2)[nH]1)[C@@H]1CCCc2ccccc21 ZINC001355146068 1180996553 /nfs/dbraw/zinc/99/65/53/1180996553.db2.gz HEZUEUJDRQFQIC-GOSISDBHSA-N 0 1 319.408 3.440 20 30 DGEDMN Cc1cc(C)cc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)c1 ZINC001357545986 1181407478 /nfs/dbraw/zinc/40/74/78/1181407478.db2.gz YSKXQSDYEQZZCU-OAHLLOKOSA-N 0 1 316.364 3.319 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@H](C(=O)[O-])c1ccccc1C(F)(F)F ZINC001604980714 1193103390 /nfs/dbraw/zinc/10/33/90/1193103390.db2.gz MAZLPEUEJPSXTP-CABZTGNLSA-N 0 1 300.280 3.113 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CNCc2ncoc2C(C)C)C1 ZINC001480643825 1181680988 /nfs/dbraw/zinc/68/09/88/1181680988.db2.gz LMGNJTHVHWJVOH-OAHLLOKOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H](N(C)C[C@H](F)CC)C1 ZINC001480726777 1181689074 /nfs/dbraw/zinc/68/90/74/1181689074.db2.gz JBYUNMURUFSCAV-IAGOWNOFSA-N 0 1 310.457 3.426 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H](N(C)C[C@@H](F)CC)C1 ZINC001480726776 1181689624 /nfs/dbraw/zinc/68/96/24/1181689624.db2.gz JBYUNMURUFSCAV-DLBZAZTESA-N 0 1 310.457 3.426 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(CCF)CC2)CC1 ZINC001569702918 1181704644 /nfs/dbraw/zinc/70/46/44/1181704644.db2.gz DWCGFNVFPYYGMQ-UHFFFAOYSA-N 0 1 316.848 3.097 20 30 DGEDMN Cc1cc(C(=O)Nc2ccccc2-c2nnc[nH]2)cc(C)c1C#N ZINC001446339547 1182167403 /nfs/dbraw/zinc/16/74/03/1182167403.db2.gz UUXJFYSEFWHCKK-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458040595 1182490876 /nfs/dbraw/zinc/49/08/76/1182490876.db2.gz DBOWDPUFZHIRPR-OCCSQVGLSA-N 0 1 309.373 3.108 20 30 DGEDMN CC[C@H]1CCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001458040553 1182491112 /nfs/dbraw/zinc/49/11/12/1182491112.db2.gz DBOWDPUFZHIRPR-GXTWGEPZSA-N 0 1 309.373 3.108 20 30 DGEDMN N#Cc1cnc2c(Cl)cccc2c1NCC[N@@H+]1CC=CCC1 ZINC001458359609 1182517798 /nfs/dbraw/zinc/51/77/98/1182517798.db2.gz OTGUDRGMRVWQSY-UHFFFAOYSA-N 0 1 312.804 3.434 20 30 DGEDMN N#C[C@H](Sc1nc(-c2ccccc2O)n[nH]1)C1CCCC1 ZINC001458859211 1182556022 /nfs/dbraw/zinc/55/60/22/1182556022.db2.gz DBXUMBUOKPLKRF-ZDUSSCGKSA-N 0 1 300.387 3.352 20 30 DGEDMN C=CCN(CCc1ccccc1)C[C@](O)(CC)C(F)(F)F ZINC001459392591 1182584392 /nfs/dbraw/zinc/58/43/92/1182584392.db2.gz OFZBXSZDNWJLQZ-OAHLLOKOSA-N 0 1 301.352 3.421 20 30 DGEDMN C#CCOCCN1CCC[C@@H]1c1ccccc1Br ZINC001459668480 1182603166 /nfs/dbraw/zinc/60/31/66/1182603166.db2.gz GXBQGBUNQBBKRL-OAHLLOKOSA-N 0 1 308.219 3.236 20 30 DGEDMN C#CCC1([NH2+]Cc2cc(=O)oc3cc([O-])ccc23)CCCCC1 ZINC001460827124 1182727362 /nfs/dbraw/zinc/72/73/62/1182727362.db2.gz FHFDHAINGDMIPP-UHFFFAOYSA-N 0 1 311.381 3.314 20 30 DGEDMN COc1ccnc(NCc2cc(C#N)ccc2Br)c1 ZINC001461404577 1182806813 /nfs/dbraw/zinc/80/68/13/1182806813.db2.gz IEPGAMXPQAVQKD-UHFFFAOYSA-N 0 1 318.174 3.336 20 30 DGEDMN COc1cc(CNCc2cc(O)cc(Cl)c2)ccc1C#N ZINC001461488531 1182819448 /nfs/dbraw/zinc/81/94/48/1182819448.db2.gz SIGOKPDCJMSKDH-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN N#Cc1cc(CNCc2ccc3c(c2)OC(F)(F)O3)cs1 ZINC001461592457 1182833588 /nfs/dbraw/zinc/83/35/88/1182833588.db2.gz ODJGGKFHDAVYLC-UHFFFAOYSA-N 0 1 308.309 3.231 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1c(C)cc(OC)cc1O ZINC001461897693 1182873510 /nfs/dbraw/zinc/87/35/10/1182873510.db2.gz JMZMPJJKKUMMLE-UHFFFAOYSA-N 0 1 313.372 3.484 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H]2CCCC[C@H]2CC)n1 ZINC001462187190 1182921095 /nfs/dbraw/zinc/92/10/95/1182921095.db2.gz DZEGXJVHHPREET-MSOLQXFVSA-N 0 1 317.477 3.181 20 30 DGEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H](CCC#N)c3ccccc3)c2C1 ZINC001466244769 1183194927 /nfs/dbraw/zinc/19/49/27/1183194927.db2.gz WXECHRRUSSTQLU-CJNGLKHVSA-N 0 1 322.412 3.309 20 30 DGEDMN C=CCN(C(=O)c1ccc(-c2ccc(C=O)o2)cc1O)C1CC1 ZINC001466398861 1183202548 /nfs/dbraw/zinc/20/25/48/1183202548.db2.gz KMEJOBVFNMUMLK-UHFFFAOYSA-N 0 1 311.337 3.255 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2Nc2ccncc2)s1 ZINC001467665787 1183278983 /nfs/dbraw/zinc/27/89/83/1183278983.db2.gz TZJRVQCTNLWANO-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCN1C1CCCC1)c1ccc(Cl)cc1 ZINC001468721851 1183353543 /nfs/dbraw/zinc/35/35/43/1183353543.db2.gz ZKWIBLNBHXOWAH-SJORKVTESA-N 0 1 316.832 3.147 20 30 DGEDMN N#Cc1sccc1C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001469733317 1183433833 /nfs/dbraw/zinc/43/38/33/1183433833.db2.gz UXLVMJWLIWSVBV-UHFFFAOYSA-N 0 1 320.377 3.433 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4cc[nH]c4c3)[nH]c2c1 ZINC001470719015 1183507691 /nfs/dbraw/zinc/50/76/91/1183507691.db2.gz HFKLYIFFOOCGLS-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN C=C(CC)C(=O)N1CCC(c2ncc(C(F)(F)F)[nH]2)CC1 ZINC001472061324 1183612424 /nfs/dbraw/zinc/61/24/24/1183612424.db2.gz MXLTVDBLAVWSNQ-UHFFFAOYSA-N 0 1 301.312 3.101 20 30 DGEDMN CC[C@H](C(=O)N(O)Cc1cc(Cl)cc(Cl)c1)N(C)C ZINC001472618320 1183657138 /nfs/dbraw/zinc/65/71/38/1183657138.db2.gz ZWCIUOSQNDJYAC-GFCCVEGCSA-N 0 1 305.205 3.051 20 30 DGEDMN C#CC[N@@H+](C[C@@H]1COc2ccccc2O1)[C@H]1CCc2ccccc21 ZINC001473122207 1183718705 /nfs/dbraw/zinc/71/87/05/1183718705.db2.gz SUENDKWAXCWXSE-MJGOQNOKSA-N 0 1 319.404 3.449 20 30 DGEDMN C#CCN(C[C@@H]1COc2ccccc2O1)[C@H]1CCc2ccccc21 ZINC001473122207 1183718707 /nfs/dbraw/zinc/71/87/07/1183718707.db2.gz SUENDKWAXCWXSE-MJGOQNOKSA-N 0 1 319.404 3.449 20 30 DGEDMN CN(Cc1ccc(C#N)c(F)c1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001473534507 1183772169 /nfs/dbraw/zinc/77/21/69/1183772169.db2.gz HKUFAXMQNGPLSQ-KRWDZBQOSA-N 0 1 321.359 3.037 20 30 DGEDMN C#CCN(CC(=O)N(C1CC1)C1CCC(C)CC1)C(C)(C)C ZINC001473808888 1183805969 /nfs/dbraw/zinc/80/59/69/1183805969.db2.gz LLWPBQJSMPIVTK-UHFFFAOYSA-N 0 1 304.478 3.290 20 30 DGEDMN Cc1cc(C[N@@H+](Cc2ccc(C#N)cc2)C2CC2)oc1C(=O)[O-] ZINC001607640656 1193243746 /nfs/dbraw/zinc/24/37/46/1193243746.db2.gz RQYWZUAGRSTUDF-UHFFFAOYSA-N 0 1 310.353 3.323 20 30 DGEDMN C#C[C@H]1CCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001473868003 1183814909 /nfs/dbraw/zinc/81/49/09/1183814909.db2.gz DMAMFEIGLPKGJX-LBPRGKRZSA-N 0 1 318.218 3.313 20 30 DGEDMN C#C[C@@H](NCc1ccc(C)c(Br)c1C)[C@H]1CCCO1 ZINC001474118560 1183871932 /nfs/dbraw/zinc/87/19/32/1183871932.db2.gz NWIZUIDKXYUIQD-HUUCEWRRSA-N 0 1 322.246 3.336 20 30 DGEDMN CC(C)CN(CCC#N)CC12CC(C1)CN2C(=O)OC(C)(C)C ZINC001474402789 1183916078 /nfs/dbraw/zinc/91/60/78/1183916078.db2.gz MKTFQZXFZXIFOX-UHFFFAOYSA-N 0 1 321.465 3.258 20 30 DGEDMN Cc1cccc2c1CC[N@H+](Cc1cccc(C(=O)[O-])c1C#N)C2 ZINC001607867640 1193263941 /nfs/dbraw/zinc/26/39/41/1193263941.db2.gz KNBVNTNJFLDVEE-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN Cc1cccc2c1CC[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)C2 ZINC001607867640 1193263944 /nfs/dbraw/zinc/26/39/44/1193263944.db2.gz KNBVNTNJFLDVEE-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC(C1CCC1)C1CCC1 ZINC001479247827 1184215305 /nfs/dbraw/zinc/21/53/05/1184215305.db2.gz XMAVPUSEGORHCB-KRWDZBQOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)OC1CCCCCC1 ZINC001479244789 1184215796 /nfs/dbraw/zinc/21/57/96/1184215796.db2.gz LICCJLXDFZJGPI-DLBZAZTESA-N 0 1 322.493 3.223 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1(CC(C)C)CCCC1 ZINC001479254112 1184218809 /nfs/dbraw/zinc/21/88/09/1184218809.db2.gz AGBOYQPHRMOFHP-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001479263212 1184222104 /nfs/dbraw/zinc/22/21/04/1184222104.db2.gz KYRKWOGAYDPTHN-ZCYBBYNQSA-N 0 1 316.489 3.312 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1C[C@H](NCc2nc(C)cs2)C1 ZINC001479400482 1184246032 /nfs/dbraw/zinc/24/60/32/1184246032.db2.gz VWQRSRCEWCIAHU-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1ccon1 ZINC001479518522 1184264651 /nfs/dbraw/zinc/26/46/51/1184264651.db2.gz BVNCJFUSRALUET-DOTOQJQBSA-N 0 1 319.449 3.138 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001479704341 1184295335 /nfs/dbraw/zinc/29/53/35/1184295335.db2.gz VTNAVHFIJQWWPS-RKVPGOIHSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)Cc2ccccc2)[C@H]1C ZINC001479723312 1184300970 /nfs/dbraw/zinc/30/09/70/1184300970.db2.gz IHFCGOBPWMTFFX-WBVHZDCISA-N 0 1 300.446 3.020 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@]12C[C@H]1CCCC2 ZINC001479795324 1184327023 /nfs/dbraw/zinc/32/70/23/1184327023.db2.gz MAPBUFDWJTWVST-TZIWHRDSSA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001479893073 1184366565 /nfs/dbraw/zinc/36/65/65/1184366565.db2.gz HXUSAANWXKHTLO-JKSUJKDBSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001479912171 1184379199 /nfs/dbraw/zinc/37/91/99/1184379199.db2.gz WERUHVNIJYCBGY-IAGOWNOFSA-N 0 1 300.446 3.255 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001479964962 1184395669 /nfs/dbraw/zinc/39/56/69/1184395669.db2.gz GUBUQETVKJZPFO-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN N#Cc1csc(C[N@@H+]2C[C@@H](C3CCCCC3)C[C@@H]2C(=O)[O-])c1 ZINC001608222639 1193284461 /nfs/dbraw/zinc/28/44/61/1193284461.db2.gz VPEQTWLMLGFABR-GOEBONIOSA-N 0 1 318.442 3.475 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccoc2Cl)CC1 ZINC001479992430 1184406237 /nfs/dbraw/zinc/40/62/37/1184406237.db2.gz RANOEUIOWRGWRE-UHFFFAOYSA-N 0 1 322.836 3.273 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)C2CCC2)C1 ZINC001480078065 1184427121 /nfs/dbraw/zinc/42/71/21/1184427121.db2.gz IULJXNQGCYBKHY-GJZGRUSLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2csc(C(C)(C)C)n2)C1 ZINC001480131722 1184441910 /nfs/dbraw/zinc/44/19/10/1184441910.db2.gz LKGLYSQVORWDAL-JOCQHMNTSA-N 0 1 321.490 3.144 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2csc(CCC)n2)C1 ZINC001480206724 1184459683 /nfs/dbraw/zinc/45/96/83/1184459683.db2.gz JRXMIVGPRFIGDU-OKILXGFUSA-N 0 1 321.490 3.189 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2conc2C)C1 ZINC001480379867 1184515474 /nfs/dbraw/zinc/51/54/74/1184515474.db2.gz MZILALSCAROESF-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001480489921 1184535752 /nfs/dbraw/zinc/53/57/52/1184535752.db2.gz LLVMGQDUIKHUNE-IAGOWNOFSA-N 0 1 314.473 3.205 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001480489918 1184536320 /nfs/dbraw/zinc/53/63/20/1184536320.db2.gz LLVMGQDUIKHUNE-DLBZAZTESA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1cc(C)cc(C)c1 ZINC001480566959 1184549204 /nfs/dbraw/zinc/54/92/04/1184549204.db2.gz XYGKAVJQPXKBSN-OAHLLOKOSA-N 0 1 308.853 3.083 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](CC)c1ccccc1 ZINC001480569085 1184550448 /nfs/dbraw/zinc/55/04/48/1184550448.db2.gz DTRIUHXURYXMLP-CABCVRRESA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001480573534 1184552026 /nfs/dbraw/zinc/55/20/26/1184552026.db2.gz MRDFTJIDNNUUFJ-AWEZNQCLSA-N 0 1 308.853 3.119 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CCC)c1ccccc1 ZINC001480585875 1184554318 /nfs/dbraw/zinc/55/43/18/1184554318.db2.gz PEUSPKYUPKJMHY-GOEBONIOSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Br)CN[C@H]1CCN(C(=O)CCCCCC)C1 ZINC001480623371 1184563113 /nfs/dbraw/zinc/56/31/13/1184563113.db2.gz PEJWQNGVCIAUCO-ZDUSSCGKSA-N 0 1 317.271 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@H](NCc2nc(C)oc2C)C1 ZINC001480699812 1184579714 /nfs/dbraw/zinc/57/97/14/1184579714.db2.gz XFNAXELMXXVWKO-JKSUJKDBSA-N 0 1 319.449 3.165 20 30 DGEDMN CC/C=C(/C)C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001480720177 1184587295 /nfs/dbraw/zinc/58/72/95/1184587295.db2.gz QGBMJNLEPGTFNZ-JYWRCUDFSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2cnccc2C)CCC1 ZINC001493014970 1184633838 /nfs/dbraw/zinc/63/38/38/1184633838.db2.gz NXEGVQJEGINBES-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1[C@@H](C)c1ccccc1F ZINC001493098476 1184650422 /nfs/dbraw/zinc/65/04/22/1184650422.db2.gz KAGJDUNPLDOZLV-HOTGVXAUSA-N 0 1 316.420 3.127 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](CCC)c1ccccc1 ZINC001493161040 1184662498 /nfs/dbraw/zinc/66/24/98/1184662498.db2.gz RAUQTOPZRPBLER-RTBURBONSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1cc(Cl)ccc1F ZINC001493525709 1184714890 /nfs/dbraw/zinc/71/48/90/1184714890.db2.gz TVXHAQHPBCLQIY-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C[C@H](NCCN(C)C(=O)C#CC(C)(C)C)c1ccccc1Cl ZINC001493543300 1184716678 /nfs/dbraw/zinc/71/66/78/1184716678.db2.gz BROFBQVGWRSXHM-AWEZNQCLSA-N 0 1 320.864 3.499 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2ccccc2s1 ZINC001493617853 1184720330 /nfs/dbraw/zinc/72/03/30/1184720330.db2.gz DVIJYXSIQSZMEV-UHFFFAOYSA-N 0 1 308.834 3.315 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001493771391 1184737097 /nfs/dbraw/zinc/73/70/97/1184737097.db2.gz IXAGUNNBVKPECK-UHFFFAOYSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCCc2ccsc2)C1 ZINC001494404914 1184866896 /nfs/dbraw/zinc/86/68/96/1184866896.db2.gz HSRBFPVMASSVTE-AWEZNQCLSA-N 0 1 306.475 3.226 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001494486638 1184876781 /nfs/dbraw/zinc/87/67/81/1184876781.db2.gz KPICOYXIJSZWGS-ZIUDEIKKSA-N 0 1 316.489 3.358 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H](CC(C)C)c2ccccc2)C1 ZINC001494598177 1184892861 /nfs/dbraw/zinc/89/28/61/1184892861.db2.gz CSZZKGMTLFZVCZ-SFHVURJKSA-N 0 1 300.446 3.050 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)c(C)c1 ZINC001494656585 1184900387 /nfs/dbraw/zinc/90/03/87/1184900387.db2.gz WCSVDGVEIBSYIO-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001494704528 1184909172 /nfs/dbraw/zinc/90/91/72/1184909172.db2.gz GTERXXCUAHSLBA-INIZCTEOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN([C@H](CC)c2ccc(F)cc2)C1 ZINC001494748635 1184919573 /nfs/dbraw/zinc/91/95/73/1184919573.db2.gz YKBHLBSLLYBSRO-IAGOWNOFSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001494804982 1184930519 /nfs/dbraw/zinc/93/05/19/1184930519.db2.gz BNMUJAMSMICTGD-KRWDZBQOSA-N 0 1 304.409 3.133 20 30 DGEDMN C=C[C@@H](CC(=O)N(CC)[C@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001494923851 1184964249 /nfs/dbraw/zinc/96/42/49/1184964249.db2.gz ILLGNBFTOCMLBN-ICSRJNTNSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1cccc(F)c1F ZINC001495575938 1185075137 /nfs/dbraw/zinc/07/51/37/1185075137.db2.gz KAGJVPIRCAILBY-OAHLLOKOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)CNCc1ncoc1C(C)C ZINC001495717821 1185093613 /nfs/dbraw/zinc/09/36/13/1185093613.db2.gz FDBGEEBANHJCQY-AWEZNQCLSA-N 0 1 321.465 3.242 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)CCCC1CCCC1)CC2 ZINC001495981062 1185120758 /nfs/dbraw/zinc/12/07/58/1185120758.db2.gz RBELFPBUYURXHR-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1cc(C2CC2)no1 ZINC001496130399 1185136941 /nfs/dbraw/zinc/13/69/41/1185136941.db2.gz JUTBSFWSMAPVCG-ZDUSSCGKSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](COc2ccccc2Cl)C1 ZINC001609264651 1193332746 /nfs/dbraw/zinc/33/27/46/1193332746.db2.gz XJYDDSIUXDDIBA-OCCSQVGLSA-N 0 1 309.793 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1sccc1C(F)F ZINC001496218094 1185150494 /nfs/dbraw/zinc/15/04/94/1185150494.db2.gz JPLAHKGYDMABIJ-VIFPVBQESA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)CCC(F)(F)F ZINC001496261160 1185156294 /nfs/dbraw/zinc/15/62/94/1185156294.db2.gz BESOGRSHKWBKDG-NSHDSACASA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1cnc(C)o1)CC(C)C ZINC001496283673 1185158677 /nfs/dbraw/zinc/15/86/77/1185158677.db2.gz LWXXFBJSHBGRMB-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1occc1Cl ZINC001496286076 1185160155 /nfs/dbraw/zinc/16/01/55/1185160155.db2.gz JBONTTDERGNLBY-LLVKDONJSA-N 0 1 319.232 3.420 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@H]1CNCc1nc(C)oc1C ZINC001496902638 1185267791 /nfs/dbraw/zinc/26/77/91/1185267791.db2.gz CDLUVLRMJZOFJU-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001497686891 1185377127 /nfs/dbraw/zinc/37/71/27/1185377127.db2.gz WLUUYILNHAVPPR-QWPQOLDESA-N 0 1 320.864 3.441 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F ZINC001497821213 1185397866 /nfs/dbraw/zinc/39/78/66/1185397866.db2.gz OSBYTIHCYOSOKV-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001497878643 1185404522 /nfs/dbraw/zinc/40/45/22/1185404522.db2.gz WWAOBUBQQBTDJD-NEPJUHHUSA-N 0 1 310.388 3.332 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001497883908 1185405417 /nfs/dbraw/zinc/40/54/17/1185405417.db2.gz NOZZUBAERRZIEX-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001498086705 1185434830 /nfs/dbraw/zinc/43/48/30/1185434830.db2.gz HMZOXQOWNVODSJ-QWHCGFSZSA-N 0 1 315.417 3.195 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](c1ccccc1)C(C)C ZINC001498122976 1185437899 /nfs/dbraw/zinc/43/78/99/1185437899.db2.gz UNDHVTYUZRVOFG-HOCLYGCPSA-N 0 1 308.853 3.273 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001498369168 1185480010 /nfs/dbraw/zinc/48/00/10/1185480010.db2.gz KKSXHOQOAWESNG-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)Cc2cncc(C)c2)CC1 ZINC001498374492 1185482275 /nfs/dbraw/zinc/48/22/75/1185482275.db2.gz FVPRONVHAFOXPG-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001498380015 1185484005 /nfs/dbraw/zinc/48/40/05/1185484005.db2.gz SGGPECQSMSXHIB-ZWKOTPCHSA-N 0 1 314.473 3.339 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001498393074 1185486439 /nfs/dbraw/zinc/48/64/39/1185486439.db2.gz PQVGXHCVRFZWLJ-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccsc1Cl)C1CC1 ZINC001498832602 1185553694 /nfs/dbraw/zinc/55/36/94/1185553694.db2.gz PWBZVSZEPLLTEA-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ncc(C)o2)[C@@H](CC)C1 ZINC001498890792 1185564435 /nfs/dbraw/zinc/56/44/35/1185564435.db2.gz VHBRYVZYXMBVEB-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCc1ccccc1Cl ZINC001498991464 1185586599 /nfs/dbraw/zinc/58/65/99/1185586599.db2.gz HZUJWFMYPGWCMP-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2c(C)cccc2C)C1 ZINC001499058641 1185601787 /nfs/dbraw/zinc/60/17/87/1185601787.db2.gz VDMDLOVDKIBOPK-QGZVFWFLSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001499325095 1185637823 /nfs/dbraw/zinc/63/78/23/1185637823.db2.gz KJHIMBNNIOSLDP-HNNXBMFYSA-N 0 1 318.436 3.475 20 30 DGEDMN C#CC[N@@H+]1CC[C@H]([C@@H]2CCCCN2C(=O)CC(C)=C(C)C)C1 ZINC001499480493 1185655199 /nfs/dbraw/zinc/65/51/99/1185655199.db2.gz HHEWTCLIFFTRJF-ROUUACIJSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccccc2CC)C1 ZINC001499691080 1185684973 /nfs/dbraw/zinc/68/49/73/1185684973.db2.gz STCLOVIEAZZEHO-INIZCTEOSA-N 0 1 314.473 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(C)cc(C)nc2C)C1 ZINC001499707014 1185686126 /nfs/dbraw/zinc/68/61/26/1185686126.db2.gz FWGGZRUZLFZFIW-OAHLLOKOSA-N 0 1 315.461 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccccc2C)C1 ZINC001499752391 1185692126 /nfs/dbraw/zinc/69/21/26/1185692126.db2.gz UDWNJCJJEJSYQQ-KRWDZBQOSA-N 0 1 314.473 3.425 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)CC(F)(F)F)C1 ZINC001499767958 1185693516 /nfs/dbraw/zinc/69/35/16/1185693516.db2.gz RYFBHYFEGBTJCP-UHFFFAOYSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)C(CC)CC ZINC001499905724 1185715106 /nfs/dbraw/zinc/71/51/06/1185715106.db2.gz XFXLBVWSIJCFBL-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(Cl)cs1 ZINC001499932369 1185718864 /nfs/dbraw/zinc/71/88/64/1185718864.db2.gz WZDIOBQPTCWTDF-LLVKDONJSA-N 0 1 319.257 3.348 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC001500149618 1185746928 /nfs/dbraw/zinc/74/69/28/1185746928.db2.gz ULMJUEAMCKAQGI-CABCVRRESA-N 0 1 319.474 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)C1CC1)c1ccccc1CC ZINC001501019902 1185856874 /nfs/dbraw/zinc/85/68/74/1185856874.db2.gz WSNATRMEFQIRBV-DNVCBOLYSA-N 0 1 312.457 3.065 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001501663451 1185917738 /nfs/dbraw/zinc/91/77/38/1185917738.db2.gz SNVAVYSYVGBENK-QKLQHJQFSA-N 0 1 324.468 3.194 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(C)=C1CCC1 ZINC001501662890 1185917808 /nfs/dbraw/zinc/91/78/08/1185917808.db2.gz IHMDJFSPHLTEAS-UHFFFAOYSA-N 0 1 310.441 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001502131960 1185957841 /nfs/dbraw/zinc/95/78/41/1185957841.db2.gz KFPFJWWTOPCGSD-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)C3CCCC3)cc2C1 ZINC001502653059 1185999245 /nfs/dbraw/zinc/99/92/45/1185999245.db2.gz OYHYLEWFMXEMGW-MRXNPFEDSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C[C@@H](F)CC)CC1(C)C ZINC001502824139 1186019416 /nfs/dbraw/zinc/01/94/16/1186019416.db2.gz SESKSOSCABWFRL-HOTGVXAUSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1c2ccccc2CCN1CCCF ZINC001503677129 1186050534 /nfs/dbraw/zinc/05/05/34/1186050534.db2.gz DAQYPXIFWISYER-QGZVFWFLSA-N 0 1 318.436 3.274 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1c2ccccc2CCN1CCCF ZINC001503678141 1186050752 /nfs/dbraw/zinc/05/07/52/1186050752.db2.gz NGPBHILIFXAUAH-GOSISDBHSA-N 0 1 318.436 3.418 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](CC)CCCC ZINC001505412986 1186070509 /nfs/dbraw/zinc/07/05/09/1186070509.db2.gz VBDGWENALBIHQY-ATZDWAIDSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC001505432930 1186078619 /nfs/dbraw/zinc/07/86/19/1186078619.db2.gz VRWMOACTKAIXHX-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001505697910 1186126266 /nfs/dbraw/zinc/12/62/66/1186126266.db2.gz MZYGYHHSEYHXNC-NWDGAFQWSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1ccc(Cl)s1 ZINC001505704980 1186127545 /nfs/dbraw/zinc/12/75/45/1186127545.db2.gz CWKOLWOVDAIJRK-ZJUUUORDSA-N 0 1 321.273 3.179 20 30 DGEDMN Cc1sc(C(=O)[O-])cc1C[N@H+](C)Cc1ccc(C#N)cc1 ZINC001610590871 1193400350 /nfs/dbraw/zinc/40/03/50/1193400350.db2.gz YCISFOAIDULKFR-UHFFFAOYSA-N 0 1 300.383 3.258 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC001505884315 1186157323 /nfs/dbraw/zinc/15/73/23/1186157323.db2.gz GTOPCAQMUILAOU-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC001505914713 1186164133 /nfs/dbraw/zinc/16/41/33/1186164133.db2.gz PUSOSPMHOCQMOU-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2Cc3ccccc3C3(CC3)C2)c1 ZINC001610630148 1193401828 /nfs/dbraw/zinc/40/18/28/1193401828.db2.gz KILFXIYCTSBYCT-GOSISDBHSA-N 0 1 318.376 3.231 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001505983708 1186175462 /nfs/dbraw/zinc/17/54/62/1186175462.db2.gz HFFAMGCDWDCNQN-SWLSCSKDSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001505994746 1186177479 /nfs/dbraw/zinc/17/74/79/1186177479.db2.gz KBGTUARKUUCVNT-PXAZEXFGSA-N 0 1 323.506 3.299 20 30 DGEDMN CC(C)C(=O)NC[C@@H]1CCCCCN1Cc1ccccc1C#N ZINC001506558261 1186246914 /nfs/dbraw/zinc/24/69/14/1186246914.db2.gz HTPLAYTYSKDRSM-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001506677063 1186273259 /nfs/dbraw/zinc/27/32/59/1186273259.db2.gz CYXFQROQVHWEHE-NVXWUHKLSA-N 0 1 312.457 3.119 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCC2CCCC2)C(C)(C)C1 ZINC001506754613 1186289901 /nfs/dbraw/zinc/28/99/01/1186289901.db2.gz WWMBPIUKHJPZNT-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC001507073594 1186354977 /nfs/dbraw/zinc/35/49/77/1186354977.db2.gz BGULJWZZWPGAQL-KGLIPLIRSA-N 0 1 322.399 3.122 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC001507073593 1186355143 /nfs/dbraw/zinc/35/51/43/1186355143.db2.gz BGULJWZZWPGAQL-KBPBESRZSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001507107130 1186361926 /nfs/dbraw/zinc/36/19/26/1186361926.db2.gz RFNSDQDYCXHKMN-ZDUSSCGKSA-N 0 1 319.836 3.279 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCc2cccs2)[C@H]1C ZINC001507386201 1186413847 /nfs/dbraw/zinc/41/38/47/1186413847.db2.gz OYOCRLBAGBIZFB-NVXWUHKLSA-N 0 1 318.486 3.063 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1F)C(C)(C)C ZINC001507875336 1186453167 /nfs/dbraw/zinc/45/31/67/1186453167.db2.gz OKCDMEZLHOQPLK-CQSZACIVSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1ccc(F)cn1)C(C)(C)C ZINC001507948776 1186460657 /nfs/dbraw/zinc/46/06/57/1186460657.db2.gz NLPYRYKAPKIHGA-INIZCTEOSA-N 0 1 321.440 3.198 20 30 DGEDMN C=CCCCC(=O)N[C@H](CN[C@H](C)c1cnccn1)C(C)(C)C ZINC001508002438 1186466623 /nfs/dbraw/zinc/46/66/23/1186466623.db2.gz AUGLTSFHELQXTJ-GDBMZVCRSA-N 0 1 318.465 3.014 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)c2ccc(C)c(C)c2)c(F)c1 ZINC001508241150 1186493285 /nfs/dbraw/zinc/49/32/85/1186493285.db2.gz FOCLRCDQBFLPGR-UHFFFAOYSA-N 0 1 324.399 3.095 20 30 DGEDMN CSc1ccc(CNC(=O)c2ccc(F)cc2O)cc1C#N ZINC001611645351 1193439162 /nfs/dbraw/zinc/43/91/62/1193439162.db2.gz QGEGIKKCTMXKCQ-UHFFFAOYSA-N 0 1 316.357 3.055 20 30 DGEDMN CCCn1ncc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1C ZINC001516637771 1186787850 /nfs/dbraw/zinc/78/78/50/1186787850.db2.gz OSTIUQIWHPSALA-ZDUSSCGKSA-N 0 1 321.384 3.276 20 30 DGEDMN N#CC(C(=O)c1cncnc1-c1ccccc1)c1cccc(F)n1 ZINC001516820714 1186793178 /nfs/dbraw/zinc/79/31/78/1186793178.db2.gz PINUZEJLYGKOLJ-CYBMUJFWSA-N 0 1 318.311 3.168 20 30 DGEDMN CCC(CC)n1nc(C(=O)C(C#N)c2nccn2CC)cc1C ZINC001517046631 1186799710 /nfs/dbraw/zinc/79/97/10/1186799710.db2.gz ORMBOZSSVIPKTC-CQSZACIVSA-N 0 1 313.405 3.259 20 30 DGEDMN CCC(CC)n1nc(C(=O)[C@@H](C#N)c2nccn2CC)cc1C ZINC001517046631 1186799711 /nfs/dbraw/zinc/79/97/11/1186799711.db2.gz ORMBOZSSVIPKTC-CQSZACIVSA-N 0 1 313.405 3.259 20 30 DGEDMN CC[C@H]1CC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001611701967 1193440294 /nfs/dbraw/zinc/44/02/94/1193440294.db2.gz JRHIJNBGHDWGPL-MQMHXKEQSA-N 0 1 323.400 3.498 20 30 DGEDMN N#C[C@H](C(=O)C[C@@H]1CC=CCC1)c1nc(N)c2ccccc2n1 ZINC001524018736 1187209340 /nfs/dbraw/zinc/20/93/40/1187209340.db2.gz NFWPOLKZHXUDQM-TZMCWYRMSA-N 0 1 306.369 3.135 20 30 DGEDMN C=C(C)Cn1c(C2=NC(=O)SC2)nnc1N1CCC[C@@H]1CC ZINC001524239516 1187228087 /nfs/dbraw/zinc/22/80/87/1187228087.db2.gz DDMXOJWKWZYZEQ-NSHDSACASA-N 0 1 319.434 3.062 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)[C@H](C)[C@@H]1C ZINC001524338564 1187235929 /nfs/dbraw/zinc/23/59/29/1187235929.db2.gz WPPOZRGAKDJRMW-OBJOEFQTSA-N 0 1 314.437 3.033 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)[C@H](C)[C@H]1C ZINC001524338567 1187236164 /nfs/dbraw/zinc/23/61/64/1187236164.db2.gz WPPOZRGAKDJRMW-SGMGOOAPSA-N 0 1 314.437 3.033 20 30 DGEDMN N#CC(C(=O)Cc1cccc2cccnc21)c1cccc(F)n1 ZINC001524711539 1187262743 /nfs/dbraw/zinc/26/27/43/1187262743.db2.gz DGPWBDQZUPNUHE-CQSZACIVSA-N 0 1 305.312 3.188 20 30 DGEDMN C[C@H](OC(=O)c1ccc(CN2CCCCC2)o1)C(C)(C)C#N ZINC001525019814 1187291427 /nfs/dbraw/zinc/29/14/27/1187291427.db2.gz NZCNHTZPHKYZIO-ZDUSSCGKSA-N 0 1 304.390 3.361 20 30 DGEDMN C#C[C@H](NC(=O)c1cccc(F)c1O)c1ccc(Cl)cc1 ZINC001525039711 1187292335 /nfs/dbraw/zinc/29/23/35/1187292335.db2.gz ZUGWCPWCQUVRPU-AWEZNQCLSA-N 0 1 303.720 3.289 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)c1 ZINC001525461738 1187316091 /nfs/dbraw/zinc/31/60/91/1187316091.db2.gz CFGBTHHLDTTZFG-OGCZYDBJSA-N 0 1 324.424 3.002 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@H]3CCc4ccccc43)[nH]c2c1 ZINC001530615329 1187637926 /nfs/dbraw/zinc/63/79/26/1187637926.db2.gz PDMACFNPFMYMCS-CQSZACIVSA-N 0 1 316.364 3.493 20 30 DGEDMN C=CC(C)(C)CC(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001531839914 1187714484 /nfs/dbraw/zinc/71/44/84/1187714484.db2.gz TUEHNCYENWBHBC-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(Cl)c(F)c3)[nH]c2c1 ZINC001534067965 1187872873 /nfs/dbraw/zinc/87/28/73/1187872873.db2.gz OZUZANPZBFZGJJ-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4scnc4c3)[nH]c2c1 ZINC001534073074 1187873353 /nfs/dbraw/zinc/87/33/53/1187873353.db2.gz RYYZVDVLQDQOIT-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN N#Cc1ccc(CC(=O)Nc2nc3ccc(Cl)cc3[nH]2)cc1 ZINC001534184756 1187881473 /nfs/dbraw/zinc/88/14/73/1187881473.db2.gz RFQPSKVUZTXNPT-UHFFFAOYSA-N 0 1 310.744 3.269 20 30 DGEDMN C[C@@H](CC#N)C(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC001537636379 1188088197 /nfs/dbraw/zinc/08/81/97/1188088197.db2.gz APSXZICQPJKTKT-NSHDSACASA-N 0 1 305.341 3.113 20 30 DGEDMN Cc1ccc(CNC(=O)c2n[nH]c3ccc(Cl)cc32)cc1C#N ZINC001537726504 1188095400 /nfs/dbraw/zinc/09/54/00/1188095400.db2.gz AHLJQYWCRIYSNC-UHFFFAOYSA-N 0 1 324.771 3.326 20 30 DGEDMN C[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1ccc(C#N)cc1 ZINC001543513925 1188339117 /nfs/dbraw/zinc/33/91/17/1188339117.db2.gz PRFFNVSAFGTXSD-SECBINFHSA-N 0 1 302.280 3.033 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001617171781 1193560129 /nfs/dbraw/zinc/56/01/29/1193560129.db2.gz XEBKVWPUXIYDCT-LLVKDONJSA-N 0 1 301.390 3.351 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2c(C)c(C)c(C)c(C)c2C)C1=O ZINC001617561744 1193573339 /nfs/dbraw/zinc/57/33/39/1193573339.db2.gz HPMPVSWOJWCHDE-IBGZPJMESA-N 0 1 314.473 3.447 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)c2nc3ccc(OC)cc3[nH]2)CCC1 ZINC001556295150 1188994529 /nfs/dbraw/zinc/99/45/29/1188994529.db2.gz RNNCUUHQZDJOAK-LBPRGKRZSA-N 0 1 313.401 3.495 20 30 DGEDMN Cn1ccc2c1cccc2C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001557762840 1189083320 /nfs/dbraw/zinc/08/33/20/1189083320.db2.gz WHKQCXDXNLDQCF-UHFFFAOYSA-N 0 1 315.336 3.179 20 30 DGEDMN CC(C)C[C@@H](C(=O)NCC#CCN(C)C)c1ccc(Cl)cc1 ZINC001557899422 1189091994 /nfs/dbraw/zinc/09/19/94/1189091994.db2.gz NTMRBXZHSUHFRN-QGZVFWFLSA-N 0 1 320.864 3.151 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2cn[nH]c2-c2ccc(F)cc2)c1 ZINC001557942751 1189093322 /nfs/dbraw/zinc/09/33/22/1189093322.db2.gz HXSIDJYKORMTMC-UHFFFAOYSA-N 0 1 324.290 3.479 20 30 DGEDMN C=C[C@@H](CC(=O)NC1CN(C[C@@H](C)CC)C1)c1ccccc1 ZINC001567818180 1189129678 /nfs/dbraw/zinc/12/96/78/1189129678.db2.gz DMNHBSJTVHXTOU-HOTGVXAUSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CC[N@@H+](Cc2ccc(C)nc2C)C1 ZINC001567920323 1189158706 /nfs/dbraw/zinc/15/87/06/1189158706.db2.gz BJLAKPVQKNEPDC-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001567920323 1189158710 /nfs/dbraw/zinc/15/87/10/1189158710.db2.gz BJLAKPVQKNEPDC-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN Cc1[nH]nc(CNc2c(Br)cccc2C#N)c1C ZINC001559271172 1189189727 /nfs/dbraw/zinc/18/97/27/1189189727.db2.gz WOINECQEIRAMTB-UHFFFAOYSA-N 0 1 305.179 3.273 20 30 DGEDMN C=CC[C@@H](Sc1nc(-c2ccc(Cl)cc2)n[nH]1)C(=O)OC ZINC001559338644 1189197457 /nfs/dbraw/zinc/19/74/57/1189197457.db2.gz FDTVNBOYUICEMA-LLVKDONJSA-N 0 1 323.805 3.335 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H]1CC[C@H](C(F)(F)F)O1 ZINC001559513527 1189213115 /nfs/dbraw/zinc/21/31/15/1189213115.db2.gz BOUXSHMLMOTRPB-HUUCEWRRSA-N 0 1 315.310 3.371 20 30 DGEDMN C=C(C)[C@@H](NC[C@H](O)c1ccccn1)c1ccc(F)c(F)c1 ZINC001559766187 1189239302 /nfs/dbraw/zinc/23/93/02/1189239302.db2.gz BHAJCLKERHVLGW-DLBZAZTESA-N 0 1 304.340 3.300 20 30 DGEDMN Cc1nsc(N2CCN(CC34CCC(CC3)C4)CC2)c1C#N ZINC001559876862 1189252680 /nfs/dbraw/zinc/25/26/80/1189252680.db2.gz HNSXDRWYTJZKGU-UHFFFAOYSA-N 0 1 316.474 3.026 20 30 DGEDMN CC1(C)CN(C[C@@H](O)c2cccc(C#N)c2)[C@@H]1c1ccncc1 ZINC001560036827 1189271033 /nfs/dbraw/zinc/27/10/33/1189271033.db2.gz NUJPOKYUJQEGCY-QZTJIDSGSA-N 0 1 307.397 3.070 20 30 DGEDMN C[C@H]1c2ccc(F)cc2CCN1C[C@@H](O)c1cccc(C#N)c1 ZINC001560119113 1189280869 /nfs/dbraw/zinc/28/08/69/1189280869.db2.gz NXBXNZALBZOKBX-ORAYPTAESA-N 0 1 310.372 3.350 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCC(CN=S(C)(C)=O)CC1 ZINC001560157595 1189285140 /nfs/dbraw/zinc/28/51/40/1189285140.db2.gz HHQNRPMUWBWZMX-AWEZNQCLSA-N 0 1 319.474 3.059 20 30 DGEDMN C#CC[N@@H+](Cc1cc(=O)oc2cc([O-])c(Cl)cc12)C(C)(C)C ZINC001560156770 1189285775 /nfs/dbraw/zinc/28/57/75/1189285775.db2.gz YJXJZOHVJDXEKJ-UHFFFAOYSA-N 0 1 319.788 3.386 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCO[C@@H](C(C)(C)C)CC1 ZINC001560236166 1189296214 /nfs/dbraw/zinc/29/62/14/1189296214.db2.gz GSCARQLXCVJCTP-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN C#CCOc1ccc(CNCc2cc(O)cc(Cl)c2)cc1 ZINC001560477670 1189332208 /nfs/dbraw/zinc/33/22/08/1189332208.db2.gz SZFACGLLUDGTNV-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN C=CCCC(C)(C)CNCc1snc(OC)c1C(=O)OC ZINC001560962666 1189411174 /nfs/dbraw/zinc/41/11/74/1189411174.db2.gz DMCFRWYGIWZDOS-UHFFFAOYSA-N 0 1 312.435 3.020 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(CC(C)C)CC2)CCCC1 ZINC001564747693 1189594056 /nfs/dbraw/zinc/59/40/56/1189594056.db2.gz GINXXKQWBCWIMP-UHFFFAOYSA-N 0 1 307.482 3.106 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(C3CCC3)CC2)CCCC1 ZINC001564747909 1189594242 /nfs/dbraw/zinc/59/42/42/1189594242.db2.gz MKNMSZPVTGTLPP-UHFFFAOYSA-N 0 1 305.466 3.003 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1ccc(CN(C)C)nc1 ZINC001565727691 1189666950 /nfs/dbraw/zinc/66/69/50/1189666950.db2.gz KVRPQQWEQGXSRL-UHFFFAOYSA-N 0 1 315.461 3.352 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@@H](NCc2csc(C)n2)C1 ZINC001565850129 1189689870 /nfs/dbraw/zinc/68/98/70/1189689870.db2.gz WFJYWIWDAGQRON-KFWWJZLASA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2conc2CC)[C@@H]1CC ZINC001566013850 1189716522 /nfs/dbraw/zinc/71/65/22/1189716522.db2.gz MCFCPQBZDCSCCG-DLBZAZTESA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c(C)cccc1C ZINC001566566081 1189803598 /nfs/dbraw/zinc/80/35/98/1189803598.db2.gz OWOAFQRTWQISBQ-UHFFFAOYSA-N 0 1 308.853 3.498 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001566619930 1189827150 /nfs/dbraw/zinc/82/71/50/1189827150.db2.gz AYPFYCLRYGGTJT-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001566631074 1189838398 /nfs/dbraw/zinc/83/83/98/1189838398.db2.gz HXGMVQYXYIIZNH-GJZGRUSLSA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001566671874 1189851368 /nfs/dbraw/zinc/85/13/68/1189851368.db2.gz HTQMIXPKFOVOKN-ADYPVZHUSA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC001566675425 1189854533 /nfs/dbraw/zinc/85/45/33/1189854533.db2.gz XNMYFCUHTQHEAY-OALUTQOASA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccoc2C2CC2)CC1 ZINC001566699452 1189864068 /nfs/dbraw/zinc/86/40/68/1189864068.db2.gz FDAJVGMZMCLPPH-UHFFFAOYSA-N 0 1 314.429 3.107 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H]2CC=CCC2)CC1 ZINC001566700404 1189864642 /nfs/dbraw/zinc/86/46/42/1189864642.db2.gz ZRJHONQERMGEQC-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccsc1Cl)C1CC1 ZINC001566722755 1189875225 /nfs/dbraw/zinc/87/52/25/1189875225.db2.gz OSEYLURWYHANOE-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cscn1 ZINC001566748486 1189890051 /nfs/dbraw/zinc/89/00/51/1189890051.db2.gz FDMAPJIUWYHUEV-GASCZTMLSA-N 0 1 321.490 3.402 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CCC)CC(C)C)C1 ZINC001566779313 1189899643 /nfs/dbraw/zinc/89/96/43/1189899643.db2.gz UDVCOKKAZXLFFC-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](N[C@H](C)c2nc3ccccc3o2)C1 ZINC001566833250 1189917495 /nfs/dbraw/zinc/91/74/95/1189917495.db2.gz DNCVQAGLOMCVIB-MCIONIFRSA-N 0 1 313.401 3.092 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCCN1C/C=C\c1ccccc1 ZINC001566919483 1189951269 /nfs/dbraw/zinc/95/12/69/1189951269.db2.gz AHXBKGGQMUHDSB-WUQYLLKWSA-N 0 1 324.468 3.330 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CCCCc1ccccc1 ZINC001566925336 1189955603 /nfs/dbraw/zinc/95/56/03/1189955603.db2.gz FTNGNJOCBSLCML-IBGZPJMESA-N 0 1 312.457 3.003 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001566986907 1189982009 /nfs/dbraw/zinc/98/20/09/1189982009.db2.gz DXOAFTKJQICGLC-QQFBHYJXSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1nsc2ccccc21 ZINC001567019108 1189996335 /nfs/dbraw/zinc/99/63/35/1189996335.db2.gz GUKYOQZCGDBSNM-CYBMUJFWSA-N 0 1 317.458 3.247 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001567101674 1190030387 /nfs/dbraw/zinc/03/03/87/1190030387.db2.gz ZMDUKHMPYQUFRB-CJNGLKHVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](NCC=C(Cl)Cl)C2)CCC1 ZINC001567102698 1190031193 /nfs/dbraw/zinc/03/11/93/1190031193.db2.gz CLKZBCWOMTZCIK-LBPRGKRZSA-N 0 1 317.260 3.242 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC1CC(c2ccccc2)C1 ZINC001567370239 1190156021 /nfs/dbraw/zinc/15/60/21/1190156021.db2.gz NPVDUTGZQSLKPD-UUKMXZOPSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(c2cccc(C)c2)CCC1 ZINC001567376419 1190158863 /nfs/dbraw/zinc/15/88/63/1190158863.db2.gz HAMMUTZEVAAHTI-IBGZPJMESA-N 0 1 324.468 3.021 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001567402051 1190173098 /nfs/dbraw/zinc/17/30/98/1190173098.db2.gz CDSWZUSQUKGEMW-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C[C@H]1C=CCC1 ZINC001567422112 1190182686 /nfs/dbraw/zinc/18/26/86/1190182686.db2.gz QOAKDBWPYWTWMT-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1ccc(Cl)cc1)C1CC1 ZINC001567440101 1190193972 /nfs/dbraw/zinc/19/39/72/1190193972.db2.gz RTTKVSRTSSNQCE-UHFFFAOYSA-N 0 1 318.848 3.224 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001567568957 1190220002 /nfs/dbraw/zinc/22/00/02/1190220002.db2.gz FFTMTKJVQIYAJC-OAHLLOKOSA-N 0 1 320.864 3.032 20 30 DGEDMN Cc1cc(C)cc(C(=O)N(C)CCNCC#Cc2ccccc2)c1 ZINC001567595309 1190223623 /nfs/dbraw/zinc/22/36/23/1190223623.db2.gz DHOXWBUJQKDHQM-UHFFFAOYSA-N 0 1 320.436 3.017 20 30 DGEDMN CCCC(=O)NCCCN(Cc1cc(F)ccc1C#N)C(C)C ZINC001567636097 1190232615 /nfs/dbraw/zinc/23/26/15/1190232615.db2.gz RITAKKDNLWIUGC-UHFFFAOYSA-N 0 1 319.424 3.214 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CC(C)C1)c1ccc(C(C)C)cc1 ZINC001567654392 1190238692 /nfs/dbraw/zinc/23/86/92/1190238692.db2.gz QHLVUOMELYHICG-XUJQIHCRSA-N 0 1 312.457 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)c1ccccc1)c1ccccc1 ZINC001567666376 1190243387 /nfs/dbraw/zinc/24/33/87/1190243387.db2.gz ZGMRQEBEQMDSCG-LJQANCHMSA-N 0 1 320.436 3.045 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(CCc2ccccc2Cl)C1 ZINC001567760230 1190290407 /nfs/dbraw/zinc/29/04/07/1190290407.db2.gz DYNFFOMCUIPIMH-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001567820030 1190309764 /nfs/dbraw/zinc/30/97/64/1190309764.db2.gz VWBRQDHSXUBSDO-YCMKEVRSSA-N 0 1 304.478 3.358 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)Cc2cccc3ccccc32)C1 ZINC001567846999 1190319812 /nfs/dbraw/zinc/31/98/12/1190319812.db2.gz OUICNOMNVOYYGT-UHFFFAOYSA-N 0 1 308.425 3.006 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001567878092 1190330132 /nfs/dbraw/zinc/33/01/32/1190330132.db2.gz FLEJDDVCJSJXOT-FUHWJXTLSA-N 0 1 316.420 3.360 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001567895879 1190338355 /nfs/dbraw/zinc/33/83/55/1190338355.db2.gz WOJRXYNNCUYJAR-MJGOQNOKSA-N 0 1 318.436 3.449 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)N[C@H](C)c1c(F)cccc1F ZINC001567952871 1190373859 /nfs/dbraw/zinc/37/38/59/1190373859.db2.gz LTFOMCFIROWXTO-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001567964046 1190378982 /nfs/dbraw/zinc/37/89/82/1190378982.db2.gz BQBINDLDXFXFGV-HZSPNIEDSA-N 0 1 312.866 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001567978631 1190385190 /nfs/dbraw/zinc/38/51/90/1190385190.db2.gz WLHQBVPPCBXJPT-CAOSSQGBSA-N 0 1 312.885 3.440 20 30 DGEDMN CC(C)CC(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001568229932 1190455052 /nfs/dbraw/zinc/45/50/52/1190455052.db2.gz QELRXMHGNPQOKQ-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001568293815 1190476311 /nfs/dbraw/zinc/47/63/11/1190476311.db2.gz PPZNSXSFWIUAIQ-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCC1(C(=O)N(C)[C@H](C)CNCC(=C)Cl)CCCCC1 ZINC001568321052 1190489350 /nfs/dbraw/zinc/48/93/50/1190489350.db2.gz TWTPIFWYGDOYDA-OAHLLOKOSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1cc(C)no1)C1CCCCC1 ZINC001568342044 1190497974 /nfs/dbraw/zinc/49/79/74/1190497974.db2.gz GWDYEQMMKMCCDY-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001568497755 1190549052 /nfs/dbraw/zinc/54/90/52/1190549052.db2.gz ORNKGYNSOJHDOC-SFHVURJKSA-N 0 1 306.494 3.252 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)CCNCC#Cc1cccc(Cl)c1 ZINC001568726915 1190582979 /nfs/dbraw/zinc/58/29/79/1190582979.db2.gz DSDNNLMPZOGCGM-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)CCNCC#Cc1cccc(Cl)c1 ZINC001568726916 1190583036 /nfs/dbraw/zinc/58/30/36/1190583036.db2.gz DSDNNLMPZOGCGM-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCC=C(Cl)Cl)CCC1 ZINC001568773374 1190587848 /nfs/dbraw/zinc/58/78/48/1190587848.db2.gz VOGXNFIKBJDDLS-UHFFFAOYSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001568913917 1190599149 /nfs/dbraw/zinc/59/91/49/1190599149.db2.gz NQBWGXUZPKWGHK-UCFODXPJSA-N 0 1 318.436 3.498 20 30 DGEDMN CCCCC1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CC1 ZINC001568913455 1190599484 /nfs/dbraw/zinc/59/94/84/1190599484.db2.gz DZWYHROQNUHZNN-FPLPWBNLSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2c(F)cccc2F)CC1 ZINC001569015836 1190608451 /nfs/dbraw/zinc/60/84/51/1190608451.db2.gz BRCHFGIURBLETO-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001569021004 1190609663 /nfs/dbraw/zinc/60/96/63/1190609663.db2.gz OYEMVHSWAKSDLT-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CCC)c1ccccc1 ZINC001569034013 1190611587 /nfs/dbraw/zinc/61/15/87/1190611587.db2.gz QNQUOMQNMVAGHS-GDBMZVCRSA-N 0 1 308.853 3.417 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001569087866 1190619214 /nfs/dbraw/zinc/61/92/14/1190619214.db2.gz DMPRSWOFTHVLNY-DZGCQCFKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001569134924 1190636739 /nfs/dbraw/zinc/63/67/39/1190636739.db2.gz NMCZVQUYDGPPMJ-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1occc1C(C)C)C1CC1 ZINC001569316813 1190665072 /nfs/dbraw/zinc/66/50/72/1190665072.db2.gz MPGYFMAJISWPKO-CQSZACIVSA-N 0 1 310.825 3.254 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CC[C@H]1CCCC1(F)F ZINC001569359888 1190674725 /nfs/dbraw/zinc/67/47/25/1190674725.db2.gz OBWQLUSLXDVSGD-HUUCEWRRSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CC[C@H]1CCCC1(F)F ZINC001569368118 1190678652 /nfs/dbraw/zinc/67/86/52/1190678652.db2.gz VHQZGBLUHLTBRR-KGLIPLIRSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001569477117 1190705025 /nfs/dbraw/zinc/70/50/25/1190705025.db2.gz BASQUVCQDIMQHH-OPZJBCNKSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2cccc(C)c2)C1 ZINC001569482153 1190706515 /nfs/dbraw/zinc/70/65/15/1190706515.db2.gz SQWAVMYEZLVNMV-UHFFFAOYSA-N 0 1 300.446 3.498 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccc(C)cc2)C1 ZINC001569495047 1190707752 /nfs/dbraw/zinc/70/77/52/1190707752.db2.gz RZVWPNLBOZSHBZ-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2CCC(F)(F)CC2)C1 ZINC001569500048 1190709022 /nfs/dbraw/zinc/70/90/22/1190709022.db2.gz CQHUPJXYQNRREA-CYBMUJFWSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001569500797 1190709535 /nfs/dbraw/zinc/70/95/35/1190709535.db2.gz XHNMFRQXESLSDH-CYBMUJFWSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2sccc2C)C1 ZINC001569503977 1190709933 /nfs/dbraw/zinc/70/99/33/1190709933.db2.gz BTERKHHUYHFGEN-UHFFFAOYSA-N 0 1 320.502 3.488 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2sc(C)cc2C)C1 ZINC001569504617 1190710008 /nfs/dbraw/zinc/71/00/08/1190710008.db2.gz ONSYTCHNJJDSPE-AWEZNQCLSA-N 0 1 320.502 3.405 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)cc(C)c2)C1 ZINC001569504738 1190710117 /nfs/dbraw/zinc/71/01/17/1190710117.db2.gz PHXGRRNGFFKQHR-MRXNPFEDSA-N 0 1 300.446 3.414 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001569507800 1190710714 /nfs/dbraw/zinc/71/07/14/1190710714.db2.gz DQGDAWNIFPVYEU-QGZVFWFLSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](C=C)c2ccccc2)C1 ZINC001569507104 1190710765 /nfs/dbraw/zinc/71/07/65/1190710765.db2.gz HDVFEIIHJGQSQH-QFBILLFUSA-N 0 1 312.457 3.454 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@@H](CC)C(C)C ZINC001569562565 1190717208 /nfs/dbraw/zinc/71/72/08/1190717208.db2.gz SARFSMIYVZHLKR-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCCCC(=O)NC1(C)CCN(Cc2ccccc2F)CC1 ZINC001569571215 1190718476 /nfs/dbraw/zinc/71/84/76/1190718476.db2.gz RLICBEBPNBVVQL-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN CCCC(=O)N[C@H](CNCc1ccccc1C#N)C1CCCC1 ZINC001569598731 1190721134 /nfs/dbraw/zinc/72/11/34/1190721134.db2.gz LEPFVOSKUMVOGY-GOSISDBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccccc1)C1CCCC1 ZINC001569606946 1190722456 /nfs/dbraw/zinc/72/24/56/1190722456.db2.gz UWWXGLWWADIMQU-QGZVFWFLSA-N 0 1 320.864 3.246 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2ccc(C)c(F)c2)C1 ZINC001569632753 1190727476 /nfs/dbraw/zinc/72/74/76/1190727476.db2.gz ZASFJEKUTZZCBD-GOSISDBHSA-N 0 1 304.409 3.181 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CCC2CCCCCC2)C1 ZINC001569643236 1190730531 /nfs/dbraw/zinc/73/05/31/1190730531.db2.gz VGVOLAHGPLFVIM-LJQANCHMSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cncc(C)c2)C1 ZINC001569646457 1190731932 /nfs/dbraw/zinc/73/19/32/1190731932.db2.gz KQJDFFXPCIBIQW-QFBILLFUSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@]1(C)C=CCC1)c1ccccc1CC ZINC001569802720 1190756316 /nfs/dbraw/zinc/75/63/16/1190756316.db2.gz ZLWVYZPORYQBJD-TZIWHRDSSA-N 0 1 324.468 3.376 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]3[C@H]2CCN3CC#CC)CCCC1 ZINC001569917074 1190774377 /nfs/dbraw/zinc/77/43/77/1190774377.db2.gz KFCKHKVZRZIUPZ-ZWKOTPCHSA-N 0 1 314.473 3.212 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C1 ZINC001570119997 1190800029 /nfs/dbraw/zinc/80/00/29/1190800029.db2.gz TTZMEBNXXITMAJ-MJGOQNOKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)C=C(CC)CC)O2 ZINC001570232362 1190827092 /nfs/dbraw/zinc/82/70/92/1190827092.db2.gz QJEIWOZLFMZKEK-QGZVFWFLSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)C ZINC001570267414 1190832630 /nfs/dbraw/zinc/83/26/30/1190832630.db2.gz PJOOGTHTJYHFSI-GOSISDBHSA-N 0 1 300.446 3.152 20 30 DGEDMN Cc1ccc(C(=O)/C=C\C(=O)Nc2cc(F)cc(F)c2O)cc1 ZINC001570466025 1190865366 /nfs/dbraw/zinc/86/53/66/1190865366.db2.gz ZQMZQULBGRRKNT-SREVYHEPSA-N 0 1 317.291 3.356 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CCCC(C)C)CC2)C1 ZINC001570482475 1190868836 /nfs/dbraw/zinc/86/88/36/1190868836.db2.gz MTZVPTUHNWGFPI-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](CC)CCC ZINC001570521951 1190873668 /nfs/dbraw/zinc/87/36/68/1190873668.db2.gz KRZXUVGWXMMZLN-KFKAGJAMSA-N 0 1 312.457 3.208 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1C[N@@H+](C)CC#Cc1ccccc1 ZINC001570540383 1190881398 /nfs/dbraw/zinc/88/13/98/1190881398.db2.gz OGHPYIBRMNUTLT-VAMGGRTRSA-N 0 1 324.468 3.007 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001570540383 1190881400 /nfs/dbraw/zinc/88/14/00/1190881400.db2.gz OGHPYIBRMNUTLT-VAMGGRTRSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CC)CC1CCCC1 ZINC001570541923 1190882515 /nfs/dbraw/zinc/88/25/15/1190882515.db2.gz LPHJPYWWDXZVOR-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CC(C)(C)CN[C@H](C)c1ncc(C)o1 ZINC001570680327 1190916185 /nfs/dbraw/zinc/91/61/85/1190916185.db2.gz AMQLKWXBPJDKLD-CQSZACIVSA-N 0 1 321.465 3.330 20 30 DGEDMN C[C@@H](CNC(=O)CC(C)(C)C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001570698060 1190920608 /nfs/dbraw/zinc/92/06/08/1190920608.db2.gz MXCDISUQNWFBOJ-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001570843710 1190964405 /nfs/dbraw/zinc/96/44/05/1190964405.db2.gz NIMNZYWMEMZAEX-MRXNPFEDSA-N 0 1 312.457 3.022 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@@H](CC)CCCC)C2)C1 ZINC001570882355 1190969329 /nfs/dbraw/zinc/96/93/29/1190969329.db2.gz RJYZAIOSPROONA-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C)=C1CCCC1 ZINC001571359152 1191001496 /nfs/dbraw/zinc/00/14/96/1191001496.db2.gz UBXNGBPYKYNADK-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@H](C)n1cccc1 ZINC001571370743 1191004417 /nfs/dbraw/zinc/00/44/17/1191004417.db2.gz CBWVYAHIKQYLSX-HOCLYGCPSA-N 0 1 323.868 3.018 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)N[C@H](C)c1c(F)cccc1F ZINC001571384267 1191009563 /nfs/dbraw/zinc/00/95/63/1191009563.db2.gz LNIFNYZHXQIIOX-UONOGXRCSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC001571393716 1191012501 /nfs/dbraw/zinc/01/25/01/1191012501.db2.gz VEALDRMYHWDDHJ-KWCYVHTRSA-N 0 1 312.866 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC(C)(C)C1)C(C)(C)C ZINC001571623943 1191067126 /nfs/dbraw/zinc/06/71/26/1191067126.db2.gz ZKNCRJQTSSNNBC-CYBMUJFWSA-N 0 1 300.874 3.296 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC(C)(C)CC(F)F)[C@H]1C ZINC001571520081 1191040883 /nfs/dbraw/zinc/04/08/83/1191040883.db2.gz ANLCIEDTBWTPJU-KGLIPLIRSA-N 0 1 314.420 3.050 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccc(C)o1)C(C)(C)C ZINC001571622260 1191066497 /nfs/dbraw/zinc/06/64/97/1191066497.db2.gz RTONBRUKBJMILZ-CQSZACIVSA-N 0 1 312.841 3.004 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)/C=C\C(C)(C)C)c(F)c1 ZINC001571702486 1191086225 /nfs/dbraw/zinc/08/62/25/1191086225.db2.gz WVZJDZIQFRDZLL-KTKRTIGZSA-N 0 1 316.420 3.157 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCc1ccc(CNCCF)cc1F ZINC001571702646 1191086490 /nfs/dbraw/zinc/08/64/90/1191086490.db2.gz BMLKWXJUIBMLAL-UHFFFAOYSA-N 0 1 310.388 3.103 20 30 DGEDMN C=CC[C@@H](C)NC(=O)Nc1ccc(-c2cn[nH]n2)cc1Cl ZINC001575077688 1191465094 /nfs/dbraw/zinc/46/50/94/1191465094.db2.gz AFBXRDNLPKPRGW-SECBINFHSA-N 0 1 305.769 3.211 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](c1c[nH]nn1)c1ccc(Cl)cc1 ZINC001575065313 1191465264 /nfs/dbraw/zinc/46/52/64/1191465264.db2.gz GPGUFLXYGOGPAX-OAHLLOKOSA-N 0 1 318.808 3.266 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](c1c[nH]nn1)c1ccc(Cl)cc1 ZINC001575065311 1191465407 /nfs/dbraw/zinc/46/54/07/1191465407.db2.gz GPGUFLXYGOGPAX-HNNXBMFYSA-N 0 1 318.808 3.266 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@@H](Cc2c[nH]nn2)C1 ZINC001576396138 1191587825 /nfs/dbraw/zinc/58/78/25/1191587825.db2.gz VNMRFHVUJMWVTG-HNNXBMFYSA-N 0 1 306.454 3.336 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@@H](Cc2cnn[nH]2)C1 ZINC001576396138 1191587829 /nfs/dbraw/zinc/58/78/29/1191587829.db2.gz VNMRFHVUJMWVTG-HNNXBMFYSA-N 0 1 306.454 3.336 20 30 DGEDMN C#C[C@@H]1CCCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC001618915962 1193754783 /nfs/dbraw/zinc/75/47/83/1193754783.db2.gz IGSDCOLNSPPLSN-CQSZACIVSA-N 0 1 307.315 3.282 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC[C@@H]2C2CCC2)c1C#N ZINC001619799546 1193761885 /nfs/dbraw/zinc/76/18/85/1193761885.db2.gz LHWZQPQIJJUBSD-GOSISDBHSA-N 0 1 312.413 3.499 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@@H](C3CCC3)C2)c1C#N ZINC001620433537 1193768480 /nfs/dbraw/zinc/76/84/80/1193768480.db2.gz RKIFGXJSJAQYAL-OAHLLOKOSA-N 0 1 312.413 3.357 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2ccc(O)cc2)CC1 ZINC001621207601 1193817313 /nfs/dbraw/zinc/81/73/13/1193817313.db2.gz CDEVLFZAOOGHNH-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN Cc1noc(-c2ccc(CNCc3ccc(C#N)c(F)c3)cc2)n1 ZINC001621229305 1193820176 /nfs/dbraw/zinc/82/01/76/1193820176.db2.gz ABZKVNVCPKPTDC-UHFFFAOYSA-N 0 1 322.343 3.346 20 30 DGEDMN C=CCC[C@@H](C)N[C@@H](CCNC(=O)OC(C)(C)C)C(F)F ZINC001621343458 1193831179 /nfs/dbraw/zinc/83/11/79/1193831179.db2.gz PDYAMJNXFRFSDT-NEPJUHHUSA-N 0 1 306.397 3.479 20 30 DGEDMN CC#CCN(C)Cc1c[nH]nc1-c1ccc(Br)cc1 ZINC001621550215 1193857231 /nfs/dbraw/zinc/85/72/31/1193857231.db2.gz VKCXEXINAOGFTR-UHFFFAOYSA-N 0 1 318.218 3.294 20 30 DGEDMN CSc1ccsc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001623604475 1193940888 /nfs/dbraw/zinc/94/08/88/1193940888.db2.gz IBHLLPJTYFMUIN-UHFFFAOYSA-N 0 1 314.395 3.470 20 30 DGEDMN C[C@H](Cc1ccc(F)cc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001623604166 1193941110 /nfs/dbraw/zinc/94/11/10/1193941110.db2.gz BNUWBDWEYLWGQI-LLVKDONJSA-N 0 1 322.343 3.391 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccc(C#N)cc1 ZINC001625332004 1194044646 /nfs/dbraw/zinc/04/46/46/1194044646.db2.gz JNLUSKZLSXNWSX-SNVBAGLBSA-N 0 1 300.745 3.408 20 30 DGEDMN C=C(C)[C@@H](NC(=O)CCc1cc(C)[nH]n1)c1ccc(F)c(F)c1 ZINC001625552786 1194057975 /nfs/dbraw/zinc/05/79/75/1194057975.db2.gz HOCJJXLQMLCDJW-QGZVFWFLSA-N 0 1 319.355 3.363 20 30 DGEDMN C=CCC1(C(=O)N2CC(N(C)Cc3ccccc3)C2)CCCC1 ZINC001627282929 1194120578 /nfs/dbraw/zinc/12/05/78/1194120578.db2.gz REHWFFKGWYLMFI-UHFFFAOYSA-N 0 1 312.457 3.466 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2cc(C)cc(C=O)c2O)cc1 ZINC001627364044 1194124845 /nfs/dbraw/zinc/12/48/45/1194124845.db2.gz JHVQLZUFVWUONH-UHFFFAOYSA-N 0 1 322.364 3.382 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2ncc3ccccc3c2O)c1 ZINC001628218273 1194167228 /nfs/dbraw/zinc/16/72/28/1194167228.db2.gz DNZYRBKVMOEHIS-UHFFFAOYSA-N 0 1 302.333 3.198 20 30 DGEDMN Cc1cc(CCC(=O)N[C@H](C#N)c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC001628360824 1194174347 /nfs/dbraw/zinc/17/43/47/1194174347.db2.gz LBAAAOBUFZMTOI-QGZVFWFLSA-N 0 1 324.428 3.329 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)c2ccccc2CC#N)c1 ZINC001628445044 1194178848 /nfs/dbraw/zinc/17/88/48/1194178848.db2.gz DBDXHJGKEDBTNF-UHFFFAOYSA-N 0 1 308.337 3.303 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001671149239 1194372219 /nfs/dbraw/zinc/37/22/19/1194372219.db2.gz PDVLIIRUPVLDDW-DOTOQJQBSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001671149240 1194372391 /nfs/dbraw/zinc/37/23/91/1194372391.db2.gz PDVLIIRUPVLDDW-NVXWUHKLSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cc(C)cc(C)c2)C1 ZINC001671168352 1194554972 /nfs/dbraw/zinc/55/49/72/1194554972.db2.gz VVDMHSADNYJXSS-KRWDZBQOSA-N 0 1 314.473 3.343 20 30 DGEDMN N#Cc1ccc(F)c(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)c1 ZINC001636372073 1194609074 /nfs/dbraw/zinc/60/90/74/1194609074.db2.gz GRHSTCGXGOIIGV-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN N#Cc1ccc(F)cc1NC1CCN(Cc2ccccn2)CC1 ZINC001639296237 1194759576 /nfs/dbraw/zinc/75/95/76/1194759576.db2.gz ZFKFRHIAKYYUQR-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CC1(C)CCCN(CCNc2cc(C#N)sc2[N+](=O)[O-])C1 ZINC001639846771 1194784114 /nfs/dbraw/zinc/78/41/14/1194784114.db2.gz QZSGMECAYSNMDW-UHFFFAOYSA-N 0 1 308.407 3.062 20 30 DGEDMN N#Cc1csc(CSc2nc(-c3ccccc3F)n[nH]2)n1 ZINC001640598611 1194820748 /nfs/dbraw/zinc/82/07/48/1194820748.db2.gz LLRDPKCRRJYPOR-UHFFFAOYSA-N 0 1 317.374 3.231 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2c[nH]c3c(C)cccc3c2=O)C1 ZINC001641732130 1194869100 /nfs/dbraw/zinc/86/91/00/1194869100.db2.gz INQZGUJQMXFPJC-CQSZACIVSA-N 0 1 310.397 3.265 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C1CN(CCC2CC2)C1)c1ccccc1 ZINC001671201848 1194885979 /nfs/dbraw/zinc/88/59/79/1194885979.db2.gz HTGXPROKQSYYRL-KRWDZBQOSA-N 0 1 312.457 3.289 20 30 DGEDMN Cc1ccc(OCCC(=O)NOc2cccc(C#N)c2)c(C)c1 ZINC001642625314 1194923460 /nfs/dbraw/zinc/92/34/60/1194923460.db2.gz LOZDMLHCFPEQCB-UHFFFAOYSA-N 0 1 310.353 3.054 20 30 DGEDMN N#Cc1cc(F)cc(NC(=O)c2cc(-c3cccs3)n[nH]2)c1 ZINC001643624556 1195040597 /nfs/dbraw/zinc/04/05/97/1195040597.db2.gz ZMIRKVPZHDSFIV-UHFFFAOYSA-N 0 1 312.329 3.401 20 30 DGEDMN C=CCOCCCC(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001644300467 1195097445 /nfs/dbraw/zinc/09/74/45/1195097445.db2.gz OWXXVNAPEWEDIR-UHFFFAOYSA-N 0 1 311.385 3.168 20 30 DGEDMN C=C(CC)CN1CCN(C(=O)Cc2cccc(Cl)c2)[C@H](C)C1 ZINC001644713779 1195129322 /nfs/dbraw/zinc/12/93/22/1195129322.db2.gz SUBCCMRDBGHJIY-OAHLLOKOSA-N 0 1 320.864 3.381 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C(/CC)c2ccc(C)cc2)CC1 ZINC001644947348 1195144908 /nfs/dbraw/zinc/14/49/08/1195144908.db2.gz BRRKCMIZFQLFNO-ICFOKQHNSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)NCCc1c(C)cc(C)cc1C ZINC001645462629 1195186519 /nfs/dbraw/zinc/18/65/19/1195186519.db2.gz BYJJSUKBCARJIV-GOSISDBHSA-N 0 1 314.473 3.311 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2cc(C#N)ccc2F)n[nH]1 ZINC001645511060 1195190715 /nfs/dbraw/zinc/19/07/15/1195190715.db2.gz XRLUDBRJDXLGHK-MRXNPFEDSA-N 0 1 312.348 3.096 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H]1CCCN(c2ccccc2Cl)C1=O ZINC001645745939 1195199979 /nfs/dbraw/zinc/19/99/79/1195199979.db2.gz MHDGKGQBBXQAMH-PBHICJAKSA-N 0 1 304.821 3.227 20 30 DGEDMN C=CC[C@H]1CCN1[C@@H](C)C(=O)N(C)Cc1c(F)cccc1Cl ZINC001648549959 1195308478 /nfs/dbraw/zinc/30/84/78/1195308478.db2.gz AVDNZVRFBQCMMD-STQMWFEESA-N 0 1 324.827 3.476 20 30 DGEDMN CN(C)c1c(F)c(F)ccc1CNCc1ccc(C#N)s1 ZINC001651234484 1195430360 /nfs/dbraw/zinc/43/03/60/1195430360.db2.gz XPMBUMRMYRNBBE-UHFFFAOYSA-N 0 1 307.369 3.254 20 30 DGEDMN C=CC[C@@H](Sc1nc(C=Cc2ccccc2)n[nH]1)C(=O)OC ZINC001652588679 1195622401 /nfs/dbraw/zinc/62/24/01/1195622401.db2.gz ALAHVIQEFDZPBG-OCHBPSSRSA-N 0 1 315.398 3.185 20 30 DGEDMN CN(Cc1ccc(C#N)cc1F)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001652631075 1195628596 /nfs/dbraw/zinc/62/85/96/1195628596.db2.gz BZBJCGBAUPAIQA-KRWDZBQOSA-N 0 1 321.359 3.037 20 30 DGEDMN CC[C@](C)(NC[C@@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001652830629 1195657464 /nfs/dbraw/zinc/65/74/64/1195657464.db2.gz QDLQZICNXQRZAL-WBVHZDCISA-N 0 1 315.442 3.272 20 30 DGEDMN C#CCN(CC1CC1)[C@H]1CCCN(c2ccccc2Cl)C1=O ZINC001652932281 1195672467 /nfs/dbraw/zinc/67/24/67/1195672467.db2.gz OFQYSZMYPADPSK-KRWDZBQOSA-N 0 1 316.832 3.181 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001653004890 1195679240 /nfs/dbraw/zinc/67/92/40/1195679240.db2.gz NCCJZEBZLPZNJY-CYBMUJFWSA-N 0 1 307.825 3.454 20 30 DGEDMN C[C@@H](NCc1nnc[nH]1)c1ccc(-c2cccc(C#N)c2)cc1 ZINC001653253992 1195704171 /nfs/dbraw/zinc/70/41/71/1195704171.db2.gz KHMQWWRCYVOAHP-CYBMUJFWSA-N 0 1 303.369 3.194 20 30 DGEDMN C[C@@H]1CC[C@](CO)(NCC#Cc2ccccc2)c2ccccc21 ZINC001653269617 1195705830 /nfs/dbraw/zinc/70/58/30/1195705830.db2.gz LONWROLRZXSQAV-DYESRHJHSA-N 0 1 305.421 3.413 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2CCCN2Cc2ccccc2)cc1 ZINC001653439922 1195719766 /nfs/dbraw/zinc/71/97/66/1195719766.db2.gz MWUKAJUKOLKEDO-LJQANCHMSA-N 0 1 304.393 3.271 20 30 DGEDMN C#CCOCCN1Cc2cccc(Br)c2C[C@H]1CC ZINC001653435098 1195719863 /nfs/dbraw/zinc/71/98/63/1195719863.db2.gz HMOMIGCORRZECM-CQSZACIVSA-N 0 1 322.246 3.236 20 30 DGEDMN CN1CCN([C@@H](C#N)c2ccccc2)C[C@H]1Cc1ccccc1 ZINC001653537674 1195732654 /nfs/dbraw/zinc/73/26/54/1195732654.db2.gz JJFQEXDOOWLEFA-UXHICEINSA-N 0 1 305.425 3.110 20 30 DGEDMN C#CCCN1CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC001654043004 1195770310 /nfs/dbraw/zinc/77/03/10/1195770310.db2.gz ASTKBLJUSSLRHL-UHFFFAOYSA-N 0 1 302.805 3.227 20 30 DGEDMN CC(C)(C)CN(CCCSCC#N)CC(=O)OC(C)(C)C ZINC001654319039 1195791978 /nfs/dbraw/zinc/79/19/78/1195791978.db2.gz OCVUCRHGXMRAOD-UHFFFAOYSA-N 0 1 314.495 3.323 20 30 DGEDMN C[C@H](NCc1cncc(C#N)c1)c1ccc(-n2cccn2)c(F)c1 ZINC001654547762 1195816409 /nfs/dbraw/zinc/81/64/09/1195816409.db2.gz IWHWBDRCXKXBHF-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN C#CC[C@H]1CCN(Cc2ccc([N+](=O)[O-])cc2Br)C1 ZINC001654762758 1195837745 /nfs/dbraw/zinc/83/77/45/1195837745.db2.gz VYRHQRFAZPNFEN-NSHDSACASA-N 0 1 323.190 3.203 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c3ccccc3oc2C(=O)OCC)C1 ZINC001654867915 1195848906 /nfs/dbraw/zinc/84/89/06/1195848906.db2.gz MNENEJQJLSYWBN-CQSZACIVSA-N 0 1 311.381 3.455 20 30 DGEDMN CCCCCCCN1Cc2cccnc2N2C[C@@H](OC)C[C@@H]2C1 ZINC001655317914 1195903008 /nfs/dbraw/zinc/90/30/08/1195903008.db2.gz LJHSUEMQCIBVPH-MSOLQXFVSA-N 0 1 317.477 3.461 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(Cc1ccccc1CF)C2 ZINC001655797032 1195964381 /nfs/dbraw/zinc/96/43/81/1195964381.db2.gz GRUVIXMZVNMXJN-UHFFFAOYSA-N 0 1 301.390 3.230 20 30 DGEDMN C=CC[C@@H]1CCN1[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001655815337 1195966141 /nfs/dbraw/zinc/96/61/41/1195966141.db2.gz BOEHKXGFNPRESG-UKRRQHHQSA-N 0 1 324.346 3.461 20 30 DGEDMN Cc1cc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)ncc1C#N ZINC001655822231 1195966588 /nfs/dbraw/zinc/96/65/88/1195966588.db2.gz RGHVZLBGDFBDFY-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN CC(C)C[C@H]1CN(C)CCN1c1ncc(C#N)c2ccsc21 ZINC001655884101 1195973272 /nfs/dbraw/zinc/97/32/72/1195973272.db2.gz JEXQZXJLRXKBJC-AWEZNQCLSA-N 0 1 314.458 3.334 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001656106094 1196004247 /nfs/dbraw/zinc/00/42/47/1196004247.db2.gz RZMWQDNLWVVDQB-SFHVURJKSA-N 0 1 314.473 3.381 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001656150795 1196010894 /nfs/dbraw/zinc/01/08/94/1196010894.db2.gz UUSZKUVNEPPTAE-LLKKGKIRSA-N 0 1 323.440 3.065 20 30 DGEDMN N#Cc1cc(CN2CCC[C@]2(CO)c2ccccc2)ccc1F ZINC001656540076 1196048591 /nfs/dbraw/zinc/04/85/91/1196048591.db2.gz CNKMDTKDXIJXLG-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)c2ccccc2C)[C@H]1CC ZINC001656741204 1196067032 /nfs/dbraw/zinc/06/70/32/1196067032.db2.gz GWTRXERUKRKTMZ-NZSAHSFTSA-N 0 1 312.457 3.091 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C(=O)OC)[C@H](C)CC ZINC001656984944 1196089722 /nfs/dbraw/zinc/08/97/22/1196089722.db2.gz RQGYDJFQYZIJPK-PBHICJAKSA-N 0 1 302.418 3.358 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C=C1CCCCC1 ZINC001657055965 1196097332 /nfs/dbraw/zinc/09/73/32/1196097332.db2.gz KCRUJFDPLIKACV-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN CCCCOc1ccc(CNCC2(C#N)CCOCC2)cc1 ZINC001657123998 1196108425 /nfs/dbraw/zinc/10/84/25/1196108425.db2.gz HKIWPWLKMDJWOH-UHFFFAOYSA-N 0 1 302.418 3.275 20 30 DGEDMN Cc1noc(C[C@@H](NCc2csc(C#N)c2)c2ccccc2)n1 ZINC001657162987 1196113329 /nfs/dbraw/zinc/11/33/29/1196113329.db2.gz CBOVJAMNMDQSLO-MRXNPFEDSA-N 0 1 324.409 3.385 20 30 DGEDMN C#Cc1ccc(CNCc2cnn(-c3ccccc3C)c2)cc1 ZINC001657395335 1196137599 /nfs/dbraw/zinc/13/75/99/1196137599.db2.gz LCEKHWVSUCJTHS-UHFFFAOYSA-N 0 1 301.393 3.452 20 30 DGEDMN C=CC[C@@H]1CC[C@@H](NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC001657822513 1196181151 /nfs/dbraw/zinc/18/11/51/1196181151.db2.gz IFECUZLPDQMQRY-CHWSQXEVSA-N 0 1 318.408 3.481 20 30 DGEDMN CC(C)c1nc(CNCc2ccc(C#N)cn2)c2ccccn21 ZINC001658065346 1196209044 /nfs/dbraw/zinc/20/90/44/1196209044.db2.gz RCAVAQSUMAZDLV-UHFFFAOYSA-N 0 1 305.385 3.014 20 30 DGEDMN C#C[C@H](NC[C@@H](OC)C1CC1)c1ccc(Br)cc1 ZINC001658193911 1196224621 /nfs/dbraw/zinc/22/46/21/1196224621.db2.gz WGTNQVRDIMZKNA-LSDHHAIUSA-N 0 1 308.219 3.138 20 30 DGEDMN Cc1ccc(CNCc2ccnn2-c2ccc(F)cc2)cc1C#N ZINC001658578349 1196275672 /nfs/dbraw/zinc/27/56/72/1196275672.db2.gz YFYHYZDZEWBNTL-UHFFFAOYSA-N 0 1 320.371 3.481 20 30 DGEDMN COCCN(Cc1ccccc1)Cc1cc(C#N)ccc1N(C)C ZINC001659294989 1196369307 /nfs/dbraw/zinc/36/93/07/1196369307.db2.gz AQBWBRNGQOMVAD-UHFFFAOYSA-N 0 1 323.440 3.273 20 30 DGEDMN C[C@@](O)(CNCc1cccc(F)c1C#N)c1ccccc1Cl ZINC001659358486 1196374774 /nfs/dbraw/zinc/37/47/74/1196374774.db2.gz XUUQESRDXQAILC-QGZVFWFLSA-N 0 1 318.779 3.348 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1ccc2oc(=O)oc2c1 ZINC001659503972 1196389815 /nfs/dbraw/zinc/38/98/15/1196389815.db2.gz SSXFENBNUOVCMK-LBPRGKRZSA-N 0 1 308.337 3.451 20 30 DGEDMN CCNc1ccccc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001659855479 1196421914 /nfs/dbraw/zinc/42/19/14/1196421914.db2.gz JVXNJWFDFGXIQQ-UHFFFAOYSA-N 0 1 320.440 3.312 20 30 DGEDMN CN(CCCNC(=O)/C=C\C(C)(C)C)Cc1ccccc1C#N ZINC001660080588 1196443435 /nfs/dbraw/zinc/44/34/35/1196443435.db2.gz CYUORCNNHXDNPM-KHPPLWFESA-N 0 1 313.445 3.099 20 30 DGEDMN C=C(C)C[C@@H](NCc1c(O)ccc(Cl)c1F)C(=O)OCC ZINC001660592793 1196500781 /nfs/dbraw/zinc/50/07/81/1196500781.db2.gz JJQMRJOVYCEBTE-GFCCVEGCSA-N 0 1 315.772 3.172 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1cc(C)no1)C1CC1 ZINC001660711884 1196515519 /nfs/dbraw/zinc/51/55/19/1196515519.db2.gz CRRWSBDOOJAHGS-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2cc(Cl)cs2)C1 ZINC001660844266 1196533762 /nfs/dbraw/zinc/53/37/62/1196533762.db2.gz HZLJAKMGEWSOME-ZDUSSCGKSA-N 0 1 312.866 3.101 20 30 DGEDMN CC1(C)[C@H](Oc2ccccc2)C[C@@H]1NCC1(C#N)CCOCC1 ZINC001660886523 1196538887 /nfs/dbraw/zinc/53/88/87/1196538887.db2.gz AQNQZTGYLHFLIO-DLBZAZTESA-N 0 1 314.429 3.142 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001661156312 1196573537 /nfs/dbraw/zinc/57/35/37/1196573537.db2.gz FEXSWLXJZNUHLE-SEVUFMINSA-N 0 1 305.249 3.002 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](F)C(C)C ZINC001661261915 1196589487 /nfs/dbraw/zinc/58/94/87/1196589487.db2.gz JCKDCQVVMDFXGI-IXDOHACOSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001661431287 1196604910 /nfs/dbraw/zinc/60/49/10/1196604910.db2.gz FFSKKQNQPDCOHW-HDJSIYSDSA-N 0 1 321.490 3.099 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001661896992 1196660396 /nfs/dbraw/zinc/66/03/96/1196660396.db2.gz YHALZAXMIGACAN-HKUYNNGSSA-N 0 1 302.462 3.117 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CCC(C)(F)F)C1 ZINC001661996482 1196672866 /nfs/dbraw/zinc/67/28/66/1196672866.db2.gz MUUNPGVFYGPAJI-STQMWFEESA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001662219514 1196696124 /nfs/dbraw/zinc/69/61/24/1196696124.db2.gz JKRAFEGOGSISES-YNEHKIRRSA-N 0 1 312.866 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(CCC)s1 ZINC001662230216 1196697556 /nfs/dbraw/zinc/69/75/56/1196697556.db2.gz RCXAFHMNJLYVCM-NSHDSACASA-N 0 1 300.855 3.161 20 30 DGEDMN CCC[C@H](C(=O)NCCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001663287911 1196828366 /nfs/dbraw/zinc/82/83/66/1196828366.db2.gz CRKVGEYAPJZFCU-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN N#Cc1ccc(C[NH2+]CCc2c(F)cc([O-])cc2F)c(F)c1 ZINC001663345460 1196840773 /nfs/dbraw/zinc/84/07/73/1196840773.db2.gz IELOKTUMJUGURT-UHFFFAOYSA-N 0 1 306.287 3.013 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN[C@@H](C)c1ccccc1Cl ZINC001663920079 1196957337 /nfs/dbraw/zinc/95/73/37/1196957337.db2.gz NUVZQCZYEZEUKM-AWEZNQCLSA-N 0 1 306.837 3.108 20 30 DGEDMN C=CCCCC(=O)N(C)CCN[C@H](C)c1ccc(F)cc1F ZINC001664155748 1196975702 /nfs/dbraw/zinc/97/57/02/1196975702.db2.gz USUQEWGXGOXNNX-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1OC1CCC1 ZINC001664177728 1196978041 /nfs/dbraw/zinc/97/80/41/1196978041.db2.gz AEFZBPHNOXMKBF-UHFFFAOYSA-N 0 1 322.836 3.032 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001664383551 1196993849 /nfs/dbraw/zinc/99/38/49/1196993849.db2.gz ZEGWANKYNXXTRZ-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1sccc1F)C(C)C ZINC001664505651 1197007109 /nfs/dbraw/zinc/00/71/09/1197007109.db2.gz JCGDIERBSUJZSR-UHFFFAOYSA-N 0 1 318.845 3.470 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)(C)CC(F)F)c1ccccc1 ZINC001664583376 1197017543 /nfs/dbraw/zinc/01/75/43/1197017543.db2.gz MDPGAJGCVHDYML-HNNXBMFYSA-N 0 1 322.399 3.138 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001664673586 1197031704 /nfs/dbraw/zinc/03/17/04/1197031704.db2.gz NLJLWNDDKAYVPY-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CCCC2(C)C)C1 ZINC001664831020 1197065552 /nfs/dbraw/zinc/06/55/52/1197065552.db2.gz YPASTPONYDTRJV-HUUCEWRRSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(C)(CC)CC)C1 ZINC001664858093 1197070695 /nfs/dbraw/zinc/07/06/95/1197070695.db2.gz AIELYKBEOUBRPL-AWEZNQCLSA-N 0 1 300.874 3.346 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cccn1C1CC1 ZINC001664888086 1197076192 /nfs/dbraw/zinc/07/61/92/1197076192.db2.gz YBXNECNGWSYXFR-UHFFFAOYSA-N 0 1 309.413 3.343 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001664982243 1197083990 /nfs/dbraw/zinc/08/39/90/1197083990.db2.gz BQUXHBNQMXWUEI-QWHCGFSZSA-N 0 1 319.276 3.117 20 30 DGEDMN C=CCC(CC=C)C(=O)NC1CN(CC2CC3(CCC3)C2)C1 ZINC001665139093 1197102087 /nfs/dbraw/zinc/10/20/87/1197102087.db2.gz IEGHRURKZYSVHM-UHFFFAOYSA-N 0 1 302.462 3.136 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@]2(C)CCc3ccccc32)C1 ZINC001665234602 1197112698 /nfs/dbraw/zinc/11/26/98/1197112698.db2.gz BNTZPXUVPCDQAF-YWZLYKJASA-N 0 1 312.457 3.046 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2ccc(CCC)cc2)C1 ZINC001665317850 1197122340 /nfs/dbraw/zinc/12/23/40/1197122340.db2.gz YNBOECGVZRESOR-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001665328286 1197123548 /nfs/dbraw/zinc/12/35/48/1197123548.db2.gz HGKOUVHUJISWSM-HNNXBMFYSA-N 0 1 318.436 3.019 20 30 DGEDMN N#Cc1c(F)cccc1CN1C[C@H]2COC[C@@]2(c2ccccc2)C1 ZINC001665645242 1197164423 /nfs/dbraw/zinc/16/44/23/1197164423.db2.gz LKGAZLSIHJPTHZ-FXAWDEMLSA-N 0 1 322.383 3.097 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001665658638 1197165979 /nfs/dbraw/zinc/16/59/79/1197165979.db2.gz ARCKMEARAFOZOV-ZBFHGGJFSA-N 0 1 306.475 3.383 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C(CC(C)C)CC(C)C)C1 ZINC001665686758 1197171953 /nfs/dbraw/zinc/17/19/53/1197171953.db2.gz QEEOZDWNOTUTIP-SFHVURJKSA-N 0 1 306.494 3.251 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001665748551 1197186282 /nfs/dbraw/zinc/18/62/82/1197186282.db2.gz QTAGKSHZZBXYJJ-DUIUGDAFSA-N 0 1 324.468 3.344 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001665766253 1197191478 /nfs/dbraw/zinc/19/14/78/1197191478.db2.gz WYBOZSQGQBYKMD-XJKSGUPXSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cscn2)CCCC[C@@H]1C ZINC001666050090 1197231933 /nfs/dbraw/zinc/23/19/33/1197231933.db2.gz OHKOSRXBSHRJPE-WMLDXEAASA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cc(C)no2)CCCC[C@@H]1C ZINC001666049687 1197232071 /nfs/dbraw/zinc/23/20/71/1197232071.db2.gz MOWNKVMTZYRLEE-KSSFIOAISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc(CNCc2ccccn2)cc1 ZINC001666333512 1197253199 /nfs/dbraw/zinc/25/31/99/1197253199.db2.gz VRALOARIGWGVLB-UHFFFAOYSA-N 0 1 323.440 3.344 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)C2(C)CCCC2)C1 ZINC001666713215 1197279659 /nfs/dbraw/zinc/27/96/59/1197279659.db2.gz JKAOCHRYAXNING-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCCCC(=O)NCC1(NC/C(Cl)=C\Cl)CCCC1 ZINC001666755348 1197283046 /nfs/dbraw/zinc/28/30/46/1197283046.db2.gz NKPBSNKLUKHPGN-JLHYYAGUSA-N 0 1 317.260 3.128 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001666866042 1197292593 /nfs/dbraw/zinc/29/25/93/1197292593.db2.gz YIVYFOHFDWXQCN-QWHCGFSZSA-N 0 1 310.388 3.429 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1cc(C2CC2)no1 ZINC001666908690 1197297937 /nfs/dbraw/zinc/29/79/37/1197297937.db2.gz XPMVUMFAUVCEFC-ZDUSSCGKSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C)cc1Cl ZINC001666996571 1197306807 /nfs/dbraw/zinc/30/68/07/1197306807.db2.gz CARRZDPPXHMRFP-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001667080618 1197315013 /nfs/dbraw/zinc/31/50/13/1197315013.db2.gz FTRKHRDMDSTFFM-WCVJEAGWSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1nccs1)CC(C)C ZINC001667101839 1197318244 /nfs/dbraw/zinc/31/82/44/1197318244.db2.gz RTLYFQBBYODJRX-CQSZACIVSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CSC(C)C ZINC001667358742 1197341018 /nfs/dbraw/zinc/34/10/18/1197341018.db2.gz IYXJUXPNSASFLN-JSGCOSHPSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CCc1ccoc1 ZINC001667367866 1197341639 /nfs/dbraw/zinc/34/16/39/1197341639.db2.gz JAPQHSQTQWUJKW-XJKSGUPXSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@H]1CNCc1cnc(C)o1 ZINC001667374756 1197341862 /nfs/dbraw/zinc/34/18/62/1197341862.db2.gz LATIHAILWJHSGY-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(CCC)cc1 ZINC001668537622 1197418664 /nfs/dbraw/zinc/41/86/64/1197418664.db2.gz FVXVZPAPCIKBPP-UHFFFAOYSA-N 0 1 308.853 3.443 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccn(C(C)C)c1C ZINC001668994945 1197436763 /nfs/dbraw/zinc/43/67/63/1197436763.db2.gz DOFMUPKMNZKJIY-AATRIKPKSA-N 0 1 309.841 3.006 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c(CC)oc2ccccc21 ZINC001669753273 1197475517 /nfs/dbraw/zinc/47/55/17/1197475517.db2.gz IFBRDDPTKUNPJN-LBPRGKRZSA-N 0 1 320.820 3.456 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1nocc1C ZINC001669967498 1197512679 /nfs/dbraw/zinc/51/26/79/1197512679.db2.gz LXSRGGXJWDLRCA-HZPDHXFCSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001671337982 1197535208 /nfs/dbraw/zinc/53/52/08/1197535208.db2.gz XJUYFCRWCLSVRW-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN[C@H](CNC(=O)C=C(CC)CC)c1ccccc1CC ZINC001672176664 1197594723 /nfs/dbraw/zinc/59/47/23/1197594723.db2.gz MAPQNUGYRZDBSH-LJQANCHMSA-N 0 1 312.457 3.376 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)/C(C)=C\CC)c1ccccc1CC ZINC001672177313 1197594834 /nfs/dbraw/zinc/59/48/34/1197594834.db2.gz VGMQKNSPSBBRBF-QMDZLVRISA-N 0 1 312.457 3.376 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)CCC)c1ccccc1CC ZINC001672176310 1197594915 /nfs/dbraw/zinc/59/49/15/1197594915.db2.gz IARZEKXKKQJMDL-MAUKXSAKSA-N 0 1 300.446 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H]2C[N@H+](Cc3ccc(F)cc3)C[C@@H]2C1 ZINC001672419452 1197610968 /nfs/dbraw/zinc/61/09/68/1197610968.db2.gz ICUREQBHOHBNCW-CALCHBBNSA-N 0 1 316.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](CC)CCCC)[C@@H]2C1 ZINC001672558082 1197617152 /nfs/dbraw/zinc/61/71/52/1197617152.db2.gz KVVMPHIEZUFKBT-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CC[C@H](C)C1 ZINC001672930003 1197629037 /nfs/dbraw/zinc/62/90/37/1197629037.db2.gz YRHKOEBRBGMHLC-DLBZAZTESA-N 0 1 312.457 3.194 20 30 DGEDMN C=CCCC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ccc[nH]1 ZINC001673628715 1197680223 /nfs/dbraw/zinc/68/02/23/1197680223.db2.gz BTFFZGSGFLUFME-GOSISDBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@H](C)C1 ZINC001674126398 1197735294 /nfs/dbraw/zinc/73/52/94/1197735294.db2.gz DXCOJVUTAHLJHR-WBVHZDCISA-N 0 1 316.420 3.098 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001674128747 1197736513 /nfs/dbraw/zinc/73/65/13/1197736513.db2.gz XFCFQONIDWOEMI-RHHBVARJSA-N 0 1 317.458 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC001674139458 1197737608 /nfs/dbraw/zinc/73/76/08/1197737608.db2.gz BHNLYUONQTWUJS-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C/Cl ZINC001674419690 1197770881 /nfs/dbraw/zinc/77/08/81/1197770881.db2.gz BMSRZDPDNCMALT-COXPPRJISA-N 0 1 304.821 3.077 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c(F)cccc1Cl ZINC001674495247 1197787863 /nfs/dbraw/zinc/78/78/63/1197787863.db2.gz DPZWZVVPJHQQHT-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1Cl ZINC001674659912 1197824128 /nfs/dbraw/zinc/82/41/28/1197824128.db2.gz BBMJATOPNTVNOJ-STQMWFEESA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1oc(C(F)F)cc1C ZINC001674680247 1197831752 /nfs/dbraw/zinc/83/17/52/1197831752.db2.gz LFQOJAAMNORHTC-VHSXEESVSA-N 0 1 320.767 3.375 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1cc(C)cc(C)c1 ZINC001674722545 1197849120 /nfs/dbraw/zinc/84/91/20/1197849120.db2.gz OLGHDKJOMCNMFR-LSDHHAIUSA-N 0 1 308.853 3.081 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CC(C)(C)C(F)(F)F ZINC001674759833 1197861761 /nfs/dbraw/zinc/86/17/61/1197861761.db2.gz GVBXGYBFMWMRFH-NXEZZACHSA-N 0 1 314.779 3.200 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)c(C)s1 ZINC001674766689 1197867085 /nfs/dbraw/zinc/86/70/85/1197867085.db2.gz PMWXPKLZRGPLHD-QWRGUYRKSA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2nccs2)CCCC1 ZINC001674781024 1197872418 /nfs/dbraw/zinc/87/24/18/1197872418.db2.gz QWHCMJXADRJXSR-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(CC(C)C)s1 ZINC001674944067 1197930366 /nfs/dbraw/zinc/93/03/66/1197930366.db2.gz QVZAGTHHIZTKRN-AWEZNQCLSA-N 0 1 306.475 3.020 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(C)c(Cl)cc1C ZINC001674974112 1197942223 /nfs/dbraw/zinc/94/22/23/1197942223.db2.gz CHQNMDJKEITSPS-AWEZNQCLSA-N 0 1 306.837 3.030 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001675322481 1198042576 /nfs/dbraw/zinc/04/25/76/1198042576.db2.gz JHYWLRBUDFWXBN-AWEZNQCLSA-N 0 1 320.864 3.285 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001675332638 1198046178 /nfs/dbraw/zinc/04/61/78/1198046178.db2.gz SQJBCAOYPDUZQM-GJZGRUSLSA-N 0 1 318.436 3.365 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@H](C)CCCNCc1cc(F)ccc1C#N ZINC001675857084 1198159067 /nfs/dbraw/zinc/15/90/67/1198159067.db2.gz IWGZQILUVXVXJN-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C=C1CCCCC1 ZINC001676198556 1198247918 /nfs/dbraw/zinc/24/79/18/1198247918.db2.gz MBZXGGCRLRUJKG-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1c(C)coc1C ZINC001676209278 1198250322 /nfs/dbraw/zinc/25/03/22/1198250322.db2.gz BCXKQDGWLGZKSE-CQSZACIVSA-N 0 1 310.825 3.089 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1ccc(Cl)cc1F ZINC001676341364 1198294749 /nfs/dbraw/zinc/29/47/49/1198294749.db2.gz FRYLEHDNRAXYQC-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(C)[nH]c2ccccc21 ZINC001676381052 1198305980 /nfs/dbraw/zinc/30/59/80/1198305980.db2.gz BVRIBRITZZWJSG-LBPRGKRZSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001676407532 1198314048 /nfs/dbraw/zinc/31/40/48/1198314048.db2.gz VRMJNMVBQGNXIW-IUODEOHRSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001676407533 1198314975 /nfs/dbraw/zinc/31/49/75/1198314975.db2.gz VRMJNMVBQGNXIW-SWLSCSKDSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1sc(C)cc1C ZINC001676473816 1198331918 /nfs/dbraw/zinc/33/19/18/1198331918.db2.gz YHODHNNQJQXYCG-GFCCVEGCSA-N 0 1 314.882 3.096 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001676487592 1198334777 /nfs/dbraw/zinc/33/47/77/1198334777.db2.gz GKQQOACIKBSOMD-UHOFOFEASA-N 0 1 324.827 3.118 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCc2ccsc2)[C@@H]1C ZINC001676873246 1198417165 /nfs/dbraw/zinc/41/71/65/1198417165.db2.gz OSKZBTPXWMZCRU-RDJZCZTQSA-N 0 1 318.486 3.063 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CCC[N@@H+](CC=C)[C@H]2C)CCCCC1 ZINC001676898841 1198428452 /nfs/dbraw/zinc/42/84/52/1198428452.db2.gz SJXDROPIRWPRLF-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCC1(C(=O)N[C@@H]2CCCN(CC=C)[C@H]2C)CCCCC1 ZINC001676898841 1198428454 /nfs/dbraw/zinc/42/84/54/1198428454.db2.gz SJXDROPIRWPRLF-DLBZAZTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCc1cccc(Cl)c1)C(C)C ZINC001677205034 1198486974 /nfs/dbraw/zinc/48/69/74/1198486974.db2.gz LOTHZVHZPILWCI-UHFFFAOYSA-N 0 1 320.864 3.075 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H]1[C@@H](C=C(C)C)C1(C)C)C(C)C ZINC001677204802 1198486979 /nfs/dbraw/zinc/48/69/79/1198486979.db2.gz FYGZSYYNNOIEKD-IAGOWNOFSA-N 0 1 304.478 3.027 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001677276349 1198507652 /nfs/dbraw/zinc/50/76/52/1198507652.db2.gz AXWBUAVOYDAAOQ-HOCLYGCPSA-N 0 1 321.465 3.206 20 30 DGEDMN C[C@@H](NC(=O)CC1CC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001677321712 1198514772 /nfs/dbraw/zinc/51/47/72/1198514772.db2.gz RZSZJSAFRGGBMA-QGZVFWFLSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C1CCN(C/C=C/Cl)CC1 ZINC001677354102 1198520094 /nfs/dbraw/zinc/52/00/94/1198520094.db2.gz GABGACCDCUTIEG-USYSOWRXSA-N 0 1 310.869 3.149 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)C1CCN(C/C=C\Cl)CC1 ZINC001677354101 1198520279 /nfs/dbraw/zinc/52/02/79/1198520279.db2.gz GABGACCDCUTIEG-QARUFBMTSA-N 0 1 310.869 3.149 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)CCCCCC)CC1 ZINC001677613015 1198560508 /nfs/dbraw/zinc/56/05/08/1198560508.db2.gz WTAINRPQQJPOSL-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCCCCCCNC(=O)NCc1cc(N(C)C)ccn1 ZINC001680986457 1198933662 /nfs/dbraw/zinc/93/36/62/1198933662.db2.gz PNSSMALXYZCFMB-UHFFFAOYSA-N 0 1 304.438 3.083 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NCCN(CC)CCC(F)(F)F ZINC001681530632 1199027943 /nfs/dbraw/zinc/02/79/43/1199027943.db2.gz BHPIQVBPJKAFQT-UHFFFAOYSA-N 0 1 323.403 3.162 20 30 DGEDMN C=CCC1(CNC(=O)N2C[C@@H](C)N(CC)C[C@@H]2C)CCCC1 ZINC001682312362 1199149047 /nfs/dbraw/zinc/14/90/47/1199149047.db2.gz YJPSJYBYNCEXSM-CVEARBPZSA-N 0 1 307.482 3.247 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(C)[C@@H](C(C)C)C2)CCCC1 ZINC001687039779 1199613998 /nfs/dbraw/zinc/61/39/98/1199613998.db2.gz UHCWFQWVEPQKIK-QGZVFWFLSA-N 0 1 321.509 3.495 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCc1ccc(C(C)C)cc1 ZINC001688878611 1199939045 /nfs/dbraw/zinc/93/90/45/1199939045.db2.gz LQPFORJZYZRXQV-LJQANCHMSA-N 0 1 314.473 3.461 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C(C)(C)C1CCCCC1 ZINC001688891553 1199945186 /nfs/dbraw/zinc/94/51/86/1199945186.db2.gz SACNAKHLOHZWFF-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CC[N@@H+]2[C@@H](C)COC)CCCCC1 ZINC001688896814 1199949548 /nfs/dbraw/zinc/94/95/48/1199949548.db2.gz LBXBNULHSFWCPA-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2[C@@H](C)COC)CCCCC1 ZINC001688896814 1199949551 /nfs/dbraw/zinc/94/95/51/1199949551.db2.gz LBXBNULHSFWCPA-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001753861654 1200011470 /nfs/dbraw/zinc/01/14/70/1200011470.db2.gz NOMYYKOBSSXGOW-GOSISDBHSA-N 0 1 324.415 3.457 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001689175134 1200052436 /nfs/dbraw/zinc/05/24/36/1200052436.db2.gz FYXDHLFXOCGDGN-VQTJNVASSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCCC(=O)N(CC)CCN[C@@H]1CCc2c1c(F)ccc2F ZINC001754037203 1200081438 /nfs/dbraw/zinc/08/14/38/1200081438.db2.gz PABIENSZWUMDAJ-MRXNPFEDSA-N 0 1 322.399 3.356 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1nsc2ccccc12 ZINC001754037482 1200081674 /nfs/dbraw/zinc/08/16/74/1200081674.db2.gz YTMFNLJEXHACGT-UHFFFAOYSA-N 0 1 317.458 3.201 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)[C@@H]1C ZINC001689311411 1200090138 /nfs/dbraw/zinc/09/01/38/1200090138.db2.gz PWBSOOQNUWMUBI-PBHICJAKSA-N 0 1 318.436 3.425 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H](CC)c2ccccc2)[C@H]1C ZINC001689328263 1200095647 /nfs/dbraw/zinc/09/56/47/1200095647.db2.gz PCNPCGPNDPQZGG-LMMKCTJWSA-N 0 1 312.457 3.173 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC1=CCCCC1 ZINC001689345339 1200100629 /nfs/dbraw/zinc/10/06/29/1200100629.db2.gz IQPBDOKPGHADRE-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)Cc1cnccc1C ZINC001689345766 1200101865 /nfs/dbraw/zinc/10/18/65/1200101865.db2.gz QAMOHFOLLWTWQR-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccoc1C1CC1 ZINC001689352573 1200105631 /nfs/dbraw/zinc/10/56/31/1200105631.db2.gz JADVURZXNVNJFO-INIZCTEOSA-N 0 1 314.429 3.107 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C/CNCc2ccccc2Cl)C1 ZINC001754168158 1200121168 /nfs/dbraw/zinc/12/11/68/1200121168.db2.gz GEOMHCIDDUTBCI-AATRIKPKSA-N 0 1 318.848 3.458 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001754165892 1200121177 /nfs/dbraw/zinc/12/11/77/1200121177.db2.gz ASLXHEQBEYGOCX-NHQAVIFJSA-N 0 1 324.468 3.126 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001754260712 1200160032 /nfs/dbraw/zinc/16/00/32/1200160032.db2.gz KBPQCKRBKIRCEA-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1ccc(C)o1 ZINC001689508344 1200161754 /nfs/dbraw/zinc/16/17/54/1200161754.db2.gz DSQPJCCAPKQLQD-SFHVURJKSA-N 0 1 324.424 3.493 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(F)cc2ccoc21 ZINC001754273440 1200167698 /nfs/dbraw/zinc/16/76/98/1200167698.db2.gz ROFIREAGBNBSTC-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2coc(C)n2)[C@@H](CC)C1 ZINC001754463318 1200276578 /nfs/dbraw/zinc/27/65/78/1200276578.db2.gz BISZVYNCKGMVGE-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1cccc(Cl)c1CN(C)CCCNC(=O)C#CC(C)C ZINC001689800517 1200287685 /nfs/dbraw/zinc/28/76/85/1200287685.db2.gz DWNNVIQRPBEAMS-UHFFFAOYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1[C@@H](C)c1cccc(OC)c1 ZINC001754485407 1200296826 /nfs/dbraw/zinc/29/68/26/1200296826.db2.gz UOUYSKBIAVPADI-DOTOQJQBSA-N 0 1 316.445 3.303 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCCc1ccc(C)cc1 ZINC001754504585 1200320888 /nfs/dbraw/zinc/32/08/88/1200320888.db2.gz KFINEPSIMAOOED-IBGZPJMESA-N 0 1 314.473 3.474 20 30 DGEDMN CC1(CC(=O)NCC2(NCC#Cc3ccccc3)CC2)CCCC1 ZINC001754513073 1200329835 /nfs/dbraw/zinc/32/98/35/1200329835.db2.gz GJKITSHIQXACHV-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2cnc(C)s2)CC1 ZINC001690023126 1200370796 /nfs/dbraw/zinc/37/07/96/1200370796.db2.gz GGHDDPXEJIUMNH-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001690027781 1200372413 /nfs/dbraw/zinc/37/24/13/1200372413.db2.gz YPYCDEJXMZZSNE-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccc(F)cc2F)C1 ZINC001754575599 1200374074 /nfs/dbraw/zinc/37/40/74/1200374074.db2.gz JRCBMYXHEROFQS-ZDUSSCGKSA-N 0 1 322.399 3.005 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccsc2COC)C1 ZINC001754577409 1200374472 /nfs/dbraw/zinc/37/44/72/1200374472.db2.gz UQHHYZPMWLOLKB-ZDUSSCGKSA-N 0 1 322.474 3.005 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)C2CCC(OC)CC2)C1 ZINC001754582372 1200378412 /nfs/dbraw/zinc/37/84/12/1200378412.db2.gz PTZLHVXXFRDFQI-UHFFFAOYSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001754583131 1200380836 /nfs/dbraw/zinc/38/08/36/1200380836.db2.gz NXWAARIRVADFDA-CRAIPNDOSA-N 0 1 322.493 3.222 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)C1CC1)C1CCCC1 ZINC001754651301 1200417004 /nfs/dbraw/zinc/41/70/04/1200417004.db2.gz AYWAOZCSIYPAJH-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC1(C(=O)N2CCCC[C@@]3(CCN(CCF)C3)C2)CCC1 ZINC001754661402 1200423038 /nfs/dbraw/zinc/42/30/38/1200423038.db2.gz DPDQFBJDJVBCEK-SFHVURJKSA-N 0 1 322.468 3.407 20 30 DGEDMN O=C(CC1CCCCC1)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001690217214 1200457182 /nfs/dbraw/zinc/45/71/82/1200457182.db2.gz PJPBNFRBACVVAE-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](C)CCCCC)C1 ZINC001754730584 1200475822 /nfs/dbraw/zinc/47/58/22/1200475822.db2.gz IZOJEDLWKKZSFF-IEBWSBKVSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@]2(C)CCCN(C[C@H](F)CC)C2)C1 ZINC001754730918 1200476031 /nfs/dbraw/zinc/47/60/31/1200476031.db2.gz NQYMWRHSPUKPKI-NVXWUHKLSA-N 0 1 310.457 3.309 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001754734986 1200480324 /nfs/dbraw/zinc/48/03/24/1200480324.db2.gz QTGNICHISYOGAM-UXHICEINSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2sccc2C2CC2)C1 ZINC001754734697 1200480552 /nfs/dbraw/zinc/48/05/52/1200480552.db2.gz XGJODNWTRDAPLH-GOSISDBHSA-N 0 1 316.470 3.091 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C2CCC2)C1 ZINC001754744025 1200484549 /nfs/dbraw/zinc/48/45/49/1200484549.db2.gz VGKMQDRDSNFJHL-UKRRQHHQSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C2CCCC2)C1 ZINC001754743928 1200484866 /nfs/dbraw/zinc/48/48/66/1200484866.db2.gz AQVQYVNEJRWTNB-HIFRSBDPSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CC12CCCC2)c1ccccc1CC ZINC001754790869 1200490788 /nfs/dbraw/zinc/49/07/88/1200490788.db2.gz SLIZBWRYMROTGF-OALUTQOASA-N 0 1 324.468 3.209 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001690388466 1200530610 /nfs/dbraw/zinc/53/06/10/1200530610.db2.gz JWGQECMOOXOFND-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CCNCc2nccs2)C1 ZINC001754916735 1200537151 /nfs/dbraw/zinc/53/71/51/1200537151.db2.gz BKFKTICEFCJDEX-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CCC1CCC1 ZINC001754946119 1200549292 /nfs/dbraw/zinc/54/92/92/1200549292.db2.gz WORJXAZAKKBOEB-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C=C(/C)C3CC3)cccc2C1 ZINC001754974591 1200563997 /nfs/dbraw/zinc/56/39/97/1200563997.db2.gz QCYCHYBUSUPPPN-SSZFMOIBSA-N 0 1 322.452 3.041 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](CC)CC1CCCC1 ZINC001690455102 1200569732 /nfs/dbraw/zinc/56/97/32/1200569732.db2.gz PYCJEKPUKXJZJK-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001754986215 1200573345 /nfs/dbraw/zinc/57/33/45/1200573345.db2.gz WZHVVMMNHYVCNS-INIZCTEOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C(C)(C)C(C)C ZINC001690470292 1200578171 /nfs/dbraw/zinc/57/81/71/1200578171.db2.gz WWZYXSNARQYPEG-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001755028240 1200589199 /nfs/dbraw/zinc/58/91/99/1200589199.db2.gz LVUGHTMCXSVIGW-AWEZNQCLSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C[C@H](C(=O)N(C)CCCN(C)C/C=C/Cl)c1ccccc1 ZINC001755047906 1200617979 /nfs/dbraw/zinc/61/79/79/1200617979.db2.gz UHNZPDWWAARDFV-UEICXMAYSA-N 0 1 320.864 3.489 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001690612088 1200646632 /nfs/dbraw/zinc/64/66/32/1200646632.db2.gz GBTQDEZLGJZJON-XHDPSFHLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001690636702 1200661862 /nfs/dbraw/zinc/66/18/62/1200661862.db2.gz FSZZKDZYZJMAML-VHSXEESVSA-N 0 1 321.273 3.352 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2c3ccccc3CCN2C(C)C)C1 ZINC001755171869 1200678956 /nfs/dbraw/zinc/67/89/56/1200678956.db2.gz FNYGHWRZPVPKID-SFHVURJKSA-N 0 1 312.457 3.467 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001755197378 1200694908 /nfs/dbraw/zinc/69/49/08/1200694908.db2.gz XUVQELYZPTZMQG-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C[C@H](CC(=O)N1CCC[C@H]1CN(C)CC#CC)c1ccccc1 ZINC001755201720 1200697881 /nfs/dbraw/zinc/69/78/81/1200697881.db2.gz WHKUIEPJCCXNTE-QUCCMNQESA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)CCCF)C2)C1 ZINC001755205024 1200701780 /nfs/dbraw/zinc/70/17/80/1200701780.db2.gz OWSWMVHWGKVBJL-LSDHHAIUSA-N 0 1 316.848 3.049 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NCc2ncc(C3CC3)o2)C1 ZINC001690766983 1200705165 /nfs/dbraw/zinc/70/51/65/1200705165.db2.gz WZFNCZBNMPHUPD-LSDHHAIUSA-N 0 1 317.433 3.035 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001755238348 1200726172 /nfs/dbraw/zinc/72/61/72/1200726172.db2.gz VHJVXNRPBUNXAT-CHWSQXEVSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1cc2ccccc2o1 ZINC001755245550 1200730157 /nfs/dbraw/zinc/73/01/57/1200730157.db2.gz FFQJTLUARBPEQY-QWHCGFSZSA-N 0 1 320.820 3.211 20 30 DGEDMN C=C[C@H](CC(=O)N1CCC[C@H](N(C)CCF)C1)c1ccccc1 ZINC001690826820 1200738809 /nfs/dbraw/zinc/73/88/09/1200738809.db2.gz OTXQFROKCVUDCZ-AEFFLSMTSA-N 0 1 318.436 3.239 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@H](C)NCc2ncc(C)s2)CC1 ZINC001755253366 1200739872 /nfs/dbraw/zinc/73/98/72/1200739872.db2.gz RYJAQNIHZLLDIA-UONOGXRCSA-N 0 1 321.490 3.181 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1Cl ZINC001755254282 1200740273 /nfs/dbraw/zinc/74/02/73/1200740273.db2.gz OFVYFNDEDFAZFA-QWHCGFSZSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1ncc(C)o1 ZINC001755284684 1200761241 /nfs/dbraw/zinc/76/12/41/1200761241.db2.gz AHGNINRNBWETNR-SFHVURJKSA-N 0 1 321.465 3.160 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1cc(C)on1 ZINC001755286547 1200763065 /nfs/dbraw/zinc/76/30/65/1200763065.db2.gz UIGSFFCHRBLUAV-SFHVURJKSA-N 0 1 321.465 3.160 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](CCC)CC1CCCCC1 ZINC001755320274 1200807796 /nfs/dbraw/zinc/80/77/96/1200807796.db2.gz PLDGWJKHPMHNSN-SJLPKXTDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(CC)CCC2)C1 ZINC001691842234 1200830321 /nfs/dbraw/zinc/83/03/21/1200830321.db2.gz PEJUAORFXVHZHD-RBUKOAKNSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691842443 1200831328 /nfs/dbraw/zinc/83/13/28/1200831328.db2.gz UIFCKJSPZIKRGJ-PAIZGLMTSA-N 0 1 322.452 3.116 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccc(F)c2C)C1 ZINC001691853510 1200838241 /nfs/dbraw/zinc/83/82/41/1200838241.db2.gz XWNQSAKCXZLLLR-MRXNPFEDSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C(C)C)cn2)C1 ZINC001691864759 1200843580 /nfs/dbraw/zinc/84/35/80/1200843580.db2.gz SXDCQPQHKNYGLZ-QGZVFWFLSA-N 0 1 315.461 3.318 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C\Cl)[C@H]2C1(C)C ZINC001691919443 1200872832 /nfs/dbraw/zinc/87/28/32/1200872832.db2.gz NUZMTQLIWKAMCM-LVDMLAHLSA-N 0 1 322.880 3.148 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CN(Cc2ccsc2)CC1(C)C ZINC001755408548 1200883773 /nfs/dbraw/zinc/88/37/73/1200883773.db2.gz XDHVOFITHPXLTJ-INIZCTEOSA-N 0 1 318.486 3.268 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001691979277 1200893021 /nfs/dbraw/zinc/89/30/21/1200893021.db2.gz IKJMFRQQVKKDLV-BZUAXINKSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001755475660 1200911450 /nfs/dbraw/zinc/91/14/50/1200911450.db2.gz HUNWYHAKDXQQMZ-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)C#CC(C)C)C1 ZINC001692147725 1200948875 /nfs/dbraw/zinc/94/88/75/1200948875.db2.gz PCHWRZBJNXKSPJ-WOJBJXKFSA-N 0 1 324.468 3.196 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H]1CC ZINC001692163355 1200954840 /nfs/dbraw/zinc/95/48/40/1200954840.db2.gz HDFURCLRBQLUKD-VBNZEHGJSA-N 0 1 319.474 3.135 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H](F)c1ccccc1 ZINC001755567836 1200958400 /nfs/dbraw/zinc/95/84/00/1200958400.db2.gz XZNSNYTYVHYDBS-HZPDHXFCSA-N 0 1 324.827 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H](F)c1ccccc1 ZINC001755567835 1200958723 /nfs/dbraw/zinc/95/87/23/1200958723.db2.gz XZNSNYTYVHYDBS-HOTGVXAUSA-N 0 1 324.827 3.276 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001755583083 1200969328 /nfs/dbraw/zinc/96/93/28/1200969328.db2.gz CCTYCCLWBTTXSS-JVDKPVQESA-N 0 1 318.848 3.094 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001692228179 1200977440 /nfs/dbraw/zinc/97/74/40/1200977440.db2.gz WECHAORUOQDBKQ-GOSISDBHSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)c(C)s1 ZINC001755616528 1200992589 /nfs/dbraw/zinc/99/25/89/1200992589.db2.gz SJPQMCABSPMMIQ-NSHDSACASA-N 0 1 300.855 3.168 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2c(F)cccc2F)C[C@@H]1C ZINC001755683633 1201012429 /nfs/dbraw/zinc/01/24/29/1201012429.db2.gz YVZGQFVJNXLBDN-UONOGXRCSA-N 0 1 322.399 3.400 20 30 DGEDMN CC[C@@H](c1ccccc1)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)C ZINC001692362712 1201038743 /nfs/dbraw/zinc/03/87/43/1201038743.db2.gz NDLWFDNPAISWEX-FHWLQOOXSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001755719033 1201039029 /nfs/dbraw/zinc/03/90/29/1201039029.db2.gz SZHZVXQOUPGLBL-QWHCGFSZSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cccnc2C)[C@@H]1C ZINC001692386587 1201049976 /nfs/dbraw/zinc/04/99/76/1201049976.db2.gz WXGPUNODYXSEDB-NUJGCVRESA-N 0 1 315.461 3.071 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](C)c1ccc(Cl)cc1)C(C)C ZINC001755812586 1201077810 /nfs/dbraw/zinc/07/78/10/1201077810.db2.gz SCVVCFFZPPEWNB-HNNXBMFYSA-N 0 1 320.864 3.246 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCCC[C@@H]1CC)C(C)C ZINC001755817251 1201084227 /nfs/dbraw/zinc/08/42/27/1201084227.db2.gz FWINQACJYVGKBQ-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1Cc2ccccc21)C(C)(C)C ZINC001755830718 1201092331 /nfs/dbraw/zinc/09/23/31/1201092331.db2.gz OHEMERORAFBULN-JKSUJKDBSA-N 0 1 320.864 3.199 20 30 DGEDMN C=CCCCC(=O)N(C)C1CC(NCc2c(F)cccc2F)C1 ZINC001755861169 1201111355 /nfs/dbraw/zinc/11/13/55/1201111355.db2.gz KWOKKKODYAJMSY-UHFFFAOYSA-N 0 1 322.399 3.400 20 30 DGEDMN CC/C(C)=C/C(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001755885422 1201118715 /nfs/dbraw/zinc/11/87/15/1201118715.db2.gz SRBZYKLLXFXOOT-FOWTUZBSSA-N 0 1 323.440 3.163 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698567421 1201237597 /nfs/dbraw/zinc/23/75/97/1201237597.db2.gz QAAPFCQZONLTQN-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NC/C(Cl)=C/Cl ZINC001698613821 1201284554 /nfs/dbraw/zinc/28/45/54/1201284554.db2.gz PKKKDIATPBVIDG-YTYACIELSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1 ZINC001698713685 1201354445 /nfs/dbraw/zinc/35/44/45/1201354445.db2.gz ILDHYKBHMRUQAM-FVQHAEBGSA-N 0 1 318.848 3.191 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC=CCC2)CC1 ZINC001698721570 1201362360 /nfs/dbraw/zinc/36/23/60/1201362360.db2.gz KYWKPQYKDCWSPS-MRXNPFEDSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001698731285 1201372357 /nfs/dbraw/zinc/37/23/57/1201372357.db2.gz LWXZGHLTMQZPKA-NXIHDVOMSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(CC=C)CCCC1)CC2 ZINC001698811707 1201449533 /nfs/dbraw/zinc/44/95/33/1201449533.db2.gz SDBPWXCPWURVNF-UHFFFAOYSA-N 0 1 302.462 3.233 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1coc2ccccc21 ZINC001698864582 1201522704 /nfs/dbraw/zinc/52/27/04/1201522704.db2.gz WLTWNNCLOGGDNR-GFCCVEGCSA-N 0 1 306.793 3.235 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1coc2ccccc21 ZINC001698864585 1201522809 /nfs/dbraw/zinc/52/28/09/1201522809.db2.gz WLTWNNCLOGGDNR-LBPRGKRZSA-N 0 1 306.793 3.235 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CCN[C@H](C)c1ncc(C)o1 ZINC001698910342 1201568487 /nfs/dbraw/zinc/56/84/87/1201568487.db2.gz YGFVDCHQXFBVCZ-CVEARBPZSA-N 0 1 319.449 3.371 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001698959270 1201610855 /nfs/dbraw/zinc/61/08/55/1201610855.db2.gz DKVSVTDJYMRSEJ-GOSISDBHSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1nc2ccccc2o1)C(C)C ZINC001698961194 1201614062 /nfs/dbraw/zinc/61/40/62/1201614062.db2.gz PYQKFUSMZDRZKT-HNNXBMFYSA-N 0 1 315.417 3.024 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001699205931 1201753492 /nfs/dbraw/zinc/75/34/92/1201753492.db2.gz NMRYPAXNWLYKST-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2cnc(C)o2)CCCC1 ZINC001699221652 1201760027 /nfs/dbraw/zinc/76/00/27/1201760027.db2.gz YSOFPDNLLIXJSS-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(C2CC2)c1 ZINC001699220530 1201761302 /nfs/dbraw/zinc/76/13/02/1201761302.db2.gz UUUZLKIMBHXWKC-UHFFFAOYSA-N 0 1 306.837 3.368 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1cccc(F)c1Cl ZINC001699252445 1201770474 /nfs/dbraw/zinc/77/04/74/1201770474.db2.gz RGRLWGHEEHOEAL-AATRIKPKSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001699320013 1201789614 /nfs/dbraw/zinc/78/96/14/1201789614.db2.gz DCZHEKARIYATPL-NFAHFFEMSA-N 0 1 320.864 3.367 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C)(C)c1ccc(F)cc1 ZINC001699335347 1201795538 /nfs/dbraw/zinc/79/55/38/1201795538.db2.gz WNBYEHVJYBIRSL-PLNGDYQASA-N 0 1 324.827 3.118 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2cc(C)ccc2o1 ZINC001699347478 1201799211 /nfs/dbraw/zinc/79/92/11/1201799211.db2.gz ZYWNKOCBJZLYMW-ONEGZZNKSA-N 0 1 318.804 3.369 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C(C)(C)C(C)(F)F ZINC001752230023 1201808229 /nfs/dbraw/zinc/80/82/29/1201808229.db2.gz QBQGDTXYEJERFX-UHFFFAOYSA-N 0 1 310.816 3.249 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001699361032 1201810713 /nfs/dbraw/zinc/81/07/13/1201810713.db2.gz KJTKVGNTRRHWMS-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1cnoc1C)C1CC1 ZINC001752267334 1201814839 /nfs/dbraw/zinc/81/48/39/1201814839.db2.gz HFYYNGLMBDFZLI-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001752308000 1201826194 /nfs/dbraw/zinc/82/61/94/1201826194.db2.gz HSXYICIBKNAVSJ-INIZCTEOSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CC/C=C\c2ccccc2)CC1 ZINC001752308349 1201827082 /nfs/dbraw/zinc/82/70/82/1201827082.db2.gz NHYJCCXUSOCMIG-WQLSENKSSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc(C)c2F)CC1 ZINC001752433354 1201837095 /nfs/dbraw/zinc/83/70/95/1201837095.db2.gz HIKGZBDCPWTESZ-UHFFFAOYSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001699405074 1201842571 /nfs/dbraw/zinc/84/25/71/1201842571.db2.gz XUZRFXATCAKPAW-ZDUSSCGKSA-N 0 1 307.438 3.057 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)C1CCCCC1 ZINC001699423727 1201856600 /nfs/dbraw/zinc/85/66/00/1201856600.db2.gz CGAKBGPVCSZAAD-JSGCOSHPSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001699464131 1201902135 /nfs/dbraw/zinc/90/21/35/1201902135.db2.gz PTWZNQQOIYQZJF-SJCJKPOMSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C2CCC2)CCC1)C1CC1 ZINC001699587124 1201959984 /nfs/dbraw/zinc/95/99/84/1201959984.db2.gz ZPHOVHZAQAKMCK-HNNXBMFYSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCC(NCc2nocc2C)CC1 ZINC001752852651 1201975009 /nfs/dbraw/zinc/97/50/09/1201975009.db2.gz MVJNYBXQLMAHRB-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccc(CC)cc1 ZINC001699625481 1201986220 /nfs/dbraw/zinc/98/62/20/1201986220.db2.gz DNDSEFUGCFLHNM-SFHVURJKSA-N 0 1 314.473 3.194 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1ccc(CC(C)C)cc1 ZINC001699628050 1201990816 /nfs/dbraw/zinc/99/08/16/1201990816.db2.gz MHLGVSPICLUUNY-LJQANCHMSA-N 0 1 314.473 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001699630204 1201992927 /nfs/dbraw/zinc/99/29/27/1201992927.db2.gz KMFOWXQRKCJAJB-HUUCEWRRSA-N 0 1 306.475 3.083 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001752892058 1201996532 /nfs/dbraw/zinc/99/65/32/1201996532.db2.gz VVWOKJAHEIGEPL-CQSZACIVSA-N 0 1 303.450 3.012 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001752910018 1202006720 /nfs/dbraw/zinc/00/67/20/1202006720.db2.gz OJSYRLIGPVRASD-DNVCBOLYSA-N 0 1 315.461 3.369 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001699639617 1202007646 /nfs/dbraw/zinc/00/76/46/1202007646.db2.gz PSHYUNMYCYUMJD-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001699659491 1202018637 /nfs/dbraw/zinc/01/86/37/1202018637.db2.gz SLHLKHMSDFLDAT-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001699663386 1202021632 /nfs/dbraw/zinc/02/16/32/1202021632.db2.gz KXCJGHDLASGSQR-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C/C=C/c1ccc(C)cc1 ZINC001699685129 1202032995 /nfs/dbraw/zinc/03/29/95/1202032995.db2.gz GCEFTZCLSPBDSF-UHDDOPSISA-N 0 1 322.452 3.097 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2cc(C)on2)C1 ZINC001752978562 1202033795 /nfs/dbraw/zinc/03/37/95/1202033795.db2.gz PENUBIFJXVSAEC-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2oc(C)nc2C)C1 ZINC001752992409 1202041903 /nfs/dbraw/zinc/04/19/03/1202041903.db2.gz LMMFCAQAZJQSDB-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCCC[C@@H]2C2CC2)C1 ZINC001699715919 1202048228 /nfs/dbraw/zinc/04/82/28/1202048228.db2.gz LMBXHDSEHLCWHP-MSOLQXFVSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001699717495 1202049966 /nfs/dbraw/zinc/04/99/66/1202049966.db2.gz UPYNJVZBBLLAKN-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CC3CCC3)C2)CCCCC1 ZINC001699725623 1202056099 /nfs/dbraw/zinc/05/60/99/1202056099.db2.gz LYCVMSGPASWNHF-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CC3CCC2CC3)C1 ZINC001699725595 1202056236 /nfs/dbraw/zinc/05/62/36/1202056236.db2.gz LDVIVJGGBAYVPD-USSHROBNSA-N 0 1 304.478 3.310 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncoc2C(C)C)C[C@H]1C ZINC001699729694 1202057664 /nfs/dbraw/zinc/05/76/64/1202057664.db2.gz JVGSOPAXENSIOZ-HUUCEWRRSA-N 0 1 319.449 3.233 20 30 DGEDMN C/C=C(/C)C(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001699771666 1202073211 /nfs/dbraw/zinc/07/32/11/1202073211.db2.gz IEURIDZJXGGHJZ-PQMHYQBVSA-N 0 1 317.458 3.057 20 30 DGEDMN C#CCN(C(=O)C/C=C(/C)C=C)C1CCN(C[C@H](F)CC)CC1 ZINC001699784273 1202077536 /nfs/dbraw/zinc/07/75/36/1202077536.db2.gz CPINHKFLCUVPQX-QOTSWMAJSA-N 0 1 320.452 3.183 20 30 DGEDMN C#CCN(C(=O)CCCC=C)C1CCN(C[C@H](F)CC)CC1 ZINC001699784813 1202077776 /nfs/dbraw/zinc/07/77/76/1202077776.db2.gz UQPZQGCODPMGIS-MRXNPFEDSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001699850961 1202112129 /nfs/dbraw/zinc/11/21/29/1202112129.db2.gz ZBFATMBLAJOSAS-QGZVFWFLSA-N 0 1 321.490 3.074 20 30 DGEDMN CCN(CCNC(=O)C#CC(C)(C)C)Cc1csc(C)c1 ZINC001753081544 1202112282 /nfs/dbraw/zinc/11/22/82/1202112282.db2.gz JQQUDFHGZBXQOB-UHFFFAOYSA-N 0 1 306.475 3.044 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)o1)c1ccccc1CC ZINC001699937042 1202142477 /nfs/dbraw/zinc/14/24/77/1202142477.db2.gz ZHOSELBLQWYPAQ-SFHVURJKSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCC[C@@H]1CC ZINC001700091327 1202177313 /nfs/dbraw/zinc/17/73/13/1202177313.db2.gz MRERQYZEERGTEI-LPHOPBHVSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccoc1C1CC1 ZINC001700091160 1202177783 /nfs/dbraw/zinc/17/77/83/1202177783.db2.gz NZOSPPJXZNBLQE-UHFFFAOYSA-N 0 1 322.408 3.152 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(C)c1Cl ZINC001753244445 1202188400 /nfs/dbraw/zinc/18/84/00/1202188400.db2.gz PKSJDHJUHKSBHX-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccccc2C)CCC1 ZINC001700139181 1202190167 /nfs/dbraw/zinc/19/01/67/1202190167.db2.gz DCXGIPIANNDEFJ-UHFFFAOYSA-N 0 1 320.864 3.309 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001753263714 1202190637 /nfs/dbraw/zinc/19/06/37/1202190637.db2.gz UKYZNAZPJCIZOS-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](CC)C(C)C)CCC1 ZINC001700141935 1202191261 /nfs/dbraw/zinc/19/12/61/1202191261.db2.gz MIJOYSPNQAKPKX-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)CCNCC(=C)Cl)c1ccccc1 ZINC001753271003 1202191642 /nfs/dbraw/zinc/19/16/42/1202191642.db2.gz FNNGJSBHXFQIHJ-MRXNPFEDSA-N 0 1 306.837 3.147 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccc(OC)cc1)C(C)C ZINC001753292199 1202197863 /nfs/dbraw/zinc/19/78/63/1202197863.db2.gz DCWIDRDNAXAGCF-UHFFFAOYSA-N 0 1 324.852 3.278 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1cccnc1C)c1ccccc1 ZINC001753296368 1202199134 /nfs/dbraw/zinc/19/91/34/1202199134.db2.gz PDPUKHGWUJXGEA-LJQANCHMSA-N 0 1 323.440 3.303 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCCC ZINC001753309249 1202202880 /nfs/dbraw/zinc/20/28/80/1202202880.db2.gz CHBVSGBMCHBVKT-SCTDSRPQSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2cc(C)cs2)C1 ZINC001753315618 1202205448 /nfs/dbraw/zinc/20/54/48/1202205448.db2.gz BXELCUCLQCCJKM-HNNXBMFYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)sc2C)C1 ZINC001753318263 1202208428 /nfs/dbraw/zinc/20/84/28/1202208428.db2.gz GKCKISTXOKJZEL-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2sccc2CC)C1 ZINC001753321523 1202209006 /nfs/dbraw/zinc/20/90/06/1202209006.db2.gz AQGVVICDWFQOCF-MRXNPFEDSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2ccc(Cl)cn2)C1 ZINC001753319995 1202209079 /nfs/dbraw/zinc/20/90/79/1202209079.db2.gz REBCQPZDDKGNJR-OAHLLOKOSA-N 0 1 321.852 3.238 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)c2ccco2)C1 ZINC001753320237 1202209431 /nfs/dbraw/zinc/20/94/31/1202209431.db2.gz WMXFCYKYJJUETJ-IAGOWNOFSA-N 0 1 316.445 3.109 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)CCC(C)C)O2 ZINC001700204608 1202210714 /nfs/dbraw/zinc/21/07/14/1202210714.db2.gz FXZVZXDJEXIBLM-DLBZAZTESA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001753323641 1202211220 /nfs/dbraw/zinc/21/12/20/1202211220.db2.gz YKKJEGUDUJYRBD-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CCCC(C)C)C1 ZINC001753335277 1202216642 /nfs/dbraw/zinc/21/66/42/1202216642.db2.gz QHEWBEAZZVXVBL-OAHLLOKOSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@@H](C)c2ccco2)C1 ZINC001753340268 1202219853 /nfs/dbraw/zinc/21/98/53/1202219853.db2.gz ZXRXVSCUDKXHIZ-UKRRQHHQSA-N 0 1 324.852 3.354 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(C)=C2CCCC2)C1 ZINC001753345500 1202220907 /nfs/dbraw/zinc/22/09/07/1202220907.db2.gz KOAJYEBWPSIUPX-OAHLLOKOSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C=C2CCCCC2)C1 ZINC001753357178 1202226610 /nfs/dbraw/zinc/22/66/10/1202226610.db2.gz SHZUIAZOAPIJIW-INIZCTEOSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCCC)C(C)C)C(C)(C)C1 ZINC001700294038 1202229661 /nfs/dbraw/zinc/22/96/61/1202229661.db2.gz MSVOWFZNDMHYFC-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC001753364408 1202231004 /nfs/dbraw/zinc/23/10/04/1202231004.db2.gz BKCFSNKDSRKRNL-OAHLLOKOSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(CCC)cc2)C1 ZINC001753385748 1202236651 /nfs/dbraw/zinc/23/66/51/1202236651.db2.gz FJFCAUVAELYXMT-OAHLLOKOSA-N 0 1 300.446 3.408 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1(CC)CCC1 ZINC001700329532 1202239037 /nfs/dbraw/zinc/23/90/37/1202239037.db2.gz GFRITOWXNPRWGV-IBGZPJMESA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001753393890 1202239209 /nfs/dbraw/zinc/23/92/09/1202239209.db2.gz HPIVAEKZYBDEQR-SJORKVTESA-N 0 1 314.473 3.329 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001753410576 1202244558 /nfs/dbraw/zinc/24/45/58/1202244558.db2.gz JJYCGOPKJQEUPM-UHFFFAOYSA-N 0 1 320.864 3.242 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001753422704 1202249765 /nfs/dbraw/zinc/24/97/65/1202249765.db2.gz HTUVYTGFVJDODD-NEWSRXKRSA-N 0 1 312.457 3.117 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001753443625 1202256290 /nfs/dbraw/zinc/25/62/90/1202256290.db2.gz AFPKIHKFXNNHSL-PKOBYXMFSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCC1(C(=O)N(C)[C@@H]2CCN(C[C@@H](F)CC)C2)CCCCC1 ZINC001753449328 1202259034 /nfs/dbraw/zinc/25/90/34/1202259034.db2.gz FDKPSTKGEYKXNK-DLBZAZTESA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCCCCC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001753456185 1202261980 /nfs/dbraw/zinc/26/19/80/1202261980.db2.gz ZLVIKWUWUHGIPB-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001753460479 1202263725 /nfs/dbraw/zinc/26/37/25/1202263725.db2.gz ZBAATRYVUNFBSC-SJORKVTESA-N 0 1 322.493 3.223 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001753462235 1202264286 /nfs/dbraw/zinc/26/42/86/1202264286.db2.gz UBHXAXYVCXEKDO-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(C)[nH]c2ccccc21 ZINC001700407800 1202264575 /nfs/dbraw/zinc/26/45/75/1202264575.db2.gz GDYFMEUFNLMJTE-NEPJUHHUSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC001700411365 1202265676 /nfs/dbraw/zinc/26/56/76/1202265676.db2.gz GKBAIXYRGJDTAO-OLZOCXBDSA-N 0 1 319.449 3.137 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001700413039 1202266297 /nfs/dbraw/zinc/26/62/97/1202266297.db2.gz UFSHXEJFKZAASD-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2ccsc2[nH]1 ZINC001753481712 1202270972 /nfs/dbraw/zinc/27/09/72/1202270972.db2.gz XFKDHTSGRPLABL-NSHDSACASA-N 0 1 311.838 3.080 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2ccsc2[nH]1 ZINC001753481711 1202271038 /nfs/dbraw/zinc/27/10/38/1202271038.db2.gz XFKDHTSGRPLABL-LLVKDONJSA-N 0 1 311.838 3.080 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2c(F)cccc2F)C1 ZINC001753490021 1202273134 /nfs/dbraw/zinc/27/31/34/1202273134.db2.gz OKPGDKUSKFMBSH-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2ccc(F)cc2s1 ZINC001700468526 1202284581 /nfs/dbraw/zinc/28/45/81/1202284581.db2.gz XFLJCTVBLUUSTP-GFCCVEGCSA-N 0 1 318.417 3.114 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(C2CCC2)CCC1 ZINC001700528018 1202306044 /nfs/dbraw/zinc/30/60/44/1202306044.db2.gz AFUIAUNNEHOUNB-GOSISDBHSA-N 0 1 316.489 3.341 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001753656134 1202306557 /nfs/dbraw/zinc/30/65/57/1202306557.db2.gz KTAKEDPDOFMFTR-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001700546633 1202311016 /nfs/dbraw/zinc/31/10/16/1202311016.db2.gz VSAFJSXKYUURQK-ZIAGYGMSSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001700550620 1202312104 /nfs/dbraw/zinc/31/21/04/1202312104.db2.gz MQULPNAKWGVXNQ-OAHLLOKOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@](C)(CC)CCC)C2)C1 ZINC001700562861 1202313365 /nfs/dbraw/zinc/31/33/65/1202313365.db2.gz POKPIHZWEKPSJE-RTBURBONSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1F ZINC001753692418 1202315198 /nfs/dbraw/zinc/31/51/98/1202315198.db2.gz DQATUHIUJFGVGC-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)CCCCC)C(C)(C)C1 ZINC001700575725 1202318090 /nfs/dbraw/zinc/31/80/90/1202318090.db2.gz IDAGUVLYAKLYBQ-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001753715024 1202320005 /nfs/dbraw/zinc/32/00/05/1202320005.db2.gz NSUBPKOVHTWXBF-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1c(C)coc1C ZINC001753735172 1202324854 /nfs/dbraw/zinc/32/48/54/1202324854.db2.gz QKWQDGIVMBZRDE-AWEZNQCLSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@]12C[C@H]1CCCC2 ZINC001753741752 1202326625 /nfs/dbraw/zinc/32/66/25/1202326625.db2.gz CZGQFSUPBGQNJS-HLLBOEOZSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCNCc2ncc(C)s2)C1 ZINC001700672650 1202332066 /nfs/dbraw/zinc/33/20/66/1202332066.db2.gz HBKIGMBYRNVWJZ-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H]1CCCC1(F)F ZINC001700766569 1202351222 /nfs/dbraw/zinc/35/12/22/1202351222.db2.gz VBYABAGPUPTRFX-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)C1CC(F)(F)C1 ZINC001753805845 1202336858 /nfs/dbraw/zinc/33/68/58/1202336858.db2.gz SHOHBCCTNOXDRW-ZWNOBZJWSA-N 0 1 320.811 3.001 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](CNCc1nc(C)sc1C)C1CC1 ZINC001700720842 1202342940 /nfs/dbraw/zinc/34/29/40/1202342940.db2.gz DPAHZKKKZSIZLR-INIZCTEOSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1cc(Cl)ccc1F ZINC001700744749 1202347238 /nfs/dbraw/zinc/34/72/38/1202347238.db2.gz DFMWCCVEQXXHAG-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001700748418 1202347916 /nfs/dbraw/zinc/34/79/16/1202347916.db2.gz XPEYFHSYTZYYIA-NUKWSGDCSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001700753372 1202348765 /nfs/dbraw/zinc/34/87/65/1202348765.db2.gz WCFDYERSTGUDMA-HZSPNIEDSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H]1CCCC1(F)F ZINC001700766571 1202351129 /nfs/dbraw/zinc/35/11/29/1202351129.db2.gz VBYABAGPUPTRFX-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H]1CCCC1(F)F ZINC001700766570 1202351206 /nfs/dbraw/zinc/35/12/06/1202351206.db2.gz VBYABAGPUPTRFX-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN CC(C)N(CCN(C)C/C=C/c1ccccc1)C(=O)[C@@H](C)C#N ZINC001700974549 1202373801 /nfs/dbraw/zinc/37/38/01/1202373801.db2.gz KDHUKUFCBGARSS-YRYLYKBFSA-N 0 1 313.445 3.028 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCC[C@@H]1CCC)C(C)C ZINC001700973778 1202374020 /nfs/dbraw/zinc/37/40/20/1202374020.db2.gz MGGIOCBDPPNAGJ-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001707071100 1202571593 /nfs/dbraw/zinc/57/15/93/1202571593.db2.gz XHDGPOHCZLYDDC-ADASEBELSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001707086379 1202572621 /nfs/dbraw/zinc/57/26/21/1202572621.db2.gz LYMXQSZQKZWMFR-XAXNIESVSA-N 0 1 305.249 3.002 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C/Cl)[C@@H]2C1(C)C ZINC001707191216 1202575786 /nfs/dbraw/zinc/57/57/86/1202575786.db2.gz YMLLKTCQNRYCQC-UDTYCAPSSA-N 0 1 322.880 3.004 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001707246063 1202578195 /nfs/dbraw/zinc/57/81/95/1202578195.db2.gz DUDZZXVOBPFFPX-OCCSQVGLSA-N 0 1 318.383 3.286 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCCCC1(C)C ZINC001707277218 1202580489 /nfs/dbraw/zinc/58/04/89/1202580489.db2.gz XEPCGGJPQVCTIP-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CCC[C@H](C)C(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001707367239 1202581202 /nfs/dbraw/zinc/58/12/02/1202581202.db2.gz RRRJOZCMBVABQG-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(F)ccc1F ZINC001713014508 1202610216 /nfs/dbraw/zinc/61/02/16/1202610216.db2.gz JGJMPSJHHSGDTI-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1cc(C)cc(C)c1 ZINC001713021033 1202610777 /nfs/dbraw/zinc/61/07/77/1202610777.db2.gz IWRJMFQBJLMBOD-UHFFFAOYSA-N 0 1 322.880 3.427 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001713055563 1202613227 /nfs/dbraw/zinc/61/32/27/1202613227.db2.gz VPBACBIBKAMGDL-HNNXBMFYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001713101279 1202617157 /nfs/dbraw/zinc/61/71/57/1202617157.db2.gz QUKZCVNLDNEXHO-QGZVFWFLSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2ccsc2)C1 ZINC001713127407 1202619648 /nfs/dbraw/zinc/61/96/48/1202619648.db2.gz BJXTVIMQLNCQDF-CYBMUJFWSA-N 0 1 312.866 3.285 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](NCc2ccc(C)cc2Cl)C1 ZINC001713147103 1202621987 /nfs/dbraw/zinc/62/19/87/1202621987.db2.gz PCNITPVKCBJRCZ-INIZCTEOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001713149202 1202622186 /nfs/dbraw/zinc/62/21/86/1202622186.db2.gz FQKQHAKWEAIOSC-RYUDHWBXSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cncs2)C1 ZINC001713274771 1202634509 /nfs/dbraw/zinc/63/45/09/1202634509.db2.gz ACXUSXJJKYLZAO-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1cc(F)ccc1Cl ZINC001713303703 1202637514 /nfs/dbraw/zinc/63/75/14/1202637514.db2.gz CUHPEULGWKRWFG-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cc(F)ccc2F)CCC1 ZINC001713337260 1202640417 /nfs/dbraw/zinc/64/04/17/1202640417.db2.gz LPNQGWZOYNRHRX-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001713511815 1202662755 /nfs/dbraw/zinc/66/27/55/1202662755.db2.gz BPXTXURLOIEROM-SCFJZMLDSA-N 0 1 323.440 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1csc(C)c1 ZINC001713511319 1202662854 /nfs/dbraw/zinc/66/28/54/1202662854.db2.gz RRTHWDDMRIKRFY-HNNXBMFYSA-N 0 1 306.475 3.349 20 30 DGEDMN CCCC(=O)NCCN(CC#Cc1cccc(Cl)c1)CCC ZINC001713545713 1202667705 /nfs/dbraw/zinc/66/77/05/1202667705.db2.gz KWMWHVVORGXFEV-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@H](C)NCc2cc(C)on2)CC1 ZINC001713677940 1202676457 /nfs/dbraw/zinc/67/64/57/1202676457.db2.gz QSQVNSGAMGOSJR-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CC12CCC2)c1ccc(C(C)C)cc1 ZINC001713696929 1202678235 /nfs/dbraw/zinc/67/82/35/1202678235.db2.gz BSPQAPZJRLHQFH-RBUKOAKNSA-N 0 1 324.468 3.380 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc(CF)cc1 ZINC001713707572 1202679076 /nfs/dbraw/zinc/67/90/76/1202679076.db2.gz XVDRMSMBUIMRCD-HDICACEKSA-N 0 1 316.420 3.298 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCC(C)C ZINC001713709123 1202679318 /nfs/dbraw/zinc/67/93/18/1202679318.db2.gz LWLXEDVTKHAQJY-HDICACEKSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2oc(C)cc2C)C1 ZINC001713714770 1202679972 /nfs/dbraw/zinc/67/99/72/1202679972.db2.gz IVQVEHSFCJBWCG-INIZCTEOSA-N 0 1 304.434 3.399 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCCC2CCOCC2)C1 ZINC001713717766 1202680915 /nfs/dbraw/zinc/68/09/15/1202680915.db2.gz RSUARUFUUNNIIT-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](C(=O)N(CCC)[C@@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001713721951 1202681887 /nfs/dbraw/zinc/68/18/87/1202681887.db2.gz BNSQTYSTADXTPS-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@@H]2C=CCCC2)C1 ZINC001713729812 1202683318 /nfs/dbraw/zinc/68/33/18/1202683318.db2.gz CNJPQVOYXZRXLR-CVEARBPZSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001713756238 1202686984 /nfs/dbraw/zinc/68/69/84/1202686984.db2.gz QUZDWHHXOXYGAH-ZMSDIMECSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CCN(Cc2ccccn2)CC1 ZINC001713783641 1202690019 /nfs/dbraw/zinc/69/00/19/1202690019.db2.gz QTLOIHXVQKUEPI-IBGZPJMESA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001713791993 1202691367 /nfs/dbraw/zinc/69/13/67/1202691367.db2.gz BCTAUJNPMQFQFR-MSOLQXFVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CCCCC(F)(F)F)C1 ZINC001713824333 1202693239 /nfs/dbraw/zinc/69/32/39/1202693239.db2.gz BFMBHQXVCMCEMX-ZDUSSCGKSA-N 0 1 306.372 3.266 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2ocnc2C2CC2)CC1 ZINC001713857595 1202696470 /nfs/dbraw/zinc/69/64/70/1202696470.db2.gz AFIUKNXZXPPFQF-UHFFFAOYSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(Cl)cs2)CC1 ZINC001713857856 1202696500 /nfs/dbraw/zinc/69/65/00/1202696500.db2.gz GWVDJJVCAYGKSI-UHFFFAOYSA-N 0 1 324.877 3.351 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2ncoc2C2CC2)CC1 ZINC001713858939 1202696608 /nfs/dbraw/zinc/69/66/08/1202696608.db2.gz YGRROQGHIUWRHU-UHFFFAOYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C(C)(C)C1CCCC1)C1CC1 ZINC001713867129 1202697173 /nfs/dbraw/zinc/69/71/73/1202697173.db2.gz BQKGXDQKTNMBFZ-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1ccccc1)C1CC1 ZINC001713881713 1202698607 /nfs/dbraw/zinc/69/86/07/1202698607.db2.gz KPIGKCVFXCAQDG-XJKSGUPXSA-N 0 1 306.837 3.027 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001713933293 1202703432 /nfs/dbraw/zinc/70/34/32/1202703432.db2.gz QHXLZNLXEWDMCA-PMACEKPBSA-N 0 1 324.468 3.283 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001714028134 1202736994 /nfs/dbraw/zinc/73/69/94/1202736994.db2.gz UVFVXBWBNCJBHG-YJBOKZPZSA-N 0 1 313.445 3.027 20 30 DGEDMN C[C@H](NC(=O)C1(C)CC1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001714149300 1202804795 /nfs/dbraw/zinc/80/47/95/1202804795.db2.gz CNRIYUWCNAXNJF-HKUYNNGSSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001714194900 1202826402 /nfs/dbraw/zinc/82/64/02/1202826402.db2.gz PMNVEUWZZLBAHD-JTTJXQCZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001714263406 1202853205 /nfs/dbraw/zinc/85/32/05/1202853205.db2.gz BTUUNZULPQECIX-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001714269949 1202856773 /nfs/dbraw/zinc/85/67/73/1202856773.db2.gz USEWSRJNDFYVJZ-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001714270627 1202857329 /nfs/dbraw/zinc/85/73/29/1202857329.db2.gz RLBOJJZJRODBAE-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001714279293 1202861098 /nfs/dbraw/zinc/86/10/98/1202861098.db2.gz QEGIJMFRAYRPBQ-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CCC(CC)(CC)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001714286115 1202863436 /nfs/dbraw/zinc/86/34/36/1202863436.db2.gz IWVDEYGIYWLCHC-KRWDZBQOSA-N 0 1 314.473 3.349 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001714290845 1202865594 /nfs/dbraw/zinc/86/55/94/1202865594.db2.gz MBLBILFUPKEMLD-MSOLQXFVSA-N 0 1 314.473 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2cccc(F)c2o1 ZINC001714303491 1202873298 /nfs/dbraw/zinc/87/32/98/1202873298.db2.gz CQXVCYOIRLQCEH-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CCC(C)C)CC1 ZINC001714324489 1202881879 /nfs/dbraw/zinc/88/18/79/1202881879.db2.gz JCOGXYMWXMLQNJ-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1ncc(Cl)s1 ZINC001714470939 1202952266 /nfs/dbraw/zinc/95/22/66/1202952266.db2.gz FUGVWEMJQPZYRG-LLVKDONJSA-N 0 1 315.870 3.137 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2nc(C)sc2C)C1 ZINC001714650086 1203075718 /nfs/dbraw/zinc/07/57/18/1203075718.db2.gz XAWJUFZHNITHBG-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1c(C)noc1CC ZINC001714717432 1203107717 /nfs/dbraw/zinc/10/77/17/1203107717.db2.gz JBDMWZDTXQVTNC-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccn(C(C)C)n1 ZINC001714715116 1203107779 /nfs/dbraw/zinc/10/77/79/1203107779.db2.gz DFRKCISSVOTAFS-HNNXBMFYSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1oc(C(C)C)nc1C ZINC001714715924 1203107818 /nfs/dbraw/zinc/10/78/18/1203107818.db2.gz KVHAKMPWKLHBHJ-UHFFFAOYSA-N 0 1 307.438 3.011 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001714743222 1203114556 /nfs/dbraw/zinc/11/45/56/1203114556.db2.gz KVOJOXKIPVDARS-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C(C)(C)C1CCCCC1 ZINC001714765001 1203120780 /nfs/dbraw/zinc/12/07/80/1203120780.db2.gz PTFJQHWIJKJRPT-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1csc(C(C)C)n1 ZINC001714794089 1203128800 /nfs/dbraw/zinc/12/88/00/1203128800.db2.gz QXIXVGRSSUHIRO-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc2ncsc2c1 ZINC001714842423 1203148217 /nfs/dbraw/zinc/14/82/17/1203148217.db2.gz CXJRCUOQDFNNAW-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cccc(C)c2C)C1 ZINC001714860574 1203160560 /nfs/dbraw/zinc/16/05/60/1203160560.db2.gz MQLQLGKFGPKYDB-SFHVURJKSA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)c2ccccc2)C1 ZINC001714863625 1203162324 /nfs/dbraw/zinc/16/23/24/1203162324.db2.gz USPUIBSUKYHUSW-MJGOQNOKSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccsc2)C1 ZINC001714869403 1203166311 /nfs/dbraw/zinc/16/63/11/1203166311.db2.gz FRANSZPRGLMFIB-WBVHZDCISA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC2CCCCC2)C1 ZINC001714890499 1203180130 /nfs/dbraw/zinc/18/01/30/1203180130.db2.gz JQPHLUPOMCITDP-INIZCTEOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)/C=C\c1ccco1 ZINC001714901679 1203186463 /nfs/dbraw/zinc/18/64/63/1203186463.db2.gz BILPWCIZIAUOGQ-AFNCTOJWSA-N 0 1 310.825 3.166 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001714902899 1203188332 /nfs/dbraw/zinc/18/83/32/1203188332.db2.gz FYOOIDBSOQLQAP-BPUTZDHNSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H](C)C2CCC2)CC1 ZINC001714913168 1203197101 /nfs/dbraw/zinc/19/71/01/1203197101.db2.gz JZPPRAXLUORYBD-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CC1 ZINC001714913823 1203197250 /nfs/dbraw/zinc/19/72/50/1203197250.db2.gz LCVTYXYECQNCDE-YESZJQIVSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1CC12CCCC2 ZINC001714931484 1203205394 /nfs/dbraw/zinc/20/53/94/1203205394.db2.gz AIBQZNCOWZNPTO-CABCVRRESA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H](C)c1cccnc1 ZINC001714931949 1203205636 /nfs/dbraw/zinc/20/56/36/1203205636.db2.gz DYQYEOQLFFJBMV-GDBMZVCRSA-N 0 1 323.868 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1CC12CCCC2 ZINC001714931485 1203205796 /nfs/dbraw/zinc/20/57/96/1203205796.db2.gz AIBQZNCOWZNPTO-GJZGRUSLSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCc2ccc(C)s2)C1 ZINC001714984280 1203225958 /nfs/dbraw/zinc/22/59/58/1203225958.db2.gz WBLATMIQSZXNEK-UHFFFAOYSA-N 0 1 306.475 3.003 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C)c1 ZINC001714984947 1203226593 /nfs/dbraw/zinc/22/65/93/1203226593.db2.gz GKMASWWOKQVPEH-IRXDYDNUSA-N 0 1 304.434 3.457 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001715021979 1203240502 /nfs/dbraw/zinc/24/05/02/1203240502.db2.gz CSAXKMAPBKQJEB-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)CCCC2CCCCC2)C1 ZINC001715047679 1203254084 /nfs/dbraw/zinc/25/40/84/1203254084.db2.gz RQRITRYWORAZJG-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cc(C)on2)CCCC[C@H]1C ZINC001715133312 1203282408 /nfs/dbraw/zinc/28/24/08/1203282408.db2.gz WAHKZTXHTUDRQZ-KDOFPFPSSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNCc1ncc(C(C)(C)C)o1 ZINC001715243919 1203302772 /nfs/dbraw/zinc/30/27/72/1203302772.db2.gz GRPUBXQULHYTPJ-ZDUSSCGKSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001715306023 1203311391 /nfs/dbraw/zinc/31/13/91/1203311391.db2.gz XOHMHVJJMLGEOO-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@H](C)NCc2coc(C)n2)C1 ZINC001715316121 1203313785 /nfs/dbraw/zinc/31/37/85/1203313785.db2.gz QQADZYSEOBZYIJ-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](CC)c1ccccc1)CC2 ZINC001715316610 1203314103 /nfs/dbraw/zinc/31/41/03/1203314103.db2.gz VHZZXZUOZJZSBH-GOSISDBHSA-N 0 1 324.468 3.128 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1cnc(Cl)s1 ZINC001715339208 1203319577 /nfs/dbraw/zinc/31/95/77/1203319577.db2.gz MWQPIEURYSBSPE-NSHDSACASA-N 0 1 315.870 3.089 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1oc(CC)nc1C ZINC001715358687 1203323413 /nfs/dbraw/zinc/32/34/13/1203323413.db2.gz VAHQPMYTSZJUAH-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN CCC(CC)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001715368060 1203325971 /nfs/dbraw/zinc/32/59/71/1203325971.db2.gz LLVUWBVVUHZHOA-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC=CC1)C1CCCCC1 ZINC001715401179 1203335117 /nfs/dbraw/zinc/33/51/17/1203335117.db2.gz WZFDRAZPFCHAKD-MRXNPFEDSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)CCCC#CC ZINC001715474653 1203347247 /nfs/dbraw/zinc/34/72/47/1203347247.db2.gz GEWPBZBEDMGJMK-HOCLYGCPSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](NCc1csc(C)n1)C(C)C ZINC001715503967 1203354316 /nfs/dbraw/zinc/35/43/16/1203354316.db2.gz SSMCDOJAJOSCJO-NVXWUHKLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCN[C@H](C)c2ncc(C)o2)CC1 ZINC001715675929 1203379770 /nfs/dbraw/zinc/37/97/70/1203379770.db2.gz DUNWDDLHDAXOGF-UKRRQHHQSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1ncc(C)s1)C(C)C ZINC001715736884 1203386447 /nfs/dbraw/zinc/38/64/47/1203386447.db2.gz VIYSZZHREDCXHN-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001715746995 1203386909 /nfs/dbraw/zinc/38/69/09/1203386909.db2.gz YTDYTSQJTSZLEW-FUHWJXTLSA-N 0 1 320.864 3.155 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(Cl)cs1 ZINC001715879762 1203400583 /nfs/dbraw/zinc/40/05/83/1203400583.db2.gz USEFOCPGPJTOGE-NSCUHMNNSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C(C)(C)C)cn1 ZINC001715822887 1203395384 /nfs/dbraw/zinc/39/53/84/1203395384.db2.gz YNFORQYPUIDUOK-AATRIKPKSA-N 0 1 321.852 3.007 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(F)cc1Cl ZINC001715904059 1203402178 /nfs/dbraw/zinc/40/21/78/1203402178.db2.gz NGGKKYZVDAKTNO-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1csc2c1CCCC2 ZINC001715901151 1203402339 /nfs/dbraw/zinc/40/23/39/1203402339.db2.gz GNUALHJKGSZZCN-PLNGDYQASA-N 0 1 324.877 3.255 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)CCC1CCCC1 ZINC001715918574 1203403974 /nfs/dbraw/zinc/40/39/74/1203403974.db2.gz ZIAICUDDKMINAH-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(CC(C)C)cc1 ZINC001715961589 1203411713 /nfs/dbraw/zinc/41/17/13/1203411713.db2.gz UFHWNNLJRKQBRP-CQSZACIVSA-N 0 1 308.853 3.346 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001715988012 1203416495 /nfs/dbraw/zinc/41/64/95/1203416495.db2.gz AMPFOSCVZPBIMA-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001715992660 1203417335 /nfs/dbraw/zinc/41/73/35/1203417335.db2.gz HPRUYCMKJHNWLC-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2nc(C)sc2c1 ZINC001716002443 1203419484 /nfs/dbraw/zinc/41/94/84/1203419484.db2.gz ZEBOTCHBWHNNIQ-JTQLQIEISA-N 0 1 323.849 3.065 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNCc2ncoc2C(C)C)C1 ZINC001716173496 1203446020 /nfs/dbraw/zinc/44/60/20/1203446020.db2.gz YZMZOYZPSLBXDP-AWEZNQCLSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001716219528 1203450413 /nfs/dbraw/zinc/45/04/13/1203450413.db2.gz UWKFDQAVWRKSLO-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCC[C@H]1C1CC1 ZINC001716231423 1203451848 /nfs/dbraw/zinc/45/18/48/1203451848.db2.gz OHJHFNROXFNFGW-HOTGVXAUSA-N 0 1 312.885 3.393 20 30 DGEDMN CC(C)C#CC(=O)NC/C=C\CN[C@@H](C)c1ccccc1Cl ZINC001716291461 1203457672 /nfs/dbraw/zinc/45/76/72/1203457672.db2.gz QRGGUZGRFXGFOM-XHPSBEMXSA-N 0 1 318.848 3.322 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c(C)cc(F)cc1C ZINC001716328826 1203459181 /nfs/dbraw/zinc/45/91/81/1203459181.db2.gz QDIMSXIXQQSHJU-PLNGDYQASA-N 0 1 310.800 3.071 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1c(C)cc(C)cc1C ZINC001716411159 1203468294 /nfs/dbraw/zinc/46/82/94/1203468294.db2.gz ZNRMCBFKOFWIFH-OAHLLOKOSA-N 0 1 308.853 3.001 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001716411112 1203468439 /nfs/dbraw/zinc/46/84/39/1203468439.db2.gz XLLPZMSYFRRECK-ACJLOTCBSA-N 0 1 321.465 3.303 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001716418866 1203469644 /nfs/dbraw/zinc/46/96/44/1203469644.db2.gz HPCKVJZSGZTRGK-JKSUJKDBSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)COc1ccccc1C(C)C ZINC001716422012 1203470464 /nfs/dbraw/zinc/47/04/64/1203470464.db2.gz IQRNLKJXZBMIQB-CQSZACIVSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2cccc(Cl)n2)CCC1 ZINC001716451806 1203476318 /nfs/dbraw/zinc/47/63/18/1203476318.db2.gz DQHWIMZDVGRBLY-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN C[C@H](CN(C)[C@@H](C)c1ccccc1Cl)NC(=O)C#CC1CC1 ZINC001716479128 1203484929 /nfs/dbraw/zinc/48/49/29/1203484929.db2.gz ZHHCFRNOIBTJLK-KGLIPLIRSA-N 0 1 318.848 3.251 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H](C)c1cccc(F)c1 ZINC001716663181 1203517675 /nfs/dbraw/zinc/51/76/75/1203517675.db2.gz SVBMCFXQIPOOPQ-WMLDXEAASA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001716668311 1203520123 /nfs/dbraw/zinc/52/01/23/1203520123.db2.gz RXYXDJVYRUFTSM-RYUDHWBXSA-N 0 1 312.866 3.272 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001716692356 1203526021 /nfs/dbraw/zinc/52/60/21/1203526021.db2.gz QYGBKMLAHXZAJM-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C[C@H](C(=O)NCC1(NCC#Cc2ccccc2)CC1)C1CCCC1 ZINC001716699723 1203527959 /nfs/dbraw/zinc/52/79/59/1203527959.db2.gz ZGWWRCFLNYZYCN-KRWDZBQOSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001716743522 1203535328 /nfs/dbraw/zinc/53/53/28/1203535328.db2.gz WSVXXRULAXTZCQ-LJQANCHMSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccc(F)cc2)C1 ZINC001716744376 1203535928 /nfs/dbraw/zinc/53/59/28/1203535928.db2.gz WMHCSDPCNWQSIC-OAHLLOKOSA-N 0 1 318.436 3.256 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001716778424 1203541235 /nfs/dbraw/zinc/54/12/35/1203541235.db2.gz SFTKULFEGKAGGX-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN CC1(CC(=O)NC2(C)CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001716797563 1203544585 /nfs/dbraw/zinc/54/45/85/1203544585.db2.gz PKVSQCJLFVDDOB-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN(C(=O)/C=C/C(C)(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001716803994 1203545229 /nfs/dbraw/zinc/54/52/29/1203545229.db2.gz RYNMFHHCFVVMJB-NXAIOARDSA-N 0 1 322.880 3.267 20 30 DGEDMN CC1(CNC(=O)CC2CC2)CCN(CC#Cc2ccccc2)CC1 ZINC001716881351 1203560595 /nfs/dbraw/zinc/56/05/95/1203560595.db2.gz HEQUEEQNBXIGHZ-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)CCCC)C1 ZINC001716910975 1203567904 /nfs/dbraw/zinc/56/79/04/1203567904.db2.gz ONGCOUMYCUAJNK-HUUCEWRRSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)C(C)(C)C)c1ccccc1CC ZINC001716954559 1203569508 /nfs/dbraw/zinc/56/95/08/1203569508.db2.gz YMFBADSMAVHENF-YJBOKZPZSA-N 0 1 314.473 3.311 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@H]1C=CCC1)c1ccccc1CC ZINC001716954370 1203569533 /nfs/dbraw/zinc/56/95/33/1203569533.db2.gz SUSKZZKPOFSPCM-FXAWDEMLSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C/Cl ZINC001717036233 1203579918 /nfs/dbraw/zinc/57/99/18/1203579918.db2.gz REQNIBJHBSAKRJ-OKYZRPEMSA-N 0 1 322.880 3.388 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H](C)C3CCCC3)cccc2C1 ZINC001717144113 1203590311 /nfs/dbraw/zinc/59/03/11/1203590311.db2.gz RCQQEOZEZCMYEJ-INIZCTEOSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2cc(C)no2)CC1 ZINC001717211616 1203603560 /nfs/dbraw/zinc/60/35/60/1203603560.db2.gz VJHTXZFITHUXEK-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001717243435 1203609001 /nfs/dbraw/zinc/60/90/01/1203609001.db2.gz DOMJQJBMWTZFKQ-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)C=C1CCC1 ZINC001717244192 1203609030 /nfs/dbraw/zinc/60/90/30/1203609030.db2.gz SDNPPLUNJIKYAE-LJQANCHMSA-N 0 1 310.441 3.216 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cc(C)no2)[C@@H](C)C1 ZINC001717337702 1203621165 /nfs/dbraw/zinc/62/11/65/1203621165.db2.gz MPAJSZFBRPFJCN-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C\Cl ZINC001717360836 1203625381 /nfs/dbraw/zinc/62/53/81/1203625381.db2.gz RBVUOQPHXAHTMA-LCNOEYSYSA-N 0 1 318.848 3.467 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CC1(C)CCCCC1 ZINC001717369399 1203627714 /nfs/dbraw/zinc/62/77/14/1203627714.db2.gz MREIKGLVQYWHDV-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)Cc1cccnc1C ZINC001717371267 1203627944 /nfs/dbraw/zinc/62/79/44/1203627944.db2.gz NGQQXQZFZXLSQE-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)CCC(C)(C)C ZINC001717373035 1203628547 /nfs/dbraw/zinc/62/85/47/1203628547.db2.gz FGMHJFWQFWLTST-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001717399004 1203636243 /nfs/dbraw/zinc/63/62/43/1203636243.db2.gz MINPVZIATWQQAC-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001717401389 1203636650 /nfs/dbraw/zinc/63/66/50/1203636650.db2.gz RFUTXPWOLWVFFV-NGPFNDBQSA-N 0 1 317.408 3.036 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1Cl ZINC001717408267 1203638324 /nfs/dbraw/zinc/63/83/24/1203638324.db2.gz XKDHADHBKBSLOV-CHWSQXEVSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001717419749 1203640046 /nfs/dbraw/zinc/64/00/46/1203640046.db2.gz IMUZFWYOCNQPLK-QWRGUYRKSA-N 0 1 323.799 3.156 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001717423697 1203641209 /nfs/dbraw/zinc/64/12/09/1203641209.db2.gz WHZKADFGGYFHDT-RYUDHWBXSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(Cl)c1 ZINC001717434478 1203643506 /nfs/dbraw/zinc/64/35/06/1203643506.db2.gz GTGBKKKWQILCKJ-WDEREUQCSA-N 0 1 301.217 3.189 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001717482555 1203658767 /nfs/dbraw/zinc/65/87/67/1203658767.db2.gz CNZMGXZWOVMZDZ-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001717489876 1203662001 /nfs/dbraw/zinc/66/20/01/1203662001.db2.gz BESWPUCGIOFZIL-WMZOPIPTSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@@](C)(F)CCCC ZINC001717538969 1203677029 /nfs/dbraw/zinc/67/70/29/1203677029.db2.gz WITWPYUDNOWXSM-AEFFLSMTSA-N 0 1 310.457 3.289 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)C[C@H](C)CCC)C2)C1 ZINC001717571464 1203683239 /nfs/dbraw/zinc/68/32/39/1203683239.db2.gz AZOJAPOLGFMZTP-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)CCCCC(C)C)C2)C1 ZINC001717573977 1203683782 /nfs/dbraw/zinc/68/37/82/1203683782.db2.gz DUYWCTUKTMKJTD-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC001717704100 1203702691 /nfs/dbraw/zinc/70/26/91/1203702691.db2.gz RCPHQNIOFCRRBH-UHFFFAOYSA-N 0 1 321.490 3.168 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]12CCC[C@@H]1N(Cc1ccns1)CC2 ZINC001717837236 1203719260 /nfs/dbraw/zinc/71/92/60/1203719260.db2.gz MHZCOZBIDNTOJD-DOTOQJQBSA-N 0 1 319.474 3.113 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](F)CC3CCCCC3)CCC[C@H]12 ZINC001717840523 1203719820 /nfs/dbraw/zinc/71/98/20/1203719820.db2.gz JDUCUPAQPJYDCL-JENIJYKNSA-N 0 1 320.452 3.041 20 30 DGEDMN Cc1cc(CN(C)CCN(C(=O)C#CC(C)C)C(C)C)cs1 ZINC001717947021 1203732232 /nfs/dbraw/zinc/73/22/32/1203732232.db2.gz KCASTPBKGYUCIO-UHFFFAOYSA-N 0 1 320.502 3.385 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1c(C)oc(C)c1C)C(C)C ZINC001717949546 1203732887 /nfs/dbraw/zinc/73/28/87/1203732887.db2.gz BOTABXBWMGGSJN-UHFFFAOYSA-N 0 1 304.434 3.011 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(CCC)c(C)s1)C(C)C ZINC001717952544 1203734767 /nfs/dbraw/zinc/73/47/67/1203734767.db2.gz XZNQIMDDYGUUBB-UHFFFAOYSA-N 0 1 320.502 3.425 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccccc1)C(C)(C)C ZINC001717963302 1203736685 /nfs/dbraw/zinc/73/66/85/1203736685.db2.gz REQVUIQQKVCUPC-HNNXBMFYSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccccc1)C(C)(C)C ZINC001717963303 1203736855 /nfs/dbraw/zinc/73/68/55/1203736855.db2.gz REQVUIQQKVCUPC-OAHLLOKOSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCC1(C)C)C(C)(C)C ZINC001717965909 1203737143 /nfs/dbraw/zinc/73/71/43/1203737143.db2.gz YCHHBQMTYZPTTF-OLZOCXBDSA-N 0 1 300.874 3.296 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2cc(F)ccc2s1 ZINC001722916294 1203951980 /nfs/dbraw/zinc/95/19/80/1203951980.db2.gz VBWNGVBUKAOWDX-LBPRGKRZSA-N 0 1 318.417 3.114 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1[C@@H](CC)c1ccc(F)cc1 ZINC001723405366 1203968396 /nfs/dbraw/zinc/96/83/96/1203968396.db2.gz DFWCRQXXIIBISF-SJORKVTESA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cccc(F)c2F)C1 ZINC001723799666 1203993600 /nfs/dbraw/zinc/99/36/00/1203993600.db2.gz ONCALXBEJRZIRF-CYBMUJFWSA-N 0 1 322.399 3.005 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3ccccc3n2)C1 ZINC001723814572 1203994487 /nfs/dbraw/zinc/99/44/87/1203994487.db2.gz IYBUXVPIURFVNQ-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C1CN(CCC(=C)C)C1)c1ccccc1 ZINC001723818853 1203994507 /nfs/dbraw/zinc/99/45/07/1203994507.db2.gz AWZLESUHXNMZPK-LJQANCHMSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccccc2OCC)C1 ZINC001723814552 1203994647 /nfs/dbraw/zinc/99/46/47/1203994647.db2.gz IJKSLHGKUYGFIY-HNNXBMFYSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2cccc(F)c2F)C1 ZINC001723825169 1203994896 /nfs/dbraw/zinc/99/48/96/1203994896.db2.gz FFUVZWMKZXZCND-UHFFFAOYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001723936975 1203999135 /nfs/dbraw/zinc/99/91/35/1203999135.db2.gz AGQULEDFTBDSON-XWJOTYRJSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccccc1Cl ZINC001723967006 1203999815 /nfs/dbraw/zinc/99/98/15/1203999815.db2.gz JTGWSIMLCDHXNB-GFCCVEGCSA-N 0 1 313.228 3.287 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(F)c1)C1CCCC1 ZINC001724071047 1204003157 /nfs/dbraw/zinc/00/31/57/1204003157.db2.gz DOQDJNKIYJXVCT-INIZCTEOSA-N 0 1 324.827 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1occc1C)C1CCCC1 ZINC001724073624 1204003328 /nfs/dbraw/zinc/00/33/28/1204003328.db2.gz TUONUGLJULFKRH-AWEZNQCLSA-N 0 1 310.825 3.219 20 30 DGEDMN CC(C)C#CC(=O)N[C@]1(C)CCN([C@H](C)c2ccccc2F)C1 ZINC001724154725 1204009859 /nfs/dbraw/zinc/00/98/59/1204009859.db2.gz OPJOHLNEGUNSSV-DNVCBOLYSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724503714 1204026152 /nfs/dbraw/zinc/02/61/52/1204026152.db2.gz KLZZIOUGOGDJEC-XNMBYWOSSA-N 0 1 308.853 3.230 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)=C(C)C)c1ccccc1CC ZINC001724511738 1204026858 /nfs/dbraw/zinc/02/68/58/1204026858.db2.gz LMPRVSVJZGFABX-IBGZPJMESA-N 0 1 312.457 3.376 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](F)C(C)C)c1ccccc1CC ZINC001724511500 1204026873 /nfs/dbraw/zinc/02/68/73/1204026873.db2.gz JXEVARJNENWBRF-ROUUACIJSA-N 0 1 318.436 3.013 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cscc1C ZINC001724735588 1204040823 /nfs/dbraw/zinc/04/08/23/1204040823.db2.gz AASSRBZRDQLHSR-UHFFFAOYSA-N 0 1 312.438 3.052 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@H](C)C1CC1 ZINC001724739721 1204041980 /nfs/dbraw/zinc/04/19/80/1204041980.db2.gz DHFIVYNYTHOTTO-INIZCTEOSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC[C@H](C)CC)cccc2C1 ZINC001724777132 1204045590 /nfs/dbraw/zinc/04/55/90/1204045590.db2.gz NLCJOAYHYCFMTL-MRXNPFEDSA-N 0 1 312.457 3.120 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CCC3CCC3)cccc2C1 ZINC001724775676 1204045596 /nfs/dbraw/zinc/04/55/96/1204045596.db2.gz BHFUYVDNUQZQKJ-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCc2ccccc2)CCC1 ZINC001724794524 1204048182 /nfs/dbraw/zinc/04/81/82/1204048182.db2.gz WKNNRRICEVUCPO-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001724853347 1204057175 /nfs/dbraw/zinc/05/71/75/1204057175.db2.gz LOXCDARQMAILNE-UHFFFAOYSA-N 0 1 319.474 3.112 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C[C@@H]1C=CCC1 ZINC001724893141 1204063387 /nfs/dbraw/zinc/06/33/87/1204063387.db2.gz JQFRXHSDCNOESJ-XLIONFOSSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C=C(\C)CC)C2 ZINC001724896796 1204064528 /nfs/dbraw/zinc/06/45/28/1204064528.db2.gz RQJVPNRWXJUUGK-IDKBZEPQSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CO[C@@H](C)CC)cc2C1 ZINC001724924352 1204066493 /nfs/dbraw/zinc/06/64/93/1204066493.db2.gz XXLVDFCJXLHHIW-HNNXBMFYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CO[C@H](C)CC)cc2C1 ZINC001724924353 1204066640 /nfs/dbraw/zinc/06/66/40/1204066640.db2.gz XXLVDFCJXLHHIW-OAHLLOKOSA-N 0 1 316.445 3.010 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001725015122 1204079954 /nfs/dbraw/zinc/07/99/54/1204079954.db2.gz YUERRZMNUOBWJE-IBGZPJMESA-N 0 1 312.457 3.490 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CCCC)C(C)C ZINC001725059775 1204087879 /nfs/dbraw/zinc/08/78/79/1204087879.db2.gz SJSDDNSFUZPMNK-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1c(C)noc1CC ZINC001725094897 1204093450 /nfs/dbraw/zinc/09/34/50/1204093450.db2.gz QPDDFQUQTQLYKH-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2ccc(F)cc2F)C1 ZINC001725112551 1204097321 /nfs/dbraw/zinc/09/73/21/1204097321.db2.gz WRONBWYTAUGZAQ-OLZOCXBDSA-N 0 1 322.399 3.304 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001725113403 1204097575 /nfs/dbraw/zinc/09/75/75/1204097575.db2.gz MVAODJBKBNRNMK-ZIAGYGMSSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1nccc2ccccc21 ZINC001725118260 1204098278 /nfs/dbraw/zinc/09/82/78/1204098278.db2.gz UVIRKXPYWPGWTQ-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001725136665 1204101544 /nfs/dbraw/zinc/10/15/44/1204101544.db2.gz UKNUOJBMIJTOLE-HOTGVXAUSA-N 0 1 313.445 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCC(NCc2coc(C)n2)CC1 ZINC001725138268 1204101794 /nfs/dbraw/zinc/10/17/94/1204101794.db2.gz MDENDDCAPPXWHK-UHFFFAOYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2cccnc2C)CCC1 ZINC001725177984 1204111842 /nfs/dbraw/zinc/11/18/42/1204111842.db2.gz FDSGTOVSGSUYPN-HNNXBMFYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001725182299 1204113092 /nfs/dbraw/zinc/11/30/92/1204113092.db2.gz WEXXMRRFOYYNGE-HNAYVOBHSA-N 0 1 317.477 3.237 20 30 DGEDMN CCCC[C@@H](CNCc1cc(C#N)ccc1F)NC(=O)C(C)C ZINC001725227352 1204121807 /nfs/dbraw/zinc/12/18/07/1204121807.db2.gz GMLVOXPDPCCMPV-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CC(C)(C)CC)C2)C1 ZINC001725276751 1204131284 /nfs/dbraw/zinc/13/12/84/1204131284.db2.gz GUHCBGWDDQZEHT-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCC2CCCCCC2)C(C)(C)C1 ZINC001725293649 1204134758 /nfs/dbraw/zinc/13/47/58/1204134758.db2.gz LDQJBKAAKNYHHK-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001725364705 1204140947 /nfs/dbraw/zinc/14/09/47/1204140947.db2.gz NSQLOTNBIQFVMI-OTSPLWENSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(C(C)C)n1 ZINC001725496727 1204159489 /nfs/dbraw/zinc/15/94/89/1204159489.db2.gz UGVQTCMDIDDYPW-CYBMUJFWSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2oc(C)nc2C)[C@H]1C ZINC001725629587 1204186315 /nfs/dbraw/zinc/18/63/15/1204186315.db2.gz NKFSMHJPZPFGES-HOCLYGCPSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(F)cc1)C(C)(C)C ZINC001725733759 1204205053 /nfs/dbraw/zinc/20/50/53/1204205053.db2.gz UURVWWIHFFRJQC-AWEZNQCLSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)c(C)o1)C(C)(C)C ZINC001725738375 1204207617 /nfs/dbraw/zinc/20/76/17/1204207617.db2.gz MRYFLDVKNJNORK-AWEZNQCLSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1C)C(C)(C)C ZINC001725738840 1204207660 /nfs/dbraw/zinc/20/76/60/1204207660.db2.gz VTLZRDKPJLXRAR-OAHLLOKOSA-N 0 1 308.853 3.482 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C)cc1)C(C)(C)C ZINC001725753570 1204212397 /nfs/dbraw/zinc/21/23/97/1204212397.db2.gz VLTDTKCWUSGPMF-HNNXBMFYSA-N 0 1 308.853 3.482 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC/C=C/c2ccccc2)CC1 ZINC001725824076 1204228016 /nfs/dbraw/zinc/22/80/16/1204228016.db2.gz PNOOWDMPFRXOSC-YRNVUSSQSA-N 0 1 322.452 3.252 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)N(C)CCNCc1ccccc1C#N ZINC001753206602 1204336738 /nfs/dbraw/zinc/33/67/38/1204336738.db2.gz YMEDJBQYKWIHMW-GOSISDBHSA-N 0 1 319.424 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001731373757 1204387398 /nfs/dbraw/zinc/38/73/98/1204387398.db2.gz ONZQVCIFNHYQIO-XJKSGUPXSA-N 0 1 304.409 3.289 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2Cc3ccccc32)CC1 ZINC001731387153 1204391659 /nfs/dbraw/zinc/39/16/59/1204391659.db2.gz HFFYULHMIJNCPA-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)Cc1cc(C)cc(C)c1)C1CC1 ZINC001731404316 1204399125 /nfs/dbraw/zinc/39/91/25/1204399125.db2.gz FTYRLBVEFWMEDU-QGZVFWFLSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCCC(F)(F)C1)C1CC1 ZINC001731404877 1204399416 /nfs/dbraw/zinc/39/94/16/1204399416.db2.gz KVMZNFQINXVQCB-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(C)c(C)s1)C1CC1 ZINC001731410229 1204401722 /nfs/dbraw/zinc/40/17/22/1204401722.db2.gz CORIWLPMBQMOBV-ZDUSSCGKSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cc(O)ccc2Cl)C1 ZINC001731433660 1204411652 /nfs/dbraw/zinc/41/16/52/1204411652.db2.gz OLCYXKKFOQFBOO-AWEZNQCLSA-N 0 1 322.836 3.092 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@@H](NCc3nccs3)C2)CCCC1 ZINC001731495526 1204432097 /nfs/dbraw/zinc/43/20/97/1204432097.db2.gz VLXNMUYLQHIYMH-OKILXGFUSA-N 0 1 319.474 3.016 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](C)CCC=C(C)C ZINC001731540933 1204450535 /nfs/dbraw/zinc/45/05/35/1204450535.db2.gz NAGMYUCQFUNXLM-ZWKOTPCHSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1cccc(F)c1 ZINC001731543324 1204453809 /nfs/dbraw/zinc/45/38/09/1204453809.db2.gz WUSWHUNFJWBRDT-WMLDXEAASA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC=C(Cl)Cl)C1 ZINC001731554467 1204459443 /nfs/dbraw/zinc/45/94/43/1204459443.db2.gz FFKVXFFXRHZCDS-QWHCGFSZSA-N 0 1 319.276 3.488 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001731580545 1204470688 /nfs/dbraw/zinc/47/06/88/1204470688.db2.gz DNXSEFKLGHQALQ-XIEDVDOYSA-N 0 1 318.848 3.142 20 30 DGEDMN C[C@H](CNC(=O)[C@H]1CCCC2(CC2)C1)NCC#Cc1ccccc1 ZINC001731591706 1204475785 /nfs/dbraw/zinc/47/57/85/1204475785.db2.gz IEAJSTNOWMZQST-MJGOQNOKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@H](NCc2coc(C)n2)C1 ZINC001731691145 1204506912 /nfs/dbraw/zinc/50/69/12/1204506912.db2.gz WCSXBCLTLHAYTI-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN CCC[C@H](C)C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001731726700 1204525552 /nfs/dbraw/zinc/52/55/52/1204525552.db2.gz WSWYQOXRZWJUJE-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001731833113 1204591329 /nfs/dbraw/zinc/59/13/29/1204591329.db2.gz FVRDEISHCPAOPP-RHSMWYFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001731936853 1204632050 /nfs/dbraw/zinc/63/20/50/1204632050.db2.gz SLYBJYVVEAPJNE-SJORKVTESA-N 0 1 320.864 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1cccnc1)c1ccccc1 ZINC001732021851 1204652361 /nfs/dbraw/zinc/65/23/61/1204652361.db2.gz JRIIRFQXGHNJKH-SFHVURJKSA-N 0 1 323.440 3.241 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)c1cccc(C)c1)c1ccccc1 ZINC001732024890 1204653462 /nfs/dbraw/zinc/65/34/62/1204653462.db2.gz YHURJOURZOUVTL-YLJYHZDGSA-N 0 1 320.436 3.179 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2CCCc1ccsc1 ZINC001732026614 1204653913 /nfs/dbraw/zinc/65/39/13/1204653913.db2.gz GZFBKERWQJLWRA-CALCHBBNSA-N 0 1 318.486 3.322 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@H](C)c1ccco1)c1ccccc1 ZINC001732026522 1204654030 /nfs/dbraw/zinc/65/40/30/1204654030.db2.gz WRLYBLQLAUJKRO-WMZOPIPTSA-N 0 1 324.424 3.244 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(F)c(F)c2)C1 ZINC001732037964 1204660190 /nfs/dbraw/zinc/66/01/90/1204660190.db2.gz ABVICPFUYRNRJJ-AWEZNQCLSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)CC(F)(F)F)C1 ZINC001732039182 1204661704 /nfs/dbraw/zinc/66/17/04/1204661704.db2.gz PQWOWDWNUHKVLZ-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2sccc2OC)C1 ZINC001732041813 1204662272 /nfs/dbraw/zinc/66/22/72/1204662272.db2.gz VPHPFNCFDNCQDX-CQSZACIVSA-N 0 1 322.474 3.259 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001732044084 1204665532 /nfs/dbraw/zinc/66/55/32/1204665532.db2.gz RCEODACSRNQFED-CAOSSQGBSA-N 0 1 310.869 3.003 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C/C=C\c1ccccc1 ZINC001732057786 1204673391 /nfs/dbraw/zinc/67/33/91/1204673391.db2.gz DJCJWZVNMGLNIG-CNLZCBQESA-N 0 1 322.452 3.179 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2sccc2F)C1 ZINC001732069090 1204678590 /nfs/dbraw/zinc/67/85/90/1204678590.db2.gz HZPISTMLGACGMK-NSHDSACASA-N 0 1 316.829 3.034 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2sccc2F)C1 ZINC001732069089 1204678665 /nfs/dbraw/zinc/67/86/65/1204678665.db2.gz HZPISTMLGACGMK-LLVKDONJSA-N 0 1 316.829 3.034 20 30 DGEDMN C#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C=C2CCC2)C1 ZINC001732081952 1204685364 /nfs/dbraw/zinc/68/53/64/1204685364.db2.gz KGSUPAJDFSPUJC-FQEVSTJZSA-N 0 1 322.452 3.223 20 30 DGEDMN C#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C=C2CCC2)C1 ZINC001732081953 1204685884 /nfs/dbraw/zinc/68/58/84/1204685884.db2.gz KGSUPAJDFSPUJC-HXUWFJFHSA-N 0 1 322.452 3.223 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](CC)OC2CCCC2)C1 ZINC001732083546 1204686648 /nfs/dbraw/zinc/68/66/48/1204686648.db2.gz XTUFSJGTORGZOG-AEFFLSMTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001732083571 1204686773 /nfs/dbraw/zinc/68/67/73/1204686773.db2.gz XTUFSJGTORGZOG-SJLPKXTDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC001732088984 1204688954 /nfs/dbraw/zinc/68/89/54/1204688954.db2.gz URAYUBMMIVDNTJ-UHFFFAOYSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CC(C)(C)CC(F)F)C1 ZINC001732106560 1204696028 /nfs/dbraw/zinc/69/60/28/1204696028.db2.gz WZMILLZLVUSVJA-GFCCVEGCSA-N 0 1 302.409 3.213 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001732117441 1204701029 /nfs/dbraw/zinc/70/10/29/1204701029.db2.gz VXXBEFINIWSENI-CHWSQXEVSA-N 0 1 322.399 3.223 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)Cc2ccccc2Cl)C1 ZINC001732133016 1204707198 /nfs/dbraw/zinc/70/71/98/1204707198.db2.gz BBHPDSVUHGQQKA-CQSZACIVSA-N 0 1 320.864 3.143 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001732168688 1204725808 /nfs/dbraw/zinc/72/58/08/1204725808.db2.gz KPBOLBKEQFFCPI-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H](C)C2CCCCC2)C1 ZINC001732185125 1204737200 /nfs/dbraw/zinc/73/72/00/1204737200.db2.gz LFJYZTZLIZBBNQ-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CN(CCC2CCCCC2)CCO1 ZINC001732383527 1204811652 /nfs/dbraw/zinc/81/16/52/1204811652.db2.gz LLITWGUBCZHWSD-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c(F)ccc(C)c1F ZINC001732436848 1204840149 /nfs/dbraw/zinc/84/01/49/1204840149.db2.gz OEIRXIPSVDHWNS-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C)(C)Cc1ccccc1 ZINC001732451098 1204848616 /nfs/dbraw/zinc/84/86/16/1204848616.db2.gz TVCQZUWGABYBCJ-OAHLLOKOSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)/C=C\C(C)(C)C ZINC001732464417 1204856220 /nfs/dbraw/zinc/85/62/20/1204856220.db2.gz VJEHACUSELZHJV-WBTMPAOCSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001732469958 1204860407 /nfs/dbraw/zinc/86/04/07/1204860407.db2.gz IXGKTBCLQYHFJB-RRFJBIMHSA-N 0 1 324.852 3.256 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)Cc1occc1C ZINC001732544110 1204886461 /nfs/dbraw/zinc/88/64/61/1204886461.db2.gz NNTBLMWECGSLCC-SWLSCSKDSA-N 0 1 324.852 3.100 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732597068 1204917088 /nfs/dbraw/zinc/91/70/88/1204917088.db2.gz FYRRMMCFAABENR-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001732610278 1204921292 /nfs/dbraw/zinc/92/12/92/1204921292.db2.gz RZYARQOSQSJKKM-LSDHHAIUSA-N 0 1 310.869 3.264 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1cccc(Cl)c1F ZINC001732845445 1204951391 /nfs/dbraw/zinc/95/13/91/1204951391.db2.gz SGIUYWUAUCNEHZ-AATRIKPKSA-N 0 1 322.811 3.045 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001732907294 1204958332 /nfs/dbraw/zinc/95/83/32/1204958332.db2.gz TXTZCEQDUMLAPW-VOTSOKGWSA-N 0 1 318.436 3.498 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001732968404 1204968114 /nfs/dbraw/zinc/96/81/14/1204968114.db2.gz NHGYKMBJSAWOHY-ZIAGYGMSSA-N 0 1 315.417 3.339 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001732969580 1204968970 /nfs/dbraw/zinc/96/89/70/1204968970.db2.gz YLWPXEYLRAJWJK-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1cccc(C(C)C)n1 ZINC001733047784 1204999047 /nfs/dbraw/zinc/99/90/47/1204999047.db2.gz GJPSEVKBJANGNC-HNNXBMFYSA-N 0 1 317.477 3.354 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCC(C)=C(C)C1)C1CC1 ZINC001733170712 1205032579 /nfs/dbraw/zinc/03/25/79/1205032579.db2.gz IVRAFMVQZNLSCI-JKSUJKDBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1Cc1ccc(CCC)cc1 ZINC001733207685 1205043932 /nfs/dbraw/zinc/04/39/32/1205043932.db2.gz QEMDEGPWLSOYRN-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001733238710 1205064173 /nfs/dbraw/zinc/06/41/73/1205064173.db2.gz VKEXYDLZXHFPMC-ZWKOTPCHSA-N 0 1 318.436 3.332 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001733304098 1205088342 /nfs/dbraw/zinc/08/83/42/1205088342.db2.gz GAHUJCFPBNZOEL-SFHVURJKSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(C(F)F)CCCC2)C1 ZINC001733311202 1205090097 /nfs/dbraw/zinc/09/00/97/1205090097.db2.gz VPFZCVAUKPORMP-CYBMUJFWSA-N 0 1 314.420 3.309 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@@H](C)CC(C)C ZINC001733350871 1205102809 /nfs/dbraw/zinc/10/28/09/1205102809.db2.gz IZUXTXNPABODGW-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001733395822 1205115725 /nfs/dbraw/zinc/11/57/25/1205115725.db2.gz DOSLHMRDAYRJQF-KBXCAEBGSA-N 0 1 304.409 3.434 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001733414711 1205125794 /nfs/dbraw/zinc/12/57/94/1205125794.db2.gz WMDCSZOLMAGXNH-XLIONFOSSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cocc1C)c1ccccc1CC ZINC001733518886 1205152830 /nfs/dbraw/zinc/15/28/30/1205152830.db2.gz ROHPDZQNTPSDSS-LJQANCHMSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001733563987 1205162981 /nfs/dbraw/zinc/16/29/81/1205162981.db2.gz WSZYKXBTULJZMQ-BJWYYQGGSA-N 0 1 322.880 3.292 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CC=CCC1 ZINC001733680122 1205182961 /nfs/dbraw/zinc/18/29/61/1205182961.db2.gz KTVDLPCEDHJHEF-QGZVFWFLSA-N 0 1 310.441 3.114 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001733762762 1205202377 /nfs/dbraw/zinc/20/23/77/1205202377.db2.gz CXKUOLWIDFDWCY-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)/C=C(\C)CC ZINC001733809072 1205222465 /nfs/dbraw/zinc/22/24/65/1205222465.db2.gz RRYXBNSFKRGPCW-OUQXZNHLSA-N 0 1 312.457 3.462 20 30 DGEDMN C=CCCC(=O)NCc1ccc2c(c1)CN(CCOC(C)C)C2 ZINC001733834695 1205227138 /nfs/dbraw/zinc/22/71/38/1205227138.db2.gz XXWOBZQFKQMKHT-UHFFFAOYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H](C)C1 ZINC001733899763 1205245932 /nfs/dbraw/zinc/24/59/32/1205245932.db2.gz MMRRIZYLKDDCMA-HOTGVXAUSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)C(=C)C ZINC001733907957 1205250791 /nfs/dbraw/zinc/25/07/91/1205250791.db2.gz YFKLFUHGYGUEKY-LJQANCHMSA-N 0 1 324.468 3.328 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)CCC1CC1 ZINC001733925431 1205258232 /nfs/dbraw/zinc/25/82/32/1205258232.db2.gz NHIRFFDYIRFPKX-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(C)c2c1CCC2 ZINC001733942698 1205267838 /nfs/dbraw/zinc/26/78/38/1205267838.db2.gz CQWWYZIZVZTYQL-KRWDZBQOSA-N 0 1 324.468 3.043 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C)c2c1CCC2 ZINC001733942698 1205267840 /nfs/dbraw/zinc/26/78/40/1205267840.db2.gz CQWWYZIZVZTYQL-KRWDZBQOSA-N 0 1 324.468 3.043 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001733973152 1205276031 /nfs/dbraw/zinc/27/60/31/1205276031.db2.gz DFGURPKHXPYYGH-KGLIPLIRSA-N 0 1 317.408 3.036 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1Cl ZINC001733974338 1205276635 /nfs/dbraw/zinc/27/66/35/1205276635.db2.gz MBRVTNJUUNMSRB-STQMWFEESA-N 0 1 324.827 3.121 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@H](C)NCc1c(F)cccc1Cl ZINC001733974337 1205277054 /nfs/dbraw/zinc/27/70/54/1205277054.db2.gz MBRVTNJUUNMSRB-QWHCGFSZSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(F)cc2ccoc21 ZINC001733978115 1205277268 /nfs/dbraw/zinc/27/72/68/1205277268.db2.gz IMCLPDAGCQLCIK-MNOVXSKESA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001733985365 1205279539 /nfs/dbraw/zinc/27/95/39/1205279539.db2.gz PHKNJBWAEKBPLP-RYUDHWBXSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2c(C)noc2C)CCC1 ZINC001733988845 1205280299 /nfs/dbraw/zinc/28/02/99/1205280299.db2.gz HEVNAJFCTLWQOV-QWHCGFSZSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2c(C)noc2C)CCC1 ZINC001733988843 1205280672 /nfs/dbraw/zinc/28/06/72/1205280672.db2.gz HEVNAJFCTLWQOV-CHWSQXEVSA-N 0 1 319.449 3.021 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](C)c1ccccc1C ZINC001734107337 1205326286 /nfs/dbraw/zinc/32/62/86/1205326286.db2.gz WDVWUOXOFXLHRK-MSOLQXFVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCc2ccccc2Cl)C1 ZINC001734116906 1205328425 /nfs/dbraw/zinc/32/84/25/1205328425.db2.gz KLUSSTKCMUOVSS-AWEZNQCLSA-N 0 1 320.864 3.285 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)C1CN(C/C=C\c2ccccc2)C1 ZINC001734121226 1205329472 /nfs/dbraw/zinc/32/94/72/1205329472.db2.gz IAKLIANSIAUYHI-ADYSOMBNSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCC(C)(C)c2ccccc2)C1 ZINC001734130066 1205331446 /nfs/dbraw/zinc/33/14/46/1205331446.db2.gz HQVDWRXADZOSKU-INIZCTEOSA-N 0 1 314.473 3.367 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@@H](C)C1CN(CC#CC)C1)c1ccccc1 ZINC001734130903 1205331602 /nfs/dbraw/zinc/33/16/02/1205331602.db2.gz LIUSDKKMYHTNNY-PXNSSMCTSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@]2(C1)CN(CCCCCC)CCO2 ZINC001734137642 1205331953 /nfs/dbraw/zinc/33/19/53/1205331953.db2.gz MKQZDDOLMJCNTL-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](CNC/C(Cl)=C/Cl)C2)C1 ZINC001734215253 1205344600 /nfs/dbraw/zinc/34/46/00/1205344600.db2.gz BROXERJQXDATTQ-IKDFDVDSSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNCc1nccs1 ZINC001734248146 1205349321 /nfs/dbraw/zinc/34/93/21/1205349321.db2.gz PZKQWZDLBWZVQV-CYBMUJFWSA-N 0 1 309.479 3.120 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H](C)c1ccccc1 ZINC001734309748 1205361896 /nfs/dbraw/zinc/36/18/96/1205361896.db2.gz CTNYDCPZHXWZJM-PBHICJAKSA-N 0 1 320.864 3.369 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1cccc(Cl)c1F ZINC001734320266 1205364130 /nfs/dbraw/zinc/36/41/30/1205364130.db2.gz KQENLNRVNQOBLP-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1cc(O)ccc1Cl ZINC001734325996 1205364998 /nfs/dbraw/zinc/36/49/98/1205364998.db2.gz ILBXZBIEVNUBDP-ZDUSSCGKSA-N 0 1 324.852 3.338 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2cc(C)cn2c1 ZINC001734328920 1205365430 /nfs/dbraw/zinc/36/54/30/1205365430.db2.gz SZELBYHBMYBFGH-CQSZACIVSA-N 0 1 319.836 3.050 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2c(F)cccc2F)[C@H]1C ZINC001734393184 1205371880 /nfs/dbraw/zinc/37/18/80/1205371880.db2.gz FYJAFPRDIADVIG-DYVFJYSZSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1sccc1Cl)C(C)C ZINC001734548303 1205390083 /nfs/dbraw/zinc/39/00/83/1205390083.db2.gz WGVXPGVXEQIVIF-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN C#CCN(C)CCN(C(=O)C/C=C\c1ccc(C)cc1)C(C)C ZINC001734552954 1205392079 /nfs/dbraw/zinc/39/20/79/1205392079.db2.gz ILCJBCULBMBDJQ-FPLPWBNLSA-N 0 1 312.457 3.200 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C(F)F)cc1)C(C)C ZINC001734554518 1205392551 /nfs/dbraw/zinc/39/25/51/1205392551.db2.gz VINSNNBLEVTDCB-UHFFFAOYSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001734566971 1205395923 /nfs/dbraw/zinc/39/59/23/1205395923.db2.gz BBMJFVYTYTYFHK-ZBFHGGJFSA-N 0 1 321.465 3.206 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)CC(C)(C)C)CC1 ZINC001734637587 1205408358 /nfs/dbraw/zinc/40/83/58/1205408358.db2.gz YMNYKNJVHUSPER-UHFFFAOYSA-N 0 1 304.478 3.220 20 30 DGEDMN CC(C)CN1CCN(c2nc(Cl)cc(Cl)c2C#N)CC1 ZINC001164657364 719339670 /nfs/dbraw/zinc/33/96/70/719339670.db2.gz JIWPVCIXPYZPNP-UHFFFAOYSA-N 0 1 313.232 3.038 20 30 DGEDMN CCN(CCC#N)c1ccc2c(n1)CCN(Cc1ccccc1)C2 ZINC001165047656 721986852 /nfs/dbraw/zinc/98/68/52/721986852.db2.gz CIHVZJMCAAZOBR-UHFFFAOYSA-N 0 1 320.440 3.380 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001669568700 1197462843 /nfs/dbraw/zinc/46/28/43/1197462843.db2.gz KAKCUUUTRYYENL-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN N#CCC[C@H](C#N)C[NH+]1CCC(c2ccccc2C(=O)[O-])CC1 ZINC001611291367 970977060 /nfs/dbraw/zinc/97/70/60/970977060.db2.gz RAGHGCBXCKBLMF-CQSZACIVSA-N 0 1 311.385 3.008 20 30 DGEDMN CC(C)(C)C[N@@H+](CC(=O)[O-])Cc1cc(Cl)ccc1OCC#N ZINC001602867323 971728447 /nfs/dbraw/zinc/72/84/47/971728447.db2.gz HKPZNJBTBBUUDA-UHFFFAOYSA-N 0 1 324.808 3.175 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1c(C(=O)[O-])sc2cccc(F)c21 ZINC000382481005 971816603 /nfs/dbraw/zinc/81/66/03/971816603.db2.gz OPQNMTIYGPKOFT-UHFFFAOYSA-N 0 1 323.389 3.373 20 30 DGEDMN C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cc1ccccc1C(C)(C)C#N ZINC001589308517 953774586 /nfs/dbraw/zinc/77/45/86/953774586.db2.gz UUSYHCRBIIDJSJ-DZGCQCFKSA-N 0 1 300.402 3.173 20 30 DGEDMN C[C@@H]1CCC[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)[C@H]1C(=O)[O-] ZINC001589333853 953970523 /nfs/dbraw/zinc/97/05/23/953970523.db2.gz CDOQSPLVYNHUCJ-CZUORRHYSA-N 0 1 300.402 3.173 20 30 DGEDMN C=CCCC[N@H+](CC)Cc1ccc(N2CCC[C@@H](C(=O)[O-])C2)o1 ZINC001588459958 958471142 /nfs/dbraw/zinc/47/11/42/958471142.db2.gz NDLUZODPDPRMIC-OAHLLOKOSA-N 0 1 320.433 3.369 20 30 DGEDMN C[N@@H+](CCC(=O)[O-])CCC(C#N)(c1ccccc1)c1ccccc1 ZINC001574004771 961890238 /nfs/dbraw/zinc/89/02/38/961890238.db2.gz OIJQYOTXJCWREB-UHFFFAOYSA-N 0 1 322.408 3.293 20 30 DGEDMN CCC[N@@H+](Cc1ccc(C(=O)[O-])n1C)Cc1ccc(C#N)cc1 ZINC001595885948 982911834 /nfs/dbraw/zinc/91/18/34/982911834.db2.gz OVBSCJOYLPVYQT-UHFFFAOYSA-N 0 1 311.385 3.007 20 30 DGEDMN C#C[C@@](C)(CC)[NH2+]Cc1csc(-c2cccc(C(=O)[O-])c2)n1 ZINC001588367256 983297736 /nfs/dbraw/zinc/29/77/36/983297736.db2.gz NCIPPIWQEDOKAB-KRWDZBQOSA-N 0 1 314.410 3.400 20 30 DGEDMN C#C[C@H]([NH2+]Cc1cc(C(=O)[O-])c(C)[nH]1)c1ccc(Cl)cc1 ZINC001588377711 983358613 /nfs/dbraw/zinc/35/86/13/983358613.db2.gz QBIVSRQETGYCNQ-HNNXBMFYSA-N 0 1 302.761 3.139 20 30 DGEDMN CC[N@@H+](CCc1ccccc1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001596347684 983799647 /nfs/dbraw/zinc/79/96/47/983799647.db2.gz MUKNYWQTGCJYNF-GOSISDBHSA-N 0 1 308.381 3.249 20 30 DGEDMN C[C@@H](C#N)C[N@H+](Cc1ccnc2c(C(=O)[O-])cccc12)C1CC1 ZINC001589330707 986177288 /nfs/dbraw/zinc/17/72/88/986177288.db2.gz NWJLRUYCTVEPDT-LBPRGKRZSA-N 0 1 309.369 3.057 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](Cc1ccnc2c(C(=O)[O-])cccc12)C1CC1 ZINC001589330707 986177293 /nfs/dbraw/zinc/17/72/93/986177293.db2.gz NWJLRUYCTVEPDT-LBPRGKRZSA-N 0 1 309.369 3.057 20 30 DGEDMN CCC#C[C@H](C)[N@@H+]1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1 ZINC001594691977 987097689 /nfs/dbraw/zinc/09/76/89/987097689.db2.gz PICORRXVQKZPCY-VBNZEHGJSA-N 0 1 305.805 3.242 20 30 DGEDMN C[C@@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1ccc2c(c1)CCO2 ZINC001599841701 988849872 /nfs/dbraw/zinc/84/98/72/988849872.db2.gz FVMDBSGJSRIFSA-GFCCVEGCSA-N 0 1 322.364 3.042 20 30 DGEDMN CC(C)([NH2+][C@@H](C(=O)[O-])c1ccc(C#N)cc1)c1cccs1 ZINC001590866817 990762362 /nfs/dbraw/zinc/76/23/62/990762362.db2.gz BFGBYDLDXRMEEY-CQSZACIVSA-N 0 1 300.383 3.270 20 30 DGEDMN C[N@@H+](C[C@H]1CCc2ccccc21)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001598468122 991982553 /nfs/dbraw/zinc/98/25/53/991982553.db2.gz SVWOEMWSDHVVFE-MJGOQNOKSA-N 0 1 320.392 3.346 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001154751494 1088742677 /nfs/dbraw/zinc/74/26/77/1088742677.db2.gz YLLPPVWOVBFGKI-OLZOCXBDSA-N 0 1 308.388 3.368 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1(C(C)(F)F)CC1 ZINC001277693365 1098105227 /nfs/dbraw/zinc/10/52/27/1098105227.db2.gz LHYHWSXJLHTACK-LBPRGKRZSA-N 0 1 320.811 3.001 20 30 DGEDMN N#CCc1ccc(S(=O)(=O)Nc2cncc3ccccc32)cc1 ZINC000396476122 1081581765 /nfs/dbraw/zinc/58/17/65/1081581765.db2.gz UPVIFSDOXYLIST-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCNCc1nc(C)oc1C ZINC001169704503 1081634146 /nfs/dbraw/zinc/63/41/46/1081634146.db2.gz BUHFDILENSJICO-ZDUSSCGKSA-N 0 1 307.438 3.022 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001266290533 1081635939 /nfs/dbraw/zinc/63/59/39/1081635939.db2.gz CRQTZLRDXVHRBI-GOSISDBHSA-N 0 1 319.424 3.025 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccccc3OCC#N)sc2C1 ZINC001212596177 1081667636 /nfs/dbraw/zinc/66/76/36/1081667636.db2.gz GPARRWRABHRDJK-UHFFFAOYSA-N 0 1 324.409 3.254 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001266336620 1081677619 /nfs/dbraw/zinc/67/76/19/1081677619.db2.gz SHEXVVUIMUPSPH-WMLDXEAASA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCCCc2cccs2)C1 ZINC001266346567 1081687627 /nfs/dbraw/zinc/68/76/27/1081687627.db2.gz CZYNAEZTONEBSD-HNNXBMFYSA-N 0 1 306.475 3.228 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c(C)nn(C(=O)OC(C)(C)C)c2C)C1 ZINC001206812656 1081703449 /nfs/dbraw/zinc/70/34/49/1081703449.db2.gz OAZXTKGBKNFHOC-HNNXBMFYSA-N 0 1 317.433 3.128 20 30 DGEDMN C=CCCCCCCCCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001209442361 1081754545 /nfs/dbraw/zinc/75/45/45/1081754545.db2.gz GVUSHWWCJLMJTJ-GOSISDBHSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1nc(C)cs1)C1CC1 ZINC001266412613 1081769486 /nfs/dbraw/zinc/76/94/86/1081769486.db2.gz WVALYKUFKNLZKQ-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(CC)Cc1ccc(C)nc1C ZINC001266421353 1081779697 /nfs/dbraw/zinc/77/96/97/1081779697.db2.gz UYKSCJCYQONNKA-UHFFFAOYSA-N 0 1 317.477 3.239 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H](NCc1ccccc1C#N)C1CC1 ZINC001266423164 1081782555 /nfs/dbraw/zinc/78/25/55/1081782555.db2.gz BZXOINCPDVHTHW-YCZZBHQNSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@@H]1CCCC1(F)F)C1CC1 ZINC001266427066 1081788879 /nfs/dbraw/zinc/78/88/79/1081788879.db2.gz UAJFBCUFULAAGB-QWHCGFSZSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@H]1CCCC1(F)F)C1CC1 ZINC001266427065 1081789133 /nfs/dbraw/zinc/78/91/33/1081789133.db2.gz UAJFBCUFULAAGB-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN Cc1ccc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC(C)(C)C)C3)cc1 ZINC001109259406 1081792754 /nfs/dbraw/zinc/79/27/54/1081792754.db2.gz BMUBRLKTOJGUME-QYZOEREBSA-N 0 1 324.468 3.266 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC[C@@H](C)CC)C2 ZINC001109332959 1081801044 /nfs/dbraw/zinc/80/10/44/1081801044.db2.gz JAWVCRKYKNOFCF-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1c(C)cc(C)cc1C)C1CC1 ZINC001266441453 1081822121 /nfs/dbraw/zinc/82/21/21/1081822121.db2.gz FVNCBKHXOXAQML-INIZCTEOSA-N 0 1 320.864 3.462 20 30 DGEDMN Cc1cc(CN2CCC[C@H]2CNC(=O)C#CC(C)(C)C)cs1 ZINC001266461530 1081857906 /nfs/dbraw/zinc/85/79/06/1081857906.db2.gz RACRUASBYWXIBW-INIZCTEOSA-N 0 1 318.486 3.187 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cccc(Cl)c1)C2 ZINC001109576349 1081870212 /nfs/dbraw/zinc/87/02/12/1081870212.db2.gz ZSZSZABHAGLSFY-IKGGRYGDSA-N 0 1 318.848 3.180 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@H](O)[C@H](C)c1ccccc1 ZINC001167273594 1081876301 /nfs/dbraw/zinc/87/63/01/1081876301.db2.gz MPGTXCXYHYAICS-UZLBHIALSA-N 0 1 311.400 3.426 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCC(F)(F)F ZINC001109633052 1081890525 /nfs/dbraw/zinc/89/05/25/1081890525.db2.gz MWUJWGQWXXJNGM-CRWXNKLISA-N 0 1 318.383 3.263 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109633052 1081890531 /nfs/dbraw/zinc/89/05/31/1081890531.db2.gz MWUJWGQWXXJNGM-CRWXNKLISA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCCCCN1CCN(C(=O)Cc2ccc(C)cc2)CC1 ZINC001167280389 1081894497 /nfs/dbraw/zinc/89/44/97/1081894497.db2.gz VQNZZQZMIMCNMO-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)Cc1ccccc1)C2 ZINC001109776586 1081912117 /nfs/dbraw/zinc/91/21/17/1081912117.db2.gz UNBBGGUNOPDFCH-RDGPPVDQSA-N 0 1 312.457 3.163 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCCC(C)C)C2 ZINC001109806085 1081917117 /nfs/dbraw/zinc/91/71/17/1081917117.db2.gz NWDLFENXNYQAMC-SQNIBIBYSA-N 0 1 304.478 3.338 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CCCCC)C2 ZINC001109806915 1081917170 /nfs/dbraw/zinc/91/71/70/1081917170.db2.gz WFBSVTUAZILELF-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#CC)CCCCC1 ZINC001109830079 1081921636 /nfs/dbraw/zinc/92/16/36/1081921636.db2.gz KQRPSJYAPAEYOY-SQNIBIBYSA-N 0 1 314.473 3.258 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1(C=C)CCCCC1)C2 ZINC001109834310 1081922493 /nfs/dbraw/zinc/92/24/93/1081922493.db2.gz VSBMIRKTGIKXQV-IKGGRYGDSA-N 0 1 302.462 3.421 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CCCCCC)C2 ZINC001109869789 1081925651 /nfs/dbraw/zinc/92/56/51/1081925651.db2.gz CTNZEGSWBLZAJE-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN O=C(CCCC1CC1)NC[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1 ZINC001266524899 1081945360 /nfs/dbraw/zinc/94/53/60/1081945360.db2.gz AAGYLKVXZIBCJA-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN O=C(CCCC1CC1)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001266524899 1081945365 /nfs/dbraw/zinc/94/53/65/1081945365.db2.gz AAGYLKVXZIBCJA-FQEVSTJZSA-N 0 1 324.468 3.057 20 30 DGEDMN N#Cc1cc(CNCc2cccc(Cn3cccn3)c2)cs1 ZINC001648891806 1081956117 /nfs/dbraw/zinc/95/61/17/1081956117.db2.gz QSCNTRHEEJXIQE-UHFFFAOYSA-N 0 1 308.410 3.154 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CCC)c1ccccc1)C2 ZINC001110067543 1081958876 /nfs/dbraw/zinc/95/88/76/1081958876.db2.gz HGJKVHSMURAWHU-YRXWBPOGSA-N 0 1 312.457 3.478 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2ccoc2)CC1 ZINC001112762110 1081998534 /nfs/dbraw/zinc/99/85/34/1081998534.db2.gz ZGYMDIKTZHNLJN-UHFFFAOYSA-N 0 1 306.450 3.327 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccc(C)o2)CC1 ZINC001112769901 1081999692 /nfs/dbraw/zinc/99/96/92/1081999692.db2.gz GIMROOHXDYTKJV-UHFFFAOYSA-N 0 1 306.450 3.245 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC[C@@H]2CCC[C@H](C)C2)CC1 ZINC001112809749 1082008179 /nfs/dbraw/zinc/00/81/79/1082008179.db2.gz PBUQNQWMMMJRBU-ROUUACIJSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001112850978 1082023009 /nfs/dbraw/zinc/02/30/09/1082023009.db2.gz OKQVRQIMYDIASC-MSOLQXFVSA-N 0 1 324.509 3.471 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2OCC[C@H]2C(C)C)CC1 ZINC001112862548 1082026952 /nfs/dbraw/zinc/02/69/52/1082026952.db2.gz PUOZLRYAGYSPCY-ROUUACIJSA-N 0 1 324.509 3.162 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](CC)CC(F)F)CC1 ZINC001112954960 1082061152 /nfs/dbraw/zinc/06/11/52/1082061152.db2.gz MYODPJQKGSWLQP-UONOGXRCSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(F)ccc2F)CC1 ZINC001112967270 1082068147 /nfs/dbraw/zinc/06/81/47/1082068147.db2.gz HFBJZJNRANEDPU-CYBMUJFWSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(CCCCCC)CC1 ZINC001112970176 1082071400 /nfs/dbraw/zinc/07/14/00/1082071400.db2.gz WZBFSURCBGWNAF-UHFFFAOYSA-N 0 1 303.450 3.012 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](OC)[C@@H](C)CC)CC1 ZINC001113046608 1082100388 /nfs/dbraw/zinc/10/03/88/1082100388.db2.gz CPJAIIQKEOYJDK-DLBZAZTESA-N 0 1 312.498 3.162 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccc(C)o2)C1 ZINC001086573602 1082104026 /nfs/dbraw/zinc/10/40/26/1082104026.db2.gz DMHROIVNGNPQKP-ZWKOTPCHSA-N 0 1 324.424 3.362 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2c[nH]nc2C(C)C)CC1 ZINC001113059191 1082104868 /nfs/dbraw/zinc/10/48/68/1082104868.db2.gz SIVXNHCUDSWDGH-UHFFFAOYSA-N 0 1 318.465 3.037 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](c2ccccc2)C2CC2)CC1 ZINC001113100985 1082115756 /nfs/dbraw/zinc/11/57/56/1082115756.db2.gz XGQAJWZIHLKOMN-IBGZPJMESA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cnc3ccccc3c2C)CC1 ZINC001113117717 1082118436 /nfs/dbraw/zinc/11/84/36/1082118436.db2.gz IIPFJBARZPKTBL-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001113133865 1082122423 /nfs/dbraw/zinc/12/24/23/1082122423.db2.gz FGDDBTNJXRYYCW-FJIDUMEYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(C3CCC3)CCC2)CC1 ZINC001113161887 1082128876 /nfs/dbraw/zinc/12/88/76/1082128876.db2.gz NRYNDBNPOXJMET-MRXNPFEDSA-N 0 1 304.478 3.456 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CC/C=C/CCC)CC1 ZINC001113205493 1082139160 /nfs/dbraw/zinc/13/91/60/1082139160.db2.gz TYWDBYWOULPDMZ-CSKARUKUSA-N 0 1 304.478 3.461 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@@H](CC)c2ccccc2)CC1 ZINC001113218558 1082142087 /nfs/dbraw/zinc/14/20/87/1082142087.db2.gz DXHIFHXIGTVOLZ-IBGZPJMESA-N 0 1 312.457 3.476 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc[nH]c2C2CC2)CC1 ZINC001113263313 1082159337 /nfs/dbraw/zinc/15/93/37/1082159337.db2.gz PMTYHDJLHBOSBK-AWEZNQCLSA-N 0 1 301.434 3.005 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1CCC)C2 ZINC001098211808 1082163742 /nfs/dbraw/zinc/16/37/42/1082163742.db2.gz AQBSACYJMAQPII-BMFZPTHFSA-N 0 1 302.418 3.143 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCCc2ccc(C)cc2)CC1 ZINC001113291951 1082166968 /nfs/dbraw/zinc/16/69/68/1082166968.db2.gz KOUSGWXPVGGDOH-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccccc2-n2cccc2)CC1 ZINC001113287499 1082170302 /nfs/dbraw/zinc/17/03/02/1082170302.db2.gz AIPCSRQJLPSJPI-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CCCC1(C(=O)N2CCN(CC3CC(C)(C)C3)CC2)CC1 ZINC001113312593 1082175705 /nfs/dbraw/zinc/17/57/05/1082175705.db2.gz DDDNUJXSEIGLFO-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001113315877 1082176997 /nfs/dbraw/zinc/17/69/97/1082176997.db2.gz XERVBNWWMCGHMO-UHFFFAOYSA-N 0 1 309.841 3.172 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2occc2C)CC1 ZINC001113326544 1082178143 /nfs/dbraw/zinc/17/81/43/1082178143.db2.gz XZZJWAWRZPBWAX-UHFFFAOYSA-N 0 1 306.450 3.245 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@H]1CCC=CCCC1 ZINC001266739204 1082180174 /nfs/dbraw/zinc/18/01/74/1082180174.db2.gz XLQZHWYQIQMOSI-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2cccc(Cl)c2F)CC1 ZINC001113380256 1082190292 /nfs/dbraw/zinc/19/02/92/1082190292.db2.gz HILBLGBACWDIFK-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3cc[nH]c3c2)CC1 ZINC001113383482 1082192931 /nfs/dbraw/zinc/19/29/31/1082192931.db2.gz AIISAQRQEHAPHV-OAHLLOKOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC001113392582 1082194755 /nfs/dbraw/zinc/19/47/55/1082194755.db2.gz KKUKDNCGWCFLEK-IBGZPJMESA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN(C/C=C\Cl)C2)CCC1 ZINC001266760223 1082197269 /nfs/dbraw/zinc/19/72/69/1082197269.db2.gz AFERINAERWNALY-ANOSYLROSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN(C/C=C/Cl)C2)CCC1 ZINC001266760224 1082197835 /nfs/dbraw/zinc/19/78/35/1082197835.db2.gz AFERINAERWNALY-HYJLXUOHSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCCN1CCN(C(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001113404757 1082201458 /nfs/dbraw/zinc/20/14/58/1082201458.db2.gz FZFYVLKXBHVJCH-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)CC1 ZINC001113410200 1082205454 /nfs/dbraw/zinc/20/54/54/1082205454.db2.gz QTBMLSZIDXLIFA-JOCLIGHLSA-N 0 1 324.468 3.071 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)Cc2ccco2)CC1 ZINC001113421844 1082207774 /nfs/dbraw/zinc/20/77/74/1082207774.db2.gz DDPKKEGNXYVDLZ-QGZVFWFLSA-N 0 1 318.461 3.349 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cncc(Cl)c2)CC1 ZINC001113429086 1082209720 /nfs/dbraw/zinc/20/97/20/1082209720.db2.gz IVCWIRNMTBRKSC-UHFFFAOYSA-N 0 1 321.852 3.239 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)c2cc(C)oc2C)CC1 ZINC001113432352 1082210575 /nfs/dbraw/zinc/21/05/75/1082210575.db2.gz ZUHNPPXKJNHSOA-GDBMZVCRSA-N 0 1 318.461 3.499 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)C2CCC2)C1 ZINC001086595008 1082229800 /nfs/dbraw/zinc/22/98/00/1082229800.db2.gz GIDJYNVIDRUKRW-FFZOFVMBSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC001113494481 1082231122 /nfs/dbraw/zinc/23/11/22/1082231122.db2.gz YRCMZNKFGPHYGN-QGZVFWFLSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3c([nH]2)CCCC3)CC1 ZINC001113504231 1082235621 /nfs/dbraw/zinc/23/56/21/1082235621.db2.gz DLMXJGANKDMXFM-HNNXBMFYSA-N 0 1 315.461 3.006 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ncsc2C(C)C)CC1 ZINC001113525441 1082238504 /nfs/dbraw/zinc/23/85/04/1082238504.db2.gz RTAAZXCCTQBERD-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2(CCOC)CCC2)CC1 ZINC001113583429 1082255432 /nfs/dbraw/zinc/25/54/32/1082255432.db2.gz LBKICTZDGIOTCG-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(CC)cc2)CC1 ZINC001113598899 1082262514 /nfs/dbraw/zinc/26/25/14/1082262514.db2.gz RLXKUMLILFLINE-MRXNPFEDSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)c(C)s2)CC1 ZINC001113614474 1082264986 /nfs/dbraw/zinc/26/49/86/1082264986.db2.gz IKGFVFDRZDQYIK-CQSZACIVSA-N 0 1 306.475 3.477 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCc2ccccc21 ZINC001266855311 1082270299 /nfs/dbraw/zinc/27/02/99/1082270299.db2.gz AMNMZDNSBJDDCQ-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)c2cccc(F)c2)CC1 ZINC001113656781 1082272867 /nfs/dbraw/zinc/27/28/67/1082272867.db2.gz UBUULOWZATWARO-JKSUJKDBSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CC[C@@H](C)F)CC1)c1ccccc1 ZINC001113667295 1082273506 /nfs/dbraw/zinc/27/35/06/1082273506.db2.gz XYWGMQLOSKRYIF-SJORKVTESA-N 0 1 318.436 3.239 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccccc2C)CC1 ZINC001113669864 1082274313 /nfs/dbraw/zinc/27/43/13/1082274313.db2.gz FKQUPXLFJZGBRG-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2sccc2CC)CC1 ZINC001113672228 1082278132 /nfs/dbraw/zinc/27/81/32/1082278132.db2.gz PPORELQUSKCXDI-CQSZACIVSA-N 0 1 306.475 3.423 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(c3cccc(C)c3)CC2)CC1 ZINC001113685354 1082279597 /nfs/dbraw/zinc/27/95/97/1082279597.db2.gz MYKHCMBIBGSMTJ-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)CC1 ZINC001113700215 1082287444 /nfs/dbraw/zinc/28/74/44/1082287444.db2.gz QPMRIWBCWNVSGJ-YANVKFGNSA-N 0 1 318.505 3.393 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001266869334 1082289266 /nfs/dbraw/zinc/28/92/66/1082289266.db2.gz VAVCFSVRTZBBQC-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)OCCC(C)C)CC1 ZINC001113716612 1082289605 /nfs/dbraw/zinc/28/96/05/1082289605.db2.gz FMCTYJXLCIBPDD-SFHVURJKSA-N 0 1 324.509 3.328 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1(C(C)(F)F)CC1 ZINC001266878759 1082297079 /nfs/dbraw/zinc/29/70/79/1082297079.db2.gz LYFPDYPLSVQVDU-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN CN1CC(CNc2c(F)c(F)c(C(F)(F)F)c(F)c2F)C1 ZINC001170256051 1082328573 /nfs/dbraw/zinc/32/85/73/1082328573.db2.gz AICCQVRZABOPBH-UHFFFAOYSA-N 0 1 316.220 3.235 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001266921242 1082341063 /nfs/dbraw/zinc/34/10/63/1082341063.db2.gz SOTGCEPLRWBQQH-OAHLLOKOSA-N 0 1 319.424 3.072 20 30 DGEDMN C[C@@H](N[C@@H](C)CNC(=O)C#CC1CC1)c1cccc(Cl)c1F ZINC001266937625 1082358000 /nfs/dbraw/zinc/35/80/00/1082358000.db2.gz MQVCOLXEVVOOIT-NWDGAFQWSA-N 0 1 322.811 3.048 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001086608841 1082375738 /nfs/dbraw/zinc/37/57/38/1082375738.db2.gz CVKGDPUFNXTZHV-BPQIPLTHSA-N 0 1 324.424 3.153 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1ccc(Cl)cc1F ZINC001266960533 1082377664 /nfs/dbraw/zinc/37/76/64/1082377664.db2.gz CWAPBFRIKXRVFT-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001266974202 1082392281 /nfs/dbraw/zinc/39/22/81/1082392281.db2.gz CEZQPWYVZRSESE-UKRRQHHQSA-N 0 1 308.853 3.417 20 30 DGEDMN COc1cccc(/C=N/C[C@@H](c2cccs2)N(C)C)c1O ZINC000005290709 1082410936 /nfs/dbraw/zinc/41/09/36/1082410936.db2.gz KUEZYIUURBMFLA-VZWMTCDZSA-N 0 1 304.415 3.184 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCCc1sccc1C ZINC001266990521 1082410975 /nfs/dbraw/zinc/41/09/75/1082410975.db2.gz QSPUOJRVNGDSEW-ZDUSSCGKSA-N 0 1 314.882 3.226 20 30 DGEDMN CC(C)(C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1)C1CCC1 ZINC001267015474 1082433942 /nfs/dbraw/zinc/43/39/42/1082433942.db2.gz BIEGEAGHLIALFW-IBGZPJMESA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001086617892 1082438519 /nfs/dbraw/zinc/43/85/19/1082438519.db2.gz DQBSKXODJRZAKZ-GBESFXJTSA-N 0 1 324.468 3.337 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001130059093 1082522778 /nfs/dbraw/zinc/52/27/78/1082522778.db2.gz VITXXYWHDBULCZ-UHFFFAOYSA-N 0 1 308.853 3.446 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001267108612 1082525805 /nfs/dbraw/zinc/52/58/05/1082525805.db2.gz PERBDJJSGQZZJH-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN N#Cc1ccccc1CNCCNC(=O)C1CCCCCCC1 ZINC001131145556 1082647023 /nfs/dbraw/zinc/64/70/23/1082647023.db2.gz AGLNSCGBTNCUKG-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN C=CCC1(C(=O)NCC[N@H+](C)Cc2cccc(F)c2)CCC1 ZINC001267215604 1082649145 /nfs/dbraw/zinc/64/91/45/1082649145.db2.gz MRXGIAYAVZFNQQ-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2cccc(F)c2)CCC1 ZINC001267215604 1082649147 /nfs/dbraw/zinc/64/91/47/1082649147.db2.gz MRXGIAYAVZFNQQ-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@@H](CC)CCCC ZINC001131484862 1082679893 /nfs/dbraw/zinc/67/98/93/1082679893.db2.gz OGWXDVVFSUVUHF-ZDUSSCGKSA-N 0 1 319.287 3.207 20 30 DGEDMN CC(C)c1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cn1 ZINC001131674261 1082704742 /nfs/dbraw/zinc/70/47/42/1082704742.db2.gz LRGKGWDSXPUOEW-UHFFFAOYSA-N 0 1 305.341 3.205 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131751531 1082718967 /nfs/dbraw/zinc/71/89/67/1082718967.db2.gz KXBXICHPWSMKTP-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN CCCCCCCN1C[C@H](NC(=O)c2cnns2)CC[C@@H]1C ZINC001131834086 1082743578 /nfs/dbraw/zinc/74/35/78/1082743578.db2.gz JLHDZRHPUGWQDV-UONOGXRCSA-N 0 1 324.494 3.091 20 30 DGEDMN Cc1sc(Cl)nc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131906874 1082759970 /nfs/dbraw/zinc/75/99/70/1082759970.db2.gz YVNMYCKYWFLSNG-UHFFFAOYSA-N 0 1 317.761 3.105 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1occc1C ZINC001267310777 1082775541 /nfs/dbraw/zinc/77/55/41/1082775541.db2.gz AUPPNTVPQYYMOG-UHFFFAOYSA-N 0 1 324.424 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)Cc2cnc(C)s2)C1 ZINC001267317370 1082788392 /nfs/dbraw/zinc/78/83/92/1082788392.db2.gz XJYJQSDQDDTPKV-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132072911 1082809168 /nfs/dbraw/zinc/80/91/68/1082809168.db2.gz RKOGWHXMEZNRGG-QWHCGFSZSA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132072817 1082809412 /nfs/dbraw/zinc/80/94/12/1082809412.db2.gz PFSCKGMSAOJWAX-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2cccnc2C)C1 ZINC001132064469 1082812218 /nfs/dbraw/zinc/81/22/18/1082812218.db2.gz KBSPLGPTHWFQCM-YJBOKZPZSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2ncccc2C)C1 ZINC001132063974 1082812352 /nfs/dbraw/zinc/81/23/52/1082812352.db2.gz BUPYYZSNQPLSQA-DLBZAZTESA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCc2ccsc2)CC[C@@H]1C ZINC001132114790 1082822720 /nfs/dbraw/zinc/82/27/20/1082822720.db2.gz IKXWTQHKYUQAQV-DOTOQJQBSA-N 0 1 318.486 3.063 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](F)CC)c1ccc(C(C)C)cc1 ZINC001267346599 1082823229 /nfs/dbraw/zinc/82/32/29/1082823229.db2.gz UBWHYDBDFOXZRK-ROUUACIJSA-N 0 1 318.436 3.328 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCc1ccccc1)c1ccccc1 ZINC001267376642 1082845468 /nfs/dbraw/zinc/84/54/68/1082845468.db2.gz HNWLQISDRRCUNO-HXUWFJFHSA-N 0 1 320.436 3.090 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2scnc2C)C1 ZINC001132256532 1082859501 /nfs/dbraw/zinc/85/95/01/1082859501.db2.gz OGTMNIMUODGRPR-DZGCQCFKSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132302417 1082871264 /nfs/dbraw/zinc/87/12/64/1082871264.db2.gz SDLKILHCGYMSPR-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN CC#CCCCC(=O)N(C)CCN[C@H](C)c1ccc(F)cc1F ZINC001267416936 1082872713 /nfs/dbraw/zinc/87/27/13/1082872713.db2.gz JEDKPVUGXDUBRZ-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132304098 1082876420 /nfs/dbraw/zinc/87/64/20/1082876420.db2.gz FIQVTOBINPLQBG-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC(C2CCC2)C2CCC2)CC[C@H]1C ZINC001132311511 1082878544 /nfs/dbraw/zinc/87/85/44/1082878544.db2.gz VCYMYFKAVRULAK-QAPCUYQASA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC(C2CCC2)C2CCC2)CC[C@@H]1C ZINC001132311512 1082878623 /nfs/dbraw/zinc/87/86/23/1082878623.db2.gz VCYMYFKAVRULAK-YJBOKZPZSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H](C)c1ccccc1C ZINC001267435853 1082882501 /nfs/dbraw/zinc/88/25/01/1082882501.db2.gz CNLVIOCWDDROPD-AWEZNQCLSA-N 0 1 308.853 3.289 20 30 DGEDMN CCC(=O)N[C@@H]1[C@@H]2CCCN(CC#Cc3ccccc3)[C@H]2C1(C)C ZINC001087263316 1082903814 /nfs/dbraw/zinc/90/38/14/1082903814.db2.gz PSVIBHPQGKELOL-DFQSSKMNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCc2cccs2)CC[C@H]1C ZINC001132469771 1082919975 /nfs/dbraw/zinc/91/99/75/1082919975.db2.gz WHEGFZPABLHJQN-HZPDHXFCSA-N 0 1 318.486 3.063 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC)CCCCC2)CC[C@@H]1C ZINC001132509483 1082931328 /nfs/dbraw/zinc/93/13/28/1082931328.db2.gz VWYFYTZZZQTPFU-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132569478 1082948280 /nfs/dbraw/zinc/94/82/80/1082948280.db2.gz SKGGAJOIBPLJRC-NEPJUHHUSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1sccc1Cl ZINC001132569221 1082948290 /nfs/dbraw/zinc/94/82/90/1082948290.db2.gz MVSLAQBQIRETSY-GHMZBOCLSA-N 0 1 300.855 3.066 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cccc(C)c2)C1 ZINC001267499990 1082958942 /nfs/dbraw/zinc/95/89/42/1082958942.db2.gz BCDSZCFATQYTNW-OAHLLOKOSA-N 0 1 306.837 3.189 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1sccc1Cl ZINC001132653311 1082967672 /nfs/dbraw/zinc/96/76/72/1082967672.db2.gz JMALMUASFZWLEW-NSHDSACASA-N 0 1 300.855 3.210 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132653015 1082967961 /nfs/dbraw/zinc/96/79/61/1082967961.db2.gz BTYJYOFHVLVKAS-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001132653560 1082968152 /nfs/dbraw/zinc/96/81/52/1082968152.db2.gz ONTJOZUBCYBGQU-BLLLJJGKSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2[C@@H]3CCCN(CCF)[C@@H]3C2(C)C)CC1 ZINC001087301798 1082971130 /nfs/dbraw/zinc/97/11/30/1082971130.db2.gz IZBXFUKAZLEGKY-BBWFWOEESA-N 0 1 322.468 3.308 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccoc1)c1ccc(C(C)C)cc1 ZINC001267512368 1082971969 /nfs/dbraw/zinc/97/19/69/1082971969.db2.gz INTUDZOGFASKHY-IBGZPJMESA-N 0 1 324.424 3.487 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1ccc(Cl)cc1OC ZINC001132660239 1082973489 /nfs/dbraw/zinc/97/34/89/1082973489.db2.gz LAEYXLCDWGTHLI-ZDUSSCGKSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001132659596 1082973524 /nfs/dbraw/zinc/97/35/24/1082973524.db2.gz BHMKOKDSCHGJAV-BLLLJJGKSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)cs2)C1 ZINC001267523700 1082984397 /nfs/dbraw/zinc/98/43/97/1082984397.db2.gz KXFLKJQPLPUJSP-CYBMUJFWSA-N 0 1 312.866 3.251 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)Cc1ccccc1C)c1ccccc1 ZINC001267525459 1082987694 /nfs/dbraw/zinc/98/76/94/1082987694.db2.gz MILGVMQLFADRTA-FQEVSTJZSA-N 0 1 320.436 3.008 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](CC)CC(F)F)C1 ZINC001267541838 1083008309 /nfs/dbraw/zinc/00/83/09/1083008309.db2.gz JKMRHHXDCLIKQL-HUUCEWRRSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc3ccsc3[nH]2)C1 ZINC001267547155 1083017094 /nfs/dbraw/zinc/01/70/94/1083017094.db2.gz VIMVCGPFUOKUSI-CQSZACIVSA-N 0 1 317.458 3.342 20 30 DGEDMN CCCC(CCC)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001133023307 1083030381 /nfs/dbraw/zinc/03/03/81/1083030381.db2.gz FOPTZRPYGRAMFR-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN CCCC(CCC)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001133023363 1083030624 /nfs/dbraw/zinc/03/06/24/1083030624.db2.gz IFBYQNNTELDIED-UHFFFAOYSA-N 0 1 318.436 3.099 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccsc2C)C1 ZINC001267581738 1083093558 /nfs/dbraw/zinc/09/35/58/1083093558.db2.gz DLNHIRQAPZYBIT-CYBMUJFWSA-N 0 1 312.866 3.203 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CN(C)CC=C(Cl)Cl)C1 ZINC001267586899 1083106890 /nfs/dbraw/zinc/10/68/90/1083106890.db2.gz BTCPSFXLIZZENG-GFCCVEGCSA-N 0 1 319.276 3.298 20 30 DGEDMN C=CCCC1(C(=O)NCCN[C@H](C)c2cc(F)ccc2F)CC1 ZINC001133378567 1083109278 /nfs/dbraw/zinc/10/92/78/1083109278.db2.gz HAZKAGMFMPFDTM-CYBMUJFWSA-N 0 1 322.399 3.478 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001133378360 1083109570 /nfs/dbraw/zinc/10/95/70/1083109570.db2.gz DHQBULFCJSISBM-MRXNPFEDSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2cc(Cl)ccc2F)CC1 ZINC001133376008 1083112846 /nfs/dbraw/zinc/11/28/46/1083112846.db2.gz RGRNLRALCUMVIL-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C1CCC(C(=O)NCCN[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001133376014 1083113297 /nfs/dbraw/zinc/11/32/97/1083113297.db2.gz RLSJTCAXHVNQFJ-ZDUSSCGKSA-N 0 1 322.399 3.478 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC1CCC(C)(C)CC1 ZINC001481073438 1083149634 /nfs/dbraw/zinc/14/96/34/1083149634.db2.gz MDLVBYMDUQRHAQ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001481104781 1083179016 /nfs/dbraw/zinc/17/90/16/1083179016.db2.gz FBKNFYOSOVYNDB-BDBSJLECSA-N 0 1 323.440 3.019 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1CCN(Cc2ccccc2C#N)C1 ZINC001481104783 1083179259 /nfs/dbraw/zinc/17/92/59/1083179259.db2.gz FBKNFYOSOVYNDB-QLGNDSFESA-N 0 1 323.440 3.019 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H]1CCN(C/C=C/Cl)C1)c1ccccc1 ZINC001481126976 1083202885 /nfs/dbraw/zinc/20/28/85/1083202885.db2.gz NVLMIZINSYCYSL-ADPSBFBKSA-N 0 1 318.848 3.147 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)CC(C)(C)C ZINC001481129811 1083204972 /nfs/dbraw/zinc/20/49/72/1083204972.db2.gz DOHCPZXNHLEYRO-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cnccc2C)C1 ZINC001267618166 1083217442 /nfs/dbraw/zinc/21/74/42/1083217442.db2.gz MXTGYMJTMDAASB-FUHWJXTLSA-N 0 1 315.461 3.073 20 30 DGEDMN Cc1cc(CN(CCNC(=O)C#CC(C)C)C2CC2)c(C)s1 ZINC001481168435 1083221842 /nfs/dbraw/zinc/22/18/42/1083221842.db2.gz XIAYJPCUDMPQFE-UHFFFAOYSA-N 0 1 318.486 3.105 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(Cc2ccc(F)cc2)C2CC2)C1 ZINC001481186695 1083230974 /nfs/dbraw/zinc/23/09/74/1083230974.db2.gz YXUVNCISVHRHMC-UHFFFAOYSA-N 0 1 316.420 3.263 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC001267628954 1083231551 /nfs/dbraw/zinc/23/15/51/1083231551.db2.gz GBYDBUOMOCFOOB-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(CC)c(CC)c1)C1CC1 ZINC001481199613 1083241713 /nfs/dbraw/zinc/24/17/13/1083241713.db2.gz UNAYQIHLBYJZQT-UHFFFAOYSA-N 0 1 312.457 3.029 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(c3ccccc3)CCC2)C1 ZINC001267652342 1083246734 /nfs/dbraw/zinc/24/67/34/1083246734.db2.gz PGTHMZVIFDUWEE-INIZCTEOSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(Cl)ccc2C)C1 ZINC001267662109 1083252240 /nfs/dbraw/zinc/25/22/40/1083252240.db2.gz PGXFSQRXDMXIGV-ZDUSSCGKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCc2cc(C)sc2C)C1 ZINC001267671423 1083256438 /nfs/dbraw/zinc/25/64/38/1083256438.db2.gz CILWQVMILUTNRZ-UHFFFAOYSA-N 0 1 320.502 3.454 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001267672240 1083256972 /nfs/dbraw/zinc/25/69/72/1083256972.db2.gz SHUKXWPFJNLITR-JEBQAFNWSA-N 0 1 316.420 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1c(C)cccc1Cl ZINC001134411674 1083285037 /nfs/dbraw/zinc/28/50/37/1083285037.db2.gz ATQAZMJTKYAWAF-UHFFFAOYSA-N 0 1 308.853 3.457 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C)o1 ZINC001134417900 1083286843 /nfs/dbraw/zinc/28/68/43/1083286843.db2.gz AXEOZQFPXBUMPS-KBPBESRZSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(CCCc2ccccc2Cl)C1 ZINC001267738476 1083300543 /nfs/dbraw/zinc/30/05/43/1083300543.db2.gz ZVNQRWPDDWYWAL-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001267769656 1083332542 /nfs/dbraw/zinc/33/25/42/1083332542.db2.gz CQJXUDBARQIPCT-KTZABMDBSA-N 0 1 324.468 3.426 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cccc(OC)c1Cl ZINC001481267005 1083344442 /nfs/dbraw/zinc/34/44/42/1083344442.db2.gz QNFVONSCPXBWOA-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)N[C@@H](C)c1cc(F)ccc1F ZINC001267798513 1083353906 /nfs/dbraw/zinc/35/39/06/1083353906.db2.gz ROCUTERRIUZEBY-GXTWGEPZSA-N 0 1 310.388 3.476 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001267799484 1083354206 /nfs/dbraw/zinc/35/42/06/1083354206.db2.gz YZBXKLNNAVHOOP-MSXUNZPYSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](CC)NCc2nc(C)cs2)CC1 ZINC001267818744 1083377337 /nfs/dbraw/zinc/37/73/37/1083377337.db2.gz NJWBAUMLXRJGNR-HNNXBMFYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1sccc1CC ZINC001267830684 1083404600 /nfs/dbraw/zinc/40/46/00/1083404600.db2.gz FWHVSVDUWZEUMW-LBPRGKRZSA-N 0 1 300.855 3.161 20 30 DGEDMN C=CC[C@H]1N(Cc2cc(C(=O)OCC)n[nH]2)CCCC1(C)C ZINC001181297767 1083442522 /nfs/dbraw/zinc/44/25/22/1083442522.db2.gz WASMTMDZFHBKMH-OAHLLOKOSA-N 0 1 305.422 3.153 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C[C@@H]1[C@@H](C(=O)OC)C1(F)F ZINC001181410518 1083460063 /nfs/dbraw/zinc/46/00/63/1083460063.db2.gz NPMPGSIQEGVTIM-CABCVRRESA-N 0 1 309.356 3.119 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ccc(F)cc2Cl)C1 ZINC001181511312 1083481708 /nfs/dbraw/zinc/48/17/08/1083481708.db2.gz KRMFYENKTINWIZ-CQSZACIVSA-N 0 1 310.800 3.136 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC001181542985 1083488030 /nfs/dbraw/zinc/48/80/30/1083488030.db2.gz JHMLZBYDWGDFNI-TZMCWYRMSA-N 0 1 308.372 3.183 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)Cc1ccc2[nH]c3ccccc3c2c1 ZINC001182068217 1083620251 /nfs/dbraw/zinc/62/02/51/1083620251.db2.gz ZOBODYHMTMMVNC-UHFFFAOYSA-N 0 1 315.336 3.097 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@@H]3C[C@H]3c3ccccc3)C2)cc1 ZINC001182216109 1083652585 /nfs/dbraw/zinc/65/25/85/1083652585.db2.gz MQPDUKVALIPKSP-PXNSSMCTSA-N 0 1 304.393 3.425 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CNCc1nc(C)cs1 ZINC001267963954 1083660058 /nfs/dbraw/zinc/66/00/58/1083660058.db2.gz XJUYFCRWCLSVRW-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN CCCC[C@@H](C)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001182277582 1083663263 /nfs/dbraw/zinc/66/32/63/1083663263.db2.gz HOPSNLOGGRBENS-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2cc(Cl)cs2)C1 ZINC001267969302 1083671921 /nfs/dbraw/zinc/67/19/21/1083671921.db2.gz HZLJAKMGEWSOME-CYBMUJFWSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001267969804 1083672327 /nfs/dbraw/zinc/67/23/27/1083672327.db2.gz NNFIIIDTMNDTKG-RTBURBONSA-N 0 1 314.473 3.412 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001211657901 1083673428 /nfs/dbraw/zinc/67/34/28/1083673428.db2.gz RYSHQRVKBHNXFR-BPQIPLTHSA-N 0 1 318.436 3.142 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182844515 1083808234 /nfs/dbraw/zinc/80/82/34/1083808234.db2.gz KYVCVRBVVDXZKB-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001182818678 1083811177 /nfs/dbraw/zinc/81/11/77/1083811177.db2.gz MTECKXFNGCCDIK-QGZVFWFLSA-N 0 1 316.420 3.285 20 30 DGEDMN C=C(C[NH+]1CCCC1)C(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001183079927 1083865556 /nfs/dbraw/zinc/86/55/56/1083865556.db2.gz HSQUGGRRWYKLPN-UHFFFAOYSA-N 0 1 314.307 3.002 20 30 DGEDMN N#CC[C@H](C(=O)Nc1cccc(-c2nnc[nH]2)c1)c1ccccc1 ZINC001126142680 1083867456 /nfs/dbraw/zinc/86/74/56/1083867456.db2.gz GUAVFBZSTYEZKO-INIZCTEOSA-N 0 1 317.352 3.108 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC2CC(C)(C)C2)C1 ZINC001481405456 1083876038 /nfs/dbraw/zinc/87/60/38/1083876038.db2.gz GRYLRWCKIFMSPZ-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)cc2C)C1 ZINC001481410494 1083881041 /nfs/dbraw/zinc/88/10/41/1083881041.db2.gz ILXLDMJCUJNTSG-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN CC#CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C=C2CCC2)C1 ZINC001087424705 1083965624 /nfs/dbraw/zinc/96/56/24/1083965624.db2.gz MJQWJQHDUHIUBB-WOJBJXKFSA-N 0 1 322.452 3.094 20 30 DGEDMN C[C@@H](c1ccccc1)N1CCN(Cc2csc(C#N)c2)CC1 ZINC001183533299 1083974032 /nfs/dbraw/zinc/97/40/32/1083974032.db2.gz JXOBCBLDNHMANC-HNNXBMFYSA-N 0 1 311.454 3.499 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CC(C)(C)CC(F)F)CC2 ZINC001268044801 1083980663 /nfs/dbraw/zinc/98/06/63/1083980663.db2.gz JRWDRWAGIRJXBE-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)C1 ZINC001481527705 1084081677 /nfs/dbraw/zinc/08/16/77/1084081677.db2.gz FNVOPJHLTKVQJW-MRXNPFEDSA-N 0 1 304.459 3.022 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCCc2ccc(C)cc2)C1 ZINC001481530281 1084083333 /nfs/dbraw/zinc/08/33/33/1084083333.db2.gz FNBOXSSTYYFBDI-LJQANCHMSA-N 0 1 314.473 3.474 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(Cl)cc1 ZINC001268113537 1084188559 /nfs/dbraw/zinc/18/85/59/1084188559.db2.gz QWAMSBXLJPXUHT-NSHDSACASA-N 0 1 301.217 3.143 20 30 DGEDMN CC1(C)CCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001184471496 1084191308 /nfs/dbraw/zinc/19/13/08/1084191308.db2.gz UQGYESGFGCYQNZ-ZDUSSCGKSA-N 0 1 309.373 3.108 20 30 DGEDMN Cc1cc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)ccc1F ZINC001184470932 1084191578 /nfs/dbraw/zinc/19/15/78/1084191578.db2.gz PQUWJQGNDLXUPQ-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC1(F)F)C1CCCCC1 ZINC001268121953 1084202835 /nfs/dbraw/zinc/20/28/35/1084202835.db2.gz WKTCSAZBVCGZOF-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CNCc2cscn2)[C@H](C)C1 ZINC001184515209 1084208622 /nfs/dbraw/zinc/20/86/22/1084208622.db2.gz ZGGBZUXHAYYKDI-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001273488958 1084222934 /nfs/dbraw/zinc/22/29/34/1084222934.db2.gz UKKBLRNABGPHEU-VGOFMYFVSA-N 0 1 319.474 3.256 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001481589861 1084230861 /nfs/dbraw/zinc/23/08/61/1084230861.db2.gz KXAUGOXHOQTQLI-KBPBESRZSA-N 0 1 304.409 3.084 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CCNCc1ncc(CC)o1 ZINC001268145452 1084231669 /nfs/dbraw/zinc/23/16/69/1084231669.db2.gz MTDTXMPMJSGXMA-HNNXBMFYSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC001185064622 1084327884 /nfs/dbraw/zinc/32/78/84/1084327884.db2.gz TZOUYAZHMZAHFJ-DZGCQCFKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001268237063 1084382832 /nfs/dbraw/zinc/38/28/32/1084382832.db2.gz UUWXFCZSPNYFMF-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001268245128 1084396760 /nfs/dbraw/zinc/39/67/60/1084396760.db2.gz MDSLNIIKOXZZTA-UHFFFAOYSA-N 0 1 324.415 3.457 20 30 DGEDMN CC#CCCCC(=O)N(C)CC[N@@H+](C)Cc1cccc(C)c1 ZINC001268244555 1084397035 /nfs/dbraw/zinc/39/70/35/1084397035.db2.gz DGNAXJUVQTYDFZ-UHFFFAOYSA-N 0 1 300.446 3.079 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001481654130 1084442788 /nfs/dbraw/zinc/44/27/88/1084442788.db2.gz IUSXMAXBJUOHHV-CQSZACIVSA-N 0 1 312.413 3.160 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](NCC=C(Cl)Cl)C2)CCC1 ZINC001185468093 1084449053 /nfs/dbraw/zinc/44/90/53/1084449053.db2.gz CLKZBCWOMTZCIK-GFCCVEGCSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001481672246 1084468018 /nfs/dbraw/zinc/46/80/18/1084468018.db2.gz ZYKOBPKBUFATFR-GJZGRUSLSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC/C=C\c2ccccc2)C1 ZINC001185616678 1084488113 /nfs/dbraw/zinc/48/81/13/1084488113.db2.gz RQSYVBNTWGKEEQ-VWTAQGJOSA-N 0 1 318.848 3.423 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H](C)c2ccc(CC)cc2)C1 ZINC001481706365 1084498559 /nfs/dbraw/zinc/49/85/59/1084498559.db2.gz DHUQJPAIYZXIII-CRAIPNDOSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C[C@H](C)c2ccc(CC)cc2)C1 ZINC001481706367 1084498891 /nfs/dbraw/zinc/49/88/91/1084498891.db2.gz DHUQJPAIYZXIII-MAUKXSAKSA-N 0 1 300.446 3.119 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481739296 1084555468 /nfs/dbraw/zinc/55/54/68/1084555468.db2.gz VIGZUELUHGTLSX-KRWDZBQOSA-N 0 1 313.445 3.027 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1Cl ZINC001268435667 1084595660 /nfs/dbraw/zinc/59/56/60/1084595660.db2.gz DOBYTSPIYLNARW-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2nccc3ccccc32)C1 ZINC001268438231 1084598066 /nfs/dbraw/zinc/59/80/66/1084598066.db2.gz PIMWUCOUKLERBT-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCC#Cc1cccc(Cl)c1 ZINC001268440973 1084600164 /nfs/dbraw/zinc/60/01/64/1084600164.db2.gz LEBQCDAWYFJYPM-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C#CCCCCC(=O)N(CC)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001481765985 1084615816 /nfs/dbraw/zinc/61/58/16/1084615816.db2.gz ZYQWEWYORYQRSM-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001481775256 1084627622 /nfs/dbraw/zinc/62/76/22/1084627622.db2.gz MFHVWXDKXUPHCX-ROUUACIJSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001481777476 1084628729 /nfs/dbraw/zinc/62/87/29/1084628729.db2.gz OAVPSFOLOSWRMR-LPHOPBHVSA-N 0 1 314.473 3.461 20 30 DGEDMN N#Cc1c(NC(=O)c2ccc(O)cc2F)sc2c1CCC2 ZINC001186310577 1084631282 /nfs/dbraw/zinc/63/12/82/1084631282.db2.gz GGEPXGNLHNJFOG-UHFFFAOYSA-N 0 1 302.330 3.205 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1cccc(F)c1F ZINC001481840618 1084658831 /nfs/dbraw/zinc/65/88/31/1084658831.db2.gz LDGHTNLPRRVGAB-CYBMUJFWSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CC2CC2)C1 ZINC001087452443 1084665832 /nfs/dbraw/zinc/66/58/32/1084665832.db2.gz UBTZEVJQBRUPHE-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CC2CC2)C1 ZINC001087452443 1084665836 /nfs/dbraw/zinc/66/58/36/1084665836.db2.gz UBTZEVJQBRUPHE-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(Cl)c(C(F)(F)F)c1 ZINC001186622984 1084675863 /nfs/dbraw/zinc/67/58/63/1084675863.db2.gz NMJSAMUGGAUTAI-UHFFFAOYSA-N 0 1 314.654 3.206 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001481881068 1084682023 /nfs/dbraw/zinc/68/20/23/1084682023.db2.gz IJNCPCZSUYEEJR-INIZCTEOSA-N 0 1 320.864 3.264 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(Cl)s1 ZINC001481877872 1084684410 /nfs/dbraw/zinc/68/44/10/1084684410.db2.gz VBNVVTOZPBMXMT-VIFPVBQESA-N 0 1 307.246 3.252 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN([C@H](C)c3ccccc3F)C[C@H]21 ZINC001187210767 1084776229 /nfs/dbraw/zinc/77/62/29/1084776229.db2.gz YVXLZGXMDIGXJL-RVKKMQEKSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CC[C@@H](NC(=O)Cc1[nH]nc2ccc(O)cc21)c1ccccc1 ZINC001187254025 1084783042 /nfs/dbraw/zinc/78/30/42/1084783042.db2.gz MCJXWDKWBSXXDA-MRXNPFEDSA-N 0 1 321.380 3.245 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc(CNCc2ccccn2)cc1 ZINC001482033706 1084784903 /nfs/dbraw/zinc/78/49/03/1084784903.db2.gz ZBCZBCOKUDHTQD-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(C3CC3)CC2)CC1 ZINC001482043740 1084796919 /nfs/dbraw/zinc/79/69/19/1084796919.db2.gz VVFIYCIJMBSONT-UHFFFAOYSA-N 0 1 310.869 3.147 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc2c(s1)CCC2 ZINC001482066251 1084817571 /nfs/dbraw/zinc/81/75/71/1084817571.db2.gz YDRGEZCFCWVZFZ-CYBMUJFWSA-N 0 1 324.877 3.184 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C(C)C)C1 ZINC001087461798 1084843545 /nfs/dbraw/zinc/84/35/45/1084843545.db2.gz CECNXENPUHTZCU-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccccc1OC(C)C ZINC001268615365 1084895163 /nfs/dbraw/zinc/89/51/63/1084895163.db2.gz BBCFPEWSQGRFPW-VOTSOKGWSA-N 0 1 322.836 3.102 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccccc1OC(C)C ZINC001268615364 1084895425 /nfs/dbraw/zinc/89/54/25/1084895425.db2.gz BBCFPEWSQGRFPW-SREVYHEPSA-N 0 1 322.836 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1ccc(C)cc1C ZINC001268697125 1084953327 /nfs/dbraw/zinc/95/33/27/1084953327.db2.gz GTZLSEGFGRBDPJ-HNNXBMFYSA-N 0 1 308.853 3.083 20 30 DGEDMN C=CC1(CC(=O)N[C@@H](C)CNCc2ccns2)CCCCC1 ZINC001268709330 1084965449 /nfs/dbraw/zinc/96/54/49/1084965449.db2.gz SBFDDZZCSLQXPN-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(C/C=C/c3ccccc3)C2)CCC1 ZINC001268874593 1085072153 /nfs/dbraw/zinc/07/21/53/1085072153.db2.gz UTFSRKHSDGCXBG-UXBLZVDNSA-N 0 1 324.468 3.494 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccncc1C ZINC001268939692 1085083873 /nfs/dbraw/zinc/08/38/73/1085083873.db2.gz NFSUZFUEZLZGLF-IRXDYDNUSA-N 0 1 313.445 3.035 20 30 DGEDMN C=CCCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)cc1 ZINC001268983568 1085095107 /nfs/dbraw/zinc/09/51/07/1085095107.db2.gz BBTPWTXICAIJHK-HOTGVXAUSA-N 0 1 304.821 3.205 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001269069500 1085111877 /nfs/dbraw/zinc/11/18/77/1085111877.db2.gz PSLCKHRBZHLLMB-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001269172740 1085179219 /nfs/dbraw/zinc/17/92/19/1085179219.db2.gz VCGHEJJYIUMIKY-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001269240107 1085228559 /nfs/dbraw/zinc/22/85/59/1085228559.db2.gz HGAFPVWNMLPRCB-LJQANCHMSA-N 0 1 312.457 3.222 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001269245748 1085233139 /nfs/dbraw/zinc/23/31/39/1085233139.db2.gz MHOSYRGTHMLQAR-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC001269261593 1085245433 /nfs/dbraw/zinc/24/54/33/1085245433.db2.gz ATCXCHPTZRHXAK-MRXNPFEDSA-N 0 1 318.436 3.379 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1[C@@H]1CCc2ccccc21 ZINC001269271531 1085254116 /nfs/dbraw/zinc/25/41/16/1085254116.db2.gz ATXHDSJPPRZORF-SJLPKXTDSA-N 0 1 312.457 3.467 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001269395995 1085359416 /nfs/dbraw/zinc/35/94/16/1085359416.db2.gz AYQVGDLZFSXHNG-OIQJVACTSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)cc2F)CC1 ZINC001269447014 1085392502 /nfs/dbraw/zinc/39/25/02/1085392502.db2.gz MBOTWZSLJUJRSX-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ncc(C)s2)CCCC1 ZINC001482220258 1085395162 /nfs/dbraw/zinc/39/51/62/1085395162.db2.gz XCWXJFJTZYQFJD-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1nccc2ccccc21 ZINC001269461002 1085398254 /nfs/dbraw/zinc/39/82/54/1085398254.db2.gz NALAXUPZNCAYPM-CQSZACIVSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](CC)NCc2c(C)noc2C)CC1 ZINC001269466984 1085401822 /nfs/dbraw/zinc/40/18/22/1085401822.db2.gz RTVKOIWJWMCGMA-INIZCTEOSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C)c(F)c1F ZINC001269471972 1085408326 /nfs/dbraw/zinc/40/83/26/1085408326.db2.gz RFTHUHQJGLHZQP-NSHDSACASA-N 0 1 316.779 3.124 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)CC1 ZINC001269523067 1085443602 /nfs/dbraw/zinc/44/36/02/1085443602.db2.gz WXTZQTHOWYEYKF-UAPYVXQJSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(F)cc2F)C1 ZINC001269881749 1085598748 /nfs/dbraw/zinc/59/87/48/1085598748.db2.gz OGRZDTRLERVWLU-LBPRGKRZSA-N 0 1 308.372 3.076 20 30 DGEDMN CCCCCC(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001482256601 1085641815 /nfs/dbraw/zinc/64/18/15/1085641815.db2.gz XNZMHIBFWPZINY-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(F)ccc1C ZINC001270106207 1085693447 /nfs/dbraw/zinc/69/34/47/1085693447.db2.gz YLPGNOVZLNFRAL-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCC1(C)C)C1CCCC1 ZINC001270423660 1085809733 /nfs/dbraw/zinc/80/97/33/1085809733.db2.gz VYNDKFLSGDFXPO-CABCVRRESA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@H]1CCC(F)(F)C1 ZINC001482291270 1085827068 /nfs/dbraw/zinc/82/70/68/1085827068.db2.gz DPPQEJCOWPEQAZ-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1nccc2ccsc21 ZINC001482296416 1085856451 /nfs/dbraw/zinc/85/64/51/1085856451.db2.gz JRHKXRGFVQGDLO-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN CC(C)C#CC(=O)N[C@]1(C)CCN(Cc2cc3ccccc3o2)C1 ZINC001270581886 1085873354 /nfs/dbraw/zinc/87/33/54/1085873354.db2.gz BDSBESYHZJYHQO-HXUWFJFHSA-N 0 1 324.424 3.173 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(CC)s1 ZINC001482307344 1085912725 /nfs/dbraw/zinc/91/27/25/1085912725.db2.gz WTWCCAGTVZUJHT-NSHDSACASA-N 0 1 300.855 3.113 20 30 DGEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001270650919 1085919559 /nfs/dbraw/zinc/91/95/59/1085919559.db2.gz YVBNQJCEBWYPRQ-NQIIRXRSSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001270650919 1085919567 /nfs/dbraw/zinc/91/95/67/1085919567.db2.gz YVBNQJCEBWYPRQ-NQIIRXRSSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001270658384 1085925313 /nfs/dbraw/zinc/92/53/13/1085925313.db2.gz PXTZCEWKXVKMKM-LJQANCHMSA-N 0 1 316.445 3.246 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001482316134 1085946392 /nfs/dbraw/zinc/94/63/92/1085946392.db2.gz FDHBXUFDYSAWBK-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001482327976 1086011134 /nfs/dbraw/zinc/01/11/34/1086011134.db2.gz QFILYZITXMGCEJ-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001270857073 1086063396 /nfs/dbraw/zinc/06/33/96/1086063396.db2.gz CYWONGPFUSAERC-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H](C)C(F)(F)F ZINC001482392244 1086120948 /nfs/dbraw/zinc/12/09/48/1086120948.db2.gz XBZKALJXACDBIG-MNOVXSKESA-N 0 1 314.779 3.058 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)CC2CCC2)CCN1CC#Cc1ccccc1 ZINC001087530742 1086179888 /nfs/dbraw/zinc/17/98/88/1086179888.db2.gz PORNSFSAAKUJJG-VQTJNVASSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1c(C)onc1CC ZINC001270975053 1086225827 /nfs/dbraw/zinc/22/58/27/1086225827.db2.gz CUESHGVCQUKWPR-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001271075120 1086277188 /nfs/dbraw/zinc/27/71/88/1086277188.db2.gz LNEPJEOFRLQRFU-CABCVRRESA-N 0 1 323.868 3.154 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C[C@@H](C)CC(C)C)C1 ZINC001271099641 1086292251 /nfs/dbraw/zinc/29/22/51/1086292251.db2.gz DYHVSUXUCSKATC-GJZGRUSLSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2conc2C2CCCC2)[C@H]1CC ZINC001087598748 1086322546 /nfs/dbraw/zinc/32/25/46/1086322546.db2.gz XSSCQJPLDYYBPU-JKSUJKDBSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCN(C/C=C\Cl)[C@@H]1CC ZINC001087603126 1086322999 /nfs/dbraw/zinc/32/29/99/1086322999.db2.gz YUCCKCPFPDHBEV-XDHNQJSPSA-N 0 1 321.852 3.009 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)cc2F)[C@H]1CC ZINC001087647913 1086339472 /nfs/dbraw/zinc/33/94/72/1086339472.db2.gz NTKNYLBEVCQVNL-JKSUJKDBSA-N 0 1 322.811 3.085 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCN(C/C=C\Cl)[C@@H]2CC)CC1 ZINC001087714163 1086374859 /nfs/dbraw/zinc/37/48/59/1086374859.db2.gz XALPOESQTFPFJC-CSXAIKOLSA-N 0 1 310.869 3.454 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccsc2Cl)[C@H]1CC ZINC001087750039 1086395305 /nfs/dbraw/zinc/39/53/05/1086395305.db2.gz OSNQTFHDTHQRLW-QWHCGFSZSA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2cccc(C(C)C)c2)[C@H]1CC ZINC001087783767 1086409317 /nfs/dbraw/zinc/40/93/17/1086409317.db2.gz UISYTPSRNACISH-RBUKOAKNSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(C(C)C)c2)[C@H]1CC ZINC001087783767 1086409324 /nfs/dbraw/zinc/40/93/24/1086409324.db2.gz UISYTPSRNACISH-RBUKOAKNSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)[C@H]1CC ZINC001087804858 1086416458 /nfs/dbraw/zinc/41/64/58/1086416458.db2.gz ZLZQBUVTJUKYKK-XLAORIBOSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(CC)c(CC)c2)[C@H]1CC ZINC001087853068 1086448012 /nfs/dbraw/zinc/44/80/12/1086448012.db2.gz WTJVDNCSBFIMNB-RBUKOAKNSA-N 0 1 312.457 3.027 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](CNC/C(Cl)=C/Cl)CC(C)C ZINC001271261397 1086559154 /nfs/dbraw/zinc/55/91/54/1086559154.db2.gz JUKZBDIGVLKURP-MQJVFOOVSA-N 0 1 319.276 3.085 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1CC2(CCC2)C1 ZINC001271286116 1086564283 /nfs/dbraw/zinc/56/42/83/1086564283.db2.gz QCJIOEYEJBEGLT-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccc(F)cc1 ZINC001482484887 1086604648 /nfs/dbraw/zinc/60/46/48/1086604648.db2.gz YBKIBBOMBOIEQA-WBMJQRKESA-N 0 1 324.827 3.409 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1c[nH]nn1)Cc1ccc(C#N)cc1 ZINC001203212036 1086647134 /nfs/dbraw/zinc/64/71/34/1086647134.db2.gz NNKFISHWZKFOEG-OAHLLOKOSA-N 0 1 317.396 3.440 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@H](C)NCc2coc(C)n2)C1 ZINC001271635478 1086693026 /nfs/dbraw/zinc/69/30/26/1086693026.db2.gz KNKGYQWJPODEPM-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C1C=CC=CC=C1 ZINC001482493381 1086713462 /nfs/dbraw/zinc/71/34/62/1086713462.db2.gz TVPCQYKZXJCLME-PBHICJAKSA-N 0 1 320.864 3.254 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H](F)CC)CC1 ZINC001482506438 1086732464 /nfs/dbraw/zinc/73/24/64/1086732464.db2.gz YTEAXQKWNUGKEG-CQSZACIVSA-N 0 1 304.837 3.048 20 30 DGEDMN C#CC[C@H](CC)Oc1[nH]c(=O)nc2cc(Br)ccc21 ZINC001228067116 1086797764 /nfs/dbraw/zinc/79/77/64/1086797764.db2.gz DOXBDHRRPKTQRY-JTQLQIEISA-N 0 1 321.174 3.279 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1csc(C)n1)C(C)C ZINC001482533703 1086789497 /nfs/dbraw/zinc/78/94/97/1086789497.db2.gz NZGYOYKCCFGXRS-OAHLLOKOSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1nc(C)sc1C)C(C)C ZINC001271843998 1086790329 /nfs/dbraw/zinc/79/03/29/1086790329.db2.gz CGOPZFYPSZNITP-OAHLLOKOSA-N 0 1 323.506 3.347 20 30 DGEDMN Cc1cccc(C(=O)N(C)CCN(C)Cc2cccc(C#N)c2)c1 ZINC001482577690 1086965688 /nfs/dbraw/zinc/96/56/88/1086965688.db2.gz WWHOYEORESTQBT-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001482818304 1087166145 /nfs/dbraw/zinc/16/61/45/1087166145.db2.gz MXNCIGOJBGZKSV-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCN[C@H](C)c1ncc(C)o1 ZINC001482836147 1087167457 /nfs/dbraw/zinc/16/74/57/1087167457.db2.gz DTUJTQPXKOFBMX-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCNCc1csc(C)n1 ZINC001482836579 1087168457 /nfs/dbraw/zinc/16/84/57/1087168457.db2.gz NLUIUKBHXZFFOJ-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1ccc(F)cc1F ZINC001482926504 1087189259 /nfs/dbraw/zinc/18/92/59/1087189259.db2.gz OGHBTEJAIOWRKW-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@@H]1CCc2ccccc21 ZINC001482956810 1087199829 /nfs/dbraw/zinc/19/98/29/1087199829.db2.gz LKAFKLLRZPGEDJ-INIZCTEOSA-N 0 1 320.864 3.297 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001482960077 1087200595 /nfs/dbraw/zinc/20/05/95/1087200595.db2.gz MWYHTZRUQYLDIT-QZTJIDSGSA-N 0 1 314.473 3.158 20 30 DGEDMN C[C@@H](NC/C=C\CNC(=O)C#CC1CC1)c1ccccc1Cl ZINC001483008314 1087233075 /nfs/dbraw/zinc/23/30/75/1087233075.db2.gz UYCRNJWWJGZXMH-ZRUQZJFASA-N 0 1 316.832 3.076 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1c(C)nsc1C ZINC001483012099 1087233856 /nfs/dbraw/zinc/23/38/56/1087233856.db2.gz LFVRFDUXCUWZCS-BQYQJAHWSA-N 0 1 321.490 3.124 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(C3CC3)[nH]c2C2CC2)[C@H]1C ZINC001088660172 1087248943 /nfs/dbraw/zinc/24/89/43/1087248943.db2.gz PBEXBMPLDNLBRJ-WBMJQRKESA-N 0 1 313.445 3.148 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC001483039150 1087251775 /nfs/dbraw/zinc/25/17/75/1087251775.db2.gz ZJVIJJQEOKSLDP-CGFBPQRUSA-N 0 1 310.869 3.217 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)[C@H]1C ZINC001088724559 1087324349 /nfs/dbraw/zinc/32/43/49/1087324349.db2.gz LZNVZAHZDPXVGW-PBHICJAKSA-N 0 1 310.397 3.325 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)c(Cl)cc1C ZINC001483157152 1087407371 /nfs/dbraw/zinc/40/73/71/1087407371.db2.gz YXLGATZNFIYZKC-GFCCVEGCSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2ccncc2Cl)CC1 ZINC001483163440 1087409328 /nfs/dbraw/zinc/40/93/28/1087409328.db2.gz ANSUQHDPWOPWFJ-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN CCC(CC)CC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001483278253 1087456148 /nfs/dbraw/zinc/45/61/48/1087456148.db2.gz XUBXZNXMUJCEAV-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1ccc(F)cc1 ZINC001483296809 1087461372 /nfs/dbraw/zinc/46/13/72/1087461372.db2.gz JTHIQXJCAFHPQN-AAEUAGOBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@H](C)CN(C)CC#CC)c1ccccc1 ZINC001483427187 1087499209 /nfs/dbraw/zinc/49/92/09/1087499209.db2.gz YUKILOCDLMKWJE-MJGOQNOKSA-N 0 1 312.457 3.196 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCC[C@H]1CNCc1ccon1 ZINC001483445038 1087505766 /nfs/dbraw/zinc/50/57/66/1087505766.db2.gz VUBQMKKRLDDKIB-HOCLYGCPSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001105720707 1087543204 /nfs/dbraw/zinc/54/32/04/1087543204.db2.gz BYNMIMHLDIHTBT-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cccnc3s2)[C@H]1C ZINC001088956217 1087609934 /nfs/dbraw/zinc/60/99/34/1087609934.db2.gz FTYQZHBPBFMGST-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)[C@H]1C ZINC001088979942 1087614232 /nfs/dbraw/zinc/61/42/32/1087614232.db2.gz OGMRTDHKSCIENB-HIFRSBDPSA-N 0 1 306.837 3.335 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2C2CCC2)[C@H]1C ZINC001089011182 1087621367 /nfs/dbraw/zinc/62/13/67/1087621367.db2.gz NFCUMHVFZBAFTJ-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@H]1C ZINC001089055203 1087627526 /nfs/dbraw/zinc/62/75/26/1087627526.db2.gz ZTUZXRVFSXMJJX-KGLIPLIRSA-N 0 1 318.486 3.262 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCCC2(C)C)[C@H]1C ZINC001089083939 1087633299 /nfs/dbraw/zinc/63/32/99/1087633299.db2.gz KJQFAXKNXUWDDQ-ZACQAIPSSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H]1C ZINC001089095282 1087637627 /nfs/dbraw/zinc/63/76/27/1087637627.db2.gz OBOFMYBWKBXOKV-CJNGLKHVSA-N 0 1 320.383 3.014 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(Br)c(OC)cc2O)C1 ZINC001233140417 1087651304 /nfs/dbraw/zinc/65/13/04/1087651304.db2.gz IJVYZZOECHHLDU-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN CC(=O)c1cc(F)c(NC(=O)c2ccc(C#N)o2)c(F)c1F ZINC001127238878 1087655451 /nfs/dbraw/zinc/65/54/51/1087655451.db2.gz FILXAVOQXQAXRV-UHFFFAOYSA-N 0 1 308.215 3.023 20 30 DGEDMN O=C(CC#Cc1ccccc1)NC[C@@H]1CCN1CC1=CCCCC1 ZINC001483704080 1087679985 /nfs/dbraw/zinc/67/99/85/1087679985.db2.gz DTFDVOFNGGEPME-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001483709379 1087686117 /nfs/dbraw/zinc/68/61/17/1087686117.db2.gz XBTATDXYEMJFKP-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001099148722 1087694247 /nfs/dbraw/zinc/69/42/47/1087694247.db2.gz HUGIIRPBDJXLPS-KSZLIROESA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001099152899 1087698007 /nfs/dbraw/zinc/69/80/07/1087698007.db2.gz ANHRTTYCIOBZAF-AXVVYFOYSA-N 0 1 316.489 3.053 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1C(=O)Nc1cc(C#N)ccc1O ZINC000176398058 1087722120 /nfs/dbraw/zinc/72/21/20/1087722120.db2.gz LEMDTXOKUSKVNV-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN CCCCCCCCCN1CC2(C1)COCC(=O)N2CC(C)C ZINC001272478515 1087724820 /nfs/dbraw/zinc/72/48/20/1087724820.db2.gz LWOKRWMNLDKFLW-UHFFFAOYSA-N 0 1 324.509 3.306 20 30 DGEDMN COc1ccc(CCCC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176404057 1087726128 /nfs/dbraw/zinc/72/61/28/1087726128.db2.gz OYWYINYXALQVCB-UHFFFAOYSA-N 0 1 310.353 3.234 20 30 DGEDMN COc1ccccc1C[C@@H](C)CC(=O)Nc1cc(C#N)ccc1O ZINC000176409722 1087729034 /nfs/dbraw/zinc/72/90/34/1087729034.db2.gz FZCCSKJVZINMGD-CYBMUJFWSA-N 0 1 324.380 3.480 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C(C)(C)C ZINC001099171875 1087744854 /nfs/dbraw/zinc/74/48/54/1087744854.db2.gz VNHNECWGFQYESE-HNNXBMFYSA-N 0 1 306.494 3.299 20 30 DGEDMN Cc1cccc2nc(C(=O)N(CC#N)Cc3ccccc3)[nH]c21 ZINC001151988493 1087859596 /nfs/dbraw/zinc/85/95/96/1087859596.db2.gz YBOQNILSKNNWDZ-UHFFFAOYSA-N 0 1 304.353 3.037 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc2[nH]ccc21 ZINC001158519175 1087889328 /nfs/dbraw/zinc/88/93/28/1087889328.db2.gz VVWDVMDOKHJUJY-MRXNPFEDSA-N 0 1 323.440 3.118 20 30 DGEDMN Cc1cnc(N2C[C@@H](C)N(Cc3ccccc3)C[C@H]2C)c(C#N)c1 ZINC001158523231 1087891739 /nfs/dbraw/zinc/89/17/39/1087891739.db2.gz JJOZSFPUKRVGRA-IAGOWNOFSA-N 0 1 320.440 3.361 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1csc(Cl)c1 ZINC001158555319 1087908701 /nfs/dbraw/zinc/90/87/01/1087908701.db2.gz QJQKVFZUJWBYNT-CQSZACIVSA-N 0 1 324.877 3.351 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccsc1Cl ZINC001158554709 1087909209 /nfs/dbraw/zinc/90/92/09/1087909209.db2.gz MKYACXSBWZNTGR-CYBMUJFWSA-N 0 1 324.877 3.351 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001158565699 1087918651 /nfs/dbraw/zinc/91/86/51/1087918651.db2.gz YYEQLPNXPKHAKA-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001158578637 1087920918 /nfs/dbraw/zinc/92/09/18/1087920918.db2.gz WUQWRPMLCZQMBR-YZGWKJHDSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1CCc2ccccc21 ZINC001158616761 1087941454 /nfs/dbraw/zinc/94/14/54/1087941454.db2.gz TYUSGOXLEJAUSW-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNCc1c(F)cccc1F ZINC001158746926 1088003863 /nfs/dbraw/zinc/00/38/63/1088003863.db2.gz MOHJCZLZISKQPW-UHFFFAOYSA-N 0 1 322.399 3.329 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C(F)F)o1 ZINC001158806188 1088038968 /nfs/dbraw/zinc/03/89/68/1088038968.db2.gz CWRRSBQLIZFKNO-CYBMUJFWSA-N 0 1 324.371 3.167 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C=C1CCC1 ZINC001152707006 1088057093 /nfs/dbraw/zinc/05/70/93/1088057093.db2.gz WJGWEUUXKOHJEV-CYBMUJFWSA-N 0 1 317.458 3.057 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2c(c1)CCC2 ZINC001158842156 1088066800 /nfs/dbraw/zinc/06/68/00/1088066800.db2.gz TYOWCMRZMIGWGP-HXUWFJFHSA-N 0 1 324.468 3.125 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001153001415 1088133910 /nfs/dbraw/zinc/13/39/10/1088133910.db2.gz QZFFZDQCGLMFGW-ZIAGYGMSSA-N 0 1 321.490 3.383 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(F)c3cccnc23)c1 ZINC001153000096 1088134034 /nfs/dbraw/zinc/13/40/34/1088134034.db2.gz NWOWOOQVWURJHP-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C(C)=C1CCC1 ZINC001153240501 1088194769 /nfs/dbraw/zinc/19/47/69/1088194769.db2.gz CCZIXIQHVQUKMI-QGZVFWFLSA-N 0 1 324.468 3.365 20 30 DGEDMN COc1cccc(C(F)(F)F)c1CNc1ccnc(CC#N)c1 ZINC001168491019 1088226095 /nfs/dbraw/zinc/22/60/95/1088226095.db2.gz ITJRAJNDBTZVAR-UHFFFAOYSA-N 0 1 321.302 3.209 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001153566386 1088283949 /nfs/dbraw/zinc/28/39/49/1088283949.db2.gz BTPRXFIZLNMAGP-MRXNPFEDSA-N 0 1 315.461 3.177 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)CCC(F)(F)F ZINC001153609080 1088303877 /nfs/dbraw/zinc/30/38/77/1088303877.db2.gz XOLLUTSDRQSMAY-GFCCVEGCSA-N 0 1 308.388 3.368 20 30 DGEDMN CCOC(=O)c1cnc2cc(NCC#Cc3ccccc3)[nH]cc1-2 ZINC001159375798 1088325456 /nfs/dbraw/zinc/32/54/56/1088325456.db2.gz KAWYJFWVNFAPQJ-UHFFFAOYSA-N 0 1 319.364 3.203 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)CC(C)(C)C ZINC001099259289 1088359804 /nfs/dbraw/zinc/35/98/04/1088359804.db2.gz ZORHNAOHHJCLFX-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(CCc3ccccc3)CC1)C2 ZINC001111528050 1088408063 /nfs/dbraw/zinc/40/80/63/1088408063.db2.gz PVBWHQODWAUKNH-QRVBRYPASA-N 0 1 324.468 3.307 20 30 DGEDMN C=CCCOCC(=O)Nc1ccc2nc(-c3ccncc3)[nH]c2c1 ZINC001649019997 1088411722 /nfs/dbraw/zinc/41/17/22/1088411722.db2.gz CTSJXISCKZHDKE-UHFFFAOYSA-N 0 1 322.368 3.156 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC(C)(C)C1 ZINC001089355125 1088430324 /nfs/dbraw/zinc/43/03/24/1088430324.db2.gz NUVSLQZUQZVOAX-CAOSSQGBSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2CC2(C)C)CC(C)(C)C1 ZINC001089364149 1088516556 /nfs/dbraw/zinc/51/65/56/1088516556.db2.gz WQQBJVWMZPQUBA-KBPBESRZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H]2CC2(F)F)CC(C)(C)C1 ZINC001089381767 1088554037 /nfs/dbraw/zinc/55/40/37/1088554037.db2.gz NMKFHSYLJLEWGB-VXGBXAGGSA-N 0 1 320.811 3.001 20 30 DGEDMN CCCC(C)(C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001483763982 1088641019 /nfs/dbraw/zinc/64/10/19/1088641019.db2.gz BRIVGXYOAGDXLY-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3cc(F)ccc3F)CC2)C1 ZINC001483766459 1088659067 /nfs/dbraw/zinc/65/90/67/1088659067.db2.gz JSZWLMAUZGRTOO-UHFFFAOYSA-N 0 1 320.383 3.060 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001154620450 1088680089 /nfs/dbraw/zinc/68/00/89/1088680089.db2.gz JMLXIDVIUYZERN-CYBMUJFWSA-N 0 1 319.474 3.159 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(Cl)nc1 ZINC001154620601 1088680197 /nfs/dbraw/zinc/68/01/97/1088680197.db2.gz NAEHOUSYQBAAOK-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2scc(C)c2Cl)CC1 ZINC001160227933 1088711398 /nfs/dbraw/zinc/71/13/98/1088711398.db2.gz GNKYQZVGBYAQQF-UHFFFAOYSA-N 0 1 322.861 3.095 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001154742415 1088738438 /nfs/dbraw/zinc/73/84/38/1088738438.db2.gz GIFKUOOAQOAENO-DLBZAZTESA-N 0 1 317.477 3.319 20 30 DGEDMN COc1ccc2cc(O)c(C(=O)Nc3[nH]ccc3C#N)cc2c1 ZINC001154749952 1088740538 /nfs/dbraw/zinc/74/05/38/1088740538.db2.gz YENOJWXBDHFTIT-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN COc1ccc2nc(N)n(-c3ccc(C4(C#N)CC4)cc3)c2c1 ZINC001212247726 1088765318 /nfs/dbraw/zinc/76/53/18/1088765318.db2.gz SHODJTGWGVSAKF-UHFFFAOYSA-N 0 1 304.353 3.171 20 30 DGEDMN CSc1nc(Cl)c(/C=N/O)c(N[C@@H](C#N)CC(C)C)n1 ZINC001160363562 1088765606 /nfs/dbraw/zinc/76/56/06/1088765606.db2.gz HNSCRDNVKYLXGQ-DZYDPXEMSA-N 0 1 313.814 3.010 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)noc1C ZINC001089608422 1088816418 /nfs/dbraw/zinc/81/64/18/1088816418.db2.gz ZKYJQBGPTBMMII-HNNXBMFYSA-N 0 1 319.449 3.088 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1cnc(C)s1 ZINC001089617541 1088826582 /nfs/dbraw/zinc/82/65/82/1088826582.db2.gz ATNBZFYJTWBDLS-CQSZACIVSA-N 0 1 321.490 3.248 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc2occc2c1 ZINC001089641812 1088869744 /nfs/dbraw/zinc/86/97/44/1088869744.db2.gz UEUXJUWXDKSBFT-KRWDZBQOSA-N 0 1 324.424 3.286 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)Cc2cccc(C(C)C)c2)CC1 ZINC001160600027 1088891110 /nfs/dbraw/zinc/89/11/10/1088891110.db2.gz GMVULDZKNHHKMH-UHFFFAOYSA-N 0 1 324.468 3.124 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CC)c(CC)c2)CC1 ZINC001483797830 1088896890 /nfs/dbraw/zinc/89/68/90/1088896890.db2.gz YLKSALGVQOVPDY-UHFFFAOYSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001155066821 1088926109 /nfs/dbraw/zinc/92/61/09/1088926109.db2.gz NWOMKVIGAZACKG-DYVFJYSZSA-N 0 1 323.506 3.380 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2cccs2)C1 ZINC001483850315 1088951767 /nfs/dbraw/zinc/95/17/67/1088951767.db2.gz YYEWZLPEYUACJU-UHFFFAOYSA-N 0 1 308.491 3.404 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001483892100 1088994130 /nfs/dbraw/zinc/99/41/30/1088994130.db2.gz ATAYOBZKGKAVNI-BKPSIGNASA-N 0 1 316.489 3.168 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001483892592 1088994328 /nfs/dbraw/zinc/99/43/28/1088994328.db2.gz NNGMPSYLOUHSFL-SFHVURJKSA-N 0 1 318.436 3.428 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001483925985 1089007334 /nfs/dbraw/zinc/00/73/34/1089007334.db2.gz UZWJRMWQMKEBEJ-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C12CCC(CC1)C2 ZINC001089657424 1089019716 /nfs/dbraw/zinc/01/97/16/1089019716.db2.gz CZAMSZYZGHPTIY-OHTSDLOESA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1c(F)cccc1F ZINC001483942195 1089025752 /nfs/dbraw/zinc/02/57/52/1089025752.db2.gz IXFLECOUZLLOAF-CQSZACIVSA-N 0 1 322.399 3.402 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC12CC2 ZINC001089658807 1089047414 /nfs/dbraw/zinc/04/74/14/1089047414.db2.gz NRJIPMHSNDEUGS-IRXDYDNUSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCCC12CC2 ZINC001089658803 1089048693 /nfs/dbraw/zinc/04/86/93/1089048693.db2.gz NRJIPMHSNDEUGS-DLBZAZTESA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccncc1CC ZINC001089663000 1089051786 /nfs/dbraw/zinc/05/17/86/1089051786.db2.gz HSMKGHFOCCPSND-INIZCTEOSA-N 0 1 315.461 3.050 20 30 DGEDMN COc1cc2cnc(NCc3cncc(C#N)c3)cc2cc1OC ZINC001160995758 1089063949 /nfs/dbraw/zinc/06/39/49/1089063949.db2.gz SVKGIHCQCJZJIZ-UHFFFAOYSA-N 0 1 320.352 3.131 20 30 DGEDMN C#CCN(C(=O)c1cccs1)C1CCN(C[C@@H](F)CC)CC1 ZINC001483979053 1089064013 /nfs/dbraw/zinc/06/40/13/1089064013.db2.gz ODYCAYLIEANBDM-AWEZNQCLSA-N 0 1 322.449 3.036 20 30 DGEDMN C#CCN(C(=O)CCCCC(C)(C)C)C1CCN(CC#C)CC1 ZINC001483984881 1089068097 /nfs/dbraw/zinc/06/80/97/1089068097.db2.gz CDBCTEKBIFXLKT-UHFFFAOYSA-N 0 1 316.489 3.152 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1oc(C)nc1C)C1CCCC1 ZINC001483997297 1089076547 /nfs/dbraw/zinc/07/65/47/1089076547.db2.gz VVHFCIZRTQWSOA-MRXNPFEDSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1nc(C)cs1)C1CCCC1 ZINC001483996030 1089082327 /nfs/dbraw/zinc/08/23/27/1089082327.db2.gz JFYCFQNCMIMVFR-OAHLLOKOSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)C(C)(F)F)C1CCCC1 ZINC001484008681 1089092480 /nfs/dbraw/zinc/09/24/80/1089092480.db2.gz FWTMVDPAGYONEW-YPMHNXCESA-N 0 1 322.827 3.295 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2cccc(C(C)C)n2)C1 ZINC001484034864 1089117669 /nfs/dbraw/zinc/11/76/69/1089117669.db2.gz OAZORMIDIDVGDY-IBGZPJMESA-N 0 1 315.461 3.252 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001161121192 1089123918 /nfs/dbraw/zinc/12/39/18/1089123918.db2.gz BBBNFSYRFUDRQA-IVEQORNPSA-N 0 1 310.869 3.480 20 30 DGEDMN C=C(C)CCC(=O)N[C@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC001484046069 1089127024 /nfs/dbraw/zinc/12/70/24/1089127024.db2.gz ZYHDHEXZEWGYTF-GOSISDBHSA-N 0 1 304.409 3.263 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC/C=C\c2ccccc2)CC1 ZINC001161195325 1089150702 /nfs/dbraw/zinc/15/07/02/1089150702.db2.gz UQQKCBJFZIIABA-POHAHGRESA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1occc1Cl ZINC001089687883 1089170140 /nfs/dbraw/zinc/17/01/40/1089170140.db2.gz OVBUUPVJIRSJCV-GFCCVEGCSA-N 0 1 310.825 3.339 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001484078265 1089172224 /nfs/dbraw/zinc/17/22/24/1089172224.db2.gz ZEUXDMJGXYHYII-LPHOPBHVSA-N 0 1 304.478 3.197 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)[C@@H](C)C(C)C ZINC001155581322 1089174795 /nfs/dbraw/zinc/17/47/95/1089174795.db2.gz PWAYETZVSUVZHV-KGLIPLIRSA-N 0 1 321.490 3.238 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001161343743 1089203117 /nfs/dbraw/zinc/20/31/17/1089203117.db2.gz RJGVKIQAUFWITR-YHYXMXQVSA-N 0 1 310.869 3.480 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001089699473 1089232056 /nfs/dbraw/zinc/23/20/56/1089232056.db2.gz MPFHLBIJOVTFSV-KZNAEPCWSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1cnoc1CC ZINC001089703640 1089255506 /nfs/dbraw/zinc/25/55/06/1089255506.db2.gz NBDHZBDUPVNTFY-AWEZNQCLSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)CCCCCC)CC1 ZINC001161510054 1089265505 /nfs/dbraw/zinc/26/55/05/1089265505.db2.gz WVWPXCOLNDPPRX-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](CCCC)C(C)C)C1 ZINC001484175466 1089270479 /nfs/dbraw/zinc/27/04/79/1089270479.db2.gz PSMNONKFCATRLW-MJGOQNOKSA-N 0 1 306.494 3.300 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001484175247 1089271930 /nfs/dbraw/zinc/27/19/30/1089271930.db2.gz UVSCIDIWBLZCJB-APWZRJJASA-N 0 1 306.494 3.300 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CCCC(C)C)C1 ZINC001484194934 1089283297 /nfs/dbraw/zinc/28/32/97/1089283297.db2.gz BNXVXAJUQUIDTH-CQSZACIVSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cnoc1C(C)C ZINC001089720583 1089294007 /nfs/dbraw/zinc/29/40/07/1089294007.db2.gz ZOPHBQWNPJUUNX-AWEZNQCLSA-N 0 1 319.449 3.204 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1sccc1OC ZINC001089724648 1089301639 /nfs/dbraw/zinc/30/16/39/1089301639.db2.gz ZOBHRXQPBUSPCC-CYBMUJFWSA-N 0 1 322.474 3.163 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)ccc1C ZINC001089728518 1089307801 /nfs/dbraw/zinc/30/78/01/1089307801.db2.gz ACOKHOWMVNAIAB-QGZVFWFLSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001089727198 1089308021 /nfs/dbraw/zinc/30/80/21/1089308021.db2.gz ZHAIZKCGLDXOPF-CEXWTWQISA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](F)CCCCCC)CC1 ZINC001161699162 1089354657 /nfs/dbraw/zinc/35/46/57/1089354657.db2.gz NPRTWSPVMVQDNB-QGZVFWFLSA-N 0 1 308.441 3.067 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)CCC(C)(C)C)CC1 ZINC001161698708 1089354702 /nfs/dbraw/zinc/35/47/02/1089354702.db2.gz AOQRZLVSVSAPJC-INIZCTEOSA-N 0 1 304.478 3.220 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)c2ccon2)cc1 ZINC001272682074 1089372238 /nfs/dbraw/zinc/37/22/38/1089372238.db2.gz GZPFHSJEJZEFCD-LBPRGKRZSA-N 0 1 319.792 3.008 20 30 DGEDMN C[NH+](C)CCCCNc1cc(/C=N/[O-])cc(C(F)(F)F)c1 ZINC001161730874 1089376802 /nfs/dbraw/zinc/37/68/02/1089376802.db2.gz UMPYCEGLWAKUJI-VXLYETTFSA-N 0 1 303.328 3.267 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@@H](C)OCC)cc1 ZINC001272682403 1089399778 /nfs/dbraw/zinc/39/97/78/1089399778.db2.gz KNPLGLLTXDPLIQ-ZIAGYGMSSA-N 0 1 324.852 3.131 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1ccccc1F ZINC001484453333 1089424709 /nfs/dbraw/zinc/42/47/09/1089424709.db2.gz MQZZMUIZLWFPEP-ZWKOTPCHSA-N 0 1 316.420 3.357 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C[C@H](CC)c1ccccc1 ZINC001484464957 1089435647 /nfs/dbraw/zinc/43/56/47/1089435647.db2.gz LRBRBEJMRSTBJD-YSIASYRMSA-N 0 1 324.468 3.269 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C[C@H](CC)C(C)C ZINC001484466452 1089436108 /nfs/dbraw/zinc/43/61/08/1089436108.db2.gz UBOQRBAKXUILQW-RCCFBDPRSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C[C@@H](CC)c1ccccc1 ZINC001484464956 1089436157 /nfs/dbraw/zinc/43/61/57/1089436157.db2.gz LRBRBEJMRSTBJD-MISYRCLQSA-N 0 1 324.468 3.269 20 30 DGEDMN CC(C)[C@@H](C(=O)N(O)Cc1cccc2ccccc21)N(C)C ZINC001161843006 1089437435 /nfs/dbraw/zinc/43/74/35/1089437435.db2.gz WZMNFLIKOABRLP-KRWDZBQOSA-N 0 1 300.402 3.144 20 30 DGEDMN CCC[C@@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C(C)C ZINC001161914315 1089465817 /nfs/dbraw/zinc/46/58/17/1089465817.db2.gz PHPUHNLCMXCHBU-CQSZACIVSA-N 0 1 311.389 3.354 20 30 DGEDMN C[C@]1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC=CCC1 ZINC001161914472 1089465834 /nfs/dbraw/zinc/46/58/34/1089465834.db2.gz UCQHGAPVXAFGLI-KRWDZBQOSA-N 0 1 307.357 3.028 20 30 DGEDMN C[C@@H]1CCC[C@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913965 1089467272 /nfs/dbraw/zinc/46/72/72/1089467272.db2.gz JYCSLRABDXNGBK-YPMHNXCESA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@@H]1CCC[C@@H]1CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913963 1089467452 /nfs/dbraw/zinc/46/74/52/1089467452.db2.gz JYCSLRABDXNGBK-DGCLKSJQSA-N 0 1 309.373 3.108 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C)c(F)c1 ZINC001484556368 1089498785 /nfs/dbraw/zinc/49/87/85/1089498785.db2.gz SQCCTOWGIZGXHZ-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC2CCC(C)(C)CC2)CC1 ZINC001162021315 1089529711 /nfs/dbraw/zinc/52/97/11/1089529711.db2.gz IFVFFQKQUOBIPV-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CNCc1ncc(C)s1 ZINC001484615977 1089538775 /nfs/dbraw/zinc/53/87/75/1089538775.db2.gz MTUUAMDJGRFVAU-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001484715769 1089605298 /nfs/dbraw/zinc/60/52/98/1089605298.db2.gz WTFCANIGEQMQRU-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN CN1CCC(C#N)(Nc2cc(Cl)nc3sccc32)CC1 ZINC001162227160 1089620906 /nfs/dbraw/zinc/62/09/06/1089620906.db2.gz KQHIENBSOJAVRG-UHFFFAOYSA-N 0 1 306.822 3.350 20 30 DGEDMN Cc1nc(NC2(C#N)CCN(C)CC2)cc(C2CCCCC2)n1 ZINC001162231108 1089623693 /nfs/dbraw/zinc/62/36/93/1089623693.db2.gz CNXDYJBUUIJJIN-UHFFFAOYSA-N 0 1 313.449 3.233 20 30 DGEDMN CC/C=C(\C)C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001484735878 1089647019 /nfs/dbraw/zinc/64/70/19/1089647019.db2.gz XUQVZNQMXGKUQD-WOJGMQOQSA-N 0 1 312.457 3.175 20 30 DGEDMN N#Cc1cnc2cc(NCc3cnccc3C(F)(F)F)c[nH]c1-2 ZINC001162293375 1089663728 /nfs/dbraw/zinc/66/37/28/1089663728.db2.gz KRGBRNBCPAGOBQ-UHFFFAOYSA-N 0 1 317.274 3.460 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H](CC)SC)cc2C1 ZINC001484872662 1089714345 /nfs/dbraw/zinc/71/43/45/1089714345.db2.gz ANYOHBZFORTDJO-KRWDZBQOSA-N 0 1 318.486 3.336 20 30 DGEDMN N#CC1(CNc2nc3ccc(Br)cc3[nH]2)CCC1 ZINC001162413215 1089725849 /nfs/dbraw/zinc/72/58/49/1089725849.db2.gz KUCWFFYGQWZCND-UHFFFAOYSA-N 0 1 305.179 3.431 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cc(F)ccc2Cl)[C@@H](C)C1 ZINC001484882533 1089726004 /nfs/dbraw/zinc/72/60/04/1089726004.db2.gz NQOCKCASHTWVHS-LRDDRELGSA-N 0 1 324.827 3.382 20 30 DGEDMN C#CC1(Nc2ccc3cc(C(=O)OCC)[nH]c3n2)CCCCC1 ZINC001162480001 1089754812 /nfs/dbraw/zinc/75/48/12/1089754812.db2.gz SZJNYYMZIYVLLQ-UHFFFAOYSA-N 0 1 311.385 3.488 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@](C)(CC)CCC ZINC001485045378 1089863858 /nfs/dbraw/zinc/86/38/58/1089863858.db2.gz VZWRCUSYLQOWDQ-QWFCFKBJSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCC[C@H]1CNCC(=C)Cl ZINC001485037546 1089871701 /nfs/dbraw/zinc/87/17/01/1089871701.db2.gz IHAONEZFCHLPQY-INIZCTEOSA-N 0 1 310.869 3.478 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CNCc1csc(C)n1 ZINC001485037677 1089872575 /nfs/dbraw/zinc/87/25/75/1089872575.db2.gz TYZMQAPEWZAVSC-INIZCTEOSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccsc1 ZINC001485052498 1089889157 /nfs/dbraw/zinc/88/91/57/1089889157.db2.gz CTXGQLDSZKABGD-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)C(C)C ZINC001162707170 1089896095 /nfs/dbraw/zinc/89/60/95/1089896095.db2.gz LBQDGCKWYHFJOI-UHFFFAOYSA-N 0 1 308.853 3.056 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(-c2ccccc2)o1 ZINC001485068232 1089903455 /nfs/dbraw/zinc/90/34/55/1089903455.db2.gz BALXFWAHLBSBEM-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCCN1C(=O)CC1CC1 ZINC001157450317 1089971007 /nfs/dbraw/zinc/97/10/07/1089971007.db2.gz PUBODUBQAQDHOC-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1F ZINC001157506891 1090001187 /nfs/dbraw/zinc/00/11/87/1090001187.db2.gz AOUYUTWNJQGTLN-GJZGRUSLSA-N 0 1 322.811 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1scnc1C(C)C ZINC001485198437 1090014724 /nfs/dbraw/zinc/01/47/24/1090014724.db2.gz IFWJWHBKSIWPBB-WDEREUQCSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2occc2s1 ZINC001485190005 1090020127 /nfs/dbraw/zinc/02/01/27/1090020127.db2.gz KOPLVLYOQXJGQQ-ZJUUUORDSA-N 0 1 312.822 3.343 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2conc2C)CCCC1 ZINC001485234376 1090040978 /nfs/dbraw/zinc/04/09/78/1090040978.db2.gz KRKAALIPEXHKPA-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001485285498 1090067070 /nfs/dbraw/zinc/06/70/70/1090067070.db2.gz DCUMOPWZXPAJMW-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1cnc(C)s1 ZINC001157706315 1090082547 /nfs/dbraw/zinc/08/25/47/1090082547.db2.gz XKUQOMIRHXONNN-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC(C)[C@H](C#N)c1ccc(NCc2noc3c2CNCC3)cc1 ZINC001163133663 1090086230 /nfs/dbraw/zinc/08/62/30/1090086230.db2.gz AYKCWAYPXUFPOH-HNNXBMFYSA-N 0 1 310.401 3.196 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001158090262 1090182872 /nfs/dbraw/zinc/18/28/72/1090182872.db2.gz RDHGTPQAXHDWQV-WHXUGTBJSA-N 0 1 324.468 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCCCN2C/C=C\Cl)C1 ZINC001485481717 1090186844 /nfs/dbraw/zinc/18/68/44/1090186844.db2.gz FMULBTBIHSMJDD-LYASGUKUSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](CC)CCC)CC1 ZINC001485765438 1090272337 /nfs/dbraw/zinc/27/23/37/1090272337.db2.gz KHGLOXYEDKAZAI-AWEZNQCLSA-N 0 1 300.874 3.488 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1cc(C)ccc1F ZINC001272706779 1090308429 /nfs/dbraw/zinc/30/84/29/1090308429.db2.gz GQAWIVGBIBDIPD-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCN1CC[C@@]2(C1)C[C@H](NC(=O)CCC)c1ccccc1O2 ZINC001111529112 1090358337 /nfs/dbraw/zinc/35/83/37/1090358337.db2.gz DXNNVYLKSNOWTD-LPHOPBHVSA-N 0 1 314.429 3.057 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001164233413 1090382188 /nfs/dbraw/zinc/38/21/88/1090382188.db2.gz GCHYHBFWDBSQQN-KGLIPLIRSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C#CC(C)(C)C)CCC[C@@H]12 ZINC001107399820 1090432520 /nfs/dbraw/zinc/43/25/20/1090432520.db2.gz LGWMASDLXXGGBD-CRAIPNDOSA-N 0 1 322.880 3.149 20 30 DGEDMN N#CN=C(Nc1ccc2c(c1)nc1cc[nH]cc2-1)c1ccncc1 ZINC001164516980 1090435651 /nfs/dbraw/zinc/43/56/51/1090435651.db2.gz FSBQJMKCHKNDRX-UHFFFAOYSA-N 0 1 312.336 3.260 20 30 DGEDMN CCCc1cc(C#N)c(N2CCN(C(C)(C)C)CC2)nc1Cl ZINC001165091537 1090464251 /nfs/dbraw/zinc/46/42/51/1090464251.db2.gz ULJSLWKCOURJEF-UHFFFAOYSA-N 0 1 320.868 3.480 20 30 DGEDMN CC(C)N1CCN(c2nc(Cl)c(Cl)cc2C#N)C[C@H]1C ZINC001165199115 1090477476 /nfs/dbraw/zinc/47/74/76/1090477476.db2.gz IDPVHOQFMUAJTH-SNVBAGLBSA-N 0 1 313.232 3.179 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C=C(C)C)C1 ZINC001107711686 1090491242 /nfs/dbraw/zinc/49/12/42/1090491242.db2.gz KFFMLLLDQPADKY-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)CC)C1 ZINC001107753538 1090497788 /nfs/dbraw/zinc/49/77/88/1090497788.db2.gz HLPZFUJNBNWTSJ-FUHWJXTLSA-N 0 1 312.498 3.210 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@@H](CC)CCCC)C1 ZINC001107764409 1090499920 /nfs/dbraw/zinc/49/99/20/1090499920.db2.gz XBEZSILLCWYORP-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CC2CCC2)C1 ZINC001107843872 1090513037 /nfs/dbraw/zinc/51/30/37/1090513037.db2.gz MNCCFIKVDNIYMK-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CC2CCC2)C1 ZINC001107843873 1090514147 /nfs/dbraw/zinc/51/41/47/1090514147.db2.gz MNCCFIKVDNIYMK-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN COC(=O)Cc1cc(NC(C)(C)c2ccc(C#N)cc2)ccn1 ZINC001165760091 1090546352 /nfs/dbraw/zinc/54/63/52/1090546352.db2.gz KSONIOCNLPWSDA-UHFFFAOYSA-N 0 1 309.369 3.016 20 30 DGEDMN C=C1CCC(C(=O)NCCCNC/C(Cl)=C\Cl)CC1 ZINC001165907317 1090559712 /nfs/dbraw/zinc/55/97/12/1090559712.db2.gz ZPTBIFUPGNYPGZ-UKTHLTGXSA-N 0 1 305.249 3.148 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CN(CC/C=C\CCC)CCO1 ZINC001107976656 1090606252 /nfs/dbraw/zinc/60/62/52/1090606252.db2.gz SAGAUIUWAKCQOB-FQQSSWHASA-N 0 1 322.493 3.296 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1(CNC/C(Cl)=C\Cl)CC1 ZINC001166579634 1090623285 /nfs/dbraw/zinc/62/32/85/1090623285.db2.gz MOTJTCKUGSPLGE-FMIVXFBMSA-N 0 1 319.276 3.394 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1(CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001166579191 1090623447 /nfs/dbraw/zinc/62/34/47/1090623447.db2.gz FPRFZTLLGNNSBV-AWEZNQCLSA-N 0 1 319.449 3.132 20 30 DGEDMN CC(=O)n1ncc2ccc(Nc3cc(C#N)c(F)cc3O)cc21 ZINC001212422630 1090640861 /nfs/dbraw/zinc/64/08/61/1090640861.db2.gz JSRQJDCARDPIDV-UHFFFAOYSA-N 0 1 310.288 3.156 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCC1(CNCc2nccs2)CC1 ZINC001166748061 1090651197 /nfs/dbraw/zinc/65/11/97/1090651197.db2.gz VDYCGYUHAOMJID-CQSZACIVSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)/C=C(/C)C3CC3)cccc2C1 ZINC001272909622 1090677817 /nfs/dbraw/zinc/67/78/17/1090677817.db2.gz QPGUSTZQXBJCKP-QINSGFPZSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1ccc(Cl)cc1 ZINC001272915977 1090679357 /nfs/dbraw/zinc/67/93/57/1090679357.db2.gz XVAXZRVPIPMJFW-GOSISDBHSA-N 0 1 318.848 3.483 20 30 DGEDMN CCC(C)(C)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001128144773 1090684512 /nfs/dbraw/zinc/68/45/12/1090684512.db2.gz QGFCDCUWMFCLGE-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN COc1ccc([C@@H]2C[C@@H](N3CC[C@](C)(C#N)C3)CCO2)cc1 ZINC001168670945 1090691593 /nfs/dbraw/zinc/69/15/93/1090691593.db2.gz LPINCXPTJBTGIA-RYQLBKOJSA-N 0 1 300.402 3.151 20 30 DGEDMN CN1CCN(c2ccc(NC=Cc3ccc(C#N)cc3)cc2)CC1 ZINC001203302446 1090716428 /nfs/dbraw/zinc/71/64/28/1090716428.db2.gz RBFNSYUOAKVHRU-ZHACJKMWSA-N 0 1 318.424 3.393 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1cc(F)ccc1F ZINC001272938780 1090716942 /nfs/dbraw/zinc/71/69/42/1090716942.db2.gz VNBLFYZGKCMGAI-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001485876030 1090718204 /nfs/dbraw/zinc/71/82/04/1090718204.db2.gz CBHPIZRZYQUIAT-LLVKDONJSA-N 0 1 307.265 3.344 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1Cl ZINC001485873530 1090718591 /nfs/dbraw/zinc/71/85/91/1090718591.db2.gz YLVLLMWDBQQNTF-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001485913208 1090725863 /nfs/dbraw/zinc/72/58/63/1090725863.db2.gz SJNOFOHFQJTXDR-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccsc1C(F)F ZINC001485950643 1090732412 /nfs/dbraw/zinc/73/24/12/1090732412.db2.gz POQPRCMHINQAKO-SECBINFHSA-N 0 1 322.808 3.488 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@@H](NCc1coc(-c3ccccc3)n1)C2 ZINC001203446758 1090736126 /nfs/dbraw/zinc/73/61/26/1090736126.db2.gz IQFJTLBVCREOAD-SFHVURJKSA-N 0 1 315.376 3.470 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C[C@@H]1C ZINC001486109253 1090751523 /nfs/dbraw/zinc/75/15/23/1090751523.db2.gz WMLKEIYDKOPHCO-STQMWFEESA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2c(C)nsc2C)[C@@H]1C ZINC001486169504 1090772888 /nfs/dbraw/zinc/77/28/88/1090772888.db2.gz BQJWEAPMFKENBY-CZUORRHYSA-N 0 1 321.490 3.195 20 30 DGEDMN COc1cccc(OC2CCN(Cc3ccc(C#N)cc3)CC2)n1 ZINC001203905796 1090835085 /nfs/dbraw/zinc/83/50/85/1090835085.db2.gz CGOFGYUECRMZRN-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](CC)C(C)(C)C)CC1 ZINC001486519417 1090866190 /nfs/dbraw/zinc/86/61/90/1090866190.db2.gz TUNNFWYSXPVPSA-QGZVFWFLSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CCC)c2ccccc2)CC1 ZINC001486520280 1090866598 /nfs/dbraw/zinc/86/65/98/1090866598.db2.gz KFTQLHZOOHOPPC-FQEVSTJZSA-N 0 1 324.468 3.342 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cc(F)ccc1Cl ZINC001128241681 1090921710 /nfs/dbraw/zinc/92/17/10/1090921710.db2.gz AYFUSIQEEDJXQT-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCNCc2nc(C)c(C)o2)CC1 ZINC001273001772 1090982299 /nfs/dbraw/zinc/98/22/99/1090982299.db2.gz MRISDZRKDTZMOS-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCC(=O)N1CCC(CNCc2ncc(C(C)C)o2)CC1 ZINC001204753878 1091030439 /nfs/dbraw/zinc/03/04/39/1091030439.db2.gz SVFVRCSUTXXFNZ-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@@H](CC)CCCC)C1 ZINC001108080044 1091030695 /nfs/dbraw/zinc/03/06/95/1091030695.db2.gz CUQKORQSWBUNCV-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC001168748912 1091050429 /nfs/dbraw/zinc/05/04/29/1091050429.db2.gz BZQJSTZTVFNMMT-XWCIJXRUSA-N 0 1 323.387 3.058 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC001168748907 1091050504 /nfs/dbraw/zinc/05/05/04/1091050504.db2.gz BZQJSTZTVFNMMT-JKDFXYPNSA-N 0 1 323.387 3.058 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1cccc3nccnc13)C2 ZINC001138299109 1091133523 /nfs/dbraw/zinc/13/35/23/1091133523.db2.gz PDJODFNOOKILES-UHFFFAOYSA-N 0 1 300.365 3.060 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C2(CCC)CCC2)C1 ZINC001108139998 1091133826 /nfs/dbraw/zinc/13/38/26/1091133826.db2.gz JSIAZPSCTFAFAA-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C(#Cc1ccc(CN2CC3(C2)CCOC3)cc1)c1ccccc1 ZINC001138333674 1091143293 /nfs/dbraw/zinc/14/32/93/1091143293.db2.gz LECLFQSYYZEGIM-UHFFFAOYSA-N 0 1 303.405 3.309 20 30 DGEDMN CCCN(CCC#N)Cc1cc2c3c(c1O)CCCN3CCC2 ZINC001138337499 1091145616 /nfs/dbraw/zinc/14/56/16/1091145616.db2.gz RPCKQFUMFXJAML-UHFFFAOYSA-N 0 1 313.445 3.217 20 30 DGEDMN C#CCOc1ccc(CN2CCC(F)(F)[C@@H](C)C2)cc1OC ZINC001138342681 1091146462 /nfs/dbraw/zinc/14/64/62/1091146462.db2.gz AQJWFQUMEOOINW-ZDUSSCGKSA-N 0 1 309.356 3.184 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccc(F)cc2)cc1OC ZINC001138341272 1091147027 /nfs/dbraw/zinc/14/70/27/1091147027.db2.gz LYAVHHFMEFRYMM-UHFFFAOYSA-N 0 1 313.372 3.478 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149571 1091153667 /nfs/dbraw/zinc/15/36/67/1091153667.db2.gz XYDFUJYEMQDTSN-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108150474 1091153939 /nfs/dbraw/zinc/15/39/39/1091153939.db2.gz DCMDHMAQEFAPAZ-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108149528 1091154103 /nfs/dbraw/zinc/15/41/03/1091154103.db2.gz XHHBHZYQJKFODW-TYLRKXQCSA-N 0 1 322.493 3.152 20 30 DGEDMN CC(C)(C)OC(=O)Nc1cccnc1CN1CCC(S)CC1 ZINC001138407083 1091171467 /nfs/dbraw/zinc/17/14/67/1091171467.db2.gz HLBYGJYKPXEHFO-UHFFFAOYSA-N 0 1 323.462 3.323 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)C1CCC(C)CC1 ZINC001129155694 1091203455 /nfs/dbraw/zinc/20/34/55/1091203455.db2.gz RFJFPGGBSTXHCT-PESDSKBTSA-N 0 1 300.874 3.297 20 30 DGEDMN C[C@H](CC#N)N(C)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001293071042 1091212813 /nfs/dbraw/zinc/21/28/13/1091212813.db2.gz GMWDATLAXRUSRX-SNVBAGLBSA-N 0 1 302.765 3.104 20 30 DGEDMN C#CCOc1ccc(CN2CCN(c3ccccc3C)CC2)cc1 ZINC001138556100 1091227852 /nfs/dbraw/zinc/22/78/52/1091227852.db2.gz FQJHXQLNLQOFKJ-UHFFFAOYSA-N 0 1 320.436 3.329 20 30 DGEDMN CC(C)OCCN(CCOC(C)C)Cc1ccc(F)c(C#N)c1 ZINC001205479264 1091231123 /nfs/dbraw/zinc/23/11/23/1091231123.db2.gz XNVWQQCWUWBWOV-UHFFFAOYSA-N 0 1 322.424 3.349 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCN(Cc2ccc(F)c(C#N)c2)C1 ZINC001205496933 1091235189 /nfs/dbraw/zinc/23/51/89/1091235189.db2.gz ZXTSDLIWKLPAHE-AWEZNQCLSA-N 0 1 318.392 3.251 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2ccc(F)c(C#N)c2)C[C@@H]1C ZINC001205502383 1091237235 /nfs/dbraw/zinc/23/72/35/1091237235.db2.gz SZLWBJJKABXFAH-DZGCQCFKSA-N 0 1 318.392 3.109 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2ccc(CN3CCCC3)o2)cc1 ZINC001293382530 1091238192 /nfs/dbraw/zinc/23/81/92/1091238192.db2.gz TVVNKMVEGBPOGL-UHFFFAOYSA-N 0 1 324.380 3.140 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc3[nH]ccc3c2)C1 ZINC001205611987 1091277711 /nfs/dbraw/zinc/27/77/11/1091277711.db2.gz GFWHOGHIBQPLSN-INIZCTEOSA-N 0 1 313.401 3.045 20 30 DGEDMN CNc1ncccc1CN1CCC[C@H](Oc2ccc(C#N)cc2)C1 ZINC001138697367 1091291214 /nfs/dbraw/zinc/29/12/14/1091291214.db2.gz YOYMERABSPDZCA-SFHVURJKSA-N 0 1 322.412 3.038 20 30 DGEDMN C=C[C@@H](C(=O)NCc1nc2ccc(C)cc2[nH]1)c1ccccc1 ZINC001294204523 1091360231 /nfs/dbraw/zinc/36/02/31/1091360231.db2.gz KBXDKVAGEFGRHX-OAHLLOKOSA-N 0 1 305.381 3.457 20 30 DGEDMN C=C(CC(C)=O)Nc1nc(Cl)nc2nc(SC)sc21 ZINC001163737056 1091378102 /nfs/dbraw/zinc/37/81/02/1091378102.db2.gz NAYRXUHVCLKLPY-PLNGDYQASA-N 0 1 314.823 3.366 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C)CCCCCC3)[C@@H]2C1 ZINC001084413790 1091486696 /nfs/dbraw/zinc/48/66/96/1091486696.db2.gz ICTSBUJHQAQDGO-IAGOWNOFSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c(C)c(F)ccc3Cl)[C@@H]2C1 ZINC001084467005 1091490853 /nfs/dbraw/zinc/49/08/53/1091490853.db2.gz MVFJZOVESYTEHT-IUODEOHRSA-N 0 1 322.811 3.120 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H]3CCN(Cc4ccsc4)C[C@H]32)C1 ZINC001084645850 1091524362 /nfs/dbraw/zinc/52/43/62/1091524362.db2.gz HFZVYWNDXYOARL-HZPDHXFCSA-N 0 1 316.470 3.137 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1ccc(-n3ccnc3)cc1)C2 ZINC001139356501 1091533888 /nfs/dbraw/zinc/53/38/88/1091533888.db2.gz NAMYQRIENIIHCY-UHFFFAOYSA-N 0 1 314.392 3.302 20 30 DGEDMN COC(=O)c1[nH]c2ccccc2c1CN1[C@@H]2CC[C@H]1C[C@H](C#N)C2 ZINC001139399513 1091546202 /nfs/dbraw/zinc/54/62/02/1091546202.db2.gz NADWUISKBQMFCC-ZSOGYDGISA-N 0 1 323.396 3.221 20 30 DGEDMN CC1(C)COCCN1Cc1ccc(-c2cc(C#N)ccn2)cc1 ZINC001139407124 1091552521 /nfs/dbraw/zinc/55/25/21/1091552521.db2.gz QSPIPEGAVOUDBJ-UHFFFAOYSA-N 0 1 307.397 3.231 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cccc4ccsc43)[C@@H]2C1 ZINC001084756689 1091555557 /nfs/dbraw/zinc/55/55/57/1091555557.db2.gz KJVRTWYMCYVHCQ-NVXWUHKLSA-N 0 1 324.449 3.071 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccc(Cl)s3)[C@@H]2C1 ZINC001084764517 1091555971 /nfs/dbraw/zinc/55/59/71/1091555971.db2.gz KTPMHGLCKVBKIT-YNEHKIRRSA-N 0 1 324.877 3.224 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc4c(c3)CCC=C4)[C@@H]2C1 ZINC001084779639 1091562818 /nfs/dbraw/zinc/56/28/18/1091562818.db2.gz RSHGQNSKTOXNSK-WOJBJXKFSA-N 0 1 322.452 3.368 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cccc4ccccc43)[C@@H]2C1 ZINC001084790510 1091567239 /nfs/dbraw/zinc/56/72/39/1091567239.db2.gz GNTFIVICDJTWJL-UYAOXDASSA-N 0 1 320.436 3.101 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccccc3C3CCC3)[C@@H]2C1 ZINC001084802932 1091575505 /nfs/dbraw/zinc/57/55/05/1091575505.db2.gz XPRGQYMRQBTWBR-VQIMIIECSA-N 0 1 310.441 3.286 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc4ccccc4o3)[C@@H]2C1 ZINC001084812963 1091580418 /nfs/dbraw/zinc/58/04/18/1091580418.db2.gz HMVSWDTYGGCHOH-HZPDHXFCSA-N 0 1 310.397 3.155 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C(C)(F)F)cc3)[C@@H]2C1 ZINC001084876090 1091601477 /nfs/dbraw/zinc/60/14/77/1091601477.db2.gz NCZZBLYIEUCTQI-GDBMZVCRSA-N 0 1 320.383 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001085005143 1091634677 /nfs/dbraw/zinc/63/46/77/1091634677.db2.gz JAYGVWALMIDLQZ-ZRJDUIELSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001085024447 1091642185 /nfs/dbraw/zinc/64/21/85/1091642185.db2.gz XKPCRADUDQRHSA-KCOYDGFKSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H]3CCN(C/C=C/Cl)[C@H]3C2)CC1 ZINC001085023646 1091642502 /nfs/dbraw/zinc/64/25/02/1091642502.db2.gz NSXVGDNSCUGCHW-RIGKITEYSA-N 0 1 322.880 3.408 20 30 DGEDMN O=C(C#Cc1ccccc1)Nc1ccccc1Nc1ccncc1 ZINC001139690483 1091643610 /nfs/dbraw/zinc/64/36/10/1091643610.db2.gz HJTUKLOFLVIGJS-UHFFFAOYSA-N 0 1 313.360 3.237 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CCN(C[C@@H](F)CC)[C@H]3C2)C1 ZINC001085027118 1091644134 /nfs/dbraw/zinc/64/41/34/1091644134.db2.gz MUKQHNGOPOOUKK-PMPSAXMXSA-N 0 1 308.441 3.014 20 30 DGEDMN N#Cc1cccc2c(CN3CCc4cc(O)ccc4C3)c[nH]c21 ZINC001139702807 1091645991 /nfs/dbraw/zinc/64/59/91/1091645991.db2.gz HVCVALULCHNKCW-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN Cc1ccc(CN2CCN3CCc4ccccc4[C@H]3C2)cc1C#N ZINC001139726195 1091654674 /nfs/dbraw/zinc/65/46/74/1091654674.db2.gz YXPBHYHOXMUYKB-OAQYLSRUSA-N 0 1 317.436 3.282 20 30 DGEDMN COC(=O)c1ccc(C2CN(Cc3ccc(C)c(C#N)c3)C2)cc1 ZINC001139727717 1091656567 /nfs/dbraw/zinc/65/65/67/1091656567.db2.gz XNEIPEJVQQFURA-UHFFFAOYSA-N 0 1 320.392 3.253 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](OCCc2ccc(O)cc2)C1 ZINC001206725060 1091713500 /nfs/dbraw/zinc/71/35/00/1091713500.db2.gz PZVHVXZNFHAZMQ-FQEVSTJZSA-N 0 1 322.408 3.097 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1c[nH]cc2ncnc1-2 ZINC001296464191 1091744846 /nfs/dbraw/zinc/74/48/46/1091744846.db2.gz VVHIDQBMVWJHHA-UHFFFAOYSA-N 0 1 322.368 3.108 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1cncc2[nH]cnc21 ZINC001296464191 1091744851 /nfs/dbraw/zinc/74/48/51/1091744851.db2.gz VVHIDQBMVWJHHA-UHFFFAOYSA-N 0 1 322.368 3.108 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCC[C@H]2NCc2nccs2)C1 ZINC001085324549 1091748027 /nfs/dbraw/zinc/74/80/27/1091748027.db2.gz DKZLVXAAHLNCEX-ZIAGYGMSSA-N 0 1 319.474 3.016 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2cc3cc(C)ccc3[nH]2)C1=O ZINC001273327631 1091770233 /nfs/dbraw/zinc/77/02/33/1091770233.db2.gz ZYGQUTVVJHLRDN-FQEVSTJZSA-N 0 1 323.440 3.229 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCc4cc(O)ccc4C3)c2c1 ZINC001140113261 1091773131 /nfs/dbraw/zinc/77/31/31/1091773131.db2.gz UQLNWPYKCUQDRF-UHFFFAOYSA-N 0 1 303.365 3.303 20 30 DGEDMN Cc1cc(CN2C[C@@H](C)[C@H](NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001206951941 1091804879 /nfs/dbraw/zinc/80/48/79/1091804879.db2.gz PTCGWJQMNTUYOG-CZUORRHYSA-N 0 1 318.486 3.043 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(SC)s1 ZINC001273340897 1091818133 /nfs/dbraw/zinc/81/81/33/1091818133.db2.gz XNYYVJQYWNCUKK-ZIAGYGMSSA-N 0 1 322.499 3.221 20 30 DGEDMN C#C[C@H](C)N(C)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001297186819 1091841722 /nfs/dbraw/zinc/84/17/22/1091841722.db2.gz QHBASCJZBMJAON-ZDUSSCGKSA-N 0 1 303.365 3.324 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1sc(C)nc1C ZINC001154957258 1091842621 /nfs/dbraw/zinc/84/26/21/1091842621.db2.gz AXLQSNKDXNMJNT-CYBMUJFWSA-N 0 1 323.506 3.443 20 30 DGEDMN Cc1ccccc1O[C@H]1CCCN(Cc2cc(C#N)n(C)c2)C1 ZINC001207106824 1091845606 /nfs/dbraw/zinc/84/56/06/1091845606.db2.gz WOLPENHDGMPMHD-SFHVURJKSA-N 0 1 309.413 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1nsc2ccccc21 ZINC001085610923 1091853895 /nfs/dbraw/zinc/85/38/95/1091853895.db2.gz DVSIMVSNXMSDGE-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1scc(C)c1Cl ZINC001085614048 1091856233 /nfs/dbraw/zinc/85/62/33/1091856233.db2.gz DQRMWSMUDLFXNO-GFCCVEGCSA-N 0 1 312.866 3.432 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1conc1C1CCCC1 ZINC001085636875 1091864779 /nfs/dbraw/zinc/86/47/79/1091864779.db2.gz BFBZGQNZKKQYHI-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1coc2c1cccc2C ZINC001085643584 1091867118 /nfs/dbraw/zinc/86/71/18/1091867118.db2.gz SCEKDRXIDJNIJL-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1nc(C(C)(C)C)cs1 ZINC001085653354 1091870529 /nfs/dbraw/zinc/87/05/29/1091870529.db2.gz QGEHRAFWUFQLIZ-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc2c1CCCCC2 ZINC001085657937 1091871654 /nfs/dbraw/zinc/87/16/54/1091871654.db2.gz DWDVVLJWNXNOFA-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cnc2ccccc2c1C ZINC001085729959 1091902817 /nfs/dbraw/zinc/90/28/17/1091902817.db2.gz LVZVYGVSVFIJEO-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN CN(Cc1ccc(Br)cc1)Cc1ccc(C#N)nc1 ZINC001140543349 1091903575 /nfs/dbraw/zinc/90/35/75/1091903575.db2.gz MKEQQBZUFJNWLA-UHFFFAOYSA-N 0 1 316.202 3.348 20 30 DGEDMN N#Cc1ccc(CN2CCC(COc3ccccc3)CC2)cn1 ZINC001140543348 1091903829 /nfs/dbraw/zinc/90/38/29/1091903829.db2.gz MJFKLOZHACUZID-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cnc2ccsc2c1 ZINC001085761142 1091915959 /nfs/dbraw/zinc/91/59/59/1091915959.db2.gz BLYUGILFBHOHGN-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H]1CN1CCC(C#N)CC1 ZINC001207307802 1091918198 /nfs/dbraw/zinc/91/81/98/1091918198.db2.gz PQYHYAUGFRQVPV-OAHLLOKOSA-N 0 1 307.438 3.012 20 30 DGEDMN CC(=O)N1CC[C@@H](N(Cc2cc(C#N)ccc2Cl)C(C)C)C1 ZINC001140603817 1091929596 /nfs/dbraw/zinc/92/95/96/1091929596.db2.gz DWSXOMIZYULWLX-MRXNPFEDSA-N 0 1 319.836 3.043 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C(C)C)nc1C ZINC001085819029 1091953048 /nfs/dbraw/zinc/95/30/48/1091953048.db2.gz OATNADKXXNKAOU-MRXNPFEDSA-N 0 1 315.461 3.236 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H](CO)c1ccc(Cl)cc1 ZINC001207424260 1091964411 /nfs/dbraw/zinc/96/44/11/1091964411.db2.gz PIXAGDJVTGBZEQ-CQSZACIVSA-N 0 1 318.779 3.216 20 30 DGEDMN CCOC[C@@]12CCC[C@@H]1CN(Cc1cc(F)c(C#N)c(F)c1)C2 ZINC001140751842 1091984317 /nfs/dbraw/zinc/98/43/17/1091984317.db2.gz ILICGHDVWWAJRW-KDOFPFPSSA-N 0 1 320.383 3.475 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(C)s1 ZINC001085865528 1091991173 /nfs/dbraw/zinc/99/11/73/1091991173.db2.gz GZNFSOOBESAAEF-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C(F)F)cc1 ZINC001085876408 1091992897 /nfs/dbraw/zinc/99/28/97/1091992897.db2.gz ZYBVTPWVJLMSQR-HNNXBMFYSA-N 0 1 308.372 3.347 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001085928949 1092019222 /nfs/dbraw/zinc/01/92/22/1092019222.db2.gz LFDSIYHJESGNKL-IBGZPJMESA-N 0 1 310.441 3.368 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1sccc1C1CC1 ZINC001085959096 1092049542 /nfs/dbraw/zinc/04/95/42/1092049542.db2.gz SHOUOLDCIMFPLF-AWEZNQCLSA-N 0 1 304.459 3.348 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2cc(C)ccc2o1 ZINC001085986821 1092069749 /nfs/dbraw/zinc/06/97/49/1092069749.db2.gz NRSCIKSUWCIGDK-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c(CC)oc2ccccc21 ZINC001085989682 1092075375 /nfs/dbraw/zinc/07/53/75/1092075375.db2.gz WXHKQDTYNKSQCI-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCCc2ccccc21 ZINC001085992699 1092079209 /nfs/dbraw/zinc/07/92/09/1092079209.db2.gz RIUHCDWUAIHQRK-UYAOXDASSA-N 0 1 324.468 3.053 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001108229474 1092084892 /nfs/dbraw/zinc/08/48/92/1092084892.db2.gz HHQJBEAVFLAIJV-ZHALLVOQSA-N 0 1 324.509 3.210 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c2ccc(F)cc21 ZINC001086002313 1092093064 /nfs/dbraw/zinc/09/30/64/1092093064.db2.gz GFOQRTLZHYWGHV-CQSZACIVSA-N 0 1 315.392 3.029 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cc1ccc2cccc(O)c2n1 ZINC001141024532 1092109798 /nfs/dbraw/zinc/10/97/98/1092109798.db2.gz VOGSYOJITNFQLK-UHFFFAOYSA-N 0 1 303.365 3.444 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CCCCCC)C2)C1 ZINC001276548711 1092115661 /nfs/dbraw/zinc/11/56/61/1092115661.db2.gz NRRBUHJYOMQVTI-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN N#Cc1c(N)sc(CN2C[C@H]3[C@H](CCC3(F)F)C2)c1Cl ZINC001141107501 1092140251 /nfs/dbraw/zinc/14/02/51/1092140251.db2.gz YBSKVCJGIUABIT-APPZFPTMSA-N 0 1 317.792 3.332 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108246953 1092147269 /nfs/dbraw/zinc/14/72/69/1092147269.db2.gz YNEXAJNUDLYWLL-SGQUHAKNSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@@H](CCC)C(C)C)C1 ZINC001108251723 1092157767 /nfs/dbraw/zinc/15/77/67/1092157767.db2.gz KLXWMVZHXCDFHF-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CC(C)(C)CC(F)F)C1 ZINC001208044186 1092183257 /nfs/dbraw/zinc/18/32/57/1092183257.db2.gz AWSMLEHPODVLQK-CHWSQXEVSA-N 0 1 302.409 3.071 20 30 DGEDMN C=CCOC[C@@H]1c2c(ncn2C)CCN1CCCC1CCCC1 ZINC001208091289 1092194477 /nfs/dbraw/zinc/19/44/77/1092194477.db2.gz VQKFQFYJGGTRBX-GOSISDBHSA-N 0 1 317.477 3.492 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001491729143 1092215086 /nfs/dbraw/zinc/21/50/86/1092215086.db2.gz ULVVLJIBACKVTG-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN N#Cc1ccccc1N1CCN(CCc2cccc(F)c2)CC1 ZINC001208196599 1092257216 /nfs/dbraw/zinc/25/72/16/1092257216.db2.gz WJPUAWXYUWGROI-UHFFFAOYSA-N 0 1 309.388 3.062 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc3c(c2)Cc2ccccc2-3)CCC1=O ZINC001141739198 1092328036 /nfs/dbraw/zinc/32/80/36/1092328036.db2.gz HOHKQLGVGFDNON-QGZVFWFLSA-N 0 1 302.377 3.172 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C[C@@H](C)CC(C)C)C1 ZINC001108336315 1092337253 /nfs/dbraw/zinc/33/72/53/1092337253.db2.gz AJHVALVWJQUMDA-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C[C@@H](C)CC(C)C)C1 ZINC001108336312 1092337416 /nfs/dbraw/zinc/33/74/16/1092337416.db2.gz AJHVALVWJQUMDA-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001108341429 1092339790 /nfs/dbraw/zinc/33/97/90/1092339790.db2.gz ZEGROJUJZXWEMM-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN O[C@@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@@H]1F ZINC001141972855 1092372565 /nfs/dbraw/zinc/37/25/65/1092372565.db2.gz SVABLIJTNHXDRV-ZWKOTPCHSA-N 0 1 315.413 3.053 20 30 DGEDMN O[C@@H]1CN(Cc2ccc(C#Cc3ccccc3)s2)CC[C@@H]1F ZINC001141972855 1092372577 /nfs/dbraw/zinc/37/25/77/1092372577.db2.gz SVABLIJTNHXDRV-ZWKOTPCHSA-N 0 1 315.413 3.053 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(CN2CCN3CC[C@H]3C2)s1 ZINC001141977820 1092375755 /nfs/dbraw/zinc/37/57/55/1092375755.db2.gz QEBVYWXYGAPVSZ-KRWDZBQOSA-N 0 1 308.450 3.038 20 30 DGEDMN C[C@@H]1C[C@H](NC2(CC#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168843503 1092395463 /nfs/dbraw/zinc/39/54/63/1092395463.db2.gz GJVSZDUSMGKNMB-KGLIPLIRSA-N 0 1 307.438 3.200 20 30 DGEDMN N#Cc1ccc2c(c1)CCN2C(=O)c1cc(-c2cccs2)n[nH]1 ZINC001142046302 1092398205 /nfs/dbraw/zinc/39/82/05/1092398205.db2.gz WCYCFVUDLZZFHK-UHFFFAOYSA-N 0 1 320.377 3.213 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H](C)N[C@H](C)c1ncc(C)o1 ZINC001492089674 1092400596 /nfs/dbraw/zinc/40/05/96/1092400596.db2.gz FCVLHBBVWCCCOO-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3cc(C#N)ccn3)cc2[nH]1 ZINC001142728853 1092539013 /nfs/dbraw/zinc/53/90/13/1092539013.db2.gz JKHFBKMQAJILBO-UHFFFAOYSA-N 0 1 319.368 3.379 20 30 DGEDMN CCCCN(CCCc1cccc(C#N)c1)CC(=O)OCC ZINC001208851123 1092544957 /nfs/dbraw/zinc/54/49/57/1092544957.db2.gz RFLIGWJVKJVBTN-UHFFFAOYSA-N 0 1 302.418 3.156 20 30 DGEDMN N#Cc1cccc(CCCN2CCOC[C@H]2Cc2ccccc2)c1 ZINC001208854845 1092547485 /nfs/dbraw/zinc/54/74/85/1092547485.db2.gz WMSFHMFCLURBSH-OAQYLSRUSA-N 0 1 320.436 3.434 20 30 DGEDMN N#Cc1ccc(CCCN2CCOC[C@H]2Cc2ccccc2)cc1 ZINC001208864500 1092551552 /nfs/dbraw/zinc/55/15/52/1092551552.db2.gz NIGDHKVLSGLRFR-OAQYLSRUSA-N 0 1 320.436 3.434 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(C[C@@H](C)C(F)(F)F)CCO2 ZINC001208891617 1092568422 /nfs/dbraw/zinc/56/84/22/1092568422.db2.gz LVQKXETZGBJVDZ-RBSFLKMASA-N 0 1 321.383 3.259 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001208917757 1092585287 /nfs/dbraw/zinc/58/52/87/1092585287.db2.gz ASAPCUUASPGUIP-RPHSKFLZSA-N 0 1 319.276 3.488 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2cccn3ccnc23)CC1 ZINC001142944245 1092592964 /nfs/dbraw/zinc/59/29/64/1092592964.db2.gz HPVKQWBUKBUVIU-UHFFFAOYSA-N 0 1 316.408 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208954231 1092608376 /nfs/dbraw/zinc/60/83/76/1092608376.db2.gz HVFCCOYERPSMHU-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN(CCN1Cc2ccccc2[C@H](C)C1)C(=O)OCC ZINC001209017241 1092626272 /nfs/dbraw/zinc/62/62/72/1092626272.db2.gz MOZGARMZZPOBQO-OAHLLOKOSA-N 0 1 302.418 3.250 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001209116507 1092658164 /nfs/dbraw/zinc/65/81/64/1092658164.db2.gz SUVPMZUVSIGWIZ-LZLYRXPVSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC001209126203 1092664377 /nfs/dbraw/zinc/66/43/77/1092664377.db2.gz ZKQLYAFMOWAKBR-NZSAHSFTSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001209182931 1092684636 /nfs/dbraw/zinc/68/46/36/1092684636.db2.gz KECYZPGYOWFXIR-HSWBZUAGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2ccc(F)cc2F)C1 ZINC001209334789 1092737898 /nfs/dbraw/zinc/73/78/98/1092737898.db2.gz GJUGEQHWNVHNCF-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2cccc(Cl)n2)C1 ZINC001209335768 1092738523 /nfs/dbraw/zinc/73/85/23/1092738523.db2.gz QOSHCQZTOCWNLE-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](CC)CCCCCC)C1 ZINC001150099620 1092754882 /nfs/dbraw/zinc/75/48/82/1092754882.db2.gz HRIPVLKORCIHJC-ROUUACIJSA-N 0 1 324.509 3.376 20 30 DGEDMN Cc1nc2ccc(NC(=O)C(=O)C=Cc3ccc(F)cc3)cc2[nH]1 ZINC001150107217 1092755922 /nfs/dbraw/zinc/75/59/22/1092755922.db2.gz YTSAWLJNMAEXNC-RUDMXATFSA-N 0 1 323.327 3.231 20 30 DGEDMN C=CCCCCC[N@H+]1CC2CC1(C(=O)NCc1ccco1)C2 ZINC001209424047 1092768895 /nfs/dbraw/zinc/76/88/95/1092768895.db2.gz IGGKQJQCGYEZGF-UHFFFAOYSA-N 0 1 302.418 3.107 20 30 DGEDMN C=CCCCCCN1CC2CC1(C(=O)NCc1ccco1)C2 ZINC001209424047 1092768906 /nfs/dbraw/zinc/76/89/06/1092768906.db2.gz IGGKQJQCGYEZGF-UHFFFAOYSA-N 0 1 302.418 3.107 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H](C1)O2 ZINC001209423817 1092770130 /nfs/dbraw/zinc/77/01/30/1092770130.db2.gz ZBXDMPYWNIDROS-IYBDPMFKSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CCCCCCN1C[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H](C1)O2 ZINC001209423817 1092770134 /nfs/dbraw/zinc/77/01/34/1092770134.db2.gz ZBXDMPYWNIDROS-IYBDPMFKSA-N 0 1 324.465 3.053 20 30 DGEDMN C=CCCCCCCCN1CCO[C@@]2(CCCN(C(C)=O)C2)C1 ZINC001209439163 1092774126 /nfs/dbraw/zinc/77/41/26/1092774126.db2.gz JAPBFPDJWWUARO-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCCCCCCCCN1Cc2nnn(C)c2[C@@H](COC)C1 ZINC001209443574 1092776990 /nfs/dbraw/zinc/77/69/90/1092776990.db2.gz VTAXJGDFKCFYBH-MRXNPFEDSA-N 0 1 320.481 3.277 20 30 DGEDMN C=CCCCCCCCCN1CCCC(=O)[C@@H]1C(=O)OCC ZINC001209444578 1092777137 /nfs/dbraw/zinc/77/71/37/1092777137.db2.gz UUJJRRVCTCVVET-QGZVFWFLSA-N 0 1 309.450 3.500 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001209453676 1092781349 /nfs/dbraw/zinc/78/13/49/1092781349.db2.gz CCFCEYQLLNBCEV-BUUHPPLQSA-N 0 1 319.276 3.488 20 30 DGEDMN CCCCCCCCNC(=O)c1cccc2nc(CO)[nH]c21 ZINC001151103145 1092866136 /nfs/dbraw/zinc/86/61/36/1092866136.db2.gz MJZHAKUMILYGDW-UHFFFAOYSA-N 0 1 303.406 3.146 20 30 DGEDMN CCC(C)(CC)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001151600557 1092926413 /nfs/dbraw/zinc/92/64/13/1092926413.db2.gz LKCQDUCDBLVCNP-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](OCCCn2cccc2)C1 ZINC001209929683 1092983004 /nfs/dbraw/zinc/98/30/04/1092983004.db2.gz SAOZDDSLZFVWER-LJQANCHMSA-N 0 1 309.413 3.041 20 30 DGEDMN Cc1ccc(-n2ncc(C#N)c2Nc2cnc(N)cc2C)cc1 ZINC001209967953 1092997504 /nfs/dbraw/zinc/99/75/04/1092997504.db2.gz OOFXZHKFGDWILU-UHFFFAOYSA-N 0 1 304.357 3.082 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001210110832 1093045819 /nfs/dbraw/zinc/04/58/19/1093045819.db2.gz FPUUIDFHECQRRI-FGTMMUONSA-N 0 1 306.494 3.299 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc4c3OCO4)sc2C1 ZINC001210556460 1093207924 /nfs/dbraw/zinc/20/79/24/1093207924.db2.gz IUWMCNVBKQPKFU-UHFFFAOYSA-N 0 1 313.382 3.080 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H](C)CC(C)C)C1 ZINC001210615327 1093229154 /nfs/dbraw/zinc/22/91/54/1093229154.db2.gz NDMAFNVWRGOYCX-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@](C)(CC)CCCCCC)C1 ZINC001211191959 1093411698 /nfs/dbraw/zinc/41/16/98/1093411698.db2.gz XXOFZPYSMGXXAW-LMMKCTJWSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C[C@@H](C(=O)N[C@@H]1CN(C/C=C/Cl)C[C@H]1C)c1ccccc1 ZINC001211241622 1093430533 /nfs/dbraw/zinc/43/05/33/1093430533.db2.gz NRMNOZQBWLISEY-LKMUACDVSA-N 0 1 318.848 3.145 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(C(F)(F)F)CCCCC2)C1 ZINC001211473549 1093503526 /nfs/dbraw/zinc/50/35/26/1093503526.db2.gz RSMZDACRQKBOMQ-CHWSQXEVSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](CC)CCCCCC)[C@H](OC)C1 ZINC001213256508 1093552350 /nfs/dbraw/zinc/55/23/50/1093552350.db2.gz HMQOJAFUTOANHE-KZNAEPCWSA-N 0 1 324.509 3.375 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)CCCCCCCC)[C@H](OC)C1 ZINC001213769305 1093658163 /nfs/dbraw/zinc/65/81/63/1093658163.db2.gz OIZIIHCQDGNSMY-IAGOWNOFSA-N 0 1 310.482 3.129 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NCc2ccc(F)cc2Cl)C1 ZINC001214301823 1093752491 /nfs/dbraw/zinc/75/24/91/1093752491.db2.gz LTCWNMFNVCWLFH-MLGOLLRUSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001214727864 1093840746 /nfs/dbraw/zinc/84/07/46/1093840746.db2.gz VZIVHZUTDOTJKP-DTUFIANYSA-N 0 1 319.276 3.344 20 30 DGEDMN COC(=O)c1c(F)ccc(Nc2cc(C#N)c(F)cc2O)c1F ZINC001215194641 1093937008 /nfs/dbraw/zinc/93/70/08/1093937008.db2.gz HEOCIGIHUBBSTR-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NCc2c(F)cccc2F)C1 ZINC001215429461 1093981470 /nfs/dbraw/zinc/98/14/70/1093981470.db2.gz DMBOXYBWBUQOTJ-CXAGYDPISA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@](C)(CC)CCC)C[C@H]1C ZINC001216082220 1094119643 /nfs/dbraw/zinc/11/96/43/1094119643.db2.gz WDZDXLUKGQXYJQ-XNRPHZJLSA-N 0 1 300.874 3.392 20 30 DGEDMN COc1cc(-c2noc(-c3ccc(O)c(C#N)c3)n2)ccc1C ZINC001216969572 1094285241 /nfs/dbraw/zinc/28/52/41/1094285241.db2.gz GXWBYOHOGGLIPA-UHFFFAOYSA-N 0 1 307.309 3.298 20 30 DGEDMN CC(C)CCCCCC(=O)NCCNCC#Cc1ccccc1 ZINC001134788934 1094288925 /nfs/dbraw/zinc/28/89/25/1094288925.db2.gz NMANFQWOMJHOMS-UHFFFAOYSA-N 0 1 314.473 3.350 20 30 DGEDMN Cc1nn(C(C)C)c(C)c1CNCc1ccc(OCC#N)cc1 ZINC001119947491 1094318866 /nfs/dbraw/zinc/31/88/66/1094318866.db2.gz UAZPUHNZJLQGDJ-UHFFFAOYSA-N 0 1 312.417 3.273 20 30 DGEDMN N#Cc1cccc(-c2noc(-c3n[nH]c4c3cccc4F)n2)c1 ZINC001217323613 1094374698 /nfs/dbraw/zinc/37/46/98/1094374698.db2.gz VIGZBQPAOWMJIH-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN C[C@H]([C@H](C)C(=O)NCCNCC#Cc1ccccc1)C(C)(C)C ZINC001135177791 1094429024 /nfs/dbraw/zinc/42/90/24/1094429024.db2.gz LRGSCMFAMMYFLW-DLBZAZTESA-N 0 1 314.473 3.062 20 30 DGEDMN C[C@H](C(=O)NCCNCC#Cc1ccccc1)[C@H](C)C(C)(C)C ZINC001135177793 1094429082 /nfs/dbraw/zinc/42/90/82/1094429082.db2.gz LRGSCMFAMMYFLW-IRXDYDNUSA-N 0 1 314.473 3.062 20 30 DGEDMN C[C@H]([C@H](C)C(=O)NCCNCc1ccccc1C#N)C(C)(C)C ZINC001135178130 1094430626 /nfs/dbraw/zinc/43/06/26/1094430626.db2.gz QCHIULBGESUGMT-LSDHHAIUSA-N 0 1 315.461 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135180887 1094431861 /nfs/dbraw/zinc/43/18/61/1094431861.db2.gz KMSSUKIMZODUJJ-CHWSQXEVSA-N 0 1 323.506 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1csc(CC)n1 ZINC001135188282 1094435813 /nfs/dbraw/zinc/43/58/13/1094435813.db2.gz ITXVAEGGFITDIQ-QWHCGFSZSA-N 0 1 323.506 3.291 20 30 DGEDMN C=CCCC(=O)NC1CC2(C1)CC(N[C@H](C)c1ncc(C)o1)C2 ZINC001120812694 1094530732 /nfs/dbraw/zinc/53/07/32/1094530732.db2.gz FUCSTWVPYYRPON-OQDWRCPYSA-N 0 1 317.433 3.027 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001135560738 1094576766 /nfs/dbraw/zinc/57/67/66/1094576766.db2.gz KYWPFLINKFPUAN-OLZOCXBDSA-N 0 1 321.465 3.267 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218199339 1094654946 /nfs/dbraw/zinc/65/49/46/1094654946.db2.gz DTMPXAHWCKIJOW-MAUKXSAKSA-N 0 1 312.800 3.421 20 30 DGEDMN Cc1cc(C)c2ccc3cccc(O[C@@H]4CNC[C@@H]4C#N)c3c2n1 ZINC001218200261 1094655073 /nfs/dbraw/zinc/65/50/73/1094655073.db2.gz FPNYJZSLPBSJPD-MAUKXSAKSA-N 0 1 317.392 3.495 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(-c2ccc(F)cc2F)cc1 ZINC001218202971 1094656516 /nfs/dbraw/zinc/65/65/16/1094656516.db2.gz OOYNZAQDKLBQKM-YVEFUNNKSA-N 0 1 300.308 3.122 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1cc(C(F)(F)F)ccc1C(F)(F)F ZINC001218202237 1094656851 /nfs/dbraw/zinc/65/68/51/1094656851.db2.gz ZGTFIJMKEKFHLR-WRWORJQWSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1cc(C(F)(F)F)ccc1C(F)(F)F ZINC001218202230 1094657104 /nfs/dbraw/zinc/65/71/04/1094657104.db2.gz ZGTFIJMKEKFHLR-CPCISQLKSA-N 0 1 324.224 3.215 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(CC(C)C)C(C)C ZINC001121612103 1094726496 /nfs/dbraw/zinc/72/64/96/1094726496.db2.gz DIHYOQULPXOECP-UHFFFAOYSA-N 0 1 302.426 3.033 20 30 DGEDMN C=C(C)C[C@H](Oc1ccc(C(=O)N2CCNCC2)cc1)C(=C)C ZINC001218437172 1094773785 /nfs/dbraw/zinc/77/37/85/1094773785.db2.gz WPXDUWHJMIHDAU-SFHVURJKSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@]12CCC[C@H]1CN(C[C@H](F)CC)C2 ZINC001111759670 1094958621 /nfs/dbraw/zinc/95/86/21/1094958621.db2.gz BXAVESSVPOZUCR-SCTDSRPQSA-N 0 1 322.468 3.474 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@@H](C2CC2)O1)c1nc2ccccc2[nH]1 ZINC001122394294 1094966105 /nfs/dbraw/zinc/96/61/05/1094966105.db2.gz BTFWKKCJZDIYFW-AHIWAGSCSA-N 0 1 309.369 3.087 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCCC1(F)F)c1nnc2n1CCCCC2 ZINC001122514768 1094995012 /nfs/dbraw/zinc/99/50/12/1094995012.db2.gz WROMCLRNHDDURD-VXGBXAGGSA-N 0 1 322.359 3.006 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)C[C@@H](C)C1 ZINC001122532838 1095002530 /nfs/dbraw/zinc/00/25/30/1095002530.db2.gz ZRXCIUWHCHVFIB-CHWSQXEVSA-N 0 1 314.437 3.035 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2ccc(OC3CC3)cc2)cc1 ZINC001170984170 1095077037 /nfs/dbraw/zinc/07/70/37/1095077037.db2.gz OWWYQJZCSSTMBR-UHFFFAOYSA-N 0 1 310.353 3.051 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000315673430 1095126020 /nfs/dbraw/zinc/12/60/20/1095126020.db2.gz UUKQMVQMRJENKJ-UHFFFAOYSA-N 0 1 312.498 3.042 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@](C)(CC)CCCCCC ZINC001115267722 1095155171 /nfs/dbraw/zinc/15/51/71/1095155171.db2.gz JMPWAQBYYFLPDZ-XFKSJGNHSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCN[C@@H](C)c1nnc(C)o1 ZINC001171043303 1095180596 /nfs/dbraw/zinc/18/05/96/1095180596.db2.gz KHKBHUWJILZMSK-KBPBESRZSA-N 0 1 322.453 3.060 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001171054737 1095210969 /nfs/dbraw/zinc/21/09/69/1095210969.db2.gz ZGMCJSBILMKYHC-AWEZNQCLSA-N 0 1 307.438 3.104 20 30 DGEDMN N#Cc1cccc2c1CC[C@H]2Nc1ccncc1C(F)(F)F ZINC001171062567 1095233955 /nfs/dbraw/zinc/23/39/55/1095233955.db2.gz IPOXRUBIIYSMRS-CQSZACIVSA-N 0 1 303.287 3.493 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001123335972 1095244473 /nfs/dbraw/zinc/24/44/73/1095244473.db2.gz AOCKRVSGAFNISK-INIZCTEOSA-N 0 1 307.397 3.428 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@@H+](C/C=C\c3ccccc3)C[C@H]21 ZINC001221423318 1095260902 /nfs/dbraw/zinc/26/09/02/1095260902.db2.gz DVWWGPGVSCQFHV-VGCSBSMUSA-N 0 1 310.441 3.199 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN(C/C=C\c3ccccc3)C[C@H]21 ZINC001221423318 1095260913 /nfs/dbraw/zinc/26/09/13/1095260913.db2.gz DVWWGPGVSCQFHV-VGCSBSMUSA-N 0 1 310.441 3.199 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001221760986 1095348237 /nfs/dbraw/zinc/34/82/37/1095348237.db2.gz WIGXMYFPGNPLMM-DJIMGWMZSA-N 0 1 318.486 3.383 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)CC[C@H](C)c3ccccc3)[C@@H]2C1 ZINC001221850443 1095373921 /nfs/dbraw/zinc/37/39/21/1095373921.db2.gz MVABAVMFCOGWSK-QXAKKESOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC[C@H](C)c3ccccc3)[C@@H]2C1 ZINC001221850443 1095373928 /nfs/dbraw/zinc/37/39/28/1095373928.db2.gz MVABAVMFCOGWSK-QXAKKESOSA-N 0 1 312.457 3.289 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCCCCCC)[C@@H]2C1 ZINC001221974803 1095402223 /nfs/dbraw/zinc/40/22/23/1095402223.db2.gz DHPHEESCWOSARQ-QZTJIDSGSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)[C@@H]1CCC[C@H](C)C1 ZINC001338896642 1095427587 /nfs/dbraw/zinc/42/75/87/1095427587.db2.gz XBWHPXQRRLPRTP-GXTWGEPZSA-N 0 1 314.437 3.178 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)[C@@H]1CCC[C@@H](C)C1 ZINC001338896651 1095427749 /nfs/dbraw/zinc/42/77/49/1095427749.db2.gz XBWHPXQRRLPRTP-TZMCWYRMSA-N 0 1 314.437 3.178 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1ccn(-c2ccncc2)n1 ZINC001339175838 1095527599 /nfs/dbraw/zinc/52/75/99/1095527599.db2.gz SHIBEQHQERMNQE-UHFFFAOYSA-N 0 1 324.409 3.157 20 30 DGEDMN CCCCC(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001222283592 1095529607 /nfs/dbraw/zinc/52/96/07/1095529607.db2.gz FWZYJFZTQKLKDQ-UXHICEINSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cn(C)nc2C2CCCCC2)C1 ZINC001116575501 1095604740 /nfs/dbraw/zinc/60/47/40/1095604740.db2.gz CLXBITOVURGRQW-KRWDZBQOSA-N 0 1 303.450 3.245 20 30 DGEDMN Cc1c(C(=O)OCc2cccc(C#N)c2F)ccc2cncn21 ZINC001116581746 1095607372 /nfs/dbraw/zinc/60/73/72/1095607372.db2.gz XGGLMNCLRGGBLO-UHFFFAOYSA-N 0 1 309.300 3.011 20 30 DGEDMN C=C[C@H](COC)NCc1cn(-c2ccc(Cl)cc2)nc1C ZINC001116640412 1095623119 /nfs/dbraw/zinc/62/31/19/1095623119.db2.gz QNHDFQMIFZDVOR-OAHLLOKOSA-N 0 1 305.809 3.125 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)nc1 ZINC001144437498 1095663777 /nfs/dbraw/zinc/66/37/77/1095663777.db2.gz XHLHCKTZZSYIEX-LJQANCHMSA-N 0 1 323.396 3.005 20 30 DGEDMN N=C(Nc1cnc2cc[nH]c2c1)c1ccc2cc(O)ccc2c1 ZINC001171185478 1095671068 /nfs/dbraw/zinc/67/10/68/1095671068.db2.gz YDBFXAZCEPOLHH-UHFFFAOYSA-N 0 1 302.337 3.459 20 30 DGEDMN C#CCNCC(=O)N[C@H](CCC(C)C)c1ccc(Cl)cc1 ZINC001339739745 1095715399 /nfs/dbraw/zinc/71/53/99/1095715399.db2.gz HCTFVYJKISUQER-MRXNPFEDSA-N 0 1 306.837 3.156 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001276832959 1095723814 /nfs/dbraw/zinc/72/38/14/1095723814.db2.gz ANDWUPOYWBZMSL-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)CCC[C@H](C)CCC)C[C@@H]21 ZINC001222763916 1095746120 /nfs/dbraw/zinc/74/61/20/1095746120.db2.gz YHNXXTZZVBMVRF-KURKYZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCCCCCCC(=O)N1CC(N(C)[C@H]2CCOC2)C1 ZINC001144797499 1095824489 /nfs/dbraw/zinc/82/44/89/1095824489.db2.gz BDLZLMXACWBKSP-KRWDZBQOSA-N 0 1 322.493 3.225 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2ccc(-c3cccc(F)c3)s2)NO1 ZINC001138003323 1095828408 /nfs/dbraw/zinc/82/84/08/1095828408.db2.gz RGPCIFSFCBAOAA-VIFPVBQESA-N 0 1 304.346 3.406 20 30 DGEDMN CC[C@H]1OC(C)=C(O[C@@H](C(=O)OC)c2ccccc2Cl)C1=O ZINC001223024593 1095835186 /nfs/dbraw/zinc/83/51/86/1095835186.db2.gz YFZYNMMOYIGJEU-IUODEOHRSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1cccc(OC)c1C ZINC001276860966 1095854094 /nfs/dbraw/zinc/85/40/94/1095854094.db2.gz LSFYLBLSCCUSOI-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN Cc1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)nnc1C ZINC001171275282 1096007933 /nfs/dbraw/zinc/00/79/33/1096007933.db2.gz WQQRXNRGOROUDQ-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCc1cccc(-c2noc(C)n2)c1 ZINC001223717766 1096044196 /nfs/dbraw/zinc/04/41/96/1096044196.db2.gz GOBZGNOUSYSUTG-CQSZACIVSA-N 0 1 314.341 3.171 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCc1ccnc(-c2cc(C)ccn2)c1 ZINC001223980265 1096109185 /nfs/dbraw/zinc/10/91/85/1096109185.db2.gz BYHAMDQLGFGGMI-LBPRGKRZSA-N 0 1 310.353 3.188 20 30 DGEDMN CCCCCC(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001147009244 1096153339 /nfs/dbraw/zinc/15/33/39/1096153339.db2.gz HXJWBDYOYXTSNW-UHFFFAOYSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1OCc1ccccc1C(=O)OC(C)(C)C ZINC001224192229 1096158125 /nfs/dbraw/zinc/15/81/25/1096158125.db2.gz ZYKLAQQJHGNTLH-LLVKDONJSA-N 0 1 318.369 3.378 20 30 DGEDMN CC(=NNc1ccnc2cccnc21)c1c(C)nn(C(C)C)c1C ZINC001119363990 1096178800 /nfs/dbraw/zinc/17/88/00/1096178800.db2.gz ZKNMDZRZQFMWEQ-UHFFFAOYSA-N 0 1 322.416 3.282 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@@H](C)CCC(C)(C)C ZINC001147786548 1096271373 /nfs/dbraw/zinc/27/13/73/1096271373.db2.gz HHNKYGROWXHLGB-NSHDSACASA-N 0 1 319.287 3.063 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1OCCCc1ccc(OC)c(OC)c1 ZINC001225072159 1096347079 /nfs/dbraw/zinc/34/70/79/1096347079.db2.gz FTCFXZNQJISSCO-CQSZACIVSA-N 0 1 320.385 3.262 20 30 DGEDMN C#C[C@H](C)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152564 1096354710 /nfs/dbraw/zinc/35/47/10/1096354710.db2.gz WAQIKCFBUMUYNE-LPHOPBHVSA-N 0 1 301.430 3.094 20 30 DGEDMN C#C[C@H](C)OC[C@@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152565 1096355402 /nfs/dbraw/zinc/35/54/02/1096355402.db2.gz WAQIKCFBUMUYNE-QFBILLFUSA-N 0 1 301.430 3.094 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1oc(CC)nc1C ZINC001225301213 1096389062 /nfs/dbraw/zinc/38/90/62/1096389062.db2.gz MPHNPVFOQAXXML-HUUCEWRRSA-N 0 1 319.449 3.029 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(Cl)cc1Cl ZINC001148803803 1096450183 /nfs/dbraw/zinc/45/01/83/1096450183.db2.gz UBRXCOKNCHGZBZ-UHFFFAOYSA-N 0 1 307.608 3.065 20 30 DGEDMN C=CCC1(C(=O)NCC2CCN(CCF)CC2)CCCCC1 ZINC001225535236 1096461666 /nfs/dbraw/zinc/46/16/66/1096461666.db2.gz YADPPXGSGCOKPJ-UHFFFAOYSA-N 0 1 310.457 3.311 20 30 DGEDMN CC(C)(CCC#N)c1ccc(C(=O)N2CCc3nc[nH]c3C2)cc1 ZINC001148900351 1096463102 /nfs/dbraw/zinc/46/31/02/1096463102.db2.gz AGBJPSDCRUSVFD-UHFFFAOYSA-N 0 1 322.412 3.190 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCC[N@@H+]2C[C@H](C)C(F)(F)F ZINC001273446605 1096482967 /nfs/dbraw/zinc/48/29/67/1096482967.db2.gz QLFAXJMISSUWGV-ZFWWWQNUSA-N 0 1 318.383 3.218 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C(C)(F)F)C2)CC1 ZINC001086897327 1096496243 /nfs/dbraw/zinc/49/62/43/1096496243.db2.gz HRZUINJMUUYWJG-LBPRGKRZSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001086937504 1096514446 /nfs/dbraw/zinc/51/44/46/1096514446.db2.gz QSQHFEXRTVXJJE-QEJZJMRPSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C[C@@H]2C)CCN(CCF)CC3)CC1 ZINC001086941573 1096516361 /nfs/dbraw/zinc/51/63/61/1096516361.db2.gz XWHQGHQEGOLDGM-INIZCTEOSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Br)ccc1F ZINC001225798175 1096532646 /nfs/dbraw/zinc/53/26/46/1096532646.db2.gz LFSDEJNNSYJADE-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(Br)cccc1OC ZINC001225803051 1096533859 /nfs/dbraw/zinc/53/38/59/1096533859.db2.gz YXBJPKHEOXAETP-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN Cc1ccc(C#N)c(OC(C(=O)c2ccco2)c2ccco2)n1 ZINC001225866265 1096548816 /nfs/dbraw/zinc/54/88/16/1096548816.db2.gz YDFIVDPGSMRDEQ-INIZCTEOSA-N 0 1 308.293 3.451 20 30 DGEDMN N#CC[C@H](Oc1nc(C(F)(F)F)cc(=O)[nH]1)c1ccccc1 ZINC001225980824 1096580716 /nfs/dbraw/zinc/58/07/16/1096580716.db2.gz PYTRPCDASDPRJL-JTQLQIEISA-N 0 1 309.247 3.235 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)[C@H](C)C1 ZINC001092817563 1096634578 /nfs/dbraw/zinc/63/45/78/1096634578.db2.gz ZOTOXNKYDXCHDR-NMFUWQPSSA-N 0 1 312.885 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@]23C[C@H]2CCCC3)[C@H](C)C1 ZINC001092950484 1096641741 /nfs/dbraw/zinc/64/17/41/1096641741.db2.gz XOZBWTLCKHKCQK-DNNBLBMLSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@]23C[C@@H]2CCCC3)[C@H](C)C1 ZINC001092950487 1096641898 /nfs/dbraw/zinc/64/18/98/1096641898.db2.gz XOZBWTLCKHKCQK-UTXMOHQDSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cccn2C(C)C)[C@H](C)C1 ZINC001093200172 1096669036 /nfs/dbraw/zinc/66/90/36/1096669036.db2.gz SQJUUKLETFCQIB-UKRRQHHQSA-N 0 1 323.868 3.119 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001226473865 1096697731 /nfs/dbraw/zinc/69/77/31/1096697731.db2.gz CJVUFZWQTXLJJR-HZPDHXFCSA-N 0 1 319.449 3.102 20 30 DGEDMN N#Cc1cccc(O)c1OC(C(=O)c1ccco1)c1ccco1 ZINC001226520049 1096711312 /nfs/dbraw/zinc/71/13/12/1096711312.db2.gz JIDKCMOZEHPJBM-QGZVFWFLSA-N 0 1 309.277 3.453 20 30 DGEDMN C[C@@H](CC(C)(C)S)Oc1[nH]c(=O)nc2nc(Cl)ccc21 ZINC001226554524 1096720946 /nfs/dbraw/zinc/72/09/46/1096720946.db2.gz WKXJUIIFLPQUHF-ZETCQYMHSA-N 0 1 313.810 3.250 20 30 DGEDMN C[C@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2nc(Cl)ccc21 ZINC001226556768 1096721053 /nfs/dbraw/zinc/72/10/53/1096721053.db2.gz RAVWKLCBLJMHJO-SECBINFHSA-N 0 1 321.840 3.032 20 30 DGEDMN N#Cc1cncc(O[C@H]2CCN(Cc3ccccc3Cl)C2)c1 ZINC001226687099 1096752547 /nfs/dbraw/zinc/75/25/47/1096752547.db2.gz VXJISCHGHCKZGJ-HNNXBMFYSA-N 0 1 313.788 3.260 20 30 DGEDMN C=CCCCCCN1CCc2n[nH]c(C(=O)NC(C)(C)C)c2C1 ZINC001277151285 1096762809 /nfs/dbraw/zinc/76/28/09/1096762809.db2.gz ILCRKZPKDLBGAT-UHFFFAOYSA-N 0 1 318.465 3.043 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc(Cl)c1C(=O)OCC ZINC001226798063 1096781815 /nfs/dbraw/zinc/78/18/15/1096781815.db2.gz QVALJQSUQQXFCL-QMMMGPOBSA-N 0 1 310.733 3.115 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3cc[nH]c3n2)C1 ZINC001226809004 1096784159 /nfs/dbraw/zinc/78/41/59/1096784159.db2.gz ZTAPTTGAGQWGOQ-QGZVFWFLSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3cc[nH]c3C)CCC[C@@H]12 ZINC001094388369 1096809825 /nfs/dbraw/zinc/80/98/25/1096809825.db2.gz AAONQWNBPKCLHV-NVXWUHKLSA-N 0 1 321.852 3.050 20 30 DGEDMN C=C[C@H](CC(=O)OC(C)(C)C)Oc1[nH]c(=O)nc2ccccc21 ZINC001226977102 1096834487 /nfs/dbraw/zinc/83/44/87/1096834487.db2.gz LSVLJOOMTXEPEU-LLVKDONJSA-N 0 1 316.357 3.001 20 30 DGEDMN N#Cc1cnc(CN[C@H]2CCc3cc(F)c(F)c(F)c3C2)s1 ZINC001171520076 1096916690 /nfs/dbraw/zinc/91/66/90/1096916690.db2.gz LTBVCKAFKQWRHC-VIFPVBQESA-N 0 1 323.343 3.079 20 30 DGEDMN Cc1cc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cnc1C#N ZINC001227349180 1096919558 /nfs/dbraw/zinc/91/95/58/1096919558.db2.gz FKXIRJYAXVQZCC-HOTGVXAUSA-N 0 1 322.412 3.261 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cc(C#N)ccc2O)C1 ZINC001227351748 1096919875 /nfs/dbraw/zinc/91/98/75/1096919875.db2.gz YQPYLSCHLGSWIE-HOCLYGCPSA-N 0 1 323.396 3.263 20 30 DGEDMN N#CCCN1CC(OC2=CC(=O)C=CC2=N[N-]c2cccc[nH+]2)C1 ZINC001227492419 1096940997 /nfs/dbraw/zinc/94/09/97/1096940997.db2.gz QKHAJCYBSXAUPR-QZQOTICOSA-N 0 1 323.356 3.179 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCC[N@@H+](C)Cc2ccccn2)CC1 ZINC001280857812 1096987743 /nfs/dbraw/zinc/98/77/43/1096987743.db2.gz VSMGNLDHJFBKGH-UHFFFAOYSA-N 0 1 315.461 3.108 20 30 DGEDMN CN(CCC#N)[C@H]1CCN(C(=O)OC(C)(C)C)C2(CCC2)C1 ZINC001171845831 1096991282 /nfs/dbraw/zinc/99/12/82/1096991282.db2.gz LNLDCCQPKDFKQG-AWEZNQCLSA-N 0 1 307.438 3.154 20 30 DGEDMN N#C[C@@H](Oc1[nH]c(=O)nc2sccc21)c1cccc(F)c1 ZINC001227845068 1096999064 /nfs/dbraw/zinc/99/90/64/1096999064.db2.gz GVZXDCAWDBKVHZ-LLVKDONJSA-N 0 1 301.302 3.180 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001227925008 1097013746 /nfs/dbraw/zinc/01/37/46/1097013746.db2.gz HCISFAXKZJKDSF-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1oc(C)nc1C ZINC001227973075 1097023349 /nfs/dbraw/zinc/02/33/49/1097023349.db2.gz LNSAQEHBMQJRBC-HUUCEWRRSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C[C@H](C)Oc1cc(O)cc2c1C(=O)c1c(O)cc(C)cc1C2=O ZINC001227995305 1097027762 /nfs/dbraw/zinc/02/77/62/1097027762.db2.gz VROGTIPMHWSXKI-JTQLQIEISA-N 0 1 324.332 3.135 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001330649651 1097052715 /nfs/dbraw/zinc/05/27/15/1097052715.db2.gz AICRNQJVMRKBGX-XZQWCENXSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCC(CC=C)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228240763 1097080401 /nfs/dbraw/zinc/08/04/01/1097080401.db2.gz SWKRDAWGTIOPRB-UHFFFAOYSA-N 0 1 301.302 3.143 20 30 DGEDMN Cc1ccccc1C1(N[C@@H](C)Cc2ccc(C#N)cc2)COC1 ZINC001172300419 1097093599 /nfs/dbraw/zinc/09/35/99/1097093599.db2.gz VINBFDATGDGNMS-INIZCTEOSA-N 0 1 306.409 3.313 20 30 DGEDMN Cc1ccnc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1C#N ZINC001228308612 1097094622 /nfs/dbraw/zinc/09/46/22/1097094622.db2.gz LBPMWVFLYYTTNX-CVEARBPZSA-N 0 1 322.412 3.261 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001172319482 1097100043 /nfs/dbraw/zinc/10/00/43/1097100043.db2.gz NLJRSYICQMDXQU-LBPRGKRZSA-N 0 1 324.346 3.333 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001228579434 1097146045 /nfs/dbraw/zinc/14/60/45/1097146045.db2.gz JXORBFWZKKTYOL-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001228579439 1097146089 /nfs/dbraw/zinc/14/60/89/1097146089.db2.gz KDPDQZNSZULJNP-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC1CCN(Cc2ncccc2C)CC1 ZINC001228786879 1097190250 /nfs/dbraw/zinc/19/02/50/1097190250.db2.gz SZHMZSVUDUVJRM-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC[C@H](Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-])c1ccccc1 ZINC001229377295 1097308868 /nfs/dbraw/zinc/30/88/68/1097308868.db2.gz AHZIOFIIEMORTJ-LBPRGKRZSA-N 0 1 301.302 3.095 20 30 DGEDMN C=C(C)[C@H]1CC=C(C)[C@H](Oc2nc(C)[nH]c(=O)c2[N+](=O)[O-])C1 ZINC001229382888 1097310219 /nfs/dbraw/zinc/31/02/19/1097310219.db2.gz XGQFTZYEBQVJDZ-NWDGAFQWSA-N 0 1 305.334 3.079 20 30 DGEDMN C#CCN1CCC(NC(=O)[C@@H](CC2CCCCC2)C(C)C)CC1 ZINC001229445785 1097322795 /nfs/dbraw/zinc/32/27/95/1097322795.db2.gz QVKJSZXQUSQIOV-IBGZPJMESA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001229476881 1097328965 /nfs/dbraw/zinc/32/89/65/1097328965.db2.gz OPJYTCVTLNYFIX-QGZVFWFLSA-N 0 1 312.457 3.174 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H](C)C2CCCCC2)CC1 ZINC001229593078 1097350654 /nfs/dbraw/zinc/35/06/54/1097350654.db2.gz RMXUJHFKSZUUBD-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCOc1ccccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001229612196 1097352063 /nfs/dbraw/zinc/35/20/63/1097352063.db2.gz XLMIKFFQKCQUOH-CALCHBBNSA-N 0 1 317.429 3.274 20 30 DGEDMN C#C[C@H](C)Oc1nc2ccc(NCc3ccc(F)cc3)nc2[nH]1 ZINC001229675555 1097363232 /nfs/dbraw/zinc/36/32/32/1097363232.db2.gz HXIUCDUUGGXDNW-NSHDSACASA-N 0 1 310.332 3.110 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ncc(Oc2cccc(C)c2)cn1 ZINC001229815239 1097391246 /nfs/dbraw/zinc/39/12/46/1097391246.db2.gz XOSPJTKKKUJALW-LLVKDONJSA-N 0 1 312.325 3.175 20 30 DGEDMN CCSC[C@H](C)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001173299849 1097402985 /nfs/dbraw/zinc/40/29/85/1097402985.db2.gz UFTPFMCWQPMIPT-INIZCTEOSA-N 0 1 317.502 3.276 20 30 DGEDMN C=CCOC1CCC(N2CCN(C(=O)CC(C)(C)C)CC2)CC1 ZINC001173323159 1097417287 /nfs/dbraw/zinc/41/72/87/1097417287.db2.gz RCZXBSBRVOTPDG-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN CN(CC(=O)OCc1ccccc1)C1CCC(CC#N)CC1 ZINC001173341201 1097423028 /nfs/dbraw/zinc/42/30/28/1097423028.db2.gz RSEFHLLXEFTRSE-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN CCN(CCC#N)C1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001173422652 1097441194 /nfs/dbraw/zinc/44/11/94/1097441194.db2.gz OAWVUEUUXSEYHZ-UHFFFAOYSA-N 0 1 319.836 3.180 20 30 DGEDMN C[C@@H]1CC(N2CC[C@H](C#N)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001173681962 1097488347 /nfs/dbraw/zinc/48/83/47/1097488347.db2.gz QBOWTZAAIULXBR-MGPQQGTHSA-N 0 1 307.438 3.008 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(F)cc3)cc2C#N)CC1 ZINC001173799540 1097516063 /nfs/dbraw/zinc/51/60/63/1097516063.db2.gz LYSFSVVBBBZKFT-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN Cc1cc(C#N)ccc1O[C@H]1COCCN(Cc2ccccc2)C1 ZINC001230682913 1097555057 /nfs/dbraw/zinc/55/50/57/1097555057.db2.gz TWCZYYNOEZEMNN-LJQANCHMSA-N 0 1 322.408 3.147 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230715433 1097563540 /nfs/dbraw/zinc/56/35/40/1097563540.db2.gz YVIXZIBWCSMCET-INIZCTEOSA-N 0 1 321.465 3.408 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)CCCC(C)=O ZINC001230771146 1097580260 /nfs/dbraw/zinc/58/02/60/1097580260.db2.gz ZBXYEUFOISXGSH-KRWDZBQOSA-N 0 1 310.482 3.249 20 30 DGEDMN COc1ccc2nc(=O)[nH]c(O[C@H](C)CC(C)(C)S)c2c1 ZINC001230861478 1097608761 /nfs/dbraw/zinc/60/87/61/1097608761.db2.gz BHRZGVXMGRBYTD-SECBINFHSA-N 0 1 308.403 3.210 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](CC)CCC)Cc1cccnc1 ZINC001230881439 1097612925 /nfs/dbraw/zinc/61/29/25/1097612925.db2.gz HCJIBHASSYBYMK-GOSISDBHSA-N 0 1 317.477 3.402 20 30 DGEDMN Cc1ccc2c(c1)nc(=O)[nH]c2O[C@H](C#N)c1ccccc1F ZINC001230889708 1097614838 /nfs/dbraw/zinc/61/48/38/1097614838.db2.gz WBZSQDIXNQKVHW-OAHLLOKOSA-N 0 1 309.300 3.427 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@H](C)C1CC1)Cc1cccnc1 ZINC001230932495 1097625681 /nfs/dbraw/zinc/62/56/81/1097625681.db2.gz XCUHBUSPIOCSKO-INIZCTEOSA-N 0 1 315.461 3.012 20 30 DGEDMN COc1ccc(F)c(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001174208228 1097644644 /nfs/dbraw/zinc/64/46/44/1097644644.db2.gz IHABYJGEGSCOHO-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN C#C[C@@H](Oc1nc2cc(Cl)nc(Cl)c2[nH]1)c1ccncc1 ZINC001231049565 1097656258 /nfs/dbraw/zinc/65/62/58/1097656258.db2.gz KYYGETGKOOIQGW-SNVBAGLBSA-N 0 1 319.151 3.413 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001231168772 1097685901 /nfs/dbraw/zinc/68/59/01/1097685901.db2.gz AIXURLQHJWPMJF-SFHVURJKSA-N 0 1 300.446 3.302 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(OC)c1C ZINC001231169775 1097687299 /nfs/dbraw/zinc/68/72/99/1097687299.db2.gz KCTGEVVIRSAOPX-KRWDZBQOSA-N 0 1 316.445 3.003 20 30 DGEDMN N#Cc1ncc(F)cc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174395114 1097689854 /nfs/dbraw/zinc/68/98/54/1097689854.db2.gz SQSQFAWZPCVTSJ-UHFFFAOYSA-N 0 1 308.360 3.294 20 30 DGEDMN N#Cc1ncc(Br)cc1Nc1ccc2c[nH]nc2c1 ZINC001174498113 1097729775 /nfs/dbraw/zinc/72/97/75/1097729775.db2.gz VNNPQFAIZFZYPN-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@@H](Oc2ccncc2)C1 ZINC001231622192 1097793605 /nfs/dbraw/zinc/79/36/05/1097793605.db2.gz QQKHBWVPBIRYLX-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)C[C@@H]1Cc2ccccc2O1 ZINC001231622484 1097794112 /nfs/dbraw/zinc/79/41/12/1097794112.db2.gz XSJSADNQJJHCAX-KRWDZBQOSA-N 0 1 308.381 3.002 20 30 DGEDMN C#Cc1cccc(CN2CCCN(C(=O)C3CCCCC3)CC2)c1 ZINC001231661632 1097808159 /nfs/dbraw/zinc/80/81/59/1097808159.db2.gz WOACQFPSFBWIAC-UHFFFAOYSA-N 0 1 324.468 3.283 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(C#N)c(F)c3)cc2)CC1 ZINC001174814893 1097845386 /nfs/dbraw/zinc/84/53/86/1097845386.db2.gz JUHJHENGJJXZEM-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccccc3CC#N)cc2)CC1 ZINC001174815169 1097845669 /nfs/dbraw/zinc/84/56/69/1097845669.db2.gz LZYMRVKONFEXBT-UHFFFAOYSA-N 0 1 306.413 3.248 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001174850121 1097868064 /nfs/dbraw/zinc/86/80/64/1097868064.db2.gz UGYVFLNDRIFMLH-GJZGRUSLSA-N 0 1 307.438 3.042 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@H](C)c1nnc(C)o1 ZINC001174977795 1097892528 /nfs/dbraw/zinc/89/25/28/1097892528.db2.gz CVMSAMDDTKXLFA-ZIAGYGMSSA-N 0 1 322.453 3.060 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CCC)CC(C)C)C2 ZINC001110176428 1097902725 /nfs/dbraw/zinc/90/27/25/1097902725.db2.gz VNTCFYAUEZQFSA-MHORFTMASA-N 0 1 304.478 3.194 20 30 DGEDMN COc1ccnc(CN2CCC(c3ccc(C#N)cc3)CC2)c1 ZINC001231969410 1097903181 /nfs/dbraw/zinc/90/31/81/1097903181.db2.gz GPUVCFHLEKEENZ-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001174985840 1097917554 /nfs/dbraw/zinc/91/75/54/1097917554.db2.gz AUTVXZYZTQKCTJ-AWEZNQCLSA-N 0 1 307.438 3.104 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3cncc(O)c3)CC2)cc1 ZINC001232042531 1097923182 /nfs/dbraw/zinc/92/31/82/1097923182.db2.gz UJFXALPDKAGWBH-UHFFFAOYSA-N 0 1 307.397 3.114 20 30 DGEDMN CC(C)c1nc(CCN(C)Cc2ccc(C#N)c(Cl)c2)no1 ZINC001232112413 1097941683 /nfs/dbraw/zinc/94/16/83/1097941683.db2.gz VSCJJNOFIPJZIX-UHFFFAOYSA-N 0 1 318.808 3.393 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001175082172 1097941645 /nfs/dbraw/zinc/94/16/45/1097941645.db2.gz LYQOHDWZRPZRPO-RHSMWYFYSA-N 0 1 307.438 3.042 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cccc(F)c1 ZINC001277616290 1097944402 /nfs/dbraw/zinc/94/44/02/1097944402.db2.gz SXDGQUKNFWKDJC-OAHLLOKOSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCCNCc2nocc2C)C1 ZINC001175159153 1097950602 /nfs/dbraw/zinc/95/06/02/1097950602.db2.gz NNKHPJMMUNJJIF-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCOC[C@@]12CCCO[C@H]1CCN(Cc1ncsc1C)C2 ZINC001232200489 1097963202 /nfs/dbraw/zinc/96/32/02/1097963202.db2.gz CNDQUGPAQDWSNL-IRXDYDNUSA-N 0 1 322.474 3.025 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cc(F)ccc2F)C1 ZINC001277652550 1098012824 /nfs/dbraw/zinc/01/28/24/1098012824.db2.gz WKWOHLAAUGOWMH-CYBMUJFWSA-N 0 1 322.399 3.005 20 30 DGEDMN Cn1ncc2cccc(Nc3sc4c(c3C#N)CCN(C)C4)c21 ZINC001175440240 1098049934 /nfs/dbraw/zinc/04/99/34/1098049934.db2.gz JHTXBPWUIZIJCK-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN Cc1cccc(F)c1CN1CCCN(c2ccc(C#N)cn2)CC1 ZINC001232485509 1098054916 /nfs/dbraw/zinc/05/49/16/1098054916.db2.gz XKENQMLOHZKZRM-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN COC(=O)c1cc(Nc2c3cn[nH]c3ccc2C)ccc1C#N ZINC001175527651 1098083976 /nfs/dbraw/zinc/08/39/76/1098083976.db2.gz UDGVEHFAFVXKOP-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN CO[C@H]1CCC[C@@H]2CN(Cc3ccc(Cl)cc3C#N)C[C@@H]21 ZINC001232607319 1098093640 /nfs/dbraw/zinc/09/36/40/1098093640.db2.gz KKJQRNBXFXPYFZ-COXVUDFISA-N 0 1 304.821 3.459 20 30 DGEDMN COc1cccc([C@H]2CC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)c1 ZINC001232678395 1098111715 /nfs/dbraw/zinc/11/17/15/1098111715.db2.gz XPTOXICLUWGDSA-INIZCTEOSA-N 0 1 308.381 3.262 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C1CN(CCC2CC2)C1)c1ccccc1 ZINC001277699647 1098113682 /nfs/dbraw/zinc/11/36/82/1098113682.db2.gz MIJDYNZWBVXMNS-IBGZPJMESA-N 0 1 312.457 3.289 20 30 DGEDMN CNC(=O)c1cc(C#N)cc(C)c1Nc1ccc2n[nH]c(C)c2c1 ZINC001175701749 1098127055 /nfs/dbraw/zinc/12/70/55/1098127055.db2.gz GIYIJPBNQQHZCH-UHFFFAOYSA-N 0 1 319.368 3.155 20 30 DGEDMN CN1CCN(c2ccccc2Nc2cc(F)cc(C#N)c2)CC1 ZINC001175685319 1098137527 /nfs/dbraw/zinc/13/75/27/1098137527.db2.gz OWZGKDPUOIOISR-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN Cc1ccc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)cc1C#N ZINC001175723465 1098144478 /nfs/dbraw/zinc/14/44/78/1098144478.db2.gz GDTZOSHOFCNYMX-PMACEKPBSA-N 0 1 303.409 3.330 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1ccc(C#N)s1 ZINC001232847331 1098153054 /nfs/dbraw/zinc/15/30/54/1098153054.db2.gz VMDKJKLJEWMQTN-LBPRGKRZSA-N 0 1 306.431 3.316 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)cc1)Cc1cccc(C#N)n1 ZINC001232924479 1098173592 /nfs/dbraw/zinc/17/35/92/1098173592.db2.gz FMTKRJKBDRPRIQ-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccccc3)CC2)c(C#N)c1 ZINC001232964302 1098185114 /nfs/dbraw/zinc/18/51/14/1098185114.db2.gz VHCIZLXWYSOGMF-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN CCc1noc([C@H]2CCCN(Cc3ccc(C)cc3C#N)C2)n1 ZINC001232967075 1098185769 /nfs/dbraw/zinc/18/57/69/1098185769.db2.gz AIGYSLGCFQLYGJ-HNNXBMFYSA-N 0 1 310.401 3.192 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C)cc2C#N)C[C@H]1C ZINC001232969329 1098188019 /nfs/dbraw/zinc/18/80/19/1098188019.db2.gz PPNUYLOLASOOLL-CVEARBPZSA-N 0 1 314.429 3.278 20 30 DGEDMN COc1ccc(C[C@H]2CCCN(Cc3[nH]ccc3C#N)C2)cc1 ZINC001233048068 1098212926 /nfs/dbraw/zinc/21/29/26/1098212926.db2.gz UMVQIZDUYGZUGS-MRXNPFEDSA-N 0 1 309.413 3.350 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@H](OC(=O)OC(C)(C)C)C1 ZINC001233080705 1098221023 /nfs/dbraw/zinc/22/10/23/1098221023.db2.gz UEPWYXITPFQSMS-MRXNPFEDSA-N 0 1 316.401 3.393 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@H](OC(=O)OC(C)(C)C)C1 ZINC001233080703 1098221373 /nfs/dbraw/zinc/22/13/73/1098221373.db2.gz UEPWYXITPFQSMS-INIZCTEOSA-N 0 1 316.401 3.393 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C#N)cc3C)CC2)cc1 ZINC001233080798 1098222094 /nfs/dbraw/zinc/22/20/94/1098222094.db2.gz CMJKNRBGMQTPPI-UHFFFAOYSA-N 0 1 319.452 3.493 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@@H](Oc2nccnc2C)C1 ZINC001233083572 1098223891 /nfs/dbraw/zinc/22/38/91/1098223891.db2.gz QIEXUNZLSPXPFS-GOSISDBHSA-N 0 1 322.412 3.009 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccc(O)c(OCC3CC3)c2)C1 ZINC001233228289 1098257268 /nfs/dbraw/zinc/25/72/68/1098257268.db2.gz MVRGUKSOGPOYHH-CQSZACIVSA-N 0 1 300.402 3.307 20 30 DGEDMN CC1(C#N)CCN(Cc2ccc(O)c(OCC3CC3)c2)CC1 ZINC001233230022 1098257423 /nfs/dbraw/zinc/25/74/23/1098257423.db2.gz VCJDTIUIVMSEGO-UHFFFAOYSA-N 0 1 300.402 3.307 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCC[N@H+](Cc1ccc([S-])cc1)C2 ZINC001233261009 1098267541 /nfs/dbraw/zinc/26/75/41/1098267541.db2.gz YEYNKTMWUMWOER-AEFFLSMTSA-N 0 1 319.470 3.301 20 30 DGEDMN Cc1cnsc1CN1CCC[C@H](Oc2cccc(C#N)c2)C1 ZINC001233321406 1098286908 /nfs/dbraw/zinc/28/69/08/1098286908.db2.gz NJAVTZVZRCHWNB-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN CC(C)CCC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001277804994 1098422057 /nfs/dbraw/zinc/42/20/57/1098422057.db2.gz PIZMWHYTGHKBIV-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2nocc2C)CCCCC1 ZINC001176781347 1098429201 /nfs/dbraw/zinc/42/92/01/1098429201.db2.gz AEEJXQRVIQQTTN-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN CCc1ccc(CN2CCN(c3cccc(C#N)c3)CC2)cc1 ZINC001204178140 1098434559 /nfs/dbraw/zinc/43/45/59/1098434559.db2.gz OZNZJUHMJLKKOK-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN C#C[C@@H](C)Oc1c(O)ccc2c1occ(-c1ccc(O)cc1)c2=O ZINC001233814428 1098435703 /nfs/dbraw/zinc/43/57/03/1098435703.db2.gz SZCOTOOCUHAZBO-LLVKDONJSA-N 0 1 322.316 3.272 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)N(CC(C)C)CC(F)(F)F ZINC001176771022 1098436628 /nfs/dbraw/zinc/43/66/28/1098436628.db2.gz DBOIHZZHANMCDJ-AWEZNQCLSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1cc(C)sc1C ZINC001233915785 1098456144 /nfs/dbraw/zinc/45/61/44/1098456144.db2.gz QJYJQYBMGDNEFY-QGZVFWFLSA-N 0 1 318.486 3.201 20 30 DGEDMN C#Cc1ccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)nc1 ZINC001233965723 1098467940 /nfs/dbraw/zinc/46/79/40/1098467940.db2.gz KXVCSBMAAGTUDG-DOTOQJQBSA-N 0 1 307.397 3.062 20 30 DGEDMN C#Cc1ccc(O[C@H]2CCN(Cc3ccc(Cl)cc3)C2)nc1 ZINC001233966109 1098468301 /nfs/dbraw/zinc/46/83/01/1098468301.db2.gz QRUQLCMCRHGLJR-KRWDZBQOSA-N 0 1 312.800 3.370 20 30 DGEDMN C#Cc1ccc(O[C@@H]2CCN(Cc3ccccc3Cl)C2)nc1 ZINC001233966263 1098468470 /nfs/dbraw/zinc/46/84/70/1098468470.db2.gz SNMAGERHXWEVSF-MRXNPFEDSA-N 0 1 312.800 3.370 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1c[nH]c(=O)c3ccccc13)CC2 ZINC001233972616 1098470187 /nfs/dbraw/zinc/47/01/87/1098470187.db2.gz RBBRFZAHXAEPQK-UHFFFAOYSA-N 0 1 315.376 3.370 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cc1c[nH]c(=O)c2ccccc12 ZINC001234017386 1098477545 /nfs/dbraw/zinc/47/75/45/1098477545.db2.gz YHMZEWAGAYGQHW-UHFFFAOYSA-N 0 1 303.365 3.444 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177173399 1098481206 /nfs/dbraw/zinc/48/12/06/1098481206.db2.gz VUOATHJXGOKTQF-SNVBAGLBSA-N 0 1 322.393 3.240 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H]1C[C@H]1c1ccccc1 ZINC001234384926 1098545477 /nfs/dbraw/zinc/54/54/77/1098545477.db2.gz HXVLRKAEWLRPLI-FHWLQOOXSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CCCC[C@@H](C)CC ZINC001234544742 1098592845 /nfs/dbraw/zinc/59/28/45/1098592845.db2.gz CDBNHLQIZRPORB-ZWKOTPCHSA-N 0 1 324.509 3.328 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cccc2cc[nH]c21 ZINC001177915352 1098646651 /nfs/dbraw/zinc/64/66/51/1098646651.db2.gz MIYKOXWXZIIYMT-AWEZNQCLSA-N 0 1 309.394 3.228 20 30 DGEDMN CCOC(OCC)c1ccc(CN2CCC(C#N)CC2)cc1 ZINC001234861272 1098686922 /nfs/dbraw/zinc/68/69/22/1098686922.db2.gz XGRQVBFIMCNRBY-UHFFFAOYSA-N 0 1 302.418 3.494 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2ncccc2c1 ZINC001277922825 1098799721 /nfs/dbraw/zinc/79/97/21/1098799721.db2.gz QPAXDPAUVIYGAY-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@H](C)c1nnc(C)o1 ZINC001178598827 1098884195 /nfs/dbraw/zinc/88/41/95/1098884195.db2.gz LDYODYAJDDEVKJ-CQSZACIVSA-N 0 1 322.453 3.062 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cc(F)cc(Br)c2O)C1 ZINC001235303492 1098951475 /nfs/dbraw/zinc/95/14/75/1098951475.db2.gz NJMQXDJAEJJSOV-SECBINFHSA-N 0 1 313.170 3.029 20 30 DGEDMN C#CCN(C(=O)c1cc(C2CC2)[nH]n1)[C@H]1CCCc2ccccc21 ZINC001178954815 1098980377 /nfs/dbraw/zinc/98/03/77/1098980377.db2.gz QFKFRAPQPOZUAJ-IBGZPJMESA-N 0 1 319.408 3.440 20 30 DGEDMN CC(C)c1ncc(CNC(=O)c2ccccc2SCC#N)[nH]1 ZINC001179092723 1099017676 /nfs/dbraw/zinc/01/76/76/1099017676.db2.gz YALISNKHNIIDIK-UHFFFAOYSA-N 0 1 314.414 3.079 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCCCCNCc1nocc1C ZINC001179306772 1099059202 /nfs/dbraw/zinc/05/92/02/1099059202.db2.gz MCGQRFSYBRUPSJ-HOCLYGCPSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCCCCNCc1coc(C)n1 ZINC001179339874 1099077350 /nfs/dbraw/zinc/07/73/50/1099077350.db2.gz NXGAWRZIOGGLMW-INIZCTEOSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](NCc2nc(C)cs2)[C@@H]1C ZINC001179363891 1099083411 /nfs/dbraw/zinc/08/34/11/1099083411.db2.gz AARMULUQVDSYRA-QEJZJMRPSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NC/C(Cl)=C\Cl)[C@@H]1C ZINC001179384838 1099089180 /nfs/dbraw/zinc/08/91/80/1099089180.db2.gz XYAPUTPPWZXFER-JPQCPIOVSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1coc(C)n1 ZINC001179426045 1099102979 /nfs/dbraw/zinc/10/29/79/1099102979.db2.gz NMAIHIFEJWRJNL-UHFFFAOYSA-N 0 1 307.438 3.106 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCCCCNCc1ccon1 ZINC001179482149 1099113152 /nfs/dbraw/zinc/11/31/52/1099113152.db2.gz QCLDNSWSWXYVAI-QGZVFWFLSA-N 0 1 307.438 3.043 20 30 DGEDMN C=CCOCC(=O)NCCCN(C)[C@@H](C)c1ccccc1Cl ZINC001235699452 1099134049 /nfs/dbraw/zinc/13/40/49/1099134049.db2.gz WHDWDNBYPJJOLH-AWEZNQCLSA-N 0 1 324.852 3.042 20 30 DGEDMN N#C[C@@H]1CN(Cc2c(F)cc(Cl)cc2Cl)CCC1=O ZINC001235747462 1099161713 /nfs/dbraw/zinc/16/17/13/1099161713.db2.gz QKBHMPYWDZNLEY-MRVPVSSYSA-N 0 1 301.148 3.047 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)CC(C)(C)C)[C@H]1C ZINC001179678019 1099173765 /nfs/dbraw/zinc/17/37/65/1099173765.db2.gz CZXHEVIVNVZVKK-XBFCOCLRSA-N 0 1 300.874 3.390 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](CC)C(C)C)[C@H]1C ZINC001179962852 1099273672 /nfs/dbraw/zinc/27/36/72/1099273672.db2.gz CCLVCEYLVHQDDL-KKUMJFAQSA-N 0 1 300.874 3.390 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(C(C)(C)C#N)cc3)cn2)CC1 ZINC001235972594 1099300727 /nfs/dbraw/zinc/30/07/27/1099300727.db2.gz NRDKITZWVYKIJP-UHFFFAOYSA-N 0 1 320.440 3.302 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cc(C)c(C(=O)OC)[nH]1 ZINC001180280518 1099370248 /nfs/dbraw/zinc/37/02/48/1099370248.db2.gz KESNGJNMKMTXMU-UHFFFAOYSA-N 0 1 312.413 3.340 20 30 DGEDMN CC1CCC2(CC1)OC[C@@H](CNCc1cccc(F)c1C#N)O2 ZINC001180340747 1099377633 /nfs/dbraw/zinc/37/76/33/1099377633.db2.gz ZKTDNZILFUSXPL-NSWWBONSSA-N 0 1 318.392 3.109 20 30 DGEDMN C[C@@H](c1ccccc1)N1CCN(c2c(C#N)cccc2C#N)CC1 ZINC001187463273 1099524199 /nfs/dbraw/zinc/52/41/99/1099524199.db2.gz UCGPHDLOOHNSKB-INIZCTEOSA-N 0 1 316.408 3.313 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1c(C)nsc1C ZINC001273548234 1099599325 /nfs/dbraw/zinc/59/93/25/1099599325.db2.gz PKAHWNUSUPJHSX-UHFFFAOYSA-N 0 1 323.506 3.252 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)CC(F)(F)F ZINC001277987075 1099694021 /nfs/dbraw/zinc/69/40/21/1099694021.db2.gz FDGLATQRRSBYAS-SNVBAGLBSA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](CCC)CC(C)C)C1 ZINC001188244030 1099717096 /nfs/dbraw/zinc/71/70/96/1099717096.db2.gz UDVCOKKAZXLFFC-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2ccc(O)c(C(F)(F)F)c2)cc1 ZINC001188588139 1099799802 /nfs/dbraw/zinc/79/98/02/1099799802.db2.gz GDTYITIZKPHCQB-UHFFFAOYSA-N 0 1 319.282 3.322 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)C2CCCCC2)C1 ZINC001188676217 1099824947 /nfs/dbraw/zinc/82/49/47/1099824947.db2.gz QXOFPUFBCXHMGQ-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C[C@@]1(O)CCCCN(Cc2ccc(C#N)c(Br)c2)C1 ZINC001236858853 1099839675 /nfs/dbraw/zinc/83/96/75/1099839675.db2.gz WUTLWPALQIFZBQ-OAHLLOKOSA-N 0 1 323.234 3.058 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1sccc1CC ZINC001278025407 1099865802 /nfs/dbraw/zinc/86/58/02/1099865802.db2.gz NEORKOOKAPBHDS-LLVKDONJSA-N 0 1 300.855 3.113 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@@H]([N@@H+](C)Cc2cccc(C#N)c2)C1 ZINC001188820789 1099868247 /nfs/dbraw/zinc/86/82/47/1099868247.db2.gz JWVFFIPZOJQGTH-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H]2CN(Cc3ccsc3)C[C@H]21 ZINC001188885136 1099882714 /nfs/dbraw/zinc/88/27/14/1099882714.db2.gz NQNDUQXBLCDWLP-HYVNUMGLSA-N 0 1 318.486 3.383 20 30 DGEDMN C=CCCC(=O)NC1(C)CCN(Cc2ccccc2F)CC1 ZINC001278031361 1099885162 /nfs/dbraw/zinc/88/51/62/1099885162.db2.gz QDKWLBPYUGSTCA-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC/C=C\c3ccccc3)[C@@H]2C1 ZINC001189127760 1099960186 /nfs/dbraw/zinc/96/01/86/1099960186.db2.gz YKUOZRTVLNPNIW-LFXJARLDSA-N 0 1 322.452 3.036 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N(C)Cc2ccc(F)c(Cl)c2)C1 ZINC001189279156 1099999205 /nfs/dbraw/zinc/99/92/05/1099999205.db2.gz HCBKIKDMBPVALS-CQSZACIVSA-N 0 1 324.827 3.478 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC[C@H](C)c3ccccc3)[C@@H]2C1 ZINC001189317397 1100021507 /nfs/dbraw/zinc/02/15/07/1100021507.db2.gz NPEDSIVYEQBAHB-YSIASYRMSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCN(CC=C)Cc1[nH]nc2c1cccc2Br ZINC001237306346 1100070383 /nfs/dbraw/zinc/07/03/83/1100070383.db2.gz XIMYQNKZPHYSNE-UHFFFAOYSA-N 0 1 306.207 3.499 20 30 DGEDMN CC[C@H](C)CC(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189893938 1100156471 /nfs/dbraw/zinc/15/64/71/1100156471.db2.gz RCSZGFICYLZYGZ-KBPBESRZSA-N 0 1 319.474 3.089 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(F)cc(F)c1C ZINC001273590434 1100161454 /nfs/dbraw/zinc/16/14/54/1100161454.db2.gz GYROKPWRPBFDDR-IAGOWNOFSA-N 0 1 320.383 3.025 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2Cc2ccccn2)c(F)cc1F ZINC001237534704 1100162430 /nfs/dbraw/zinc/16/24/30/1100162430.db2.gz QTQMLVSLPTUQEA-INIZCTEOSA-N 0 1 313.351 3.439 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001278136765 1100227141 /nfs/dbraw/zinc/22/71/41/1100227141.db2.gz BQSOZOJTWGNMSB-CVEARBPZSA-N 0 1 310.457 3.097 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC001238086648 1100356507 /nfs/dbraw/zinc/35/65/07/1100356507.db2.gz LJJAGTLYEMLWOS-QGZVFWFLSA-N 0 1 320.820 3.377 20 30 DGEDMN COc1cc(CN(C)CCC(=O)c2cccs2)ccc1C#N ZINC001238412327 1100435230 /nfs/dbraw/zinc/43/52/30/1100435230.db2.gz GWEYDSQWDIMRFT-UHFFFAOYSA-N 0 1 314.410 3.333 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN(CCC#N)CCC(C)C)cc1 ZINC001191368282 1100459225 /nfs/dbraw/zinc/45/92/25/1100459225.db2.gz ZLMIFZQRYMYHLV-UHFFFAOYSA-N 0 1 311.429 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1C=CC=CC=C1)C1CCCC1 ZINC001278241155 1100459939 /nfs/dbraw/zinc/45/99/39/1100459939.db2.gz LUFBWQKUAXEUKW-QGZVFWFLSA-N 0 1 320.864 3.302 20 30 DGEDMN CCc1cc(CN2CCN(c3cccc(O)c3)CC2)ccc1C#N ZINC001238768571 1100523411 /nfs/dbraw/zinc/52/34/11/1100523411.db2.gz LBGBPRSBHLPRQP-UHFFFAOYSA-N 0 1 321.424 3.148 20 30 DGEDMN CN1CCN(c2cc(-c3cccc(C4(C#N)CC4)c3)ccn2)CC1 ZINC001238822456 1100539529 /nfs/dbraw/zinc/53/95/29/1100539529.db2.gz PJPRDCRTPGEQSX-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H]([N@@H+](C)CCC(F)(F)F)C1 ZINC001191901235 1100542032 /nfs/dbraw/zinc/54/20/32/1100542032.db2.gz HCQBGABWXASGFY-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001191901235 1100542035 /nfs/dbraw/zinc/54/20/35/1100542035.db2.gz HCQBGABWXASGFY-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H]([N@@H+](C)CCC(F)(F)F)C1 ZINC001191901241 1100542321 /nfs/dbraw/zinc/54/23/21/1100542321.db2.gz HCQBGABWXASGFY-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](N(C)CCC(F)(F)F)C1 ZINC001191901241 1100542323 /nfs/dbraw/zinc/54/23/23/1100542323.db2.gz HCQBGABWXASGFY-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN Cc1cc(-c2cc3[nH]cnc3c(C#N)n2)cnc1OCC(C)C ZINC001238921472 1100557281 /nfs/dbraw/zinc/55/72/81/1100557281.db2.gz KOZXAXQJGMMRKB-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001192238857 1100594074 /nfs/dbraw/zinc/59/40/74/1100594074.db2.gz QBKMMILMARFHRC-HSALFYBXSA-N 0 1 324.468 3.007 20 30 DGEDMN CCOc1ccc2ccccc2c1CN1CCN(CCC#N)CC1 ZINC000078527736 1100621606 /nfs/dbraw/zinc/62/16/06/1100621606.db2.gz UBPQOUAFEWRIPD-UHFFFAOYSA-N 0 1 323.440 3.270 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCNC(C)(C)C2)c1 ZINC001239287338 1100622545 /nfs/dbraw/zinc/62/25/45/1100622545.db2.gz YLWQCICXJKQWHE-UHFFFAOYSA-N 0 1 315.461 3.348 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)c1cc(O)cc(F)c1F ZINC001192670852 1100649382 /nfs/dbraw/zinc/64/93/82/1100649382.db2.gz NUNUONRFIWLHES-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN N#Cc1c(F)ccc(NC(=O)c2cc(O)cc(F)c2F)c1F ZINC001192665975 1100653477 /nfs/dbraw/zinc/65/34/77/1100653477.db2.gz ZMQBUYXTALFTDB-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN CCCCCCCCCNC(=S)N1CC[C@@H](N)C(F)(F)C1 ZINC001239441877 1100668212 /nfs/dbraw/zinc/66/82/12/1100668212.db2.gz VOVUWHSRSQYSFV-CYBMUJFWSA-N 0 1 321.481 3.280 20 30 DGEDMN N#Cc1ccncc1C(=O)Nc1c(Cl)ccc(O)c1Cl ZINC001192975850 1100689459 /nfs/dbraw/zinc/68/94/59/1100689459.db2.gz XOEVCTOOWZSHRW-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN Cc1cc(C#N)c(NC(=O)c2ccc(O)c(O)c2Cl)s1 ZINC001193208915 1100717702 /nfs/dbraw/zinc/71/77/02/1100717702.db2.gz BALDCAHJLDAWMK-UHFFFAOYSA-N 0 1 308.746 3.245 20 30 DGEDMN C=CCCCCN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC001193324075 1100727005 /nfs/dbraw/zinc/72/70/05/1100727005.db2.gz KWEKHVOVSYZHNS-SFHVURJKSA-N 0 1 301.409 3.364 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCC(C)(C)C2)C1 ZINC001193463706 1100747213 /nfs/dbraw/zinc/74/72/13/1100747213.db2.gz XASHLPXSPJPCJJ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)(C)c1ccc(CNC(=O)c2ccc(O)c(C#N)c2)cc1 ZINC001193512865 1100758042 /nfs/dbraw/zinc/75/80/42/1100758042.db2.gz UMCYLEXUPRWXOH-UHFFFAOYSA-N 0 1 308.381 3.491 20 30 DGEDMN N#Cc1cc(C(=O)NC2CCC(C(F)(F)F)CC2)ccc1O ZINC001193512776 1100758595 /nfs/dbraw/zinc/75/85/95/1100758595.db2.gz SRKSWPPBCOFRGL-UHFFFAOYSA-N 0 1 312.291 3.115 20 30 DGEDMN CC(C)C[C@H](NC(=O)c1ccc(O)c(C#N)c1)c1ccccn1 ZINC001193515621 1100760492 /nfs/dbraw/zinc/76/04/92/1100760492.db2.gz PYOPRDDTHAYUIJ-INIZCTEOSA-N 0 1 309.369 3.176 20 30 DGEDMN NC(=O)c1cccc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)c1 ZINC001239884914 1100786136 /nfs/dbraw/zinc/78/61/36/1100786136.db2.gz OZLQCDUIFXRQTM-DNTJNYDQSA-N 0 1 308.259 3.279 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc3ccccc32)C1 ZINC001193815522 1100816679 /nfs/dbraw/zinc/81/66/79/1100816679.db2.gz GAVIRBCRJVLDDS-KRWDZBQOSA-N 0 1 306.409 3.009 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001193922479 1100842544 /nfs/dbraw/zinc/84/25/44/1100842544.db2.gz VUYNZWHNJAIAHB-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)C(CC(C)C)CC(C)C)C1 ZINC001194326617 1100914396 /nfs/dbraw/zinc/91/43/96/1100914396.db2.gz QMPFJFIRRFQEPR-SFHVURJKSA-N 0 1 306.494 3.251 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(CC(C)C)CC(C)C)C1 ZINC001194326617 1100914403 /nfs/dbraw/zinc/91/44/03/1100914403.db2.gz QMPFJFIRRFQEPR-SFHVURJKSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2cc(C)cc(F)c2)CC1 ZINC001194342887 1100928114 /nfs/dbraw/zinc/92/81/14/1100928114.db2.gz FSQLPYVJPZNHPE-UHFFFAOYSA-N 0 1 306.381 3.011 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001194547109 1100963371 /nfs/dbraw/zinc/96/33/71/1100963371.db2.gz WGSRCUDPQVFGSJ-GOSISDBHSA-N 0 1 322.408 3.116 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](N(C)C[C@H](F)CC)C2)CCCC1 ZINC001194556439 1100965404 /nfs/dbraw/zinc/96/54/04/1100965404.db2.gz WBTYAZQJASHBAN-HZPDHXFCSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H](N(C)C/C=C\Cl)C2)CCCC1 ZINC001194567733 1100967943 /nfs/dbraw/zinc/96/79/43/1100967943.db2.gz NLKVXMAQYBXWBY-FTXNUJCXSA-N 0 1 310.869 3.408 20 30 DGEDMN COc1cnc(C2=CCCN(Cc3ccccc3)C2)c(C#N)c1 ZINC001240384702 1100967922 /nfs/dbraw/zinc/96/79/22/1100967922.db2.gz PPYWUGRKBHPOFH-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN O/N=C/c1cc(-c2cnc3ccnn3c2)cc(C(F)(F)F)c1 ZINC001240436078 1100994037 /nfs/dbraw/zinc/99/40/37/1100994037.db2.gz CCPVUAQZUAYWAR-CGOBSMCZSA-N 0 1 306.247 3.223 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCC[N@H+](CCCCCCC)CC1 ZINC001195124303 1101077270 /nfs/dbraw/zinc/07/72/70/1101077270.db2.gz BOALFLCZDJCPSI-GOSISDBHSA-N 0 1 324.509 3.472 20 30 DGEDMN N#Cc1nc2ccc(NC(=O)c3ccc4cncn4c3)cc2s1 ZINC001152203686 1101128236 /nfs/dbraw/zinc/12/82/36/1101128236.db2.gz XKMZNDUKDIQSGE-UHFFFAOYSA-N 0 1 319.349 3.068 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2ccc(O)c(F)c2)nc1C#N ZINC001240786494 1101137671 /nfs/dbraw/zinc/13/76/71/1101137671.db2.gz VSSASTQTOAALCE-UHFFFAOYSA-N 0 1 316.295 3.399 20 30 DGEDMN Cc1nc(-c2ccc(N3CCN(C)CC3)cc2)c(C#N)c(C)c1C ZINC001240851774 1101157402 /nfs/dbraw/zinc/15/74/02/1101157402.db2.gz WYDUINODQOCQOQ-UHFFFAOYSA-N 0 1 320.440 3.297 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(F)c(C#N)c(F)c3)cc2)CC1 ZINC001240852606 1101157798 /nfs/dbraw/zinc/15/77/98/1101157798.db2.gz XHRVZKINMYLRHN-UHFFFAOYSA-N 0 1 313.351 3.255 20 30 DGEDMN CC(C)Oc1ccc(CCNC(=O)c2ccc(C#N)cc2O)cc1 ZINC001195764753 1101193557 /nfs/dbraw/zinc/19/35/57/1101193557.db2.gz OYOVODSTIRKMKB-UHFFFAOYSA-N 0 1 324.380 3.024 20 30 DGEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](Cc3ccccc3)C2)c(O)c1 ZINC001195740600 1101195714 /nfs/dbraw/zinc/19/57/14/1101195714.db2.gz AYJPASLSUKGETG-KRWDZBQOSA-N 0 1 320.392 3.359 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCN(CCOCCCC)CC1 ZINC001195966710 1101225739 /nfs/dbraw/zinc/22/57/39/1101225739.db2.gz TZKBNKDCTJGXSG-UHFFFAOYSA-N 0 1 322.493 3.106 20 30 DGEDMN CCOC(=O)c1ccc(C#N)c(-c2c(F)cc(O)cc2F)c1 ZINC001241120682 1101261960 /nfs/dbraw/zinc/26/19/60/1101261960.db2.gz GOTGVHKVCACUSM-UHFFFAOYSA-N 0 1 303.264 3.386 20 30 DGEDMN N#Cc1nc(-c2cccc(O[C@@H]3CCCCO3)c2)cc2[nH]cnc21 ZINC001241209832 1101297719 /nfs/dbraw/zinc/29/77/19/1101297719.db2.gz UOOKZLSMGYKTHD-QGZVFWFLSA-N 0 1 320.352 3.402 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196901629 1101431973 /nfs/dbraw/zinc/43/19/73/1101431973.db2.gz QHDINAKPKGXYTH-SFHVURJKSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12C[N@@H+](Cc1ccccc1)CCO2 ZINC001203309262 1101463729 /nfs/dbraw/zinc/46/37/29/1101463729.db2.gz PXZYDLRXKXEOGE-RBUKOAKNSA-N 0 1 301.430 3.260 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN(Cc1ccccc1)CCO2 ZINC001203309262 1101463736 /nfs/dbraw/zinc/46/37/36/1101463736.db2.gz PXZYDLRXKXEOGE-RBUKOAKNSA-N 0 1 301.430 3.260 20 30 DGEDMN C=CCCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@](C)(CC)CCC)C1 ZINC001197221156 1101501185 /nfs/dbraw/zinc/50/11/85/1101501185.db2.gz MTWVJLVMSLSGOZ-LMMKCTJWSA-N 0 1 324.509 3.111 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3cnc(N)cc3C(F)(F)F)c12 ZINC001241679495 1101629406 /nfs/dbraw/zinc/62/94/06/1101629406.db2.gz QFWLDXYFLHHYJC-UHFFFAOYSA-N 0 1 303.247 3.098 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2cc(Cl)ncc2O)c1 ZINC001197682889 1101639594 /nfs/dbraw/zinc/63/95/94/1101639594.db2.gz JLNRLQICOHHDHT-UHFFFAOYSA-N 0 1 304.762 3.121 20 30 DGEDMN Cc1cc([C@H]2CCCCN2CCOc2cccc(C#N)c2)[nH]n1 ZINC001198130417 1101757397 /nfs/dbraw/zinc/75/73/97/1101757397.db2.gz IDLLSWJCJZONQM-GOSISDBHSA-N 0 1 310.401 3.196 20 30 DGEDMN Cc1cc([C@H]2CCCCN2CCOc2cccc(C#N)c2)n[nH]1 ZINC001198130417 1101757405 /nfs/dbraw/zinc/75/74/05/1101757405.db2.gz IDLLSWJCJZONQM-GOSISDBHSA-N 0 1 310.401 3.196 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@H](CCCC)C(C)C)CC1 ZINC001198304201 1101809782 /nfs/dbraw/zinc/80/97/82/1101809782.db2.gz PNTSJCUJFOGBHZ-GOSISDBHSA-N 0 1 324.509 3.186 20 30 DGEDMN C=CCCCN(CC)Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC001198457623 1101861113 /nfs/dbraw/zinc/86/11/13/1101861113.db2.gz FKSWYHLVPKUCAZ-UHFFFAOYSA-N 0 1 315.373 3.253 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CC(C)C)c1ccccc1OC ZINC001198500223 1101882331 /nfs/dbraw/zinc/88/23/31/1101882331.db2.gz WIRNNSQOYUTNAN-MRXNPFEDSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCC(C)C)c1ccccc1OC ZINC001198515766 1101904328 /nfs/dbraw/zinc/90/43/28/1101904328.db2.gz QMUWPGDVDGSUQL-INIZCTEOSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@H](C)CC)c1ccccc1OC ZINC001198540115 1101912484 /nfs/dbraw/zinc/91/24/84/1101912484.db2.gz GBPBCKVSRRPRJW-GDBMZVCRSA-N 0 1 304.434 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CC1CCC1)c1ccccc1OC ZINC001198635584 1101935277 /nfs/dbraw/zinc/93/52/77/1101935277.db2.gz GTJQGICQEGLAJD-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN N#Cc1nc(-c2ccc(O)c(C(F)(F)F)c2)cc2[nH]cnc21 ZINC001242151025 1101941780 /nfs/dbraw/zinc/94/17/80/1101941780.db2.gz DDKSHMRAMNLVGO-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C(F)=C(C)C)c1ccccc1OC ZINC001198657685 1101943078 /nfs/dbraw/zinc/94/30/78/1101943078.db2.gz TYPUAISWYJYHFV-HNNXBMFYSA-N 0 1 320.408 3.282 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C1CC(C)C1)c1ccccc1OC ZINC001198657179 1101943413 /nfs/dbraw/zinc/94/34/13/1101943413.db2.gz QRFFKSFYLWFXLQ-VMBOVVBDSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H]1CCC1(C)C)c1ccccc1OC ZINC001198855475 1102001429 /nfs/dbraw/zinc/00/14/29/1102001429.db2.gz CGHZASQIXUKHLM-HOTGVXAUSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@H](C=C)CC)c1ccccc1OC ZINC001198857576 1102003851 /nfs/dbraw/zinc/00/38/51/1102003851.db2.gz RPOMQFAUXNHNID-NVXWUHKLSA-N 0 1 316.445 3.230 20 30 DGEDMN C#Cc1ccc(-c2cc(/C=C/N(C)C)c(OCOC)cn2)cc1 ZINC001242293900 1102035261 /nfs/dbraw/zinc/03/52/61/1102035261.db2.gz RXWPWKJGKSQJTN-ZHACJKMWSA-N 0 1 308.381 3.245 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1cccc(-c2cccs2)c1 ZINC001199511221 1102151210 /nfs/dbraw/zinc/15/12/10/1102151210.db2.gz XFAOFOSMJSAVGT-UHFFFAOYSA-N 0 1 313.426 3.102 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001273815015 1102318665 /nfs/dbraw/zinc/31/86/65/1102318665.db2.gz VXCSXAJZCQALRQ-LJQANCHMSA-N 0 1 324.468 3.155 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cncc3ccccc32)cc1C#N ZINC001201287292 1102535670 /nfs/dbraw/zinc/53/56/70/1102535670.db2.gz DKTJDVVTLNHDQX-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C(F)F)nc2)cc1C#N ZINC001201294772 1102547203 /nfs/dbraw/zinc/54/72/03/1102547203.db2.gz FSRHGKXWLINCQP-UHFFFAOYSA-N 0 1 323.324 3.000 20 30 DGEDMN C=C(C)COCCNCc1cccc(F)c1OC(F)(F)F ZINC001201372635 1102561288 /nfs/dbraw/zinc/56/12/88/1102561288.db2.gz KUCXRUKERQCBNN-UHFFFAOYSA-N 0 1 307.287 3.407 20 30 DGEDMN COc1ccc(C#N)cc1Nc1cc(C)nn1-c1ccncc1 ZINC001201582123 1102661101 /nfs/dbraw/zinc/66/11/01/1102661101.db2.gz NEBRCKDCQLVWSQ-UHFFFAOYSA-N 0 1 305.341 3.200 20 30 DGEDMN CCCCCCC[N@@H+]1CCC[C@H]1C(=O)[N-]C(=O)OC(C)(C)C ZINC001201798013 1102730568 /nfs/dbraw/zinc/73/05/68/1102730568.db2.gz LDUUZPHISIMXPD-AWEZNQCLSA-N 0 1 312.454 3.473 20 30 DGEDMN CCCCCCC[N@H+]1CCC[C@H]1C(=O)[N-]C(=O)OC(C)(C)C ZINC001201798013 1102730579 /nfs/dbraw/zinc/73/05/79/1102730579.db2.gz LDUUZPHISIMXPD-AWEZNQCLSA-N 0 1 312.454 3.473 20 30 DGEDMN CCCCCCCCN1CCO[C@@H]2C[C@@H](C(=O)NC(C)C)C[C@H]21 ZINC001201825628 1102739521 /nfs/dbraw/zinc/73/95/21/1102739521.db2.gz BBVKIJTWSPRBGY-RCCFBDPRSA-N 0 1 324.509 3.351 20 30 DGEDMN N#Cc1nc(-c2cc(F)c(OC(F)F)c(F)c2)cc2[nH]cnc21 ZINC001243490233 1102752460 /nfs/dbraw/zinc/75/24/60/1102752460.db2.gz XZFIHNJDBFCHPV-UHFFFAOYSA-N 0 1 322.221 3.376 20 30 DGEDMN N#CCOc1ccc(-c2nc[nH]c3nc(=S)sc2-3)cc1 ZINC001243591124 1102832389 /nfs/dbraw/zinc/83/23/89/1102832389.db2.gz UMBQBZCYSVMHDV-UHFFFAOYSA-N 0 1 300.368 3.270 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](c1ccccc1)C(C)C)C2 ZINC001111070311 1103034227 /nfs/dbraw/zinc/03/42/27/1103034227.db2.gz BIQSWMPXYRPULN-ZRNYENFQSA-N 0 1 324.468 3.171 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@H](C)c1ccccc1)C2 ZINC001111107546 1103046899 /nfs/dbraw/zinc/04/68/99/1103046899.db2.gz YTDYRPWLXHTGSR-NBYUQASBSA-N 0 1 324.468 3.315 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)CC(F)F ZINC001488644508 1103077501 /nfs/dbraw/zinc/07/75/01/1103077501.db2.gz OYKKWUIHZJDYPA-ZDUSSCGKSA-N 0 1 302.409 3.167 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC(C)(C)CC(F)F ZINC001488644508 1103077517 /nfs/dbraw/zinc/07/75/17/1103077517.db2.gz OYKKWUIHZJDYPA-ZDUSSCGKSA-N 0 1 302.409 3.167 20 30 DGEDMN CCOC(=O)c1cc(C#N)c(C)nc1-c1ccc2[nH]c(C)nc2c1 ZINC001243963001 1103086684 /nfs/dbraw/zinc/08/66/84/1103086684.db2.gz MPAWBHWXNUFDPD-UHFFFAOYSA-N 0 1 320.352 3.290 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2C[N@H+](Cc3csc(C)c3)C[C@H]21 ZINC001325932069 1103166405 /nfs/dbraw/zinc/16/64/05/1103166405.db2.gz LVSIZGFGVJDVFP-DLBZAZTESA-N 0 1 318.486 3.446 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](CC)C(C)(C)C)[C@@H]2C1 ZINC001325954202 1103169620 /nfs/dbraw/zinc/16/96/20/1103169620.db2.gz AUWVEDXRFBCSLE-YESZJQIVSA-N 0 1 304.478 3.005 20 30 DGEDMN N#CC1(c2ccccc2-c2cc(F)c([O-])c(F)c2)CC[NH2+]CC1 ZINC001244097849 1103211594 /nfs/dbraw/zinc/21/15/94/1103211594.db2.gz ZIUAUTILBIWAGU-UHFFFAOYSA-N 0 1 314.335 3.482 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1-c1ccccc1CC#N ZINC001244157813 1103239641 /nfs/dbraw/zinc/23/96/41/1103239641.db2.gz CIQGKCUETKRYDG-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](CCNCc2cscn2)C1 ZINC001326330438 1103276896 /nfs/dbraw/zinc/27/68/96/1103276896.db2.gz GFAUJESFZKTJII-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN CSc1ncc(-c2cc3[nH]cnc3c(C#N)n2)cc1Cl ZINC001244235840 1103301549 /nfs/dbraw/zinc/30/15/49/1103301549.db2.gz CHDBAIMTXLKIJS-UHFFFAOYSA-N 0 1 301.762 3.267 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC[C@H](C)CC)C2 ZINC001110597607 1103306043 /nfs/dbraw/zinc/30/60/43/1103306043.db2.gz JAWVCRKYKNOFCF-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)c1ccc(C)o1 ZINC001326494015 1103339355 /nfs/dbraw/zinc/33/93/55/1103339355.db2.gz LSCIWCBRLXAMRM-MRXNPFEDSA-N 0 1 324.424 3.073 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](CN[C@H](C)c2ncc(C)o2)CC1 ZINC001326500426 1103343378 /nfs/dbraw/zinc/34/33/78/1103343378.db2.gz WVINPTQDWBQIPD-BZUAXINKSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C/C=C\Cc2ccccc2)[C@H]1C ZINC001489269539 1103346112 /nfs/dbraw/zinc/34/61/12/1103346112.db2.gz MXGRPETXJLCWCX-UKPUQTQTSA-N 0 1 312.457 3.331 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1coc2ccccc12 ZINC001489334477 1103363952 /nfs/dbraw/zinc/36/39/52/1103363952.db2.gz XBQWWNLOBHEQAM-INIZCTEOSA-N 0 1 324.424 3.383 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CCC1)c1ccsc1 ZINC001326786169 1103443114 /nfs/dbraw/zinc/44/31/14/1103443114.db2.gz FILURNYDWNMEOE-UHFFFAOYSA-N 0 1 324.449 3.042 20 30 DGEDMN COc1cccc(C=NNc2ccc(C(C)(C)C)nn2)c1F ZINC001327045936 1103506257 /nfs/dbraw/zinc/50/62/57/1103506257.db2.gz CFRWLSGNDISUTK-UHFFFAOYSA-N 0 1 302.353 3.368 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cc(F)cc(F)c2)CC1 ZINC001327110485 1103526453 /nfs/dbraw/zinc/52/64/53/1103526453.db2.gz JXNGZYFDSITZDZ-KRWDZBQOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cc(F)cc(F)c2)CC1 ZINC001327110486 1103529573 /nfs/dbraw/zinc/52/95/73/1103529573.db2.gz JXNGZYFDSITZDZ-QGZVFWFLSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1ocnc1C ZINC001490305204 1103549932 /nfs/dbraw/zinc/54/99/32/1103549932.db2.gz DWBUVGGPDUGSFA-ZBFHGGJFSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCN1CCC[C@@H]([C@H]2CCCCN2C(=O)CCCC(C)=O)C1 ZINC001327299624 1103617758 /nfs/dbraw/zinc/61/77/58/1103617758.db2.gz PIXAKTAYBQDXRZ-QZTJIDSGSA-N 0 1 320.477 3.025 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cccc(C)c2)CC1 ZINC001490607769 1103679156 /nfs/dbraw/zinc/67/91/56/1103679156.db2.gz OCBUKIMDPVOLRD-UHFFFAOYSA-N 0 1 312.457 3.335 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@]2(C)C(C)C)CC1 ZINC001490622513 1103684074 /nfs/dbraw/zinc/68/40/74/1103684074.db2.gz UWVDVZKKPXGKQW-UYAOXDASSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)c(Cl)c1)C2 ZINC001095941958 1103706951 /nfs/dbraw/zinc/70/69/51/1103706951.db2.gz GDVVXLQQBVLIQL-KCXAZCMYSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)c(Cl)c1)C2 ZINC001095944836 1103707277 /nfs/dbraw/zinc/70/72/77/1103707277.db2.gz YSOJTQHQQAIHKX-UGFHNGPFSA-N 0 1 308.784 3.000 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@]3(C)CCC[C@H]3C)cc2C1 ZINC001327695490 1103712029 /nfs/dbraw/zinc/71/20/29/1103712029.db2.gz HTCHETVVTAMWHO-IERDGZPVSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1ccccc1C)C1CC1 ZINC001490742793 1103717165 /nfs/dbraw/zinc/71/71/65/1103717165.db2.gz ULZUKYAJFDOXKF-PBHICJAKSA-N 0 1 320.864 3.335 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](CN(C)CC(=C)Cl)C1 ZINC001490756470 1103727280 /nfs/dbraw/zinc/72/72/80/1103727280.db2.gz RCHVTEPERYBBFO-INIZCTEOSA-N 0 1 310.869 3.103 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)c(F)c(F)c1)C2 ZINC001096053255 1103740718 /nfs/dbraw/zinc/74/07/18/1103740718.db2.gz HWXQGMOFNVIDOO-UGFHNGPFSA-N 0 1 324.346 3.015 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccc(Cl)s1)C2 ZINC001096304053 1103797620 /nfs/dbraw/zinc/79/76/20/1103797620.db2.gz OKEOAPJGUZCLRV-RNJOBUHISA-N 0 1 324.877 3.413 20 30 DGEDMN C=CCOC[C@@H](O)CNCc1c(Cl)ccc(Cl)c1Cl ZINC001252491315 1103812415 /nfs/dbraw/zinc/81/24/15/1103812415.db2.gz RDVAYCLEZZOPQR-VIFPVBQESA-N 0 1 324.635 3.300 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCCc3ccccc31)C2 ZINC001096394933 1103818871 /nfs/dbraw/zinc/81/88/71/1103818871.db2.gz JSTXBHWLCYFBKK-JYBIWHBTSA-N 0 1 324.468 3.404 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3ccccc3c1)C2 ZINC001096411835 1103823467 /nfs/dbraw/zinc/82/34/67/1103823467.db2.gz DBDSTZWABOTOCP-QYZOEREBSA-N 0 1 306.409 3.361 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cccc(F)c2F)C[C@H]1C ZINC001278396508 1103837860 /nfs/dbraw/zinc/83/78/60/1103837860.db2.gz BEBDJUFZBGGGAM-UKRRQHHQSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cnoc2C)[C@@H](C)C1 ZINC001328259047 1103891839 /nfs/dbraw/zinc/89/18/39/1103891839.db2.gz OVNQIGOORHTUEN-XJKSGUPXSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)CC1CCC1 ZINC001328464510 1103955549 /nfs/dbraw/zinc/95/55/49/1103955549.db2.gz PAKAKQDBRBFLPS-IBGZPJMESA-N 0 1 312.457 3.468 20 30 DGEDMN N#Cc1cc(-c2ccc3[nH]nnc3c2)ccc1OC1CCOCC1 ZINC001245306166 1103973676 /nfs/dbraw/zinc/97/36/76/1103973676.db2.gz ZMDNGKKOFXWFCS-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN N#Cc1cc(-c2ccc3nn[nH]c3c2)ccc1OC1CCOCC1 ZINC001245306166 1103973684 /nfs/dbraw/zinc/97/36/84/1103973684.db2.gz ZMDNGKKOFXWFCS-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1oc(C)cc1C ZINC001328608394 1103997032 /nfs/dbraw/zinc/99/70/32/1103997032.db2.gz JDJZADJTEMVYKB-AWEZNQCLSA-N 0 1 310.825 3.233 20 30 DGEDMN CCCCC(=O)N1CCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001328706170 1104030124 /nfs/dbraw/zinc/03/01/24/1104030124.db2.gz XENRNUYEANDHKL-LJQANCHMSA-N 0 1 312.457 3.151 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001328733381 1104044647 /nfs/dbraw/zinc/04/46/47/1104044647.db2.gz PCPZZPINCYUIGX-IBDYFIJFSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001328733381 1104044654 /nfs/dbraw/zinc/04/46/54/1104044654.db2.gz PCPZZPINCYUIGX-IBDYFIJFSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001328733380 1104044938 /nfs/dbraw/zinc/04/49/38/1104044938.db2.gz PCPZZPINCYUIGX-GWQNQTGSSA-N 0 1 324.468 3.122 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C/C=C\Cc1ccccc1 ZINC001328733380 1104044949 /nfs/dbraw/zinc/04/49/49/1104044949.db2.gz PCPZZPINCYUIGX-GWQNQTGSSA-N 0 1 324.468 3.122 20 30 DGEDMN CO/N=C/c1cc(C2=CC[C@H](N3CCOCC3)CC2)ccc1F ZINC001245429140 1104049445 /nfs/dbraw/zinc/04/94/45/1104049445.db2.gz RLSMFVFLFUYFCL-NNIWKIFQSA-N 0 1 318.392 3.074 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@@H](NC/C(Cl)=C/Cl)C12CCC2 ZINC001202599618 1104072143 /nfs/dbraw/zinc/07/21/43/1104072143.db2.gz OGZOWWZYLZDNDQ-BFMCYROOSA-N 0 1 317.260 3.289 20 30 DGEDMN O/N=C/c1cc(-c2cnc3c(c2)NCCO3)cc(C(F)(F)F)c1 ZINC001245549461 1104117157 /nfs/dbraw/zinc/11/71/57/1104117157.db2.gz FXLJPIGAIMOVJJ-QPSGOUHRSA-N 0 1 323.274 3.380 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1nsc2ccccc12 ZINC001328996772 1104118968 /nfs/dbraw/zinc/11/89/68/1104118968.db2.gz QMFLNXANAOKTNM-QWHCGFSZSA-N 0 1 317.458 3.245 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001329092007 1104150660 /nfs/dbraw/zinc/15/06/60/1104150660.db2.gz VZYYDQCGZUZYBR-YUMQZZPRSA-N 0 1 324.639 3.170 20 30 DGEDMN COC(=O)c1ccc(-c2cc(O)c(F)c(F)c2F)c(C#N)c1 ZINC001245634728 1104165573 /nfs/dbraw/zinc/16/55/73/1104165573.db2.gz FRJPLZCUEBLUDW-UHFFFAOYSA-N 0 1 307.227 3.135 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(F)F)cc1 ZINC001329148835 1104170114 /nfs/dbraw/zinc/17/01/14/1104170114.db2.gz SHBJUECTSJBRON-QWRGUYRKSA-N 0 1 316.779 3.473 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccccc1CC(C)(C)C ZINC001329473166 1104275804 /nfs/dbraw/zinc/27/58/04/1104275804.db2.gz WMPBCYQJZSLAJF-MRXNPFEDSA-N 0 1 314.473 3.349 20 30 DGEDMN CC(C)c1cc(=O)n(-c2ccc(C#N)c(Br)c2)[nH]1 ZINC001245917841 1104307201 /nfs/dbraw/zinc/30/72/01/1104307201.db2.gz RMWWRDQCMXZPIM-UHFFFAOYSA-N 0 1 306.163 3.335 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001274237591 1104332456 /nfs/dbraw/zinc/33/24/56/1104332456.db2.gz ATVQRILALYWJTQ-BAJBZYGVSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(F)c(C)c(F)c1 ZINC001274556929 1104409689 /nfs/dbraw/zinc/40/96/89/1104409689.db2.gz CDOAUYFXBPOHMF-IAGOWNOFSA-N 0 1 320.383 3.025 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)c1ccccc1CC#N ZINC001329927114 1104427460 /nfs/dbraw/zinc/42/74/60/1104427460.db2.gz PLVRZFVWEIRCKH-UHFFFAOYSA-N 0 1 310.401 3.045 20 30 DGEDMN C#CCN1CC[C@]2(CCCN2Cc2ccc3ccsc3c2)C1=O ZINC001274646569 1104436253 /nfs/dbraw/zinc/43/62/53/1104436253.db2.gz HWRDXFREAXQVEQ-LJQANCHMSA-N 0 1 324.449 3.101 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN([C@H](C)c2ccccc2F)C1 ZINC001329985634 1104445322 /nfs/dbraw/zinc/44/53/22/1104445322.db2.gz UPYGFASPGKKIOW-LSDHHAIUSA-N 0 1 316.420 3.127 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)C1CN([C@@H](C)c2ccccc2F)C1 ZINC001329985631 1104445380 /nfs/dbraw/zinc/44/53/80/1104445380.db2.gz UPYGFASPGKKIOW-CABCVRRESA-N 0 1 316.420 3.127 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001274709873 1104465598 /nfs/dbraw/zinc/46/55/98/1104465598.db2.gz QJWAMHLONYKJGV-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@]2(C1)CN(CC/C=C/CC)CCO2 ZINC001330158577 1104491506 /nfs/dbraw/zinc/49/15/06/1104491506.db2.gz AXJWVSQKLGGIAE-UEKDZROGSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2ncc(C)o2)CCCC1 ZINC001274840961 1104511709 /nfs/dbraw/zinc/51/17/09/1104511709.db2.gz ODWXRXURPLKQNL-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@](C)(C=C)CC ZINC001274855465 1104520384 /nfs/dbraw/zinc/52/03/84/1104520384.db2.gz DZTMEMLEOPDNNK-PZJWPPBQSA-N 0 1 324.468 3.328 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001274901152 1104535665 /nfs/dbraw/zinc/53/56/65/1104535665.db2.gz VMHBCOGFDPYTNW-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)Cc1ccc(F)cc1Cl ZINC001275135673 1104643997 /nfs/dbraw/zinc/64/39/97/1104643997.db2.gz MUGMENPPTXNBCK-HNNXBMFYSA-N 0 1 324.827 3.130 20 30 DGEDMN CCn1c(C(=O)Nc2cc(C#N)ccc2O)cc2ccccc21 ZINC001330808950 1104651445 /nfs/dbraw/zinc/65/14/45/1104651445.db2.gz NPHXPAHROVQEFD-UHFFFAOYSA-N 0 1 305.337 3.491 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C(C)(C)C)s1 ZINC001275168439 1104663178 /nfs/dbraw/zinc/66/31/78/1104663178.db2.gz WVQREBIXHYXWQD-CQSZACIVSA-N 0 1 318.486 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCNC/C(Cl)=C/Cl ZINC001330882239 1104669824 /nfs/dbraw/zinc/66/98/24/1104669824.db2.gz GSZSNLSMKNYFKW-AWPPVZKDSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001275403730 1104747907 /nfs/dbraw/zinc/74/79/07/1104747907.db2.gz LDVWFPCURNIMDY-VXGBXAGGSA-N 0 1 323.506 3.345 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001275405098 1104749022 /nfs/dbraw/zinc/74/90/22/1104749022.db2.gz ULELSKYQEXAEKQ-LSDHHAIUSA-N 0 1 315.461 3.367 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001275405097 1104749041 /nfs/dbraw/zinc/74/90/41/1104749041.db2.gz ULELSKYQEXAEKQ-HUUCEWRRSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(C(F)F)CCCC1 ZINC001275472439 1104770162 /nfs/dbraw/zinc/77/01/62/1104770162.db2.gz WTAHLPGCGFTSAY-GHMZBOCLSA-N 0 1 308.800 3.047 20 30 DGEDMN C#Cc1cccc(CNCc2cc3c(C)nn(C)c3nc2Cl)c1 ZINC001308434934 1104774333 /nfs/dbraw/zinc/77/43/33/1104774333.db2.gz ADSHSGOWMGAQMP-UHFFFAOYSA-N 0 1 324.815 3.201 20 30 DGEDMN C=CCNCc1nc2cc(OC(F)(F)F)c(Cl)cc2[nH]1 ZINC001247013697 1104777995 /nfs/dbraw/zinc/77/79/95/1104777995.db2.gz AMKQBINXCGURIT-UHFFFAOYSA-N 0 1 305.687 3.391 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](CCC)CC1CCCCC1 ZINC001275665920 1104855986 /nfs/dbraw/zinc/85/59/86/1104855986.db2.gz QCSIBMPWEVUCTO-AEFFLSMTSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001275730392 1104885221 /nfs/dbraw/zinc/88/52/21/1104885221.db2.gz SOZUVAJLYLUDFH-MAUKXSAKSA-N 0 1 318.436 3.025 20 30 DGEDMN C=CC[C@@H](C)c1nc(-c2ccc(N3CCN(C)CC3)cc2)no1 ZINC001247519263 1104917518 /nfs/dbraw/zinc/91/75/18/1104917518.db2.gz JIVMIYJPRDJFMV-CQSZACIVSA-N 0 1 312.417 3.168 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)[C@H](C)c1ccc(F)c(F)c1 ZINC001275818061 1104920608 /nfs/dbraw/zinc/92/06/08/1104920608.db2.gz GPDZQRPVAJYIJR-ZIAGYGMSSA-N 0 1 322.399 3.266 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(CCC)c(C)s1 ZINC001275993039 1104981024 /nfs/dbraw/zinc/98/10/24/1104981024.db2.gz DIHBVHBNOWILDR-ZDUSSCGKSA-N 0 1 306.475 3.082 20 30 DGEDMN N#Cc1ccc(-c2noc([C@@H]3CNC[C@H](F)C3)n2)c2ccccc12 ZINC001247782357 1104981539 /nfs/dbraw/zinc/98/15/39/1104981539.db2.gz MCEKZFNOEWQIIT-QWHCGFSZSA-N 0 1 322.343 3.176 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(F)c(C(F)F)c1)C2 ZINC001095425570 1105001859 /nfs/dbraw/zinc/00/18/59/1105001859.db2.gz WXDIMAVGPMJAFF-UGFHNGPFSA-N 0 1 324.346 3.284 20 30 DGEDMN C=C(Br)CNC[C@H](CCCC)NC(=O)C=C(C)C ZINC001276244119 1105059716 /nfs/dbraw/zinc/05/97/16/1105059716.db2.gz LCVCHYLKRWTSKA-ZDUSSCGKSA-N 0 1 317.271 3.126 20 30 DGEDMN C=CCCCCCCN1C[C@@H]2CN(Cc3ccc[nH]3)C[C@H](C1)O2 ZINC001276041777 1105004545 /nfs/dbraw/zinc/00/45/45/1105004545.db2.gz PVCNQMIUWZQNQS-KDURUIRLSA-N 0 1 317.477 3.036 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(C(F)F)cc1C)C2 ZINC001095567535 1105083319 /nfs/dbraw/zinc/08/33/19/1105083319.db2.gz NQJNOEBPSSQWDJ-AGIUHOORSA-N 0 1 324.371 3.437 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C(C)(C)C)C2 ZINC001095590331 1105086013 /nfs/dbraw/zinc/08/60/13/1105086013.db2.gz SRRUKELBEJJVIH-MNEFBYGVSA-N 0 1 324.468 3.343 20 30 DGEDMN C=CCCCCCCNC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC001248565730 1105129260 /nfs/dbraw/zinc/12/92/60/1105129260.db2.gz HGOIRSCJPTYAPQ-QGZVFWFLSA-N 0 1 309.498 3.495 20 30 DGEDMN CCCNC(=O)[C@@H]1CCCCN1Cc1ccc(C#N)cc1CC ZINC001248750995 1105155702 /nfs/dbraw/zinc/15/57/02/1105155702.db2.gz FOJPNGUVJFUWMJ-SFHVURJKSA-N 0 1 313.445 3.001 20 30 DGEDMN N#Cc1ccccc1O[C@@H]1CCCN(Cc2ccc3n2CCC3)C1 ZINC001248824653 1105171969 /nfs/dbraw/zinc/17/19/69/1105171969.db2.gz WGJFCYAIMVSJBA-LJQANCHMSA-N 0 1 321.424 3.349 20 30 DGEDMN Cn1cc(C[N@H+]2CCC[C@@H](C#N)CC2)c2c1ccnc2Cl ZINC001248837539 1105175577 /nfs/dbraw/zinc/17/55/77/1105175577.db2.gz HSBFTGSBXAYWHF-GFCCVEGCSA-N 0 1 302.809 3.352 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](C#N)CC2)c2c1ccnc2Cl ZINC001248837539 1105175580 /nfs/dbraw/zinc/17/55/80/1105175580.db2.gz HSBFTGSBXAYWHF-GFCCVEGCSA-N 0 1 302.809 3.352 20 30 DGEDMN N#Cc1cccc(-c2noc(Cc3ccc4nc[nH]c4c3)n2)c1 ZINC001249109308 1105227313 /nfs/dbraw/zinc/22/73/13/1105227313.db2.gz QJHXXOOEYQIJGZ-UHFFFAOYSA-N 0 1 301.309 3.075 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccccc3N3CCCCC3)no2)C1 ZINC001249233382 1105252691 /nfs/dbraw/zinc/25/26/91/1105252691.db2.gz HVRYNAYEWMLSNJ-IBGZPJMESA-N 0 1 324.428 3.492 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@@H](Oc4cccnc4)C3)[nH]c2c1 ZINC001249428961 1105285303 /nfs/dbraw/zinc/28/53/03/1105285303.db2.gz IHDGSSNDVFXBHC-GOSISDBHSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1ccc(F)c(F)c1N=C1CCC(N2CCOCC2)CC1 ZINC001249561595 1105316437 /nfs/dbraw/zinc/31/64/37/1105316437.db2.gz NXXFADVUUNVJNM-AWEZNQCLSA-N 0 1 319.355 3.017 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cscc1C(F)F)C2 ZINC001097089590 1105344159 /nfs/dbraw/zinc/34/41/59/1105344159.db2.gz WCTFBACNBIFDDT-HONMWMINSA-N 0 1 324.396 3.044 20 30 DGEDMN COc1ccc(C)cc1NC(=S)Nc1cc(C#N)ccc1O ZINC001249668645 1105350209 /nfs/dbraw/zinc/35/02/09/1105350209.db2.gz BHSJZUKRUXSUOI-UHFFFAOYSA-N 0 1 313.382 3.390 20 30 DGEDMN CC(C)(C)OC(=O)C[C@H]1CCCN1Cc1cccc(C#N)c1F ZINC001249691632 1105355968 /nfs/dbraw/zinc/35/59/68/1105355968.db2.gz PXIPSETWOIOMEO-OAHLLOKOSA-N 0 1 318.392 3.394 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)C)nc1)C2 ZINC001097219002 1105368928 /nfs/dbraw/zinc/36/89/28/1105368928.db2.gz PCVNYXYMNOHYFE-NXHRZFHOSA-N 0 1 313.445 3.116 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccc(C(C)C)c1)C2 ZINC001097433288 1105393796 /nfs/dbraw/zinc/39/37/96/1105393796.db2.gz MALKCIMPZGWDBP-AQNXPRMDSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](c1ccccc1)C1CC1)C2 ZINC001097542732 1105407467 /nfs/dbraw/zinc/40/74/67/1105407467.db2.gz UXULSIFVQMEWTN-AKHDSKFASA-N 0 1 310.441 3.088 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(F)ccc(C)c1F)C2 ZINC001097781253 1105442759 /nfs/dbraw/zinc/44/27/59/1105442759.db2.gz KXJHTXSJLLSWAZ-YUELXQCFSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occc1Cl)C2 ZINC001097792479 1105445139 /nfs/dbraw/zinc/44/51/39/1105445139.db2.gz GSWSYRXLRWCIBI-BNOWGMLFSA-N 0 1 308.809 3.234 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1C1(C)CC1)C2 ZINC001097829224 1105448951 /nfs/dbraw/zinc/44/89/51/1105448951.db2.gz MXKMHCNZGUJONY-OLMNPRSZSA-N 0 1 310.441 3.259 20 30 DGEDMN COc1cc(Nc2cc(C#N)c(F)cc2O)c(Cl)c(OC)n1 ZINC001250129476 1105449365 /nfs/dbraw/zinc/44/93/65/1105449365.db2.gz FBONOXIAXDTNFH-UHFFFAOYSA-N 0 1 323.711 3.212 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001490885421 1105535491 /nfs/dbraw/zinc/53/54/91/1105535491.db2.gz AJVDDDUMKVRNJU-MGPQQGTHSA-N 0 1 320.383 3.066 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C)c1Cl)C2 ZINC001098067784 1105541207 /nfs/dbraw/zinc/54/12/07/1105541207.db2.gz JBEDZZPRGCZWRE-YUELXQCFSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(Br)c(C(=O)OC)c1 ZINC001250580776 1105541154 /nfs/dbraw/zinc/54/11/54/1105541154.db2.gz OTQAUJTZPRGGPA-UHFFFAOYSA-N 0 1 324.218 3.410 20 30 DGEDMN CC(=O)Nc1ccc2nc(CCc3ccc(C#N)cc3)[nH]c2c1 ZINC001250582532 1105541628 /nfs/dbraw/zinc/54/16/28/1105541628.db2.gz YZYFZLQNWDDASC-UHFFFAOYSA-N 0 1 304.353 3.178 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CC(C)C)cc1)C2 ZINC001098363608 1105572296 /nfs/dbraw/zinc/57/22/96/1105572296.db2.gz AFYLPIFPADSQOV-AQNXPRMDSA-N 0 1 324.468 3.244 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(CC)s2)C1 ZINC001098927153 1105613918 /nfs/dbraw/zinc/61/39/18/1105613918.db2.gz JMHDCBSINXRBAX-GUYCJALGSA-N 0 1 304.459 3.081 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1cccnc1 ZINC001098948649 1105616742 /nfs/dbraw/zinc/61/67/42/1105616742.db2.gz BZQBNOHYZCANJR-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C#Cc1cccc(-c2nc3cc(N4CCOCC4)cc(C)c3[nH]2)c1 ZINC001251031978 1105622668 /nfs/dbraw/zinc/62/26/68/1105622668.db2.gz HWZRXKMVNIXPDX-UHFFFAOYSA-N 0 1 317.392 3.356 20 30 DGEDMN CC[C@@H](NC(=O)NCC#CCN(C)C)c1cccc2ccccc21 ZINC001251113810 1105629823 /nfs/dbraw/zinc/62/98/23/1105629823.db2.gz RANDLVMEWIXUDE-LJQANCHMSA-N 0 1 323.440 3.155 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C(=C)Cl ZINC001099092915 1105641204 /nfs/dbraw/zinc/64/12/04/1105641204.db2.gz KQEROPSMNZSOKR-AWEZNQCLSA-N 0 1 310.869 3.005 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)c1ccco1 ZINC001099228821 1105659516 /nfs/dbraw/zinc/65/95/16/1105659516.db2.gz AQLZXJZXWDAAHY-HOTGVXAUSA-N 0 1 316.445 3.013 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](CCC)C(C)C ZINC001099257180 1105661715 /nfs/dbraw/zinc/66/17/15/1105661715.db2.gz BOXPBMXXWJGKMV-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)CC(C)C ZINC001099304475 1105666499 /nfs/dbraw/zinc/66/64/99/1105666499.db2.gz QQYGKRRTFJYAHK-MRXNPFEDSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(C1CC1)C1CC1 ZINC001099354221 1105672661 /nfs/dbraw/zinc/67/26/61/1105672661.db2.gz OTRZUSBQOGZJCI-QGZVFWFLSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(CC(C)C)CC(C)C)C2 ZINC001111476100 1105709561 /nfs/dbraw/zinc/70/95/61/1105709561.db2.gz ROAAQSBEIVJJGY-QRVBRYPASA-N 0 1 318.505 3.440 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001491046833 1105740873 /nfs/dbraw/zinc/74/08/73/1105740873.db2.gz DCWWMWYYKMVQFX-SWYZGTMLSA-N 0 1 305.249 3.145 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC001491207751 1105772729 /nfs/dbraw/zinc/77/27/29/1105772729.db2.gz MTOFVTNIKPUKMV-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(c2cccc(F)c2)CC1 ZINC001491225022 1105780411 /nfs/dbraw/zinc/78/04/11/1105780411.db2.gz BWQFXHDBKORMOR-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CCOC[C@H](O)CNc1ccc(N(CCC)CCC)cc1 ZINC001252475384 1105782366 /nfs/dbraw/zinc/78/23/66/1105782366.db2.gz DDKJLQFLMSQKMB-GOSISDBHSA-N 0 1 306.450 3.288 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)/C=C/c2ccco2)C1 ZINC001491278036 1105792979 /nfs/dbraw/zinc/79/29/79/1105792979.db2.gz BBCYPXQJJQTKLJ-JSMHICHCSA-N 0 1 322.836 3.262 20 30 DGEDMN C=C[C@@](C)(O)CN(C)[C@@H](CC(C)C)C(=O)OCc1ccccc1 ZINC001252559510 1105794556 /nfs/dbraw/zinc/79/45/56/1105794556.db2.gz XYVAQATYIMCGSU-PKOBYXMFSA-N 0 1 319.445 3.013 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C(C)(C)C(F)F)C1 ZINC001491302103 1105804113 /nfs/dbraw/zinc/80/41/13/1105804113.db2.gz ZASMGPCVXKULIC-NWDGAFQWSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCC[C@@H](O)CNCc1csc(-c2cccc(F)c2)n1 ZINC001252623520 1105806826 /nfs/dbraw/zinc/80/68/26/1105806826.db2.gz LJQLQZPJHRCCCB-OAHLLOKOSA-N 0 1 306.406 3.366 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1ccccc1Cl ZINC001491437151 1105826817 /nfs/dbraw/zinc/82/68/17/1105826817.db2.gz ZZYBXPPTLHWWEE-AWEZNQCLSA-N 0 1 306.837 3.128 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1ccc(F)cc1Cl ZINC001491452295 1105829321 /nfs/dbraw/zinc/82/93/21/1105829321.db2.gz NPPXSVWNMSQMNJ-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C[C@@H](N[C@@H](C)CNC(=O)C#CC(C)(C)C)c1cc(F)ccc1F ZINC001491451621 1105829616 /nfs/dbraw/zinc/82/96/16/1105829616.db2.gz HVGRYTBPBPIFNL-QWHCGFSZSA-N 0 1 322.399 3.170 20 30 DGEDMN C=CCN1CCN([C@@H](C)Cc2cccc(Br)c2)CC1 ZINC001256185311 1105832062 /nfs/dbraw/zinc/83/20/62/1105832062.db2.gz AONSIHALYPIXKZ-AWEZNQCLSA-N 0 1 323.278 3.184 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CC[C@](F)(CO)C1 ZINC001252979321 1105864555 /nfs/dbraw/zinc/86/45/55/1105864555.db2.gz KCUUGKIOLLSGNN-DLBZAZTESA-N 0 1 303.462 3.284 20 30 DGEDMN C=CCCCCCC[C@H](O)CN1CCN(c2ccccn2)CC1 ZINC001253226902 1105904840 /nfs/dbraw/zinc/90/48/40/1105904840.db2.gz BYDNPUBIDMHAKM-SFHVURJKSA-N 0 1 317.477 3.091 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CN(C/C=C\Cl)C[C@@]3(C)C2)CCC1 ZINC001101356339 1105913181 /nfs/dbraw/zinc/91/31/81/1105913181.db2.gz QKHUOGLLCOPPNR-RDQHTGABSA-N 0 1 322.880 3.266 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](CC)CCCC)C1 ZINC001278740835 1105988303 /nfs/dbraw/zinc/98/83/03/1105988303.db2.gz ILIQYTVENFROKI-CABCVRRESA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)[C@H](C)C1 ZINC001102089649 1106020313 /nfs/dbraw/zinc/02/03/13/1106020313.db2.gz QAAHFCUFZIFTDE-MRVWCRGKSA-N 0 1 300.874 3.105 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(C3CC3)CCC2)[C@H](C)C1 ZINC001102190745 1106036574 /nfs/dbraw/zinc/03/65/74/1106036574.db2.gz GNLSXINPNLVKCP-TZMCWYRMSA-N 0 1 310.869 3.003 20 30 DGEDMN N#CC1(c2ccc(F)cc2)CCC(N2C[C@H]3CC[C@@H]2CO3)CC1 ZINC001254360590 1106060159 /nfs/dbraw/zinc/06/01/59/1106060159.db2.gz GCWUDVBIRXUILT-QGLXBCBXSA-N 0 1 314.404 3.393 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(F)CCCCC2)[C@H](C)C1 ZINC001102496206 1106065551 /nfs/dbraw/zinc/06/55/51/1106065551.db2.gz JPBOXAAYHJSQNJ-TZMCWYRMSA-N 0 1 316.848 3.095 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001491547281 1106085853 /nfs/dbraw/zinc/08/58/53/1106085853.db2.gz IXIFLGZVVSJJEI-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N[C@H]2COc3ccc(Br)cc32)C1 ZINC001254642722 1106119476 /nfs/dbraw/zinc/11/94/76/1106119476.db2.gz GGBQAXZFIKZDRW-XWIASGKRSA-N 0 1 307.191 3.164 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CCCCN2[C@H]1CC[C@@H](C#N)C1 ZINC001254658489 1106125052 /nfs/dbraw/zinc/12/50/52/1106125052.db2.gz IOUWWIYFCFBIHP-CABCVRRESA-N 0 1 319.449 3.154 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC3(CC(=O)c4ccc(F)cc43)CC2)C1 ZINC001254658268 1106125291 /nfs/dbraw/zinc/12/52/91/1106125291.db2.gz HOIRYTNHAURQIB-UKRRQHHQSA-N 0 1 312.388 3.438 20 30 DGEDMN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@@H](C#N)C1 ZINC001254673880 1106130824 /nfs/dbraw/zinc/13/08/24/1106130824.db2.gz QMCVBORTMPGKLY-OWCLPIDISA-N 0 1 321.465 3.400 20 30 DGEDMN CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](N1CCC[C@@H](C#N)C1)C2 ZINC001254782836 1106140790 /nfs/dbraw/zinc/14/07/90/1106140790.db2.gz CRWCWJSESGBFDQ-LSDHHAIUSA-N 0 1 319.449 3.012 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CN(Cc2cscn2)C[C@H]1C ZINC001103041293 1106145498 /nfs/dbraw/zinc/14/54/98/1106145498.db2.gz DVQZWVGXVSRBJO-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1C[C@@H](N1CC[C@](C)(C#N)C1)C2 ZINC001254987951 1106163382 /nfs/dbraw/zinc/16/33/82/1106163382.db2.gz YCOUPSJXGFQXTQ-YRBFXIGRSA-N 0 1 319.449 3.010 20 30 DGEDMN CC[C@@H](CC#N)N[C@H](C)Cc1cc2ccn(C(C)=O)c2c(C#N)c1 ZINC001255159639 1106194228 /nfs/dbraw/zinc/19/42/28/1106194228.db2.gz ZJTNBUMEKINPQT-ACJLOTCBSA-N 0 1 322.412 3.386 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc(C#N)n2)c(C)cc1Cl ZINC001256140977 1106376114 /nfs/dbraw/zinc/37/61/14/1106376114.db2.gz NXGZSQINTHCTSE-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)nc2)c(C)cc1Cl ZINC001256140836 1106376637 /nfs/dbraw/zinc/37/66/37/1106376637.db2.gz MWPFGEJSWZKTHK-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](F)CC1CCCCC1)C(C)C ZINC001278926188 1106399917 /nfs/dbraw/zinc/39/99/17/1106399917.db2.gz CJKAEMZIDLVQHH-QGZVFWFLSA-N 0 1 310.457 3.097 20 30 DGEDMN C[C@H]1C[C@H](N2CC[C@@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257656089 1106615544 /nfs/dbraw/zinc/61/55/44/1106615544.db2.gz URHLLAODFUHCDI-VBQJREDUSA-N 0 1 307.438 3.010 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cncc(C3CCC3)c2)cc1 ZINC001258053928 1106664863 /nfs/dbraw/zinc/66/48/63/1106664863.db2.gz RLHLFKKKYUKXRD-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](c1ccccc1)[C@@H](C)CC ZINC001320080276 1106849216 /nfs/dbraw/zinc/84/92/16/1106849216.db2.gz KOVYKFFLUBBIEC-HKUYNNGSSA-N 0 1 314.473 3.230 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001316985219 1106849684 /nfs/dbraw/zinc/84/96/84/1106849684.db2.gz HVKRGDYYYWLDPQ-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2c(F)cccc2N2CCCC2)C1 ZINC001320208014 1106928929 /nfs/dbraw/zinc/92/89/29/1106928929.db2.gz DBOCWKHDZVIDEN-OAHLLOKOSA-N 0 1 304.409 3.203 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C1CC1 ZINC001317041536 1106939252 /nfs/dbraw/zinc/93/92/52/1106939252.db2.gz DJCUHVJSYIEKDO-KSZLIROESA-N 0 1 322.493 3.057 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CCC=C(C)C ZINC001317050472 1106951936 /nfs/dbraw/zinc/95/19/36/1106951936.db2.gz YNHOMXPHVGECNS-QRVBRYPASA-N 0 1 316.489 3.458 20 30 DGEDMN C=CCOCc1ncn2c1CN([C@@H]1CCC[C@@H](C)C1)CCC2 ZINC001258819634 1107000049 /nfs/dbraw/zinc/00/00/49/1107000049.db2.gz GFQWDYYRGRTXGY-HZPDHXFCSA-N 0 1 303.450 3.370 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2nc(C)c(C)o2)CCC1 ZINC001320544443 1107046973 /nfs/dbraw/zinc/04/69/73/1107046973.db2.gz SSTLMPVPDAPKIZ-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN Cc1cc(C#N)ccc1NS(=O)(=O)c1ccc(F)cc1Cl ZINC001258909100 1107054576 /nfs/dbraw/zinc/05/45/76/1107054576.db2.gz BZXWGZBQCHLTDC-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN CC[C@H](C)C(=O)NC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001317165381 1107068113 /nfs/dbraw/zinc/06/81/13/1107068113.db2.gz IOANCTODTAYSGG-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1cncc(C)c1 ZINC001317174278 1107089646 /nfs/dbraw/zinc/08/96/46/1107089646.db2.gz RCXJXMYVHUBQCP-GOSISDBHSA-N 0 1 315.461 3.217 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(F)cc(F)cc2C#N)cc1C ZINC001259167930 1107091254 /nfs/dbraw/zinc/09/12/54/1107091254.db2.gz METYQYOPYGLDDN-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC001317178266 1107099001 /nfs/dbraw/zinc/09/90/01/1107099001.db2.gz AYKZEDFLCKEMKH-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CC1=CCCCC1 ZINC001320694852 1107108700 /nfs/dbraw/zinc/10/87/00/1107108700.db2.gz ZZMVOJIFLOCXQT-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)[C@@H](C)[C@@H](C)CC ZINC001320875967 1107171546 /nfs/dbraw/zinc/17/15/46/1107171546.db2.gz IIAHQPWWRCEWEQ-AAEUAGOBSA-N 0 1 319.287 3.015 20 30 DGEDMN CCCN1CCCC[C@@H]1C(=O)O[C@H](C)c1ccc(C#N)cc1 ZINC001320873922 1107172322 /nfs/dbraw/zinc/17/23/22/1107172322.db2.gz HUZPRHVAUDULRH-RHSMWYFYSA-N 0 1 300.402 3.427 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)CCC1(C)CC1 ZINC001320890160 1107178604 /nfs/dbraw/zinc/17/86/04/1107178604.db2.gz PWFGEGUDJGZZSA-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)c1ccc(Cl)c(F)c1 ZINC001320951109 1107200744 /nfs/dbraw/zinc/20/07/44/1107200744.db2.gz JIRHLXUHRHZLEM-MNOVXSKESA-N 0 1 323.799 3.081 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H]1CCc2ccccc2C1 ZINC001317285595 1107209363 /nfs/dbraw/zinc/20/93/63/1107209363.db2.gz VSWDWKABFOSFIM-HUUCEWRRSA-N 0 1 320.864 3.028 20 30 DGEDMN C[C@]1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CC=CCC1 ZINC001321070918 1107239105 /nfs/dbraw/zinc/23/91/05/1107239105.db2.gz RFKZVBSIHPACQQ-SHBDEGQCSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1[C@@H](C=C(C)C)C1(C)C ZINC001321152178 1107267629 /nfs/dbraw/zinc/26/76/29/1107267629.db2.gz PUJIMQQPWOMEOU-WJNHHXLDSA-N 0 1 310.869 3.239 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C)nc1C(C)C ZINC001321173217 1107273514 /nfs/dbraw/zinc/27/35/14/1107273514.db2.gz DYZITBXSUILJNH-WAYWQWQTSA-N 0 1 321.852 3.142 20 30 DGEDMN CCC1(C(=O)N2CCC[C@H](N(C)CC#Cc3ccccc3)C2)CC1 ZINC001317378741 1107285394 /nfs/dbraw/zinc/28/53/94/1107285394.db2.gz JECJDAJTDQQFEF-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN Cn1cc(-c2ccc(CN=Nc3nc4ccccc4[nH]3)cc2)cn1 ZINC001321219525 1107289287 /nfs/dbraw/zinc/28/92/87/1107289287.db2.gz GPVNMRXDLPRARQ-UHFFFAOYSA-N 0 1 316.368 3.409 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001321335012 1107327292 /nfs/dbraw/zinc/32/72/92/1107327292.db2.gz FMMDXIWHLUGDNB-SNAWJCMRSA-N 0 1 316.832 3.274 20 30 DGEDMN CC(=O)NCCC[C@H]1CCCN1CC#Cc1ccccc1Cl ZINC001317417992 1107341352 /nfs/dbraw/zinc/34/13/52/1107341352.db2.gz ROWRCZUAGOVGAJ-KRWDZBQOSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001321609924 1107390986 /nfs/dbraw/zinc/39/09/86/1107390986.db2.gz SGEFYMUNMVBFHR-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1OCC(C)C ZINC001321681140 1107410377 /nfs/dbraw/zinc/41/03/77/1107410377.db2.gz RRZLOLORROVVBR-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN C=Cc1ccc(C(=O)NCCN(C)Cc2cccc(C#N)c2)cc1 ZINC001317460963 1107413073 /nfs/dbraw/zinc/41/30/73/1107413073.db2.gz NEAQPJKTABINKF-UHFFFAOYSA-N 0 1 319.408 3.063 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c(Cl)cccc1Cl ZINC001321719735 1107418568 /nfs/dbraw/zinc/41/85/68/1107418568.db2.gz FTVUYHWFFIJJGV-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@H](CCO)c2ccccc2F)C1 ZINC001261493801 1107446612 /nfs/dbraw/zinc/44/66/12/1107446612.db2.gz RJBYIKBELIZVCK-NQKNOSNGSA-N 0 1 324.399 3.463 20 30 DGEDMN CC[N@@H+](CCNC(=O)CC#Cc1ccccc1)Cc1ccccc1 ZINC001317491800 1107451328 /nfs/dbraw/zinc/45/13/28/1107451328.db2.gz FETPKEONNNDWTF-UHFFFAOYSA-N 0 1 320.436 3.067 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1cccnc1C ZINC001317543180 1107509228 /nfs/dbraw/zinc/50/92/28/1107509228.db2.gz QYKLWLPOJAQXRR-UHFFFAOYSA-N 0 1 317.477 3.321 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2c(C)noc2C)CCCC1 ZINC001322097342 1107509937 /nfs/dbraw/zinc/50/99/37/1107509937.db2.gz CEOQZSMYXPLPNF-ZDUSSCGKSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCN(CCNC(=O)Cc1ccc(C2CCC2)cc1)C1CC1 ZINC001317555233 1107530697 /nfs/dbraw/zinc/53/06/97/1107530697.db2.gz SXJXIVPNZQPXDA-UHFFFAOYSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H](CCC)c1ccccc1)C1CC1 ZINC001317559829 1107540976 /nfs/dbraw/zinc/54/09/76/1107540976.db2.gz LTIWWCJIYVLPIX-LJQANCHMSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001322259022 1107598587 /nfs/dbraw/zinc/59/85/87/1107598587.db2.gz SYPKKYQMEMOYAI-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(CC)c(CCC)s1 ZINC001322292730 1107614625 /nfs/dbraw/zinc/61/46/25/1107614625.db2.gz JCUZQLBSQZEUKO-AWEZNQCLSA-N 0 1 320.502 3.336 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cocc1C)c1ccc(C(C)C)cc1 ZINC001317711934 1107615288 /nfs/dbraw/zinc/61/52/88/1107615288.db2.gz UJZWEEKCAWJRCR-LJQANCHMSA-N 0 1 324.424 3.405 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1C(C)(C)C)c1ccccc1 ZINC001317720718 1107621914 /nfs/dbraw/zinc/62/19/14/1107621914.db2.gz KYSVZGQOZBPEBK-KZNAEPCWSA-N 0 1 312.457 3.139 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C)CCC(C)CC1)c1ccccc1 ZINC001317728182 1107626340 /nfs/dbraw/zinc/62/63/40/1107626340.db2.gz FOJKSFBSXDQHJD-IPCDKGFNSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@@H](N(CCC)C(=O)/C=C/C(C)(C)C)C1 ZINC001317754081 1107639418 /nfs/dbraw/zinc/63/94/18/1107639418.db2.gz OMLGZWKEGJEKNB-SYJIQKIWSA-N 0 1 322.493 3.104 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C3CC3)no2)C1 ZINC001317767851 1107652202 /nfs/dbraw/zinc/65/22/02/1107652202.db2.gz VVOHLJPBDIABAW-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)c(C)s2)C1 ZINC001317770309 1107654558 /nfs/dbraw/zinc/65/45/58/1107654558.db2.gz QFRRKBACLAEKFG-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cccc(F)c2C)C1 ZINC001317790363 1107669775 /nfs/dbraw/zinc/66/97/75/1107669775.db2.gz SHCRUCSTLCMRRQ-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC[C@H](C)CC)C1 ZINC001317804914 1107681841 /nfs/dbraw/zinc/68/18/41/1107681841.db2.gz FZJNKVUYNSQDMD-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)CCC)C1 ZINC001317861844 1107719373 /nfs/dbraw/zinc/71/93/73/1107719373.db2.gz FAZNYMTVWDLSFM-GOSISDBHSA-N 0 1 300.446 3.466 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001317868552 1107724420 /nfs/dbraw/zinc/72/44/20/1107724420.db2.gz HICDDUXXPZYFAK-FVQHAEBGSA-N 0 1 324.896 3.346 20 30 DGEDMN CC#CCCCC(=O)N(C)CCCNCc1c(F)cccc1F ZINC001322650503 1107726288 /nfs/dbraw/zinc/72/62/88/1107726288.db2.gz CSBXJMMVTLJLEJ-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](c2ccc(F)cc2)C(C)C)C1 ZINC001317955524 1107767479 /nfs/dbraw/zinc/76/74/79/1107767479.db2.gz QXYVFHKEXBCRLT-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1nc(C)cs1)C1CC1 ZINC001323063197 1107820080 /nfs/dbraw/zinc/82/00/80/1107820080.db2.gz KXWKIKXLSSJLQG-YOEHRIQHSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001318089671 1107834381 /nfs/dbraw/zinc/83/43/81/1107834381.db2.gz VYLFXYZWSMGTOB-MRXNPFEDSA-N 0 1 312.457 3.182 20 30 DGEDMN CC(C)c1ccc([C@H](C)N2CC[C@H]2CNC(=O)C#CC2CC2)cc1 ZINC001323225899 1107893322 /nfs/dbraw/zinc/89/33/22/1107893322.db2.gz KRVNCKQTAOWVQL-JXFKEZNVSA-N 0 1 324.468 3.475 20 30 DGEDMN C=CCC[N@H+]1CC[C@H]1CNC(=O)C1(Cc2ccccc2)CCC1 ZINC001323246666 1107901630 /nfs/dbraw/zinc/90/16/30/1107901630.db2.gz OOWDNJNGSCBMSB-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCCC[N@H+]1CC[C@@H]1CNC(=O)CC1(c2ccccc2)CC1 ZINC001323246485 1107901917 /nfs/dbraw/zinc/90/19/17/1107901917.db2.gz NOJCYWJEFKGCGN-GOSISDBHSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CCCC[N@H+]1CC[C@H]1CNC(=O)c1nccc2ccccc21 ZINC001323292787 1107920680 /nfs/dbraw/zinc/92/06/80/1107920680.db2.gz IKKGEWVPUCVJBI-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(Cl)ccc2F)CC1 ZINC001323489702 1107989607 /nfs/dbraw/zinc/98/96/07/1107989607.db2.gz MFWHONUMNAAGAW-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN N#CC(=C([O-])C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295592 1108004349 /nfs/dbraw/zinc/00/43/49/1108004349.db2.gz FDJNNFVKZVPDJR-HBWSCVEGSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(C(=O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295592 1108004365 /nfs/dbraw/zinc/00/43/65/1108004365.db2.gz FDJNNFVKZVPDJR-HBWSCVEGSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(=C(O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295592 1108004381 /nfs/dbraw/zinc/00/43/81/1108004381.db2.gz FDJNNFVKZVPDJR-HBWSCVEGSA-N 0 1 306.369 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001318441148 1108007566 /nfs/dbraw/zinc/00/75/66/1108007566.db2.gz FVHKMBJNMHWAJD-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2cnc(C)s2)C1 ZINC001318472454 1108035093 /nfs/dbraw/zinc/03/50/93/1108035093.db2.gz IHPLBNPZAYPMNL-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001318486279 1108046384 /nfs/dbraw/zinc/04/63/84/1108046384.db2.gz WVAIOLWJPFVVQI-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001318486278 1108046997 /nfs/dbraw/zinc/04/69/97/1108046997.db2.gz WVAIOLWJPFVVQI-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1c(F)cccc1Cl ZINC001318509176 1108070396 /nfs/dbraw/zinc/07/03/96/1108070396.db2.gz YPTLDIKLVIKRLS-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NCc1nc(C)c(C)s1 ZINC001318529608 1108082056 /nfs/dbraw/zinc/08/20/56/1108082056.db2.gz WIIRJINRDKZXMO-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2cccnc21 ZINC001318541509 1108086637 /nfs/dbraw/zinc/08/66/37/1108086637.db2.gz KCUDXSRSLUYTHO-CQSZACIVSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C12CCC(CC1)C2(C)C ZINC001318580199 1108107570 /nfs/dbraw/zinc/10/75/70/1108107570.db2.gz BBHQGWWZZGEAHX-UUCFBXCCSA-N 0 1 312.885 3.440 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001263616317 1108111938 /nfs/dbraw/zinc/11/19/38/1108111938.db2.gz SYEATPWSQBXVPZ-GDZNZVCISA-N 0 1 313.788 3.228 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c(C)cccc1Cl ZINC001491567161 1108119470 /nfs/dbraw/zinc/11/94/70/1108119470.db2.gz DEJVKVQOVOHBAN-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2ccc(C)cc2)C1 ZINC001323980560 1108136857 /nfs/dbraw/zinc/13/68/57/1108136857.db2.gz XHORHEURHYECLZ-UHFFFAOYSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001323995368 1108142183 /nfs/dbraw/zinc/14/21/83/1108142183.db2.gz TVWOWRUFBGPYPZ-KRWDZBQOSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001324003625 1108143329 /nfs/dbraw/zinc/14/33/29/1108143329.db2.gz HSXVSADDZXJRIU-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)CCC(=O)N[C@@](C)(CNCc1nc(C)oc1C)C1CC1 ZINC001318699401 1108158564 /nfs/dbraw/zinc/15/85/64/1108158564.db2.gz VVCOFCRGHJDYIA-SFHVURJKSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2coc(C)n2)CCCC[C@@H]1C ZINC001318743895 1108178101 /nfs/dbraw/zinc/17/81/01/1108178101.db2.gz SAZGPFYLFYWLPQ-KBXCAEBGSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCCCC1(F)F ZINC001324198087 1108203422 /nfs/dbraw/zinc/20/34/22/1108203422.db2.gz AOEFPPUGEAMOMO-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc2cc[nH]c2c1 ZINC001324236211 1108217774 /nfs/dbraw/zinc/21/77/74/1108217774.db2.gz OPPSOJJEFYVFNS-OAHLLOKOSA-N 0 1 317.820 3.115 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2cccnc2)CC1 ZINC001324318167 1108248937 /nfs/dbraw/zinc/24/89/37/1108248937.db2.gz GXRJBILYNNTXTL-UHFFFAOYSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC(C)C)C1 ZINC001263882402 1108281891 /nfs/dbraw/zinc/28/18/91/1108281891.db2.gz MSPBRVKTPQKUIP-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H](C)CC)C1 ZINC001263884355 1108284140 /nfs/dbraw/zinc/28/41/40/1108284140.db2.gz LMKNAVUVPNEFMB-RYQLBKOJSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(C)CC(=C)C2)CC1 ZINC001319031924 1108286766 /nfs/dbraw/zinc/28/67/66/1108286766.db2.gz TWGCJOMLAIJRPB-UHFFFAOYSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cnc(C2CC2)[nH]1 ZINC001319082650 1108308512 /nfs/dbraw/zinc/30/85/12/1108308512.db2.gz QLDJKHZUOAAABU-UHFFFAOYSA-N 0 1 308.385 3.211 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001264034099 1108345864 /nfs/dbraw/zinc/34/58/64/1108345864.db2.gz YJDYWEPGFDEIPU-HZMVEIRTSA-N 0 1 322.880 3.264 20 30 DGEDMN CCC(CC)C(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001324572532 1108349928 /nfs/dbraw/zinc/34/99/28/1108349928.db2.gz HTEMZLIYNKPRDD-IBGZPJMESA-N 0 1 313.445 3.075 20 30 DGEDMN CN(CCC#N)c1ccc(C=NNCc2ccc(F)cc2)cc1 ZINC001319192847 1108358531 /nfs/dbraw/zinc/35/85/31/1108358531.db2.gz VJCPVPCGWHRPHY-UHFFFAOYSA-N 0 1 310.376 3.299 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H]1CC ZINC001264060523 1108360158 /nfs/dbraw/zinc/36/01/58/1108360158.db2.gz DUEQBOUKLYGQMV-BHYGNILZSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@@H](NCc2nccs2)C1 ZINC001264123042 1108386025 /nfs/dbraw/zinc/38/60/25/1108386025.db2.gz YJFKDUJYOWHRLD-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)CC2CCCCC2)C1 ZINC001319295831 1108407257 /nfs/dbraw/zinc/40/72/57/1108407257.db2.gz RHQWQYBVPDJMTN-AEFFLSMTSA-N 0 1 322.493 3.129 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC2 ZINC001319407032 1108448893 /nfs/dbraw/zinc/44/88/93/1108448893.db2.gz AIBGXSNYNNBSRP-IAGOWNOFSA-N 0 1 318.505 3.252 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001319448813 1108472958 /nfs/dbraw/zinc/47/29/58/1108472958.db2.gz QWTWDXALSFGXSL-KBPBESRZSA-N 0 1 322.399 3.266 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001319454419 1108476546 /nfs/dbraw/zinc/47/65/46/1108476546.db2.gz NNWLCARKJMBLSJ-UONOGXRCSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1F ZINC001264373007 1108484277 /nfs/dbraw/zinc/48/42/77/1108484277.db2.gz PTJXFKWFNMMDKS-RDJZCZTQSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC[C@H]1CCc2ccccc21 ZINC001264380362 1108494271 /nfs/dbraw/zinc/49/42/71/1108494271.db2.gz HZWLJXKBZIDBON-QZTJIDSGSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CC(C)(C)CNC(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001324888101 1108494913 /nfs/dbraw/zinc/49/49/13/1108494913.db2.gz CZICEWXLTDZKJM-JKSUJKDBSA-N 0 1 319.424 3.082 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001324893435 1108497943 /nfs/dbraw/zinc/49/79/43/1108497943.db2.gz SGTZNODXFSUAJS-HIFRSBDPSA-N 0 1 312.885 3.393 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)CCCC[C@@H](C)CC)C1 ZINC001324935124 1108520568 /nfs/dbraw/zinc/52/05/68/1108520568.db2.gz BEOYDDIWCODWCR-PKOBYXMFSA-N 0 1 306.494 3.444 20 30 DGEDMN CC#CCCCC(=O)NC[C@]1(C)CCCN(C[C@@H](F)CC)C1 ZINC001324956830 1108533337 /nfs/dbraw/zinc/53/33/37/1108533337.db2.gz FLQKPMSCGZLCOA-WMZOPIPTSA-N 0 1 310.457 3.146 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(CC)CCC2)C1 ZINC001264446859 1108539023 /nfs/dbraw/zinc/53/90/23/1108539023.db2.gz OCHRKVTWHTYMQE-ZWKOTPCHSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2cnoc2C)CCCC1 ZINC001319598373 1108545748 /nfs/dbraw/zinc/54/57/48/1108545748.db2.gz YIMOSMZACVSZLS-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C[C@@H]1C)CCN(CCF)CC2 ZINC001264495801 1108552517 /nfs/dbraw/zinc/55/25/17/1108552517.db2.gz PLTQZMHIJCGPLX-INIZCTEOSA-N 0 1 322.468 3.427 20 30 DGEDMN CC(C)(C)c1ccc(N=NCc2c(F)ccc(O)c2F)nn1 ZINC001325012168 1108557229 /nfs/dbraw/zinc/55/72/29/1108557229.db2.gz QATUYSXLYXQTOW-UHFFFAOYSA-N 0 1 306.316 3.204 20 30 DGEDMN CC1(C)CN([C@H]2CCCN(c3ccc(C#N)cc3)C2=O)C1(C)C ZINC001325026613 1108561703 /nfs/dbraw/zinc/56/17/03/1108561703.db2.gz ICLDYHLTYHIUSX-INIZCTEOSA-N 0 1 311.429 3.174 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cn(-c3cccc(F)c3)nc2C)C1 ZINC001325242602 1108604267 /nfs/dbraw/zinc/60/42/67/1108604267.db2.gz RSMYKWWAGIXQCN-SFHVURJKSA-N 0 1 315.392 3.097 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccccc2Cl)[C@@H]1C ZINC001264693134 1108623376 /nfs/dbraw/zinc/62/33/76/1108623376.db2.gz FFMMKYCWHYSDMV-CJNGLKHVSA-N 0 1 306.837 3.385 20 30 DGEDMN C=C(CC)CNC(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001325375879 1108638501 /nfs/dbraw/zinc/63/85/01/1108638501.db2.gz YUIXXYBFEOMIQM-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1C[N@@H+](C)C/C=C\Cl ZINC001264742628 1108641827 /nfs/dbraw/zinc/64/18/27/1108641827.db2.gz AIJJGVGCSFNOED-CKDIWJPDSA-N 0 1 310.869 3.245 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1CN(C)C/C=C\Cl ZINC001264742628 1108641835 /nfs/dbraw/zinc/64/18/35/1108641835.db2.gz AIJJGVGCSFNOED-CKDIWJPDSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(CC(=C)Cl)CC(C)(C)C1 ZINC001264751936 1108649089 /nfs/dbraw/zinc/64/90/89/1108649089.db2.gz RKQJZHFLAPFAHY-HNNXBMFYSA-N 0 1 310.869 3.149 20 30 DGEDMN CCC[C@@H](C#N)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001319963980 1108718004 /nfs/dbraw/zinc/71/80/04/1108718004.db2.gz YNPHVPWTCQRPHN-ZWKOTPCHSA-N 0 1 313.445 3.158 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC001265139430 1108748185 /nfs/dbraw/zinc/74/81/85/1108748185.db2.gz NNTVITQLXDRHGO-HZPDHXFCSA-N 0 1 312.885 3.393 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](F)CC2CCCCC2)CC1 ZINC001265198621 1108769975 /nfs/dbraw/zinc/76/99/75/1108769975.db2.gz DFPAUYUUIFKJRQ-SFHVURJKSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001265209749 1108777558 /nfs/dbraw/zinc/77/75/58/1108777558.db2.gz CQOFJTCBRGUARW-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001265279357 1108802932 /nfs/dbraw/zinc/80/29/32/1108802932.db2.gz ROGZXWRIALQBFV-HOTGVXAUSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2ccoc2Cl)CC1 ZINC001265338593 1108833140 /nfs/dbraw/zinc/83/31/40/1108833140.db2.gz CUIAHTKUXFDHST-UHFFFAOYSA-N 0 1 310.825 3.436 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCCCC)C1 ZINC001265384414 1108854535 /nfs/dbraw/zinc/85/45/35/1108854535.db2.gz HVRVGTLLDFHTSH-HNNXBMFYSA-N 0 1 300.874 3.490 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001265479922 1108885755 /nfs/dbraw/zinc/88/57/55/1108885755.db2.gz GJYUINBAZCSAJJ-WGSAOQKQSA-N 0 1 323.440 3.063 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2C[N@@H+](C/C=C/Cl)CC[C@@H]21 ZINC001265522961 1108898838 /nfs/dbraw/zinc/89/88/38/1108898838.db2.gz FOTALYRVFRZKML-HYWCFLMXSA-N 0 1 322.880 3.101 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2CN(C/C=C/Cl)CC[C@@H]21 ZINC001265522961 1108898845 /nfs/dbraw/zinc/89/88/45/1108898845.db2.gz FOTALYRVFRZKML-HYWCFLMXSA-N 0 1 322.880 3.101 20 30 DGEDMN CC1(CC(=O)NC[C@H]2CCCN(CC#Cc3ccccc3)C2)CC1 ZINC001265586753 1108921197 /nfs/dbraw/zinc/92/11/97/1108921197.db2.gz NBNZZMAMOCIMMH-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cc(Cl)ccc1F ZINC001265780083 1109002903 /nfs/dbraw/zinc/00/29/03/1109002903.db2.gz VXLHRDMFHXLZFQ-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C/C=C\Cc1ccccc1 ZINC001265785769 1109003979 /nfs/dbraw/zinc/00/39/79/1109003979.db2.gz HGBAAGCHRTYPMJ-IDTUSYRASA-N 0 1 306.837 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(F)cc2ccoc21 ZINC001265791875 1109005788 /nfs/dbraw/zinc/00/57/88/1109005788.db2.gz CFIOUQZNYHQKOT-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001265852549 1109026763 /nfs/dbraw/zinc/02/67/63/1109026763.db2.gz FQMCBMWJFINTHS-HOCLYGCPSA-N 0 1 320.864 3.343 20 30 DGEDMN CC1=CC[C@](C)(C(=O)NC[C@H](C)NCC#Cc2ccccc2)CC1 ZINC001265867715 1109030765 /nfs/dbraw/zinc/03/07/65/1109030765.db2.gz WNGBZSHICVVHGX-RXVVDRJESA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2cccc(C)c2o1 ZINC001265870430 1109031744 /nfs/dbraw/zinc/03/17/44/1109031744.db2.gz PRFVUHUTPWPLHY-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)c1cccc(OC)c1 ZINC001265873590 1109033315 /nfs/dbraw/zinc/03/33/15/1109033315.db2.gz XCYFGGCWHANEIN-TZMCWYRMSA-N 0 1 324.852 3.036 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C12CCC(CC1)C2 ZINC001265875120 1109034025 /nfs/dbraw/zinc/03/40/25/1109034025.db2.gz WMGCVYFFICOURN-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@H]2CCc3c2c(F)ccc3F)C1 ZINC001265894880 1109036500 /nfs/dbraw/zinc/03/65/00/1109036500.db2.gz AEUAUSHIRIRFDC-LRDDRELGSA-N 0 1 320.383 3.109 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(C)cs1 ZINC001266040632 1109071039 /nfs/dbraw/zinc/07/10/39/1109071039.db2.gz LJARVPRNGVGENX-UHFFFAOYSA-N 0 1 300.855 3.251 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@]1(C)CCC[C@@H]1C ZINC001266080660 1109083217 /nfs/dbraw/zinc/08/32/17/1109083217.db2.gz PHVMQOUVQQZYIB-XJKSGUPXSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)N[C@@H](C)c1ncc(C)o1 ZINC001266167565 1109113150 /nfs/dbraw/zinc/11/31/50/1109113150.db2.gz WYWAPROJOLZNEV-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2conc2CC)CC1 ZINC001266183404 1109118860 /nfs/dbraw/zinc/11/88/60/1109118860.db2.gz CZTXDXRIPJHSTD-UHFFFAOYSA-N 0 1 319.449 3.016 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CCN(C/C=C/Cl)CC2)CCC1 ZINC001266190440 1109121272 /nfs/dbraw/zinc/12/12/72/1109121272.db2.gz YALQCDJZXIMXOV-VZUCSPMQSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001266240463 1109137224 /nfs/dbraw/zinc/13/72/24/1109137224.db2.gz VVWNTBQCTJFYRG-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001279280363 1109144457 /nfs/dbraw/zinc/14/44/57/1109144457.db2.gz HUSAHCCTVLXUNR-VQCLRJIVSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCCC(C)(C)C)CC1 ZINC001279564811 1109155026 /nfs/dbraw/zinc/15/50/26/1109155026.db2.gz JVUFLCVWXDSBTL-UHFFFAOYSA-N 0 1 304.478 3.365 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CCC2(C)CC2)c(F)c1 ZINC001279722322 1109161582 /nfs/dbraw/zinc/16/15/82/1109161582.db2.gz GLRQZDMMQZELGJ-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H](C)C3CCC3)cccc2C1 ZINC001280427223 1109201695 /nfs/dbraw/zinc/20/16/95/1109201695.db2.gz WOLBNXQKRYTQEY-MRXNPFEDSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cc1ccc(C)cc1 ZINC001280445106 1109204479 /nfs/dbraw/zinc/20/44/79/1109204479.db2.gz NOFHGDZLSPBRKK-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1c(C)noc1CC ZINC001280848805 1109263301 /nfs/dbraw/zinc/26/33/01/1109263301.db2.gz URPJJBJODHFAIE-UHFFFAOYSA-N 0 1 321.465 3.038 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCN(C)Cc2ccccc2F)C1 ZINC001280857986 1109264678 /nfs/dbraw/zinc/26/46/78/1109264678.db2.gz ZVMWPSUSIJDDII-UHFFFAOYSA-N 0 1 318.436 3.462 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)CC(C)=C(C)C)O2 ZINC001280930548 1109275266 /nfs/dbraw/zinc/27/52/66/1109275266.db2.gz YYBLLPREGDPHNS-KRWDZBQOSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC(C)(C)C(F)(F)F ZINC001316608682 1109303258 /nfs/dbraw/zinc/30/32/58/1109303258.db2.gz YPXDHVAXNVJXQY-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(C)CC=C)cc2C1 ZINC001281297936 1109326166 /nfs/dbraw/zinc/32/61/66/1109326166.db2.gz HOIUYUUBPGPJSE-UHFFFAOYSA-N 0 1 310.441 3.244 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ocnc2C)CC1(C)C ZINC001281559963 1109380530 /nfs/dbraw/zinc/38/05/30/1109380530.db2.gz ZTROGKDAVIGPOI-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@@H]1CC=CCC1 ZINC001281905201 1109414155 /nfs/dbraw/zinc/41/41/55/1109414155.db2.gz GZBSUZDQENUFQJ-OZOXKJRCSA-N 0 1 322.452 3.128 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@H](C)NCc1c(C)onc1CC ZINC001282133165 1109456101 /nfs/dbraw/zinc/45/61/01/1109456101.db2.gz KLODNKZWKOXDPZ-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001282184834 1109464625 /nfs/dbraw/zinc/46/46/25/1109464625.db2.gz JXXCTVKCHBWCMG-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C(C)(C)c1ccccc1 ZINC001282230214 1109472276 /nfs/dbraw/zinc/47/22/76/1109472276.db2.gz OOAQGYSRUHZGQO-KGLIPLIRSA-N 0 1 308.853 3.200 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2nccs2)CCCCC1 ZINC001282607960 1109537131 /nfs/dbraw/zinc/53/71/31/1109537131.db2.gz UVRAZCVXMXVOIO-UHFFFAOYSA-N 0 1 321.490 3.408 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)C(C)(CC)CC)C2)C1 ZINC001282782940 1109563321 /nfs/dbraw/zinc/56/33/21/1109563321.db2.gz WYFCICLQTDJJLA-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)[C@H](C)CC)C2)C1 ZINC001282775137 1109563763 /nfs/dbraw/zinc/56/37/63/1109563763.db2.gz OANKQTBEFBHEQQ-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC[C@H](C)c2ccccc2)C(C)(C)C1 ZINC001282819437 1109569951 /nfs/dbraw/zinc/56/99/51/1109569951.db2.gz AQPRHTLQBRODGO-FUHWJXTLSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H](C)c2ccccc2)C(C)(C)C1 ZINC001282833161 1109572435 /nfs/dbraw/zinc/57/24/35/1109572435.db2.gz ISEFKMAPCCXECF-FUHWJXTLSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(C)s1 ZINC001283479604 1109642051 /nfs/dbraw/zinc/64/20/51/1109642051.db2.gz JAMNAJJNCUQBSI-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN CCCCC(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001283571321 1109655132 /nfs/dbraw/zinc/65/51/32/1109655132.db2.gz HVFVBVZAIZJUIP-OAHLLOKOSA-N 0 1 320.864 3.318 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCCCC1(F)F ZINC001283649194 1109665711 /nfs/dbraw/zinc/66/57/11/1109665711.db2.gz NJKGNMXINIPVIV-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001283662793 1109668082 /nfs/dbraw/zinc/66/80/82/1109668082.db2.gz HPZKLNKJDLITJJ-RISCZKNCSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001283662790 1109668265 /nfs/dbraw/zinc/66/82/65/1109668265.db2.gz HPZKLNKJDLITJJ-BXUZGUMPSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C(C)(F)F)CC1)C(C)(C)C ZINC001284629764 1109828072 /nfs/dbraw/zinc/82/80/72/1109828072.db2.gz GKBDFAJYMMOHDQ-NSHDSACASA-N 0 1 322.827 3.295 20 30 DGEDMN CCCC(=O)N[C@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001284670799 1109837543 /nfs/dbraw/zinc/83/75/43/1109837543.db2.gz BDHWGQGLXJMGPI-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](C)CCCCC)CC1 ZINC001284968609 1109886318 /nfs/dbraw/zinc/88/63/18/1109886318.db2.gz XIBSLJOCJRDUIV-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN N#CCSCC(=O)Nc1nc2cc(Cl)cc(Cl)c2[nH]1 ZINC001287392019 1110111298 /nfs/dbraw/zinc/11/12/98/1110111298.db2.gz JHTLCIAFRAHYCT-UHFFFAOYSA-N 0 1 315.185 3.065 20 30 DGEDMN COc1cc(C)ccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001287395442 1110111309 /nfs/dbraw/zinc/11/13/09/1110111309.db2.gz WMXGMLNCQRLPRV-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN C=CCCCn1c(N2CCN(C(C)C)CC2)nnc1C(C)(C)C ZINC001301238135 1111085696 /nfs/dbraw/zinc/08/56/96/1111085696.db2.gz ZOHHVKHNAGYRGH-UHFFFAOYSA-N 0 1 319.497 3.072 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CC[C@](C)(CCc2ccccc2)C1 ZINC001307520918 1111310620 /nfs/dbraw/zinc/31/06/20/1111310620.db2.gz QUMXBJABQQZIEV-HKUYNNGSSA-N 0 1 301.430 3.449 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CCc3ccc(F)cc3C2)cc1 ZINC001307595273 1111318359 /nfs/dbraw/zinc/31/83/59/1111318359.db2.gz OZCPALNXPFWOSU-UHFFFAOYSA-N 0 1 322.383 3.194 20 30 DGEDMN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1cc(C#N)ccn1 ZINC001307631567 1111321757 /nfs/dbraw/zinc/32/17/57/1111321757.db2.gz WQIKIAJBJGQDKP-SJKOYZFVSA-N 0 1 315.804 3.472 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC001307686753 1111327238 /nfs/dbraw/zinc/32/72/38/1111327238.db2.gz SHLDBRWLSAUEQX-SFHVURJKSA-N 0 1 317.396 3.172 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)CCC1(C)CC1 ZINC001316857119 1111343425 /nfs/dbraw/zinc/34/34/25/1111343425.db2.gz BGUCBYPRBQSYNU-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC001307914047 1111354780 /nfs/dbraw/zinc/35/47/80/1111354780.db2.gz BKJODBOXBNBKGG-KGLIPLIRSA-N 0 1 317.389 3.210 20 30 DGEDMN CO[C@H](CN1CCN(c2ccc(C#N)cc2)CC1)c1ccccc1 ZINC001308011925 1111366285 /nfs/dbraw/zinc/36/62/85/1111366285.db2.gz UIYKBEITZYGBDC-HXUWFJFHSA-N 0 1 321.424 3.068 20 30 DGEDMN C[C@]12CN(Cc3c(C#N)cccc3[N+](=O)[O-])C[C@H]1[C@H]1CC[C@@H]2C1 ZINC001308119045 1111380025 /nfs/dbraw/zinc/38/00/25/1111380025.db2.gz PAUAYGBVTXDYMP-PZMTTYODSA-N 0 1 311.385 3.334 20 30 DGEDMN C[C@@]12CSC[C@@H]1CN(Cc1ccc(C#N)cc1OC(F)F)C2 ZINC001308134770 1111382438 /nfs/dbraw/zinc/38/24/38/1111382438.db2.gz SPSSMOCKSFBJBU-XJKSGUPXSA-N 0 1 324.396 3.345 20 30 DGEDMN C=CCC[C@@H]1CCC[N@H+]1CC(=O)Nc1nc2c(s1)CCCC2 ZINC001308138039 1111383599 /nfs/dbraw/zinc/38/35/99/1111383599.db2.gz UIWPVKNFOSBSAY-CYBMUJFWSA-N 0 1 319.474 3.391 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1nc2c(s1)CCCC2 ZINC001308138039 1111383602 /nfs/dbraw/zinc/38/36/02/1111383602.db2.gz UIWPVKNFOSBSAY-CYBMUJFWSA-N 0 1 319.474 3.391 20 30 DGEDMN C#CCC[C@H](O)CNCc1oc2ccccc2c1Br ZINC001308507525 1111436062 /nfs/dbraw/zinc/43/60/62/1111436062.db2.gz OEAOEXQVGFHWIF-NSHDSACASA-N 0 1 322.202 3.059 20 30 DGEDMN C#CCOc1ccc(C[NH2+]Cc2ccc([O-])c(Cl)c2)cc1 ZINC001308509214 1111436098 /nfs/dbraw/zinc/43/60/98/1111436098.db2.gz HAVBGQDZOGNESV-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1c(C)oc(C)c1C ZINC001316805191 1111741960 /nfs/dbraw/zinc/74/19/60/1111741960.db2.gz QPSHBZJNDZVYNC-KRWDZBQOSA-N 0 1 316.445 3.155 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C2(F)CCCC2)C1 ZINC001317044897 1111742485 /nfs/dbraw/zinc/74/24/85/1111742485.db2.gz NTLOAPRNVIZFRR-CQSZACIVSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)Cc1ccccc1 ZINC001316702068 1111751166 /nfs/dbraw/zinc/75/11/66/1111751166.db2.gz OLWLQVGLXRLYBA-PBHICJAKSA-N 0 1 320.864 3.198 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H]1CC[N@@H+](CC#Cc2ccccc2)[C@@H]1CC ZINC001316729903 1111755659 /nfs/dbraw/zinc/75/56/59/1111755659.db2.gz SINDGFICSGITKK-BHTBWMOOSA-N 0 1 324.468 3.364 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H]1CC ZINC001316729903 1111755663 /nfs/dbraw/zinc/75/56/63/1111755663.db2.gz SINDGFICSGITKK-BHTBWMOOSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001316754479 1111759955 /nfs/dbraw/zinc/75/99/55/1111759955.db2.gz VQDZLTFNRRFDRI-ZWXLGZMVSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001316754478 1111760098 /nfs/dbraw/zinc/76/00/98/1111760098.db2.gz VQDZLTFNRRFDRI-IORUMNFESA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H](F)CC)CC(C)(C)C1 ZINC001316809619 1111774837 /nfs/dbraw/zinc/77/48/37/1111774837.db2.gz DKJVSTJUOROQAQ-QWHCGFSZSA-N 0 1 304.837 3.094 20 30 DGEDMN CCc1ccc(NS(=O)(=O)c2cccc(C)c2C#N)cc1 ZINC000441373532 529688919 /nfs/dbraw/zinc/68/89/19/529688919.db2.gz SIVMNXITJAPEIQ-UHFFFAOYSA-N 0 1 300.383 3.230 20 30 DGEDMN C[C@@H](CC#N)N(C)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000103442551 432010203 /nfs/dbraw/zinc/01/02/03/432010203.db2.gz VSHIPPITIUBXOI-JTQLQIEISA-N 0 1 302.765 3.104 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)C=Cc2ccc(C#N)cc2)n[nH]1 ZINC000277174509 213345373 /nfs/dbraw/zinc/34/53/73/213345373.db2.gz YHKQFMQKKZZZBU-WGVUZWOWSA-N 0 1 323.400 3.080 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]2CCC[C@@H]21 ZINC000072693398 191216707 /nfs/dbraw/zinc/21/67/07/191216707.db2.gz LDJLLEZTCCHSEP-LRDDRELGSA-N 0 1 317.820 3.415 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2CN(C)Cc2cccc(C#N)c2)o1 ZINC000130749941 432029338 /nfs/dbraw/zinc/02/93/38/432029338.db2.gz SJLNNGCHFHIDGR-UHFFFAOYSA-N 0 1 306.369 3.482 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N(C)CCc1cccs1 ZINC000072800953 191230994 /nfs/dbraw/zinc/23/09/94/191230994.db2.gz CNJKEJAMXFDJHP-CYBMUJFWSA-N 0 1 313.426 3.121 20 30 DGEDMN COc1ccc(CNCc2ccc(OC(C)C)nc2)cc1C#N ZINC000174274825 198313819 /nfs/dbraw/zinc/31/38/19/198313819.db2.gz JHLLGJHXFJZZEY-UHFFFAOYSA-N 0 1 311.385 3.039 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000174358437 198324846 /nfs/dbraw/zinc/32/48/46/198324846.db2.gz SDCSXMVWGMKUNB-KSSFIOAISA-N 0 1 305.381 3.014 20 30 DGEDMN C[C@@H](NCc1cc(C#N)n(C)c1)c1ccccc1OC(F)F ZINC000277046036 213261080 /nfs/dbraw/zinc/26/10/80/213261080.db2.gz MYQPPKXLOSWSES-LLVKDONJSA-N 0 1 305.328 3.349 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCN(Cc3ccc(Cl)cc3)CC2)C1 ZINC000429724080 283067299 /nfs/dbraw/zinc/06/72/99/283067299.db2.gz LPMJMZCZTXWIHZ-RDJZCZTQSA-N 0 1 303.837 3.150 20 30 DGEDMN COCC[N@@H+](Cc1ccc(C#N)cc1)Cc1cccc(COC)c1 ZINC000179204102 432049477 /nfs/dbraw/zinc/04/94/77/432049477.db2.gz NILMTTXPTNXETJ-UHFFFAOYSA-N 0 1 324.424 3.353 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1cccc(COC)c1 ZINC000179204102 432049479 /nfs/dbraw/zinc/04/94/79/432049479.db2.gz NILMTTXPTNXETJ-UHFFFAOYSA-N 0 1 324.424 3.353 20 30 DGEDMN N#Cc1cccc(OCCNC2(c3nccs3)CCCC2)c1 ZINC000081594789 192299294 /nfs/dbraw/zinc/29/92/94/192299294.db2.gz LAQDZZHVLDDMCO-UHFFFAOYSA-N 0 1 313.426 3.453 20 30 DGEDMN CN(Cc1cc(C#N)cs1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000179473357 432059571 /nfs/dbraw/zinc/05/95/71/432059571.db2.gz QWGXBSGUEXNOEB-CYBMUJFWSA-N 0 1 321.446 3.061 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NCc1cn2ccccc2n1 ZINC000341679709 283194000 /nfs/dbraw/zinc/19/40/00/283194000.db2.gz BJVPLEJPDOYJLY-SFHVURJKSA-N 0 1 302.381 3.373 20 30 DGEDMN CCCCN(C)C(=O)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC000179392192 199027106 /nfs/dbraw/zinc/02/71/06/199027106.db2.gz ZZKXAYSBMXNTPI-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN C/C(=C\c1ccccc1)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000179987436 432075761 /nfs/dbraw/zinc/07/57/61/432075761.db2.gz QRRARYJNCRQTSZ-GHRIWEEISA-N 0 1 318.424 3.179 20 30 DGEDMN N#Cc1c(CN2CCC([C@H]3CCCO3)CC2)cn2ccccc12 ZINC000189125383 200353332 /nfs/dbraw/zinc/35/33/32/200353332.db2.gz WADXTNNIHIDTGZ-LJQANCHMSA-N 0 1 309.413 3.202 20 30 DGEDMN C[C@H]1CN(CCOc2ccc(C#N)cc2)Cc2ccccc2O1 ZINC000429181678 238042586 /nfs/dbraw/zinc/04/25/86/238042586.db2.gz MENCJONJKPGMMX-HNNXBMFYSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1ccc([C@H](CNC(=O)c2ccc(C#N)o2)N2CCCC2)cc1 ZINC000429807929 238060945 /nfs/dbraw/zinc/06/09/45/238060945.db2.gz ZUAKFKKGGSNVPE-KRWDZBQOSA-N 0 1 323.396 3.027 20 30 DGEDMN C=C[C@](C)(CC)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000134940890 432090652 /nfs/dbraw/zinc/09/06/52/432090652.db2.gz KJXONZOLSSCPFD-MRXNPFEDSA-N 0 1 300.362 3.021 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1F)[C@@H]1CCCSC1 ZINC000433193728 238150165 /nfs/dbraw/zinc/15/01/65/238150165.db2.gz KZIHERGAOYJZGY-OAHLLOKOSA-N 0 1 308.422 3.041 20 30 DGEDMN COCc1cccc(OCC2(CN(C)CC(C)(C)C#N)CC2)c1 ZINC000433306823 238154501 /nfs/dbraw/zinc/15/45/01/238154501.db2.gz UCULQXWRJRZDTI-UHFFFAOYSA-N 0 1 316.445 3.474 20 30 DGEDMN N#CCCN(Cc1ccco1)C(=O)C(C#N)CCc1ccccc1 ZINC000433341126 238156686 /nfs/dbraw/zinc/15/66/86/238156686.db2.gz DZOBKBHUMRABFA-QGZVFWFLSA-N 0 1 321.380 3.294 20 30 DGEDMN COCCOc1ccccc1CN[C@@H](C)c1cccc(C#N)c1 ZINC000271908258 209315866 /nfs/dbraw/zinc/31/58/66/209315866.db2.gz XHHIIJXKEQTRDF-HNNXBMFYSA-N 0 1 310.397 3.434 20 30 DGEDMN CC(=Cc1ccc2c(c1)CC(C)(C)O2)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000193609570 201086120 /nfs/dbraw/zinc/08/61/20/201086120.db2.gz SRTKTLQGXBOXCA-YRNVUSSQSA-N 0 1 321.380 3.224 20 30 DGEDMN COCCN(Cc1cccs1)Cc1ccc(C#N)c(OC)c1 ZINC000442809258 239130384 /nfs/dbraw/zinc/13/03/84/239130384.db2.gz KBTTYEIFNAIUML-UHFFFAOYSA-N 0 1 316.426 3.277 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3ccc(C#N)c(O)c3)cc2s1 ZINC000442901583 239150451 /nfs/dbraw/zinc/15/04/51/239150451.db2.gz OLWWKBNXBCZUAW-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN CCn1c2cc(F)ccc2nc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000443106416 239175389 /nfs/dbraw/zinc/17/53/89/239175389.db2.gz DTUCJJFWMDKSLX-UHFFFAOYSA-N 0 1 324.315 3.025 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc3nc(-c4ccco4)[nH]c3c2)c[nH]1 ZINC000180808101 432099965 /nfs/dbraw/zinc/09/99/65/432099965.db2.gz KSNPYXPJWAQMAJ-UHFFFAOYSA-N 0 1 317.308 3.275 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(OCC(F)(F)F)nc2)cc1 ZINC000069680338 283732642 /nfs/dbraw/zinc/73/26/42/283732642.db2.gz ZWRLQXQNZSWCSM-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN C[C@H](N[C@H]1CCN(Cc2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000271819424 209242010 /nfs/dbraw/zinc/24/20/10/209242010.db2.gz OTPRRMLGUPPPCF-KXBFYZLASA-N 0 1 319.408 3.010 20 30 DGEDMN COc1cc(CNCc2ccnn2C2CCCC2)ccc1C#N ZINC000449338800 240141649 /nfs/dbraw/zinc/14/16/49/240141649.db2.gz STEFESWGDZLQQE-UHFFFAOYSA-N 0 1 310.401 3.168 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)c(F)c2)c(C)c1OC ZINC000449737939 240391865 /nfs/dbraw/zinc/39/18/65/240391865.db2.gz WEBLXKOSLAPZBD-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(C#N)ccc2Br)C[C@H]1C ZINC000451569897 241177014 /nfs/dbraw/zinc/17/70/14/241177014.db2.gz OXTUCTWBLTUIGF-ABAIWWIYSA-N 0 1 323.234 3.178 20 30 DGEDMN CC1(C)C[C@@H](O)CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000451798469 241238535 /nfs/dbraw/zinc/23/85/35/241238535.db2.gz CAZWMDGZCBYZMQ-AWEZNQCLSA-N 0 1 324.371 3.143 20 30 DGEDMN C#CC[C@H](NCc1c(C)nc2sc(C)nn21)c1ccccc1 ZINC000271788304 209215681 /nfs/dbraw/zinc/21/56/81/209215681.db2.gz GESRVMZMHFCYHX-HNNXBMFYSA-N 0 1 310.426 3.262 20 30 DGEDMN C=CCN(CCn1cc(Cl)cn1)Cc1cccc(C#N)c1 ZINC000459325162 243336542 /nfs/dbraw/zinc/33/65/42/243336542.db2.gz KYCIXTFIHWSTEN-UHFFFAOYSA-N 0 1 300.793 3.096 20 30 DGEDMN Cc1cc(CN[C@@H](CC(=O)N(C)C)c2ccccc2)ccc1C#N ZINC000488146815 245154247 /nfs/dbraw/zinc/15/42/47/245154247.db2.gz IURTWUAUGHVDRY-IBGZPJMESA-N 0 1 321.424 3.176 20 30 DGEDMN N#Cc1c(F)cccc1CSc1nc(-c2ccccn2)n[nH]1 ZINC000488255156 245168160 /nfs/dbraw/zinc/16/81/60/245168160.db2.gz ZHMAQWAPSGEDSM-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(C)[C@@H](C(C)C)C1)c1ccccc1 ZINC000489399411 245302778 /nfs/dbraw/zinc/30/27/78/245302778.db2.gz UUMNSDHLOOCLJI-FUHWJXTLSA-N 0 1 300.446 3.145 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(C(=O)C3CCC3)cc2)cc1O ZINC000490302173 245394719 /nfs/dbraw/zinc/39/47/19/245394719.db2.gz TUEIOOBWYQIWJP-UHFFFAOYSA-N 0 1 320.348 3.499 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@H](C)c1ccccc1)c1ccccc1 ZINC000271674155 209116990 /nfs/dbraw/zinc/11/69/90/209116990.db2.gz HDGLWUCIQBSWGV-VQIMIIECSA-N 0 1 306.409 3.218 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccc(C#N)s2)c(OC)c1 ZINC000135679298 432132869 /nfs/dbraw/zinc/13/28/69/432132869.db2.gz ARSHWIGJIYPKJL-NSHDSACASA-N 0 1 302.399 3.488 20 30 DGEDMN C[C@@H](CC#N)N(C)CC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000495524503 246274880 /nfs/dbraw/zinc/27/48/80/246274880.db2.gz AUWGJJDRLQPDSR-LSDHHAIUSA-N 0 1 309.454 3.258 20 30 DGEDMN C[C@@H]1C[C@@H](C)N(CC2(C#N)CC2)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000496386553 246390119 /nfs/dbraw/zinc/39/01/19/246390119.db2.gz WMSDCFUWGRNWOY-KFWWJZLASA-N 0 1 321.465 3.161 20 30 DGEDMN CCN(CC)[C@H](C(=O)NCc1ccc(C#N)cc1)c1ccccc1 ZINC000264189146 284788709 /nfs/dbraw/zinc/78/87/09/284788709.db2.gz BQPYVYWSHWKCCF-IBGZPJMESA-N 0 1 321.424 3.258 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc2c(c1)OCCO2 ZINC000120130444 195101985 /nfs/dbraw/zinc/10/19/85/195101985.db2.gz ZLWNUQSNGZHFDG-LBPRGKRZSA-N 0 1 312.344 3.319 20 30 DGEDMN CCCCc1ccc(NS(=O)(=O)c2cncc(C#N)c2)cc1 ZINC000336865686 253013677 /nfs/dbraw/zinc/01/36/77/253013677.db2.gz KVQAQNVRGGCUIQ-UHFFFAOYSA-N 0 1 315.398 3.097 20 30 DGEDMN N#Cc1ccc(N2CCN(CCc3cccs3)CC2)c(F)c1 ZINC000337649244 253153067 /nfs/dbraw/zinc/15/30/67/253153067.db2.gz KANUGAXQYSCINC-UHFFFAOYSA-N 0 1 315.417 3.124 20 30 DGEDMN Cc1nc2c(cccc2C(=O)N(C)Cc2cccc(C#N)c2)[nH]1 ZINC000338506477 253299927 /nfs/dbraw/zinc/29/99/27/253299927.db2.gz XICGGFILUIUDCM-UHFFFAOYSA-N 0 1 304.353 3.015 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H](C)c1cccs1)c1ccccc1 ZINC000271662540 209105464 /nfs/dbraw/zinc/10/54/64/209105464.db2.gz DTKOICOQKTUUET-HOCLYGCPSA-N 0 1 312.438 3.280 20 30 DGEDMN Cc1ccnc(OC2CCN(Cc3cccc(C#N)c3)CC2)c1 ZINC000272930222 210316917 /nfs/dbraw/zinc/31/69/17/210316917.db2.gz JXPFXOLNWMTOFT-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN CN(C(=O)c1ccc2cncn2c1)c1cc(Cl)ccc1C#N ZINC000341397114 253802189 /nfs/dbraw/zinc/80/21/89/253802189.db2.gz BZMTZYFLZXWKMZ-UHFFFAOYSA-N 0 1 310.744 3.136 20 30 DGEDMN Cc1ccc2nc(CNc3ccc(C#N)cc3[N+](=O)[O-])[nH]c2c1 ZINC000342799154 254053667 /nfs/dbraw/zinc/05/36/67/254053667.db2.gz HTMKVGCZVIGASS-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN N#Cc1nnc2ccccc2c1NCCc1ccc2cn[nH]c2c1 ZINC000343063751 254092802 /nfs/dbraw/zinc/09/28/02/254092802.db2.gz ORMKMSLZAIAYSQ-UHFFFAOYSA-N 0 1 314.352 3.032 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3ccc(C#N)[nH]3)cc2[nH]1 ZINC000343316904 254127016 /nfs/dbraw/zinc/12/70/16/254127016.db2.gz FYUUQJNVANMUFY-UHFFFAOYSA-N 0 1 307.357 3.358 20 30 DGEDMN Cc1oc(NC(=O)CNC2(c3ccccc3)CCC2)c(C#N)c1C ZINC000157400251 197184526 /nfs/dbraw/zinc/18/45/26/197184526.db2.gz PPWGCDGNWVZQMQ-UHFFFAOYSA-N 0 1 323.396 3.376 20 30 DGEDMN N#Cc1cccc(CCN[C@@H](c2ncc[nH]2)c2ccccc2)c1 ZINC000343625581 254169101 /nfs/dbraw/zinc/16/91/01/254169101.db2.gz WNRUGOXVWHESQH-GOSISDBHSA-N 0 1 302.381 3.203 20 30 DGEDMN C[C@H]1CN(C)CC[N@H+]1Cc1ccc(-c2ccccc2C#N)cc1 ZINC000343820180 254192336 /nfs/dbraw/zinc/19/23/36/254192336.db2.gz VPXRBCTZTJUKBG-INIZCTEOSA-N 0 1 305.425 3.361 20 30 DGEDMN CN(Cc1nnc(-c2ccc(C#N)cc2)o1)C[C@H]1CC=CCC1 ZINC000344066331 254220132 /nfs/dbraw/zinc/22/01/32/254220132.db2.gz OXKUIMDZLRFVKX-HNNXBMFYSA-N 0 1 308.385 3.396 20 30 DGEDMN C[C@@H]1CN(CCCNc2cccc(Cl)c2C#N)C[C@H](C)O1 ZINC000344473759 254274879 /nfs/dbraw/zinc/27/48/79/254274879.db2.gz HNDXKDBJNVYRLY-BETUJISGSA-N 0 1 307.825 3.123 20 30 DGEDMN CC#CCCN(CCC)CC(=O)Nc1ccc(F)c(F)c1F ZINC000155076237 197020081 /nfs/dbraw/zinc/02/00/81/197020081.db2.gz WEGWXAPTHCDZAF-UHFFFAOYSA-N 0 1 312.335 3.168 20 30 DGEDMN CCO[C@H]1C[C@H](N(C)Cc2ccc(C#N)cc2F)C12CCC2 ZINC000120787845 195236883 /nfs/dbraw/zinc/23/68/83/195236883.db2.gz QFRNGDPSDONSSK-IRXDYDNUSA-N 0 1 302.393 3.477 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc2c(c1)NC(=O)CO2)c1ccc(C#N)cc1 ZINC000121838909 195359684 /nfs/dbraw/zinc/35/96/84/195359684.db2.gz FIUCRHFRLWXNMP-CHWSQXEVSA-N 0 1 321.380 3.301 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(F)cc1)c1ccc([S@](C)=O)cc1 ZINC000360207426 533342671 /nfs/dbraw/zinc/34/26/71/533342671.db2.gz HGNHUDFFUWFSLD-PEBXRYMYSA-N 0 1 315.413 3.265 20 30 DGEDMN COc1ccc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000345395704 533353482 /nfs/dbraw/zinc/35/34/82/533353482.db2.gz FZLPPTBFRUEJAA-CQSZACIVSA-N 0 1 305.337 3.370 20 30 DGEDMN C[C@@H](NCc1ccncc1OCC(F)F)c1ccc(C#N)cc1 ZINC000442135082 533464685 /nfs/dbraw/zinc/46/46/85/533464685.db2.gz TUKDQSGSZMIFQP-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN C[C@H](CC#N)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000352431184 533616510 /nfs/dbraw/zinc/61/65/10/533616510.db2.gz JKSNXPICLQEHPE-SNVBAGLBSA-N 0 1 310.382 3.323 20 30 DGEDMN C=CCNC(=O)[C@@H](C)Sc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000007099112 400098362 /nfs/dbraw/zinc/09/83/62/400098362.db2.gz NHRRZUWYPFPHHZ-CYBMUJFWSA-N 0 1 315.442 3.092 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@]2(C#N)CCC[C@@H](C)C2)o1 ZINC000609735390 400188777 /nfs/dbraw/zinc/18/87/77/400188777.db2.gz IXEMWPDYUXJLPY-RDTXWAMCSA-N 0 1 317.433 3.324 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)c1nc2cc(F)ccc2s1 ZINC000609627449 400182752 /nfs/dbraw/zinc/18/27/52/400182752.db2.gz YQHRXCRAHFIIPE-SNVBAGLBSA-N 0 1 312.329 3.076 20 30 DGEDMN C=CC1CCN(CC(=O)N[C@H](c2ccc(C)cc2)C2CC2)CC1 ZINC000600682990 400129223 /nfs/dbraw/zinc/12/92/23/400129223.db2.gz FQYGVEGBONQVKC-HXUWFJFHSA-N 0 1 312.457 3.460 20 30 DGEDMN N#Cc1ccccc1OCCN1CCCO[C@@H](c2ccccc2)C1 ZINC000609233409 400152510 /nfs/dbraw/zinc/15/25/10/400152510.db2.gz DXHYFJUFDJZPCE-HXUWFJFHSA-N 0 1 322.408 3.401 20 30 DGEDMN Cc1cc(CNc2ccc(F)c(-c3nc[nH]n3)c2)ccc1C#N ZINC000600847584 400168528 /nfs/dbraw/zinc/16/85/28/400168528.db2.gz VIHGPLPBUDUJKQ-UHFFFAOYSA-N 0 1 307.332 3.403 20 30 DGEDMN CCCCN1C(=O)N=NC1S[C@H](C#N)CCc1ccccc1 ZINC000601072046 400221252 /nfs/dbraw/zinc/22/12/52/400221252.db2.gz CXNLNFHDUAZLAI-AWEZNQCLSA-N 0 1 316.430 3.401 20 30 DGEDMN C[C@@H](CO)[C@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000595680373 400224145 /nfs/dbraw/zinc/22/41/45/400224145.db2.gz ZYROTWFLJIJBBY-SWLSCSKDSA-N 0 1 324.371 3.143 20 30 DGEDMN CCCCN1C(=O)N=NC1S[C@@H](C#N)Cc1ccc(C)cc1 ZINC000024846964 400226027 /nfs/dbraw/zinc/22/60/27/400226027.db2.gz OVLQXLMDTHKTSK-CQSZACIVSA-N 0 1 316.430 3.319 20 30 DGEDMN COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2cccc(C#N)c2)cc1 ZINC000610346303 400245600 /nfs/dbraw/zinc/24/56/00/400245600.db2.gz SHTUBKZXCDNXLT-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN Cc1ccc(CNC(=O)[C@H](C)N[C@@H](C)c2cccc(C#N)c2)cc1 ZINC000610346253 400246358 /nfs/dbraw/zinc/24/63/58/400246358.db2.gz QURTWVXHHBMICV-HOTGVXAUSA-N 0 1 321.424 3.222 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1CCC(C2CCCC2)CC1 ZINC000610370841 400252606 /nfs/dbraw/zinc/25/26/06/400252606.db2.gz ONYFFOHASCVAAT-KXBFYZLASA-N 0 1 319.493 3.332 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1C[C@@H]2CCCC[C@@H]21 ZINC000595565280 400189487 /nfs/dbraw/zinc/18/94/87/400189487.db2.gz DRZBPQHTOOAGSP-BQFCYCMXSA-N 0 1 311.429 3.196 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C[C@@H]2CCCC[C@@H]21 ZINC000595568598 400191125 /nfs/dbraw/zinc/19/11/25/400191125.db2.gz XJNVXZZVTPPPLP-RBOXIYTFSA-N 0 1 317.820 3.413 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2cccc(C#N)c2)CC1 ZINC000022818601 400204584 /nfs/dbraw/zinc/20/45/84/400204584.db2.gz YCKHOIVECJDSJT-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN C=C(C)[C@H]1OCC[C@H]1c1nc(-c2cc3nc[nH]c3c(C)c2)no1 ZINC000350099229 400210742 /nfs/dbraw/zinc/21/07/42/400210742.db2.gz POBYFRDSEDNPBF-IUODEOHRSA-N 0 1 310.357 3.370 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](Cc2ccc(Cl)cc2-n2cncn2)C1 ZINC000595623486 400210963 /nfs/dbraw/zinc/21/09/63/400210963.db2.gz RHHLWLNTPUYJFV-ZDUSSCGKSA-N 0 1 315.808 3.046 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccc(Cl)cc2-n2cncn2)C1 ZINC000595623486 400210967 /nfs/dbraw/zinc/21/09/67/400210967.db2.gz RHHLWLNTPUYJFV-ZDUSSCGKSA-N 0 1 315.808 3.046 20 30 DGEDMN CC[C@H]1CCCCN1C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595642747 400216504 /nfs/dbraw/zinc/21/65/04/400216504.db2.gz SCCYXYWAMFYFNK-KRWDZBQOSA-N 0 1 313.445 3.174 20 30 DGEDMN CN(CCC(=O)Nc1ccc(C#N)cc1)Cc1ccccc1F ZINC000026224715 400255184 /nfs/dbraw/zinc/25/51/84/400255184.db2.gz VDTTVOIFPJJTMI-UHFFFAOYSA-N 0 1 311.360 3.158 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H]3COC[C@H]3C2)c(C(F)(F)F)c1 ZINC000595828244 400272637 /nfs/dbraw/zinc/27/26/37/400272637.db2.gz WAWYFSLOFSMPGD-ZIAGYGMSSA-N 0 1 310.319 3.045 20 30 DGEDMN N#CCc1ccc(NS(=O)(=O)c2ccc(F)cc2Cl)cc1 ZINC000029967345 400319053 /nfs/dbraw/zinc/31/90/53/400319053.db2.gz WZTQYZIJLJYFNF-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN N#Cc1cccc(CN2CCC([C@H]3CCCCO3)CC2)c1F ZINC000595783411 400258130 /nfs/dbraw/zinc/25/81/30/400258130.db2.gz OBKJGEBWEWWSID-QGZVFWFLSA-N 0 1 302.393 3.478 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000595791465 400260762 /nfs/dbraw/zinc/26/07/62/400260762.db2.gz UVKDXFLBVQYPEN-CRAIPNDOSA-N 0 1 302.393 3.478 20 30 DGEDMN C[C@H]1CCc2[nH]n(-c3ccc(C#N)c(C(F)(F)F)c3)c(=O)c21 ZINC000601939538 400381811 /nfs/dbraw/zinc/38/18/11/400381811.db2.gz LUUMWUFCQFQYRE-SDBXPKJASA-N 0 1 307.275 3.326 20 30 DGEDMN N#CCc1cccc(C(=O)Nc2c(=O)[nH][nH]c2-c2ccccc2)c1 ZINC000590399868 400383351 /nfs/dbraw/zinc/38/33/51/400383351.db2.gz MBYXZQKMXGICSY-UHFFFAOYSA-N 0 1 318.336 3.101 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000034887347 400386620 /nfs/dbraw/zinc/38/66/20/400386620.db2.gz PZAQNMZZJRTCAL-QGZVFWFLSA-N 0 1 305.425 3.437 20 30 DGEDMN C[C@@H](c1ccccc1)N1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000034887345 400386896 /nfs/dbraw/zinc/38/68/96/400386896.db2.gz PZAQNMZZJRTCAL-KRWDZBQOSA-N 0 1 305.425 3.437 20 30 DGEDMN CCc1ccsc1C(=O)C(C#N)c1nnc2n1CCCCC2 ZINC000069346010 400326773 /nfs/dbraw/zinc/32/67/73/400326773.db2.gz WOYXBJKEPSPNGL-GFCCVEGCSA-N 0 1 314.414 3.118 20 30 DGEDMN Cc1nn(C(C)C)cc1C(=O)C(C#N)c1nc2ccccc2[nH]1 ZINC000578907479 400333246 /nfs/dbraw/zinc/33/32/46/400333246.db2.gz ZBXRFWGJCSGWQH-LBPRGKRZSA-N 0 1 307.357 3.139 20 30 DGEDMN COc1cc2ccnc(N3CCC(CC#N)CC3)c2cc1OC ZINC000596190869 400344913 /nfs/dbraw/zinc/34/49/13/400344913.db2.gz ZONSWNRCIRHCCS-UHFFFAOYSA-N 0 1 311.385 3.382 20 30 DGEDMN CCCCN(CCCC)C(=O)CN1CCC(CCC#N)CC1 ZINC000580771659 400347356 /nfs/dbraw/zinc/34/73/56/400347356.db2.gz LYUGDCUUTMUNDV-UHFFFAOYSA-N 0 1 307.482 3.431 20 30 DGEDMN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1SCC#N ZINC000072698257 400398409 /nfs/dbraw/zinc/39/84/09/400398409.db2.gz SOYMWHSUCYQMGK-KGLIPLIRSA-N 0 1 317.458 3.361 20 30 DGEDMN C=CCN(Cc1n[nH]c(C)c1C(=O)OCC)Cc1ccccc1 ZINC000590603434 400400797 /nfs/dbraw/zinc/40/07/97/400400797.db2.gz XDHUAKRASKKKOQ-UHFFFAOYSA-N 0 1 313.401 3.083 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000602095494 400411733 /nfs/dbraw/zinc/41/17/33/400411733.db2.gz CLMKNXFURSPNPH-JTQLQIEISA-N 0 1 300.387 3.365 20 30 DGEDMN CCCN(CC(=O)N[C@@](C)(C#N)C(C)C)Cc1ccc(C)cc1 ZINC000611565480 400422787 /nfs/dbraw/zinc/42/27/87/400422787.db2.gz IYCOAIHAEXSBQC-IBGZPJMESA-N 0 1 315.461 3.262 20 30 DGEDMN CC1CCN([C@H](CNC(=O)C(C)(C)C#N)c2cccs2)CC1 ZINC000042127693 400423531 /nfs/dbraw/zinc/42/35/31/400423531.db2.gz LZKOWNYDQPWRIO-CQSZACIVSA-N 0 1 319.474 3.187 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000611532010 400418041 /nfs/dbraw/zinc/41/80/41/400418041.db2.gz KQVRDXYMERUCRE-LBPRGKRZSA-N 0 1 306.431 3.031 20 30 DGEDMN Cc1ccc(CNCc2cc(C#N)cs2)c(N2CCCC2)n1 ZINC000611584496 400427018 /nfs/dbraw/zinc/42/70/18/400427018.db2.gz JCNNNWVVZQWKFT-UHFFFAOYSA-N 0 1 312.442 3.213 20 30 DGEDMN C=C[C@@](C)(O)C(=O)Nc1ccc2nc(C3CCCCC3)[nH]c2c1 ZINC000611832045 400457028 /nfs/dbraw/zinc/45/70/28/400457028.db2.gz MCCBSIPPBAWGEJ-GOSISDBHSA-N 0 1 313.401 3.486 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)N(C)Cc1ccccc1 ZINC000127481319 400503925 /nfs/dbraw/zinc/50/39/25/400503925.db2.gz FXBHBUUTQWUDMX-HZPDHXFCSA-N 0 1 321.424 3.256 20 30 DGEDMN COCCOc1ccc(C#N)cc1NCc1[nH]nc2ccccc21 ZINC000612202288 400507090 /nfs/dbraw/zinc/50/70/90/400507090.db2.gz WNKWWIRQQOZRGZ-UHFFFAOYSA-N 0 1 322.368 3.072 20 30 DGEDMN N#CC1(CNC(=O)c2cn[nH]c2-c2ccc(Cl)s2)CCC1 ZINC000591322984 400510961 /nfs/dbraw/zinc/51/09/61/400510961.db2.gz LJSMWHGSKFPPKX-UHFFFAOYSA-N 0 1 320.805 3.215 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)Cc1cc2c(cn1)OCCO2 ZINC000602443274 400464426 /nfs/dbraw/zinc/46/44/26/400464426.db2.gz ILVPXDCQNZJENM-UHFFFAOYSA-N 0 1 323.396 3.137 20 30 DGEDMN N#Cc1cccc(CN2CCC(c3c[nH]c4ncccc34)CC2)n1 ZINC000602446294 400465200 /nfs/dbraw/zinc/46/52/00/400465200.db2.gz AVEYTEFCFNBLBS-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN CN(c1ccccc1)C1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602454759 400468375 /nfs/dbraw/zinc/46/83/75/400468375.db2.gz TXTOYFFDUKZQCH-UHFFFAOYSA-N 0 1 306.413 3.054 20 30 DGEDMN Cc1cccc(NC(=O)N2CCN(C[C@H](C)CCC#N)CC2)c1 ZINC000602455589 400468466 /nfs/dbraw/zinc/46/84/66/400468466.db2.gz XCPCBHGNAMVQFO-MRXNPFEDSA-N 0 1 314.433 3.084 20 30 DGEDMN C[C@H](CC#N)C(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000596671970 400476489 /nfs/dbraw/zinc/47/64/89/400476489.db2.gz OCEBOPGCHFMLJI-MRXNPFEDSA-N 0 1 321.424 3.034 20 30 DGEDMN COCCCOc1cccc(CNCc2cc(C#N)cs2)c1 ZINC000125887109 400486096 /nfs/dbraw/zinc/48/60/96/400486096.db2.gz FTBDSCJLLRAMEL-UHFFFAOYSA-N 0 1 316.426 3.325 20 30 DGEDMN N#CC1(CNCc2ccc(O[C@@H]3CCOC3)cc2)CCCC1 ZINC000597159393 400573880 /nfs/dbraw/zinc/57/38/80/400573880.db2.gz ZXVFUMJEWUNCKM-QGZVFWFLSA-N 0 1 300.402 3.028 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccc3c(c2)OCCCCO3)C1 ZINC000597178241 400577545 /nfs/dbraw/zinc/57/75/45/400577545.db2.gz WNTUNTGZMBEHCM-HNNXBMFYSA-N 0 1 300.402 3.364 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](CC#N)C2)c(-c2cccs2)n1 ZINC000597178495 400578890 /nfs/dbraw/zinc/57/88/90/400578890.db2.gz YREMZVQACQFJOR-ZDUSSCGKSA-N 0 1 300.431 3.274 20 30 DGEDMN Cc1c(CN2CCSCC2)cccc1NC(=O)[C@@H](C)CC#N ZINC000597004292 400539092 /nfs/dbraw/zinc/53/90/92/400539092.db2.gz ZFGRMUSZTFVIDD-ZDUSSCGKSA-N 0 1 317.458 3.032 20 30 DGEDMN N#Cc1ccccc1N1CCCN(Cc2cccc(F)c2)CC1 ZINC000046086408 400550029 /nfs/dbraw/zinc/55/00/29/400550029.db2.gz BSZHUDITIOKESR-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN CC(C)C[C@@H]1CCN(Cc2nnc(-c3cccc(C#N)c3)o2)C1 ZINC000074994065 400553204 /nfs/dbraw/zinc/55/32/04/400553204.db2.gz SAIYLIGGDRANCI-HNNXBMFYSA-N 0 1 310.401 3.476 20 30 DGEDMN CCc1nn(C)c(CC)c1CNC(C)(C)c1cccc(C#N)c1 ZINC000597182313 400580536 /nfs/dbraw/zinc/58/05/36/400580536.db2.gz BKHXPKRPNULCOO-UHFFFAOYSA-N 0 1 310.445 3.441 20 30 DGEDMN N#CC1(c2ccc(CN3C[C@]4(CCCO4)[C@@H]3C3CC3)cc2)CC1 ZINC000597135994 400568036 /nfs/dbraw/zinc/56/80/36/400568036.db2.gz RLKBTPWKXAZERY-AZUAARDMSA-N 0 1 308.425 3.385 20 30 DGEDMN CN(CCC(C)(C)C)CC(=O)N(CCC#N)c1ccccc1 ZINC000592144479 400613948 /nfs/dbraw/zinc/61/39/48/400613948.db2.gz JLIZXXTXZAAAHI-UHFFFAOYSA-N 0 1 301.434 3.301 20 30 DGEDMN N#CC1(CC(=O)Nc2ccccc2-c2nnc[nH]2)CCCCC1 ZINC000597435495 400630099 /nfs/dbraw/zinc/63/00/99/400630099.db2.gz XROBLHCSHMAUER-UHFFFAOYSA-N 0 1 309.373 3.274 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1cc(C(F)F)[nH]n1 ZINC000603076459 400636842 /nfs/dbraw/zinc/63/68/42/400636842.db2.gz VPIMEKGVLHGELV-VIFPVBQESA-N 0 1 304.300 3.052 20 30 DGEDMN C[C@@H]1CN(c2cccc3cnccc32)CC[N@H+]1CC1(CC#N)CC1 ZINC000602816168 400582943 /nfs/dbraw/zinc/58/29/43/400582943.db2.gz AWDQANQJHWXHIW-MRXNPFEDSA-N 0 1 320.440 3.439 20 30 DGEDMN C[C@@H]1CN(c2cccc3cnccc32)CCN1CC1(CC#N)CC1 ZINC000602816168 400582947 /nfs/dbraw/zinc/58/29/47/400582947.db2.gz AWDQANQJHWXHIW-MRXNPFEDSA-N 0 1 320.440 3.439 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(O)c(Cl)c1)c1cccc(C#N)c1 ZINC000075504780 400590096 /nfs/dbraw/zinc/59/00/96/400590096.db2.gz DDFALRPDVABOOL-SNVBAGLBSA-N 0 1 300.745 3.408 20 30 DGEDMN C=CCC[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)c1ccccc1 ZINC000612708771 400590171 /nfs/dbraw/zinc/59/01/71/400590171.db2.gz RYUUUBCTRJZNRU-HUUCEWRRSA-N 0 1 310.401 3.048 20 30 DGEDMN C[C@@H](C1CC1)N(Cc1nnc(-c2cccc(C#N)c2)o1)C1CC1 ZINC000075637513 400599857 /nfs/dbraw/zinc/59/98/57/400599857.db2.gz HYFPWUXEXOWSKH-LBPRGKRZSA-N 0 1 308.385 3.371 20 30 DGEDMN CC#CCN1CCN(C(c2ccccc2)c2ccccc2)CC1 ZINC000138688101 400599927 /nfs/dbraw/zinc/59/99/27/400599927.db2.gz OJZXVJZCNXKFGA-UHFFFAOYSA-N 0 1 304.437 3.417 20 30 DGEDMN C[C@H](NC(=O)[C@H](C)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000592135817 400611416 /nfs/dbraw/zinc/61/14/16/400611416.db2.gz VUKVNDKCTFOTQH-HOTGVXAUSA-N 0 1 313.445 3.268 20 30 DGEDMN N#CC(C(=O)c1cccc([N+](=O)[O-])c1)c1nc(C2CC2)cs1 ZINC000592399445 400646236 /nfs/dbraw/zinc/64/62/36/400646236.db2.gz QFYBFVUFVQHSGG-LBPRGKRZSA-N 0 1 313.338 3.419 20 30 DGEDMN N#C[C@@H](C(=O)c1cccc([N+](=O)[O-])c1)c1nc(C2CC2)cs1 ZINC000592399445 400646243 /nfs/dbraw/zinc/64/62/43/400646243.db2.gz QFYBFVUFVQHSGG-LBPRGKRZSA-N 0 1 313.338 3.419 20 30 DGEDMN Cc1cc(F)ccc1-c1ccc(CN2CC[C@](O)(CC#N)C2)o1 ZINC000592523344 400664140 /nfs/dbraw/zinc/66/41/40/400664140.db2.gz YUEYUSLWVFLANA-GOSISDBHSA-N 0 1 314.360 3.245 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2ccc(CC#N)cc2)o1 ZINC000592498316 400659270 /nfs/dbraw/zinc/65/92/70/400659270.db2.gz STGVQYUECSYASP-UHFFFAOYSA-N 0 1 311.385 3.440 20 30 DGEDMN Cc1nccc([C@H]2CCCN(Cc3cc(C#N)ccc3F)C2)n1 ZINC000603834628 400720712 /nfs/dbraw/zinc/72/07/12/400720712.db2.gz XTJIJNMJRXWXOP-HNNXBMFYSA-N 0 1 310.376 3.175 20 30 DGEDMN C[C@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1ccc(C#N)cc1 ZINC000160134012 400732305 /nfs/dbraw/zinc/73/23/05/400732305.db2.gz MPMYSQUVOVGCAY-KSSFIOAISA-N 0 1 311.429 3.142 20 30 DGEDMN CCC1CCN([C@@H](C)C(=O)N(CCC#N)c2ccccc2)CC1 ZINC000077212129 400695952 /nfs/dbraw/zinc/69/59/52/400695952.db2.gz DUACKVWGSOYPCQ-INIZCTEOSA-N 0 1 313.445 3.444 20 30 DGEDMN N#CCCN(C(=O)CNC1(c2ccccc2)CC1)c1ccccc1 ZINC000077378463 400712977 /nfs/dbraw/zinc/71/29/77/400712977.db2.gz ZYPGJPYFJKVSOG-UHFFFAOYSA-N 0 1 319.408 3.212 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000078587581 400812979 /nfs/dbraw/zinc/81/29/79/400812979.db2.gz QXVXGQNYLVMNJG-HNAYVOBHSA-N 0 1 324.424 3.065 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccc(Oc2cccc(C#N)c2)nc1 ZINC000604439023 400775982 /nfs/dbraw/zinc/77/59/82/400775982.db2.gz VNKRCVFGDDYFFV-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN Cc1cc(C)cc(OCC(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000053524620 400788347 /nfs/dbraw/zinc/78/83/47/400788347.db2.gz GHOSBHSJPMTLTM-UHFFFAOYSA-N 0 1 323.356 3.151 20 30 DGEDMN N#Cc1cccc(NC(=O)CNC2(c3ccc(F)cc3)CCC2)c1 ZINC000604564796 400789282 /nfs/dbraw/zinc/78/92/82/400789282.db2.gz ICXGSYJKECJHFB-UHFFFAOYSA-N 0 1 323.371 3.305 20 30 DGEDMN CCN(C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)[C@H](C)C#N ZINC000598349311 400791821 /nfs/dbraw/zinc/79/18/21/400791821.db2.gz FUIMEJUBBGIXKJ-SNVBAGLBSA-N 0 1 302.765 3.104 20 30 DGEDMN CCN(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)[C@H](C)C#N ZINC000598348425 400792304 /nfs/dbraw/zinc/79/23/04/400792304.db2.gz AUTSFWBWKQSSNE-SNVBAGLBSA-N 0 1 302.765 3.104 20 30 DGEDMN CCC[C@H]1CN(C(=O)[C@H](C#N)CC)CCN1Cc1ccccc1 ZINC000593587380 400876814 /nfs/dbraw/zinc/87/68/14/400876814.db2.gz GEOPVCFDHSWZDY-ROUUACIJSA-N 0 1 313.445 3.049 20 30 DGEDMN C=C[C@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)OC ZINC000619689038 400885619 /nfs/dbraw/zinc/88/56/19/400885619.db2.gz KCIQGDXRKKHHKB-JTQLQIEISA-N 0 1 316.304 3.401 20 30 DGEDMN C[C@@H](NC[C@@H](O)CC(C)(C)C#N)c1nc(C(F)(F)F)cs1 ZINC000598612861 400844458 /nfs/dbraw/zinc/84/44/58/400844458.db2.gz DQFURIUOKNVANT-BDAKNGLRSA-N 0 1 321.368 3.113 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000079309308 400857910 /nfs/dbraw/zinc/85/79/10/400857910.db2.gz RCBUITLGOGTWOK-ZIAGYGMSSA-N 0 1 319.405 3.059 20 30 DGEDMN Cn1ncc(C(F)(F)F)c1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000599061827 400944448 /nfs/dbraw/zinc/94/44/48/400944448.db2.gz SBKBLUBBOVLGER-CQSZACIVSA-N 0 1 320.318 3.088 20 30 DGEDMN COc1ncc(Br)cc1CN[C@H]1CCC[C@H](C#N)C1 ZINC000599069708 400948230 /nfs/dbraw/zinc/94/82/30/400948230.db2.gz GWAMQUXFYAQFAM-GWCFXTLKSA-N 0 1 324.222 3.025 20 30 DGEDMN Cc1ccc2ncc(CNCc3ccc(C#N)c(Cl)c3)n2c1 ZINC000619748746 400898411 /nfs/dbraw/zinc/89/84/11/400898411.db2.gz SDEUBEIESSXCSY-UHFFFAOYSA-N 0 1 310.788 3.458 20 30 DGEDMN N#Cc1ccc(CNCc2cccc3c2OCO3)cc1Cl ZINC000619748214 400898646 /nfs/dbraw/zinc/89/86/46/400898646.db2.gz AZLZJXWZZBJUNH-UHFFFAOYSA-N 0 1 300.745 3.230 20 30 DGEDMN C[C@H](C#N)C(=O)N1CC(C)(C)N(Cc2ccccc2)CC1(C)C ZINC000598913043 400908577 /nfs/dbraw/zinc/90/85/77/400908577.db2.gz ODHMMWLQWBNVTC-OAHLLOKOSA-N 0 1 313.445 3.048 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C#N)c(Cl)c2)cc1 ZINC000619847226 400909814 /nfs/dbraw/zinc/90/98/14/400909814.db2.gz BYZKDNIIYZKEES-UHFFFAOYSA-N 0 1 314.772 3.288 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC[C@](C)(C#N)C3)n[nH]2)cc1C ZINC000614863912 400955605 /nfs/dbraw/zinc/95/56/05/400955605.db2.gz DCYUOPCCCXFFNB-LJQANCHMSA-N 0 1 322.412 3.459 20 30 DGEDMN CC(C)n1ncc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc21 ZINC000615430997 401077303 /nfs/dbraw/zinc/07/73/03/401077303.db2.gz HORBBBYKPQLPBL-UHFFFAOYSA-N 0 1 320.352 3.447 20 30 DGEDMN Cc1nnc([C@H]2CCCN(Cc3ccc(C#N)cc3Cl)C2)o1 ZINC000615224095 401033222 /nfs/dbraw/zinc/03/32/22/401033222.db2.gz MLDDVYDLMQKYEI-AWEZNQCLSA-N 0 1 316.792 3.283 20 30 DGEDMN N#Cc1ccc(CN(CCO)CCc2ccccc2)c(Cl)c1 ZINC000615224878 401033789 /nfs/dbraw/zinc/03/37/89/401033789.db2.gz MUGSRCRVYUDADO-UHFFFAOYSA-N 0 1 314.816 3.249 20 30 DGEDMN N#Cc1ccc(C[N@H+](CC(=O)[O-])C2CCC2)c(C(F)(F)F)c1 ZINC000594540003 401105230 /nfs/dbraw/zinc/10/52/30/401105230.db2.gz MVQPKZWDCIPENT-UHFFFAOYSA-N 0 1 312.291 3.016 20 30 DGEDMN COc1ccc([C@@H]2CCN(Cc3ccnc(C#N)c3)C2)cc1F ZINC000599680141 401112239 /nfs/dbraw/zinc/11/22/39/401112239.db2.gz RVLGJWNCPMXVOG-OAHLLOKOSA-N 0 1 311.360 3.090 20 30 DGEDMN CCn1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)c(C2CC2)n1 ZINC000633894522 401141691 /nfs/dbraw/zinc/14/16/91/401141691.db2.gz KZIDHOXPYMJYID-LBPRGKRZSA-N 0 1 319.368 3.147 20 30 DGEDMN CCOCCN(Cc1ccc(C#N)cn1)[C@@H]1CCc2ccccc21 ZINC000599626658 401093387 /nfs/dbraw/zinc/09/33/87/401093387.db2.gz YAXRGKYFVGAAAG-HXUWFJFHSA-N 0 1 321.424 3.479 20 30 DGEDMN CCOc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c(C)c1 ZINC000594696845 401154163 /nfs/dbraw/zinc/15/41/63/401154163.db2.gz REJSKRADVSDZBN-XYOKQWHBSA-N 0 1 309.369 3.218 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(C(F)F)c2)n1 ZINC000633925848 401147975 /nfs/dbraw/zinc/14/79/75/401147975.db2.gz UCDXVSBQCKUKIB-VIFPVBQESA-N 0 1 310.329 3.348 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2ccc(Cl)c(Cl)c2)CC1 ZINC000594877734 401176770 /nfs/dbraw/zinc/17/67/70/401176770.db2.gz VBVMFUSAFPTYOC-GFCCVEGCSA-N 0 1 316.228 3.385 20 30 DGEDMN C[C@@H]1CCN(CC#Cc2ccc(C(F)(F)F)cc2)C[C@@H]1CO ZINC000621366146 401188011 /nfs/dbraw/zinc/18/80/11/401188011.db2.gz GULVLSBGNOANGJ-UKRRQHHQSA-N 0 1 311.347 3.007 20 30 DGEDMN C=C(C)[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000635814916 401489867 /nfs/dbraw/zinc/48/98/67/401489867.db2.gz UWMWTZXTWKVLLV-XHBSWPGZSA-N 0 1 317.339 3.225 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)c(F)c1 ZINC000186438895 165042987 /nfs/dbraw/zinc/04/29/87/165042987.db2.gz BUMBUPXVISOMNQ-IBGZPJMESA-N 0 1 308.356 3.329 20 30 DGEDMN CCOC(=O)C[C@](C)(NCc1ccc(F)cc1C#N)C(C)C ZINC000186688872 165054487 /nfs/dbraw/zinc/05/44/87/165054487.db2.gz OPMOHTUTVVTFRQ-KRWDZBQOSA-N 0 1 306.381 3.155 20 30 DGEDMN CCOC(=O)C[C@@](C)(NCc1ccc(F)cc1C#N)C(C)C ZINC000186688890 165055166 /nfs/dbraw/zinc/05/51/66/165055166.db2.gz OPMOHTUTVVTFRQ-QGZVFWFLSA-N 0 1 306.381 3.155 20 30 DGEDMN Cn1cc(CN[C@H]2CCSc3ccc(F)cc32)cc1C#N ZINC000189582741 165167912 /nfs/dbraw/zinc/16/79/12/165167912.db2.gz RYJURKQQZORLKS-HNNXBMFYSA-N 0 1 301.390 3.363 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2cn[nH]c2C2CC2)cc1C#N ZINC000190776033 432188215 /nfs/dbraw/zinc/18/82/15/432188215.db2.gz GXDIUICKDDRALY-UHFFFAOYSA-N 0 1 310.357 3.198 20 30 DGEDMN Cn1cc(CNCc2ccc(Oc3ccccc3)nc2)cc1C#N ZINC000190914900 432200755 /nfs/dbraw/zinc/20/07/55/432200755.db2.gz SKTMCESBOJUVPR-UHFFFAOYSA-N 0 1 318.380 3.374 20 30 DGEDMN N#CC(C(=O)c1ccc([N+](=O)[O-])cc1)c1cnc2ccccc2n1 ZINC000191001285 432210730 /nfs/dbraw/zinc/21/07/30/432210730.db2.gz DUIGIAROANSVMX-ZDUSSCGKSA-N 0 1 318.292 3.028 20 30 DGEDMN CN(Cc1ccccc1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000182627837 432212325 /nfs/dbraw/zinc/21/23/25/432212325.db2.gz VSUFRMQBEIYXIF-IBGZPJMESA-N 0 1 319.408 3.186 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc(-n2cncn2)cc1 ZINC000151162554 432225055 /nfs/dbraw/zinc/22/50/55/432225055.db2.gz PWHQXOVABOOWTQ-CYBMUJFWSA-N 0 1 321.359 3.129 20 30 DGEDMN Cc1ncc(CN(C)Cc2ccc(N(C)CCC#N)cc2)s1 ZINC000179383149 228350686 /nfs/dbraw/zinc/35/06/86/228350686.db2.gz MFLSUQPQNYGOKH-UHFFFAOYSA-N 0 1 314.458 3.433 20 30 DGEDMN N#CC[C@H](CC(=O)Nc1ncc(C(F)(F)F)[nH]1)c1ccccc1 ZINC000337639262 229051489 /nfs/dbraw/zinc/05/14/89/229051489.db2.gz WCQVHNJSXZBOKY-LLVKDONJSA-N 0 1 322.290 3.455 20 30 DGEDMN CN(C)C(=O)C[C@H](NCc1ccc(CC#N)cc1)c1ccccc1 ZINC000343707099 229129258 /nfs/dbraw/zinc/12/92/58/229129258.db2.gz CZDDTLGOVITBRV-IBGZPJMESA-N 0 1 321.424 3.062 20 30 DGEDMN N#CC(C(=O)c1ccc2nsnc2c1)c1nc2ccccc2o1 ZINC000344400732 229136707 /nfs/dbraw/zinc/13/67/07/229136707.db2.gz KKFNBZXYPCGSOT-JTQLQIEISA-N 0 1 320.333 3.323 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2ccc(OC(C)(C)C)cn2)nc(C)n1 ZINC000344718243 229144428 /nfs/dbraw/zinc/14/44/28/229144428.db2.gz DLDVEKXIDDSHDI-AWEZNQCLSA-N 0 1 324.384 3.156 20 30 DGEDMN Cc1noc([C@H](NCCCCC(C)(C)C#N)C2CCOCC2)n1 ZINC000345833603 229158877 /nfs/dbraw/zinc/15/88/77/229158877.db2.gz ASTDPPMTBOUPRC-OAHLLOKOSA-N 0 1 320.437 3.155 20 30 DGEDMN C[C@H]1[C@@H](C(F)(F)F)CCN1CCOCC(F)(F)C(F)F ZINC000348246631 229200989 /nfs/dbraw/zinc/20/09/89/229200989.db2.gz RIFISLLHMIIKGW-YUMQZZPRSA-N 0 1 311.241 3.176 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2nccc3ccccc32)nc(C)n1 ZINC000355666974 229314808 /nfs/dbraw/zinc/31/48/08/229314808.db2.gz JWLKCUNBUNIKIL-HNNXBMFYSA-N 0 1 302.337 3.132 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cn1)c1cccc(N2CCCC2)c1 ZINC000516978996 269844117 /nfs/dbraw/zinc/84/41/17/269844117.db2.gz JZLVKGOMOFUJAR-OAHLLOKOSA-N 0 1 306.413 3.404 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC000527481995 269886993 /nfs/dbraw/zinc/88/69/93/269886993.db2.gz UTGUUXINJLYQIM-ABAIWWIYSA-N 0 1 312.335 3.254 20 30 DGEDMN C[C@H](c1nc(-c2ccn3cncc3c2)no1)c1cccc(C#N)c1 ZINC000361260931 269889258 /nfs/dbraw/zinc/88/92/58/269889258.db2.gz IFDUVNXNYMXKMZ-LBPRGKRZSA-N 0 1 315.336 3.408 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3c(F)ccc(Cl)c32)CC1 ZINC000193509033 263794365 /nfs/dbraw/zinc/79/43/65/263794365.db2.gz RMUCYBBJIYMMOO-UHFFFAOYSA-N 0 1 318.783 3.041 20 30 DGEDMN Cc1c(C#N)cccc1-c1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000346565331 264055488 /nfs/dbraw/zinc/05/54/88/264055488.db2.gz KSSIJTXQUIHOJK-UHFFFAOYSA-N 0 1 301.309 3.460 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000346938667 264124479 /nfs/dbraw/zinc/12/44/79/264124479.db2.gz OLAYVYXNQUNSIC-UHFFFAOYSA-N 0 1 321.340 3.225 20 30 DGEDMN CO[C@H]1CCCC[C@@H]1NCc1ccc(Br)cc1C#N ZINC000347114797 264159004 /nfs/dbraw/zinc/15/90/04/264159004.db2.gz AHDPBRPLPZRHCC-GJZGRUSLSA-N 0 1 323.234 3.368 20 30 DGEDMN Cc1cc(C2CCN(C(=O)[C@H](C)c3cccc(C#N)c3)CC2)n[nH]1 ZINC000360778473 270004587 /nfs/dbraw/zinc/00/45/87/270004587.db2.gz BKLJRUZGQHTRKH-CQSZACIVSA-N 0 1 322.412 3.100 20 30 DGEDMN COc1ccc(C)cc1[C@@H](C)NCC(=O)Nc1ccc(C#N)cc1 ZINC000352421650 266872202 /nfs/dbraw/zinc/87/22/02/266872202.db2.gz MXZMMRXAKCKJDM-CQSZACIVSA-N 0 1 323.396 3.165 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@]3(CCc4ccccc4O3)C2)ccn1 ZINC000528727214 268180053 /nfs/dbraw/zinc/18/00/53/268180053.db2.gz FTGDNHJYHGUZJT-HXUWFJFHSA-N 0 1 319.408 3.313 20 30 DGEDMN N#Cc1csc(CNC2CC(c3cc(F)cc(F)c3)C2)n1 ZINC000352887084 268320138 /nfs/dbraw/zinc/32/01/38/268320138.db2.gz GBMAJYCXTLWOFA-UHFFFAOYSA-N 0 1 305.353 3.329 20 30 DGEDMN N#Cc1cccc(CN[C@@H](CN2CCCC2=O)c2ccccc2)c1 ZINC000047794582 270961885 /nfs/dbraw/zinc/96/18/85/270961885.db2.gz GGJZIIOKRQRODT-IBGZPJMESA-N 0 1 319.408 3.012 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](C)c1ccc(Cl)s1 ZINC000183019762 432250103 /nfs/dbraw/zinc/25/01/03/432250103.db2.gz GYOZMTDVQUAUHH-QWRGUYRKSA-N 0 1 313.854 3.060 20 30 DGEDMN COc1ccc2c(c1)CCN(Cc1ccc(C#N)cc1F)CC2 ZINC000340748794 274123276 /nfs/dbraw/zinc/12/32/76/274123276.db2.gz QEKLQPLCCFJIBR-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN OC[C@H](CC(F)(F)F)NCc1ccc(F)cc1C(F)(F)F ZINC000344935170 275049466 /nfs/dbraw/zinc/04/94/66/275049466.db2.gz WLOVEOOCXVJJDH-VIFPVBQESA-N 0 1 319.220 3.247 20 30 DGEDMN O[C@@H]1CC[C@@H]2CN(CC#Cc3ccccc3Cl)CC[C@@H]2C1 ZINC000451699230 275054039 /nfs/dbraw/zinc/05/40/39/275054039.db2.gz KVACCSDXORZSKL-BRWVUGGUSA-N 0 1 303.833 3.174 20 30 DGEDMN C=C[C@@H](CO)N[C@H](C)c1cc2cc(Br)ccc2o1 ZINC000289815479 275436115 /nfs/dbraw/zinc/43/61/15/275436115.db2.gz ORPAWUUDYSEZIY-SKDRFNHKSA-N 0 1 310.191 3.393 20 30 DGEDMN CC(C)C1CCN([C@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000075833583 275560735 /nfs/dbraw/zinc/56/07/35/275560735.db2.gz RCQPSHMNMNRXEK-OAHLLOKOSA-N 0 1 305.466 3.086 20 30 DGEDMN CCN(C(=O)CN[C@@H](C)c1ccc(C#N)cc1)C1CCCCC1 ZINC000271664630 276061657 /nfs/dbraw/zinc/06/16/57/276061657.db2.gz LYMCBNDKTPJBKK-HNNXBMFYSA-N 0 1 313.445 3.390 20 30 DGEDMN C=C(Br)CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC000292570906 277762233 /nfs/dbraw/zinc/76/22/33/277762233.db2.gz BSUPYVBEAUFQQF-AWEZNQCLSA-N 0 1 310.235 3.389 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000120771966 277845278 /nfs/dbraw/zinc/84/52/78/277845278.db2.gz FPAUOEQDJBTUGM-SJORKVTESA-N 0 1 315.461 3.266 20 30 DGEDMN CC(C)N(C(=O)CN[C@H](C)c1ccc(C#N)cc1)C1CCCC1 ZINC000338356281 279287772 /nfs/dbraw/zinc/28/77/72/279287772.db2.gz SBAJGFWQZDOFOU-OAHLLOKOSA-N 0 1 313.445 3.388 20 30 DGEDMN CCCN(C)CC(=O)Nc1c(C#N)c(C)c(C)n1C1CCCC1 ZINC000069091828 431162090 /nfs/dbraw/zinc/16/20/90/431162090.db2.gz WDBIGGSUJRBWSQ-UHFFFAOYSA-N 0 1 316.449 3.372 20 30 DGEDMN C=CC1CCN(CC(=O)N(C)Cc2ccc(Cl)s2)CC1 ZINC000111258161 431203627 /nfs/dbraw/zinc/20/36/27/431203627.db2.gz NULYEHQTGYGOIE-UHFFFAOYSA-N 0 1 312.866 3.258 20 30 DGEDMN C=CCN(CC=C)Cc1nc2ccc(Br)cc2[nH]1 ZINC000071675441 431284844 /nfs/dbraw/zinc/28/48/44/431284844.db2.gz SBURXLIGECPEFU-UHFFFAOYSA-N 0 1 306.207 3.499 20 30 DGEDMN C=CCNC(=O)CN1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 ZINC000071953442 431323637 /nfs/dbraw/zinc/32/36/37/431323637.db2.gz OGXDRMQCYYRETB-QGZVFWFLSA-N 0 1 300.446 3.423 20 30 DGEDMN C[C@@H](OCc1ccccc1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000073914375 431389094 /nfs/dbraw/zinc/38/90/94/431389094.db2.gz HLAIGJODIYLYIP-LLVKDONJSA-N 0 1 312.329 3.258 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1cc(C#N)ccc1C ZINC000114673197 431403333 /nfs/dbraw/zinc/40/33/33/431403333.db2.gz UPUUBFMFTZQHES-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCc1ccc(COC(C)C)cc1 ZINC000114712539 431407151 /nfs/dbraw/zinc/40/71/51/431407151.db2.gz VKEGFCZOUPNPNV-UHFFFAOYSA-N 0 1 318.461 3.126 20 30 DGEDMN N#Cc1ccc([C@@H](NCCCCCc2ccccc2)C(N)=O)cc1 ZINC000115599348 431464983 /nfs/dbraw/zinc/46/49/83/431464983.db2.gz QPGBDYYCWOPZAY-LJQANCHMSA-N 0 1 321.424 3.087 20 30 DGEDMN C=CC[C@H](C)NC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000117024734 431545224 /nfs/dbraw/zinc/54/52/24/431545224.db2.gz AWOHIDIMYVLRBF-GJZGRUSLSA-N 0 1 305.422 3.070 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCN(c4ccccc4)CC3)c2c1 ZINC000013835347 431568740 /nfs/dbraw/zinc/56/87/40/431568740.db2.gz VHPASGHENHNLAW-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN CC(=O)c1ccccc1OCCN(C)Cc1cccc(C#N)c1 ZINC000026325369 431672725 /nfs/dbraw/zinc/67/27/25/431672725.db2.gz RMINUCWVTDPGPS-UHFFFAOYSA-N 0 1 308.381 3.272 20 30 DGEDMN CSCC[C@H](NCCC(C)(C)C#N)c1nnc2ccccn21 ZINC000119890253 431719259 /nfs/dbraw/zinc/71/92/59/431719259.db2.gz XUAYQAJWTJCXBO-ZDUSSCGKSA-N 0 1 317.462 3.053 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(Cc3ccccc3)c2)n1 ZINC000041518606 431859419 /nfs/dbraw/zinc/85/94/19/431859419.db2.gz QNEOJPNTBYQXGJ-HNNXBMFYSA-N 0 1 322.393 3.186 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCC2(CCC2)C1 ZINC000123324960 431861999 /nfs/dbraw/zinc/86/19/99/431861999.db2.gz MNKPALPWCCTILY-MRXNPFEDSA-N 0 1 311.429 3.198 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1cc(F)ccc1O ZINC000094038848 431918353 /nfs/dbraw/zinc/91/83/53/431918353.db2.gz URZDJKQKZAYQBK-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN CCCN1CCC[C@H]1C(=O)Nc1cccc(OCCCC#N)c1 ZINC000124410037 431893695 /nfs/dbraw/zinc/89/36/95/431893695.db2.gz NQKQBLWPABZRLY-KRWDZBQOSA-N 0 1 315.417 3.182 20 30 DGEDMN CC[C@@H](CSC)N(C)CC(=O)N(CCC#N)c1ccccc1 ZINC000091098550 431902402 /nfs/dbraw/zinc/90/24/02/431902402.db2.gz PZGUQOZPKZZADK-HNNXBMFYSA-N 0 1 319.474 3.007 20 30 DGEDMN CN(CC(=O)Nc1sccc1C#N)C1CCC(C)(C)CC1 ZINC000127635348 431977023 /nfs/dbraw/zinc/97/70/23/431977023.db2.gz CGXHYFQVNOEOGE-UHFFFAOYSA-N 0 1 305.447 3.459 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000125855816 431936938 /nfs/dbraw/zinc/93/69/38/431936938.db2.gz XIJWUHZZLPJVRX-OAHLLOKOSA-N 0 1 309.413 3.470 20 30 DGEDMN C=CCOc1cccc(NC(=O)Cc2n[nH]c3ccccc32)c1 ZINC000050610497 431949303 /nfs/dbraw/zinc/94/93/03/431949303.db2.gz LURMNPRJHKDAND-UHFFFAOYSA-N 0 1 307.353 3.309 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1c(O)cccc1F ZINC000127349474 431969594 /nfs/dbraw/zinc/96/95/94/431969594.db2.gz NXUYHRQRKQOOKL-HOCLYGCPSA-N 0 1 324.355 3.443 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2CC[C@@H](C)[C@@H]2C)c1C ZINC000177867533 281223272 /nfs/dbraw/zinc/22/32/72/281223272.db2.gz XJQHYVYZIIMQMX-WBVHZDCISA-N 0 1 313.445 3.280 20 30 DGEDMN CC(C)(C#N)C(=O)Nc1cc(Br)cc(Cl)c1O ZINC000270678455 432275748 /nfs/dbraw/zinc/27/57/48/432275748.db2.gz TVASLMCEPTWJKJ-UHFFFAOYSA-N 0 1 317.570 3.296 20 30 DGEDMN C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1CC[C@@H](C)[C@@H]1C ZINC000248356793 432282573 /nfs/dbraw/zinc/28/25/73/432282573.db2.gz OTUDZEHZJODDFS-GRYCIOLGSA-N 0 1 317.458 3.166 20 30 DGEDMN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1sccc1C#N ZINC000173707668 432294273 /nfs/dbraw/zinc/29/42/73/432294273.db2.gz LNTLNGITJLKMFI-JSGCOSHPSA-N 0 1 305.447 3.459 20 30 DGEDMN Cc1oc(NC(=O)CN2CCC[C@@H]2C2CCCC2)c(C#N)c1C ZINC000128439182 431994442 /nfs/dbraw/zinc/99/44/42/431994442.db2.gz YITLIBSJKCOYIV-MRXNPFEDSA-N 0 1 315.417 3.361 20 30 DGEDMN CN(C)[C@H](CNc1cnccc1C#N)c1ccccc1Cl ZINC000271205763 432352874 /nfs/dbraw/zinc/35/28/74/432352874.db2.gz AHOOCEWRVPWILC-MRXNPFEDSA-N 0 1 300.793 3.321 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](c1ccccc1OC)N1CCCC1 ZINC000175095003 432397527 /nfs/dbraw/zinc/39/75/27/432397527.db2.gz JKLMDIXQGNJFKT-KRWDZBQOSA-N 0 1 316.445 3.305 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)[C@@H](CCO)c1ccccc1 ZINC000153883413 432452786 /nfs/dbraw/zinc/45/27/86/432452786.db2.gz JBTCJSNEAIXAGT-SFHVURJKSA-N 0 1 310.397 3.122 20 30 DGEDMN Cc1cnc([C@H](C)NCCCOc2cccc(C#N)c2)s1 ZINC000184994370 432453492 /nfs/dbraw/zinc/45/34/92/432453492.db2.gz ALJTTZKQCSKCRW-ZDUSSCGKSA-N 0 1 301.415 3.443 20 30 DGEDMN C[C@@H](C#N)CN(C)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000271530382 432416874 /nfs/dbraw/zinc/41/68/74/432416874.db2.gz XDKSDHNJCXDPSZ-QMMMGPOBSA-N 0 1 307.304 3.124 20 30 DGEDMN CC(C)c1nc([C@H](C)N[C@H](C)c2ccc(OCC#N)cc2)n[nH]1 ZINC000271879034 432426988 /nfs/dbraw/zinc/42/69/88/432426988.db2.gz PAFBEPLCNQUPBN-OLZOCXBDSA-N 0 1 313.405 3.242 20 30 DGEDMN CC1(C#N)CCN(Cc2cc(Br)ccc2O)CC1 ZINC000272533173 432520297 /nfs/dbraw/zinc/52/02/97/432520297.db2.gz NIOQEQWDSJXHJZ-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN C[C@H]1COc2ccccc2CN1Cc1ccc(OCC#N)cc1 ZINC000272940652 432535981 /nfs/dbraw/zinc/53/59/81/432535981.db2.gz KZLUZJZQHHFYHR-HNNXBMFYSA-N 0 1 308.381 3.372 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccc(OCC#N)cc3)CC2)c1 ZINC000264093476 432614305 /nfs/dbraw/zinc/61/43/05/432614305.db2.gz GGMJFBKMKYAIMK-UHFFFAOYSA-N 0 1 321.424 3.220 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(-n3ccnc3)c(F)c2)s1 ZINC000176955421 432569890 /nfs/dbraw/zinc/56/98/90/432569890.db2.gz GUABPVKIHCFUPN-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN COc1ccc2c(c1)CN(Cc1ccc(OCC#N)cc1)CC2 ZINC000264181401 432622949 /nfs/dbraw/zinc/62/29/49/432622949.db2.gz YVIBQLNZCLUDOD-UHFFFAOYSA-N 0 1 308.381 3.156 20 30 DGEDMN Clc1cccc(C#CCN2CCSC3(CCOCC3)C2)c1 ZINC000186842672 432632909 /nfs/dbraw/zinc/63/29/09/432632909.db2.gz CTSHIRUTZXXOGO-UHFFFAOYSA-N 0 1 321.873 3.290 20 30 DGEDMN Cn1cc([C@H](NCCC(C)(C)C#N)c2ccc(F)cc2)cn1 ZINC000274834575 432714590 /nfs/dbraw/zinc/71/45/90/432714590.db2.gz BKUQIEWUYNYYOF-MRXNPFEDSA-N 0 1 300.381 3.178 20 30 DGEDMN Cc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1OC(F)F ZINC000188412213 432723000 /nfs/dbraw/zinc/72/30/00/432723000.db2.gz ZZULSFYJWODZMV-UHFFFAOYSA-N 0 1 318.279 3.426 20 30 DGEDMN CC(C)(C#N)CCN1CCC(O)(c2c(F)cccc2F)CC1 ZINC000275126349 432724577 /nfs/dbraw/zinc/72/45/77/432724577.db2.gz QZTFKWNBEYZDGT-UHFFFAOYSA-N 0 1 308.372 3.188 20 30 DGEDMN Cc1c(C#N)cccc1NC(=O)N[C@@H](C)C[N@H+]1CCC[C@H](C)C1 ZINC000178561045 432752815 /nfs/dbraw/zinc/75/28/15/432752815.db2.gz XOXFKERZSRKOPA-KBPBESRZSA-N 0 1 314.433 3.109 20 30 DGEDMN N#Cc1cccc(CNCc2cnn(Cc3ccc(F)cc3)c2)c1 ZINC000189035070 432760495 /nfs/dbraw/zinc/76/04/95/432760495.db2.gz BXZKONPSKUWUKO-UHFFFAOYSA-N 0 1 320.371 3.232 20 30 DGEDMN Cc1cc(Cl)cc(NC(=O)c2ccc(C#N)c(O)c2)c1O ZINC000189034630 432760902 /nfs/dbraw/zinc/76/09/02/432760902.db2.gz CHKNGPWHWIXLSW-UHFFFAOYSA-N 0 1 302.717 3.184 20 30 DGEDMN COc1cccc(N2CCN([C@H](C)c3cccc(C#N)c3)CC2)c1 ZINC000189347219 432779003 /nfs/dbraw/zinc/77/90/03/432779003.db2.gz VVUDWCQLXVYBGD-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(F)cc2NC2CC2)cc1O ZINC000188782244 432745558 /nfs/dbraw/zinc/74/55/58/432745558.db2.gz RZADPBOEVSTCMA-UHFFFAOYSA-N 0 1 311.316 3.230 20 30 DGEDMN CCN(Cc1ccccc1C(C)(C)C#N)C[C@@H](O)C(F)(F)F ZINC000189469462 432787345 /nfs/dbraw/zinc/78/73/45/432787345.db2.gz HVEAHGYDCVPFII-CQSZACIVSA-N 0 1 314.351 3.233 20 30 DGEDMN N#Cc1ccc(CN2CCCN(C/C(Cl)=C/Cl)CC2)cc1 ZINC000266885037 432794851 /nfs/dbraw/zinc/79/48/51/432794851.db2.gz QDEAZDSMVXCFFW-YBEGLDIGSA-N 0 1 324.255 3.385 20 30 DGEDMN CN(CCCC(C)(C)C#N)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000266953698 432801953 /nfs/dbraw/zinc/80/19/53/432801953.db2.gz VLHNYKSBYHXJLU-MRXNPFEDSA-N 0 1 317.408 3.193 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)c2n[nH]c([C@H](C)CC)n2)CCCCC1 ZINC000277852576 432878700 /nfs/dbraw/zinc/87/87/00/432878700.db2.gz NPYCTQMNSYTHGP-ZIAGYGMSSA-N 0 1 316.449 3.469 20 30 DGEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccccc1O[C@@H]1CCOC1 ZINC000329944366 432884606 /nfs/dbraw/zinc/88/46/06/432884606.db2.gz IIWPNHRXMPNCAZ-RBSFLKMASA-N 0 1 318.417 3.315 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CC3(C1)CCCC3)CC2 ZINC000330057880 432891058 /nfs/dbraw/zinc/89/10/58/432891058.db2.gz YEIYJYVVKPBJQU-HNNXBMFYSA-N 0 1 316.449 3.111 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnn2C2CCCC2)n1 ZINC000330098305 432893544 /nfs/dbraw/zinc/89/35/44/432893544.db2.gz BQPYWFKORGVMHX-LBPRGKRZSA-N 0 1 300.387 3.253 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@@H](C)O1 ZINC000245564309 432844764 /nfs/dbraw/zinc/84/47/64/432844764.db2.gz QMBYCZHGPRQVDI-CRAIPNDOSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@H](NCCNC(=O)OC(C)(C)C)c1ccc(C#N)cc1Cl ZINC000279160899 432941167 /nfs/dbraw/zinc/94/11/67/432941167.db2.gz UBNXIAAEFYLYGL-NSHDSACASA-N 0 1 323.824 3.387 20 30 DGEDMN N#Cc1ccc(OCCN2CCC=C(c3cccnc3)C2)cc1 ZINC000289306190 432942485 /nfs/dbraw/zinc/94/24/85/432942485.db2.gz ISQJIJDUFZRRMD-UHFFFAOYSA-N 0 1 305.381 3.121 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)NC2CCCCCC2)cn1 ZINC000330218652 432898592 /nfs/dbraw/zinc/89/85/92/432898592.db2.gz JNWQZHBLDFKOHR-UHFFFAOYSA-N 0 1 301.394 3.321 20 30 DGEDMN CC[C@@H]1OCCC[C@H]1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000330246304 432899702 /nfs/dbraw/zinc/89/97/02/432899702.db2.gz FBQMGKOLVRUHSY-HIFRSBDPSA-N 0 1 300.362 3.265 20 30 DGEDMN Cn1c(CN[C@H]2CCC[C@H](C#N)C2)nc2cc(Cl)ccc21 ZINC000278770954 432900852 /nfs/dbraw/zinc/90/08/52/432900852.db2.gz PDGKDMAMXPOOMB-AAEUAGOBSA-N 0 1 302.809 3.399 20 30 DGEDMN CC(C)(C)c1nnsc1C(=O)N[C@@H]1CCN(C2CCCC2)C1 ZINC000330296185 432902800 /nfs/dbraw/zinc/90/28/00/432902800.db2.gz GCVZFCCPCFNYEM-LLVKDONJSA-N 0 1 322.478 3.157 20 30 DGEDMN C=C[C@](C)(CC)c1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000288988784 432911584 /nfs/dbraw/zinc/91/15/84/432911584.db2.gz SEKZOLXAVRKEFB-QGZVFWFLSA-N 0 1 309.373 3.299 20 30 DGEDMN Cc1ccccc1[C@H](C)N(C)CC(=O)NC1(C#N)CCCCC1 ZINC000330500768 432914119 /nfs/dbraw/zinc/91/41/19/432914119.db2.gz QYCOKDJXVTZYPE-INIZCTEOSA-N 0 1 313.445 3.331 20 30 DGEDMN C[C@H]1CCN(C(=O)CC(C)(C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000279248487 432950081 /nfs/dbraw/zinc/95/00/81/432950081.db2.gz KYYVLXDYLXIQMZ-INIZCTEOSA-N 0 1 313.445 3.049 20 30 DGEDMN CS[C@H]1CCCCN([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000332237217 432988319 /nfs/dbraw/zinc/98/83/19/432988319.db2.gz RYKYVYOPTSLJMU-LSDHHAIUSA-N 0 1 303.431 3.352 20 30 DGEDMN N#Cc1cccc(C2(NCc3cnn(CC(F)(F)F)c3)CC2)c1 ZINC000341228895 432999393 /nfs/dbraw/zinc/99/93/93/432999393.db2.gz VGAUCRYYHIDUPT-UHFFFAOYSA-N 0 1 320.318 3.096 20 30 DGEDMN COc1ccccc1[C@@H](CNc1snc(C)c1C#N)N(C)C ZINC000341341136 433032640 /nfs/dbraw/zinc/03/26/40/433032640.db2.gz FKDKHNGVQHDOOK-CQSZACIVSA-N 0 1 316.430 3.047 20 30 DGEDMN C=CC[C@@H]1N(C(=O)NCc2n[nH]c(C(C)C)n2)CCCC1(C)C ZINC000298198622 433056803 /nfs/dbraw/zinc/05/68/03/433056803.db2.gz SZNJRPAYLYMWLX-ZDUSSCGKSA-N 0 1 319.453 3.204 20 30 DGEDMN N#Cc1ccc(CNC(=O)CN2CCC[C@@H]2c2ccccc2)cc1 ZINC000298481786 433154331 /nfs/dbraw/zinc/15/43/31/433154331.db2.gz VBKSCUYBVOKZHL-LJQANCHMSA-N 0 1 319.408 3.012 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)c1cccc(CC#N)c1 ZINC000447079980 433157102 /nfs/dbraw/zinc/15/71/02/433157102.db2.gz YQHLVZZSSZUXQA-UHFFFAOYSA-N 0 1 310.401 3.045 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1cc(C#N)ccc1Cl ZINC000354574166 433158649 /nfs/dbraw/zinc/15/86/49/433158649.db2.gz VHJFKGJUGDPJBT-UHFFFAOYSA-N 0 1 310.691 3.433 20 30 DGEDMN CC1CCC(OC2CCN(Cc3cc(C#N)n(C)c3)CC2)CC1 ZINC000373813760 433166368 /nfs/dbraw/zinc/16/63/68/433166368.db2.gz XFBWDAGPDAFVHX-UHFFFAOYSA-N 0 1 315.461 3.456 20 30 DGEDMN CC(C)CCOCCN1CCC(C#N)(c2ccccn2)CC1 ZINC000374290644 433184657 /nfs/dbraw/zinc/18/46/57/433184657.db2.gz FIYODRYRIOYZAT-UHFFFAOYSA-N 0 1 301.434 3.001 20 30 DGEDMN Cc1nn(C)c(C)c1CN1CC=C(c2ccc(C#N)cc2)CC1 ZINC000374313906 433185827 /nfs/dbraw/zinc/18/58/27/433185827.db2.gz YXUBHDKIHBZAEL-UHFFFAOYSA-N 0 1 306.413 3.198 20 30 DGEDMN COc1cc(CN2CC=C(c3ccc(C#N)cc3)CC2)ccn1 ZINC000374314497 433186792 /nfs/dbraw/zinc/18/67/92/433186792.db2.gz WWNTXTADINRAPE-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cnn(CC(F)F)c1 ZINC000425365680 433201945 /nfs/dbraw/zinc/20/19/45/433201945.db2.gz MJTXBPHDFWSAPD-GFCCVEGCSA-N 0 1 304.344 3.213 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](C)c2cc3cccc(F)c3o2)C1=O ZINC000337205086 433213375 /nfs/dbraw/zinc/21/33/75/433213375.db2.gz JTXRCAVAAFHPHD-SMDDNHRTSA-N 0 1 302.349 3.009 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCc3ccc(Cl)cc32)C1=O ZINC000337238921 433217723 /nfs/dbraw/zinc/21/77/23/433217723.db2.gz ZNEWNMXRZKKYHV-CVEARBPZSA-N 0 1 304.821 3.046 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@H]2CCc3ccc(Cl)cc32)C1=O ZINC000337238922 433218851 /nfs/dbraw/zinc/21/88/51/433218851.db2.gz ZNEWNMXRZKKYHV-HOTGVXAUSA-N 0 1 304.821 3.046 20 30 DGEDMN Cc1ncc(CNCc2cn(C)nc2-c2ccc(C#N)cc2)s1 ZINC000350627659 433238112 /nfs/dbraw/zinc/23/81/12/433238112.db2.gz SCJOACNJYYFQSH-UHFFFAOYSA-N 0 1 323.425 3.014 20 30 DGEDMN C[C@@H](CCC#N)[N@H+]1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000298794664 433248785 /nfs/dbraw/zinc/24/87/85/433248785.db2.gz DPRCYPXOIBKQHL-NWDGAFQWSA-N 0 1 300.328 3.231 20 30 DGEDMN Cc1cc(C)c(NS(=O)(=O)c2ccc(C#N)cc2F)c(C)c1 ZINC000157987030 292757561 /nfs/dbraw/zinc/75/75/61/292757561.db2.gz MENBUPOMVHHLEU-UHFFFAOYSA-N 0 1 318.373 3.423 20 30 DGEDMN C[C@H]1CN(c2c(C#N)cnc3ccc(Cl)cc32)C[C@H](C)N1C ZINC000338795243 433322576 /nfs/dbraw/zinc/32/25/76/433322576.db2.gz OQLCWYYTNJHWRA-RYUDHWBXSA-N 0 1 314.820 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@H](C)c2ccc(OC(F)F)cc2)C1=O ZINC000281193129 433270998 /nfs/dbraw/zinc/27/09/98/433270998.db2.gz BWCKFENGYTVTTJ-DOMZBBRYSA-N 0 1 324.371 3.068 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccccc1S[C@@H](C)CC#N)N(C)C ZINC000298883134 433271119 /nfs/dbraw/zinc/27/11/19/433271119.db2.gz LBHIAUZYKRVQSS-JSGCOSHPSA-N 0 1 305.447 3.360 20 30 DGEDMN Cc1nc(CN(Cc2cccc(C(F)(F)F)c2)[C@@H](C)C#N)n[nH]1 ZINC000425539270 433289769 /nfs/dbraw/zinc/28/97/69/433289769.db2.gz TXUYNFPRNGRQDR-JTQLQIEISA-N 0 1 323.322 3.046 20 30 DGEDMN N#Cc1ccc(F)c(CN2CC3(CCC3)[C@H]2C2CCOCC2)c1 ZINC000425543552 433291188 /nfs/dbraw/zinc/29/11/88/433291188.db2.gz BGMOFQQMYYEIMA-GOSISDBHSA-N 0 1 314.404 3.478 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](C)c1ccccc1SC ZINC000281578075 433313615 /nfs/dbraw/zinc/31/36/15/433313615.db2.gz DBILTHNAILIOLE-KBPBESRZSA-N 0 1 319.474 3.067 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NCc2ccc(C#N)c(OC)c2)n[nH]1 ZINC000281720188 433360254 /nfs/dbraw/zinc/36/02/54/433360254.db2.gz PCBMKKXMZMLOFL-RYUDHWBXSA-N 0 1 313.405 3.049 20 30 DGEDMN C#CCN1CCC(C(=O)N([C@H](C)c2ccccc2)C2CC2)CC1 ZINC000299461261 433368442 /nfs/dbraw/zinc/36/84/42/433368442.db2.gz XLLYDEJEGCXYKW-MRXNPFEDSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)Cc2ccccc2Cl)CC1 ZINC000299169929 433338878 /nfs/dbraw/zinc/33/88/78/433338878.db2.gz WFCKSRAFLJMQBP-UHFFFAOYSA-N 0 1 318.848 3.034 20 30 DGEDMN CC(C)N(CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H](C)c1ccsc1 ZINC000421012218 433386499 /nfs/dbraw/zinc/38/64/99/433386499.db2.gz QCWLJEGZCGXMAJ-GUYCJALGSA-N 0 1 319.474 3.328 20 30 DGEDMN CN1CCN(Cc2cc(C#N)ccc2F)C[C@@H]1Cc1ccccc1 ZINC000341969643 433388293 /nfs/dbraw/zinc/38/82/93/433388293.db2.gz NIXOANIFGYVKQD-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN CC(C)CN(C(=O)CNC1(c2cccc(C#N)c2)CC1)C(C)C ZINC000341979501 433389713 /nfs/dbraw/zinc/38/97/13/433389713.db2.gz YBKQGHBPQJWEPH-UHFFFAOYSA-N 0 1 313.445 3.030 20 30 DGEDMN CCSc1cc(CNCc2ccc(C#N)c(OC)c2)ccn1 ZINC000281860546 433404833 /nfs/dbraw/zinc/40/48/33/433404833.db2.gz ZZPHFKHCZUYYMY-UHFFFAOYSA-N 0 1 313.426 3.364 20 30 DGEDMN C[C@H](NCc1cc2ccccc2n(C)c1=O)c1ccc(C#N)cc1 ZINC000426317291 433463109 /nfs/dbraw/zinc/46/31/09/433463109.db2.gz MIBSUDCMYGOOCC-AWEZNQCLSA-N 0 1 317.392 3.261 20 30 DGEDMN COc1cc(CN(C)C[C@H]2OCCc3ccccc32)ccc1C#N ZINC000282167543 433520611 /nfs/dbraw/zinc/52/06/11/433520611.db2.gz DTWZFLBVBAMFMF-HXUWFJFHSA-N 0 1 322.408 3.313 20 30 DGEDMN CSCc1cnc(CNCCc2cccc(C#N)c2)s1 ZINC000339388217 433553045 /nfs/dbraw/zinc/55/30/45/433553045.db2.gz YIIAMSYDBLHHRA-UHFFFAOYSA-N 0 1 303.456 3.210 20 30 DGEDMN C=CCOc1ccc(C[NH2+]Cc2cc(C(=O)[O-])co2)cc1Cl ZINC000426689215 433561508 /nfs/dbraw/zinc/56/15/08/433561508.db2.gz UOAFVOWBINAPAP-UHFFFAOYSA-N 0 1 321.760 3.486 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NCc2ccc(C#N)c(F)c2)n[nH]1 ZINC000421404147 433547515 /nfs/dbraw/zinc/54/75/15/433547515.db2.gz XRVOIRYTQUVHAI-WDEREUQCSA-N 0 1 301.369 3.180 20 30 DGEDMN CC#CCCCC(=O)Nc1nc(-c2cc3ccccc3o2)n[nH]1 ZINC000362234263 433596913 /nfs/dbraw/zinc/59/69/13/433596913.db2.gz HODSFJHRJGFXSJ-UHFFFAOYSA-N 0 1 308.341 3.350 20 30 DGEDMN N#Cc1nc(NCCc2nc3ccc(F)cc3[nH]2)ccc1Cl ZINC000301562876 433613126 /nfs/dbraw/zinc/61/31/26/433613126.db2.gz KWONZAYBHAXICC-UHFFFAOYSA-N 0 1 315.739 3.277 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CC[C@H](C)N(Cc2ccccc2)CC1 ZINC000293345640 433704465 /nfs/dbraw/zinc/70/44/65/433704465.db2.gz FDNDXCIDTQFDJT-HNNXBMFYSA-N 0 1 322.399 3.321 20 30 DGEDMN C=CCNc1nnc(SCc2ccc3nc(C)[nH]c3c2)s1 ZINC000342652713 433745207 /nfs/dbraw/zinc/74/52/07/433745207.db2.gz BSXZKYCYHUNZEP-UHFFFAOYSA-N 0 1 317.443 3.613 20 30 DGEDMN Cc1cc2ncc([C@@H](C)NCc3ccc(C#N)s3)c(C)n2n1 ZINC000421882388 433789808 /nfs/dbraw/zinc/78/98/08/433789808.db2.gz PPEJCYLMFLCFCK-LLVKDONJSA-N 0 1 311.414 3.130 20 30 DGEDMN CN1CCN(CC#Cc2ccc(F)cc2)C[C@H]1Cc1ccccc1 ZINC000283969141 433806769 /nfs/dbraw/zinc/80/67/69/433806769.db2.gz CGFWUHMUAJZRSP-OAQYLSRUSA-N 0 1 322.427 3.036 20 30 DGEDMN Cc1ccnc(N2C[C@H](C)N(Cc3ccccc3)C[C@H]2C)c1C#N ZINC000343502419 433811557 /nfs/dbraw/zinc/81/15/57/433811557.db2.gz DOZKVHPDEQFKKH-DLBZAZTESA-N 0 1 320.440 3.361 20 30 DGEDMN Cc1cc(CN[C@H](C)c2cccc(C#N)c2)nc(N2CCCC2)n1 ZINC000293810249 433877033 /nfs/dbraw/zinc/87/70/33/433877033.db2.gz VNQZQYWFGMHSQS-OAHLLOKOSA-N 0 1 321.428 3.108 20 30 DGEDMN CCCc1nc(C)c(NS(=O)(=O)c2ccc(C#N)cc2)s1 ZINC000362725000 433835742 /nfs/dbraw/zinc/83/57/42/433835742.db2.gz CIZSPCGXAGJOBX-UHFFFAOYSA-N 0 1 321.427 3.077 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@H](C)C2(CCC2)C1 ZINC000357038076 433839527 /nfs/dbraw/zinc/83/95/27/433839527.db2.gz MQLYBJCIGKBSAO-GJZGRUSLSA-N 0 1 311.429 3.397 20 30 DGEDMN C=C(C)CN(C)[C@@H]1CCN(c2cccc(Br)c2)C1=O ZINC000433259806 433847598 /nfs/dbraw/zinc/84/75/98/433847598.db2.gz JPYAEKKLGIGIND-CQSZACIVSA-N 0 1 323.234 3.062 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc(C#N)cc3)[nH]c21 ZINC000345380414 433908784 /nfs/dbraw/zinc/90/87/84/433908784.db2.gz QZHZXWTXRJHQEG-CQSZACIVSA-N 0 1 300.321 3.233 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(Cc1ccc(C)cc1)C1CC1 ZINC000303532678 433945892 /nfs/dbraw/zinc/94/58/92/433945892.db2.gz GDGGICNUVISVNK-UHFFFAOYSA-N 0 1 300.446 3.384 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)c1)C(=O)N1c2ccccc2C[C@H]1C ZINC000352469653 434041585 /nfs/dbraw/zinc/04/15/85/434041585.db2.gz FNJPIJWZWFFNMQ-HUUCEWRRSA-N 0 1 319.408 3.014 20 30 DGEDMN COc1ccc(OC)c(CN[C@@H]2CCc3ccc(C#N)cc32)c1 ZINC000353389439 434100684 /nfs/dbraw/zinc/10/06/84/434100684.db2.gz RXDFSVVUBGUNND-GOSISDBHSA-N 0 1 308.381 3.353 20 30 DGEDMN C[C@@H]1CN(C(=O)Nc2ccc(C(C)(C)C#N)cc2)C[C@H](C)N1C ZINC000294253841 434057353 /nfs/dbraw/zinc/05/73/53/434057353.db2.gz PSDNDLHDNGENRO-OKILXGFUSA-N 0 1 314.433 3.044 20 30 DGEDMN CC#CCN(C)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000294585165 434122938 /nfs/dbraw/zinc/12/29/38/434122938.db2.gz OXHXCRYBZDJUJQ-UHFFFAOYSA-N 0 1 320.436 3.414 20 30 DGEDMN C#CCO[C@@H](C)C(=O)Nc1cccc2c1CN(CCC(C)C)C2 ZINC000294523101 434119232 /nfs/dbraw/zinc/11/92/32/434119232.db2.gz IKJOARROYIATFA-HNNXBMFYSA-N 0 1 314.429 3.025 20 30 DGEDMN C/C(=C\c1ccccc1)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000506662985 294274207 /nfs/dbraw/zinc/27/42/07/294274207.db2.gz ULMLWJWOCPRABO-GHRIWEEISA-N 0 1 318.424 3.179 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2ccc(C#N)cc2[N+](=O)[O-])C1 ZINC000378272538 434129535 /nfs/dbraw/zinc/12/95/35/434129535.db2.gz OXKMKBBPISKUQT-INIZCTEOSA-N 0 1 308.341 3.065 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1C[C@H](O)Cc1ccccc1 ZINC000450451304 434195070 /nfs/dbraw/zinc/19/50/70/434195070.db2.gz IYYAINDZJJONOI-QHAWAJNXSA-N 0 1 320.436 3.362 20 30 DGEDMN Cc1cc([C@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@H]3c3ccccc3)C2)n[nH]1 ZINC000328760769 434310159 /nfs/dbraw/zinc/31/01/59/434310159.db2.gz XMLRIFMDMMGYCW-XYJFISCASA-N 0 1 324.428 3.368 20 30 DGEDMN Cc1cc([C@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@H]3c3ccccc3)C2)[nH]n1 ZINC000328760769 434310170 /nfs/dbraw/zinc/31/01/70/434310170.db2.gz XMLRIFMDMMGYCW-XYJFISCASA-N 0 1 324.428 3.368 20 30 DGEDMN C[C@H](CNC([O-])=[NH+][C@@H]1CC[C@@H]2CCCC[C@@H]2C1)Cn1cccn1 ZINC000328854241 434318474 /nfs/dbraw/zinc/31/84/74/434318474.db2.gz JLZMVFZWIHXZGT-YYIAUSFCSA-N 0 1 318.465 3.382 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1OC(F)F)C[C@H]1CCCO1 ZINC000437896177 434362120 /nfs/dbraw/zinc/36/21/20/434362120.db2.gz NCDKHNMQIIVMHM-CQSZACIVSA-N 0 1 310.344 3.161 20 30 DGEDMN Cc1ccc(O)c(C(=O)c2cnn(-c3ccc(C#N)c(F)c3)c2)c1 ZINC000358316086 434391674 /nfs/dbraw/zinc/39/16/74/434391674.db2.gz ZIFQDYUEGUMHHO-UHFFFAOYSA-N 0 1 321.311 3.128 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CC[N@H+]1Cc1ccc(C#N)cc1 ZINC000287372550 434342812 /nfs/dbraw/zinc/34/28/12/434342812.db2.gz MNEDSJNBAMQDRC-BBRMVZONSA-N 0 1 315.417 3.046 20 30 DGEDMN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CCN1Cc1ccc(C#N)cc1 ZINC000287372550 434342820 /nfs/dbraw/zinc/34/28/20/434342820.db2.gz MNEDSJNBAMQDRC-BBRMVZONSA-N 0 1 315.417 3.046 20 30 DGEDMN C[C@@H]1OCC[C@@H]1C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000329089886 434358793 /nfs/dbraw/zinc/35/87/93/434358793.db2.gz ISUQPBRTYJVDAL-ITDIGPHOSA-N 0 1 315.373 3.427 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@H]3CCCCC3(C)C)C2)c1 ZINC000329621603 434443644 /nfs/dbraw/zinc/44/36/44/434443644.db2.gz ROFCNOOEXCMZHI-CABCVRRESA-N 0 1 304.438 3.321 20 30 DGEDMN [O-]C(=[NH+][C@@H]1C[C@H]1C1CCCCC1)N1CC[C@H](n2cccn2)C1 ZINC000329621571 434443879 /nfs/dbraw/zinc/44/38/79/434443879.db2.gz NAJCQTAMYBHJCE-HRCADAONSA-N 0 1 302.422 3.013 20 30 DGEDMN C=CC(C)(C)C(=O)Nc1cccc(NC(=O)CN(CC)CC)c1 ZINC000295506249 434394478 /nfs/dbraw/zinc/39/44/78/434394478.db2.gz OGBWVUIWFJIKMX-UHFFFAOYSA-N 0 1 317.433 3.118 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1Cc2ccccc2C1 ZINC000359052771 434413130 /nfs/dbraw/zinc/41/31/30/434413130.db2.gz XOQVBBPHKUNLTC-LSDHHAIUSA-N 0 1 319.408 3.140 20 30 DGEDMN Cc1cnn([C@H]2CCN(C([O-])=[NH+]CC3C(C)(C)C3(C)C)C2)c1 ZINC000329628228 434448462 /nfs/dbraw/zinc/44/84/62/434448462.db2.gz UNTLLUJIBDNZRN-ZDUSSCGKSA-N 0 1 304.438 3.035 20 30 DGEDMN CCc1nc([C@H](C)NC(=O)N[C@@H]2CCCCC23CCCC3)n[nH]1 ZINC000329686889 434464288 /nfs/dbraw/zinc/46/42/88/434464288.db2.gz BINZZHOVHVQJRT-QWHCGFSZSA-N 0 1 319.453 3.435 20 30 DGEDMN CCC1(C)CN(C([O-])=[NH+][C@H]2CCc3nc(C(C)(C)C)cn3C2)C1 ZINC000329791854 434480550 /nfs/dbraw/zinc/48/05/50/434480550.db2.gz FAAOGQFSWKZFFP-ZDUSSCGKSA-N 0 1 318.465 3.141 20 30 DGEDMN CC(C)c1nc([C@H]2CCCCN2CC(=O)NC2CCCC2)n[nH]1 ZINC000329779191 434480871 /nfs/dbraw/zinc/48/08/71/434480871.db2.gz LRYLWKPIERHYMB-CQSZACIVSA-N 0 1 319.453 3.354 20 30 DGEDMN CC[C@@H]1Cc2ccccc2CN1C([O-])=[NH+][C@@H]1CCc2c[nH]nc2C1 ZINC000329816690 434482941 /nfs/dbraw/zinc/48/29/41/434482941.db2.gz FAZYDCBATUZBEM-IAGOWNOFSA-N 0 1 324.428 3.018 20 30 DGEDMN Cc1nc(C2(NC(=O)CN3[C@H](C)CC[C@@H]3C)CCCCC2)no1 ZINC000329807771 434484233 /nfs/dbraw/zinc/48/42/33/434484233.db2.gz OXEMGMYEHSTSIK-BETUJISGSA-N 0 1 320.437 3.367 20 30 DGEDMN CCC1(CC)CCCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)CC1 ZINC000329824541 434486971 /nfs/dbraw/zinc/48/69/71/434486971.db2.gz BMEUZWZRRWEKIJ-HNNXBMFYSA-N 0 1 318.465 3.404 20 30 DGEDMN C=CC[C@H](C(=O)NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000458032711 434451994 /nfs/dbraw/zinc/45/19/94/434451994.db2.gz UTHDUEXSMOAGRK-AWEZNQCLSA-N 0 1 312.417 3.078 20 30 DGEDMN COc1ccc2c(c1)[C@@H](NCc1ccc(C#N)c(F)c1)CCO2 ZINC000404770304 434519237 /nfs/dbraw/zinc/51/92/37/434519237.db2.gz WHTKPCMTKFYJIA-KRWDZBQOSA-N 0 1 312.344 3.319 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)c1cccn2c(O)nnc12 ZINC000329507073 295383249 /nfs/dbraw/zinc/38/32/49/295383249.db2.gz LSCBWPMTSYXWSV-YNEHKIRRSA-N 0 1 314.389 3.098 20 30 DGEDMN N#Cc1ccc(C(=O)N2CC3(CCC3)c3ccccc32)cc1O ZINC000360494140 434619665 /nfs/dbraw/zinc/61/96/65/434619665.db2.gz BXWQZDGOPBQZDL-UHFFFAOYSA-N 0 1 304.349 3.346 20 30 DGEDMN Cn1nccc1[C@H]1CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC000370841986 434621036 /nfs/dbraw/zinc/62/10/36/434621036.db2.gz BYXWGEDVRCVFAR-INIZCTEOSA-N 0 1 313.832 3.305 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(c2ccccc2)c2ccccc2)C1=O ZINC000410312718 434638676 /nfs/dbraw/zinc/63/86/76/434638676.db2.gz FPLJLNOGOQFDOI-LJQANCHMSA-N 0 1 320.436 3.495 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(C(C)(C)C)cc2)C1=O ZINC000410313310 434639926 /nfs/dbraw/zinc/63/99/26/434639926.db2.gz MJADHGQPDCJTKK-QGZVFWFLSA-N 0 1 300.446 3.203 20 30 DGEDMN COc1ccc(C[C@@H]2CCCN(C[C@H](C#N)CCC#N)C2)cc1 ZINC000369612542 434599442 /nfs/dbraw/zinc/59/94/42/434599442.db2.gz AHWLCBLEFIXSEV-ROUUACIJSA-N 0 1 311.429 3.393 20 30 DGEDMN Cn1nccc1[C@@H]1CCCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000370207355 434609160 /nfs/dbraw/zinc/60/91/60/434609160.db2.gz UFNNUPNEDMCKFR-MRXNPFEDSA-N 0 1 313.832 3.305 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](c2ccccc2)c2ccc(C)cc2)C1=O ZINC000410419124 434646109 /nfs/dbraw/zinc/64/61/09/434646109.db2.gz PJYVEZBXIGCQAT-WOJBJXKFSA-N 0 1 320.436 3.461 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1 ZINC000452680849 434678887 /nfs/dbraw/zinc/67/88/87/434678887.db2.gz LJSNCHCFJQTXBT-UHFFFAOYSA-N 0 1 303.406 3.016 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)NCCC(C)C ZINC000411170841 434734600 /nfs/dbraw/zinc/73/46/00/434734600.db2.gz YBWCQRHNWOYIKK-INIZCTEOSA-N 0 1 315.461 3.321 20 30 DGEDMN C[C@@H]1[C@@H](C)[C@H](C)CN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000419339026 434775250 /nfs/dbraw/zinc/77/52/50/434775250.db2.gz CQZUXYLTHKLTOZ-GRYCIOLGSA-N 0 1 305.809 3.126 20 30 DGEDMN C=CCC[C@@H](NCc1ccc(S(C)(=O)=O)o1)c1ccccc1 ZINC000411470156 434785803 /nfs/dbraw/zinc/78/58/03/434785803.db2.gz IFHCMRLFZARAFW-MRXNPFEDSA-N 0 1 319.426 3.480 20 30 DGEDMN C[C@H](CCC#N)N[C@@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000411546812 434798385 /nfs/dbraw/zinc/79/83/85/434798385.db2.gz SZQBNTFJVBQATF-ZWNOBZJWSA-N 0 1 323.322 3.195 20 30 DGEDMN CCc1cnccc1[C@@H](C)N[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000361132063 434805188 /nfs/dbraw/zinc/80/51/88/434805188.db2.gz NEGOKKZENKDDHY-KGLIPLIRSA-N 0 1 322.412 3.193 20 30 DGEDMN C[C@H]1CC(NC(=O)c2cncc(-c3ccc(Cl)cc3)c2)=NO1 ZINC000372327838 434820626 /nfs/dbraw/zinc/82/06/26/434820626.db2.gz YVGXVBCREFOXES-JTQLQIEISA-N 0 1 315.760 3.254 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000424868830 434821851 /nfs/dbraw/zinc/82/18/51/434821851.db2.gz UQSQZFDFGXFRCL-UHFFFAOYSA-N 0 1 320.399 3.464 20 30 DGEDMN N#Cc1cnn2cc(CNCc3csc4ccccc34)cnc12 ZINC000573188066 434880196 /nfs/dbraw/zinc/88/01/96/434880196.db2.gz DIQVOQWDWPWECL-UHFFFAOYSA-N 0 1 319.393 3.105 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CC)C[C@@H]1C)c1ccccc1 ZINC000553316028 434887071 /nfs/dbraw/zinc/88/70/71/434887071.db2.gz FOOFSZIVUZLWMI-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc(Cl)cc1CC#N ZINC000573623854 434913126 /nfs/dbraw/zinc/91/31/26/434913126.db2.gz LOIQMLQZWXDBFX-RYUDHWBXSA-N 0 1 305.809 3.217 20 30 DGEDMN CCN(CCNc1nc(C)cc(C)c1C#N)CCC(F)(F)F ZINC000491822695 434866583 /nfs/dbraw/zinc/86/65/83/434866583.db2.gz XHWSISJDGISQEM-UHFFFAOYSA-N 0 1 314.355 3.256 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CCc1cccc(F)c1)C1CC1 ZINC000523670693 434957577 /nfs/dbraw/zinc/95/75/77/434957577.db2.gz HQCONPQLLZYMCT-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN N#Cc1cnn2cc(CN3CCCC[C@@H]3c3ccccc3)cnc12 ZINC000574324117 434968783 /nfs/dbraw/zinc/96/87/83/434968783.db2.gz UOCGBDQQEXCWDD-GOSISDBHSA-N 0 1 317.396 3.328 20 30 DGEDMN C=CCC1(NC(=O)N[C@H]2CCCc3cn[nH]c32)CCCCC1 ZINC000573898103 434933828 /nfs/dbraw/zinc/93/38/28/434933828.db2.gz MRGKNQKKSXDFKQ-AWEZNQCLSA-N 0 1 302.422 3.365 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC(F)(F)C[C@@H]1C ZINC000528766308 435028891 /nfs/dbraw/zinc/02/88/91/435028891.db2.gz KXILWVYHALJANL-LBPRGKRZSA-N 0 1 310.344 3.065 20 30 DGEDMN CO[C@H](C(=O)[C@H](C#N)c1nc(C)cs1)c1ccc(F)cc1 ZINC000528911261 435030519 /nfs/dbraw/zinc/03/05/19/435030519.db2.gz YNNXWTMBXVBWAV-JSGCOSHPSA-N 0 1 304.346 3.155 20 30 DGEDMN C=C[C@@H](CC(=O)N1[C@H](C)CN(CC)C[C@@H]1C)c1ccccc1 ZINC000574482689 434981844 /nfs/dbraw/zinc/98/18/44/434981844.db2.gz UFFWKRYFIGJJHQ-BBWFWOEESA-N 0 1 300.446 3.287 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@@H](C)CN(CC)C[C@@H]1C)c1ccccc1 ZINC000574482692 434982035 /nfs/dbraw/zinc/98/20/35/434982035.db2.gz UFFWKRYFIGJJHQ-YESZJQIVSA-N 0 1 300.446 3.287 20 30 DGEDMN C[C@H](Cc1ccc(F)cc1Br)NCC1(C#N)CC1 ZINC000574844358 435003381 /nfs/dbraw/zinc/00/33/81/435003381.db2.gz GNMWNTDGIRSJTJ-SNVBAGLBSA-N 0 1 311.198 3.413 20 30 DGEDMN Cc1ccc([C@H]2OCCC[C@@H]2NCc2nc(C#N)cs2)cc1 ZINC000558485979 435093768 /nfs/dbraw/zinc/09/37/68/435093768.db2.gz NSCHLVXMKAOYCF-DOTOQJQBSA-N 0 1 313.426 3.333 20 30 DGEDMN C=CCCN(C)CC(=O)NCC1(c2ccccc2)CCCC1 ZINC000467296588 435115522 /nfs/dbraw/zinc/11/55/22/435115522.db2.gz GWNWGXKNLXNKCC-UHFFFAOYSA-N 0 1 300.446 3.123 20 30 DGEDMN C=C(CC)C[N@H+]1Cc2cccc(Br)c2C[C@@H]1C(=O)[O-] ZINC000582426212 435240554 /nfs/dbraw/zinc/24/05/54/435240554.db2.gz FNKCVEDPFTXKMP-CQSZACIVSA-N 0 1 324.218 3.227 20 30 DGEDMN C=CCN(Cc1ccnn1CCCOC)CC1CCCCC1 ZINC000583512902 435242337 /nfs/dbraw/zinc/24/23/37/435242337.db2.gz GIUQRFXOZXLHSI-UHFFFAOYSA-N 0 1 305.466 3.488 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC2(C1)CCN(CC1(C#N)CC1)CC2 ZINC000496925394 435272641 /nfs/dbraw/zinc/27/26/41/435272641.db2.gz WCQQJKAPWXLRAF-UHFFFAOYSA-N 0 1 319.449 3.013 20 30 DGEDMN C[C@@](C#N)(NC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCCCC1 ZINC000564762965 435273183 /nfs/dbraw/zinc/27/31/83/435273183.db2.gz YOOSBAWJEKQYNN-SFHVURJKSA-N 0 1 323.400 3.064 20 30 DGEDMN C=CCOc1ccc(CN2CC(COC)(C3CC3)C2)cc1OC ZINC000639379636 435274478 /nfs/dbraw/zinc/27/44/78/435274478.db2.gz ILGUQZSTFOGLPZ-UHFFFAOYSA-N 0 1 317.429 3.118 20 30 DGEDMN CCCn1nccc1C(=O)C(C#N)c1nc(C(C)C)cs1 ZINC000565247286 435277936 /nfs/dbraw/zinc/27/79/36/435277936.db2.gz YLHPKJSXFBMRSB-LLVKDONJSA-N 0 1 302.403 3.363 20 30 DGEDMN Cc1ccc(CNC(=O)[C@@H](C)N2CCC(CCC#N)CC2)cc1 ZINC000566174309 435284456 /nfs/dbraw/zinc/28/44/56/435284456.db2.gz RDJTUCMDMULAOW-MRXNPFEDSA-N 0 1 313.445 3.016 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@H](COc2ccccc2)C1 ZINC000505822959 435308596 /nfs/dbraw/zinc/30/85/96/435308596.db2.gz BETZKDXBWMPNJQ-KRWDZBQOSA-N 0 1 322.408 3.468 20 30 DGEDMN CC(C)C[C@H]1COCCN1CCCOc1cccc(C#N)c1 ZINC000477273670 435263684 /nfs/dbraw/zinc/26/36/84/435263684.db2.gz WKAJWZSVHFVCBN-KRWDZBQOSA-N 0 1 302.418 3.074 20 30 DGEDMN CC(C)(C#N)CNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000478964562 435315577 /nfs/dbraw/zinc/31/55/77/435315577.db2.gz PCOUQZQISLKAKG-UHFFFAOYSA-N 0 1 307.304 3.172 20 30 DGEDMN C=C(C)CN(C)[C@H](C)C(=O)N(C)CCOc1ccc(Cl)cc1 ZINC000481240180 435417686 /nfs/dbraw/zinc/41/76/86/435417686.db2.gz RHCCXOJYGQRYPW-CQSZACIVSA-N 0 1 324.852 3.074 20 30 DGEDMN Cc1nc2c(n1[C@H]1CCN(c3cc(C)ncc3C#N)C1)CCCC2 ZINC000568080134 435388632 /nfs/dbraw/zinc/38/86/32/435388632.db2.gz WUPJHMSLVNHWMK-INIZCTEOSA-N 0 1 321.428 3.097 20 30 DGEDMN C=C(C)CN(CCc1cccc(C)n1)Cc1ccc(CO)o1 ZINC000514933893 435449746 /nfs/dbraw/zinc/44/97/46/435449746.db2.gz CPSVJZRITIFZGL-UHFFFAOYSA-N 0 1 300.402 3.096 20 30 DGEDMN N#CCCC1CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)CC1 ZINC000515062013 435460170 /nfs/dbraw/zinc/46/01/70/435460170.db2.gz MUNJLFJEPIPEQF-UHFFFAOYSA-N 0 1 308.385 3.233 20 30 DGEDMN N#Cc1cnn2cc(CN3CCCCC[C@@H]3c3ccco3)cnc12 ZINC000568866150 435477935 /nfs/dbraw/zinc/47/79/35/435477935.db2.gz BOUNHSYALYGFBO-MRXNPFEDSA-N 0 1 321.384 3.311 20 30 DGEDMN COC(=O)[C@H]1CCCCCC[C@@H]1NCc1ccc(F)cc1C#N ZINC000481438042 435428124 /nfs/dbraw/zinc/42/81/24/435428124.db2.gz REZHGKYQVLPZJL-IRXDYDNUSA-N 0 1 318.392 3.299 20 30 DGEDMN C=CCC[C@H](CO)NCc1csc(-c2ccc(OC)cc2)n1 ZINC000648821003 435431606 /nfs/dbraw/zinc/43/16/06/435431606.db2.gz VUZPQJRGXXUHML-CQSZACIVSA-N 0 1 318.442 3.235 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](C)c1ccc(OCC)cc1 ZINC000644332312 435435602 /nfs/dbraw/zinc/43/56/02/435435602.db2.gz UBCXISPRYPCALS-HNNXBMFYSA-N 0 1 304.434 3.161 20 30 DGEDMN C[C@@H](NCc1nc(-c2ccc(F)cc2)n[nH]1)c1ccc(C#N)cc1 ZINC000537303377 435437228 /nfs/dbraw/zinc/43/72/28/435437228.db2.gz IAYCJYJKHICNNF-GFCCVEGCSA-N 0 1 321.359 3.333 20 30 DGEDMN Cc1c(Cl)cccc1NC(=O)CCNC[C@@H](C#N)CCC#N ZINC000569836889 435565061 /nfs/dbraw/zinc/56/50/61/435565061.db2.gz BTCAFSGCGLYXED-CYBMUJFWSA-N 0 1 318.808 3.010 20 30 DGEDMN N#CC1(CN2CCC[C@@H]2c2n[nH]c(-c3ccccc3)n2)CCC1 ZINC000517682424 435606890 /nfs/dbraw/zinc/60/68/90/435606890.db2.gz JMMXJCXVPCKPEE-OAHLLOKOSA-N 0 1 307.401 3.302 20 30 DGEDMN N#CC1(CN2CCC[C@@H]2c2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000517682424 435606894 /nfs/dbraw/zinc/60/68/94/435606894.db2.gz JMMXJCXVPCKPEE-OAHLLOKOSA-N 0 1 307.401 3.302 20 30 DGEDMN COc1ccc(C2CCN(CC#Cc3ccc(F)cc3)CC2)nc1 ZINC000649651342 435657134 /nfs/dbraw/zinc/65/71/34/435657134.db2.gz HKTNTPFKTHCEOZ-UHFFFAOYSA-N 0 1 324.399 3.460 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2ccc(C(F)F)cc2)CC1 ZINC000490838490 435684549 /nfs/dbraw/zinc/68/45/49/435684549.db2.gz CDBHUAGIIBRICR-UHFFFAOYSA-N 0 1 307.340 3.013 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC3(CCCCC3)[C@@H]2C(C)C)CC1 ZINC000491294809 435727391 /nfs/dbraw/zinc/72/73/91/435727391.db2.gz DDGGPOPBUXUELS-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C#C[C@H](CCC)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000491418276 435746097 /nfs/dbraw/zinc/74/60/97/435746097.db2.gz QKFCXJMPVMTODI-SECBINFHSA-N 0 1 306.316 3.424 20 30 DGEDMN Cc1ccc(NC(=O)[C@@H](C)N2CCC(CCC#N)CC2)cc1F ZINC000571519006 435715189 /nfs/dbraw/zinc/71/51/89/435715189.db2.gz GXUMTHXTEOSMJH-CQSZACIVSA-N 0 1 317.408 3.477 20 30 DGEDMN C#C[C@@H](NC(=O)c1sccc1CN(C)C)c1ccc(F)cc1 ZINC000491243415 435719085 /nfs/dbraw/zinc/71/90/85/435719085.db2.gz WYRRUZXGTHIVLO-OAHLLOKOSA-N 0 1 316.401 3.053 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](OC)C1CCCC1 ZINC000660535437 435793165 /nfs/dbraw/zinc/79/31/65/435793165.db2.gz BIKIAQMFKVLXKH-GOSISDBHSA-N 0 1 307.434 3.355 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)[C@@H](C)c1n[nH]c(CC)n1 ZINC000660538878 435794802 /nfs/dbraw/zinc/79/48/02/435794802.db2.gz IZFQHPSKPYOTSM-AWEZNQCLSA-N 0 1 316.453 3.284 20 30 DGEDMN C#C[C@@H](C)N(C)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000491555380 435771649 /nfs/dbraw/zinc/77/16/49/435771649.db2.gz QVGRXORLCRHHQY-QGZVFWFLSA-N 0 1 320.436 3.412 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NCc2nc3cccc(C)c3[nH]2)C1 ZINC000660975327 435935639 /nfs/dbraw/zinc/93/56/39/435935639.db2.gz JEXAAOPJAPHQSJ-CQSZACIVSA-N 0 1 312.417 3.369 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000665112634 435894840 /nfs/dbraw/zinc/89/48/40/435894840.db2.gz LOPWCIUWKZDOGL-QWHCGFSZSA-N 0 1 319.453 3.036 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@@H]2CCCC[N@H+]2C2CC2)C1 ZINC000661072282 435957981 /nfs/dbraw/zinc/95/79/81/435957981.db2.gz WXXFKZADIBVOSQ-WBVHZDCISA-N 0 1 305.466 3.001 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000665390517 435967513 /nfs/dbraw/zinc/96/75/13/435967513.db2.gz HXEGBILRMSPCMS-BFHYXJOUSA-N 0 1 319.453 3.423 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cc(OC)cc(OC)c2)C1 ZINC000656760712 436028419 /nfs/dbraw/zinc/02/84/19/436028419.db2.gz YBONLPZKHVSGKH-CXAGYDPISA-N 0 1 319.401 3.118 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2csc(-c3cnn(C)c3)n2)C1 ZINC000661378899 436048488 /nfs/dbraw/zinc/04/84/88/436048488.db2.gz OATIGTHEXXKGSJ-ZDUSSCGKSA-N 0 1 302.447 3.332 20 30 DGEDMN C=C(C)CC[N@H+]1Cc2cccc(Br)c2C[C@@H]1C(=O)[O-] ZINC000652489882 435996549 /nfs/dbraw/zinc/99/65/49/435996549.db2.gz NIKKRNGPOXBLHK-CQSZACIVSA-N 0 1 324.218 3.227 20 30 DGEDMN C=CCN(CC(=O)Nc1ccnn1C1CCCC1)C(C)(C)C ZINC000661756166 436179837 /nfs/dbraw/zinc/17/98/37/436179837.db2.gz DWQKFNAZLQJXGH-UHFFFAOYSA-N 0 1 304.438 3.223 20 30 DGEDMN C=CCCn1cc(CNCC2(c3ccccc3Cl)CC2)nn1 ZINC000657421639 436190936 /nfs/dbraw/zinc/19/09/36/436190936.db2.gz PQCOIUAUBYMHCZ-UHFFFAOYSA-N 0 1 316.836 3.329 20 30 DGEDMN C=CCCn1cc(CN(CCC)[C@@H]2C[C@H](OCC)C2(C)C)nn1 ZINC000653559130 436205047 /nfs/dbraw/zinc/20/50/47/436205047.db2.gz KDLYXRCUDFJSEG-SJORKVTESA-N 0 1 320.481 3.270 20 30 DGEDMN C=CCOCCCNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000666453055 436225218 /nfs/dbraw/zinc/22/52/18/436225218.db2.gz LMXGHUVCAWDUEP-UHFFFAOYSA-N 0 1 324.331 3.215 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)nn1 ZINC000657701640 436282297 /nfs/dbraw/zinc/28/22/97/436282297.db2.gz AFFOVNBDMRMIQV-JKSUJKDBSA-N 0 1 318.465 3.070 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CC2CC1(C)C2 ZINC000662384541 436343108 /nfs/dbraw/zinc/34/31/08/436343108.db2.gz QPMRJCXHYSBERY-CBCUQQMYSA-N 0 1 304.459 3.136 20 30 DGEDMN C=CCOCCCN[C@H](c1nccn1C)c1ccc(Cl)cc1 ZINC000658205364 436399904 /nfs/dbraw/zinc/39/99/04/436399904.db2.gz HNBVJLCKDPFWAO-INIZCTEOSA-N 0 1 319.836 3.345 20 30 DGEDMN C=CCOCCCN[C@H](C)c1ncc(Br)cc1F ZINC000658207513 436400878 /nfs/dbraw/zinc/40/08/78/436400878.db2.gz VDZHPJIEHQNACU-SNVBAGLBSA-N 0 1 317.202 3.227 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NCc1nn(C)cc1Br ZINC000658027945 436355654 /nfs/dbraw/zinc/35/56/54/436355654.db2.gz ANQQXJIUYUMOTL-DGCLKSJQSA-N 0 1 312.255 3.407 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@@H](c1cccs1)N(C)C ZINC000663242050 436428937 /nfs/dbraw/zinc/42/89/37/436428937.db2.gz KVGGGFILPUVZHA-ZDUSSCGKSA-N 0 1 309.479 3.395 20 30 DGEDMN Cn1ncc(C2CC2)c1C(=O)C(C#N)c1nc2ccccc2s1 ZINC000659011027 436457146 /nfs/dbraw/zinc/45/71/46/436457146.db2.gz AZNYQJSOKXOCJF-LLVKDONJSA-N 0 1 322.393 3.397 20 30 DGEDMN Cc1cccc([C@@H]2CCCN2C([O-])=[NH+][C@@H]2CCn3ccnc3C2)c1 ZINC000330202149 302023874 /nfs/dbraw/zinc/02/38/74/302023874.db2.gz YXSIFLXQCQLDNJ-SJORKVTESA-N 0 1 324.428 3.257 20 30 DGEDMN C[C@@H](NCc1ccc2cnn(C)c2c1)c1ccc(C#N)cc1F ZINC000562579667 315032539 /nfs/dbraw/zinc/03/25/39/315032539.db2.gz XPMRLLBTCMSVFT-GFCCVEGCSA-N 0 1 308.360 3.435 20 30 DGEDMN N#Cc1ccc(CSc2n[nH]c(CCC3CCCC3)n2)nc1 ZINC000565399072 315258348 /nfs/dbraw/zinc/25/83/48/315258348.db2.gz IMKQWVXIPUFESC-UHFFFAOYSA-N 0 1 313.430 3.486 20 30 DGEDMN N#Cc1ccc(CSc2nc(CCC3CCCC3)n[nH]2)nc1 ZINC000565399072 315258353 /nfs/dbraw/zinc/25/83/53/315258353.db2.gz IMKQWVXIPUFESC-UHFFFAOYSA-N 0 1 313.430 3.486 20 30 DGEDMN N#Cc1nccc(N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000565842980 315291689 /nfs/dbraw/zinc/29/16/89/315291689.db2.gz KWQPSTSNEYVCLW-ZDUSSCGKSA-N 0 1 324.775 3.219 20 30 DGEDMN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1ccc(C#N)cn1 ZINC000571654335 315736249 /nfs/dbraw/zinc/73/62/49/315736249.db2.gz OSACXUYIUJCUMH-YVEFUNNKSA-N 0 1 315.804 3.472 20 30 DGEDMN CN(C)[C@@H](CNc1ncc2c(c1C#N)CCC2)c1ccsc1 ZINC000571875819 315750679 /nfs/dbraw/zinc/75/06/79/315750679.db2.gz BDRAUEPDKDQZHT-INIZCTEOSA-N 0 1 312.442 3.218 20 30 DGEDMN C[C@@H](NCCOC[C@H]1CCCO1)c1ccc(C#N)cc1Cl ZINC000575738162 316057650 /nfs/dbraw/zinc/05/76/50/316057650.db2.gz YLGCVKSEZLLQLU-TZMCWYRMSA-N 0 1 308.809 3.058 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)cc1C ZINC000577619490 316253347 /nfs/dbraw/zinc/25/33/47/316253347.db2.gz WRTIYNHPFHNWTI-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN N#Cc1ccncc1N1CCN(CCCC2CCCCC2)CC1 ZINC000577689622 316259247 /nfs/dbraw/zinc/25/92/47/316259247.db2.gz YZOWSEAFEGAMCV-UHFFFAOYSA-N 0 1 312.461 3.436 20 30 DGEDMN CC(C)n1cnnc1[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000581496446 324123312 /nfs/dbraw/zinc/12/33/12/324123312.db2.gz HLKWSXDCQTXPFP-ZDUSSCGKSA-N 0 1 315.446 3.172 20 30 DGEDMN Cc1c(Br)cccc1C(=O)C(C#N)c1ccncn1 ZINC000354465902 533708230 /nfs/dbraw/zinc/70/82/30/533708230.db2.gz JOTDJCIFNRCEAI-LLVKDONJSA-N 0 1 316.158 3.038 20 30 DGEDMN Cc1cc([C@@H](C)NCC(=O)N[C@@](C)(C#N)C(C)C)c(C)s1 ZINC000193133228 332914524 /nfs/dbraw/zinc/91/45/24/332914524.db2.gz PFQUVXIRRSHAHX-WBMJQRKESA-N 0 1 307.463 3.070 20 30 DGEDMN COc1ccc(Cl)cc1NS(=O)(=O)CCC(C)(C)C#N ZINC000559252693 332006244 /nfs/dbraw/zinc/00/62/44/332006244.db2.gz IOPMAADWMVYNIP-UHFFFAOYSA-N 0 1 316.810 3.030 20 30 DGEDMN C=CCNC(=O)CN[C@H](c1ccccc1)c1cc(C)ccc1C ZINC000181161392 332186857 /nfs/dbraw/zinc/18/68/57/332186857.db2.gz GIFIBOJAUGSGGF-HXUWFJFHSA-N 0 1 308.425 3.285 20 30 DGEDMN C=CCOc1ccccc1CNCc1cnn(CC(F)F)c1 ZINC000292927430 335098131 /nfs/dbraw/zinc/09/81/31/335098131.db2.gz HNVJDTNJORECQQ-UHFFFAOYSA-N 0 1 307.344 3.003 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)[C@]3(C#N)C[C@H]3C)[nH]c2c1 ZINC000560637443 332371853 /nfs/dbraw/zinc/37/18/53/332371853.db2.gz ULNREDVVOFJGJF-MRAWALMUSA-N 0 1 308.385 3.085 20 30 DGEDMN N#C[C@H]1CCC[C@@H](Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000185728415 333212791 /nfs/dbraw/zinc/21/27/91/333212791.db2.gz YYJFKXNUPXNNTA-PKOBYXMFSA-N 0 1 313.445 3.056 20 30 DGEDMN CC(C)C[C@H](CN1CCC(C#N)CC1)NC(=O)OC(C)(C)C ZINC000497191662 333220107 /nfs/dbraw/zinc/22/01/07/333220107.db2.gz DEHMZQFKIMTVNR-OAHLLOKOSA-N 0 1 309.454 3.161 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000303090355 334380092 /nfs/dbraw/zinc/38/00/92/334380092.db2.gz SHIGTFSZGKZKKM-QGZVFWFLSA-N 0 1 313.445 3.097 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000303090355 334380094 /nfs/dbraw/zinc/38/00/94/334380094.db2.gz SHIGTFSZGKZKKM-QGZVFWFLSA-N 0 1 313.445 3.097 20 30 DGEDMN N#CCCCC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000520677883 336011087 /nfs/dbraw/zinc/01/10/87/336011087.db2.gz VWRPRMIWFCRDDU-MRXNPFEDSA-N 0 1 319.836 3.287 20 30 DGEDMN Cc1cnn([C@H]2CCN(C([O-])=[NH+][C@@H]3C[C@H]3C3CCCCC3)C2)c1 ZINC000329623003 336015066 /nfs/dbraw/zinc/01/50/66/336015066.db2.gz SBHJVZCWSRICEA-YESZJQIVSA-N 0 1 316.449 3.321 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2nc(-c3ccccc3Cl)n[nH]2)C1 ZINC000532327289 336241398 /nfs/dbraw/zinc/24/13/98/336241398.db2.gz SUSVSLMNOKSFJP-UHFFFAOYSA-N 0 1 315.764 3.003 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1ccc(CC)cc1 ZINC000532760976 336270031 /nfs/dbraw/zinc/27/00/31/336270031.db2.gz FSHLONSHTMSLAI-MRXNPFEDSA-N 0 1 300.446 3.270 20 30 DGEDMN C=C(C)COCCNC(=O)c1c(O)cc(Cl)cc1Cl ZINC000119650320 337863579 /nfs/dbraw/zinc/86/35/79/337863579.db2.gz JUYZBAXLSXMHPQ-UHFFFAOYSA-N 0 1 304.173 3.022 20 30 DGEDMN CCc1nc(CN2CCN(CC(C)(C)CCC#N)CC2)cs1 ZINC000092505043 337905419 /nfs/dbraw/zinc/90/54/19/337905419.db2.gz RNGZDMXTOFZUHG-UHFFFAOYSA-N 0 1 320.506 3.153 20 30 DGEDMN COC(=O)c1cccc2c1CCN(Cc1ccc(CC#N)cc1)C2 ZINC000459541038 339034771 /nfs/dbraw/zinc/03/47/71/339034771.db2.gz FRSKTBWPVWXJET-UHFFFAOYSA-N 0 1 320.392 3.098 20 30 DGEDMN C=CCSc1ccccc1NC(=O)CN1C[C@H](C)[C@@H](C)C1 ZINC000464851091 339157597 /nfs/dbraw/zinc/15/75/97/339157597.db2.gz PYZNQCZJWFWKDZ-KBPBESRZSA-N 0 1 304.459 3.491 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000499426694 340036672 /nfs/dbraw/zinc/03/66/72/340036672.db2.gz ZODASNAOFOIHEM-IRXDYDNUSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](c2ccccc2F)C2CCCC2)C1=O ZINC000499605478 340040807 /nfs/dbraw/zinc/04/08/07/340040807.db2.gz JAOLQQADTCHVNL-ZWKOTPCHSA-N 0 1 316.420 3.434 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000499763388 340044308 /nfs/dbraw/zinc/04/43/08/340044308.db2.gz OOQDVTRFBRCKOJ-GVDBMIGSSA-N 0 1 315.461 3.255 20 30 DGEDMN CN(CC(=O)NCC#N)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000499909255 340046926 /nfs/dbraw/zinc/04/69/26/340046926.db2.gz KHSWQDFSKIXCBC-KRWDZBQOSA-N 0 1 319.836 3.143 20 30 DGEDMN COc1ccc(NC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cc1 ZINC000505420786 340167996 /nfs/dbraw/zinc/16/79/96/340167996.db2.gz KCQPOFXBAHRXFK-CQSZACIVSA-N 0 1 320.352 3.126 20 30 DGEDMN CC[C@H]1CO[C@H](C)CN1Cc1cccc(OCCCC#N)c1 ZINC000505641467 340174137 /nfs/dbraw/zinc/17/41/37/340174137.db2.gz VMCKYWOVJKLYDT-WBVHZDCISA-N 0 1 302.418 3.368 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H]1/C=C\c1ccccc1 ZINC000505821615 340178726 /nfs/dbraw/zinc/17/87/26/340178726.db2.gz YZHMJWUZVCLLMN-HSNDNRACSA-N 0 1 310.441 3.365 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1cccc2ccncc21 ZINC000526004909 340708395 /nfs/dbraw/zinc/70/83/95/340708395.db2.gz AQNWKTWGTKEFHV-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C[C@H](C(=O)Nc1cccc2ncccc21)N1CCC(CC#N)CC1 ZINC000543807046 341059844 /nfs/dbraw/zinc/05/98/44/341059844.db2.gz IDGJJYOFLQGUNF-CQSZACIVSA-N 0 1 322.412 3.187 20 30 DGEDMN C#CCN(Cc1cccc(C(=O)NC)c1)[C@H]1CCc2ccccc21 ZINC000072959298 341111456 /nfs/dbraw/zinc/11/14/56/341111456.db2.gz KTUAXCQJPSFXGI-FQEVSTJZSA-N 0 1 318.420 3.169 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnc3nccnc3c2)n1 ZINC000545451907 341125450 /nfs/dbraw/zinc/12/54/50/341125450.db2.gz IJJYVUJHOGBGBI-LLVKDONJSA-N 0 1 323.381 3.095 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CC)[C@H](C)Cc1ccsc1 ZINC000547649327 341225043 /nfs/dbraw/zinc/22/50/43/341225043.db2.gz KXVLETDCESJIHU-OAHLLOKOSA-N 0 1 308.491 3.426 20 30 DGEDMN C=CCN(C)C(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000547997988 341242691 /nfs/dbraw/zinc/24/26/91/341242691.db2.gz HSNYCGGUYBLFIJ-INIZCTEOSA-N 0 1 321.852 3.304 20 30 DGEDMN CC[C@]1(C)CCCN([C@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000247687187 341369030 /nfs/dbraw/zinc/36/90/30/341369030.db2.gz VEXFEVVSCWSSSX-NVXWUHKLSA-N 0 1 305.466 3.230 20 30 DGEDMN Cc1cc(F)ccc1N1CCC[C@H](NC[C@H](C#N)CCC#N)C1 ZINC000567079733 341578660 /nfs/dbraw/zinc/57/86/60/341578660.db2.gz WUXYPVUAXBSHEY-RDJZCZTQSA-N 0 1 314.408 3.136 20 30 DGEDMN Cc1cc(Cl)ccc1CN1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000576771208 341862663 /nfs/dbraw/zinc/86/26/63/341862663.db2.gz YMVKKYZZUSOEEZ-CRAIPNDOSA-N 0 1 317.864 3.458 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000577188162 341895441 /nfs/dbraw/zinc/89/54/41/341895441.db2.gz VUWJDEHSUFRVFB-JLLWLGSASA-N 0 1 319.430 3.008 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100595165 1180834663 /nfs/dbraw/zinc/83/46/63/1180834663.db2.gz OTEYAJTZVCMZDH-QZZVJRGCSA-N 0 1 319.276 3.392 20 30 DGEDMN C[C@H](NCC#Cc1ccc(F)cc1)c1ccc([S@](C)=O)cc1 ZINC000360207423 533815275 /nfs/dbraw/zinc/81/52/75/533815275.db2.gz HGNHUDFFUWFSLD-FPTDNZKUSA-N 0 1 315.413 3.265 20 30 DGEDMN C[C@@H](O)[C@H]1C[C@H](C)CCN1Cc1ccc(C#N)cc1OC(F)F ZINC000331302693 533859996 /nfs/dbraw/zinc/85/99/96/533859996.db2.gz XLINCZBDYYQEPJ-LALPHHSUSA-N 0 1 324.371 3.141 20 30 DGEDMN C[C@@H](Sc1nc2ccc(Cl)cc2[nH]1)C(=O)N(C)CCC#N ZINC000052432884 534019709 /nfs/dbraw/zinc/01/97/09/534019709.db2.gz JHCIKQFWWCELBM-SECBINFHSA-N 0 1 322.821 3.069 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cccc(OCCCC#N)c1 ZINC000355408839 534225668 /nfs/dbraw/zinc/22/56/68/534225668.db2.gz KFHDQTYDDVSTQP-GASCZTMLSA-N 0 1 315.417 3.180 20 30 DGEDMN Cc1noc([C@@H](NCCCCC(C)(C)C#N)C2CCOCC2)n1 ZINC000345833598 534442816 /nfs/dbraw/zinc/44/28/16/534442816.db2.gz ASTDPPMTBOUPRC-HNNXBMFYSA-N 0 1 320.437 3.155 20 30 DGEDMN Cc1ccc2nc(CNC(=O)NCC(C)(C)CCC#N)[nH]c2c1 ZINC000353991251 534500429 /nfs/dbraw/zinc/50/04/29/534500429.db2.gz AMNZWFPSNXNSBU-UHFFFAOYSA-N 0 1 313.405 3.001 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000494687416 534548484 /nfs/dbraw/zinc/54/84/84/534548484.db2.gz CNRKPFIWXHZZHV-IAGOWNOFSA-N 0 1 319.424 3.179 20 30 DGEDMN Cc1nc([C@@H](C)Sc2nc(C(F)(F)F)ccc2C#N)n[nH]1 ZINC000413001142 534549509 /nfs/dbraw/zinc/54/95/09/534549509.db2.gz CELKVCHDUICVAJ-ZCFIWIBFSA-N 0 1 313.308 3.252 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(C)[C@@H](C)c1ccc(F)cc1 ZINC000489442164 534559776 /nfs/dbraw/zinc/55/97/76/534559776.db2.gz SFNZWCOWICXIHS-WMLDXEAASA-N 0 1 304.409 3.386 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1c(F)ccc(Br)c1F ZINC000451610008 534609245 /nfs/dbraw/zinc/60/92/45/534609245.db2.gz FOXJRFFKCFUEEX-NEPJUHHUSA-N 0 1 318.161 3.160 20 30 DGEDMN Cc1sc(NC(=O)CN(C)[C@@H]2CC[C@@H](C)C2)c(C#N)c1C ZINC000429575874 534609405 /nfs/dbraw/zinc/60/94/05/534609405.db2.gz AXGWJPNVZMLWDX-ZWNOBZJWSA-N 0 1 305.447 3.296 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@@H]3CCCC[C@H]3C2)c(C#N)c1C ZINC000177519211 534610690 /nfs/dbraw/zinc/61/06/90/534610690.db2.gz VRILHOBLEPSHKO-KBPBESRZSA-N 0 1 317.458 3.297 20 30 DGEDMN N#CCCCNCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000344323130 534613606 /nfs/dbraw/zinc/61/36/06/534613606.db2.gz HUPDMLZCGZDPGR-OAHLLOKOSA-N 0 1 308.809 3.291 20 30 DGEDMN Cc1nc2ccc(NC(=O)C3(c4cccc(C#N)c4)CC3)cc2[nH]1 ZINC000435462381 534656617 /nfs/dbraw/zinc/65/66/17/534656617.db2.gz HAZANUWLTRVVMH-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(CC)C[C@@H]1CC)c1ccccc1 ZINC000456368910 534672818 /nfs/dbraw/zinc/67/28/18/534672818.db2.gz FPNOAQQGRJAORD-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CCCN1CCC(F)(C#N)CC1 ZINC000352484646 534677715 /nfs/dbraw/zinc/67/77/15/534677715.db2.gz RSDGVHATZLHIKR-UHFFFAOYSA-N 0 1 317.408 3.350 20 30 DGEDMN N#CCSCCCN1CCN(Cc2ccccc2Cl)CC1 ZINC000165815242 534707115 /nfs/dbraw/zinc/70/71/15/534707115.db2.gz RGUKPTSEOAIXDG-UHFFFAOYSA-N 0 1 323.893 3.104 20 30 DGEDMN N#CC[C@@H](CC(=O)Nc1ncc(C(F)(F)F)[nH]1)c1ccccc1 ZINC000337639265 534712381 /nfs/dbraw/zinc/71/23/81/534712381.db2.gz WCQVHNJSXZBOKY-NSHDSACASA-N 0 1 322.290 3.455 20 30 DGEDMN Cc1cccc2nc(CCC(=O)Nc3cccc(C#N)c3)[nH]c21 ZINC000174923764 534772781 /nfs/dbraw/zinc/77/27/81/534772781.db2.gz LBUWBTQESJEGMQ-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN N#Cc1cccc(-c2csc([C@@H]3CN4CCC[C@H]4CO3)n2)c1 ZINC000317795263 534800054 /nfs/dbraw/zinc/80/00/54/534800054.db2.gz ZQLZIKHNGKSWMR-HOCLYGCPSA-N 0 1 311.410 3.217 20 30 DGEDMN CC1(C)C[C@H](O)CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000451798470 534899124 /nfs/dbraw/zinc/89/91/24/534899124.db2.gz CAZWMDGZCBYZMQ-CQSZACIVSA-N 0 1 324.371 3.143 20 30 DGEDMN C=CCN(CCO[C@@H]1CCCCO1)Cc1cccc(C#N)c1 ZINC000181663166 526306839 /nfs/dbraw/zinc/30/68/39/526306839.db2.gz UPYWSYYCZZCWEM-GOSISDBHSA-N 0 1 300.402 3.089 20 30 DGEDMN C=CCN1CC[C@@H](N(Cc2cccc(Cl)c2)C(C)C)C1=O ZINC000337127615 526475230 /nfs/dbraw/zinc/47/52/30/526475230.db2.gz GYXVPGGYJPZZKK-MRXNPFEDSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)CN(C)[C@@H](C)C(=O)N(C)Cc1c(F)cccc1Cl ZINC000181289388 526504820 /nfs/dbraw/zinc/50/48/20/526504820.db2.gz PIVNOMWXONJQCD-LBPRGKRZSA-N 0 1 312.816 3.334 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](c2ccccc2F)C1 ZINC000337530341 526543189 /nfs/dbraw/zinc/54/31/89/526543189.db2.gz RXSVKOUWESIDCO-JKSUJKDBSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)[nH]n1 ZINC000294224413 526545025 /nfs/dbraw/zinc/54/50/25/526545025.db2.gz DHDRVCCGNMLKDD-UHFFFAOYSA-N 0 1 314.345 3.023 20 30 DGEDMN C=C(C)CN(CCO[C@H]1CCCCO1)Cc1cnn(C(C)C)c1 ZINC000352921249 526553044 /nfs/dbraw/zinc/55/30/44/526553044.db2.gz HFAOPBPSMAMYBS-SFHVURJKSA-N 0 1 321.465 3.385 20 30 DGEDMN C=CCNC(=O)NC[C@H]1CCCCN1Cc1cccc(Cl)c1 ZINC000344967377 526612809 /nfs/dbraw/zinc/61/28/09/526612809.db2.gz PXXLCMJLSABMNW-MRXNPFEDSA-N 0 1 321.852 3.180 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429125345 526625609 /nfs/dbraw/zinc/62/56/09/526625609.db2.gz CBSYTHRKELXGPO-IUODEOHRSA-N 0 1 324.877 3.197 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429125347 526634113 /nfs/dbraw/zinc/63/41/13/526634113.db2.gz CBSYTHRKELXGPO-WFASDCNBSA-N 0 1 324.877 3.197 20 30 DGEDMN C=CCOCCCN(CC)CC(=O)Nc1c(C)cccc1C ZINC000348060198 526747300 /nfs/dbraw/zinc/74/73/00/526747300.db2.gz RIYLEIJPRGYAEA-UHFFFAOYSA-N 0 1 304.434 3.157 20 30 DGEDMN C=CCOCCCN1CCC[C@@H]1c1nc2c(n1C)CCCC2 ZINC000344360517 526753788 /nfs/dbraw/zinc/75/37/88/526753788.db2.gz ATDWJYPTXPVMEB-QGZVFWFLSA-N 0 1 303.450 3.029 20 30 DGEDMN CC(C)(C)c1nc(CN2CCC[C@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000331366407 526842250 /nfs/dbraw/zinc/84/22/50/526842250.db2.gz ADKVDUQLFHUTAF-CVEARBPZSA-N 0 1 323.444 3.374 20 30 DGEDMN C=CCOc1ccc(CN(C)[C@@H](C)C[S@@](C)=O)cc1Cl ZINC000130890627 526861542 /nfs/dbraw/zinc/86/15/42/526861542.db2.gz DGGWAPSGRFCXSU-YUNKPMOVSA-N 0 1 315.866 3.104 20 30 DGEDMN C=CCOc1ccc(CN(CC(=O)N(C)CC)C(C)(C)C)cc1 ZINC000353477394 526862975 /nfs/dbraw/zinc/86/29/75/526862975.db2.gz MDQOCBBCSRDAIU-UHFFFAOYSA-N 0 1 318.461 3.330 20 30 DGEDMN C=C(CNC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000287939574 526873141 /nfs/dbraw/zinc/87/31/41/526873141.db2.gz FPPKTQWMTGMAKC-ZDUSSCGKSA-N 0 1 313.405 3.002 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2C[C@H](C)N(CC)C[C@@H]2C)c1 ZINC000346643568 526914329 /nfs/dbraw/zinc/91/43/29/526914329.db2.gz YDWIAUQSTXKHTG-GJZGRUSLSA-N 0 1 317.433 3.198 20 30 DGEDMN C=CCOc1ccccc1CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000343542703 526951796 /nfs/dbraw/zinc/95/17/96/526951796.db2.gz YDZZAUJEGOSERU-SFHVURJKSA-N 0 1 320.396 3.249 20 30 DGEDMN C=CCSCCN[C@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000180219584 527005529 /nfs/dbraw/zinc/00/55/29/527005529.db2.gz MDNHGMXYEWXBCA-KRWDZBQOSA-N 0 1 318.486 3.249 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000353030382 527043928 /nfs/dbraw/zinc/04/39/28/527043928.db2.gz DFPFYJADBCATPQ-CABCVRRESA-N 0 1 307.438 3.316 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000346314859 527046702 /nfs/dbraw/zinc/04/67/02/527046702.db2.gz KTHOOPSDPSBQJM-OAHLLOKOSA-N 0 1 307.413 3.041 20 30 DGEDMN C=CCCC1(C(=O)NCCN(C)Cc2ccc(F)cc2)CC1 ZINC000356302948 527087529 /nfs/dbraw/zinc/08/75/29/527087529.db2.gz MOYQLJYQCYCAFT-UHFFFAOYSA-N 0 1 304.409 3.120 20 30 DGEDMN C=CCCC1(C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1 ZINC000353518853 527090772 /nfs/dbraw/zinc/09/07/72/527090772.db2.gz YCLQCDBXHZGADU-OAHLLOKOSA-N 0 1 302.418 3.279 20 30 DGEDMN C=CC[C@@H]1N([C@@H]2CCN(CC(F)(F)F)C2=O)CCCC1(C)C ZINC000444778947 527118840 /nfs/dbraw/zinc/11/88/40/527118840.db2.gz KCENCGNDSQEYJN-OLZOCXBDSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CCCCCNC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000353027366 527156254 /nfs/dbraw/zinc/15/62/54/527156254.db2.gz CUHNXCGDZLEHOA-INIZCTEOSA-N 0 1 307.413 3.084 20 30 DGEDMN C=CCCCCNC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000351712945 527158266 /nfs/dbraw/zinc/15/82/66/527158266.db2.gz RGUKXEOCDRJMMU-INIZCTEOSA-N 0 1 315.461 3.089 20 30 DGEDMN CC(=O)c1ccc(C(=O)NCCN2CCCC(C)(C)C2)cc1O ZINC000331356693 527220485 /nfs/dbraw/zinc/22/04/85/527220485.db2.gz SFYTUMLCGZUNDM-UHFFFAOYSA-N 0 1 318.417 3.021 20 30 DGEDMN C=CCCCNC(=O)c1cc(Br)cc2nc[nH]c21 ZINC000337608270 527227343 /nfs/dbraw/zinc/22/73/43/527227343.db2.gz RPSJJTPWYAQREJ-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@@H](CC)c1ccccc1OCC ZINC000342760113 527319999 /nfs/dbraw/zinc/31/99/99/527319999.db2.gz DOLVDRPMTQRKPW-INIZCTEOSA-N 0 1 304.434 3.161 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1ccc(OC(F)(F)F)cc1 ZINC000342736446 527324718 /nfs/dbraw/zinc/32/47/18/527324718.db2.gz QMYIKQWBIPGHSF-NSHDSACASA-N 0 1 316.323 3.420 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1sc(C)cc1C(=O)OCC ZINC000342739020 527324919 /nfs/dbraw/zinc/32/49/19/527324919.db2.gz ODJLZWNZTRXKQI-GFCCVEGCSA-N 0 1 324.446 3.068 20 30 DGEDMN C=CCCOCCCCC(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000342866516 527335595 /nfs/dbraw/zinc/33/55/95/527335595.db2.gz JZRFYMNQQJAAIJ-UHFFFAOYSA-N 0 1 319.380 3.081 20 30 DGEDMN C=CCC[C@@H](NCc1ccc(OCCO)cc1)c1ccco1 ZINC000353387788 527448826 /nfs/dbraw/zinc/44/88/26/527448826.db2.gz PKAKMXMEXQSTEH-QGZVFWFLSA-N 0 1 301.386 3.448 20 30 DGEDMN CC(C)(C#N)CCN(CCc1ccccn1)Cc1cccnc1 ZINC000347228942 527466933 /nfs/dbraw/zinc/46/69/33/527466933.db2.gz ZPFJHJHJROXYMV-UHFFFAOYSA-N 0 1 308.429 3.461 20 30 DGEDMN CC(=Cc1cc2cc(F)ccc2o1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435334387 527499187 /nfs/dbraw/zinc/49/91/87/527499187.db2.gz AIEVPPJIZJTKPP-UITAMQMPSA-N 0 1 309.300 3.396 20 30 DGEDMN CC(=Cc1ccc2ncccc2c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435340252 527500311 /nfs/dbraw/zinc/50/03/11/527500311.db2.gz FSEBTXOTPDXKMH-FLIBITNWSA-N 0 1 302.337 3.059 20 30 DGEDMN C=CCCc1ccccc1NC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000337599112 527508538 /nfs/dbraw/zinc/50/85/38/527508538.db2.gz LKXOVPSECCZJIO-LBPRGKRZSA-N 0 1 313.405 3.368 20 30 DGEDMN CC(=Cc1cc(C)c2ncccc2c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435339458 527523877 /nfs/dbraw/zinc/52/38/77/527523877.db2.gz UECAOGASNDZWQJ-XYOKQWHBSA-N 0 1 316.364 3.367 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000352446372 527593004 /nfs/dbraw/zinc/59/30/04/527593004.db2.gz CHFOSSOOBOFZQO-SNVBAGLBSA-N 0 1 322.748 3.145 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)c1cc(-c2ccc(OC)cc2)[nH]n1 ZINC000428043464 527602911 /nfs/dbraw/zinc/60/29/11/527602911.db2.gz GVILIEVUVCEBMW-AWEZNQCLSA-N 0 1 311.385 3.266 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)c1cc(-c2cccc(OC)c2)[nH]n1 ZINC000428049328 527603605 /nfs/dbraw/zinc/60/36/05/527603605.db2.gz NJLRJDQUOURQFM-AWEZNQCLSA-N 0 1 311.385 3.266 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC(c2ccccc2C)CC1 ZINC000359130851 527608680 /nfs/dbraw/zinc/60/86/80/527608680.db2.gz OWKIQWMGLNLMIH-UHFFFAOYSA-N 0 1 312.457 3.375 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC=C(c2ccccc2)C1 ZINC000341983840 527626990 /nfs/dbraw/zinc/62/69/90/527626990.db2.gz CWENCJYGJKPJLB-QGZVFWFLSA-N 0 1 310.441 3.365 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(CC)Cc1ccc(OC)cc1 ZINC000343553478 527632880 /nfs/dbraw/zinc/63/28/80/527632880.db2.gz DRCSLHLVWXURQO-INIZCTEOSA-N 0 1 316.445 3.106 20 30 DGEDMN C=C[C@](C)(O)CC(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC000353039951 527640683 /nfs/dbraw/zinc/64/06/83/527640683.db2.gz MNUOYISAUMNGFM-KRWDZBQOSA-N 0 1 311.341 3.089 20 30 DGEDMN C=CCN(CC=C)CCOc1ccc(C(=O)OC)cc1Cl ZINC000441190753 527642530 /nfs/dbraw/zinc/64/25/30/527642530.db2.gz SFFVBKNPPALXQR-UHFFFAOYSA-N 0 1 309.793 3.179 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC000359385425 527656162 /nfs/dbraw/zinc/65/61/62/527656162.db2.gz VPEMINZUDGSZRI-UHFFFAOYSA-N 0 1 308.385 3.235 20 30 DGEDMN CCCN(CC(=O)N(CC)C[C@H](C)C#N)Cc1ccc(F)cc1 ZINC000346613940 527885591 /nfs/dbraw/zinc/88/55/91/527885591.db2.gz SUDWRVUZXWVBQW-OAHLLOKOSA-N 0 1 319.424 3.046 20 30 DGEDMN CC1CCC(N2CCN(C(=O)C3(C#N)CCCCC3)CC2)CC1 ZINC000360006388 528194398 /nfs/dbraw/zinc/19/43/98/528194398.db2.gz YCNOJEJFALXFIZ-UHFFFAOYSA-N 0 1 317.477 3.183 20 30 DGEDMN CCCCCn1nc(C)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000443294593 528296693 /nfs/dbraw/zinc/29/66/93/528296693.db2.gz LHTICASANBZUJI-UHFFFAOYSA-N 0 1 312.373 3.211 20 30 DGEDMN CCCN1Cc2cccc(/N=C/c3ccc(O)c(O)c3O)c2C1 ZINC000171157298 528327046 /nfs/dbraw/zinc/32/70/46/528327046.db2.gz YJXFGWZZGVHEJA-DJKKODMXSA-N 0 1 312.369 3.280 20 30 DGEDMN CCN(CCC#N)C(=O)CN(C)[C@@H](c1ccc(F)cc1)C(C)C ZINC000434619377 528353554 /nfs/dbraw/zinc/35/35/54/528353554.db2.gz RSTHZXGNDFDMSI-GOSISDBHSA-N 0 1 319.424 3.217 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1ccc(F)cc1)C(C)(C)C ZINC000132836756 528355699 /nfs/dbraw/zinc/35/56/99/528355699.db2.gz QAKWNBQBNAEFMF-UHFFFAOYSA-N 0 1 319.424 3.188 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2c(C)coc2C)n1 ZINC000342337604 528363697 /nfs/dbraw/zinc/36/36/97/528363697.db2.gz ZRYFVZCKPQORFT-JTQLQIEISA-N 0 1 318.354 3.020 20 30 DGEDMN CCC[C@H]1CCCN(CC(=O)N(CCC#N)c2ccccc2)C1 ZINC000341580870 528375630 /nfs/dbraw/zinc/37/56/30/528375630.db2.gz GMRZWRSGWWANGU-KRWDZBQOSA-N 0 1 313.445 3.445 20 30 DGEDMN C#C[C@H](N[C@@H]1COC(C)(C)C1)c1ccc(Br)cc1 ZINC001560854711 1189394052 /nfs/dbraw/zinc/39/40/52/1189394052.db2.gz LNOQCSZNWMKAHU-KBPBESRZSA-N 0 1 308.219 3.281 20 30 DGEDMN CC(C)c1nc(CNc2ccc(C#N)cc2C(F)(F)F)n[nH]1 ZINC000294952010 528447216 /nfs/dbraw/zinc/44/72/16/528447216.db2.gz MFAMCECNFJGNSV-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN CCC(=O)c1ccc(C(=O)C(C#N)c2cc(C)nc(C)n2)cc1 ZINC000340875124 528457469 /nfs/dbraw/zinc/45/74/69/528457469.db2.gz LMEYUBNXBDHRGO-HNNXBMFYSA-N 0 1 307.353 3.176 20 30 DGEDMN CC(C)n1cc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000345645125 528558654 /nfs/dbraw/zinc/55/86/54/528558654.db2.gz UGNMPUMIVKENFH-GFCCVEGCSA-N 0 1 322.290 3.368 20 30 DGEDMN CC(C)n1cc(C(=O)[C@H](C#N)c2ccc(C(F)(F)F)cn2)cn1 ZINC000345645125 528558658 /nfs/dbraw/zinc/55/86/58/528558658.db2.gz UGNMPUMIVKENFH-GFCCVEGCSA-N 0 1 322.290 3.368 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](C)c1ccc(SC)cc1 ZINC000337218556 528697502 /nfs/dbraw/zinc/69/75/02/528697502.db2.gz KGTRUUAUUKJXHQ-KGLIPLIRSA-N 0 1 319.474 3.067 20 30 DGEDMN CCCOc1cccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c1 ZINC000435334390 528731113 /nfs/dbraw/zinc/73/11/13/528731113.db2.gz AJJYVNBEBMXZII-XFXZXTDPSA-N 0 1 309.369 3.299 20 30 DGEDMN CC1(C#N)CN(C(=O)c2cccc3[nH]c(-c4cccs4)nc32)C1 ZINC000435927999 528846048 /nfs/dbraw/zinc/84/60/48/528846048.db2.gz DDVLLJKYVPLXLN-UHFFFAOYSA-N 0 1 322.393 3.277 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccccc1S[C@@H](C)CC#N ZINC000416961887 529270802 /nfs/dbraw/zinc/27/08/02/529270802.db2.gz SRTNSRFOEFKNIA-RYUDHWBXSA-N 0 1 323.443 3.125 20 30 DGEDMN CC[C@@H](C(=O)N(CCC#N)CC1CC1)N(C)Cc1ccccc1 ZINC000339276379 529273821 /nfs/dbraw/zinc/27/38/21/529273821.db2.gz UZNLHHKKSDHHMI-SFHVURJKSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1SCC#N ZINC000185618011 529337270 /nfs/dbraw/zinc/33/72/70/529337270.db2.gz BEEPFRZJXUKOBI-ZFWWWQNUSA-N 0 1 317.458 3.361 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000285306746 529352869 /nfs/dbraw/zinc/35/28/69/529352869.db2.gz VFCSIQQOYONBHV-QGZVFWFLSA-N 0 1 317.458 3.114 20 30 DGEDMN CC[C@@]1(C)CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000468119181 536047937 /nfs/dbraw/zinc/04/79/37/536047937.db2.gz GPYBYEOKWGFMPY-INIZCTEOSA-N 0 1 305.809 3.272 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)c1ccc(-n2cccc2)cc1 ZINC000078868665 545755532 /nfs/dbraw/zinc/75/55/32/545755532.db2.gz GKBPFUWONLUEGV-UHFFFAOYSA-N 0 1 319.324 3.492 20 30 DGEDMN Cc1scc(C(=O)C(C#N)c2nnc3n2CCCCC3)c1C ZINC000180742803 546148899 /nfs/dbraw/zinc/14/88/99/546148899.db2.gz IPSJDFJAYQDSNW-LBPRGKRZSA-N 0 1 314.414 3.173 20 30 DGEDMN C=C(C)[C@H](NC(=O)CCc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000193864181 546245021 /nfs/dbraw/zinc/24/50/21/546245021.db2.gz KKQGGCHWWOLEPF-INIZCTEOSA-N 0 1 305.328 3.054 20 30 DGEDMN CC(C)[C@@H]1N(C(=O)N[C@H]2CCCc3cn[nH]c32)CC12CCC2 ZINC000330220337 546496576 /nfs/dbraw/zinc/49/65/76/546496576.db2.gz QDOWBQVRKRSAEJ-ZFWWWQNUSA-N 0 1 302.422 3.212 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000668625789 547496879 /nfs/dbraw/zinc/49/68/79/547496879.db2.gz AYQJVHDOBSPMIS-OAHLLOKOSA-N 0 1 301.434 3.110 20 30 DGEDMN C=C(CNc1nc(C(F)(F)F)nc2[nH]cnc21)c1ccccc1 ZINC000673461764 547962069 /nfs/dbraw/zinc/96/20/69/547962069.db2.gz VNJUBKNJFCYEIL-UHFFFAOYSA-N 0 1 319.290 3.449 20 30 DGEDMN COCC#CCSc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000677806986 548558808 /nfs/dbraw/zinc/55/88/08/548558808.db2.gz XFKZAFXDOUBOGU-UHFFFAOYSA-N 0 1 300.305 3.324 20 30 DGEDMN C=CCCC[C@H](C)N1CCN(C(=O)c2ccccc2OC)CC1 ZINC000678714766 548752223 /nfs/dbraw/zinc/75/22/23/548752223.db2.gz OIGNCGFQOMDXKA-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN Cc1ncc(C(=O)[C@H](C#N)c2ccc3ccccc3n2)c(C)n1 ZINC000679089976 548828722 /nfs/dbraw/zinc/82/87/22/548828722.db2.gz OVSZRMHMWMYSJG-CQSZACIVSA-N 0 1 302.337 3.132 20 30 DGEDMN Cc1ncc(C(=O)C(C#N)c2ccc3ccccc3n2)c(C)n1 ZINC000679089976 548828726 /nfs/dbraw/zinc/82/87/26/548828726.db2.gz OVSZRMHMWMYSJG-CQSZACIVSA-N 0 1 302.337 3.132 20 30 DGEDMN O=C(CC1CCN(CC#Cc2ccccc2)CC1)NC1CCCC1 ZINC000683003894 549412470 /nfs/dbraw/zinc/41/24/70/549412470.db2.gz HYMKQGCVXXDBHJ-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN CC(C)[C@H](NCC#Cc1ccc(Cl)cc1)[C@H](O)C(F)(F)F ZINC000685413049 549755326 /nfs/dbraw/zinc/75/53/26/549755326.db2.gz DWCDFLGOOXVYHQ-KBPBESRZSA-N 0 1 319.754 3.229 20 30 DGEDMN Fc1ccc(NN=Cc2ccc(OC[C@H]3CCCO3)cc2)nc1 ZINC000731319180 574546820 /nfs/dbraw/zinc/54/68/20/574546820.db2.gz OYZDOOUHIKGWTJ-MRXNPFEDSA-N 0 1 315.348 3.225 20 30 DGEDMN C#CCOc1ccc(C=NNc2ccc(F)cn2)cc1OCC ZINC000731318758 574546919 /nfs/dbraw/zinc/54/69/19/574546919.db2.gz LTUFNEBTEZNRRK-UHFFFAOYSA-N 0 1 313.332 3.077 20 30 DGEDMN CCCOc1cc(C=NNc2ccc(F)cn2)ccc1OC ZINC000731321162 574547065 /nfs/dbraw/zinc/54/70/65/574547065.db2.gz DSMZQZBCFGLROR-UHFFFAOYSA-N 0 1 303.337 3.464 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2cc(-c3ccccc3)n[nH]2)c1 ZINC000731011171 574541622 /nfs/dbraw/zinc/54/16/22/574541622.db2.gz JQTHGGPLCLOWFX-UHFFFAOYSA-N 0 1 317.348 3.341 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(O[C@@H](C)C#N)cc1 ZINC000734159895 574653523 /nfs/dbraw/zinc/65/35/23/574653523.db2.gz ZUFJSCRONRUPTD-INIZCTEOSA-N 0 1 320.392 3.279 20 30 DGEDMN C=CC[C@@H](NC(=O)c1ccc(O)c(Cl)c1)c1ccncc1 ZINC000734554964 574667185 /nfs/dbraw/zinc/66/71/85/574667185.db2.gz JQKXHFBHGZYLJF-CQSZACIVSA-N 0 1 302.761 3.488 20 30 DGEDMN C=CC[C@@H](C)C(C)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000735409338 574701044 /nfs/dbraw/zinc/70/10/44/574701044.db2.gz CPQVTWMLFACTHG-SECBINFHSA-N 0 1 317.397 3.152 20 30 DGEDMN Cc1cc(C)cc(C(C)N=Nc2ccc(-c3nn[nH]n3)cc2)c1 ZINC000735451102 574702199 /nfs/dbraw/zinc/70/21/99/574702199.db2.gz OVIGGKPRSQJUND-UHFFFAOYSA-N 0 1 306.373 3.320 20 30 DGEDMN COc1cc(CN=Nc2c(F)cccc2F)c([N+](=O)[O-])cc1O ZINC000735614935 574709545 /nfs/dbraw/zinc/70/95/45/574709545.db2.gz FQZVDBMPJXNENE-UHFFFAOYSA-N 0 1 323.255 3.033 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)COc3ccccc3C)[nH]c21 ZINC000735791619 574715063 /nfs/dbraw/zinc/71/50/63/574715063.db2.gz AECWJIALXJOBGO-CQSZACIVSA-N 0 1 319.364 3.435 20 30 DGEDMN Cc1nc(CCC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cs1 ZINC000735789150 574715083 /nfs/dbraw/zinc/71/50/83/574715083.db2.gz KEBVEGGGARZATH-CYBMUJFWSA-N 0 1 324.409 3.445 20 30 DGEDMN CCc1nc(CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cs1 ZINC000735791881 574715789 /nfs/dbraw/zinc/71/57/89/574715789.db2.gz DBHMIXGQOUONCR-LBPRGKRZSA-N 0 1 324.409 3.309 20 30 DGEDMN C#Cc1cccc(NC(=O)c2ccc(Nc3ccncc3)cc2)c1 ZINC000735842670 574718907 /nfs/dbraw/zinc/71/89/07/574718907.db2.gz MFARTLBLRXQYSS-UHFFFAOYSA-N 0 1 313.360 3.481 20 30 DGEDMN Clc1ccc(CN2CCC(N=Nc3cccnn3)CC2)cc1 ZINC000735976836 574725408 /nfs/dbraw/zinc/72/54/08/574725408.db2.gz NTLKIVOFDLIYOS-UHFFFAOYSA-N 0 1 315.808 3.194 20 30 DGEDMN Cn1nnc2cc(C=NNc3nc4ccccc4s3)ccc21 ZINC000737416811 574842020 /nfs/dbraw/zinc/84/20/20/574842020.db2.gz VCDDPILGDJLEDC-UHFFFAOYSA-N 0 1 308.370 3.024 20 30 DGEDMN Cn1nnc2cc(C=[NH+][N-]c3nc4ccccc4s3)ccc21 ZINC000737416811 574842021 /nfs/dbraw/zinc/84/20/21/574842021.db2.gz VCDDPILGDJLEDC-UHFFFAOYSA-N 0 1 308.370 3.024 20 30 DGEDMN COc1ccc2cc(CN=Nc3ccnc(N(C)C)n3)ccc2c1 ZINC000739413829 574902560 /nfs/dbraw/zinc/90/25/60/574902560.db2.gz QHVCGSQPTGIVTC-UHFFFAOYSA-N 0 1 321.384 3.150 20 30 DGEDMN CCc1cccc2c(C=NN=c3cc[nH]c(N(C)C)n3)c[nH]c21 ZINC000739414510 574902566 /nfs/dbraw/zinc/90/25/66/574902566.db2.gz ZHRIFKTXWSJVJM-UHFFFAOYSA-N 0 1 308.389 3.032 20 30 DGEDMN C=C(Br)CN(CCC)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000741054451 574950214 /nfs/dbraw/zinc/95/02/14/574950214.db2.gz OMQGKQXSIXOREN-JHJVBQTASA-N 0 1 302.256 3.421 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)CN(C)CCCCCC ZINC000741725437 574967749 /nfs/dbraw/zinc/96/77/49/574967749.db2.gz AYKKISXQJKVCQS-UHFFFAOYSA-N 0 1 320.408 3.288 20 30 DGEDMN COc1ccc(CNC(C)(C)C(=O)Nc2ccccc2)cc1C#N ZINC000742370092 574992840 /nfs/dbraw/zinc/99/28/40/574992840.db2.gz QZOWBRQTBGNUNM-UHFFFAOYSA-N 0 1 323.396 3.074 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2ccc(-n3cccn3)cc2)c1 ZINC000742381800 574994642 /nfs/dbraw/zinc/99/46/42/574994642.db2.gz KHLCHDPJXUIRFT-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN Cc1ccc([N+](=O)[O-])cc1NN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000743437923 575051386 /nfs/dbraw/zinc/05/13/86/575051386.db2.gz YKQDOKLULZLDSR-UHFFFAOYSA-N 0 1 322.328 3.134 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)c1cccc(F)c1 ZINC000744209210 575078775 /nfs/dbraw/zinc/07/87/75/575078775.db2.gz YEASFUNGXXODNA-GOSISDBHSA-N 0 1 301.336 3.134 20 30 DGEDMN C=C(C)CNC(=S)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000729650530 575259677 /nfs/dbraw/zinc/25/96/77/575259677.db2.gz RICANOGOQAIHTN-UHFFFAOYSA-N 0 1 317.502 3.033 20 30 DGEDMN C[C@H](NCc1cccc2c1OCCO2)c1ccc(OCC#N)cc1 ZINC000729685594 575260628 /nfs/dbraw/zinc/26/06/28/575260628.db2.gz BHIGCFAWDSZPMB-AWEZNQCLSA-N 0 1 324.380 3.211 20 30 DGEDMN C/C(=C\C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596459 575403966 /nfs/dbraw/zinc/40/39/66/575403966.db2.gz BIJHTNCSYAWUGZ-JXPAYYINSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C\C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596459 575403969 /nfs/dbraw/zinc/40/39/69/575403969.db2.gz BIJHTNCSYAWUGZ-JXPAYYINSA-N 0 1 320.414 3.163 20 30 DGEDMN COc1ccc(CNCc2c[nH]c(-c3ccccc3)n2)cc1C#N ZINC000746740848 575473001 /nfs/dbraw/zinc/47/30/01/575473001.db2.gz IKYZWNJBRIXQCV-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN COc1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1C#N ZINC000746740848 575473003 /nfs/dbraw/zinc/47/30/03/575473003.db2.gz IKYZWNJBRIXQCV-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN Cc1nn(C)c2ncc(C=NNc3nc4ccccc4s3)cc12 ZINC000747601993 575526823 /nfs/dbraw/zinc/52/68/23/575526823.db2.gz HVHGEBCKTSAQGZ-UHFFFAOYSA-N 0 1 322.397 3.332 20 30 DGEDMN Cc1nn(C)c2ncc(C=[NH+][N-]c3nc4ccccc4s3)cc12 ZINC000747601993 575526826 /nfs/dbraw/zinc/52/68/26/575526826.db2.gz HVHGEBCKTSAQGZ-UHFFFAOYSA-N 0 1 322.397 3.332 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(-c3ccc(F)cc3)[nH]n2)c1 ZINC000748410933 575585271 /nfs/dbraw/zinc/58/52/71/575585271.db2.gz HAIWZYXKOJORNV-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN Cc1ccnn1-c1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000748411177 575585344 /nfs/dbraw/zinc/58/53/44/575585344.db2.gz YOXDPLBLGXLZLU-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C[N@H+]1CCC[C@@H]1C(=O)Nc1ccc([C@H](C#N)c2ccccc2)cc1 ZINC000748558813 575593487 /nfs/dbraw/zinc/59/34/87/575593487.db2.gz OKLXMJBEAJVWHI-RTBURBONSA-N 0 1 319.408 3.375 20 30 DGEDMN CN1CCC[C@@H]1C(=O)Nc1ccc([C@H](C#N)c2ccccc2)cc1 ZINC000748558813 575593489 /nfs/dbraw/zinc/59/34/89/575593489.db2.gz OKLXMJBEAJVWHI-RTBURBONSA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1cccc(C2(O)CCN(Cc3ccnc(C#N)c3)CC2)c1C ZINC000749514639 575662139 /nfs/dbraw/zinc/66/21/39/575662139.db2.gz QTOOJYKPIGRGEF-UHFFFAOYSA-N 0 1 321.424 3.054 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000749507741 575662166 /nfs/dbraw/zinc/66/21/66/575662166.db2.gz DBVAFWHYWKGRLE-GOSISDBHSA-N 0 1 324.509 3.308 20 30 DGEDMN Oc1c(F)cc(C=NNc2nc3cc(F)c(F)cc3[nH]2)cc1F ZINC000749859316 575683398 /nfs/dbraw/zinc/68/33/98/575683398.db2.gz OXOHRQROOUHKMN-UHFFFAOYSA-N 0 1 324.237 3.271 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H](C)C2CCCC2)c1 ZINC000750684452 575746007 /nfs/dbraw/zinc/74/60/07/575746007.db2.gz QMNQNABWFORAPY-MLGOLLRUSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1cccc(CN=Nc2ccc([N+](=O)[O-])cc2Cl)c1O ZINC000727652249 576136784 /nfs/dbraw/zinc/13/67/84/576136784.db2.gz XCVSVHYNUJLKEU-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)[C@H]2CCCN2Cc2ccccc2)c1 ZINC000728481684 576184392 /nfs/dbraw/zinc/18/43/92/576184392.db2.gz QQCRAWHCXPMUCZ-HXUWFJFHSA-N 0 1 318.420 3.295 20 30 DGEDMN CC(C)[C@H](NC[C@H](C#N)CCC#N)[C@@H](O)c1ccc(Cl)cc1 ZINC000852732606 620644256 /nfs/dbraw/zinc/64/42/56/620644256.db2.gz VBDRUBKJIJSUDC-JQFCIGGWSA-N 0 1 319.836 3.431 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(C#N)cc1C(F)(F)F ZINC000852750360 620645497 /nfs/dbraw/zinc/64/54/97/620645497.db2.gz RQDZHDKEALTWLL-UHFFFAOYSA-N 0 1 310.319 3.049 20 30 DGEDMN COc1cc(Cl)c(C)cc1NC(=O)C(C#N)C(=O)CC1CC1 ZINC000916902381 620649225 /nfs/dbraw/zinc/64/92/25/620649225.db2.gz GCJKXJVFRZTXPU-NSHDSACASA-N 0 1 320.776 3.105 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)CC(C)(C)C ZINC000916889532 620649249 /nfs/dbraw/zinc/64/92/49/620649249.db2.gz TYFNVSABWJWKGF-GDBMZVCRSA-N 0 1 314.429 3.474 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)CSc1ccc(C(C)C)cc1 ZINC000916951575 620650650 /nfs/dbraw/zinc/65/06/50/620650650.db2.gz KJCTWAAJSJGPBJ-OAHLLOKOSA-N 0 1 318.442 3.136 20 30 DGEDMN CC(C)[C@@H](C)[N@H+](C)CC(=O)[C@H](C#N)c1nc2ccccc2s1 ZINC000917102100 620656969 /nfs/dbraw/zinc/65/69/69/620656969.db2.gz XMZCDBXVIWBDKM-OLZOCXBDSA-N 0 1 315.442 3.449 20 30 DGEDMN COc1cccc(O)c1/C=N\C[C@@H]1CCN1Cc1ccccc1 ZINC000852997654 620658408 /nfs/dbraw/zinc/65/84/08/620658408.db2.gz AINVBJLKYSIGKH-SMEDBKPVSA-N 0 1 310.397 3.094 20 30 DGEDMN COc1ccc(O)c(/C=N/C[C@H]2CCN2Cc2ccccc2)c1 ZINC000852997945 620658670 /nfs/dbraw/zinc/65/86/70/620658670.db2.gz FKTPPCNKYQHVGQ-XNHPLOEXSA-N 0 1 310.397 3.094 20 30 DGEDMN Clc1cccnc1N=NCc1ccc(N2CCOCC2)cc1 ZINC000917549003 620675115 /nfs/dbraw/zinc/67/51/15/620675115.db2.gz GBNRCAFFFOMIAO-UHFFFAOYSA-N 0 1 316.792 3.018 20 30 DGEDMN COCCn1c(C)cc(CN=Nc2ccnc(Cl)c2)c1C ZINC000853394676 620692278 /nfs/dbraw/zinc/69/22/78/620692278.db2.gz WKHMHSPEEPTRBE-UHFFFAOYSA-N 0 1 306.797 3.246 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@](C)(C3CC3)C2)c1O ZINC000853528423 620706837 /nfs/dbraw/zinc/70/68/37/620706837.db2.gz PWDDHLFCSWAZEO-IBGZPJMESA-N 0 1 317.429 3.130 20 30 DGEDMN C#Cc1cccnc1NC[C@@H]1CSCCN1Cc1ccccc1 ZINC000853533346 620707449 /nfs/dbraw/zinc/70/74/49/620707449.db2.gz CMIZGUWDBGIRLI-GOSISDBHSA-N 0 1 323.465 3.092 20 30 DGEDMN C#Cc1cccnc1NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000853715437 620728472 /nfs/dbraw/zinc/72/84/72/620728472.db2.gz IXYSBPFPDUFCEO-UHFFFAOYSA-N 0 1 316.408 3.011 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)CCCc1ccc(C2CC2)cc1 ZINC000892498902 618083152 /nfs/dbraw/zinc/08/31/52/618083152.db2.gz OWOOSFYDQXZMDB-QGZVFWFLSA-N 0 1 312.413 3.120 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1ccc(-c2ccc(C=O)o2)cc1O ZINC000890658759 617635951 /nfs/dbraw/zinc/63/59/51/617635951.db2.gz SFXJMYFUFJWZLB-CQSZACIVSA-N 0 1 323.348 3.093 20 30 DGEDMN C=CCCCN(CC)C(=O)c1n[nH]c(CC)c1Br ZINC000890813275 617684584 /nfs/dbraw/zinc/68/45/84/617684584.db2.gz YKUGEIFMVQNRHT-UHFFFAOYSA-N 0 1 314.227 3.163 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813218192 617722629 /nfs/dbraw/zinc/72/26/29/617722629.db2.gz SUTNIJABHCBQHQ-WPRPVWTQSA-N 0 1 300.305 3.030 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2cc(F)ccc2O)c(OC)c1 ZINC000033774982 620755291 /nfs/dbraw/zinc/75/52/91/620755291.db2.gz RMZSMFSMFKTHAX-DAXSKMNVSA-N 0 1 302.301 3.445 20 30 DGEDMN CCN(CCC#N)C[C@@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000891579269 617842500 /nfs/dbraw/zinc/84/25/00/617842500.db2.gz WHUDYBYHIXGTTF-QGZVFWFLSA-N 0 1 309.454 3.259 20 30 DGEDMN Cn1cc(CN2CC=C(c3ccc(C#N)cc3)CC2)c(C2CC2)n1 ZINC000892245768 617994608 /nfs/dbraw/zinc/99/46/08/617994608.db2.gz GZSSQWWXJWPYAR-UHFFFAOYSA-N 0 1 318.424 3.458 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC2(CCC2)O1)c1nc2ccccc2[nH]1 ZINC000892419830 618062150 /nfs/dbraw/zinc/06/21/50/618062150.db2.gz IGFQMYRONJPJES-STQMWFEESA-N 0 1 309.369 3.231 20 30 DGEDMN CC(C)C(=O)Nc1ccc(CNCC#Cc2ccccc2)cc1 ZINC000892492685 618081014 /nfs/dbraw/zinc/08/10/14/618081014.db2.gz STOFSVGEDKDLQS-UHFFFAOYSA-N 0 1 306.409 3.422 20 30 DGEDMN N#CC(C(=O)C[C@@H]1C[C@H]1C1CC1)C(=O)Nc1ccc(Cl)cc1 ZINC000892493963 618081428 /nfs/dbraw/zinc/08/14/28/618081428.db2.gz WEBUGOIAZKZYDG-TUKIKUTGSA-N 0 1 316.788 3.424 20 30 DGEDMN N#CC(C(=O)CCCCc1ccccc1)C(=O)Nc1ccccn1 ZINC000892550366 618106378 /nfs/dbraw/zinc/10/63/78/618106378.db2.gz HMIVHYYALPBCAV-INIZCTEOSA-N 0 1 321.380 3.142 20 30 DGEDMN Cc1cc(C2(C(=O)C(C#N)c3nc4ccccc4o3)CC2)on1 ZINC000892553438 618107352 /nfs/dbraw/zinc/10/73/52/618107352.db2.gz NEAAFTMAKDROIN-NSHDSACASA-N 0 1 307.309 3.032 20 30 DGEDMN CC[C@@H](C)NC(=O)c1cccc(CNCC#Cc2ccccc2)c1 ZINC000892603741 618117065 /nfs/dbraw/zinc/11/70/65/618117065.db2.gz SLMCLHQKOZXDLF-QGZVFWFLSA-N 0 1 320.436 3.356 20 30 DGEDMN N#Cc1cccc(SCCCNC(=O)C(F)(F)F)c1Cl ZINC000920283259 620794038 /nfs/dbraw/zinc/79/40/38/620794038.db2.gz XTVWKBHJZQKONZ-UHFFFAOYSA-N 0 1 322.739 3.372 20 30 DGEDMN C#CCSCCNCc1nc(-c2cccc(OC)c2)cs1 ZINC000892992964 618382006 /nfs/dbraw/zinc/38/20/06/618382006.db2.gz MWUXFWVXQNKDHB-UHFFFAOYSA-N 0 1 318.467 3.275 20 30 DGEDMN C=CCN(CCC#N)Cc1cc(Br)cc(F)c1O ZINC000894839764 618472712 /nfs/dbraw/zinc/47/27/12/618472712.db2.gz SSPOBNDCQZGFTL-UHFFFAOYSA-N 0 1 313.170 3.195 20 30 DGEDMN C#C[C@@H](NCc1n[nH]c(C)c1C)c1ccc(Br)cc1 ZINC000894870038 618483693 /nfs/dbraw/zinc/48/36/93/618483693.db2.gz HHPMNZQLLOMQDI-CQSZACIVSA-N 0 1 318.218 3.253 20 30 DGEDMN C=CCC[C@@H](CC)Nc1ccc2c(c1)nc(C)n2C(CO)CO ZINC000894920545 618499105 /nfs/dbraw/zinc/49/91/05/618499105.db2.gz DHOOERUJBQNWEB-CQSZACIVSA-N 0 1 317.433 3.027 20 30 DGEDMN COc1ccc(NN=Cc2ncc(Cl)s2)c([N+](=O)[O-])c1 ZINC000814881598 618524292 /nfs/dbraw/zinc/52/42/92/618524292.db2.gz ZXQIKPBPYNBRBS-UHFFFAOYSA-N 0 1 312.738 3.159 20 30 DGEDMN COC(=O)c1[nH]c2ccccc2c1CN1CCC[C@H](CC#N)C1 ZINC000895228153 618577807 /nfs/dbraw/zinc/57/78/07/618577807.db2.gz IXPNLGUYGAKCNF-CYBMUJFWSA-N 0 1 311.385 3.080 20 30 DGEDMN CCN(CC#N)Cc1cc(Cl)cc(Br)c1O ZINC000893970085 618684719 /nfs/dbraw/zinc/68/47/19/618684719.db2.gz ZISZXUCPSZQCGJ-UHFFFAOYSA-N 0 1 303.587 3.154 20 30 DGEDMN C[C@H](C#N)C[N@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC000893996167 618689074 /nfs/dbraw/zinc/68/90/74/618689074.db2.gz ZTARWNIIVFIAFB-MRVPVSSYSA-N 0 1 317.614 3.400 20 30 DGEDMN C[C@H](C#N)C[N@@H+](C)Cc1cc(Cl)cc(Br)c1[O-] ZINC000893996167 618689076 /nfs/dbraw/zinc/68/90/76/618689076.db2.gz ZTARWNIIVFIAFB-MRVPVSSYSA-N 0 1 317.614 3.400 20 30 DGEDMN COc1ccc(-n2ccc(CN[C@@H](C)CC(C)(C)C#N)n2)cc1 ZINC000924951904 618747773 /nfs/dbraw/zinc/74/77/73/618747773.db2.gz UBNRWSVRMVSPOA-AWEZNQCLSA-N 0 1 312.417 3.299 20 30 DGEDMN C#CC[C@H]1CC[N@H+](Cc2cc(Br)cc(F)c2[O-])C1 ZINC000895390624 618778153 /nfs/dbraw/zinc/77/81/53/618778153.db2.gz NYSLKFFBTJBHTL-JTQLQIEISA-N 0 1 312.182 3.139 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@](C)(CN2CC[C@](C)(C#N)C2)C1 ZINC000895450222 618786336 /nfs/dbraw/zinc/78/63/36/618786336.db2.gz DIWFNYAYDXDUSM-MSOLQXFVSA-N 0 1 321.465 3.259 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H](c2ccco2)N2CCCCC2)cc1 ZINC000895456042 618786750 /nfs/dbraw/zinc/78/67/50/618786750.db2.gz AMVXIQKDJGHKGR-SFHVURJKSA-N 0 1 322.408 3.218 20 30 DGEDMN N#Cc1cc2ccccc2nc1N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000895571162 618799928 /nfs/dbraw/zinc/79/99/28/618799928.db2.gz CGYWMZQTUIPROA-SJORKVTESA-N 0 1 324.453 3.098 20 30 DGEDMN C#CCC1(O)CCN(Cc2cc3ccnc(Cl)c3s2)CC1 ZINC000895670004 618816006 /nfs/dbraw/zinc/81/60/06/618816006.db2.gz JBPOYRMOOPQTKZ-UHFFFAOYSA-N 0 1 320.845 3.300 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1nc2c(s1)CCCC2 ZINC000896825664 618965876 /nfs/dbraw/zinc/96/58/76/618965876.db2.gz WWKRIDHDKVMZEP-ZDUSSCGKSA-N 0 1 319.474 3.210 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@H]2CCCC3(CCC3)O2)c1 ZINC000896918297 618985294 /nfs/dbraw/zinc/98/52/94/618985294.db2.gz ODBNZCNZHMXXGQ-CQSZACIVSA-N 0 1 305.809 3.188 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cnc(C(C)(C)C)nc2)c(O)c1 ZINC000840716227 619032717 /nfs/dbraw/zinc/03/27/17/619032717.db2.gz KDPPOONDSBQLER-YVMONPNESA-N 0 1 312.369 3.384 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000897268790 619040075 /nfs/dbraw/zinc/04/00/75/619040075.db2.gz QPVJCBAGORIHQK-HNNXBMFYSA-N 0 1 314.433 3.135 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cccc(C#N)n3)CC2)cc1 ZINC000897588565 619163391 /nfs/dbraw/zinc/16/33/91/619163391.db2.gz GMIQEFYDGMQKRQ-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(c3ccc(C#N)cc3)CC2)[nH]1 ZINC000897589271 619163444 /nfs/dbraw/zinc/16/34/44/619163444.db2.gz VMCOIWGRQGQRBV-UHFFFAOYSA-N 0 1 323.396 3.053 20 30 DGEDMN C[C@@H](CN1CCC2(CC1)CC(=O)C=CO2)c1cc(F)cc(F)c1 ZINC000897599171 619165135 /nfs/dbraw/zinc/16/51/35/619165135.db2.gz DMNXPFQZCAUIOV-ZDUSSCGKSA-N 0 1 321.367 3.406 20 30 DGEDMN Cc1cc(CN2CCC3(CC2)CC(=O)C=CO3)ccc1Cl ZINC000897602762 619165148 /nfs/dbraw/zinc/16/51/48/619165148.db2.gz VEUSEUUFOYBKIP-UHFFFAOYSA-N 0 1 305.805 3.486 20 30 DGEDMN Cc1cccc2[nH]c(CN3CCC4(CC3)CC(=O)C=CO4)cc21 ZINC000897599213 619165299 /nfs/dbraw/zinc/16/52/99/619165299.db2.gz FSNRSMORCHBIGM-UHFFFAOYSA-N 0 1 310.397 3.314 20 30 DGEDMN C=CCOc1cccc(CN2CCC3(CC2)CC(=O)C=CO3)c1 ZINC000897601972 619165716 /nfs/dbraw/zinc/16/57/16/619165716.db2.gz DEQPDBQJHBXUBO-UHFFFAOYSA-N 0 1 313.397 3.089 20 30 DGEDMN CCOc1ccc(O)c(CN(C)Cc2cc(C#N)cs2)c1 ZINC000897625106 619168490 /nfs/dbraw/zinc/16/84/90/619168490.db2.gz DAIPSFLOLHBFDF-UHFFFAOYSA-N 0 1 302.399 3.356 20 30 DGEDMN CSc1ccccc1C[C@H](C)NCc1nc(C#N)cs1 ZINC000897628032 619169118 /nfs/dbraw/zinc/16/91/18/619169118.db2.gz ASEPLHUHUDWOOI-NSHDSACASA-N 0 1 303.456 3.457 20 30 DGEDMN CC(C)(C)N1CC[C@@H]1C(=O)N[C@H](CCCC#N)c1ccccc1 ZINC000897840171 619187311 /nfs/dbraw/zinc/18/73/11/619187311.db2.gz AJPFWPGTAVZIEH-IAGOWNOFSA-N 0 1 313.445 3.411 20 30 DGEDMN COc1ccc(CN(CCCO)Cc2cc(C#N)cs2)cc1 ZINC000898173902 619220653 /nfs/dbraw/zinc/22/06/53/619220653.db2.gz VGDNXUAZYVUSOI-UHFFFAOYSA-N 0 1 316.426 3.013 20 30 DGEDMN C#CCCc1cc(=O)n(-c2cc(C)ccc2Br)[nH]1 ZINC000899353086 619327999 /nfs/dbraw/zinc/32/79/99/619327999.db2.gz IOHVYEVJMXXGTB-UHFFFAOYSA-N 0 1 305.175 3.264 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1cnn(C2CCC2)c1 ZINC000899408265 619337927 /nfs/dbraw/zinc/33/79/27/619337927.db2.gz XHPXTTFXCFWKFL-QPJJXVBHSA-N 0 1 313.313 3.118 20 30 DGEDMN CC(C)N(Cc1cn(CC2(C#N)CCCCC2)nn1)C(C)C ZINC000899724738 619366892 /nfs/dbraw/zinc/36/68/92/619366892.db2.gz JPMDGCLNQTYSRY-UHFFFAOYSA-N 0 1 303.454 3.371 20 30 DGEDMN C=CCOc1cccc(C[NH2+]Cc2nc(C(=O)[O-])c(C)s2)c1 ZINC000900064536 619401724 /nfs/dbraw/zinc/40/17/24/619401724.db2.gz NOVKLIJTPHUCAQ-UHFFFAOYSA-N 0 1 318.398 3.004 20 30 DGEDMN C#CCCCCCCn1cc([C@@H](N)c2ccc(F)cc2)nn1 ZINC000900644073 619444113 /nfs/dbraw/zinc/44/41/13/619444113.db2.gz SLWPLGFAUWKSJJ-KRWDZBQOSA-N 0 1 300.381 3.049 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000901040608 619468636 /nfs/dbraw/zinc/46/86/36/619468636.db2.gz SAZYGQKQXWBCSE-RISCZKNCSA-N 0 1 323.400 3.018 20 30 DGEDMN Cc1cc(N=NCc2cnc(CC(F)(F)F)s2)nc(C)n1 ZINC000901169385 619476157 /nfs/dbraw/zinc/47/61/57/619476157.db2.gz BNEOXKJBNOINME-UHFFFAOYSA-N 0 1 315.324 3.101 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@@H](C)[C@](C)(C(=O)[O-])C2)cc1Cl ZINC000901542587 619513557 /nfs/dbraw/zinc/51/35/57/619513557.db2.gz OEIFCPYZFUAPCU-SJKOYZFVSA-N 0 1 323.820 3.447 20 30 DGEDMN C#CCCCCCC[N@H+]1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000901552055 619518829 /nfs/dbraw/zinc/51/88/29/619518829.db2.gz QCEOCHLBDSVCRO-UHFFFAOYSA-N 0 1 315.413 3.335 20 30 DGEDMN C=CCOC[C@H]([NH2+]Cc1ccc(-c2ccc(C)cc2)o1)C(=O)[O-] ZINC000901810099 619548721 /nfs/dbraw/zinc/54/87/21/619548721.db2.gz WMMIAULGSOCKFP-INIZCTEOSA-N 0 1 315.369 3.000 20 30 DGEDMN C=CCOc1cccc(C[N@H+]2CC3(CCC3)[C@@](F)(C(=O)[O-])C2)c1 ZINC000901917262 619560510 /nfs/dbraw/zinc/56/05/10/619560510.db2.gz XXDMOOFORRLOJZ-SFHVURJKSA-N 0 1 319.376 3.030 20 30 DGEDMN CC(=NNCCc1ccncc1)c1[nH]c(-c2ccccc2)nc1C ZINC000789084826 625356608 /nfs/dbraw/zinc/35/66/08/625356608.db2.gz DLDKFPSPEKNBTD-UHFFFAOYSA-N 0 1 319.412 3.336 20 30 DGEDMN C(=NOCc1ccsc1)c1ccc(N2CCOCC2)cc1 ZINC000902149412 619595786 /nfs/dbraw/zinc/59/57/86/619595786.db2.gz VWAGPGRNRAVSFT-UHFFFAOYSA-N 0 1 302.399 3.135 20 30 DGEDMN C=C[C@@H]1CCCC[N@@H+]1Cc1cc(C)c(OCC(=O)[O-])c(C)c1 ZINC000902544192 619648134 /nfs/dbraw/zinc/64/81/34/619648134.db2.gz CHMROOGGQRNVHJ-MRXNPFEDSA-N 0 1 303.402 3.307 20 30 DGEDMN C[C@@H](NCc1ccc(OC(F)F)cc1)c1cc(C#N)ccn1 ZINC000902725707 619666058 /nfs/dbraw/zinc/66/60/58/619666058.db2.gz FHXLCEHQFJNVBG-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1cc(C#N)ccn1 ZINC000902750641 619669879 /nfs/dbraw/zinc/66/98/79/619669879.db2.gz KATPCSCXGCBUTQ-QWHCGFSZSA-N 0 1 320.396 3.250 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccc2c(c1)CCC(=O)N2 ZINC000902750640 619669949 /nfs/dbraw/zinc/66/99/49/619669949.db2.gz KATPCSCXGCBUTQ-OLZOCXBDSA-N 0 1 320.396 3.250 20 30 DGEDMN CCc1nn(C)c(OC)c1CN[C@@H](CC)c1cccc(C#N)c1 ZINC000902849042 619683822 /nfs/dbraw/zinc/68/38/22/619683822.db2.gz DWPWMNYNHGJWAK-INIZCTEOSA-N 0 1 312.417 3.104 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](NCC1(C#N)CC1)CCCC2 ZINC000903108364 619718264 /nfs/dbraw/zinc/71/82/64/619718264.db2.gz ATFOJJQMNOYBDW-OAHLLOKOSA-N 0 1 300.402 3.365 20 30 DGEDMN C=CCC[C@H](NCc1nc(C#N)cs1)[C@]1(C)CCCCO1 ZINC000903264904 619747907 /nfs/dbraw/zinc/74/79/07/619747907.db2.gz XMKCVCFRXXSZEC-HOCLYGCPSA-N 0 1 305.447 3.398 20 30 DGEDMN C=CCC[C@@H](NCc1cc(C(F)(F)F)n(C)n1)[C@@H]1CCCO1 ZINC000903337137 619759933 /nfs/dbraw/zinc/75/99/33/619759933.db2.gz SQTVHHYIRWEWON-OLZOCXBDSA-N 0 1 317.355 3.042 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCC[C@@H](CCC(=O)[O-])C1 ZINC000903405292 619771376 /nfs/dbraw/zinc/77/13/76/619771376.db2.gz PCRFQGXJXPTTAL-HNNXBMFYSA-N 0 1 300.402 3.252 20 30 DGEDMN CC(C)c1cncc(NS(=O)(=O)c2cccc(CC#N)c2)c1 ZINC000903660945 619793366 /nfs/dbraw/zinc/79/33/66/619793366.db2.gz IFPWTAOBLMIWRY-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN N#C[C@]1(c2nc(-c3ccn4cncc4c3)no2)CC2CCC1CC2 ZINC000903688376 619795930 /nfs/dbraw/zinc/79/59/30/619795930.db2.gz WRRIQRCSHWXJMO-OOKWDQIISA-N 0 1 319.368 3.356 20 30 DGEDMN C=C[C@H](CC)Cc1nc(Cc2nnc(-c3ccccc3)[nH]2)no1 ZINC000904000388 619850805 /nfs/dbraw/zinc/85/08/05/619850805.db2.gz RVKIGVFFTYNPMM-GFCCVEGCSA-N 0 1 309.373 3.200 20 30 DGEDMN CCN(C(=O)[C@@H]1CCCN1C(C)C)c1ccc(C#N)c(Cl)c1 ZINC000904036037 619862103 /nfs/dbraw/zinc/86/21/03/619862103.db2.gz KKOMICRTZFJCBS-INIZCTEOSA-N 0 1 319.836 3.437 20 30 DGEDMN O=C([C@H]1CCN1C1CCCC1)N(O)Cc1ccccc1Cl ZINC000904192539 619895621 /nfs/dbraw/zinc/89/56/21/619895621.db2.gz PICAAZRVIJNGSK-OAHLLOKOSA-N 0 1 308.809 3.075 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cnc(Cl)n2C)c1 ZINC000905078089 619967967 /nfs/dbraw/zinc/96/79/67/619967967.db2.gz KNTAMOYNPGNOKH-BQYQJAHWSA-N 0 1 303.793 3.031 20 30 DGEDMN O=C(/C=C\c1ccc(N2CCC(O)CC2)cc1)c1ccccc1O ZINC000905075217 619970797 /nfs/dbraw/zinc/97/07/97/619970797.db2.gz TXXUPAKLIYQFAK-YFHOEESVSA-N 0 1 323.392 3.249 20 30 DGEDMN O=C1C=COC2(CCN([C@H]3C[C@H]3c3cccc(F)c3)CC2)C1 ZINC000906598757 620109978 /nfs/dbraw/zinc/10/99/78/620109978.db2.gz ZHKVBKFAOXSBPW-IRXDYDNUSA-N 0 1 301.361 3.019 20 30 DGEDMN CC(C)C#CC(=O)N(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000912444694 620388010 /nfs/dbraw/zinc/38/80/10/620388010.db2.gz BVXTWQGDLMKYER-UHFFFAOYSA-N 0 1 323.318 3.200 20 30 DGEDMN C#CCNCC(=O)N(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000912496096 620389403 /nfs/dbraw/zinc/38/94/03/620389403.db2.gz RIAGZOXGCFYBMF-HNNXBMFYSA-N 0 1 312.438 3.061 20 30 DGEDMN CC(C)=CCSc1ccccc1C(=O)NCC#CCN(C)C ZINC000913519133 620447537 /nfs/dbraw/zinc/44/75/37/620447537.db2.gz OWVQIRIWAZWABX-UHFFFAOYSA-N 0 1 316.470 3.040 20 30 DGEDMN CC1(C)CCCC[C@H]1CC(=O)[C@H](C#N)C(=O)NC1CCCC1 ZINC000152458528 620508065 /nfs/dbraw/zinc/50/80/65/620508065.db2.gz RVTGNSWQJUOGEV-ZFWWWQNUSA-N 0 1 304.434 3.361 20 30 DGEDMN CC1(C)CCCC[C@H]1CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000152702867 620518162 /nfs/dbraw/zinc/51/81/62/620518162.db2.gz JAYICLMBAWTXJX-KBPBESRZSA-N 0 1 313.401 3.335 20 30 DGEDMN C=CC1CCN(C[C@@H](O)c2ccccc2Br)CC1 ZINC000152979245 620530581 /nfs/dbraw/zinc/53/05/81/620530581.db2.gz LKIPCLGVMMYXFR-OAHLLOKOSA-N 0 1 310.235 3.381 20 30 DGEDMN N#CCC1(COC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)CC1 ZINC000153013089 620531048 /nfs/dbraw/zinc/53/10/48/620531048.db2.gz JHSZTEMMXGJUIZ-UHFFFAOYSA-N 0 1 322.368 3.200 20 30 DGEDMN CC(C)[C@@H](C#N)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000152993752 620531096 /nfs/dbraw/zinc/53/10/96/620531096.db2.gz PULAHVVKAJZUCL-CQSZACIVSA-N 0 1 310.357 3.054 20 30 DGEDMN CC(=NOC[C@H]1CCOC1)c1ccc(N2CCCCC2)cc1 ZINC000788029690 620546550 /nfs/dbraw/zinc/54/65/50/620546550.db2.gz HUKYBIQPLWKJOO-INIZCTEOSA-N 0 1 302.418 3.454 20 30 DGEDMN CCC(N=Nc1ccc(S(C)(=O)=O)cc1)c1ccc(F)cc1 ZINC000914834721 620556426 /nfs/dbraw/zinc/55/64/26/620556426.db2.gz PITWKPUWHORXRG-UHFFFAOYSA-N 0 1 320.389 3.455 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\C[C@@H](O)c1ccco1 ZINC000915112670 620568544 /nfs/dbraw/zinc/56/85/44/620568544.db2.gz BTABGDXYSXRBGO-HYDYUIDNSA-N 0 1 300.141 3.445 20 30 DGEDMN Clc1cc(Cl)c(NN=Cc2csnn2)nc1Cl ZINC000915946952 620607835 /nfs/dbraw/zinc/60/78/35/620607835.db2.gz XFFGHXIVMDUMPA-UHFFFAOYSA-N 0 1 308.581 3.339 20 30 DGEDMN Fc1cccc2c1SCCC2=NNCCN1CCCCC1 ZINC000915973477 620610313 /nfs/dbraw/zinc/61/03/13/620610313.db2.gz JOTMBUKFGZIGPQ-UHFFFAOYSA-N 0 1 307.438 3.101 20 30 DGEDMN COC(=O)c1cc(C=NNc2nccc3sccc32)oc1C ZINC000915979275 620610701 /nfs/dbraw/zinc/61/07/01/620610701.db2.gz IPXXWXGKSXPOLY-UHFFFAOYSA-N 0 1 315.354 3.430 20 30 DGEDMN CC[C@@H](C#N)Oc1cc(CNCc2ccco2)ccc1OC ZINC000113347773 620904848 /nfs/dbraw/zinc/90/48/48/620904848.db2.gz YXODMJJOQSZMNO-AWEZNQCLSA-N 0 1 300.358 3.259 20 30 DGEDMN N#Cc1cc(CNCc2ccnc(N3CCCCC3)c2)cs1 ZINC000921546059 620905881 /nfs/dbraw/zinc/90/58/81/620905881.db2.gz ILELAAYEKIKOAJ-UHFFFAOYSA-N 0 1 312.442 3.295 20 30 DGEDMN COc1ccc([C@H](C)NCc2csc(C#N)c2)cc1OC ZINC000921560905 620909483 /nfs/dbraw/zinc/90/94/83/620909483.db2.gz KOTWKMSKKJWYGG-NSHDSACASA-N 0 1 302.399 3.488 20 30 DGEDMN COc1nc(C)cc(C)c1CNCc1c(C)cc(C#N)cc1C ZINC000921666739 620929961 /nfs/dbraw/zinc/92/99/61/620929961.db2.gz HUVNOBNMFPKLNS-UHFFFAOYSA-N 0 1 309.413 3.485 20 30 DGEDMN Cc1ccn2cc(CNCc3c(C)cc(C#N)cc3C)nc2c1 ZINC000921688388 620934104 /nfs/dbraw/zinc/93/41/04/620934104.db2.gz WHARWVFDCXBXEN-UHFFFAOYSA-N 0 1 304.397 3.421 20 30 DGEDMN Cn1cc(CNCc2ccc(OCC#N)cc2)c(C2CCCC2)n1 ZINC000921692061 620935442 /nfs/dbraw/zinc/93/54/42/620935442.db2.gz CFRNLGVQZJTPRR-UHFFFAOYSA-N 0 1 324.428 3.270 20 30 DGEDMN C[C@H](NCc1csc(C#N)c1)c1ccc([S@](C)=O)cc1 ZINC000921931293 620969887 /nfs/dbraw/zinc/96/98/87/620969887.db2.gz FDZLTSAZXVKAEI-YBTHPKLGSA-N 0 1 304.440 3.208 20 30 DGEDMN COc1ccc(OC)c(/C=C/C(=O)c2ccc(O)c(F)c2)c1 ZINC000114061732 620978270 /nfs/dbraw/zinc/97/82/70/620978270.db2.gz XRGIIIILOMNALZ-GQCTYLIASA-N 0 1 302.301 3.445 20 30 DGEDMN N#Cc1ccc(Br)c(CNCCOCC2CCC2)c1 ZINC000921998794 620978370 /nfs/dbraw/zinc/97/83/70/620978370.db2.gz FGUNTSGQPCJFKE-UHFFFAOYSA-N 0 1 323.234 3.227 20 30 DGEDMN C#C[C@@H](NCc1cccc(Oc2ccccn2)c1)[C@@H]1CCCO1 ZINC000922013209 620980553 /nfs/dbraw/zinc/98/05/53/620980553.db2.gz RRIYHADDVZPBKN-MSOLQXFVSA-N 0 1 308.381 3.144 20 30 DGEDMN N#Cc1ccc(Br)c(CNC[C@H]2CCC=CO2)c1 ZINC000922034269 620982673 /nfs/dbraw/zinc/98/26/73/620982673.db2.gz GNKZTZXNISFGSE-CYBMUJFWSA-N 0 1 307.191 3.103 20 30 DGEDMN C[C@H](COCC1CC1)NCc1cc(C#N)ccc1Br ZINC000922219768 621007746 /nfs/dbraw/zinc/00/77/46/621007746.db2.gz LRFWVACBWHGYAU-LLVKDONJSA-N 0 1 323.234 3.225 20 30 DGEDMN CC(C)[C@H](O)[C@@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000922260785 621014040 /nfs/dbraw/zinc/01/40/40/621014040.db2.gz MQOJFILTYVEYCN-IRXDYDNUSA-N 0 1 300.427 3.468 20 30 DGEDMN C[C@H](NCCOCCC#N)c1ccc(C(F)(F)F)nc1Cl ZINC000856777147 621020362 /nfs/dbraw/zinc/02/03/62/621020362.db2.gz QOUYJBJBQQVEPX-VIFPVBQESA-N 0 1 321.730 3.335 20 30 DGEDMN C[C@H]1CC(N=Nc2ncc(Cl)cn2)CN1Cc1ccccc1 ZINC000857221108 621069815 /nfs/dbraw/zinc/06/98/15/621069815.db2.gz UVJLGEXODHHILY-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN C#CC[C@H](CCOC)NCc1csc(-c2cccs2)n1 ZINC000858334716 621176932 /nfs/dbraw/zinc/17/69/32/621176932.db2.gz XYKYOCJHRIBQBQ-GFCCVEGCSA-N 0 1 306.456 3.390 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1csc(-c2cccs2)n1 ZINC000858334718 621177154 /nfs/dbraw/zinc/17/71/54/621177154.db2.gz XYKYOCJHRIBQBQ-LBPRGKRZSA-N 0 1 306.456 3.390 20 30 DGEDMN C#CCCOC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000858884428 621228663 /nfs/dbraw/zinc/22/86/63/621228663.db2.gz RLAXLMSHQRGWJS-UHFFFAOYSA-N 0 1 320.820 3.054 20 30 DGEDMN C#CCCCCCC(=O)Nc1ccc(-c2nnc[nH]2)cc1F ZINC000839345138 621362135 /nfs/dbraw/zinc/36/21/35/621362135.db2.gz BCZNTABBXGXMDC-UHFFFAOYSA-N 0 1 300.337 3.133 20 30 DGEDMN C#CCCCCCC(=O)Nc1ccc(F)c(-c2nc[nH]n2)c1 ZINC000839343839 621362228 /nfs/dbraw/zinc/36/22/28/621362228.db2.gz HYNBABXVDUJKTB-UHFFFAOYSA-N 0 1 300.337 3.133 20 30 DGEDMN O=C([O-])c1cccc(N=NC2CC[N@@H+](Cc3ccccc3)C2)c1 ZINC000839383410 621365342 /nfs/dbraw/zinc/36/53/42/621365342.db2.gz JMDSOJXLKQMTBK-UHFFFAOYSA-N 0 1 309.369 3.059 20 30 DGEDMN N#CC(C(=O)[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21)c1ccccn1 ZINC000860467100 621408554 /nfs/dbraw/zinc/40/85/54/621408554.db2.gz CRWLRNVIMSPVNS-YQFWSFKMSA-N 0 1 308.768 3.497 20 30 DGEDMN CSCCCCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000860483745 621409818 /nfs/dbraw/zinc/40/98/18/621409818.db2.gz USRBVBVSVNJYPL-CYBMUJFWSA-N 0 1 319.430 3.042 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1sc(C(C)C)nc1C ZINC000753934193 621472113 /nfs/dbraw/zinc/47/21/13/621472113.db2.gz GHJCEELHLJNCEZ-CHWSQXEVSA-N 0 1 319.478 3.159 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(Cl)cc1 ZINC000753936956 621472636 /nfs/dbraw/zinc/47/26/36/621472636.db2.gz XKQPWXACKONQLP-HUUCEWRRSA-N 0 1 304.825 3.314 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1oc2ccccc2c1C ZINC000753940348 621473261 /nfs/dbraw/zinc/47/32/61/621473261.db2.gz UHMVPJNNNMHFNX-GASCZTMLSA-N 0 1 310.401 3.325 20 30 DGEDMN CCSc1ccccc1C(C)=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000753941114 621473332 /nfs/dbraw/zinc/47/33/32/621473332.db2.gz WYUQWPSMDSESBZ-HUUCEWRRSA-N 0 1 316.474 3.383 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cccc3ccc(C)nc32)c1 ZINC000753982359 621476270 /nfs/dbraw/zinc/47/62/70/621476270.db2.gz ZIZNKWPZUHSOBG-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN C#C[C@@H](CC)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000754682439 621524662 /nfs/dbraw/zinc/52/46/62/621524662.db2.gz HOUFETGKJWSGAE-NSHDSACASA-N 0 1 309.394 3.433 20 30 DGEDMN CCCCCCCCNC(=O)c1nnc2ccccc2c1O ZINC000754843516 621536559 /nfs/dbraw/zinc/53/65/59/621536559.db2.gz XTNYMONFXIXANF-UHFFFAOYSA-N 0 1 301.390 3.426 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cc2ccc(C)cc2o1 ZINC000754943898 621542380 /nfs/dbraw/zinc/54/23/80/621542380.db2.gz UJPJFSFLPZPVFR-UHFFFAOYSA-N 0 1 306.329 3.244 20 30 DGEDMN Cn1c(=O)oc2cc(C=NNc3c(F)cccc3Cl)ccc21 ZINC000754974033 621544023 /nfs/dbraw/zinc/54/40/23/621544023.db2.gz QRPYGBYAWBIPBH-UHFFFAOYSA-N 0 1 319.723 3.370 20 30 DGEDMN N#Cc1ccc(Br)c(CN(CCO)C2CCCC2)c1 ZINC000755043402 621548436 /nfs/dbraw/zinc/54/84/36/621548436.db2.gz YCLYPIHIXUVVFE-UHFFFAOYSA-N 0 1 323.234 3.058 20 30 DGEDMN C=C1CCN(C[C@@H]2CC(F)(F)CN2C(=O)OC(C)(C)C)CC1 ZINC000862240882 621566004 /nfs/dbraw/zinc/56/60/04/621566004.db2.gz PULCPAIHDUORGW-ZDUSSCGKSA-N 0 1 316.392 3.283 20 30 DGEDMN FC(F)(F)c1ccc(N=NCc2ccc3c[nH]nc3c2)nc1 ZINC000755487863 621575663 /nfs/dbraw/zinc/57/56/63/621575663.db2.gz HLVUEEXHMBJNDS-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@H]1CCc2ccccc21 ZINC000755618217 621580913 /nfs/dbraw/zinc/58/09/13/621580913.db2.gz XYCIZICIGUWOAM-CYBMUJFWSA-N 0 1 321.405 3.249 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cc([N+](=O)[O-])ccc2OC(F)F)C1 ZINC000862442702 621590608 /nfs/dbraw/zinc/59/06/08/621590608.db2.gz FXDDTRBKWQIIJY-LLVKDONJSA-N 0 1 310.300 3.041 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cnn(Cc3ccccc3)c2Cl)C1 ZINC000862447577 621591481 /nfs/dbraw/zinc/59/14/81/621591481.db2.gz OJJHBOUUQIEJTC-HNNXBMFYSA-N 0 1 313.832 3.430 20 30 DGEDMN CC(=O)C(C)(C)CCC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000755784444 621593176 /nfs/dbraw/zinc/59/31/76/621593176.db2.gz DTBZHHZYIHHFLO-ZDUSSCGKSA-N 0 1 311.385 3.443 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000862561011 621601482 /nfs/dbraw/zinc/60/14/82/621601482.db2.gz BWDKXVGOIBGMON-LBPRGKRZSA-N 0 1 313.788 3.216 20 30 DGEDMN Cc1ccc(NN=Cc2ccc(C(=O)OC(C)(C)C)s2)nn1 ZINC000863091495 621638508 /nfs/dbraw/zinc/63/85/08/621638508.db2.gz VWBICRLZBQTSJW-UHFFFAOYSA-N 0 1 318.402 3.248 20 30 DGEDMN CCOC(=O)c1[nH]c(C)c(C=NNc2ccc(Cl)cn2)c1C ZINC000863124710 621640974 /nfs/dbraw/zinc/64/09/74/621640974.db2.gz BAYPBZDPUSPVEF-UHFFFAOYSA-N 0 1 320.780 3.303 20 30 DGEDMN CCOc1c(CN=Nc2cccc(C)n2)c(C)nn1CC(C)C ZINC000863162109 621644234 /nfs/dbraw/zinc/64/42/34/621644234.db2.gz TZWYJWWUCFHBMC-UHFFFAOYSA-N 0 1 315.421 3.396 20 30 DGEDMN CCOc1c(C=N[N-]c2cccc(C)[nH+]2)c(C)nn1CC(C)C ZINC000863162109 621644235 /nfs/dbraw/zinc/64/42/35/621644235.db2.gz TZWYJWWUCFHBMC-UHFFFAOYSA-N 0 1 315.421 3.396 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)CCc1c[nH]c2cc(C)ccc12 ZINC000756554331 621647779 /nfs/dbraw/zinc/64/77/79/621647779.db2.gz XYBAGRAOXDDWEY-KRWDZBQOSA-N 0 1 324.424 3.050 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNCc1cccc(Cl)c1)O2 ZINC000756830801 621667009 /nfs/dbraw/zinc/66/70/09/621667009.db2.gz CGTNHRUFZWXWKB-UHFFFAOYSA-N 0 1 316.744 3.032 20 30 DGEDMN CCOc1ccc(/C=N\[C@H]2CN(C)Cc3ccccc32)c(O)c1 ZINC000756840729 621667712 /nfs/dbraw/zinc/66/77/12/621667712.db2.gz GWVUMEBKPSNWSI-ISRVBRPNSA-N 0 1 310.397 3.397 20 30 DGEDMN Cc1cc(Cl)cc(C)c1C=NNc1ncnc2nc[nH]c21 ZINC000756880836 621669680 /nfs/dbraw/zinc/66/96/80/621669680.db2.gz BWMKJLTXUVHZTH-UHFFFAOYSA-N 0 1 300.753 3.069 20 30 DGEDMN Cc1cc(Cl)cc(C)c1CN=Nc1ccnc(N(C)C)n1 ZINC000756895452 621670974 /nfs/dbraw/zinc/67/09/74/621670974.db2.gz OGUSSHOBSQOFKK-UHFFFAOYSA-N 0 1 303.797 3.259 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2c[nH]c3ccccc23)c(OC)c1 ZINC000756897778 621671049 /nfs/dbraw/zinc/67/10/49/621671049.db2.gz OFKMVXCHIOZBPN-UHFFFAOYSA-N 0 1 323.352 3.409 20 30 DGEDMN COC(=O)c1ccc(N=NCc2ccc(F)cc2)c(OC)c1 ZINC000756902325 621671472 /nfs/dbraw/zinc/67/14/72/621671472.db2.gz KEDMVMSKCLDBBI-UHFFFAOYSA-N 0 1 302.305 3.067 20 30 DGEDMN CCC1(C(=O)OCC(=O)[C@H](C#N)c2nc(C)cs2)CCCC1 ZINC000757125134 621688664 /nfs/dbraw/zinc/68/86/64/621688664.db2.gz JWRQWGJNZCEAJB-LBPRGKRZSA-N 0 1 320.414 3.141 20 30 DGEDMN CCC1(C(=O)OCC(=O)C(C#N)c2nc(C)cs2)CCCC1 ZINC000757125134 621688667 /nfs/dbraw/zinc/68/86/67/621688667.db2.gz JWRQWGJNZCEAJB-LBPRGKRZSA-N 0 1 320.414 3.141 20 30 DGEDMN CC[C@@H]1CC[C@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000757297753 621698719 /nfs/dbraw/zinc/69/87/19/621698719.db2.gz UJSOADXKCLEALJ-NQBHXWOUSA-N 0 1 300.383 3.430 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000839692907 621708595 /nfs/dbraw/zinc/70/85/95/621708595.db2.gz WCFWMIQFMLVZMP-IHRRRGAJSA-N 0 1 318.392 3.038 20 30 DGEDMN Cn1c(Cl)nc(Cl)c1/C=C\C(=O)c1cc(F)ccc1O ZINC000757467639 621714554 /nfs/dbraw/zinc/71/45/54/621714554.db2.gz HZLPXGSHXWLJMG-HYXAFXHYSA-N 0 1 315.131 3.468 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccc(-n3cncn3)cc2)c(O)c1 ZINC000757476970 621715906 /nfs/dbraw/zinc/71/59/06/621715906.db2.gz VTJDSAZZXCOSJM-UITAMQMPSA-N 0 1 305.337 3.177 20 30 DGEDMN CN1CCN(c2ccccc2NCc2cccc(F)c2C#N)CC1 ZINC000863998937 621725592 /nfs/dbraw/zinc/72/55/92/621725592.db2.gz ZVAWTGYKNNGSIV-UHFFFAOYSA-N 0 1 324.403 3.061 20 30 DGEDMN C#CCCCC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000757854012 621743409 /nfs/dbraw/zinc/74/34/09/621743409.db2.gz MLRHMGFSRIVJQO-QGZVFWFLSA-N 0 1 318.848 3.397 20 30 DGEDMN C#CC[C@@H](NC(=O)c1c[nH]c2ccccc2c1=O)c1ccccc1 ZINC000757926567 621747383 /nfs/dbraw/zinc/74/73/83/621747383.db2.gz YRRMVPBJSJIXHL-QGZVFWFLSA-N 0 1 316.360 3.023 20 30 DGEDMN N#Cc1cccn(CN(C2CC2)[C@H]2CCCc3ccccc32)c1=O ZINC000758202771 621765594 /nfs/dbraw/zinc/76/55/94/621765594.db2.gz JPCQSLHXLZISBF-IBGZPJMESA-N 0 1 319.408 3.219 20 30 DGEDMN Cc1ccn(CN(CC(C)(C)C)CC(C)(C)C)c(=O)c1C#N ZINC000758250342 621770635 /nfs/dbraw/zinc/77/06/35/621770635.db2.gz YIEYUNLCCUMVBJ-UHFFFAOYSA-N 0 1 303.450 3.380 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(F)c(C#N)c1)N1[C@H](C)CC[C@@H]1C ZINC000758950569 621813972 /nfs/dbraw/zinc/81/39/72/621813972.db2.gz JUIXFMXCJSPZCC-FRRDWIJNSA-N 0 1 304.365 3.002 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCc3ccncc3)[nH]c21 ZINC000759364561 621850184 /nfs/dbraw/zinc/85/01/84/621850184.db2.gz BOMDEJLWUDAGCI-CQSZACIVSA-N 0 1 304.353 3.075 20 30 DGEDMN CC1(CC(=O)C(C#N)C(=O)Nc2ccc(F)c(Cl)c2)CC1 ZINC000759432570 621858107 /nfs/dbraw/zinc/85/81/07/621858107.db2.gz XFOWMWSNNPXGDA-JTQLQIEISA-N 0 1 308.740 3.317 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1CC12CCC2 ZINC000759836596 621894641 /nfs/dbraw/zinc/89/46/41/621894641.db2.gz ZAPJXBVJVHJXGI-STQMWFEESA-N 0 1 302.761 3.178 20 30 DGEDMN C=CCOc1ccccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865458125 621925976 /nfs/dbraw/zinc/92/59/76/621925976.db2.gz COXQPJGYTFMWGC-UHFFFAOYSA-N 0 1 321.424 3.479 20 30 DGEDMN N#C[C@H](C(=O)CCn1ccc2cc(Cl)ccc21)c1ccncn1 ZINC000760334592 621932652 /nfs/dbraw/zinc/93/26/52/621932652.db2.gz PVAJFEVHBKPVHY-AWEZNQCLSA-N 0 1 324.771 3.351 20 30 DGEDMN C#CC[C@@H](NCc1cnc(CCOC)s1)c1ccccc1 ZINC000865511982 621940089 /nfs/dbraw/zinc/94/00/89/621940089.db2.gz MISYLDHGLKVIOW-MRXNPFEDSA-N 0 1 300.427 3.186 20 30 DGEDMN CCCC[C@H](/N=C\c1ccc(N(CC)CC)cc1O)C(=O)OC ZINC000761015006 621979447 /nfs/dbraw/zinc/97/94/47/621979447.db2.gz JBRMDOFVXQNQGO-UEIJICEPSA-N 0 1 320.433 3.389 20 30 DGEDMN CC(N=Nc1ccncc1Cl)c1nc2c(s1)CCCC2 ZINC000761267565 621998321 /nfs/dbraw/zinc/99/83/21/621998321.db2.gz SRABFQZXHDHMKS-UHFFFAOYSA-N 0 1 306.822 3.328 20 30 DGEDMN C=C(C)COc1cc(CN[C@H](C)c2ccnn2C)ccc1OC ZINC000865839159 622005247 /nfs/dbraw/zinc/00/52/47/622005247.db2.gz IHRZDABUZQUJDH-CQSZACIVSA-N 0 1 315.417 3.234 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000761493508 622012407 /nfs/dbraw/zinc/01/24/07/622012407.db2.gz MXJLAYSYPNEROR-YPMHNXCESA-N 0 1 322.430 3.243 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000761493508 622012410 /nfs/dbraw/zinc/01/24/10/622012410.db2.gz MXJLAYSYPNEROR-YPMHNXCESA-N 0 1 322.430 3.243 20 30 DGEDMN CC1(C)Cc2occc2C(N=Nc2ccc(-n3ccnc3)nn2)C1 ZINC000761856638 622034425 /nfs/dbraw/zinc/03/44/25/622034425.db2.gz CJLYWMQLMUETEH-UHFFFAOYSA-N 0 1 322.372 3.044 20 30 DGEDMN COc1ccc(NN=C(C)c2ccc(C#N)s2)c([N+](=O)[O-])c1 ZINC000762450387 622076412 /nfs/dbraw/zinc/07/64/12/622076412.db2.gz UPAKARFBIZOEGS-UHFFFAOYSA-N 0 1 316.342 3.373 20 30 DGEDMN CC[C@H](N[C@H](C)c1cccc(C#N)c1O)C(=O)OC(C)(C)C ZINC000866382402 622107051 /nfs/dbraw/zinc/10/70/51/622107051.db2.gz XPOZPWFIENBHJK-RISCZKNCSA-N 0 1 304.390 3.035 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc(C3CC3)nc3ccc(F)cc32)NO1 ZINC000763260921 622133709 /nfs/dbraw/zinc/13/37/09/622133709.db2.gz ULTJPBKDAANCDK-VIFPVBQESA-N 0 1 313.332 3.103 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc3c(s2)-c2ccccc2CC3)NO1 ZINC000763260092 622133789 /nfs/dbraw/zinc/13/37/89/622133789.db2.gz PBLRPKVAZNLATP-SNVBAGLBSA-N 0 1 312.394 3.366 20 30 DGEDMN Cc1cccc(C)c1NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867944263 622196141 /nfs/dbraw/zinc/19/61/41/622196141.db2.gz BPNFZYWZKCTRPW-SFHVURJKSA-N 0 1 305.381 3.001 20 30 DGEDMN CC(C)c1ccc(NC(=S)NN=C2CCN3CCC[C@@H]23)cc1 ZINC000765558201 622273454 /nfs/dbraw/zinc/27/34/54/622273454.db2.gz WRTMUHJHBZLLJP-INIZCTEOSA-N 0 1 316.474 3.321 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(Cl)c(F)c1 ZINC000765583046 622275139 /nfs/dbraw/zinc/27/51/39/622275139.db2.gz FDNIJHPVRMADJY-OKILXGFUSA-N 0 1 308.788 3.063 20 30 DGEDMN Cc1cccc2nc(CNC(=O)C=Cc3ccccc3C#N)[nH]c21 ZINC000765813548 622289525 /nfs/dbraw/zinc/28/95/25/622289525.db2.gz ODLVAJFMFZYYSD-KTKRTIGZSA-N 0 1 316.364 3.073 20 30 DGEDMN CCCCCCCC(=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC000869030489 622311693 /nfs/dbraw/zinc/31/16/93/622311693.db2.gz RZZOFLBSTIDRMY-UHFFFAOYSA-N 0 1 319.449 3.161 20 30 DGEDMN CC(C)[C@H]1CC(=NNc2ncnc3[nH]cnc32)c2ccccc21 ZINC000766451042 622330836 /nfs/dbraw/zinc/33/08/36/622330836.db2.gz SIPDAXZGKBPSAF-CYBMUJFWSA-N 0 1 306.373 3.312 20 30 DGEDMN N#CC(C(=O)CCc1cccc(C(F)(F)F)c1)c1ccncn1 ZINC000766929499 622362362 /nfs/dbraw/zinc/36/23/62/622362362.db2.gz DLTNUHCIYZFAJC-ZDUSSCGKSA-N 0 1 319.286 3.304 20 30 DGEDMN C#CCC(C)(C)C(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000767113631 622375986 /nfs/dbraw/zinc/37/59/86/622375986.db2.gz ATYQERFPTAZSGU-IAGOWNOFSA-N 0 1 312.457 3.157 20 30 DGEDMN Clc1ccnc(N=NCc2cncc(Br)c2)c1 ZINC000767384687 622394959 /nfs/dbraw/zinc/39/49/59/622394959.db2.gz ABJGVSSJCUBDDL-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN CCCCOc1ccccc1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000767386375 622394966 /nfs/dbraw/zinc/39/49/66/622394966.db2.gz CZKLJPJRNYEBAE-HZPDHXFCSA-N 0 1 314.433 3.059 20 30 DGEDMN C[C@H]1CC(=NO[C@@H]2CCCCO2)CN(Cc2ccccc2)C1 ZINC000767388734 622395713 /nfs/dbraw/zinc/39/57/13/622395713.db2.gz WGGRKAHEELBQBB-MAUKXSAKSA-N 0 1 302.418 3.428 20 30 DGEDMN Cc1cc(CNCC(=O)Nc2ccc(Cl)cc2)ccc1C#N ZINC000767682327 622415067 /nfs/dbraw/zinc/41/50/67/622415067.db2.gz QVHKLCNGIKEXCC-UHFFFAOYSA-N 0 1 313.788 3.248 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1cc(F)c(F)cc1F ZINC000767772440 622421552 /nfs/dbraw/zinc/42/15/52/622421552.db2.gz RHAPSWOYOQPQOE-UHFFFAOYSA-N 0 1 304.275 3.437 20 30 DGEDMN COc1ccc(NN=Cc2ccc(O)c(Cl)c2)c([N+](=O)[O-])c1 ZINC000767797846 622422362 /nfs/dbraw/zinc/42/23/62/622422362.db2.gz RPCXDNHPOYPOFB-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)Nc1ccc2nc[nH]c2c1 ZINC000767884062 622426017 /nfs/dbraw/zinc/42/60/17/622426017.db2.gz LQGZBBDHSCJMBD-HNNXBMFYSA-N 0 1 302.378 3.473 20 30 DGEDMN CC1(C)[C@H](C(=O)C(C#N)C(=O)NC2CCCC2)[C@H]1c1ccccc1 ZINC000870160194 622437269 /nfs/dbraw/zinc/43/72/69/622437269.db2.gz WEPAOEXQSRCWPW-ZACQAIPSSA-N 0 1 324.424 3.194 20 30 DGEDMN C#CCN(Cc1ccc(N(C)C)nc1)[C@H]1CCc2ccccc21 ZINC000768130360 622440378 /nfs/dbraw/zinc/44/03/78/622440378.db2.gz QDRHLOSHQFUFNR-IBGZPJMESA-N 0 1 305.425 3.270 20 30 DGEDMN CC[C@H]1CO[C@H](C)CN1Cc1ccc(N(C)CCC#N)cc1 ZINC000768174072 622443213 /nfs/dbraw/zinc/44/32/13/622443213.db2.gz XBIJTKCYQCYADY-WBVHZDCISA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@H]1CCC[C@@H](C=Nn2c(=O)c3ccccc3[nH]c2=S)C1 ZINC000768353895 622451987 /nfs/dbraw/zinc/45/19/87/622451987.db2.gz BMFFXSOKJRIBRE-NWDGAFQWSA-N 0 1 301.415 3.345 20 30 DGEDMN N#C[C@@H](C(=O)[C@H](Cc1cccnc1)C1CCCC1)c1ccncn1 ZINC000870288763 622452341 /nfs/dbraw/zinc/45/23/41/622452341.db2.gz XNXCXVAIXOQFCB-IAGOWNOFSA-N 0 1 320.396 3.097 20 30 DGEDMN N#CC(C(=O)C1=CCOCC1)c1nc2cc(Cl)ccc2s1 ZINC000768551214 622464272 /nfs/dbraw/zinc/46/42/72/622464272.db2.gz MFNPDZJWBKRECY-LLVKDONJSA-N 0 1 318.785 3.473 20 30 DGEDMN CC(C)(C#N)CCCCOC(=O)c1ccc2c(c1)n[nH]c2C=O ZINC000768819075 622479144 /nfs/dbraw/zinc/47/91/44/622479144.db2.gz AETYQLOGYMRYCE-UHFFFAOYSA-N 0 1 313.357 3.252 20 30 DGEDMN CN(CCOC(=O)c1sccc1C#N)Cc1ccc(F)cc1 ZINC000769094525 622498141 /nfs/dbraw/zinc/49/81/41/622498141.db2.gz MWGDEWGUYCOQQL-UHFFFAOYSA-N 0 1 318.373 3.048 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CC(C)(C)OCC2(C)C)cc1 ZINC000769446119 622528796 /nfs/dbraw/zinc/52/87/96/622528796.db2.gz NECRJMZXAKUMOE-AWEZNQCLSA-N 0 1 302.418 3.367 20 30 DGEDMN N#Cc1cccc(OCC(=O)Nc2cc(F)cc(Cl)c2O)c1 ZINC000771508825 622769856 /nfs/dbraw/zinc/76/98/56/622769856.db2.gz FYNNYJBUQHEXRH-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN C=CCCCCC[N@H+]1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000872166032 622845548 /nfs/dbraw/zinc/84/55/48/622845548.db2.gz AUVVKKHVGCRBPE-UHFFFAOYSA-N 0 1 303.402 3.498 20 30 DGEDMN N#Cc1ccc2ncc(CN3CCC[C@H](OC4CCC4)CC3)n2c1 ZINC000872262341 622871798 /nfs/dbraw/zinc/87/17/98/622871798.db2.gz YUCCDFTVJIRNRU-SFHVURJKSA-N 0 1 324.428 3.130 20 30 DGEDMN N#Cc1ccc(Br)c(CN[C@]23CCC[C@H]2OCC3)c1 ZINC000872316266 622889419 /nfs/dbraw/zinc/88/94/19/622889419.db2.gz IVDKXOXGJMFEBS-CABCVRRESA-N 0 1 321.218 3.122 20 30 DGEDMN Cn1cc(C=NN=c2[nH]c3ccncc3s2)c(C(C)(C)C)n1 ZINC000872413085 622907746 /nfs/dbraw/zinc/90/77/46/622907746.db2.gz RBRIOWNFXVYAMO-UHFFFAOYSA-N 0 1 314.418 3.168 20 30 DGEDMN Cn1cc(C=[NH+][N-]c2nc3ccncc3s2)c(C(C)(C)C)n1 ZINC000872413085 622907750 /nfs/dbraw/zinc/90/77/50/622907750.db2.gz RBRIOWNFXVYAMO-UHFFFAOYSA-N 0 1 314.418 3.168 20 30 DGEDMN Cc1ccc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)nc1C#N ZINC000872648568 622948036 /nfs/dbraw/zinc/94/80/36/622948036.db2.gz NPUKRBKRSNFAGR-CQSZACIVSA-N 0 1 309.417 3.492 20 30 DGEDMN N#Cc1ccc(CNC[C@H](O)c2c(F)cccc2Cl)c(F)c1 ZINC000772920683 622954022 /nfs/dbraw/zinc/95/40/22/622954022.db2.gz INRORTYJHYHXHM-HNNXBMFYSA-N 0 1 322.742 3.313 20 30 DGEDMN COc1ccc(N=NC2CCCc3ccc([N+](=O)[O-])cc32)nc1 ZINC000773075022 622977569 /nfs/dbraw/zinc/97/75/69/622977569.db2.gz SUYKIWTVCDZGTQ-UHFFFAOYSA-N 0 1 312.329 3.151 20 30 DGEDMN COc1cccc(CN=Nc2ccc(Br)cc2F)n1 ZINC000790161556 625610877 /nfs/dbraw/zinc/61/08/77/625610877.db2.gz IHCCTMIGVSNCIN-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NN[C@@H]1CCCCC1(C)C ZINC000773125465 622988375 /nfs/dbraw/zinc/98/83/75/622988375.db2.gz OXSCVSVXSCWUKF-QGZVFWFLSA-N 0 1 311.433 3.468 20 30 DGEDMN C#CCOc1ccccc1CNCc1cn(CCCC)nc1C ZINC000773407824 623023296 /nfs/dbraw/zinc/02/32/96/623023296.db2.gz FDCSNPYIMPEELN-UHFFFAOYSA-N 0 1 311.429 3.293 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCCC1(C)C ZINC000773981400 623095598 /nfs/dbraw/zinc/09/55/98/623095598.db2.gz YBRUYPVULSPFJY-STQMWFEESA-N 0 1 314.385 3.169 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C(C)=CC(C)(C)C)n1 ZINC000774026674 623100523 /nfs/dbraw/zinc/10/05/23/623100523.db2.gz LRALPFRGWDDFBA-HUYFXPKMSA-N 0 1 320.414 3.488 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000873497206 623159601 /nfs/dbraw/zinc/15/96/01/623159601.db2.gz DJFDPWXPXOVEFG-WFASDCNBSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000873523479 623166646 /nfs/dbraw/zinc/16/66/46/623166646.db2.gz RJGYTBYKLCWTJM-QWHCGFSZSA-N 0 1 322.355 3.116 20 30 DGEDMN Cc1cc(Br)cc(CNCc2ccc(C#N)cn2)c1 ZINC000775013867 623216685 /nfs/dbraw/zinc/21/66/85/623216685.db2.gz RHKRSKRNFJLANZ-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN CC(=N[N-]c1[nH+]ccn1C)c1ccc(F)cc1Br ZINC000790299884 625631556 /nfs/dbraw/zinc/63/15/56/625631556.db2.gz JMUXHWUTAHTNQA-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN C#C[C@H](C)N(C)Cc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000775184013 623239302 /nfs/dbraw/zinc/23/93/02/623239302.db2.gz SCAKCVHBPKRNPD-LBPRGKRZSA-N 0 1 314.341 3.317 20 30 DGEDMN C#C[C@@H](NCc1cc(C)c(OC(F)F)c(C)c1)[C@H]1CCCO1 ZINC000775343044 623257164 /nfs/dbraw/zinc/25/71/64/623257164.db2.gz UTQSBLOODQSBCL-HUUCEWRRSA-N 0 1 309.356 3.175 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCCCC)c(OC)c1)[C@@H]1CCCO1 ZINC000775346648 623258183 /nfs/dbraw/zinc/25/81/83/623258183.db2.gz WRVVPCJHTVQQTG-SJORKVTESA-N 0 1 317.429 3.145 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@@H](C)CCCCC)c1ccccc1 ZINC000775398518 623267496 /nfs/dbraw/zinc/26/74/96/623267496.db2.gz JDNYSLHSMHYLKT-FUHWJXTLSA-N 0 1 300.446 3.426 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000775399051 623267946 /nfs/dbraw/zinc/26/79/46/623267946.db2.gz FIYDXXGKGXAURV-KXBFYZLASA-N 0 1 324.399 3.357 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC000775400451 623268998 /nfs/dbraw/zinc/26/89/98/623268998.db2.gz PLOKLADUDWOVHY-WMZOPIPTSA-N 0 1 300.446 3.234 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc2c(c1)CCC2)c1ccccc1 ZINC000775400763 623269054 /nfs/dbraw/zinc/26/90/54/623269054.db2.gz LSCAPLUXAPHQIX-HXUWFJFHSA-N 0 1 318.420 3.468 20 30 DGEDMN CC(=NNc1cccc(F)c1[N+](=O)[O-])c1ccccc1[N+](=O)[O-] ZINC000790311091 625634671 /nfs/dbraw/zinc/63/46/71/625634671.db2.gz WMEPSHYEFPAPLM-UHFFFAOYSA-N 0 1 318.264 3.478 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(Br)s1)[C@H]1CCCO1 ZINC000775572494 623291606 /nfs/dbraw/zinc/29/16/06/623291606.db2.gz BAPOAXKQCBFRDO-OUAUKWLOSA-N 0 1 314.248 3.342 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(OC(F)(F)F)cc1)[C@@H]1CCCO1 ZINC000775572498 623291700 /nfs/dbraw/zinc/29/17/00/623291700.db2.gz RYKQTRGNXBVIEH-CQDKDKBSSA-N 0 1 313.319 3.417 20 30 DGEDMN C#C[C@H](N[C@H]1CCc2c1cccc2Br)[C@H]1CCCO1 ZINC000775572669 623292033 /nfs/dbraw/zinc/29/20/33/623292033.db2.gz SVGOPPYVOBSZDF-HRCADAONSA-N 0 1 320.230 3.207 20 30 DGEDMN C#C[C@H](NCc1ccc(Cl)c2cccnc12)[C@H]1CCCO1 ZINC000775807941 623334927 /nfs/dbraw/zinc/33/49/27/623334927.db2.gz WFXWKPSKAJVUFN-JKSUJKDBSA-N 0 1 300.789 3.159 20 30 DGEDMN COc1cccc(OC)c1/C=C\C(=O)c1cc(F)ccc1O ZINC000776189612 623393409 /nfs/dbraw/zinc/39/34/09/623393409.db2.gz IKEGQLBELLDMRQ-CLFYSBASSA-N 0 1 302.301 3.445 20 30 DGEDMN CCc1nc(CN=Nc2ccccc2C(=O)OC)c2ccccn12 ZINC000776189510 623393896 /nfs/dbraw/zinc/39/38/96/623393896.db2.gz KONLNEXBSFUURX-UHFFFAOYSA-N 0 1 322.368 3.129 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875088139 623414813 /nfs/dbraw/zinc/41/48/13/623414813.db2.gz XWGRPEVKPXXSHU-CYBMUJFWSA-N 0 1 302.271 3.111 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CC[C@H](C)C1 ZINC000776517323 623436752 /nfs/dbraw/zinc/43/67/52/623436752.db2.gz QHGJQNUJLVBXAO-BFHYXJOUSA-N 0 1 314.385 3.169 20 30 DGEDMN COC(=O)c1cc(CN=Nc2nc3ccccc3cc2C)c[nH]1 ZINC000776785922 623463403 /nfs/dbraw/zinc/46/34/03/623463403.db2.gz MXTHUYWLTYDYCY-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN Cc1ccccc1COc1ccccc1C(=O)NC1=NO[C@@H](C)C1 ZINC000777436320 623523961 /nfs/dbraw/zinc/52/39/61/623523961.db2.gz RGNWPHJIOBZASA-AWEZNQCLSA-N 0 1 324.380 3.426 20 30 DGEDMN FC(F)(F)CCON=C1CCN(CCC(F)(F)F)CC1 ZINC000777461048 623528663 /nfs/dbraw/zinc/52/86/63/623528663.db2.gz UVBVXQOUYJYJQU-UHFFFAOYSA-N 0 1 306.250 3.360 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2ccc(CF)cc2)CC1 ZINC000777572685 623543187 /nfs/dbraw/zinc/54/31/87/623543187.db2.gz MKOGZYLMVYVTMZ-UHFFFAOYSA-N 0 1 309.388 3.350 20 30 DGEDMN CCN(C(C)=O)c1nc(CN=Nc2cc(Cl)ccn2)cs1 ZINC000777905881 623583851 /nfs/dbraw/zinc/58/38/51/623583851.db2.gz BGJCCMIPOQVMBD-UHFFFAOYSA-N 0 1 323.809 3.010 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2cc(Cl)ccc2Cl)n1 ZINC000778547467 623667451 /nfs/dbraw/zinc/66/74/51/623667451.db2.gz WKOWYGROBDOEMO-UHFFFAOYSA-N 0 1 316.579 3.465 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2cc(Cl)cc(Cl)c2)n1 ZINC000778549988 623667665 /nfs/dbraw/zinc/66/76/65/623667665.db2.gz GKOLWXRQLLMODZ-UHFFFAOYSA-N 0 1 316.579 3.465 20 30 DGEDMN C[C@@H](CC#N)N(C)C[C@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876690973 623683841 /nfs/dbraw/zinc/68/38/41/623683841.db2.gz WSBJYVDWZZXWMC-LSDHHAIUSA-N 0 1 309.454 3.258 20 30 DGEDMN C[C@@H](C#N)CN(CC(C)(C)CNC(=O)OC(C)(C)C)C1CC1 ZINC000876791791 623707222 /nfs/dbraw/zinc/70/72/22/623707222.db2.gz OBEXDNTZIYVUCI-ZDUSSCGKSA-N 0 1 309.454 3.161 20 30 DGEDMN C[C@H]1CC(NC(=O)c2cc(Cl)cc(C(F)(F)F)c2)=NO1 ZINC000779040702 623723633 /nfs/dbraw/zinc/72/36/33/623723633.db2.gz ZTKYWUAJCQVWJM-LURJTMIESA-N 0 1 306.671 3.211 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC(CC)(CO)CC1 ZINC000779157892 623736357 /nfs/dbraw/zinc/73/63/57/623736357.db2.gz JQSHWWNQCZUTGB-UHFFFAOYSA-N 0 1 321.848 3.337 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](COCC)C1 ZINC000779164488 623737696 /nfs/dbraw/zinc/73/76/96/623737696.db2.gz SPKLJFVGUPRWII-CQSZACIVSA-N 0 1 307.821 3.210 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@](CC)(CO)C1 ZINC000779217030 623743228 /nfs/dbraw/zinc/74/32/28/623743228.db2.gz KVYGTSMLKQFNSX-SFHVURJKSA-N 0 1 321.848 3.337 20 30 DGEDMN CC(C)CCCCN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000779348471 623761938 /nfs/dbraw/zinc/76/19/38/623761938.db2.gz QPHASJUVPSJVJK-LSDHHAIUSA-N 0 1 309.454 3.161 20 30 DGEDMN Cc1ccc(OCCCNCc2cc(C#N)cnc2Cl)cc1 ZINC000876994513 623762232 /nfs/dbraw/zinc/76/22/32/623762232.db2.gz KKEFFCXVOADVAK-UHFFFAOYSA-N 0 1 315.804 3.474 20 30 DGEDMN CC1CCN(c2ccc(CNCc3cccc(C#N)n3)cc2)CC1 ZINC000877024577 623769137 /nfs/dbraw/zinc/76/91/37/623769137.db2.gz IKMIWXITNVXTRB-UHFFFAOYSA-N 0 1 320.440 3.479 20 30 DGEDMN Cc1cccc(CNN=C2CCN(CCC(F)(F)F)CC2)c1 ZINC000779778566 623801134 /nfs/dbraw/zinc/80/11/34/623801134.db2.gz ZMPWMOXDEQZRNI-UHFFFAOYSA-N 0 1 313.367 3.489 20 30 DGEDMN COC(=O)c1cccc2c(CN3CCC(C)(C#N)CC3)ccnc12 ZINC000877182991 623820847 /nfs/dbraw/zinc/82/08/47/623820847.db2.gz WDMOJJCDZOROBS-UHFFFAOYSA-N 0 1 323.396 3.147 20 30 DGEDMN C=CCOCCNCc1c(C)nn(-c2ccc(F)cc2)c1Cl ZINC000779999907 623846742 /nfs/dbraw/zinc/84/67/42/623846742.db2.gz WCMLTSWDZVDTTC-UHFFFAOYSA-N 0 1 323.799 3.265 20 30 DGEDMN COCC[C@@H](NCc1ccc(O[C@H](C)C#N)cc1)c1ccco1 ZINC000779996083 623847070 /nfs/dbraw/zinc/84/70/70/623847070.db2.gz YMOGHMOCXRHXID-RHSMWYFYSA-N 0 1 314.385 3.438 20 30 DGEDMN C#C[C@@H](NCc1c(C(F)(F)F)nc2n1CCCC2)C(C)(C)C ZINC000877534246 623930423 /nfs/dbraw/zinc/93/04/23/623930423.db2.gz CMISWNHDLCSKKM-GFCCVEGCSA-N 0 1 313.367 3.376 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1nc2ccccc2n1C ZINC000877703667 623998814 /nfs/dbraw/zinc/99/88/14/623998814.db2.gz CRORXCZEMNVJPP-UHFFFAOYSA-N 0 1 304.397 3.119 20 30 DGEDMN CC(N=Nc1ccnc(F)c1)c1ccc(N2CCCC2=O)cc1 ZINC000781130075 624026676 /nfs/dbraw/zinc/02/66/76/624026676.db2.gz NMUOTHUCEUPXQK-UHFFFAOYSA-N 0 1 312.348 3.184 20 30 DGEDMN N#Cc1cc(NC(=O)c2cc(C(F)F)n[nH]2)ccc1C1CC1 ZINC000882309483 625693690 /nfs/dbraw/zinc/69/36/90/625693690.db2.gz DZXJYHFIFSNGJA-UHFFFAOYSA-N 0 1 302.284 3.349 20 30 DGEDMN C[C@H]1Cc2cn[nH]c2[C@H](C(=O)Nc2ccc(C3CC3)c(C#N)c2)C1 ZINC000882309973 625694941 /nfs/dbraw/zinc/69/49/41/625694941.db2.gz CGKLUHHNSAGVET-APPDUMDISA-N 0 1 320.396 3.463 20 30 DGEDMN N#CC(C(=O)C=Cc1ccncn1)c1nc2cc(F)ccc2s1 ZINC000781471809 624076698 /nfs/dbraw/zinc/07/66/98/624076698.db2.gz XFLWLVUBBOIZSY-QAVQXKDTSA-N 0 1 324.340 3.115 20 30 DGEDMN CC(=O)[C@@H]1C[C@H](C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)C1(C)C ZINC000781478611 624077866 /nfs/dbraw/zinc/07/78/66/624077866.db2.gz BKYJIYHJIWPSAI-HZSPNIEDSA-N 0 1 323.396 3.299 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)C[C@@H](O)c1ccccc1 ZINC000877907398 624087145 /nfs/dbraw/zinc/08/71/45/624087145.db2.gz YZJXFZJILJTEEJ-SJLPKXTDSA-N 0 1 317.454 3.221 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCC(C)(C)C1 ZINC000781767473 624142086 /nfs/dbraw/zinc/14/20/86/624142086.db2.gz OWXMTKKOGFJXKZ-STQMWFEESA-N 0 1 314.385 3.169 20 30 DGEDMN CC(C)[C@@H]1C[C@H](CC(=O)Nc2cc(C#N)ccc2O)CCO1 ZINC000782023527 624189242 /nfs/dbraw/zinc/18/92/42/624189242.db2.gz GRSWCNRDDVUEBW-WBMJQRKESA-N 0 1 302.374 3.044 20 30 DGEDMN CC(=NNc1cc(C)ncn1)c1ccc([N+](=O)[O-])cc1Cl ZINC000782226314 624223833 /nfs/dbraw/zinc/22/38/33/624223833.db2.gz YKUKVWJXUYWAIU-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN N#Cc1cc(F)c(C=NNc2ncnc3ccsc32)c(F)c1 ZINC000782252669 624227544 /nfs/dbraw/zinc/22/75/44/624227544.db2.gz MMKSMFMSKFVOBV-UHFFFAOYSA-N 0 1 315.308 3.287 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NNCCC(C)(C)C ZINC000782903427 624289450 /nfs/dbraw/zinc/28/94/50/624289450.db2.gz VQZDSWVHDGJSJZ-HNNXBMFYSA-N 0 1 302.466 3.305 20 30 DGEDMN C[C@@H](NCc1cc(Cl)ccc1OCC#N)c1cccnc1 ZINC000782981859 624298223 /nfs/dbraw/zinc/29/82/23/624298223.db2.gz FLKXTVPFFDQIEH-GFCCVEGCSA-N 0 1 301.777 3.488 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000878579461 624314270 /nfs/dbraw/zinc/31/42/70/624314270.db2.gz LQNOMXPHIQTOOW-RDTXWAMCSA-N 0 1 309.413 3.290 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000878606727 624321095 /nfs/dbraw/zinc/32/10/95/624321095.db2.gz PIWYOXPRSJMALW-FHLIZLRMSA-N 0 1 305.418 3.108 20 30 DGEDMN Cc1cccc(NC(=O)C[C@@H](C)NCc2ccc(C#N)cn2)c1C ZINC000783300517 624336741 /nfs/dbraw/zinc/33/67/41/624336741.db2.gz ODKLPMKOBPMIOY-CQSZACIVSA-N 0 1 322.412 3.077 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCC(=O)[C@@H](C)C3)[nH]c21 ZINC000783385497 624342631 /nfs/dbraw/zinc/34/26/31/624342631.db2.gz JDKNIMFAZFZFLM-YNEHKIRRSA-N 0 1 309.369 3.053 20 30 DGEDMN CC(C)[C@@H](C(=O)O[C@H](C)c1cccc(C#N)c1)N1CCCC1 ZINC000783777785 624395181 /nfs/dbraw/zinc/39/51/81/624395181.db2.gz PYRYXWVGYHYUJY-PBHICJAKSA-N 0 1 300.402 3.283 20 30 DGEDMN CC[C@@H]1CCC[C@@H](C=Nn2cnnc2-n2nc(C)cc2C)C1 ZINC000783812050 624397983 /nfs/dbraw/zinc/39/79/83/624397983.db2.gz YGVKYOYCKRWVCH-HUUCEWRRSA-N 0 1 300.410 3.131 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NNc1cccc(F)c1F ZINC000783849467 624400860 /nfs/dbraw/zinc/40/08/60/624400860.db2.gz LUTZQJAZPYSZSB-UHFFFAOYSA-N 0 1 313.311 3.300 20 30 DGEDMN COc1cccc(NN=Cc2cn(C)nc2C2CCCCC2)n1 ZINC000783867631 624402703 /nfs/dbraw/zinc/40/27/03/624402703.db2.gz SFIHWDDHVKZEKH-UHFFFAOYSA-N 0 1 313.405 3.317 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCC(CCF)CC1)c1ccccc1 ZINC000879211035 624452083 /nfs/dbraw/zinc/45/20/83/624452083.db2.gz MWJOLGMZDLGAFO-IBGZPJMESA-N 0 1 316.420 3.499 20 30 DGEDMN Cc1ccc(N2CCN(CCOc3cccc(C#N)c3)CC2)cc1 ZINC000784388595 624517011 /nfs/dbraw/zinc/51/70/11/624517011.db2.gz KKFUVKPCJKUXIL-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCC(C)(F)CC1)c1ccccc1 ZINC000879383386 624525534 /nfs/dbraw/zinc/52/55/34/624525534.db2.gz KVCNBOYCKTXAGH-GOSISDBHSA-N 0 1 302.393 3.251 20 30 DGEDMN C[C@@H](OC(=O)c1ccc2c(C=O)[nH]nc2c1)c1cccc(C#N)c1 ZINC000784457861 624527333 /nfs/dbraw/zinc/52/73/33/624527333.db2.gz WGBVOOOVCBJTIQ-LLVKDONJSA-N 0 1 319.320 3.165 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CCC[C@H](NC(=O)OC(C)(C)C)[C@H]2C1 ZINC000784522991 624540644 /nfs/dbraw/zinc/54/06/44/624540644.db2.gz JUIIMHUQUCFDQO-IHRRRGAJSA-N 0 1 314.857 3.364 20 30 DGEDMN Cc1ccccc1NC(=O)[C@@H](C)N(C)CCc1cccc(C#N)c1 ZINC000879434504 624546239 /nfs/dbraw/zinc/54/62/39/624546239.db2.gz KGNCGEUSDMFNSU-MRXNPFEDSA-N 0 1 321.424 3.368 20 30 DGEDMN CCN(C)C(=O)OC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC000805763564 624552638 /nfs/dbraw/zinc/55/26/38/624552638.db2.gz UWBSTYURTKSUCZ-KRWDZBQOSA-N 0 1 315.417 3.001 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879691679 624641963 /nfs/dbraw/zinc/64/19/63/624641963.db2.gz HEPMGKUVUJEPOL-BEFAXECRSA-N 0 1 318.424 3.499 20 30 DGEDMN N#CCc1ccc(COC(=O)c2c(F)cc(O)cc2F)cc1 ZINC000785330326 624654625 /nfs/dbraw/zinc/65/46/25/624654625.db2.gz AUOOCHNHZVATDZ-UHFFFAOYSA-N 0 1 303.264 3.093 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H]2[C@H]1CCC[N@H+]2CCC(C)(C)C#N ZINC000879756177 624667494 /nfs/dbraw/zinc/66/74/94/624667494.db2.gz ZSHOWUDUGXCGSY-HUUCEWRRSA-N 0 1 321.465 3.400 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000785457690 624669586 /nfs/dbraw/zinc/66/95/86/624669586.db2.gz PIADVSNOSOVJSM-OKILXGFUSA-N 0 1 324.350 3.208 20 30 DGEDMN N#Cc1ccc(OCCCOC(=O)c2cccc3[nH]ncc32)cc1 ZINC000785588526 624693271 /nfs/dbraw/zinc/69/32/71/624693271.db2.gz FLYGAZGQXZUGAN-UHFFFAOYSA-N 0 1 321.336 3.060 20 30 DGEDMN O=C(OCC#Cc1cccc(Cl)c1)c1cccc2[nH]ncc21 ZINC000785590968 624693436 /nfs/dbraw/zinc/69/34/36/624693436.db2.gz UPKYYZIPPCNBEY-UHFFFAOYSA-N 0 1 310.740 3.425 20 30 DGEDMN N#Cc1c(COC(=O)c2cccc3[nH]ncc32)cn2ccccc12 ZINC000785593783 624694929 /nfs/dbraw/zinc/69/49/29/624694929.db2.gz AODPUBSFYXWXTH-UHFFFAOYSA-N 0 1 316.320 3.044 20 30 DGEDMN CCCCCCCCCN1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879940935 624727736 /nfs/dbraw/zinc/72/77/36/624727736.db2.gz REALEXWPIVQXBG-HOTGVXAUSA-N 0 1 301.496 3.104 20 30 DGEDMN C=C(C)CN1C[C@@H](CNC(=O)OC(C)(C)C)[C@@H](C(F)(F)F)C1 ZINC000880024447 624758487 /nfs/dbraw/zinc/75/84/87/624758487.db2.gz SENHWGXUDRUBNM-NEPJUHHUSA-N 0 1 322.371 3.198 20 30 DGEDMN C=C(C)CN1C[C@H](CNC(=O)OC(C)(C)C)[C@H](C(F)(F)F)C1 ZINC000880024449 624758578 /nfs/dbraw/zinc/75/85/78/624758578.db2.gz SENHWGXUDRUBNM-NWDGAFQWSA-N 0 1 322.371 3.198 20 30 DGEDMN C=CCCC[C@@H](C)OC(=O)CC1(N2CCOCC2)CCCC1 ZINC000786095648 624799608 /nfs/dbraw/zinc/79/96/08/624799608.db2.gz KRJXWPSIQQSBLO-MRXNPFEDSA-N 0 1 309.450 3.310 20 30 DGEDMN C=CCN(C(C)C)[C@@H](C)C(=O)Nc1c(C)csc1C(=O)OC ZINC000880220608 624848557 /nfs/dbraw/zinc/84/85/57/624848557.db2.gz YUHASTPBTRSLNW-LBPRGKRZSA-N 0 1 324.446 3.067 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@H](C)c1c[nH]c2ccccc21 ZINC000786400014 624871992 /nfs/dbraw/zinc/87/19/92/624871992.db2.gz DSHWZUKKDGKMBD-JKSUJKDBSA-N 0 1 324.424 3.302 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786530739 624911506 /nfs/dbraw/zinc/91/15/06/624911506.db2.gz JJMVQMCXDHYAEF-HNNXBMFYSA-N 0 1 320.820 3.491 20 30 DGEDMN N#CC(C(=O)CC1CCCC1)C(=O)NCc1cccc(Cl)c1 ZINC000786537493 624915231 /nfs/dbraw/zinc/91/52/31/624915231.db2.gz JTEYVIODDOCLNM-HNNXBMFYSA-N 0 1 318.804 3.245 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786553551 624920304 /nfs/dbraw/zinc/92/03/04/624920304.db2.gz VXBBEIOSYXXRHO-IRXDYDNUSA-N 0 1 314.429 3.392 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@H](C)CC(C)C)c1 ZINC000786552535 624920425 /nfs/dbraw/zinc/92/04/25/624920425.db2.gz NJPFNGYWQVKOJF-NVXWUHKLSA-N 0 1 314.429 3.392 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786570926 624922653 /nfs/dbraw/zinc/92/26/53/624922653.db2.gz UZKYNUGZAQDHSD-ZDUSSCGKSA-N 0 1 322.355 3.116 20 30 DGEDMN COc1cc2c(cc1OC)C(C)(C)N(CC1(CC#N)CC1)CC2 ZINC000880392911 624949329 /nfs/dbraw/zinc/94/93/29/624949329.db2.gz HBXVNQRMPHCKNH-UHFFFAOYSA-N 0 1 314.429 3.491 20 30 DGEDMN CC(=CC(C)(C)C)C(=O)[C@@H](C#N)C(=O)N(C)Cc1ccccc1 ZINC000787071803 624975918 /nfs/dbraw/zinc/97/59/18/624975918.db2.gz FJAJLDGIECAFFS-SYCZXOQXSA-N 0 1 312.413 3.346 20 30 DGEDMN CC(C)C(N)=NOCc1csc(CCc2ccccc2)n1 ZINC000128566736 625013892 /nfs/dbraw/zinc/01/38/92/625013892.db2.gz FYONEWJBZZDZCP-UHFFFAOYSA-N 0 1 303.431 3.373 20 30 DGEDMN C#CCSc1ccc(COC(=O)c2ccc([N+](=O)[O-])[nH]2)cc1 ZINC000788416181 625163470 /nfs/dbraw/zinc/16/34/70/625163470.db2.gz CFLQIFMWOSQVCP-UHFFFAOYSA-N 0 1 316.338 3.005 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C=Cc1ccccc1C#N ZINC000791056546 625745521 /nfs/dbraw/zinc/74/55/21/625745521.db2.gz GCAXNCUPJQDMFV-VOTSOKGWSA-N 0 1 302.337 3.395 20 30 DGEDMN C#C[C@@H](CCC)NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000791074740 625750234 /nfs/dbraw/zinc/75/02/34/625750234.db2.gz UJJYOKWLMPWONF-HOTGVXAUSA-N 0 1 321.852 3.044 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C[C@@H](O)c1ccccc1 ZINC000791468869 625807670 /nfs/dbraw/zinc/80/76/70/625807670.db2.gz WRWLVNNTGSAOKS-SLFFLAALSA-N 0 1 306.409 3.492 20 30 DGEDMN CCCN(CC(=O)Nc1cccc(C#N)c1)Cc1ccccc1O ZINC000130727228 625896808 /nfs/dbraw/zinc/89/68/08/625896808.db2.gz YWWVNNLDZSUIIG-UHFFFAOYSA-N 0 1 323.396 3.115 20 30 DGEDMN Cc1ccccc1OCC(=O)C(C#N)c1cnc2ccccc2n1 ZINC000792108190 625910036 /nfs/dbraw/zinc/91/00/36/625910036.db2.gz KZQSUZVGUGBSTM-AWEZNQCLSA-N 0 1 317.348 3.194 20 30 DGEDMN N#CCC1(CNCc2c(Cl)nc3cc(Cl)ccn32)CC1 ZINC000796360573 626042404 /nfs/dbraw/zinc/04/24/04/626042404.db2.gz BIQPLWZBOSLJQX-UHFFFAOYSA-N 0 1 309.200 3.425 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc(N(C)C)cc1 ZINC000796383290 626045221 /nfs/dbraw/zinc/04/52/21/626045221.db2.gz HWQWYNRXDNVUMV-UHFFFAOYSA-N 0 1 314.429 3.416 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)c1ccc(C)cc1 ZINC000796384635 626045349 /nfs/dbraw/zinc/04/53/49/626045349.db2.gz OODIQVALNGRPMM-LJQANCHMSA-N 0 1 315.413 3.192 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@H](F)CC1CCCCC1 ZINC000796631203 626067774 /nfs/dbraw/zinc/06/77/74/626067774.db2.gz NXVCSMUWVGENPZ-HUUCEWRRSA-N 0 1 310.413 3.310 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)NC1CCCCC1)C(F)(F)F ZINC000796631848 626067982 /nfs/dbraw/zinc/06/79/82/626067982.db2.gz JBBUOOVJOXJNIL-NSHDSACASA-N 0 1 318.339 3.123 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)Nc1ccccc1)C(F)(F)F ZINC000796635293 626068241 /nfs/dbraw/zinc/06/82/41/626068241.db2.gz YQJHVRKIZKTAOG-NSHDSACASA-N 0 1 312.291 3.313 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cc(C)c(OC)c(Cl)c1)[C@H]1CCCO1 ZINC000796950731 626098939 /nfs/dbraw/zinc/09/89/39/626098939.db2.gz UAODNYYBBBDOHJ-UHOFOFEASA-N 0 1 307.821 3.488 20 30 DGEDMN COc1ccccc1-n1nccc1C=NNc1cc(C)ccn1 ZINC000797401832 626139930 /nfs/dbraw/zinc/13/99/30/626139930.db2.gz PPVQMFFARVSKPL-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN CC(=NNc1ncnc(Cl)c1C(C)C)c1cnc(C)nc1C ZINC000797404290 626140254 /nfs/dbraw/zinc/14/02/54/626140254.db2.gz JEWVIPCBDVDQBU-UHFFFAOYSA-N 0 1 318.812 3.496 20 30 DGEDMN CC(C)c1c(Cl)ncnc1NN=Cc1c(Cl)cnn1C ZINC000797403830 626140294 /nfs/dbraw/zinc/14/02/94/626140294.db2.gz ANSUYOXHVWQBNL-UHFFFAOYSA-N 0 1 313.192 3.086 20 30 DGEDMN CC(C)c1c(Cl)ncnc1NN=Cc1cnn2ccccc12 ZINC000797405519 626140894 /nfs/dbraw/zinc/14/08/94/626140894.db2.gz YZDQQNNYMOYYAJ-UHFFFAOYSA-N 0 1 314.780 3.347 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2[C@H](C)[C@@H]2c2ccccc2)nc(C)n1 ZINC000797549076 626151904 /nfs/dbraw/zinc/15/19/04/626151904.db2.gz UMNXOHJQNOBPNK-UOAMXJAYSA-N 0 1 305.381 3.319 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CC2CCOCC2)s1 ZINC000797570076 626153979 /nfs/dbraw/zinc/15/39/79/626153979.db2.gz CGIAWOUIHRXUJC-GFCCVEGCSA-N 0 1 306.431 3.434 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC000797941299 626182774 /nfs/dbraw/zinc/18/27/74/626182774.db2.gz PNQCCNPLDFLPQD-QWHCGFSZSA-N 0 1 314.376 3.217 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)O[C@H]1CCCN(Cc2ccccc2)C1 ZINC000797958472 626184491 /nfs/dbraw/zinc/18/44/91/626184491.db2.gz KGSMSFUNTQBGKM-INIZCTEOSA-N 0 1 324.380 3.281 20 30 DGEDMN N#C[C@H](C(=O)CC1CC(c2ccccc2)C1)C(=O)NC1CCCC1 ZINC000798816469 626247483 /nfs/dbraw/zinc/24/74/83/626247483.db2.gz MMJQHPGTRVSHQY-JFUPDXTOSA-N 0 1 324.424 3.338 20 30 DGEDMN N#C[C@@H](C(=O)CC1CC(c2ccccc2)C1)C(=O)NC1CCCC1 ZINC000798816470 626247725 /nfs/dbraw/zinc/24/77/25/626247725.db2.gz MMJQHPGTRVSHQY-PVARCSIZSA-N 0 1 324.424 3.338 20 30 DGEDMN C#CCSc1ccc(COC(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000798859305 626250882 /nfs/dbraw/zinc/25/08/82/626250882.db2.gz GLQNWLOFGPIKLP-INIZCTEOSA-N 0 1 305.443 3.041 20 30 DGEDMN C#CCOc1ccc(CN2CCCC[C@H]2c2ccnn2C)cc1 ZINC000798961183 626263284 /nfs/dbraw/zinc/26/32/84/626263284.db2.gz SDMZRQKVUKAZQF-IBGZPJMESA-N 0 1 309.413 3.159 20 30 DGEDMN Cc1c(NN=Cc2cc(C(F)(F)F)n[nH]2)cccc1[N+](=O)[O-] ZINC000799478595 626303785 /nfs/dbraw/zinc/30/37/85/626303785.db2.gz KVEMQAKTTQFGRO-UHFFFAOYSA-N 0 1 313.239 3.091 20 30 DGEDMN N#Cc1ccc(NN=Cc2cc(C(F)(F)F)n[nH]2)cc1Cl ZINC000799485711 626304815 /nfs/dbraw/zinc/30/48/15/626304815.db2.gz UWOPBGZQGRDCBR-UHFFFAOYSA-N 0 1 313.670 3.400 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1cnc2ccccc2n1 ZINC000799639983 626316179 /nfs/dbraw/zinc/31/61/79/626316179.db2.gz PTRMTRGYFIFGAX-UHFFFAOYSA-N 0 1 307.419 3.342 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000800387931 626355521 /nfs/dbraw/zinc/35/55/21/626355521.db2.gz XIBCMTFUGKJENH-RYUDHWBXSA-N 0 1 322.359 3.006 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)O1 ZINC000800391994 626355902 /nfs/dbraw/zinc/35/59/02/626355902.db2.gz QCVVEEBJJIARSW-GCTGHDABSA-N 0 1 318.373 3.425 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC(C2CCOCC2)C1 ZINC000800530847 626366498 /nfs/dbraw/zinc/36/64/98/626366498.db2.gz WHLPHDGUFMAUQS-UHFFFAOYSA-N 0 1 319.832 3.210 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)c1ccc(F)cc1O ZINC000800818733 626377073 /nfs/dbraw/zinc/37/70/73/626377073.db2.gz MLRKMHGKVVIGLB-UHFFFAOYSA-N 0 1 320.295 3.293 20 30 DGEDMN C[C@H](C#N)OCCN1CCC[C@H]1Cc1c(F)cccc1Cl ZINC000801912770 626425406 /nfs/dbraw/zinc/42/54/06/626425406.db2.gz CNRPEYGPTPJWTI-OLZOCXBDSA-N 0 1 310.800 3.415 20 30 DGEDMN C[C@@H](C#N)OCCN1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000801914442 626425517 /nfs/dbraw/zinc/42/55/17/626425517.db2.gz CVWICGZADAZWCF-ZDUSSCGKSA-N 0 1 320.820 3.163 20 30 DGEDMN C#CCCCNCc1nc(N(C)C)n(-c2ccccc2)c1Cl ZINC000802646715 626564568 /nfs/dbraw/zinc/56/45/68/626564568.db2.gz ULSARGRYPUJWQL-UHFFFAOYSA-N 0 1 316.836 3.095 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1c(O)cccc1Cl ZINC000803296406 626603248 /nfs/dbraw/zinc/60/32/48/626603248.db2.gz JECOTSBRJFDCBB-UHFFFAOYSA-N 0 1 301.733 3.211 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000883234467 626618603 /nfs/dbraw/zinc/61/86/03/626618603.db2.gz GOEUQCMYTCFZDJ-INMHGKMJSA-N 0 1 312.417 3.287 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C2(CCOCC2)[C@@H]1C1CC1 ZINC000840008269 626623600 /nfs/dbraw/zinc/62/36/00/626623600.db2.gz SZGRSHTXMAOXKS-KRWDZBQOSA-N 0 1 320.477 3.040 20 30 DGEDMN C=CCC[C@H](NC[C@H](O)C(F)(F)C(F)(F)F)c1ccco1 ZINC000883362093 626661457 /nfs/dbraw/zinc/66/14/57/626661457.db2.gz UAQUUGMXKNXWJM-ONGXEEELSA-N 0 1 313.266 3.435 20 30 DGEDMN Cc1cccc2nc(CNc3nc(Cl)c(C#N)s3)[nH]c21 ZINC000804586421 626696049 /nfs/dbraw/zinc/69/60/49/626696049.db2.gz CAYVIZCUHFNVRY-UHFFFAOYSA-N 0 1 303.778 3.465 20 30 DGEDMN N#CC1(CSc2nc(-c3ccccc3O)n[nH]2)CCCC1 ZINC000806586665 626725055 /nfs/dbraw/zinc/72/50/55/626725055.db2.gz ZVQNIHQWFLWHDM-UHFFFAOYSA-N 0 1 300.387 3.353 20 30 DGEDMN CC(C)(C)C1CCC(CC(=O)[C@H](C#N)C(=O)NC2CC2)CC1 ZINC000132589538 626727949 /nfs/dbraw/zinc/72/79/49/626727949.db2.gz VQAXIAPSIUEPOK-PIMMBPRGSA-N 0 1 304.434 3.216 20 30 DGEDMN C#Cc1ccc(C(=O)NCc2cc(Cl)cc(Cl)c2O)nc1 ZINC000807837733 626770987 /nfs/dbraw/zinc/77/09/87/626770987.db2.gz BWQMSASHVZDBFN-UHFFFAOYSA-N 0 1 321.163 3.005 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807840560 626771351 /nfs/dbraw/zinc/77/13/51/626771351.db2.gz DRRQJMZGQKHACG-ZDUSSCGKSA-N 0 1 301.173 3.255 20 30 DGEDMN C#CCC(C)(C)C(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807839415 626771381 /nfs/dbraw/zinc/77/13/81/626771381.db2.gz NGMJJPNGMRLUEL-UHFFFAOYSA-N 0 1 300.185 3.365 20 30 DGEDMN Nc1ccc(C=[NH+][N-]c2ncc(C(F)(F)F)cc2Cl)cn1 ZINC000807944444 626779223 /nfs/dbraw/zinc/77/92/23/626779223.db2.gz BDUYGEKSGREQTD-UHFFFAOYSA-N 0 1 315.686 3.177 20 30 DGEDMN COc1cccc(NN=C2CCc3c2ccc(OC)c3F)n1 ZINC000807982228 626782614 /nfs/dbraw/zinc/78/26/14/626782614.db2.gz ZTQBLBHFQLUMKZ-UHFFFAOYSA-N 0 1 301.321 3.000 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)cc2F)c(C)c1OC ZINC000808386991 626819638 /nfs/dbraw/zinc/81/96/38/626819638.db2.gz JEGQVLRFSAPBKR-UHFFFAOYSA-N 0 1 314.360 3.313 20 30 DGEDMN C#CCOc1cccc(-c2noc(-c3ccc4cncn4c3)n2)c1 ZINC000809342305 626904460 /nfs/dbraw/zinc/90/44/60/626904460.db2.gz RPZVQXWBPFLYHU-UHFFFAOYSA-N 0 1 316.320 3.063 20 30 DGEDMN C#CCOc1ccccc1-c1noc(-c2ccc3cncn3c2)n1 ZINC000809395169 626909692 /nfs/dbraw/zinc/90/96/92/626909692.db2.gz CRFPMPRQGVBXNR-UHFFFAOYSA-N 0 1 316.320 3.063 20 30 DGEDMN CN(Cn1cccc(C#N)c1=O)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000840181711 626922561 /nfs/dbraw/zinc/92/25/61/626922561.db2.gz MQEHUAPAAYGPBC-OALUTQOASA-N 0 1 321.424 3.336 20 30 DGEDMN C#C[C@H](C)NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 ZINC000809683332 626935602 /nfs/dbraw/zinc/93/56/02/626935602.db2.gz ZHDZBUNIMDNUJC-AWEZNQCLSA-N 0 1 309.369 3.474 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1ccc(N2CCOCC2)cc1 ZINC000809715795 626940740 /nfs/dbraw/zinc/94/07/40/626940740.db2.gz GMSPFKDAJQBRRR-UHFFFAOYSA-N 0 1 320.436 3.159 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(C(=O)OC)c(C3CC3)n2)cc1 ZINC000809758090 626948145 /nfs/dbraw/zinc/94/81/45/626948145.db2.gz CGCUUZAPMJEICL-UHFFFAOYSA-N 0 1 320.392 3.017 20 30 DGEDMN C#C[C@@H](NCc1c(C(C)C)nc2sc(C)nn12)C(C)(C)C ZINC000809781975 626952405 /nfs/dbraw/zinc/95/24/05/626952405.db2.gz FSVKITPPBVIFAD-CYBMUJFWSA-N 0 1 304.463 3.360 20 30 DGEDMN C=C(Br)CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000810051507 626972557 /nfs/dbraw/zinc/97/25/57/626972557.db2.gz JHBVYCKBTSXATH-CQSZACIVSA-N 0 1 307.235 3.032 20 30 DGEDMN C#C[C@H](NC/C(C)=C/c1ccccc1OC(F)F)[C@@H]1CCCO1 ZINC000810153265 626981883 /nfs/dbraw/zinc/98/18/83/626981883.db2.gz SUZOEFUPVMBXQU-OHKBXWSGSA-N 0 1 321.367 3.462 20 30 DGEDMN C[C@H](OC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C)c1ccc(C#N)cc1 ZINC000885258342 626995118 /nfs/dbraw/zinc/99/51/18/626995118.db2.gz GZDQAMNUSDPFFG-NVGCLXPQSA-N 0 1 309.369 3.252 20 30 DGEDMN C#Cc1ccccc1Cc1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000810296656 626998598 /nfs/dbraw/zinc/99/85/98/626998598.db2.gz UFZVYMMQIJYXNR-UHFFFAOYSA-N 0 1 300.321 3.185 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)c(Cl)c1 ZINC000885669425 627041323 /nfs/dbraw/zinc/04/13/23/627041323.db2.gz FFKWWYOTEMXZGO-ZWNOBZJWSA-N 0 1 313.788 3.349 20 30 DGEDMN CC(=Cc1ccc(Cl)cc1)C(=O)[C@@H](C#N)C(=O)N1CCCC1 ZINC000136379137 627062916 /nfs/dbraw/zinc/06/29/16/627062916.db2.gz WVNLPYCYGWPHFD-KUGGDMIFSA-N 0 1 316.788 3.075 20 30 DGEDMN C[C@@H]1c2ccc(F)cc2CCN1C[C@H](O)CC1(C#N)CCC1 ZINC000886016365 627084551 /nfs/dbraw/zinc/08/45/51/627084551.db2.gz CTZMGRZGZOKYGM-CZUORRHYSA-N 0 1 302.393 3.190 20 30 DGEDMN N#CC1(C[C@H](O)C[N@H+]2CCc3cc(Cl)ccc3C2)CCC1 ZINC000886166617 627116669 /nfs/dbraw/zinc/11/66/69/627116669.db2.gz MHGBPROKNVKNNH-INIZCTEOSA-N 0 1 304.821 3.143 20 30 DGEDMN N#CC1(C[C@H](O)CN2CCc3cc(Cl)ccc3C2)CCC1 ZINC000886166617 627116671 /nfs/dbraw/zinc/11/66/71/627116671.db2.gz MHGBPROKNVKNNH-INIZCTEOSA-N 0 1 304.821 3.143 20 30 DGEDMN CSc1ccc(CNCc2cnc(C3CC3)nc2)cc1C#N ZINC000886277334 627129580 /nfs/dbraw/zinc/12/95/80/627129580.db2.gz NOKXWOLTJUJNEJ-UHFFFAOYSA-N 0 1 310.426 3.237 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)C(=O)Nc2cccc(Cl)c2C)C1 ZINC000886355799 627142181 /nfs/dbraw/zinc/14/21/81/627142181.db2.gz NWCYWYXTVPPCQD-KGLIPLIRSA-N 0 1 304.821 3.321 20 30 DGEDMN C#CC[C@H]1CCN(Cc2coc(-c3ccc([N+](=O)[O-])cc3)n2)C1 ZINC000886362629 627143133 /nfs/dbraw/zinc/14/31/33/627143133.db2.gz GZYJBXJRWLOHCK-ZDUSSCGKSA-N 0 1 311.341 3.095 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)N[C@@H](C)c2cccc(C#N)c2)c1 ZINC000886534527 627158488 /nfs/dbraw/zinc/15/84/88/627158488.db2.gz KBNGUVDWVUTUKX-QWHCGFSZSA-N 0 1 309.369 3.152 20 30 DGEDMN CSc1ccc(CN[C@H](C)c2cn(C)nc2C)cc1C#N ZINC000886687765 627190412 /nfs/dbraw/zinc/19/04/12/627190412.db2.gz FAQSFDFICKZRAM-LLVKDONJSA-N 0 1 300.431 3.173 20 30 DGEDMN CSc1ccc(CN[C@@H](C)c2cc(C)nn2C)cc1C#N ZINC000886690550 627190919 /nfs/dbraw/zinc/19/09/19/627190919.db2.gz XUQKJRNHTJVJBQ-LBPRGKRZSA-N 0 1 300.431 3.173 20 30 DGEDMN C[C@H]1C(N=Nc2cccc(C(=O)[O-])c2)CC[N@H+]1Cc1ccccc1 ZINC000811629204 627296454 /nfs/dbraw/zinc/29/64/54/627296454.db2.gz MCGOPOZNWSNXHD-AWEZNQCLSA-N 0 1 323.396 3.447 20 30 DGEDMN Cc1cc(N=NC2CCN(Cc3ccccc3)[C@H]2C)nc(C)n1 ZINC000811637721 627297021 /nfs/dbraw/zinc/29/70/21/627297021.db2.gz ITSOZRKQIIDDDN-AWEZNQCLSA-N 0 1 309.417 3.156 20 30 DGEDMN COc1cccc(N=NCc2ccc(C#N)cc2C(F)(F)F)n1 ZINC000811647590 627299518 /nfs/dbraw/zinc/29/95/18/627299518.db2.gz SHFNCOCEGCNBDW-UHFFFAOYSA-N 0 1 320.274 3.427 20 30 DGEDMN COc1ccc(F)cc1C=NNc1cc(C#N)cc(Cl)n1 ZINC000812227925 627361755 /nfs/dbraw/zinc/36/17/55/627361755.db2.gz VWRWBHSRRUIQPN-UHFFFAOYSA-N 0 1 304.712 3.200 20 30 DGEDMN CC(=NNc1ccc(OC(F)(F)F)cc1)c1csc(N)n1 ZINC000812461296 627389455 /nfs/dbraw/zinc/38/94/55/627389455.db2.gz ODXJXQCOKLNUMJ-UHFFFAOYSA-N 0 1 316.308 3.460 20 30 DGEDMN C#C[C@@H](NC1CC(NC(=O)OC(C)(C)C)C1)c1ccc(F)cc1 ZINC000812793899 627421517 /nfs/dbraw/zinc/42/15/17/627421517.db2.gz JKWOPVOUBPJYQJ-UYSNPLJNSA-N 0 1 318.392 3.145 20 30 DGEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)N[C@@H](CC)c1ccccc1 ZINC000813091049 627447946 /nfs/dbraw/zinc/44/79/46/627447946.db2.gz TVTSZWZLRNTFQF-VQHPVUNQSA-N 0 1 312.413 3.399 20 30 DGEDMN N#CC(C(=O)C=Cc1cccnc1)c1cc(C(F)(F)F)ccn1 ZINC000813212943 627467308 /nfs/dbraw/zinc/46/73/08/627467308.db2.gz GQYDDIQBMCHENJ-OOPCZODUSA-N 0 1 317.270 3.385 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCOCC1)c1cc(C(F)(F)F)ccn1 ZINC000813212317 627467633 /nfs/dbraw/zinc/46/76/33/627467633.db2.gz BHWBEOGQVCYOTB-ZYHUDNBSSA-N 0 1 312.291 3.093 20 30 DGEDMN N#C[C@@H](C(=O)C[C@H]1CCCCO1)c1cc(C(F)(F)F)ccn1 ZINC000813215696 627468229 /nfs/dbraw/zinc/46/82/29/627468229.db2.gz AYCMJJRJWMSCSH-VXGBXAGGSA-N 0 1 312.291 3.236 20 30 DGEDMN C=CCCC[C@H](NC(=O)N[C@@H](C)c1nnc[nH]1)c1ccccc1 ZINC000889934296 627485655 /nfs/dbraw/zinc/48/56/55/627485655.db2.gz PPJDCOWOHYVOBK-ZFWWWQNUSA-N 0 1 313.405 3.263 20 30 DGEDMN Cn1nccc1-c1ccccc1/C=C\C(=O)c1ccc(O)cc1O ZINC000814189290 627548382 /nfs/dbraw/zinc/54/83/82/627548382.db2.gz ABNRJLYXLDFYGS-TWGQIWQCSA-N 0 1 320.348 3.394 20 30 DGEDMN C=CCCC[C@H](C)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814664642 627581999 /nfs/dbraw/zinc/58/19/99/627581999.db2.gz XXOFVRDURCBRII-HNNXBMFYSA-N 0 1 324.465 3.053 20 30 DGEDMN Cc1cc(C)nc(NN=Cc2conc2Cc2ccccc2)n1 ZINC000814681616 627583048 /nfs/dbraw/zinc/58/30/48/627583048.db2.gz UITAYKPSBRAPKJ-UHFFFAOYSA-N 0 1 307.357 3.118 20 30 DGEDMN CC(C)c1ccc([C@H](C)NN=Cc2cnc(N(C)C)nc2)cc1 ZINC000814811576 627594101 /nfs/dbraw/zinc/59/41/01/627594101.db2.gz KUMZRRLBUVFBAL-AWEZNQCLSA-N 0 1 311.433 3.351 20 30 DGEDMN COC(=O)c1ccc(CN=Nc2cccc(Cl)c2F)n1C ZINC000814819054 627595320 /nfs/dbraw/zinc/59/53/20/627595320.db2.gz UWOAMZOVUHRWTN-UHFFFAOYSA-N 0 1 309.728 3.050 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1cccnn1)CCCS2 ZINC000814852868 627598279 /nfs/dbraw/zinc/59/82/79/627598279.db2.gz SAPPRAMTVIDENV-UHFFFAOYSA-N 0 1 300.387 3.187 20 30 DGEDMN Brc1cnn(N=C2CCCC[C@H]2[C@H]2CCOC2)c1 ZINC000814884174 627602800 /nfs/dbraw/zinc/60/28/00/627602800.db2.gz FENLNFLMRRYTMB-JQWIXIFHSA-N 0 1 312.211 3.076 20 30 DGEDMN COCC(N=Nc1ccc(OC)cn1)c1ccc(Cl)cc1 ZINC000814908135 627606230 /nfs/dbraw/zinc/60/62/30/627606230.db2.gz IOOYWTCNIDXLRH-UHFFFAOYSA-N 0 1 305.765 3.206 20 30 DGEDMN OC[C@H](NN=C1CC[C@H]2CNc3cccc1c32)c1ccccc1 ZINC000814919453 627607979 /nfs/dbraw/zinc/60/79/79/627607979.db2.gz LYQXOKGBYYMSPQ-KSSFIOAISA-N 0 1 307.397 3.017 20 30 DGEDMN Fc1ccc(NN=Cc2cc(C(F)(F)F)n[nH]2)c(F)c1F ZINC000814945934 627612210 /nfs/dbraw/zinc/61/22/10/627612210.db2.gz XLPJEZRTGBOAFK-UHFFFAOYSA-N 0 1 308.185 3.292 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)Cc1ccc(F)cc1 ZINC000815028075 627617427 /nfs/dbraw/zinc/61/74/27/627617427.db2.gz PTEDVKZNHJRKLT-IBGZPJMESA-N 0 1 315.363 3.004 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2c(Cl)cncc2Cl)c1 ZINC000815877919 627709094 /nfs/dbraw/zinc/70/90/94/627709094.db2.gz LQFQOAJCJUILCE-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C1CC1)c1ccccc1 ZINC000816039149 627729595 /nfs/dbraw/zinc/72/95/95/627729595.db2.gz OPMCPOSNIFCSDJ-YYIAUSFCSA-N 0 1 310.397 3.009 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cccc3c2OCO3)cc1C#N ZINC000925593795 627760078 /nfs/dbraw/zinc/76/00/78/627760078.db2.gz KGYQEVDINRFCAS-LBPRGKRZSA-N 0 1 310.353 3.146 20 30 DGEDMN COc1ccc(CN[C@H]2CCc3c2nccc3Cl)cc1C#N ZINC000925595011 627760452 /nfs/dbraw/zinc/76/04/52/627760452.db2.gz PVOYFVNGQYHLOZ-HNNXBMFYSA-N 0 1 313.788 3.392 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCc2nc(C3CCCCC3)[nH]c2C1 ZINC000816397662 627781827 /nfs/dbraw/zinc/78/18/27/627781827.db2.gz OCXLFJZMSRGVGA-HNNXBMFYSA-N 0 1 313.445 3.234 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000816508630 627799064 /nfs/dbraw/zinc/79/90/64/627799064.db2.gz QDOKLVLMEUAIQY-SFHVURJKSA-N 0 1 324.509 3.450 20 30 DGEDMN N#Cc1ccc(C(=O)NCc2cc(Cl)cc(Cl)c2O)o1 ZINC000816682513 627824254 /nfs/dbraw/zinc/82/42/54/627824254.db2.gz ZVYUWDDPNWQOOM-UHFFFAOYSA-N 0 1 311.124 3.094 20 30 DGEDMN Cc1ccc2nc(NC(=O)c3ccccc3OCC#N)[nH]c2c1 ZINC000817018319 627846836 /nfs/dbraw/zinc/84/68/36/627846836.db2.gz YKIHVAJAYGIGQK-UHFFFAOYSA-N 0 1 306.325 3.026 20 30 DGEDMN Cc1c(Cl)c(CN=Nc2ccc(C(F)(F)F)cn2)nn1C ZINC000817322345 627882434 /nfs/dbraw/zinc/88/24/34/627882434.db2.gz TZTWIGDOEAKOEQ-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN COc1cc(CN[C@H](C)c2ccnn2C2CCC2)ccc1C#N ZINC000926449855 627897276 /nfs/dbraw/zinc/89/72/76/627897276.db2.gz XDOVDTAIUNKUAV-CYBMUJFWSA-N 0 1 310.401 3.339 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1ccncc1Cl ZINC000817578264 627924010 /nfs/dbraw/zinc/92/40/10/627924010.db2.gz JIQFOWXEVLWPMU-LBPRGKRZSA-N 0 1 301.777 3.488 20 30 DGEDMN C=CCOCCCN[C@H](c1ccccn1)c1ccccc1OC ZINC000926701648 627936315 /nfs/dbraw/zinc/93/63/15/627936315.db2.gz CBFKDDSCUUWKFV-IBGZPJMESA-N 0 1 312.413 3.362 20 30 DGEDMN CCSc1ccccc1C[C@H](C)N1CCN(CCC#N)CC1 ZINC000926806704 627959044 /nfs/dbraw/zinc/95/90/44/627959044.db2.gz GQUVVRYTQVXGJM-INIZCTEOSA-N 0 1 317.502 3.261 20 30 DGEDMN C[C@H]([NH2+][C@@H]1CC[C@H](C#N)C1)c1ccc(Br)cc1[O-] ZINC000818356721 628017731 /nfs/dbraw/zinc/01/77/31/628017731.db2.gz QIVPMDGLMFNBTO-JBLDHEPKSA-N 0 1 309.207 3.498 20 30 DGEDMN C=C(Br)CNC[C@H](O)c1ccc(F)cc1Cl ZINC000818979603 628072077 /nfs/dbraw/zinc/07/20/77/628072077.db2.gz RMBYXJFLSFJLTQ-NSHDSACASA-N 0 1 308.578 3.011 20 30 DGEDMN N#C[C@H]1CCCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000928219370 628126780 /nfs/dbraw/zinc/12/67/80/628126780.db2.gz VHFWIUIFIXQAHZ-SECBINFHSA-N 0 1 320.805 3.167 20 30 DGEDMN C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)Nc2ccc(CCC#N)cc2)C1 ZINC000928400867 628148331 /nfs/dbraw/zinc/14/83/31/628148331.db2.gz JNEWRMMOJXAMMX-MLGOLLRUSA-N 0 1 308.385 3.170 20 30 DGEDMN C[C@H]1Cc2cn[nH]c2[C@@H](C(=O)Nc2ccc(CCC#N)cc2)C1 ZINC000928400866 628148350 /nfs/dbraw/zinc/14/83/50/628148350.db2.gz JNEWRMMOJXAMMX-LRDDRELGSA-N 0 1 308.385 3.170 20 30 DGEDMN C#CCN1CCC(NC(=O)C2(c3ccccc3C)CCCC2)CC1 ZINC000928651148 628172946 /nfs/dbraw/zinc/17/29/46/628172946.db2.gz OIKOASARFXAMTE-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN C[C@@H](NN=Cc1ccc(N(C)CCC#N)cc1)c1ccncc1 ZINC000819816015 628193231 /nfs/dbraw/zinc/19/32/31/628193231.db2.gz WCSWFMFYJVCWPO-OAHLLOKOSA-N 0 1 307.401 3.116 20 30 DGEDMN CC(=NN[C@@H](C)c1ccncc1)c1ccc(N2CCCC2)cc1O ZINC000819816219 628193354 /nfs/dbraw/zinc/19/33/54/628193354.db2.gz HDZHRJYBGFHZLA-AWEZNQCLSA-N 0 1 324.428 3.462 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC[C@H]2C)c1 ZINC000819946282 628202476 /nfs/dbraw/zinc/20/24/76/628202476.db2.gz ZAHWAIRMCLLINP-UHOFOFEASA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC[C@@H]2C)cc1 ZINC000819942929 628202606 /nfs/dbraw/zinc/20/26/06/628202606.db2.gz MVSCCXFCQMUQDQ-VBNZEHGJSA-N 0 1 314.385 3.169 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CCC[C@H]2c2cc(C)on2)cc1 ZINC000929186912 628222540 /nfs/dbraw/zinc/22/25/40/628222540.db2.gz XKRXJUQQPAJVIK-SFHVURJKSA-N 0 1 323.396 3.130 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC000820519939 628268509 /nfs/dbraw/zinc/26/85/09/628268509.db2.gz BWGJEFISFXFIRY-UHFFFAOYSA-N 0 1 306.316 3.122 20 30 DGEDMN C#CCCCOc1cccnc1NC[C@@H](c1ccco1)N(C)C ZINC000820604114 628277701 /nfs/dbraw/zinc/27/77/01/628277701.db2.gz DJFRTOAIZFLMNG-HNNXBMFYSA-N 0 1 313.401 3.182 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1C2CCC(CC2)[C@@H]1C(F)(F)F)c1ccncn1 ZINC000820622563 628279185 /nfs/dbraw/zinc/27/91/85/628279185.db2.gz QVFWXCFENUDTFY-SLTAENAHSA-N 0 1 323.318 3.268 20 30 DGEDMN COc1ccc(/C=C/C(=O)c2cccc(CN(C)C)c2)cc1O ZINC000821021604 628327384 /nfs/dbraw/zinc/32/73/84/628327384.db2.gz JEIIIRJVYBSTFB-VQHVLOKHSA-N 0 1 311.381 3.359 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC000822150196 628425564 /nfs/dbraw/zinc/42/55/64/628425564.db2.gz CUGUNLMAYVYBRP-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)C1 ZINC000823513149 628571536 /nfs/dbraw/zinc/57/15/36/628571536.db2.gz UBJJZIFQULRJHD-NSHDSACASA-N 0 1 317.339 3.376 20 30 DGEDMN C=CCN(Cc1cc(C)oc1C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000823915346 628609640 /nfs/dbraw/zinc/60/96/40/628609640.db2.gz VSHHAKFAJGDURV-UHFFFAOYSA-N 0 1 323.400 3.265 20 30 DGEDMN N#CC[C@@H]1CCCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)C1 ZINC000824747099 628688848 /nfs/dbraw/zinc/68/88/48/628688848.db2.gz QOGKAKUSBBKFNB-JTQLQIEISA-N 0 1 318.327 3.266 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@@H](C)C[C@H](C)C2)o1 ZINC000824858589 628701168 /nfs/dbraw/zinc/70/11/68/628701168.db2.gz KSDCCDGKCODJQY-UWVGGRQHSA-N 0 1 317.397 3.202 20 30 DGEDMN COC(=O)C1(C#N)CCN(Cc2cccc3ccccc32)CC1 ZINC000824861454 628701355 /nfs/dbraw/zinc/70/13/55/628701355.db2.gz LTOWXWJFNKTDPQ-UHFFFAOYSA-N 0 1 308.381 3.119 20 30 DGEDMN CCCC[C@@H](CC)CCN=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000825261385 628743584 /nfs/dbraw/zinc/74/35/84/628743584.db2.gz YLFRICWNEPKTDC-LLVKDONJSA-N 0 1 305.386 3.167 20 30 DGEDMN CCCCCCCN=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC000825271459 628745018 /nfs/dbraw/zinc/74/50/18/628745018.db2.gz KCGZSJVJANEXQN-UHFFFAOYSA-N 0 1 305.386 3.346 20 30 DGEDMN C=C(Br)C[N@@H+](CCCC(=O)[O-])Cc1ccccc1 ZINC000825642515 628781015 /nfs/dbraw/zinc/78/10/15/628781015.db2.gz UXMTUCPSKVHYFP-UHFFFAOYSA-N 0 1 312.207 3.262 20 30 DGEDMN C=C(Br)C[N@H+](CCCC(=O)[O-])Cc1ccccc1 ZINC000825642515 628781016 /nfs/dbraw/zinc/78/10/16/628781016.db2.gz UXMTUCPSKVHYFP-UHFFFAOYSA-N 0 1 312.207 3.262 20 30 DGEDMN C[C@@H](C#N)C[N@H+](C)[C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967719 628816366 /nfs/dbraw/zinc/81/63/66/628816366.db2.gz ZERHBTROYIZJKK-UFBFGSQYSA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](C)[C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967719 628816368 /nfs/dbraw/zinc/81/63/68/628816368.db2.gz ZERHBTROYIZJKK-UFBFGSQYSA-N 0 1 301.173 3.211 20 30 DGEDMN N#CC[C@@H]1CC[C@H]([NH2+]Cc2ccc(Br)cc2[O-])C1 ZINC000826049002 628823028 /nfs/dbraw/zinc/82/30/28/628823028.db2.gz NANQSASTDYVXAN-GWCFXTLKSA-N 0 1 309.207 3.327 20 30 DGEDMN CC(C)[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000826735270 628880281 /nfs/dbraw/zinc/88/02/81/628880281.db2.gz CQUXBMUKIMWQLI-WBVHZDCISA-N 0 1 300.402 3.442 20 30 DGEDMN CCC[N@@H+](Cc1ccc(C#N)cc1F)C1CCN(C(=O)[O-])CC1 ZINC000826912158 628903149 /nfs/dbraw/zinc/90/31/49/628903149.db2.gz RNORMEPCOGTRTN-UHFFFAOYSA-N 0 1 319.380 3.052 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1ccccc1C(C)(C)C#N)C1CC1)C(=O)[O-] ZINC000827456496 628961090 /nfs/dbraw/zinc/96/10/90/628961090.db2.gz YCPPIUZXVVWMEB-ZDUSSCGKSA-N 0 1 300.402 3.173 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@H]1[C@H](O)c1ccccc1 ZINC000828049511 629018120 /nfs/dbraw/zinc/01/81/20/629018120.db2.gz XOBOIJNZKIRKML-RBUKOAKNSA-N 0 1 307.397 3.041 20 30 DGEDMN C[C@H]1c2ccccc2OCC[N@H+]1[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000828526810 629062717 /nfs/dbraw/zinc/06/27/17/629062717.db2.gz DPJZEBRIVJXWRX-UGSOOPFHSA-N 0 1 322.364 3.140 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2OCC)C1 ZINC000829775209 629190613 /nfs/dbraw/zinc/19/06/13/629190613.db2.gz ZFRDQCKGJPDMNI-JKSUJKDBSA-N 0 1 314.429 3.148 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(SC)cc2)C1 ZINC000829774391 629190867 /nfs/dbraw/zinc/19/08/67/629190867.db2.gz PUGIPBWOYQHFCH-LSDHHAIUSA-N 0 1 316.470 3.471 20 30 DGEDMN CCS[C@@H]1CCCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000830163964 629232710 /nfs/dbraw/zinc/23/27/10/629232710.db2.gz LFXAEGQOVSZOTE-CVEARBPZSA-N 0 1 318.442 3.292 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(Cl)ccc2OCC#N)C[C@@H]1C ZINC000831528058 629386091 /nfs/dbraw/zinc/38/60/91/629386091.db2.gz KSBWBPVDMCOAHZ-SWLSCSKDSA-N 0 1 308.809 3.099 20 30 DGEDMN COC(=O)C1(C#N)CCN([C@H](C)c2c(C)cc(C)cc2C)CC1 ZINC000831695107 629405235 /nfs/dbraw/zinc/40/52/35/629405235.db2.gz MEJQHWWDDYPJOT-MRXNPFEDSA-N 0 1 314.429 3.452 20 30 DGEDMN C#CC[N@@H+](Cc1ccc(F)cc1)Cc1ccccc1CC(=O)[O-] ZINC000833365189 629592228 /nfs/dbraw/zinc/59/22/28/629592228.db2.gz ZGJSMNHXIXLMNJ-UHFFFAOYSA-N 0 1 311.356 3.088 20 30 DGEDMN C#CCCOc1ccc(C[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000833365373 629592307 /nfs/dbraw/zinc/59/23/07/629592307.db2.gz QRIMDCZHNHCGQH-UHFFFAOYSA-N 0 1 321.376 3.303 20 30 DGEDMN C#CCCOc1ccc(C[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)cc1 ZINC000833365373 629592308 /nfs/dbraw/zinc/59/23/08/629592308.db2.gz QRIMDCZHNHCGQH-UHFFFAOYSA-N 0 1 321.376 3.303 20 30 DGEDMN C=CCOc1cccc(C[N@H+]2Cc3ccc(C(=O)[O-])cc3C2)c1 ZINC000833378756 629595481 /nfs/dbraw/zinc/59/54/81/629595481.db2.gz MQNGRDGDVQLIDZ-UHFFFAOYSA-N 0 1 309.365 3.465 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2Cc3ccc(C(=O)[O-])cc3C2)c1 ZINC000833378756 629595484 /nfs/dbraw/zinc/59/54/84/629595484.db2.gz MQNGRDGDVQLIDZ-UHFFFAOYSA-N 0 1 309.365 3.465 20 30 DGEDMN C=CCC(F)(F)C(=O)NCC(F)(F)c1ccc(F)cc1F ZINC000834294962 629687367 /nfs/dbraw/zinc/68/73/67/629687367.db2.gz HPXGMKUKZYEFCK-UHFFFAOYSA-N 0 1 311.225 3.384 20 30 DGEDMN C=CCSCCN[C@H](C)c1nc(Br)cs1 ZINC000834634547 629744014 /nfs/dbraw/zinc/74/40/14/629744014.db2.gz CCQSCDZKHLYTOA-MRVPVSSYSA-N 0 1 307.282 3.475 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000834892315 629799276 /nfs/dbraw/zinc/79/92/76/629799276.db2.gz RBPZRAFEBLZCLR-AWEZNQCLSA-N 0 1 311.381 3.264 20 30 DGEDMN FC(F)Cn1cc(C=NNc2cc(C(F)(F)F)ccn2)cn1 ZINC000834986658 629817909 /nfs/dbraw/zinc/81/79/09/629817909.db2.gz WSZMATGLRLSGBP-UHFFFAOYSA-N 0 1 319.237 3.008 20 30 DGEDMN COC(=O)c1ccc(N=NCc2csc(C3CC3)n2)c(F)c1 ZINC000835024061 629826803 /nfs/dbraw/zinc/82/68/03/629826803.db2.gz WYASVDKWHWYFSM-UHFFFAOYSA-N 0 1 319.361 3.392 20 30 DGEDMN Cn1c2ccccc2nc1[C@H](C#N)C(=O)C(C)(C)C(C)(F)F ZINC000835036005 629830712 /nfs/dbraw/zinc/83/07/12/629830712.db2.gz IPXRFIYTECYNDU-SNVBAGLBSA-N 0 1 305.328 3.431 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)C[C@@H]2CC[C@H]3C[C@H]32)cc1 ZINC000835063159 629841610 /nfs/dbraw/zinc/84/16/10/629841610.db2.gz VGTSTXPVCLTZSY-XJNFMUPTSA-N 0 1 310.397 3.333 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000835062737 629841738 /nfs/dbraw/zinc/84/17/38/629841738.db2.gz WSJAHEGZTAMNCZ-WQVCFCJDSA-N 0 1 314.385 3.169 20 30 DGEDMN C#CCSCCOC(=O)c1[nH]c(-c2cccc(C)c2)nc1C ZINC000835440161 629932855 /nfs/dbraw/zinc/93/28/55/629932855.db2.gz XMFTUHPUNSEBSY-UHFFFAOYSA-N 0 1 314.410 3.217 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1C[C@H]1C(F)(F)F)c1cc(C(F)(F)F)ccn1 ZINC000835456736 629936379 /nfs/dbraw/zinc/93/63/79/629936379.db2.gz WMUHNIIXEBQERH-IWSPIJDZSA-N 0 1 322.208 3.475 20 30 DGEDMN N#CC(C(=O)C1CCCCCC1)c1nnc2n1CCCCC2 ZINC000102122572 629951243 /nfs/dbraw/zinc/95/12/43/629951243.db2.gz RWDXZBLMBUXECY-CQSZACIVSA-N 0 1 300.406 3.151 20 30 DGEDMN N#Cc1cc(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)ccc1F ZINC000837712074 630301290 /nfs/dbraw/zinc/30/12/90/630301290.db2.gz UTOWDBALLZYEAJ-QGZVFWFLSA-N 0 1 324.355 3.129 20 30 DGEDMN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841003331 630394431 /nfs/dbraw/zinc/39/44/31/630394431.db2.gz SDVJIWYLFKQERX-CZUORRHYSA-N 0 1 314.433 3.166 20 30 DGEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)ccc1C(F)(F)F ZINC000841634047 630449886 /nfs/dbraw/zinc/44/98/86/630449886.db2.gz UWKFEJKYSSJCCS-OKILXGFUSA-N 0 1 324.350 3.208 20 30 DGEDMN C[C@@H](O)c1ncc(CN[C@H]2CCCc3cc(C#N)ccc32)s1 ZINC000841894071 630473941 /nfs/dbraw/zinc/47/39/41/630473941.db2.gz MLXNLYHIVOIQQN-BZNIZROVSA-N 0 1 313.426 3.235 20 30 DGEDMN C[C@H](NCC1(C#N)CCC1)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000841897995 630474754 /nfs/dbraw/zinc/47/47/54/630474754.db2.gz JWTUUZXRZXCGQY-LSDHHAIUSA-N 0 1 321.465 3.448 20 30 DGEDMN C[C@H](ON=C(N)CN1[C@H](C)CCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000842048305 630482974 /nfs/dbraw/zinc/48/29/74/630482974.db2.gz CRFLOMAHOJZCMY-ZNMIVQPWSA-N 0 1 314.433 3.381 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@H]2C[C@]2(F)c2ccccc2)nc(C)n1 ZINC000842283537 630510959 /nfs/dbraw/zinc/51/09/59/630510959.db2.gz COKOYFBOPMDBSC-DAYGRLMNSA-N 0 1 309.344 3.155 20 30 DGEDMN CC[C@H](CC1CCCCC1)C(=O)C(C#N)C(=O)N1CCCC1 ZINC000842284996 630511001 /nfs/dbraw/zinc/51/10/01/630511001.db2.gz MGCCHWYURKWNAV-CVEARBPZSA-N 0 1 304.434 3.314 20 30 DGEDMN C#C[C@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccc(F)cc1 ZINC000842406441 630528686 /nfs/dbraw/zinc/52/86/86/630528686.db2.gz DLDRYZBFFRYKOJ-YJBOKZPZSA-N 0 1 321.367 3.256 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@@H](c3ccccc3C)CC2=O)C1 ZINC000842629199 630556304 /nfs/dbraw/zinc/55/63/04/630556304.db2.gz LFAKOXLIDHWHFI-MSOLQXFVSA-N 0 1 310.441 3.004 20 30 DGEDMN N#CC(C(=O)C=Cc1cncc(F)c1)c1nc(C2CC2)cs1 ZINC000842942544 630597133 /nfs/dbraw/zinc/59/71/33/630597133.db2.gz ZSBOKLIPEMRXLS-RRROIERXSA-N 0 1 313.357 3.444 20 30 DGEDMN N#Cc1cnn2cc(CN3CCC(C4CCCCC4)CC3)cnc12 ZINC000843394804 630652037 /nfs/dbraw/zinc/65/20/37/630652037.db2.gz WTKGKECNLVGKNG-UHFFFAOYSA-N 0 1 323.444 3.393 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000843998342 630706148 /nfs/dbraw/zinc/70/61/48/630706148.db2.gz AHGPHDBNAFKLAG-ZDUSSCGKSA-N 0 1 311.360 3.091 20 30 DGEDMN COc1ccc(C=NNc2nccnc2C2CCC2)c(F)c1 ZINC000844596856 630742074 /nfs/dbraw/zinc/74/20/74/630742074.db2.gz PGWADDVODRACJL-UHFFFAOYSA-N 0 1 300.337 3.338 20 30 DGEDMN Cc1c(N=NC2CC[N@@H+](Cc3ccccc3)C2)cccc1C(=O)[O-] ZINC000844627856 630744670 /nfs/dbraw/zinc/74/46/70/630744670.db2.gz RPEVPWUTBAEZNT-UHFFFAOYSA-N 0 1 323.396 3.367 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2ccc(C#N)cc2F)cc1 ZINC000105642572 630947416 /nfs/dbraw/zinc/94/74/16/630947416.db2.gz TUBYAETVCHLAMI-CYBMUJFWSA-N 0 1 309.344 3.278 20 30 DGEDMN N#CC(C(=O)[C@@H]1CSC[C@H]1c1ccccc1)c1ccccn1 ZINC000845440371 631175142 /nfs/dbraw/zinc/17/51/42/631175142.db2.gz GBNBQZYPNIENHC-OWCLPIDISA-N 0 1 308.406 3.405 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@@H]1C1CCCC1)c1nnc2n1CCCCC2 ZINC000845447072 631175791 /nfs/dbraw/zinc/17/57/91/631175791.db2.gz YKWWYAUKZJDUHL-QLFBSQMISA-N 0 1 312.417 3.007 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]2C2CCCC2)c1 ZINC000845526055 631180460 /nfs/dbraw/zinc/18/04/60/631180460.db2.gz BHGNGDDTZFKUIT-KZNAEPCWSA-N 0 1 324.424 3.146 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCC1)c1ccccc1 ZINC000845528709 631180641 /nfs/dbraw/zinc/18/06/41/631180641.db2.gz LGHMYCBCLFHALX-MLHJIOFPSA-N 0 1 324.424 3.399 20 30 DGEDMN C=C[C@H](C)ONC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000845634105 631184521 /nfs/dbraw/zinc/18/45/21/631184521.db2.gz JNDDTDXTBJGTMN-SWLSCSKDSA-N 0 1 314.389 3.224 20 30 DGEDMN N#CCCCCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842636 631198512 /nfs/dbraw/zinc/19/85/12/631198512.db2.gz GUOJTMUCGJUQIM-INIZCTEOSA-N 0 1 308.381 3.470 20 30 DGEDMN N#CC(C(=O)CC1CC(F)(F)C1)=C(O)C1(c2ccccc2)CC1 ZINC000845842784 631198554 /nfs/dbraw/zinc/19/85/54/631198554.db2.gz IPKSADHZMCZIPR-AWEZNQCLSA-N 0 1 317.335 3.432 20 30 DGEDMN CS[C@@H](C)CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842853 631198584 /nfs/dbraw/zinc/19/85/84/631198584.db2.gz WLQSALBJFRYSSF-JSGCOSHPSA-N 0 1 301.411 3.138 20 30 DGEDMN C[C@@H]1C(=O)CC[C@H]1CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845844076 631198910 /nfs/dbraw/zinc/19/89/10/631198910.db2.gz FKSPDMNOXKTTHN-OFQRWUPVSA-N 0 1 323.392 3.001 20 30 DGEDMN C[C@H]1C[C@@H](NCC2(C#N)CCCC2)CN(C(=O)OC(C)(C)C)C1 ZINC000846010986 631205832 /nfs/dbraw/zinc/20/58/32/631205832.db2.gz PQQAHBOTIONZIM-LSDHHAIUSA-N 0 1 321.465 3.305 20 30 DGEDMN C=C(C)CONC(=O)C(C)(C)c1cccc(Br)c1 ZINC000846538966 631257351 /nfs/dbraw/zinc/25/73/51/631257351.db2.gz AZIOZCWFCFMEHB-UHFFFAOYSA-N 0 1 312.207 3.351 20 30 DGEDMN CCCCOC(=O)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000106586459 631342869 /nfs/dbraw/zinc/34/28/69/631342869.db2.gz WINAGOIOPRTNHM-FRKPEAEDSA-N 0 1 304.173 3.461 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)N(C)[C@H](C)c2ccc(Cl)cc2)C1 ZINC000847025570 631383586 /nfs/dbraw/zinc/38/35/86/631383586.db2.gz NVDUSJIUKKBQIA-HUUCEWRRSA-N 0 1 318.848 3.205 20 30 DGEDMN COc1ccc2c(c1)[C@H](C(=O)Nc1cc(C#N)ccc1O)CCC2 ZINC000847617083 631497019 /nfs/dbraw/zinc/49/70/19/631497019.db2.gz YJTHCNKNBSOSMB-OAHLLOKOSA-N 0 1 322.364 3.331 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccc(C#N)cc2)nc(C)n1 ZINC000847622063 631497863 /nfs/dbraw/zinc/49/78/63/631497863.db2.gz AGNFXBCMSKGKLV-PXAZEXFGSA-N 0 1 318.380 3.020 20 30 DGEDMN N#CC[C@H](CC(=O)OCc1cc(C2CC2)[nH]n1)c1ccccc1 ZINC000848011417 631595188 /nfs/dbraw/zinc/59/51/88/631595188.db2.gz MULPNMRLLOPZMQ-OAHLLOKOSA-N 0 1 309.369 3.418 20 30 DGEDMN CC(C)(C)c1nc(C#N)c(N=NC2CCCN3CCCC[C@H]23)o1 ZINC000848403930 631654707 /nfs/dbraw/zinc/65/47/07/631654707.db2.gz FSBPJDKXLHLKKT-CQSZACIVSA-N 0 1 315.421 3.260 20 30 DGEDMN Fc1nc(F)c(F)c(N=NC2CCCN3CCCC[C@@H]23)c1F ZINC000848402300 631654792 /nfs/dbraw/zinc/65/47/92/631654792.db2.gz AHLOQEFTWLKXBT-VIFPVBQESA-N 0 1 316.302 3.054 20 30 DGEDMN c1ccc(-c2noc(N=NC3CCCN4CCCC[C@@H]34)n2)cc1 ZINC000848404744 631654983 /nfs/dbraw/zinc/65/49/83/631654983.db2.gz ZMVJDKNWLZHLAD-HNNXBMFYSA-N 0 1 311.389 3.153 20 30 DGEDMN CN(CCC#N)c1ccc(CNCc2noc3ccccc32)cc1 ZINC000848579479 631702705 /nfs/dbraw/zinc/70/27/05/631702705.db2.gz DLEUUZYWZWZNOX-UHFFFAOYSA-N 0 1 320.396 3.467 20 30 DGEDMN CC(C)c1ccccc1CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000848880877 631768027 /nfs/dbraw/zinc/76/80/27/631768027.db2.gz KEDRWBPJSAJLEA-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN Cn1ncc(C2CCC2)c1/C=C/C(=O)c1cc(F)ccc1O ZINC000849247030 631863072 /nfs/dbraw/zinc/86/30/72/631863072.db2.gz NWMAFMMLJRNTBH-SOFGYWHQSA-N 0 1 300.333 3.428 20 30 DGEDMN Cn1ncc(C2CCC2)c1/C=C\C(=O)c1cc(F)ccc1O ZINC000849247031 631863666 /nfs/dbraw/zinc/86/36/66/631863666.db2.gz NWMAFMMLJRNTBH-VURMDHGXSA-N 0 1 300.333 3.428 20 30 DGEDMN CC(C)(C)c1nnc(COC(=O)CC2(C#N)CCCCC2)[nH]1 ZINC000849907122 632002101 /nfs/dbraw/zinc/00/21/01/632002101.db2.gz UGFLJYWOAMGNTD-UHFFFAOYSA-N 0 1 304.394 3.010 20 30 DGEDMN CC(C)(C)c1nc(COC(=O)CC2(C#N)CCCCC2)n[nH]1 ZINC000849907122 632002108 /nfs/dbraw/zinc/00/21/08/632002108.db2.gz UGFLJYWOAMGNTD-UHFFFAOYSA-N 0 1 304.394 3.010 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)CCCCCc1cccs1 ZINC000109184813 632086274 /nfs/dbraw/zinc/08/62/74/632086274.db2.gz RCMWYITVFMPGGL-AWEZNQCLSA-N 0 1 306.431 3.084 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2scnc2C)cc1)[C@H]1CCCO1 ZINC000850251124 632094137 /nfs/dbraw/zinc/09/41/37/632094137.db2.gz MMDAQFPLDDIPHN-DLBZAZTESA-N 0 1 312.438 3.389 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@H]1COC2(CCC2)C1 ZINC000850369599 632128019 /nfs/dbraw/zinc/12/80/19/632128019.db2.gz HVDQIDHLKPVVGX-CYBMUJFWSA-N 0 1 305.805 3.153 20 30 DGEDMN C=CCOCCCN[C@@H](C)c1nc(Br)cs1 ZINC000850532331 632183426 /nfs/dbraw/zinc/18/34/26/632183426.db2.gz SQLWTRVFNKFBCL-VIFPVBQESA-N 0 1 305.241 3.149 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1CCCC2(CC2)C1 ZINC000850752316 632215012 /nfs/dbraw/zinc/21/50/12/632215012.db2.gz MYODJAJNYBBIFD-LSDHHAIUSA-N 0 1 304.434 3.362 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000850752984 632215755 /nfs/dbraw/zinc/21/57/55/632215755.db2.gz WTMRVZZACXWOAG-XUWVNRHRSA-N 0 1 304.434 3.218 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)C1CC2(CC2)C1 ZINC000850755483 632215914 /nfs/dbraw/zinc/21/59/14/632215914.db2.gz DXFUFVNWFCUFHF-ZDUSSCGKSA-N 0 1 302.761 3.178 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)C1CCC2(CC2)CC1 ZINC000850756591 632216812 /nfs/dbraw/zinc/21/68/12/632216812.db2.gz BRHDEGVVMXIPAB-OAHLLOKOSA-N 0 1 302.418 3.115 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC000850767606 632218898 /nfs/dbraw/zinc/21/88/98/632218898.db2.gz COZFFISHZGLDCP-ZOBUZTSGSA-N 0 1 316.445 3.361 20 30 DGEDMN CO[C@@H](C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)C1CCCC1 ZINC000850849805 632236952 /nfs/dbraw/zinc/23/69/52/632236952.db2.gz KDEIHNZHAIEJRP-CXAGYDPISA-N 0 1 311.385 3.253 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCCc3cccnc3)[nH]c21 ZINC000850850243 632237055 /nfs/dbraw/zinc/23/70/55/632237055.db2.gz SUZVMUBWEGPNLX-HNNXBMFYSA-N 0 1 318.380 3.466 20 30 DGEDMN Cc1ccn([C@@H](C)CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)n1 ZINC000850850025 632237098 /nfs/dbraw/zinc/23/70/98/632237098.db2.gz OJHAVEPEUDSANL-UONOGXRCSA-N 0 1 321.384 3.204 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C3CC4(CC4(F)F)C3)[nH]c21 ZINC000850849969 632237134 /nfs/dbraw/zinc/23/71/34/632237134.db2.gz NNOISSRJUQHMCS-CSJGVYOVSA-N 0 1 315.323 3.483 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCCC1 ZINC000850987238 632278711 /nfs/dbraw/zinc/27/87/11/632278711.db2.gz XKNCPERRMDLARK-LIRRHRJNSA-N 0 1 323.396 3.401 20 30 DGEDMN Cc1ccoc1CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000851109731 632313115 /nfs/dbraw/zinc/31/31/15/632313115.db2.gz LYDLVRSHORZUJJ-HNNXBMFYSA-N 0 1 307.349 3.140 20 30 DGEDMN C=CCCN(OCc1ccccc1)[C@H](C)c1n[nH]c(CC)n1 ZINC000851970215 632531265 /nfs/dbraw/zinc/53/12/65/632531265.db2.gz CHHJZSCWIJXDDI-CQSZACIVSA-N 0 1 300.406 3.438 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)Nc1ccccc1SC(F)F ZINC000852097065 632553454 /nfs/dbraw/zinc/55/34/54/632553454.db2.gz KHESOQISIVCJQT-OAHLLOKOSA-N 0 1 312.385 3.331 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccccc1Br ZINC000852098863 632553915 /nfs/dbraw/zinc/55/39/15/632553915.db2.gz YBLNTTGEZOTMMG-IAQYHMDHSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000852099770 632554222 /nfs/dbraw/zinc/55/42/22/632554222.db2.gz ASTVBISSWQRQFA-DNVCBOLYSA-N 0 1 313.445 3.005 20 30 DGEDMN CCc1ccc2c(CN3CCC[C@H](CC#N)C3)cc(=O)oc2c1 ZINC000852320346 632586857 /nfs/dbraw/zinc/58/68/57/632586857.db2.gz ZRCNMLMWKWQSCU-OAHLLOKOSA-N 0 1 310.397 3.481 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2Cc3ccc(NC(=O)C(F)(F)F)cc3C2)C1 ZINC000852336451 632590624 /nfs/dbraw/zinc/59/06/24/632590624.db2.gz VPCKNQKVTAYRIQ-IINYFYTJSA-N 0 1 323.318 3.195 20 30 DGEDMN C=CCN(C[C@H](O)c1ccc(F)cc1Cl)[C@H](C)COC ZINC000852357277 632594248 /nfs/dbraw/zinc/59/42/48/632594248.db2.gz ZVHNGYDIMZCMDG-ABAIWWIYSA-N 0 1 301.789 3.035 20 30 DGEDMN C[C@H](CO)[C@@H]1CCCCN1Cc1cc(Cl)ccc1OCC#N ZINC000852422905 632601508 /nfs/dbraw/zinc/60/15/08/632601508.db2.gz MZDKZNYIRWOHBW-CJNGLKHVSA-N 0 1 322.836 3.225 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2C)CC1(C)C ZINC000995348791 660187482 /nfs/dbraw/zinc/18/74/82/660187482.db2.gz BQRMMLWUICMZLW-LBPRGKRZSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(Cl)c3occc32)C1 ZINC001032120760 660203746 /nfs/dbraw/zinc/20/37/46/660203746.db2.gz KISAZKUDSTUYMG-UHFFFAOYSA-N 0 1 318.804 3.324 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001032178395 660210623 /nfs/dbraw/zinc/21/06/23/660210623.db2.gz GXDCAZBARANOIT-ZDUSSCGKSA-N 0 1 318.486 3.111 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccsc2C(F)(F)F)C1 ZINC001032153022 660208264 /nfs/dbraw/zinc/20/82/64/660208264.db2.gz QBDBHVOVWYSXTA-UHFFFAOYSA-N 0 1 318.364 3.005 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)oc2C)CC1(C)C ZINC000995449398 660209199 /nfs/dbraw/zinc/20/91/99/660209199.db2.gz RKGILYGPGWNZSR-AWEZNQCLSA-N 0 1 310.825 3.089 20 30 DGEDMN N#CC(C(=O)CCC1CCCC1)c1nnc2n1CCCCC2 ZINC000072678678 660212631 /nfs/dbraw/zinc/21/26/31/660212631.db2.gz WIFNFNFBTSSXNQ-CQSZACIVSA-N 0 1 300.406 3.151 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)=C2CCCC2)CC1(C)C ZINC000995652032 660235732 /nfs/dbraw/zinc/23/57/32/660235732.db2.gz WTCUFJSLNVHWPX-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C[C@@H]1C ZINC000947915766 660236933 /nfs/dbraw/zinc/23/69/33/660236933.db2.gz FPTSFGKIOWSOHS-ZFWWWQNUSA-N 0 1 318.848 3.173 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2c(CC)oc3ccccc32)[C@H](C)C1 ZINC000945178222 660242762 /nfs/dbraw/zinc/24/27/62/660242762.db2.gz FIUXMVJJKASDOF-ZBFHGGJFSA-N 0 1 324.424 3.069 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)[C@H](C)C1 ZINC000945182775 660242960 /nfs/dbraw/zinc/24/29/60/660242960.db2.gz QHDGTDQCIYGXOJ-PBHICJAKSA-N 0 1 324.424 3.123 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000186056621 660264289 /nfs/dbraw/zinc/26/42/89/660264289.db2.gz FDRPSBGKFYJCMO-SFHVURJKSA-N 0 1 312.457 3.159 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2CC)CC1(C)C ZINC000995751144 660270619 /nfs/dbraw/zinc/27/06/19/660270619.db2.gz HSQMYNPKKRTMJT-CQSZACIVSA-N 0 1 310.825 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1(C)C ZINC000996033062 660274271 /nfs/dbraw/zinc/27/42/71/660274271.db2.gz HOHFCJGGANNFIP-MGPQQGTHSA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CC[C@H](C)CC2)CC1(C)C ZINC000996544629 660285334 /nfs/dbraw/zinc/28/53/34/660285334.db2.gz CSCWJAHKXBLYAY-QEJZJMRPSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](F)c2ccccc2)CC1(C)C ZINC000996362660 660280086 /nfs/dbraw/zinc/28/00/86/660280086.db2.gz ZEJBZWMJASCXTF-LSDHHAIUSA-N 0 1 324.827 3.276 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CF)cc2)CC1(C)C ZINC000996689735 660290671 /nfs/dbraw/zinc/29/06/71/660290671.db2.gz PNFSXOHKHXQLOW-OAHLLOKOSA-N 0 1 324.827 3.349 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC000996952526 660303519 /nfs/dbraw/zinc/30/35/19/660303519.db2.gz BMSIFDKSFXUEKU-KDOFPFPSSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(F)c(C(F)F)c1 ZINC001032407240 660312471 /nfs/dbraw/zinc/31/24/71/660312471.db2.gz RBFXNABVHOEZJO-STQMWFEESA-N 0 1 324.346 3.238 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c1CCCC2 ZINC001032420518 660318880 /nfs/dbraw/zinc/31/88/80/660318880.db2.gz UBPRSVUZADRHTN-ROUUACIJSA-N 0 1 324.468 3.430 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc2ccccc12 ZINC001032546967 660343570 /nfs/dbraw/zinc/34/35/70/660343570.db2.gz KLOXTAOWYKYRPO-KBPBESRZSA-N 0 1 312.438 3.376 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2cccc(F)c2)CC1 ZINC000997927252 660356888 /nfs/dbraw/zinc/35/68/88/660356888.db2.gz LZTUOKSJYQGIKK-SFHVURJKSA-N 0 1 316.420 3.166 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2cc(C)c(C)s2)CC1 ZINC000998200197 660375907 /nfs/dbraw/zinc/37/59/07/660375907.db2.gz YUMCVSRXPDJXOX-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC000998112236 660370772 /nfs/dbraw/zinc/37/07/72/660370772.db2.gz SRLMWPJPUQAXKV-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(F)F)c(F)c1 ZINC001032625198 660382700 /nfs/dbraw/zinc/38/27/00/660382700.db2.gz HDCDUVRWWQGSTP-STQMWFEESA-N 0 1 324.346 3.238 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001016122173 660398399 /nfs/dbraw/zinc/39/83/99/660398399.db2.gz DRUUHTXFHFBCAS-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)ccc1C ZINC001032688950 660412184 /nfs/dbraw/zinc/41/21/84/660412184.db2.gz LTXYKMIMFNMZQN-GJZGRUSLSA-N 0 1 304.821 3.123 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C)c1Cl ZINC001032689042 660412464 /nfs/dbraw/zinc/41/24/64/660412464.db2.gz VLHAARMDKOKYAL-KBPBESRZSA-N 0 1 304.821 3.123 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2cc(F)ccc2o1 ZINC001032659266 660407667 /nfs/dbraw/zinc/40/76/67/660407667.db2.gz WKSBKMIRUSNCPL-GJZGRUSLSA-N 0 1 314.360 3.047 20 30 DGEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cnccc2c1 ZINC001032714928 660418152 /nfs/dbraw/zinc/41/81/52/660418152.db2.gz IUQQHISFVXESAF-OALUTQOASA-N 0 1 321.424 3.100 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2cccc(F)c2F)C1 ZINC000999900666 660430764 /nfs/dbraw/zinc/43/07/64/660430764.db2.gz RSAQQXYCCRSPND-AWEZNQCLSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)csc1Cl ZINC001032754221 660439281 /nfs/dbraw/zinc/43/92/81/660439281.db2.gz CCQZHGLQORBYDW-RYUDHWBXSA-N 0 1 310.850 3.185 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2o1 ZINC001032765397 660441532 /nfs/dbraw/zinc/44/15/32/660441532.db2.gz MQVQRBFONJQTMT-HOTGVXAUSA-N 0 1 310.397 3.298 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1CCC(CCCC)CC1 ZINC001032767707 660441930 /nfs/dbraw/zinc/44/19/30/660441930.db2.gz LSJWRUMDJQJFIE-BTRQGYIVSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2csc(C)c2C)C[C@@H]1C ZINC001054680496 660467570 /nfs/dbraw/zinc/46/75/70/660467570.db2.gz NIMQGQUQORJWNM-LKFCYVNXSA-N 0 1 312.866 3.168 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(c3cccc(C)c3)CCC2)CC1 ZINC001003410807 660493224 /nfs/dbraw/zinc/49/32/24/660493224.db2.gz WBPNDBQJZIYWKW-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@H](c3cc[nH]n3)C2)c1 ZINC000929295502 660524130 /nfs/dbraw/zinc/52/41/30/660524130.db2.gz FKBWSYBQEGDHGC-AWEZNQCLSA-N 0 1 300.793 3.314 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccccc2C2CCC2)CC1 ZINC001004073293 660527913 /nfs/dbraw/zinc/52/79/13/660527913.db2.gz KXQFVHNGRTYLKI-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2sccc2C(C)C)CC1 ZINC001004201906 660533191 /nfs/dbraw/zinc/53/31/91/660533191.db2.gz BBFRUWOLRFCQCE-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(F)c3ccccc3c2)C1 ZINC001033133282 660554183 /nfs/dbraw/zinc/55/41/83/660554183.db2.gz CXVZLXPNSLEOCY-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2conc2C2CCCC2)C1 ZINC001033140986 660556731 /nfs/dbraw/zinc/55/67/31/660556731.db2.gz YSRUYBLLIIRQBR-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc3sccc3s2)C1 ZINC001033193981 660583898 /nfs/dbraw/zinc/58/38/98/660583898.db2.gz LDDSTGJVJYEJML-LBPRGKRZSA-N 0 1 318.467 3.132 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2csc3ccccc23)C1 ZINC001033299855 660605129 /nfs/dbraw/zinc/60/51/29/660605129.db2.gz ILAIEULFMMYQIM-CQSZACIVSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001033388072 660618203 /nfs/dbraw/zinc/61/82/03/660618203.db2.gz OKQUSFMMRSIEIE-INIZCTEOSA-N 0 1 320.864 3.336 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001033459608 660624966 /nfs/dbraw/zinc/62/49/66/660624966.db2.gz MLWKUPBMQUBSKD-AWEZNQCLSA-N 0 1 304.434 3.218 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001033607610 660638340 /nfs/dbraw/zinc/63/83/40/660638340.db2.gz ZJYRKIPVNSGUKU-LBPRGKRZSA-N 0 1 313.228 3.326 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001033607608 660638432 /nfs/dbraw/zinc/63/84/32/660638432.db2.gz ZJYRKIPVNSGUKU-GFCCVEGCSA-N 0 1 313.228 3.326 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3c(s2)CCCC3)C1 ZINC001033639927 660644830 /nfs/dbraw/zinc/64/48/30/660644830.db2.gz MYAQHOXCGPPSED-OAHLLOKOSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC001033664007 660647293 /nfs/dbraw/zinc/64/72/93/660647293.db2.gz WEJKWVILGYUDNL-ZFWWWQNUSA-N 0 1 318.486 3.205 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001033671800 660647645 /nfs/dbraw/zinc/64/76/45/660647645.db2.gz JRBJJCDMUHVHHG-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001033689292 660651551 /nfs/dbraw/zinc/65/15/51/660651551.db2.gz BAIWQFRQNBMROA-APWZRJJASA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001033689293 660651573 /nfs/dbraw/zinc/65/15/73/660651573.db2.gz BAIWQFRQNBMROA-LPHOPBHVSA-N 0 1 312.457 3.370 20 30 DGEDMN CCN(C(=O)C1=CCCC1)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001033794771 660664860 /nfs/dbraw/zinc/66/48/60/660664860.db2.gz HEKJLSJOUOZKFA-LJQANCHMSA-N 0 1 323.440 3.091 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001033832182 660667867 /nfs/dbraw/zinc/66/78/67/660667867.db2.gz CULSSKCTRNUSOC-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001033832182 660667868 /nfs/dbraw/zinc/66/78/68/660667868.db2.gz CULSSKCTRNUSOC-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc3c2CCCC3)C1 ZINC001033852558 660670801 /nfs/dbraw/zinc/67/08/01/660670801.db2.gz YBHBCSPAPVQBMZ-QGZVFWFLSA-N 0 1 312.457 3.288 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCC=CCCC2)C1 ZINC001033874450 660674489 /nfs/dbraw/zinc/67/44/89/660674489.db2.gz YVYDDLHJLUFCLP-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC=CCCC2)C1 ZINC001033874450 660674491 /nfs/dbraw/zinc/67/44/91/660674491.db2.gz YVYDDLHJLUFCLP-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2CCCCCCC2)C1 ZINC001033893991 660676192 /nfs/dbraw/zinc/67/61/92/660676192.db2.gz CTHUDLXGOYZXPW-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)cc(Cl)c2)C1 ZINC001033903342 660676250 /nfs/dbraw/zinc/67/62/50/660676250.db2.gz XLPPKCKBXZYPIN-INIZCTEOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc[nH]c2C2CCC2)C1 ZINC001033960117 660684041 /nfs/dbraw/zinc/68/40/41/660684041.db2.gz YQGNBNHMEUPGGX-OAHLLOKOSA-N 0 1 301.434 3.005 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(C)cc2Cl)C1 ZINC001033963579 660688184 /nfs/dbraw/zinc/68/81/84/660688184.db2.gz NTOXWXVHNIVPNR-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)cc2Cl)C1 ZINC001033963582 660688230 /nfs/dbraw/zinc/68/82/30/660688230.db2.gz NTOXWXVHNIVPNR-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(OCC)c(C)c2)C1 ZINC001033978463 660689438 /nfs/dbraw/zinc/68/94/38/660689438.db2.gz YGLGJBKWVQUEGK-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc([C@@H](C)CC)no2)C1 ZINC001033980468 660690029 /nfs/dbraw/zinc/69/00/29/660690029.db2.gz TVNFJKFJTKVLEO-GJZGRUSLSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cccc(C)c2Cl)C1 ZINC001033992020 660690871 /nfs/dbraw/zinc/69/08/71/660690871.db2.gz CHEFOLKZNWACIT-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001033998518 660692598 /nfs/dbraw/zinc/69/25/98/660692598.db2.gz HWTBLKWYFFTDKO-BZSNNMDCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001034051337 660699015 /nfs/dbraw/zinc/69/90/15/660699015.db2.gz VDPVODJNMSNQOT-MNNVXMFVSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001034051510 660699646 /nfs/dbraw/zinc/69/96/46/660699646.db2.gz XFLMYOCRXIEZLC-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001034043286 660700608 /nfs/dbraw/zinc/70/06/08/660700608.db2.gz CNJGHKQYNSFAJG-QGZVFWFLSA-N 0 1 316.420 3.002 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C2CCC(C3CC3)CC2)C1 ZINC001034034509 660697525 /nfs/dbraw/zinc/69/75/25/660697525.db2.gz FOMIKYKNOQAHGY-ABHNRTSZSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC001034222463 660726956 /nfs/dbraw/zinc/72/69/56/660726956.db2.gz DYGUNTAOGQNRLV-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC001034224451 660726961 /nfs/dbraw/zinc/72/69/61/660726961.db2.gz YCYLKMQAPAONAY-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2coc3c2cccc3C)C1 ZINC001034247124 660727380 /nfs/dbraw/zinc/72/73/80/660727380.db2.gz JTRFORNBWIMVBP-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001034296373 660733672 /nfs/dbraw/zinc/73/36/72/660733672.db2.gz IWVQAWSDVXVTPT-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001034330835 660737989 /nfs/dbraw/zinc/73/79/89/660737989.db2.gz STRVZPRCVMELDJ-QGZVFWFLSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001034325847 660739854 /nfs/dbraw/zinc/73/98/54/660739854.db2.gz DZEVQUFYGSRWAK-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC001034445799 660752296 /nfs/dbraw/zinc/75/22/96/660752296.db2.gz FOIIQTBMBRCEKM-MRXNPFEDSA-N 0 1 320.383 3.232 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC001034378067 660744930 /nfs/dbraw/zinc/74/49/30/660744930.db2.gz OYTKATFYNXOIFY-SFHVURJKSA-N 0 1 300.446 3.003 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001034508044 660763589 /nfs/dbraw/zinc/76/35/89/660763589.db2.gz NCBAXYFYHPNCEK-DAWZGUTISA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001034459545 660756530 /nfs/dbraw/zinc/75/65/30/660756530.db2.gz VOMVFFDBRLKHIV-FHWLQOOXSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001034507216 660763425 /nfs/dbraw/zinc/76/34/25/660763425.db2.gz OXMYOUIHIADSSD-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001034507216 660763426 /nfs/dbraw/zinc/76/34/26/660763426.db2.gz OXMYOUIHIADSSD-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001034516965 660766386 /nfs/dbraw/zinc/76/63/86/660766386.db2.gz HWQSUYAMKOQOLQ-OAHLLOKOSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC001034500558 660766463 /nfs/dbraw/zinc/76/64/63/660766463.db2.gz FQWCQVXVUIDOMX-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC001034543881 660771055 /nfs/dbraw/zinc/77/10/55/660771055.db2.gz BQTQEZAQXFUHIR-DZIBYMRMSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(C3CC3)c2)C1 ZINC001034544410 660771471 /nfs/dbraw/zinc/77/14/71/660771471.db2.gz OJOWJJLERLJUMY-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C1 ZINC001034544369 660771574 /nfs/dbraw/zinc/77/15/74/660771574.db2.gz NTBDZWKXWMHETQ-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CCC[C@@H]2CC)CC1 ZINC001005583287 660781474 /nfs/dbraw/zinc/78/14/74/660781474.db2.gz HQUNTTIHDLHGRR-QFBILLFUSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC001005686785 660789367 /nfs/dbraw/zinc/78/93/67/660789367.db2.gz YJXSTVXQWNVAFS-ROUUACIJSA-N 0 1 316.489 3.171 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CC1CCC1)CC2 ZINC001035172088 660821073 /nfs/dbraw/zinc/82/10/73/660821073.db2.gz LCLMYHOXYPZAJF-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1CC(C)C1)CC2 ZINC001035174460 660821959 /nfs/dbraw/zinc/82/19/59/660821959.db2.gz ZWNRBVOKXZOXSB-UHFFFAOYSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1cc(C)co1)CC2 ZINC001035218341 660826820 /nfs/dbraw/zinc/82/68/20/660826820.db2.gz VEVZHLOVZCZRED-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1sc(C)cc1C)CC2 ZINC001035717268 660846980 /nfs/dbraw/zinc/84/69/80/660846980.db2.gz USHRMVVGLNLXRU-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC[C@@H]1CCC)CC2 ZINC001035736877 660848413 /nfs/dbraw/zinc/84/84/13/660848413.db2.gz CJIKNISRVWCNLF-ROUUACIJSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC[C@@H]1CCC)CC2 ZINC001035736881 660848511 /nfs/dbraw/zinc/84/85/11/660848511.db2.gz CJIKNISRVWCNLF-ZWKOTPCHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C(C1CCC1)C1CCC1)CC2 ZINC001035776596 660853561 /nfs/dbraw/zinc/85/35/61/660853561.db2.gz GAZUFYNTYLNNRO-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC[C@H]1CC)CC2 ZINC001035826527 660854380 /nfs/dbraw/zinc/85/43/80/660854380.db2.gz HGTSJVYYIULBRN-QZTJIDSGSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CC1CCCCC1)CC2 ZINC001035807909 660854944 /nfs/dbraw/zinc/85/49/44/660854944.db2.gz WQYDZIJFJICCLW-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccc3ccsc31)CC2 ZINC001035827769 660856150 /nfs/dbraw/zinc/85/61/50/660856150.db2.gz CWRBREWWGFTTFI-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1c(C)cc(C)cc1C)CC2 ZINC001035849339 660856218 /nfs/dbraw/zinc/85/62/18/660856218.db2.gz YUEJQDXGPDMBLL-UHFFFAOYSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc([C@H](C)CC)cc1)CC2 ZINC001035855969 660859896 /nfs/dbraw/zinc/85/98/96/660859896.db2.gz FPQJQUCAPJNBFO-QGZVFWFLSA-N 0 1 324.468 3.371 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)c1ccccc1C)CC2 ZINC001035856322 660859956 /nfs/dbraw/zinc/85/99/56/660859956.db2.gz MHABXLDTPJRPGO-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CC1CCC(C)(C)CC1)CC2 ZINC001035862824 660861072 /nfs/dbraw/zinc/86/10/72/660861072.db2.gz PUEFKNHGQKOFCF-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccccc3F)CC[C@H]21 ZINC001036631445 660933275 /nfs/dbraw/zinc/93/32/75/660933275.db2.gz XDDNIMQLYACBLF-CZUORRHYSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cc(C)oc3C)CC[C@H]21 ZINC001036642576 660935621 /nfs/dbraw/zinc/93/56/21/660935621.db2.gz SGMGEMIOGBMYKU-GOEBONIOSA-N 0 1 322.836 3.185 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CCCCC3)CC[C@@H]21 ZINC001036628623 660937836 /nfs/dbraw/zinc/93/78/36/660937836.db2.gz DHSAAPAINSCRCN-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)c(C)cc2Cl)CC1 ZINC001005815914 660940315 /nfs/dbraw/zinc/94/03/15/660940315.db2.gz WXXRWIVXIRCORV-UHFFFAOYSA-N 0 1 318.848 3.126 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3oc(C)cc3C)CC[C@@H]21 ZINC001036680796 660945564 /nfs/dbraw/zinc/94/55/64/660945564.db2.gz CNVLYFYXDGMNNE-GJZGRUSLSA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(CCC)cc2)CC1 ZINC001005901294 660947878 /nfs/dbraw/zinc/94/78/78/660947878.db2.gz KAXRCPNLLVOMTF-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(C(C)(C)C)oc2C)CC1 ZINC001006058335 660960023 /nfs/dbraw/zinc/96/00/23/660960023.db2.gz UFRLNZMIFDLFNR-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(C)(C)C3CC3)CC[C@@H]21 ZINC001036710502 660961139 /nfs/dbraw/zinc/96/11/39/660961139.db2.gz LTYQVQYDRCXXDO-ZBFHGGJFSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cscc2C(F)(F)F)C1 ZINC001016426034 660971149 /nfs/dbraw/zinc/97/11/49/660971149.db2.gz DYFCURWHXSCTGW-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc3ccccc3c2)CC1 ZINC001006327000 660975045 /nfs/dbraw/zinc/97/50/45/660975045.db2.gz GLHPAKKQYKWYGD-UHFFFAOYSA-N 0 1 306.409 3.009 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCC(=O)c3ccccc32)nc(C)n1 ZINC000793122877 661006105 /nfs/dbraw/zinc/00/61/05/661006105.db2.gz FLIRPCZAYDPUNY-HZPDHXFCSA-N 0 1 319.364 3.030 20 30 DGEDMN COc1cc(CN=Nc2cccc(Cl)n2)ccc1[N+](=O)[O-] ZINC000793161194 661008672 /nfs/dbraw/zinc/00/86/72/661008672.db2.gz IWYKYGYONPCZJS-UHFFFAOYSA-N 0 1 306.709 3.098 20 30 DGEDMN C=CC1CCN(CN2CC[C@@H](CCc3ccccc3)C2=O)CC1 ZINC000793497541 661038986 /nfs/dbraw/zinc/03/89/86/661038986.db2.gz IGXZDTXFFVRIFK-LJQANCHMSA-N 0 1 312.457 3.323 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)O[C@H](C3CC3)C2)c(O)c(OC)c1 ZINC000794655478 661096772 /nfs/dbraw/zinc/09/67/72/661096772.db2.gz RNPOWJGPAKICJQ-ACJLOTCBSA-N 0 1 317.429 3.129 20 30 DGEDMN Cc1ccc(NN=Cc2c(F)cccc2Br)nn1 ZINC000794507627 661088069 /nfs/dbraw/zinc/08/80/69/661088069.db2.gz LYDQCGATXRPPAK-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN COc1cc2c(cc1OC)C(=NNc1ccc(F)cn1)CC2 ZINC000794510139 661088346 /nfs/dbraw/zinc/08/83/46/661088346.db2.gz KXDPGPLPDPRMLT-UHFFFAOYSA-N 0 1 301.321 3.000 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2COCc3cccc(Cl)c32)n1 ZINC000794514835 661088360 /nfs/dbraw/zinc/08/83/60/661088360.db2.gz FOBNUIPUIYTAOY-UHFFFAOYSA-N 0 1 323.183 3.438 20 30 DGEDMN C[C@H]1Oc2c(ccc(Cl)c2Cl)C1N=Nc1cncnc1 ZINC000794976750 661117969 /nfs/dbraw/zinc/11/79/69/661117969.db2.gz PSVIXFKWCDQSKQ-SSDOTTSWSA-N 0 1 309.156 3.381 20 30 DGEDMN C(=NNc1cncnc1)c1ccc(-n2cnc3ccccc32)cc1 ZINC000794976250 661118010 /nfs/dbraw/zinc/11/80/10/661118010.db2.gz HWWJTMKOGJWLHD-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC000969118169 655524877 /nfs/dbraw/zinc/52/48/77/655524877.db2.gz HEDGIQPFVQVQPD-MRXNPFEDSA-N 0 1 316.445 3.012 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc2ccccc2o1 ZINC000969133524 655527053 /nfs/dbraw/zinc/52/70/53/655527053.db2.gz OHCKCFFSKHNPTB-MRXNPFEDSA-N 0 1 310.397 3.040 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc3cc(F)ccc23)C1 ZINC000969154310 655528652 /nfs/dbraw/zinc/52/86/52/655528652.db2.gz FXZKWZIHGWQXGS-GFCCVEGCSA-N 0 1 322.767 3.129 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1sccc1CC ZINC000969156571 655529324 /nfs/dbraw/zinc/52/93/24/655529324.db2.gz WWCXTJBZOULXIV-MRXNPFEDSA-N 0 1 318.486 3.308 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC000969334305 655539833 /nfs/dbraw/zinc/53/98/33/655539833.db2.gz XYFCWZFSJOROMN-IAGOWNOFSA-N 0 1 318.848 3.123 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C[C@H]1C ZINC000947373878 655541806 /nfs/dbraw/zinc/54/18/06/655541806.db2.gz IRYMUBORVAVIAN-PBHICJAKSA-N 0 1 324.399 3.195 20 30 DGEDMN COc1ccccc1-c1n[nH]c(=S)n1N=Cc1ccc(C)o1 ZINC000254908770 655543058 /nfs/dbraw/zinc/54/30/58/655543058.db2.gz UHNWQBMHSJIZFO-UHFFFAOYSA-N 0 1 314.370 3.400 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)C1 ZINC000969668541 655554907 /nfs/dbraw/zinc/55/49/07/655554907.db2.gz UOWDWZBNAIEHDW-QGTPRVQTSA-N 0 1 312.457 3.049 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)C2=CCCC2)CCN1Cc1ccccc1C#N ZINC000947191634 655561290 /nfs/dbraw/zinc/56/12/90/655561290.db2.gz VRACZYNFBAUWQM-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NCc3ccc(F)cc3F)C2)C1 ZINC000969879487 655562103 /nfs/dbraw/zinc/56/21/03/655562103.db2.gz YKSOXMIGVDMHPO-OAHLLOKOSA-N 0 1 320.383 3.012 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2oc(C(F)F)cc2C)C1 ZINC000969886192 655562342 /nfs/dbraw/zinc/56/23/42/655562342.db2.gz CXBSVSCZOSPTKT-LLVKDONJSA-N 0 1 312.360 3.152 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)c2cccc(F)c2)C1 ZINC000969928671 655564014 /nfs/dbraw/zinc/56/40/14/655564014.db2.gz WTQFBXVRSSAMJX-OAHLLOKOSA-N 0 1 324.827 3.046 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cccc(C)c3s2)C1 ZINC000969992571 655567223 /nfs/dbraw/zinc/56/72/23/655567223.db2.gz MWANEQJTNBANGT-CYBMUJFWSA-N 0 1 314.454 3.446 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCc3ccccc32)C1 ZINC000970301616 655593009 /nfs/dbraw/zinc/59/30/09/655593009.db2.gz RAYAHTPJYSWCEB-HZPDHXFCSA-N 0 1 318.848 3.050 20 30 DGEDMN CCN(CC)c1ccc(/C=N\[C@H](C)C(=O)OC(C)(C)C)c(O)c1 ZINC000255112031 655600068 /nfs/dbraw/zinc/60/00/68/655600068.db2.gz ZERRFVKKSSVKKT-CQLSMYGBSA-N 0 1 320.433 3.388 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC000970513543 655604007 /nfs/dbraw/zinc/60/40/07/655604007.db2.gz VIZRKYGWJZMSTR-GOEBONIOSA-N 0 1 306.837 3.042 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC000970708393 655613641 /nfs/dbraw/zinc/61/36/41/655613641.db2.gz KQKUSZOEEFNGII-CABCVRRESA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C3CC3)cc2)C1 ZINC000970772556 655618662 /nfs/dbraw/zinc/61/86/62/655618662.db2.gz LOFCLTWBTYJXMS-MRXNPFEDSA-N 0 1 304.821 3.121 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C=C\C2CC2)C1 ZINC001021539476 655626154 /nfs/dbraw/zinc/62/61/54/655626154.db2.gz BCZDPILOKRMVKB-JTUDCUDASA-N 0 1 308.853 3.018 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC000970834739 655627848 /nfs/dbraw/zinc/62/78/48/655627848.db2.gz DNHVIEUJKYAHMN-HJOIGYKYSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@@H](CCCN2C(=O)C2=CCCC2)C1 ZINC001021560872 655632873 /nfs/dbraw/zinc/63/28/73/655632873.db2.gz AANIKNNZRKLNEU-HOTGVXAUSA-N 0 1 308.853 3.162 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@H](CCCN2C(=O)C2=CCCC2)C1 ZINC001021560871 655632898 /nfs/dbraw/zinc/63/28/98/655632898.db2.gz AANIKNNZRKLNEU-CVEARBPZSA-N 0 1 308.853 3.162 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)c2[nH]ccc2C)C1 ZINC001021574754 655635354 /nfs/dbraw/zinc/63/53/54/655635354.db2.gz FHUKZBHIAJDSQE-LSDHHAIUSA-N 0 1 321.852 3.002 20 30 DGEDMN C#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)C2CCCCCCC2)C1 ZINC001021631767 655646120 /nfs/dbraw/zinc/64/61/20/655646120.db2.gz ZXJDPRAHSGIOJO-RTBURBONSA-N 0 1 316.489 3.293 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3sccc3s2)C[C@@H]1C ZINC000947449461 655697719 /nfs/dbraw/zinc/69/77/19/655697719.db2.gz CYTJQHVPSYWHRT-RYUDHWBXSA-N 0 1 318.467 3.179 20 30 DGEDMN N#CC1(CCCCN2CCOc3ccccc3[C@H]2CO)CCC1 ZINC000933383145 655710317 /nfs/dbraw/zinc/71/03/17/655710317.db2.gz IKEVBVLMMOIRRH-QGZVFWFLSA-N 0 1 314.429 3.279 20 30 DGEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)c2cncc(-c3ccccc3)c2)C1 ZINC000971640203 655715174 /nfs/dbraw/zinc/71/51/74/655715174.db2.gz CXBOSPKMRONWNW-IBGZPJMESA-N 0 1 321.424 3.081 20 30 DGEDMN COc1ccc([C@@H](C)CC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176180967 655740283 /nfs/dbraw/zinc/74/02/83/655740283.db2.gz UVPQZIIBXSJZMQ-LBPRGKRZSA-N 0 1 310.353 3.405 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(/C=C\C(=O)c2ccc(O)cc2O)cc1 ZINC000255470404 655757406 /nfs/dbraw/zinc/75/74/06/655757406.db2.gz KYWJEQMTNKHPLR-REPPVQDKSA-N 0 1 309.321 3.285 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC000972244830 655782660 /nfs/dbraw/zinc/78/26/60/655782660.db2.gz IBDWLJBOWMQCIT-DOTOQJQBSA-N 0 1 306.475 3.383 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)c1ccc(Cl)s1 ZINC000127880276 655783221 /nfs/dbraw/zinc/78/32/21/655783221.db2.gz DQDKDOXQAMJJEV-SNVBAGLBSA-N 0 1 304.758 3.363 20 30 DGEDMN COC(=O)c1ccc(/C=C\C(=O)c2ccc(O)c(F)c2)cc1 ZINC000255524110 655790623 /nfs/dbraw/zinc/79/06/23/655790623.db2.gz WJZWSLLDQXANNV-YWEYNIOJSA-N 0 1 300.285 3.214 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(F)cc3cccnc32)c1 ZINC000162017843 655842316 /nfs/dbraw/zinc/84/23/16/655842316.db2.gz ISRBFFOMZRTKOT-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1scc(C)c1Cl ZINC001038283994 655843777 /nfs/dbraw/zinc/84/37/77/655843777.db2.gz KLSQDGXRGMNBBX-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCCN(C/C=C/Cl)C2)CC1 ZINC001023572226 655845108 /nfs/dbraw/zinc/84/51/08/655845108.db2.gz YMPIVWKGATXEGS-RUGXIKGKSA-N 0 1 310.869 3.314 20 30 DGEDMN CCCc1nc(C)c(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000176815674 655847285 /nfs/dbraw/zinc/84/72/85/655847285.db2.gz QEXVKRGGLFSHBD-UHFFFAOYSA-N 0 1 301.371 3.234 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCOc2cccc(F)c2)c1 ZINC000176823435 655849320 /nfs/dbraw/zinc/84/93/20/655849320.db2.gz VNEUWLOOLGEYSI-UHFFFAOYSA-N 0 1 314.316 3.201 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(OC(F)F)c2)c1 ZINC000176824116 655849490 /nfs/dbraw/zinc/84/94/90/655849490.db2.gz QCWNPKDTNUEGRD-UHFFFAOYSA-N 0 1 304.252 3.118 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2cccc(C(C)C)c2)C1 ZINC001023685023 655854766 /nfs/dbraw/zinc/85/47/66/655854766.db2.gz BKLXCUVLFMUPLH-QGZVFWFLSA-N 0 1 312.457 3.275 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)C2CCC(CCCC)CC2)C1 ZINC001023736810 655860356 /nfs/dbraw/zinc/86/03/56/655860356.db2.gz JLDGAEZFJDATAH-XBMUEBEBSA-N 0 1 318.505 3.444 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2cn(C)nc2-c2ccncc2)c(O)c1 ZINC000255630024 655882899 /nfs/dbraw/zinc/88/28/99/655882899.db2.gz VSNZHSNJWZXDKM-XQRVVYSFSA-N 0 1 319.364 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001024095147 655883932 /nfs/dbraw/zinc/88/39/32/655883932.db2.gz RJSGLQLRNVXJNE-CABCVRRESA-N 0 1 319.449 3.084 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001024095148 655884033 /nfs/dbraw/zinc/88/40/33/655884033.db2.gz RJSGLQLRNVXJNE-GJZGRUSLSA-N 0 1 319.449 3.084 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC001024462125 655907461 /nfs/dbraw/zinc/90/74/61/655907461.db2.gz NVLAVBUWBHQFCD-HNNXBMFYSA-N 0 1 318.486 3.308 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC001024508808 655912207 /nfs/dbraw/zinc/91/22/07/655912207.db2.gz MFOGYQYSEHYKCY-HKUYNNGSSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(F)cc2ccoc21 ZINC001024519405 655912987 /nfs/dbraw/zinc/91/29/87/655912987.db2.gz ULVCVQRRRRRSQI-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN Cc1ccc(OCCC(=O)Nc2cc(C#N)ccc2O)cc1C ZINC000179730140 655913031 /nfs/dbraw/zinc/91/30/31/655913031.db2.gz YXTHZSOZTKZHRR-UHFFFAOYSA-N 0 1 310.353 3.288 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@@H](C)C2)cc1 ZINC000947611235 655913767 /nfs/dbraw/zinc/91/37/67/655913767.db2.gz IQBPWIGWULRYTO-FEBMRCAOSA-N 0 1 316.832 3.003 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2cc(C)ccc2n1 ZINC001024543246 655915219 /nfs/dbraw/zinc/91/52/19/655915219.db2.gz FXXGRBCCYOVKCF-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)c(C)cc2Cl)C[C@H]1C ZINC000947619054 655917022 /nfs/dbraw/zinc/91/70/22/655917022.db2.gz CFRJEABICMJFJK-HUUCEWRRSA-N 0 1 318.848 3.173 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(F)c(Cl)c1 ZINC001024628848 655925464 /nfs/dbraw/zinc/92/54/64/655925464.db2.gz UHLJJPJSEOGCIC-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001024634048 655926223 /nfs/dbraw/zinc/92/62/23/655926223.db2.gz QTMGBPKMBHLKBX-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c(C)cccc1Cl ZINC001024637997 655926928 /nfs/dbraw/zinc/92/69/28/655926928.db2.gz PVQOYFPOUSHDLW-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C(CC)CC)no1 ZINC001024692641 655930787 /nfs/dbraw/zinc/93/07/87/655930787.db2.gz QHANEEDJMADXLC-HNNXBMFYSA-N 0 1 319.449 3.349 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1sc(CC)nc1C ZINC001024704629 655932091 /nfs/dbraw/zinc/93/20/91/655932091.db2.gz ONXUSOFXWQBVDH-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001024706518 655932338 /nfs/dbraw/zinc/93/23/38/655932338.db2.gz ANTXNMQZMVRIAP-SLFFLAALSA-N 0 1 324.468 3.093 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc(C)c1Cl ZINC001024713005 655932908 /nfs/dbraw/zinc/93/29/08/655932908.db2.gz GOZFVXSVYULVKK-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccn1C1CCCC1 ZINC001024731275 655933940 /nfs/dbraw/zinc/93/39/40/655933940.db2.gz GLFCCXUDLYKNLD-QGZVFWFLSA-N 0 1 315.461 3.374 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc2ccsc21 ZINC001024740488 655934035 /nfs/dbraw/zinc/93/40/35/655934035.db2.gz WLYRODSGBPNDST-HNNXBMFYSA-N 0 1 312.438 3.119 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C2C(C)(C)C2(C)C)cc1 ZINC000177602487 655934441 /nfs/dbraw/zinc/93/44/41/655934441.db2.gz MUIDCASBIYGZAM-CYBMUJFWSA-N 0 1 314.385 3.025 20 30 DGEDMN COc1ccccc1NC(=O)[C@@H](C#N)C(=O)C1C(C)(C)C1(C)C ZINC000177601025 655934471 /nfs/dbraw/zinc/93/44/71/655934471.db2.gz YVAQAZJHWNHXPY-NSHDSACASA-N 0 1 314.385 3.025 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001024750525 655935463 /nfs/dbraw/zinc/93/54/63/655935463.db2.gz SRDYGHVFPHFAJN-IBGZPJMESA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1oc(CCC)nc1C ZINC001024760771 655936114 /nfs/dbraw/zinc/93/61/14/655936114.db2.gz XLRXVQOXDKURLY-OAHLLOKOSA-N 0 1 319.449 3.096 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(F)cccc1Cl ZINC001024774088 655937383 /nfs/dbraw/zinc/93/73/83/655937383.db2.gz MRNKKEGVRLWFPJ-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC001024781612 655938329 /nfs/dbraw/zinc/93/83/29/655938329.db2.gz YZVSSNICXKDLPG-LBPRGKRZSA-N 0 1 312.360 3.378 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2ccccc2n1 ZINC001024776510 655938413 /nfs/dbraw/zinc/93/84/13/655938413.db2.gz ZTIUWUYQLPJAJI-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1CCC(C2CC2)CC1 ZINC001024783661 655938468 /nfs/dbraw/zinc/93/84/68/655938468.db2.gz SNWUQZOYYJENGT-CTWPCTMYSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(OC(C)C)cc1 ZINC001024788403 655939149 /nfs/dbraw/zinc/93/91/49/655939149.db2.gz JCVXVMUQCGLGSM-KRWDZBQOSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cncc2ccccc21 ZINC001024811287 655941528 /nfs/dbraw/zinc/94/15/28/655941528.db2.gz OZIXLTOHSYJAFQ-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1CC(c2ccccc2)C1 ZINC001024821141 655941792 /nfs/dbraw/zinc/94/17/92/655941792.db2.gz FQQJVKVQQNGWLF-SOAGJPPSSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1CC(c2ccccc2)C1 ZINC001024821916 655941902 /nfs/dbraw/zinc/94/19/02/655941902.db2.gz VOAKINNHVLUYMC-ACBHZAAOSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC001024816436 655942333 /nfs/dbraw/zinc/94/23/33/655942333.db2.gz KTHIHABBMJBVMB-INIZCTEOSA-N 0 1 312.457 3.254 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cc2c(cccc2C)o1 ZINC001024816631 655942654 /nfs/dbraw/zinc/94/26/54/655942654.db2.gz NNRYNIPNMGFIKW-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCCC1(C)C ZINC001024816567 655942805 /nfs/dbraw/zinc/94/28/05/655942805.db2.gz MJMUGVLKCYUFBS-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(CC)c(CC)c1 ZINC001024829409 655943633 /nfs/dbraw/zinc/94/36/33/655943633.db2.gz BFNVWHBWGNETNS-LJQANCHMSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001025069879 655963537 /nfs/dbraw/zinc/96/35/37/655963537.db2.gz RJBQIUVXBWCDCU-CRAIPNDOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001025185793 655974041 /nfs/dbraw/zinc/97/40/41/655974041.db2.gz UGWBWRYYVKVGLN-HOTGVXAUSA-N 0 1 316.470 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1CCC1 ZINC001025190608 655974512 /nfs/dbraw/zinc/97/45/12/655974512.db2.gz YJWIMPPQJPSWMJ-HOTGVXAUSA-N 0 1 310.869 3.385 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1CC(C)(C)C1 ZINC001025227720 655981985 /nfs/dbraw/zinc/98/19/85/655981985.db2.gz BBUHEEFNYWZGNS-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)C1(F)CCCCC1 ZINC001025284395 655988841 /nfs/dbraw/zinc/98/88/41/655988841.db2.gz GRWBUTTVWDFCOY-IRXDYDNUSA-N 0 1 320.452 3.138 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2cccc(F)c2)C1 ZINC001025315060 655992571 /nfs/dbraw/zinc/99/25/71/655992571.db2.gz YTYJMQYHZRIZEJ-HIFRSBDPSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2ccccc2F)C1 ZINC001025313467 655992773 /nfs/dbraw/zinc/99/27/73/655992773.db2.gz RYQFOUKOGLABEM-ZIAGYGMSSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2ccsc2)C1 ZINC001025327948 655994325 /nfs/dbraw/zinc/99/43/25/655994325.db2.gz FOEDPFHYKBPGMZ-STQMWFEESA-N 0 1 312.866 3.331 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3sccc3s2)C1 ZINC001007638148 656012000 /nfs/dbraw/zinc/01/20/00/656012000.db2.gz SVRNZQIZLOYWOD-LBPRGKRZSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cccc(C3CC3)c2)C1 ZINC001025630782 656052471 /nfs/dbraw/zinc/05/24/71/656052471.db2.gz VZASFLDMIKZJPL-UZLBHIALSA-N 0 1 324.468 3.418 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)Cc2ccccc2)C1 ZINC001019617915 656056749 /nfs/dbraw/zinc/05/67/49/656056749.db2.gz LJDXSALWFFSNNN-INIZCTEOSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C3CC3)cc2)C1 ZINC001019803706 656064558 /nfs/dbraw/zinc/06/45/58/656064558.db2.gz LOFCLTWBTYJXMS-INIZCTEOSA-N 0 1 304.821 3.121 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CCC=CCC3)CC[C@H]21 ZINC001036899199 661225746 /nfs/dbraw/zinc/22/57/46/661225746.db2.gz JNQAMAVTYVKULG-DLBZAZTESA-N 0 1 322.880 3.408 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C[C@@H]1C ZINC000947685652 656146459 /nfs/dbraw/zinc/14/64/59/656146459.db2.gz OBWARXOKEWTIFV-DZGCQCFKSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(C)cc2C)C(C)(C)C1 ZINC000974623066 656218294 /nfs/dbraw/zinc/21/82/94/656218294.db2.gz HQTVQSJTQQSQAW-CQSZACIVSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3cc(F)ccc23)C(C)(C)C1 ZINC000974758552 656227158 /nfs/dbraw/zinc/22/71/58/656227158.db2.gz TWIXTXHMFNMBFH-INIZCTEOSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)cc(C)cc2F)C(C)(C)C1 ZINC000974806216 656230796 /nfs/dbraw/zinc/23/07/96/656230796.db2.gz AQZBIWITMMNXMI-HNNXBMFYSA-N 0 1 322.399 3.290 20 30 DGEDMN CC#CC[N@@H+]1C[C@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974974141 656245272 /nfs/dbraw/zinc/24/52/72/656245272.db2.gz NZDIHFWNPQQKRY-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974974141 656245275 /nfs/dbraw/zinc/24/52/75/656245275.db2.gz NZDIHFWNPQQKRY-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)C(C)(C)C1 ZINC000974985826 656248029 /nfs/dbraw/zinc/24/80/29/656248029.db2.gz HUKSJOXCUOPXHE-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001008909280 656261123 /nfs/dbraw/zinc/26/11/23/656261123.db2.gz QQGFODBZEXAIEP-LSDHHAIUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001008909280 656261125 /nfs/dbraw/zinc/26/11/25/656261125.db2.gz QQGFODBZEXAIEP-LSDHHAIUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2C)C(C)(C)C1 ZINC000977359342 656324513 /nfs/dbraw/zinc/32/45/13/656324513.db2.gz ZGLISCUHPFWEBF-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001027517848 656327150 /nfs/dbraw/zinc/32/71/50/656327150.db2.gz KBNVYNZHUJZGQV-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001027522437 656329676 /nfs/dbraw/zinc/32/96/76/656329676.db2.gz WOGOXEWRLBTKTQ-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(CC)c(CC)o2)C(C)(C)C1 ZINC000977502342 656336918 /nfs/dbraw/zinc/33/69/18/656336918.db2.gz UHKCDXUCOVMXBU-INIZCTEOSA-N 0 1 304.434 3.031 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2c(C)csc2Cl)C(C)(C)C1 ZINC000977514642 656337945 /nfs/dbraw/zinc/33/79/45/656337945.db2.gz ORSGTDMRTCYCAV-NSHDSACASA-N 0 1 312.866 3.336 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C3CCCCC3)CC2)C(C)(C)C1 ZINC000977530390 656339545 /nfs/dbraw/zinc/33/95/45/656339545.db2.gz WCCBFNWNWXIQMD-QGZVFWFLSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3ccccc3c2)C(C)(C)C1 ZINC000977526906 656339577 /nfs/dbraw/zinc/33/95/77/656339577.db2.gz ZNUQNWRZJCSLBD-LJQANCHMSA-N 0 1 320.436 3.303 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2CCC(CCCC)CC2)C(C)(C)C1 ZINC000977554342 656342123 /nfs/dbraw/zinc/34/21/23/656342123.db2.gz UOBUODDJWYCZMI-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(F)c(F)c2)C(C)(C)C1 ZINC000977604420 656347005 /nfs/dbraw/zinc/34/70/05/656347005.db2.gz NOUVHTOCVVHKKQ-BLLLJJGKSA-N 0 1 322.399 3.081 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3cc(C)ccc3o2)C(C)(C)C1 ZINC000977622146 656350246 /nfs/dbraw/zinc/35/02/46/656350246.db2.gz PGRMIQQQZNZUHS-SFHVURJKSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2sccc2CC)C(C)(C)C1 ZINC000977657475 656353621 /nfs/dbraw/zinc/35/36/21/656353621.db2.gz RTSMLTCQSJGFGG-CQSZACIVSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sccc2CC)C(C)(C)C1 ZINC000977657475 656353624 /nfs/dbraw/zinc/35/36/24/656353624.db2.gz RTSMLTCQSJGFGG-CQSZACIVSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@H](NC(=O)c2sccc2CC)C(C)(C)C1 ZINC000977657472 656353792 /nfs/dbraw/zinc/35/37/92/656353792.db2.gz RTSMLTCQSJGFGG-AWEZNQCLSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sccc2CC)C(C)(C)C1 ZINC000977657472 656353795 /nfs/dbraw/zinc/35/37/95/656353795.db2.gz RTSMLTCQSJGFGG-AWEZNQCLSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3sc(C)cc3C)[C@@H]2C1 ZINC000978237015 656372417 /nfs/dbraw/zinc/37/24/17/656372417.db2.gz NYGVIBTVZOMSTC-ZSOGYDGISA-N 0 1 324.877 3.025 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(F)cc2Cl)CC1 ZINC001006364791 661258134 /nfs/dbraw/zinc/25/81/34/661258134.db2.gz SSTSSGDOICUIEA-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC001052395679 656432554 /nfs/dbraw/zinc/43/25/54/656432554.db2.gz XUQBCRMPADSPRG-YYIAUSFCSA-N 0 1 322.880 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CCC(F)(F)C2)CC1 ZINC001052433509 656436168 /nfs/dbraw/zinc/43/61/68/656436168.db2.gz TZKIQAVNDZEAFY-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc[nH]c2C(C)C)CC1 ZINC001052645359 656452327 /nfs/dbraw/zinc/45/23/27/656452327.db2.gz NDJGJRICZKRXMT-CQSZACIVSA-N 0 1 323.868 3.475 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1oc(C)cc1C ZINC001039353123 656487791 /nfs/dbraw/zinc/48/77/91/656487791.db2.gz MRGAEBVTRSLRMO-CVEARBPZSA-N 0 1 302.418 3.151 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC2(CC2)C1 ZINC001039375451 656489680 /nfs/dbraw/zinc/48/96/80/656489680.db2.gz FPKTYPROYOZQJE-BBWFWOEESA-N 0 1 302.462 3.208 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C(C)C)c1C ZINC001039422907 656498412 /nfs/dbraw/zinc/49/84/12/656498412.db2.gz RWAAZIKHTFFOKU-SJORKVTESA-N 0 1 315.461 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2ccncc2s1 ZINC001027929314 656500358 /nfs/dbraw/zinc/50/03/58/656500358.db2.gz DLPMBEQRFKUYRB-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cc[nH]c1C)C2 ZINC001048514543 656504643 /nfs/dbraw/zinc/50/46/43/656504643.db2.gz KGSGBKHFBWLZFZ-QDMKHBRRSA-N 0 1 321.852 3.048 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1[C@@H]3CCC[C@@H]31)C2 ZINC001048512995 656504725 /nfs/dbraw/zinc/50/47/25/656504725.db2.gz HPCARLMTKTXLJM-SUZAJMIASA-N 0 1 322.880 3.144 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001039452560 656505400 /nfs/dbraw/zinc/50/54/00/656505400.db2.gz BRQSCZXYALOYAZ-LCLWPZTBSA-N 0 1 324.468 3.350 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)o1 ZINC001039462675 656507130 /nfs/dbraw/zinc/50/71/30/656507130.db2.gz ACYLBPOGBBRMEN-NEPJUHHUSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cccc(F)c1 ZINC001039472046 656509652 /nfs/dbraw/zinc/50/96/52/656509652.db2.gz ZHMGRBMGRWOKJN-QGTPRVQTSA-N 0 1 316.420 3.181 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)s1 ZINC001039471892 656509758 /nfs/dbraw/zinc/50/97/58/656509758.db2.gz RYQDQEUGMKRLGG-CABCVRRESA-N 0 1 319.474 3.015 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CCCF)CC1 ZINC001039892098 656540756 /nfs/dbraw/zinc/54/07/56/656540756.db2.gz UZBRLGRTJZUFIT-CALCHBBNSA-N 0 1 308.441 3.158 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001027969365 656558199 /nfs/dbraw/zinc/55/81/99/656558199.db2.gz JZPIMWVWEBNFRV-PKOBYXMFSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1[nH]c2ccccc2c1Cl ZINC001027990319 656573644 /nfs/dbraw/zinc/57/36/44/656573644.db2.gz IZVPBLBGBAEKGF-GFCCVEGCSA-N 0 1 317.820 3.202 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001027992774 656576327 /nfs/dbraw/zinc/57/63/27/656576327.db2.gz FGJBMVJQQOMACA-LLVKDONJSA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001027992774 656576329 /nfs/dbraw/zinc/57/63/29/656576329.db2.gz FGJBMVJQQOMACA-LLVKDONJSA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(C)CCC(C)CC3)C[C@@H]2C1 ZINC001049242551 656577095 /nfs/dbraw/zinc/57/70/95/656577095.db2.gz UUGANTSZASTBEB-JIPDSPKZSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@@H]3CCCC[C@@H]3C)C[C@@H]2C1 ZINC001049247010 656577790 /nfs/dbraw/zinc/57/77/90/656577790.db2.gz XGCCDNRXXQYAAG-QSPRXWTASA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C(C)(C)CC)C2)CC1 ZINC001040824474 656608945 /nfs/dbraw/zinc/60/89/45/656608945.db2.gz SUHWBKNJKPWCAR-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@@H]3C[C@@H]3C)C2)CC1 ZINC001040833716 656609776 /nfs/dbraw/zinc/60/97/76/656609776.db2.gz ZVFRUAWCWYVRFW-ZFWWWQNUSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001028023381 656611549 /nfs/dbraw/zinc/61/15/49/656611549.db2.gz QVTHNYDHJOQEEY-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3C[C@H]3C(C)C)C2)CC1 ZINC001040899094 656613896 /nfs/dbraw/zinc/61/38/96/656613896.db2.gz JKCCUGGFVJXONP-HOTGVXAUSA-N 0 1 324.896 3.346 20 30 DGEDMN C#CCCN1CCC[C@@H]1CNC(=O)c1cc2cscc2s1 ZINC001028030978 656623274 /nfs/dbraw/zinc/62/32/74/656623274.db2.gz ODUOGYVYBVYOOM-CYBMUJFWSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490745 656628918 /nfs/dbraw/zinc/62/89/18/656628918.db2.gz NOIBNPLNEKNLKD-UONOGXRCSA-N 0 1 322.861 3.104 20 30 DGEDMN CC[C@@H](C)C(=O)N1CC[C@@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041086610 656630002 /nfs/dbraw/zinc/63/00/02/656630002.db2.gz HPJICDFXFBWSPC-WIYYLYMNSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C(C)(C)C)cn2)CC1 ZINC000981184344 656638753 /nfs/dbraw/zinc/63/87/53/656638753.db2.gz GOSNDEZZLTXZHY-UHFFFAOYSA-N 0 1 315.461 3.103 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581395 656645192 /nfs/dbraw/zinc/64/51/92/656645192.db2.gz ZSKKIEPWMBOIIM-CVEARBPZSA-N 0 1 316.470 3.013 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1CCC ZINC001049586607 656646056 /nfs/dbraw/zinc/64/60/56/656646056.db2.gz UFXNBBJHBPMWQN-UXHICEINSA-N 0 1 324.468 3.341 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)ccc1C1CC1 ZINC001028049337 656647242 /nfs/dbraw/zinc/64/72/42/656647242.db2.gz HBDZNEJKRLDBNS-QGZVFWFLSA-N 0 1 310.441 3.090 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C\Cl ZINC001049637598 656653951 /nfs/dbraw/zinc/65/39/51/656653951.db2.gz HRRDWDMCXYZDBS-IIQYJXCPSA-N 0 1 310.869 3.407 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)C(C)(C)C ZINC001049638270 656653989 /nfs/dbraw/zinc/65/39/89/656653989.db2.gz AHMAURDQSBXVOJ-HOTGVXAUSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1C1(C)CC1 ZINC001049715089 656672588 /nfs/dbraw/zinc/67/25/88/656672588.db2.gz SLKBYEVPIPIYEZ-RBUKOAKNSA-N 0 1 322.452 3.050 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2occc2s1 ZINC001049719231 656673446 /nfs/dbraw/zinc/67/34/46/656673446.db2.gz QOVBVYHNVGBEOJ-QWHCGFSZSA-N 0 1 316.426 3.359 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc(C(C)(C)C)c1 ZINC001049792073 656687614 /nfs/dbraw/zinc/68/76/14/656687614.db2.gz FPGXEAZMWUXGLB-MOPGFXCFSA-N 0 1 324.468 3.296 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc(C(C)C)c1 ZINC001049827569 656693184 /nfs/dbraw/zinc/69/31/84/656693184.db2.gz WUFWKOZDVXQYCX-RBUKOAKNSA-N 0 1 310.441 3.122 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC000981435626 656695937 /nfs/dbraw/zinc/69/59/37/656695937.db2.gz OCSROVQXAKTPSB-RTBURBONSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc(C3CC3)[nH]c2C2CC2)CC1 ZINC000981447359 656698616 /nfs/dbraw/zinc/69/86/16/656698616.db2.gz UXWGOQTXTIGZLS-UHFFFAOYSA-N 0 1 313.445 3.103 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1occ2c1CCCC2 ZINC001049863190 656703565 /nfs/dbraw/zinc/70/35/65/656703565.db2.gz LPXWBYRTFQYKBE-IRXDYDNUSA-N 0 1 314.429 3.023 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(Cl)s1 ZINC001049872705 656707269 /nfs/dbraw/zinc/70/72/69/656707269.db2.gz UJJCSYXSKQMAPX-STQMWFEESA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049877905 656710347 /nfs/dbraw/zinc/71/03/47/656710347.db2.gz SMRWRZZNEYXLHQ-DLBZAZTESA-N 0 1 322.408 3.135 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(F)ccc2CC)CC1 ZINC000981543721 656715074 /nfs/dbraw/zinc/71/50/74/656715074.db2.gz DBGBWLCFFNCATO-UHFFFAOYSA-N 0 1 304.409 3.112 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C4CCC4)cc3)C[C@@H]21 ZINC001042083899 656720540 /nfs/dbraw/zinc/72/05/40/656720540.db2.gz NIDJZWRNYBYLDW-QUCCMNQESA-N 0 1 322.452 3.124 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sccc1CC ZINC001049918845 656722953 /nfs/dbraw/zinc/72/29/53/656722953.db2.gz MZGUHASMVWNTRJ-JKSUJKDBSA-N 0 1 316.470 3.013 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1coc2ccccc12 ZINC001049929624 656726538 /nfs/dbraw/zinc/72/65/38/656726538.db2.gz BBZJDGBVDKPRAP-ROUUACIJSA-N 0 1 322.408 3.135 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc([C@@H]3C[C@@H]3C)o2)CC1 ZINC000981586903 656726774 /nfs/dbraw/zinc/72/67/74/656726774.db2.gz MIMMAZOCNZNGPX-DOTOQJQBSA-N 0 1 316.445 3.056 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)ccc3C3CC3)C[C@H]21 ZINC001042163444 656729603 /nfs/dbraw/zinc/72/96/03/656729603.db2.gz UBRPKWBLYOIPPX-YLJYHZDGSA-N 0 1 322.452 3.042 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@H]3CCN(C/C=C/Cl)[C@H]3C2)CC1 ZINC001042216285 656738237 /nfs/dbraw/zinc/73/82/37/656738237.db2.gz NSXVGDNSCUGCHW-YWWDZWHWSA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CC[C@@H]2c2ccc(F)cc2)CC1 ZINC000981673750 656746475 /nfs/dbraw/zinc/74/64/75/656746475.db2.gz LMBNWYPLEKWBDE-MSOLQXFVSA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(C4CC4)s3)C[C@H]21 ZINC001042314228 656750212 /nfs/dbraw/zinc/75/02/12/656750212.db2.gz YTFLWTNNWLNAAA-DZGCQCFKSA-N 0 1 316.470 3.348 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC000981742397 656756157 /nfs/dbraw/zinc/75/61/57/656756157.db2.gz DERWYUQYWDEXCW-UHFFFAOYSA-N 0 1 318.848 3.128 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC000981742397 656756159 /nfs/dbraw/zinc/75/61/59/656756159.db2.gz DERWYUQYWDEXCW-UHFFFAOYSA-N 0 1 318.848 3.128 20 30 DGEDMN C[C@@H](c1ccc(F)nc1)[N@H+]1CCCN(c2ccccc2C#N)CC1 ZINC000929263806 661292453 /nfs/dbraw/zinc/29/24/53/661292453.db2.gz PUQGVOPZDQOQRB-HNNXBMFYSA-N 0 1 324.403 3.366 20 30 DGEDMN C[C@@H](c1ccc(F)nc1)N1CCCN(c2ccccc2C#N)CC1 ZINC000929263806 661292455 /nfs/dbraw/zinc/29/24/55/661292455.db2.gz PUQGVOPZDQOQRB-HNNXBMFYSA-N 0 1 324.403 3.366 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3sccc3C3CC3)C[C@H]21 ZINC001042340890 656760493 /nfs/dbraw/zinc/76/04/93/656760493.db2.gz JECBLXWSLFHKNR-GOEBONIOSA-N 0 1 316.470 3.348 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCC[C@H]3CCC)C2)C1 ZINC000981855683 656787617 /nfs/dbraw/zinc/78/76/17/656787617.db2.gz DNJGICGVPLSVRH-MSOLQXFVSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001028148428 656795138 /nfs/dbraw/zinc/79/51/38/656795138.db2.gz FEYQRQLVASXGDE-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(CF)cc2)CC1 ZINC001052964820 656798810 /nfs/dbraw/zinc/79/88/10/656798810.db2.gz KGJVUWPFARZUMI-INIZCTEOSA-N 0 1 324.827 3.493 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccc(OCCC)c2)C1 ZINC001042844075 656800412 /nfs/dbraw/zinc/80/04/12/656800412.db2.gz KTHMIVSPLRSQPB-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001042933568 656803516 /nfs/dbraw/zinc/80/35/16/656803516.db2.gz OUUPUWHVZVPBTB-UHFFFAOYSA-N 0 1 316.489 3.168 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](c2ccccc2)C2CC2)C1 ZINC001043337941 656825078 /nfs/dbraw/zinc/82/50/78/656825078.db2.gz FQUQNKRRTIWUFM-LJQANCHMSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001028165603 656827999 /nfs/dbraw/zinc/82/79/99/656827999.db2.gz YGIGZVOOXVEMBR-CQSZACIVSA-N 0 1 304.434 3.266 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccccc1O[C@@H](C)CC ZINC001028171801 656833137 /nfs/dbraw/zinc/83/31/37/656833137.db2.gz JGHGDKNKKAESHD-JKSUJKDBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)c2ccc(C(C)C)nc2C)CC1 ZINC000981977030 656842594 /nfs/dbraw/zinc/84/25/94/656842594.db2.gz VAYTULQNGFBEOM-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C(C)C)nc2C)CC1 ZINC000981977030 656842602 /nfs/dbraw/zinc/84/26/02/656842602.db2.gz VAYTULQNGFBEOM-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC000981988209 656848004 /nfs/dbraw/zinc/84/80/04/656848004.db2.gz YGNRHARDGFHBIU-WBVHZDCISA-N 0 1 310.457 3.261 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC000981988206 656848326 /nfs/dbraw/zinc/84/83/26/656848326.db2.gz YGNRHARDGFHBIU-DOTOQJQBSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001044032966 656874603 /nfs/dbraw/zinc/87/46/03/656874603.db2.gz CVRPRWILYHSTIH-QUCCMNQESA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)Cc2cccs2)CC1 ZINC000982056645 656877692 /nfs/dbraw/zinc/87/76/92/656877692.db2.gz NHJFONKJKHEJIR-HNNXBMFYSA-N 0 1 306.475 3.037 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCCC[C@@H]3CC)C2)C1 ZINC000982099048 656894519 /nfs/dbraw/zinc/89/45/19/656894519.db2.gz VRRPRHJGSOKGTE-ZWKOTPCHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001044215308 656896570 /nfs/dbraw/zinc/89/65/70/656896570.db2.gz RIZCSSQBRRCJBD-KRWDZBQOSA-N 0 1 312.457 3.215 20 30 DGEDMN CCCC(=O)N1CCC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001054072161 656902571 /nfs/dbraw/zinc/90/25/71/656902571.db2.gz PTRHXXZYMKRLEO-OAQYLSRUSA-N 0 1 324.468 3.153 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1CC=CCC1)C2 ZINC001054083637 656907173 /nfs/dbraw/zinc/90/71/73/656907173.db2.gz JCTXXMPNFCSKKC-SJLPKXTDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C(CC)CC)C2 ZINC001054086039 656907396 /nfs/dbraw/zinc/90/73/96/656907396.db2.gz FPUJZUPWWDXKOV-KRWDZBQOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H](C)C1CC1)C2 ZINC001054111843 656912934 /nfs/dbraw/zinc/91/29/34/656912934.db2.gz BYZCRAHYTLCQBW-YOEHRIQHSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CC[N@H+]1C[C@@]2(C)CN(C(=O)C=C3CCCCC3)C[C@@]2(C)C1 ZINC000982226666 656920645 /nfs/dbraw/zinc/92/06/45/656920645.db2.gz NVPBLJIJSOOUQG-BGYRXZFFSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cc[nH]c3CC)cc2C1 ZINC001054263914 656954618 /nfs/dbraw/zinc/95/46/18/656954618.db2.gz KRECHFAKHBZLDA-UHFFFAOYSA-N 0 1 323.440 3.399 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3CCC3(F)F)cc2C1 ZINC001054270758 656957118 /nfs/dbraw/zinc/95/71/18/656957118.db2.gz ZVQMNTJIQLUOOD-INIZCTEOSA-N 0 1 320.383 3.240 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCC[C@H](C)C3)cc2C1 ZINC001054278896 656959270 /nfs/dbraw/zinc/95/92/70/656959270.db2.gz QMGCHHNFJYANOM-WMZOPIPTSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(Cl)cc(OC)c2)CC1 ZINC000982370061 656959873 /nfs/dbraw/zinc/95/98/73/656959873.db2.gz OQIOFRIAOHBABF-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC001028301842 656986890 /nfs/dbraw/zinc/98/68/90/656986890.db2.gz VKCOPTYJBLWNIS-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001028328766 656995247 /nfs/dbraw/zinc/99/52/47/656995247.db2.gz XNSBGCASARLJRE-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cnc(C(C)(C)C)s1 ZINC001028337680 656996743 /nfs/dbraw/zinc/99/67/43/656996743.db2.gz VAWCFYCMZLETPD-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2c(F)cccc2F)C1 ZINC001054975867 657026645 /nfs/dbraw/zinc/02/66/45/657026645.db2.gz GZUWYDFNXUZBSU-WBMJQRKESA-N 0 1 322.399 3.114 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2c(F)cccc2F)C1 ZINC001054975866 657026710 /nfs/dbraw/zinc/02/67/10/657026710.db2.gz GZUWYDFNXUZBSU-MLGOLLRUSA-N 0 1 322.399 3.114 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2csc(Cl)c2)CC1 ZINC001045578086 657039020 /nfs/dbraw/zinc/03/90/20/657039020.db2.gz BXTHCOMEXKZLTH-UHFFFAOYSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2c[nH]c3ccc(C)cc23)CC1 ZINC001045646194 657049010 /nfs/dbraw/zinc/04/90/10/657049010.db2.gz CMMWLLZGDJYQHZ-UHFFFAOYSA-N 0 1 323.440 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H]1CC1(C)C)C2 ZINC001045932644 657097598 /nfs/dbraw/zinc/09/75/98/657097598.db2.gz ROAZHWFQMWGPFV-MAUKXSAKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001045964457 657107970 /nfs/dbraw/zinc/10/79/70/657107970.db2.gz IKWFNOXQYSHCJK-MSOLQXFVSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001000321606 657112818 /nfs/dbraw/zinc/11/28/18/657112818.db2.gz UGVNEDJQVOGNIS-OAHLLOKOSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H](C)c2ccco2)C1 ZINC001000705582 657143641 /nfs/dbraw/zinc/14/36/41/657143641.db2.gz QSGLEZAWFUVMPJ-JSGCOSHPSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001046312921 657144997 /nfs/dbraw/zinc/14/49/97/657144997.db2.gz NIWRQTOKVBAWBP-KRWDZBQOSA-N 0 1 319.474 3.099 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(CC)c(CC)o2)C1 ZINC001000713710 657145291 /nfs/dbraw/zinc/14/52/91/657145291.db2.gz WNXHQCPJCDVJBA-AWEZNQCLSA-N 0 1 324.852 3.351 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001046317262 657145347 /nfs/dbraw/zinc/14/53/47/657145347.db2.gz FYKWJYMXXVVRHV-IBGZPJMESA-N 0 1 312.413 3.375 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(CCCC)cc2)CC1 ZINC001000920256 657164096 /nfs/dbraw/zinc/16/40/96/657164096.db2.gz HWNPHISFHDTFLR-UHFFFAOYSA-N 0 1 324.468 3.414 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001046492767 657169379 /nfs/dbraw/zinc/16/93/79/657169379.db2.gz QRWAQXJMFUTJQR-HXUWFJFHSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C)c3ccccc23)C1 ZINC001046658262 657198478 /nfs/dbraw/zinc/19/84/78/657198478.db2.gz YKDIYKRIJSXUDC-OAQYLSRUSA-N 0 1 320.436 3.366 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001046688190 657203346 /nfs/dbraw/zinc/20/33/46/657203346.db2.gz NWWDOOWFMVEDRT-QKNQBKEWSA-N 0 1 324.468 3.011 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc3sccc3c2)CC1 ZINC001001326104 657207034 /nfs/dbraw/zinc/20/70/34/657207034.db2.gz ZKXYZJQKQFDCFB-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2ccc(C3CC3)s2)C1 ZINC001046769341 657215065 /nfs/dbraw/zinc/21/50/65/657215065.db2.gz SFUALEVROOTKFA-QGZVFWFLSA-N 0 1 304.459 3.396 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc3ccccc3c2)CC1 ZINC001001398801 657215576 /nfs/dbraw/zinc/21/55/76/657215576.db2.gz KTXGMUQIAJQWRI-UHFFFAOYSA-N 0 1 318.420 3.225 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001046795740 657221308 /nfs/dbraw/zinc/22/13/08/657221308.db2.gz XTAIDFRVWKAPSB-FQEVSTJZSA-N 0 1 312.457 3.202 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001046797762 657221832 /nfs/dbraw/zinc/22/18/32/657221832.db2.gz HVAVWIAVUKFMLN-OAHLLOKOSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC001046827886 657232057 /nfs/dbraw/zinc/23/20/57/657232057.db2.gz VRUFMOQXSTZWKC-KRWDZBQOSA-N 0 1 318.417 3.421 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001046881884 657242386 /nfs/dbraw/zinc/24/23/86/657242386.db2.gz YQIOTPYMCNMDPI-QGZVFWFLSA-N 0 1 320.383 3.016 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2cc(C)ccc2C)C1 ZINC001046991287 657250029 /nfs/dbraw/zinc/25/00/29/657250029.db2.gz DBICWMURNIBJNF-HNNXBMFYSA-N 0 1 322.399 3.176 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccc4ccsc43)[C@@H]2C1 ZINC001050177773 657264159 /nfs/dbraw/zinc/26/41/59/657264159.db2.gz PYOOVBQYJSFXID-DOTOQJQBSA-N 0 1 324.449 3.071 20 30 DGEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cccc(C4CC4)c3)[C@@H]2C1 ZINC001050211214 657271245 /nfs/dbraw/zinc/27/12/45/657271245.db2.gz GLCPMLUPCMVEKW-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC3CCC(C)(C)CC3)[C@@H]2C1 ZINC001050218235 657272715 /nfs/dbraw/zinc/27/27/15/657272715.db2.gz LSBNMGJLAMKWKW-ZWKOTPCHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)CC3CC3)C2)CC1 ZINC001047215561 657279248 /nfs/dbraw/zinc/27/92/48/657279248.db2.gz MJUAOPRAECKXMC-QGZVFWFLSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001038429101 657310336 /nfs/dbraw/zinc/31/03/36/657310336.db2.gz VMGOPGWACZBWBP-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC([C@@H](C)NCc2cscn2)CC1 ZINC001029126420 657314147 /nfs/dbraw/zinc/31/41/47/657314147.db2.gz RZWVXMJQAIHXCP-CYBMUJFWSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3C=CCCC3)C2)CC1 ZINC001050560876 657323189 /nfs/dbraw/zinc/32/31/89/657323189.db2.gz KLDXWQDMNBZCEF-INIZCTEOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3C=CCCC3)C2)CC1 ZINC001050560877 657323262 /nfs/dbraw/zinc/32/32/62/657323262.db2.gz KLDXWQDMNBZCEF-MRXNPFEDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3occc3CC)C2)CC1 ZINC001050646652 657337288 /nfs/dbraw/zinc/33/72/88/657337288.db2.gz CUGDZVUHDJOAAY-UHFFFAOYSA-N 0 1 322.836 3.133 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cccc(F)c3)cccc2C1 ZINC001051277250 657378984 /nfs/dbraw/zinc/37/89/84/657378984.db2.gz OGKCABZLTLUSQA-UHFFFAOYSA-N 0 1 324.399 3.300 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3CC=CCC3)cccc2C1 ZINC001051279274 657379800 /nfs/dbraw/zinc/37/98/00/657379800.db2.gz XRTMZMHPFCUPJM-QGZVFWFLSA-N 0 1 322.452 3.041 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@H]3CCC[C@@H](C)C3)cccc2C1 ZINC001051298579 657383270 /nfs/dbraw/zinc/38/32/70/657383270.db2.gz XORBUCYRPIABEF-IAGOWNOFSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3C[C@H]3C3CCC3)cccc2C1 ZINC001051302072 657384490 /nfs/dbraw/zinc/38/44/90/657384490.db2.gz QNRSNDJVVFAVTM-VQTJNVASSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3C[C@]3(C)CC)cccc2C1 ZINC001051306212 657384737 /nfs/dbraw/zinc/38/47/37/657384737.db2.gz ORVQFJOSYFXMNM-ICSRJNTNSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353969 657392172 /nfs/dbraw/zinc/39/21/72/657392172.db2.gz KURRAIZUMRFVPN-SMDDNHRTSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353968 657392447 /nfs/dbraw/zinc/39/24/47/657392447.db2.gz KURRAIZUMRFVPN-RISCZKNCSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000957296029 657394570 /nfs/dbraw/zinc/39/45/70/657394570.db2.gz DJIRJQAXQSOCMK-RBUKOAKNSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2[nH]ccc2-c2ccccc2)CC1 ZINC000957299700 657396589 /nfs/dbraw/zinc/39/65/89/657396589.db2.gz OHESAXXWKIHOQL-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(Cl)cc2)C1 ZINC000957310251 657397937 /nfs/dbraw/zinc/39/79/37/657397937.db2.gz MCUJWTAYFZGPMU-UHFFFAOYSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](CC)Cc2ccccc2C)CC1 ZINC000957330831 657400864 /nfs/dbraw/zinc/40/08/64/657400864.db2.gz LXIMMGUHCOQVAY-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc(Cl)cn2CC)C1 ZINC000957397279 657411756 /nfs/dbraw/zinc/41/17/56/657411756.db2.gz WFSSJSFNBXFBMJ-UHFFFAOYSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC000968505005 657418122 /nfs/dbraw/zinc/41/81/22/657418122.db2.gz LYRKNODLVZOGEA-APWZRJJASA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528837 657422136 /nfs/dbraw/zinc/42/21/36/657422136.db2.gz HHRQYMRKCQZKEM-HOCLYGCPSA-N 0 1 304.434 3.248 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccoc2CCC)C1 ZINC000968528837 657422139 /nfs/dbraw/zinc/42/21/39/657422139.db2.gz HHRQYMRKCQZKEM-HOCLYGCPSA-N 0 1 304.434 3.248 20 30 DGEDMN C=CCCN1CCN(C(=O)c2coc3ccc(Cl)cc32)CC1 ZINC000957497145 657422996 /nfs/dbraw/zinc/42/29/96/657422996.db2.gz GKPPTHWYXXETAP-UHFFFAOYSA-N 0 1 318.804 3.420 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2Cc3ccc(Cl)cc32)CC1 ZINC000957503332 657424252 /nfs/dbraw/zinc/42/42/52/657424252.db2.gz HLJVLCVIWVVANH-KRWDZBQOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2oc(CC)cc2C)C1 ZINC000968549337 657424892 /nfs/dbraw/zinc/42/48/92/657424892.db2.gz POASRNOAQMIJGT-XJKSGUPXSA-N 0 1 304.434 3.167 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968553835 657425700 /nfs/dbraw/zinc/42/57/00/657425700.db2.gz QGSLPMOZWFQBEV-UZLBHIALSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968571389 657429319 /nfs/dbraw/zinc/42/93/19/657429319.db2.gz YOENDWSYFNAPKL-RISCZKNCSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC000968599048 657437051 /nfs/dbraw/zinc/43/70/51/657437051.db2.gz PYPJYFFROZHDNF-ZYHUDNBSSA-N 0 1 314.401 3.312 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccsc2C(F)F)C1 ZINC000968599046 657437579 /nfs/dbraw/zinc/43/75/79/657437579.db2.gz PYPJYFFROZHDNF-JQWIXIFHSA-N 0 1 314.401 3.312 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)nc3ccccc32)C1 ZINC000968609527 657441972 /nfs/dbraw/zinc/44/19/72/657441972.db2.gz IBLLEDPIYJKJMC-KUHUBIRLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(OC(C)C)cc2)C1 ZINC000968610893 657442211 /nfs/dbraw/zinc/44/22/11/657442211.db2.gz SJVAJOJHOCEUJL-QAPCUYQASA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2csc3c2CCCC3)C1 ZINC000968618036 657443510 /nfs/dbraw/zinc/44/35/10/657443510.db2.gz CSDWAUFFVMGMBC-BBRMVZONSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968624821 657447184 /nfs/dbraw/zinc/44/71/84/657447184.db2.gz ZTPGQDSVMCIXFE-DZGCQCFKSA-N 0 1 318.486 3.082 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968624822 657447373 /nfs/dbraw/zinc/44/73/73/657447373.db2.gz ZTPGQDSVMCIXFE-HIFRSBDPSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC000968627948 657448737 /nfs/dbraw/zinc/44/87/37/657448737.db2.gz QFWZHXBLHYVOJO-DOMZBBRYSA-N 0 1 306.475 3.245 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C3CC3)cc2)C1 ZINC000968673968 657454078 /nfs/dbraw/zinc/45/40/78/657454078.db2.gz NCFTWEBYRIIZDI-HNAYVOBHSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCCN1CCN(C(=O)CC2C3CC4CC(C3)CC2C4)CC1 ZINC000957721159 657454905 /nfs/dbraw/zinc/45/49/05/657454905.db2.gz ZRNFDTSEECTFCX-UHFFFAOYSA-N 0 1 316.489 3.169 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968681397 657456135 /nfs/dbraw/zinc/45/61/35/657456135.db2.gz IWLAGQOXBYMKIV-YOEHRIQHSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCCN1CCN(C(=O)CC[C@@H]2CCc3ccccc32)CC1 ZINC000957746552 657456274 /nfs/dbraw/zinc/45/62/74/657456274.db2.gz CBYOEQAPFBSKOW-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684985 657458025 /nfs/dbraw/zinc/45/80/25/657458025.db2.gz LLERXAHVBRFRTC-GOEBONIOSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC000968689328 657459246 /nfs/dbraw/zinc/45/92/46/657459246.db2.gz WXNDLKUUBVKDLB-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC000968692579 657460614 /nfs/dbraw/zinc/46/06/14/657460614.db2.gz OHKFIQJEKYAJSI-DNVCBOLYSA-N 0 1 312.457 3.110 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968708667 657465541 /nfs/dbraw/zinc/46/55/41/657465541.db2.gz DIPKSEPHTUYTRS-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC(=O)NC[C@H]1CCCCCN1CC#Cc1ccc(Cl)cc1 ZINC000968715590 657467286 /nfs/dbraw/zinc/46/72/86/657467286.db2.gz ABGSUKGKPAXBLU-GOSISDBHSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(C(F)(F)F)CCCCC2)CC1 ZINC000957920928 657471963 /nfs/dbraw/zinc/47/19/63/657471963.db2.gz QLXIXZIWMMFDHL-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2scc(C)c2Cl)C1 ZINC000968776640 657485438 /nfs/dbraw/zinc/48/54/38/657485438.db2.gz AZXQPJBRTQLJPI-SNVBAGLBSA-N 0 1 319.257 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3c2CCCC3)C1 ZINC000968779824 657485550 /nfs/dbraw/zinc/48/55/50/657485550.db2.gz HHULGZLBGXLCEQ-OAHLLOKOSA-N 0 1 318.848 3.122 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)cs1 ZINC000968853173 657502846 /nfs/dbraw/zinc/50/28/46/657502846.db2.gz AHSSMWGKXPTDRZ-OAHLLOKOSA-N 0 1 304.459 3.054 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC000968863487 657504404 /nfs/dbraw/zinc/50/44/04/657504404.db2.gz GNCJSKMGSDRNNU-GVDBMIGSSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1csc(C)c1C ZINC000968871306 657506693 /nfs/dbraw/zinc/50/66/93/657506693.db2.gz QVNGZQDZTBMUBB-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1oc(C(F)F)cc1C ZINC000968897907 657512255 /nfs/dbraw/zinc/51/22/55/657512255.db2.gz AFMODHAADYTERT-ZDUSSCGKSA-N 0 1 324.371 3.133 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC000968917490 657515166 /nfs/dbraw/zinc/51/51/66/657515166.db2.gz YFWDXPCCQAEYEK-ICSRJNTNSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@H]1CCCC1(C)C ZINC000968990297 657529145 /nfs/dbraw/zinc/52/91/45/657529145.db2.gz AJKCIQIZRPCBTN-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(F)c(Cl)c1 ZINC000969007584 657532792 /nfs/dbraw/zinc/53/27/92/657532792.db2.gz FJQGCDAZWWLQKE-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN CCCCCCCCCN(C)[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000303428487 657535104 /nfs/dbraw/zinc/53/51/04/657535104.db2.gz BJHZQZFLALNUED-QGZVFWFLSA-N 0 1 320.481 3.208 20 30 DGEDMN CCCCCCCCCN(C)[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000303428486 657535128 /nfs/dbraw/zinc/53/51/28/657535128.db2.gz BJHZQZFLALNUED-KRWDZBQOSA-N 0 1 320.481 3.208 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1cc([C@H](C)CC)no1 ZINC000969060022 657546873 /nfs/dbraw/zinc/54/68/73/657546873.db2.gz VGVAMFTWLCRLBF-CABCVRRESA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1cc([C@H](C)CC)no1 ZINC000969060022 657546882 /nfs/dbraw/zinc/54/68/82/657546882.db2.gz VGVAMFTWLCRLBF-CABCVRRESA-N 0 1 319.449 3.349 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C(F)F)cc1 ZINC000969072659 657550302 /nfs/dbraw/zinc/55/03/02/657550302.db2.gz OMCWTUIKYDQCEQ-MRXNPFEDSA-N 0 1 320.383 3.232 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC000969069680 657550703 /nfs/dbraw/zinc/55/07/03/657550703.db2.gz BODTWDWVXDLEPT-YSIASYRMSA-N 0 1 324.468 3.093 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001007347121 657596320 /nfs/dbraw/zinc/59/63/20/657596320.db2.gz QKTZWZSYNVINJY-LLVKDONJSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001007677055 657626651 /nfs/dbraw/zinc/62/66/51/657626651.db2.gz ZKOCDBLQLAMUBT-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001007699955 657629984 /nfs/dbraw/zinc/62/99/84/657629984.db2.gz RDHGXPSLJIATQT-KVZIAJEVSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)ccc2CC)C1 ZINC001007782956 657633255 /nfs/dbraw/zinc/63/32/55/657633255.db2.gz RMNFVACHEIDXLN-MRXNPFEDSA-N 0 1 304.409 3.158 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCc3c2cccc3Cl)C1 ZINC001007953637 657648301 /nfs/dbraw/zinc/64/83/01/657648301.db2.gz PFDRYHQZLBZFJB-XJKSGUPXSA-N 0 1 318.848 3.136 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001008043932 657656651 /nfs/dbraw/zinc/65/66/51/657656651.db2.gz HGKWTVKRDOWTPU-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001008134675 657664115 /nfs/dbraw/zinc/66/41/15/657664115.db2.gz ADDWNPNZTBWYPK-AWEZNQCLSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001008323745 657682332 /nfs/dbraw/zinc/68/23/32/657682332.db2.gz RBABVZWCHOBJAK-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc[nH]c2C2CCC2)C1 ZINC001008528864 657704579 /nfs/dbraw/zinc/70/45/79/657704579.db2.gz DDRUFSDABBEIOW-HNNXBMFYSA-N 0 1 301.434 3.053 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)C(C)(C)C)CC1 ZINC000985494319 657716639 /nfs/dbraw/zinc/71/66/39/657716639.db2.gz HHOZWNCETWOWEM-CYBMUJFWSA-N 0 1 300.874 3.344 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001009047979 657744681 /nfs/dbraw/zinc/74/46/81/657744681.db2.gz ZNRZSXZQKPCHRU-OAHLLOKOSA-N 0 1 304.434 3.482 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001009035638 657744713 /nfs/dbraw/zinc/74/47/13/657744713.db2.gz MNUFDVIPVCUTHD-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC001009196796 657756347 /nfs/dbraw/zinc/75/63/47/657756347.db2.gz SHUTZLSQOXHYEO-GJZGRUSLSA-N 0 1 306.475 3.317 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001009297182 657764235 /nfs/dbraw/zinc/76/42/35/657764235.db2.gz WPNKVCFVDZEVSF-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001009305613 657765987 /nfs/dbraw/zinc/76/59/87/657765987.db2.gz PLDSMGKOJCJLDH-QGZVFWFLSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001009299382 657766201 /nfs/dbraw/zinc/76/62/01/657766201.db2.gz CTLCWVMNMZCOEO-LBPRGKRZSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CC[C@@H](C)C2)CC1 ZINC000985591544 657777468 /nfs/dbraw/zinc/77/74/68/657777468.db2.gz UPJHIOJHRSMSAD-UKRRQHHQSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001009417504 657781334 /nfs/dbraw/zinc/78/13/34/657781334.db2.gz KCBMKSIDUPNAPW-ZIAGYGMSSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2Cc3ccccc32)CC1 ZINC000985618307 657784064 /nfs/dbraw/zinc/78/40/64/657784064.db2.gz QTNNFUFIMYUYOO-QGZVFWFLSA-N 0 1 318.848 3.002 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2coc3cc(C)ccc23)C1 ZINC001009430064 657785140 /nfs/dbraw/zinc/78/51/40/657785140.db2.gz DLHBYPPICCTWNQ-INIZCTEOSA-N 0 1 312.413 3.050 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(OCC(C)C)c2)C1 ZINC001009446334 657787398 /nfs/dbraw/zinc/78/73/98/657787398.db2.gz CJNLJDOZVKCSNX-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2occc2Cl)CC1 ZINC000985638115 657791379 /nfs/dbraw/zinc/79/13/79/657791379.db2.gz OOCZJVSLRNPEOO-UHFFFAOYSA-N 0 1 317.216 3.222 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cncc3ccccc32)C1 ZINC001009614789 657800219 /nfs/dbraw/zinc/80/02/19/657800219.db2.gz YTMDJTWLMPQMRI-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3ccccc3n2CC)C1 ZINC001009622186 657800464 /nfs/dbraw/zinc/80/04/64/657800464.db2.gz YYFJVDZYYDSEKH-INIZCTEOSA-N 0 1 311.429 3.041 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2C(C)C)C1 ZINC001009608793 657800724 /nfs/dbraw/zinc/80/07/24/657800724.db2.gz GTSCAUJJLXZKEL-CQSZACIVSA-N 0 1 304.459 3.089 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cncc3ccccc32)C1 ZINC001009614782 657800830 /nfs/dbraw/zinc/80/08/30/657800830.db2.gz YTMDJTWLMPQMRI-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3ccccc3n2CC)C1 ZINC001009622194 657801440 /nfs/dbraw/zinc/80/14/40/657801440.db2.gz YYFJVDZYYDSEKH-MRXNPFEDSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC000960787464 657874254 /nfs/dbraw/zinc/87/42/54/657874254.db2.gz NBASJPYBAUQWCE-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)c3ccco3)C2)C1 ZINC000961080193 657942235 /nfs/dbraw/zinc/94/22/35/657942235.db2.gz ACRMYQWMRHSHHZ-CABCVRRESA-N 0 1 322.836 3.206 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001014629022 658036139 /nfs/dbraw/zinc/03/61/39/658036139.db2.gz KKGSLJOYNWVOJX-INIZCTEOSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C)c2F)[C@H]1C ZINC000986312864 658036247 /nfs/dbraw/zinc/03/62/47/658036247.db2.gz KEHVRZRTRRDXHB-GXTWGEPZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)nc2)[C@@H]1C ZINC000986359440 658040300 /nfs/dbraw/zinc/04/03/00/658040300.db2.gz PAMWKVRYOCKTTG-CJNGLKHVSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)nc2)[C@@H]1C ZINC000986359441 658040397 /nfs/dbraw/zinc/04/03/97/658040397.db2.gz PAMWKVRYOCKTTG-CZUORRHYSA-N 0 1 321.852 3.150 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3c(o2)CCCC3)[C@H]1C ZINC000986342323 658041302 /nfs/dbraw/zinc/04/13/02/658041302.db2.gz OWOWRTHTVYGRHE-GXTWGEPZSA-N 0 1 322.836 3.104 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2C(C)(C)CC)C1 ZINC001014859003 658055553 /nfs/dbraw/zinc/05/55/53/658055553.db2.gz HDQHVRHIPXRTRV-HNNXBMFYSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(CC)s2)[C@H]1C ZINC000986500437 658056997 /nfs/dbraw/zinc/05/69/97/658056997.db2.gz MWLYKPIFAXBEBR-WCQYABFASA-N 0 1 312.866 3.256 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccccc2CC(C)(C)C)C1 ZINC001014871334 658058841 /nfs/dbraw/zinc/05/88/41/658058841.db2.gz YPGZTHCTZINTTD-MRXNPFEDSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2CC)[C@@H]1C ZINC000986766792 658096723 /nfs/dbraw/zinc/09/67/23/658096723.db2.gz KREKBTFUYAQUBW-CZUORRHYSA-N 0 1 306.837 3.194 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001015404025 658116876 /nfs/dbraw/zinc/11/68/76/658116876.db2.gz OQJZTAYCAGTNIJ-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3occc3s2)[C@H]1C ZINC000986957126 658124071 /nfs/dbraw/zinc/12/40/71/658124071.db2.gz LKMMCFQIDQNHHM-WDEREUQCSA-N 0 1 324.833 3.439 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)cc(F)c2)[C@@H]1C ZINC000987033283 658142341 /nfs/dbraw/zinc/14/23/41/658142341.db2.gz ZOYPXBLDRKBSMB-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccccc2C)[C@H]1C ZINC000987053145 658146657 /nfs/dbraw/zinc/14/66/57/658146657.db2.gz HGRTTZUDVPYREQ-RDJZCZTQSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(CC)c(CC)o2)[C@@H]1C ZINC000987359914 658195484 /nfs/dbraw/zinc/19/54/84/658195484.db2.gz RFBGHVSRPFHLOR-OCCSQVGLSA-N 0 1 324.852 3.350 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(F)F)o2)[C@H]1C ZINC000987428342 658209068 /nfs/dbraw/zinc/20/90/68/658209068.db2.gz NTIPSOWCFWGHCQ-UWVGGRQHSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(OCC)cc2)[C@@H]1C ZINC000987450582 658212209 /nfs/dbraw/zinc/21/22/09/658212209.db2.gz RGTNQFVOICOECC-CJNGLKHVSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001015966452 658212495 /nfs/dbraw/zinc/21/24/95/658212495.db2.gz JAYDCMVANBKWCZ-GDBMZVCRSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)s2)[C@@H]1C ZINC000987467906 658216149 /nfs/dbraw/zinc/21/61/49/658216149.db2.gz UMDCKUSSHSRLJR-NXEZZACHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(C)s2)[C@H]1C ZINC000987492516 658220316 /nfs/dbraw/zinc/22/03/16/658220316.db2.gz KMQSYCDHTNGRMW-AAEUAGOBSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccccc2C(F)(F)F)C1 ZINC001016070312 658231627 /nfs/dbraw/zinc/23/16/27/658231627.db2.gz ZGQNYZMBZPCKSR-GFCCVEGCSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2cc(C)cs2)CC1 ZINC001016611976 658306796 /nfs/dbraw/zinc/30/67/96/658306796.db2.gz IAMIKGSMSRRFGB-CQSZACIVSA-N 0 1 324.877 3.393 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2(C3CC3)CCC2)CC1 ZINC001016751468 658324831 /nfs/dbraw/zinc/32/48/31/658324831.db2.gz LGXNOYBJIQTDQR-HNNXBMFYSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2CCC2(C)C)CC1 ZINC001016780281 658328710 /nfs/dbraw/zinc/32/87/10/658328710.db2.gz IKYZRMAYRSNNOH-KBPBESRZSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@@H]2CC23CCCC3)CC1 ZINC001016904365 658349140 /nfs/dbraw/zinc/34/91/40/658349140.db2.gz AQPUWLHOJLNJJZ-GJZGRUSLSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2csc(C)c2C)C1 ZINC000988874915 658413174 /nfs/dbraw/zinc/41/31/74/658413174.db2.gz GEVLNRPWLWSRJD-GXFFZTMASA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2c[nH]c3ccccc23)C1 ZINC000989124086 658439966 /nfs/dbraw/zinc/43/99/66/658439966.db2.gz JDJFJNNDQKMDLK-CHWSQXEVSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cscc2C(F)F)C1 ZINC000966166850 658450951 /nfs/dbraw/zinc/45/09/51/658450951.db2.gz KULXKRUQIMGLTN-GXFFZTMASA-N 0 1 314.401 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2cccc(C)c2)C1 ZINC000989238847 658455305 /nfs/dbraw/zinc/45/53/05/658455305.db2.gz VGSVGOJSGRYPDP-RDJZCZTQSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C1CC1 ZINC001017852885 658467510 /nfs/dbraw/zinc/46/75/10/658467510.db2.gz REVAGEYIIGCAKV-IYBDPMFKSA-N 0 1 314.429 3.412 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccsc1 ZINC001017935230 658479749 /nfs/dbraw/zinc/47/97/49/658479749.db2.gz SPNUEKIAEOOXNQ-CWRNSKLLSA-N 0 1 304.459 3.103 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)Cc2ccccc2)CC1 ZINC000989430845 658480103 /nfs/dbraw/zinc/48/01/03/658480103.db2.gz LYNFGFANGVVQFB-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=Cc1ccc(C(=O)N2C[C@@H](NCC(=C)Cl)C[C@@H]2C)cc1 ZINC000989471935 658494781 /nfs/dbraw/zinc/49/47/81/658494781.db2.gz IXGHTLDGLHJTTN-BBRMVZONSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c1ccn2C ZINC001018069233 658498200 /nfs/dbraw/zinc/49/82/00/658498200.db2.gz DPPZORGHJGECAX-IYBDPMFKSA-N 0 1 323.440 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(Cl)cs2)C1 ZINC000989512213 658504755 /nfs/dbraw/zinc/50/47/55/658504755.db2.gz ZXFLLHNENMXYGG-GXSJLCMTSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(C)cccn2c1 ZINC001018168836 658508920 /nfs/dbraw/zinc/50/89/20/658508920.db2.gz KTAOYEMZMIFMPO-HDICACEKSA-N 0 1 323.440 3.113 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)c(CC)o1 ZINC001018181491 658512292 /nfs/dbraw/zinc/51/22/92/658512292.db2.gz OMYVRNHPDKLRTH-IYBDPMFKSA-N 0 1 316.445 3.269 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccccc1Cl ZINC001018203941 658517155 /nfs/dbraw/zinc/51/71/55/658517155.db2.gz YNKYRINJYFVXBJ-OKILXGFUSA-N 0 1 304.821 3.205 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(C)s1 ZINC001018248986 658525462 /nfs/dbraw/zinc/52/54/62/658525462.db2.gz JNQSISJMLYTKQA-GASCZTMLSA-N 0 1 304.459 3.230 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000989608514 658526302 /nfs/dbraw/zinc/52/63/02/658526302.db2.gz ITVPBNBLSAOSJN-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(OCC(C)C)cc2)CC1 ZINC000989607587 658528054 /nfs/dbraw/zinc/52/80/54/658528054.db2.gz SPHMEMYMEGRKSR-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC000967007717 658529195 /nfs/dbraw/zinc/52/91/95/658529195.db2.gz OHDABBMBMUTTJH-KBPBESRZSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(CC)c(C)s2)CC1 ZINC000989625988 658531095 /nfs/dbraw/zinc/53/10/95/658531095.db2.gz QXKZTCIARMEDEQ-UHFFFAOYSA-N 0 1 306.475 3.343 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C1CC1)C1CC1 ZINC001018304849 658534131 /nfs/dbraw/zinc/53/41/31/658534131.db2.gz VXYCJXUONWAHGQ-CALCHBBNSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(F)cc2C)C1 ZINC000989699448 658545610 /nfs/dbraw/zinc/54/56/10/658545610.db2.gz HJQWFOXYDJKKJA-OCCSQVGLSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(C)cc(C)c2)C1 ZINC000989724140 658549203 /nfs/dbraw/zinc/54/92/03/658549203.db2.gz WKCXVFCNQIWAPU-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=CCN1CCCN(C(=O)CC[C@@H]2CCc3ccccc32)CC1 ZINC000989718998 658553994 /nfs/dbraw/zinc/55/39/94/658553994.db2.gz DPZKOULZNZHBKI-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)sc2C)C1 ZINC000967269090 658556398 /nfs/dbraw/zinc/55/63/98/658556398.db2.gz RMWIZWPZEOSWPM-MLGOLLRUSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3[nH]ccc3s2)C1 ZINC000989729583 658557113 /nfs/dbraw/zinc/55/71/13/658557113.db2.gz BZUBLAMEHYBZKP-GHMZBOCLSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2occ3c2CCCC3)C1 ZINC000989726015 658557294 /nfs/dbraw/zinc/55/72/94/658557294.db2.gz LXOGCNNQSVWCAQ-JSGCOSHPSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(CC)s2)C1 ZINC000967273193 658557709 /nfs/dbraw/zinc/55/77/09/658557709.db2.gz JNIPULKGCFZYBF-HIFRSBDPSA-N 0 1 306.475 3.327 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ccc(CC)s2)C1 ZINC000967273195 658558404 /nfs/dbraw/zinc/55/84/04/658558404.db2.gz JNIPULKGCFZYBF-ZFWWWQNUSA-N 0 1 306.475 3.327 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2CCC)C1 ZINC000967294218 658564058 /nfs/dbraw/zinc/56/40/58/658564058.db2.gz YNDMIGSMGMKNGD-LPHOPBHVSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC000967309377 658567375 /nfs/dbraw/zinc/56/73/75/658567375.db2.gz FIVZEEPMRBTJRG-KBPBESRZSA-N 0 1 314.385 3.166 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(Cl)s2)C1 ZINC000989752450 658569534 /nfs/dbraw/zinc/56/95/34/658569534.db2.gz MXEROPXVEPFVRK-ZJUUUORDSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cccc(C)c2Cl)C1 ZINC000967338421 658571108 /nfs/dbraw/zinc/57/11/08/658571108.db2.gz QGUMJKMVWSOMMI-BBRMVZONSA-N 0 1 320.864 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccn2C(C)C)C1 ZINC000989807630 658584679 /nfs/dbraw/zinc/58/46/79/658584679.db2.gz YDZWDTBHPBJELE-UONOGXRCSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2C(C)C)C1 ZINC001018940529 658598740 /nfs/dbraw/zinc/59/87/40/658598740.db2.gz GURYVSCQZJOEBA-AWEZNQCLSA-N 0 1 306.837 3.367 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2ccc(Cl)cc2F)C1 ZINC001019238001 658618957 /nfs/dbraw/zinc/61/89/57/658618957.db2.gz IMIMBSBRGIGLIX-AWEZNQCLSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3occc3s2)C1 ZINC001019377340 658639750 /nfs/dbraw/zinc/63/97/50/658639750.db2.gz XQIBTZBDFAUONV-JTQLQIEISA-N 0 1 310.806 3.051 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC000968083764 658666494 /nfs/dbraw/zinc/66/64/94/658666494.db2.gz XAHRYTGVEHKROW-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2-n2cccc2)C1 ZINC000968193627 658681128 /nfs/dbraw/zinc/68/11/28/658681128.db2.gz VMZICPHVHGKBCR-AEFFLSMTSA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001038429100 658752284 /nfs/dbraw/zinc/75/22/84/658752284.db2.gz VMGOPGWACZBWBP-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2c(o1)c(F)ccc2C ZINC001038547432 658763910 /nfs/dbraw/zinc/76/39/10/658763910.db2.gz YLTSYSDXLRRZAP-CYBMUJFWSA-N 0 1 316.376 3.261 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3csc(C)c3C)CCC[C@H]12 ZINC000990872487 658772829 /nfs/dbraw/zinc/77/28/29/658772829.db2.gz SLKSTFSRONKVKE-FUHWJXTLSA-N 0 1 316.470 3.115 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2Cc2cccc(C)c2)CC1 ZINC001038662861 658776916 /nfs/dbraw/zinc/77/69/16/658776916.db2.gz VMDBNHLZLOQQLM-IBGZPJMESA-N 0 1 312.457 3.432 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cnc3c(C)cccn23)c(O)c1 ZINC000156611824 658931186 /nfs/dbraw/zinc/93/11/86/658931186.db2.gz RVYLPTADDHYNQO-VMPITWQZSA-N 0 1 308.337 3.253 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc(O)c(OC)c2)c(O)c1 ZINC000156613910 658931233 /nfs/dbraw/zinc/93/12/33/658931233.db2.gz LGNRDVBCONJYPE-XVNBXDOJSA-N 0 1 300.310 3.011 20 30 DGEDMN O=C(/C=C/c1cnn(Cc2ccccc2)c1)c1ccc(O)cc1O ZINC000156636313 658932462 /nfs/dbraw/zinc/93/24/62/658932462.db2.gz CYYXRYBVKFXHPB-RMKNXTFCSA-N 0 1 320.348 3.239 20 30 DGEDMN O=C(/C=C/c1ccc(-n2cncn2)cc1)c1cc(F)ccc1O ZINC000156672309 658933158 /nfs/dbraw/zinc/93/31/58/658933158.db2.gz XYPJWAZGVAILEW-XVNBXDOJSA-N 0 1 309.300 3.008 20 30 DGEDMN C=CCc1cc(CN(C)Cc2cccn2C)c(O)c(OC)c1 ZINC000164503666 658937608 /nfs/dbraw/zinc/93/76/08/658937608.db2.gz HKJSPCBZHVFZQW-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001038944831 658959281 /nfs/dbraw/zinc/95/92/81/658959281.db2.gz IXEWKVSXFZETDK-QGZVFWFLSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccsc1C(F)(F)F ZINC001038956879 658964428 /nfs/dbraw/zinc/96/44/28/658964428.db2.gz DYAQXBACEVRNCI-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccccc1-c1ccccc1 ZINC001038986715 658973229 /nfs/dbraw/zinc/97/32/29/658973229.db2.gz VUFXGNYYVJOQEX-SFHVURJKSA-N 0 1 318.420 3.181 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001038994459 658976854 /nfs/dbraw/zinc/97/68/54/658976854.db2.gz AXUSZIWGYLTISS-CQSZACIVSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001039023859 658981595 /nfs/dbraw/zinc/98/15/95/658981595.db2.gz ZXRVVFDNFXIVJJ-INIZCTEOSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1csc(CC(C)C)n1 ZINC001039075742 658993985 /nfs/dbraw/zinc/99/39/85/658993985.db2.gz BWORBWIPMQFHMH-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(-c2ccccc2)co1 ZINC001039100121 658999529 /nfs/dbraw/zinc/99/95/29/658999529.db2.gz XGXPUPKNIUIIMA-KRWDZBQOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C(C)(F)F)C1 ZINC001039178034 659025777 /nfs/dbraw/zinc/02/57/77/659025777.db2.gz KUJIAKZTSOFQST-OLZOCXBDSA-N 0 1 320.811 3.097 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)c2ccc[nH]2)C1 ZINC001039185077 659028524 /nfs/dbraw/zinc/02/85/24/659028524.db2.gz DZQCTANUVFIESV-GOEBONIOSA-N 0 1 321.852 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)/C(C)=C\C)C1 ZINC001039201930 659037337 /nfs/dbraw/zinc/03/73/37/659037337.db2.gz CUZFMFRPRNQXDG-HZNZNELJSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@@H]1C ZINC000947750844 659063619 /nfs/dbraw/zinc/06/36/19/659063619.db2.gz RUMXRHFPZQQAJN-ZFWWWQNUSA-N 0 1 316.445 3.101 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@@H]1C ZINC000947750844 659063626 /nfs/dbraw/zinc/06/36/26/659063626.db2.gz RUMXRHFPZQQAJN-ZFWWWQNUSA-N 0 1 316.445 3.101 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3ccc(CC)s3)CCC[C@H]12 ZINC000991678231 659125996 /nfs/dbraw/zinc/12/59/96/659125996.db2.gz INPCXQJGJXOTAN-DOTOQJQBSA-N 0 1 304.459 3.223 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC1CCCCC1 ZINC000129837343 659143025 /nfs/dbraw/zinc/14/30/25/659143025.db2.gz RXJRZINFLKLIHL-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CCCC)cc3)CCC[C@@H]12 ZINC000991712637 659146720 /nfs/dbraw/zinc/14/67/20/659146720.db2.gz QPEWRRBPIVNFBT-TZIWHRDSSA-N 0 1 324.468 3.389 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccn(C)c2C)CC1 ZINC000948759420 659169295 /nfs/dbraw/zinc/16/92/95/659169295.db2.gz ZIAOMLUZWCDVLY-OAHLLOKOSA-N 0 1 323.868 3.013 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2cn[nH]c2-c2ccccc2)c1 ZINC000173055379 659370585 /nfs/dbraw/zinc/37/05/85/659370585.db2.gz MFFAQBCIIUYHSK-UHFFFAOYSA-N 0 1 301.349 3.335 20 30 DGEDMN COc1ccc(CNCc2cnn(-c3ccccc3)c2)cc1C#N ZINC000174260384 659425226 /nfs/dbraw/zinc/42/52/26/659425226.db2.gz WXBNWXIKWPGKIV-UHFFFAOYSA-N 0 1 318.380 3.042 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3csc4ccccc34)CCC[C@@H]12 ZINC000992049242 659455634 /nfs/dbraw/zinc/45/56/34/659455634.db2.gz KUNVVXZDCMYNKQ-MJGOQNOKSA-N 0 1 324.449 3.261 20 30 DGEDMN CCC(=O)N[C@@H]1CCN(CC#Cc2ccc(Cl)cc2)[C@@H](C)C1 ZINC000946938188 659499157 /nfs/dbraw/zinc/49/91/57/659499157.db2.gz YDWSUSPFHHAADS-WMLDXEAASA-N 0 1 318.848 3.071 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C)cc3Cl)CCC[C@H]12 ZINC000992263019 659502534 /nfs/dbraw/zinc/50/25/34/659502534.db2.gz LSLNRLCHBVBXFG-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(C(C)(C)C)c3)CCC[C@H]12 ZINC000992369416 659535209 /nfs/dbraw/zinc/53/52/09/659535209.db2.gz QOCHYLVSNRZGDD-GHTZIAJQSA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccsc3C(F)F)CCC[C@H]12 ZINC000992452214 659552501 /nfs/dbraw/zinc/55/25/01/659552501.db2.gz ZKKSQGUNZVSTHW-BLLLJJGKSA-N 0 1 324.396 3.046 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3CCCCC3(C)C)CCC[C@@H]12 ZINC000992508542 659566484 /nfs/dbraw/zinc/56/64/84/659566484.db2.gz GTNDIFLCDDLDCU-MBOZVWFJSA-N 0 1 316.489 3.339 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C(C)C)s3)CCC[C@H]12 ZINC000992517759 659569274 /nfs/dbraw/zinc/56/92/74/659569274.db2.gz QUFORRRVQCGMCI-WMZOPIPTSA-N 0 1 316.470 3.232 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C(C)(C)C)n2)[C@@H]1C ZINC000993321976 659714163 /nfs/dbraw/zinc/71/41/63/659714163.db2.gz BQUIPAQAVWAJNR-UONOGXRCSA-N 0 1 319.449 3.131 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2scc(C)c2Cl)[C@@H]1C ZINC000993366352 659721885 /nfs/dbraw/zinc/72/18/85/659721885.db2.gz JYPWDYYXMFJPMH-QWHCGFSZSA-N 0 1 324.877 3.316 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3nccc(C)c3c2)[C@H]1C ZINC000993367813 659722488 /nfs/dbraw/zinc/72/24/88/659722488.db2.gz CEKZVNPMJAOGBW-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)oc3ccccc32)[C@H]1C ZINC000993370339 659722798 /nfs/dbraw/zinc/72/27/98/659722798.db2.gz VBGJKEOVOSUFLC-PBHICJAKSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nsc3ccccc32)[C@@H]1C ZINC000993386046 659724837 /nfs/dbraw/zinc/72/48/37/659724837.db2.gz UCBQURJRVYTDHU-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3ccccc3nc2C)[C@H]1C ZINC000993398693 659726888 /nfs/dbraw/zinc/72/68/88/659726888.db2.gz RYAZKNLSYNTZLS-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccccc2C(C)C)[C@H]1C ZINC000993411800 659730624 /nfs/dbraw/zinc/73/06/24/659730624.db2.gz ITDIVQDJPNYWBL-VQIMIIECSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C)(C)C2CCCC2)[C@H]1C ZINC000993442097 659741524 /nfs/dbraw/zinc/74/15/24/659741524.db2.gz IZCOHPRBRBMOLH-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3ccc(C)nc32)[C@H]1C ZINC000993477839 659746556 /nfs/dbraw/zinc/74/65/56/659746556.db2.gz KFXBIICNTYCUNP-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)[C@@H]1C ZINC000993486445 659752831 /nfs/dbraw/zinc/75/28/31/659752831.db2.gz MTCHPFMWDYZSCK-YJBOKZPZSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3cc(C)sc3C)C2)C1 ZINC001015672128 659756732 /nfs/dbraw/zinc/75/67/32/659756732.db2.gz MPALGQZWKSWHEG-MRXNPFEDSA-N 0 1 318.486 3.412 20 30 DGEDMN C=CCOc1ccc(C(=O)N[C@@H]2CCCN(CC=C)[C@@H]2C)cc1 ZINC000993528027 659756817 /nfs/dbraw/zinc/75/68/17/659756817.db2.gz ZUZDOIZHGXQMOA-CRAIPNDOSA-N 0 1 314.429 3.020 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3cc(F)ccc3C)C2)C1 ZINC001015674395 659773228 /nfs/dbraw/zinc/77/32/28/659773228.db2.gz ZJAUDKDALPOEMF-QGZVFWFLSA-N 0 1 316.420 3.181 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@@H]1C ZINC000993660602 659777566 /nfs/dbraw/zinc/77/75/66/659777566.db2.gz LWLIGRZXMABLBC-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ncccc3c2)[C@@H]1C ZINC000993731327 659786222 /nfs/dbraw/zinc/78/62/22/659786222.db2.gz MNLVXIAZFQRIEX-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2cccc(F)c2)[C@@H]1C ZINC000993807921 659792031 /nfs/dbraw/zinc/79/20/31/659792031.db2.gz TWQOIGLLEYOVGM-YOEHRIQHSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)ccc2Cl)[C@H]1C ZINC000993859259 659793807 /nfs/dbraw/zinc/79/38/07/659793807.db2.gz JKZMFGRRCNFBPX-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(F)c3ccccc23)[C@@H]1C ZINC000993850255 659795587 /nfs/dbraw/zinc/79/55/87/659795587.db2.gz UTELBZJFZIPXHK-IFXJQAMLSA-N 0 1 324.399 3.195 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2C)[C@H]1C ZINC000994014519 659809270 /nfs/dbraw/zinc/80/92/70/659809270.db2.gz ZKEIZFJUANVUJZ-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cnccc3c2)[C@H]1C ZINC000994171094 659816777 /nfs/dbraw/zinc/81/67/77/659816777.db2.gz VYZNTHDXKFUZQG-KDOFPFPSSA-N 0 1 309.413 3.004 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(-c3ccoc3)c2)[C@H]1C ZINC000994189987 659818525 /nfs/dbraw/zinc/81/85/25/659818525.db2.gz OFZHWGADKQTQPV-BEFAXECRSA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c(CC)o2)[C@@H]1C ZINC000994207961 659819313 /nfs/dbraw/zinc/81/93/13/659819313.db2.gz KWTZWTHJKDLIDB-LSDHHAIUSA-N 0 1 304.434 3.309 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)c2ccoc2CCC)[C@H]1C ZINC000994220236 659820752 /nfs/dbraw/zinc/82/07/52/659820752.db2.gz NOVSOYTYASISRA-GDBMZVCRSA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccoc2CCC)[C@H]1C ZINC000994220236 659820754 /nfs/dbraw/zinc/82/07/54/659820754.db2.gz NOVSOYTYASISRA-GDBMZVCRSA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)c2ccoc2CCC)[C@@H]1C ZINC000994220244 659820765 /nfs/dbraw/zinc/82/07/65/659820765.db2.gz NOVSOYTYASISRA-GOEBONIOSA-N 0 1 304.434 3.391 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccoc2CCC)[C@@H]1C ZINC000994220244 659820769 /nfs/dbraw/zinc/82/07/69/659820769.db2.gz NOVSOYTYASISRA-GOEBONIOSA-N 0 1 304.434 3.391 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cc3c(C)cccn3c2)[C@H]1C ZINC000994216688 659820809 /nfs/dbraw/zinc/82/08/09/659820809.db2.gz WJURJMVNXRPUGH-WBVHZDCISA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(C)cccn3c2)[C@H]1C ZINC000994216688 659820813 /nfs/dbraw/zinc/82/08/13/659820813.db2.gz WJURJMVNXRPUGH-WBVHZDCISA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC000994222744 659821163 /nfs/dbraw/zinc/82/11/63/659821163.db2.gz UGSOVFCXTBARCT-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C(C)(C)C)CCCN1Cc1ccc(C#N)cc1 ZINC000994255777 659825960 /nfs/dbraw/zinc/82/59/60/659825960.db2.gz CEHQJUDGACFPFR-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@H]1C ZINC000994272277 659830030 /nfs/dbraw/zinc/83/00/30/659830030.db2.gz FEQZJLVVRPIDRP-CRAIPNDOSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3ccccc3c2)[C@H]1C ZINC000994300795 659836371 /nfs/dbraw/zinc/83/63/71/659836371.db2.gz MPNHFXWOIZFKJO-DNVCBOLYSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2OC)[C@H]1C ZINC000994341413 659841535 /nfs/dbraw/zinc/84/15/35/659841535.db2.gz WXFPDOIZRYQMAL-IUODEOHRSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCCC2)[C@H]1C ZINC000994360424 659843354 /nfs/dbraw/zinc/84/33/54/659843354.db2.gz AQUYPRQGFKPMTH-IEBWSBKVSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc3ccccc32)[C@H]1C ZINC000994356336 659844316 /nfs/dbraw/zinc/84/43/16/659844316.db2.gz VLHRFPLLEYGPPR-DNVCBOLYSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@H]1C ZINC000994368730 659844761 /nfs/dbraw/zinc/84/47/61/659844761.db2.gz WXAXSGJBVLFUDJ-IUODEOHRSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc3c2CCCC3)[C@@H]1C ZINC000994382163 659848870 /nfs/dbraw/zinc/84/88/70/659848870.db2.gz UUMDCEJAIYDWGK-XJKSGUPXSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC000994380461 659849209 /nfs/dbraw/zinc/84/92/09/659849209.db2.gz RXKZYBQTIFDDIR-HIFRSBDPSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)Cc2ccc(C)s2)[C@@H]1C ZINC000994489013 659860947 /nfs/dbraw/zinc/86/09/47/659860947.db2.gz SEZRCLGTDMHYJR-GOEBONIOSA-N 0 1 306.475 3.144 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2coc3ccccc23)[C@@H]1C ZINC000994527362 659872232 /nfs/dbraw/zinc/87/22/32/659872232.db2.gz GOBNKBADUYJCJI-YOEHRIQHSA-N 0 1 310.397 3.039 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(C)C(C)(C)C2(C)C)[C@H]1C ZINC000994530553 659873215 /nfs/dbraw/zinc/87/32/15/659873215.db2.gz JKYNYESAWQXZLH-HUUCEWRRSA-N 0 1 304.478 3.051 20 30 DGEDMN Cc1ccc(C)c(OCCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000179733741 659939909 /nfs/dbraw/zinc/93/99/09/659939909.db2.gz BJKLMDBCJLRSJO-UHFFFAOYSA-N 0 1 310.353 3.288 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3sccc3s2)C1 ZINC001031771958 659977697 /nfs/dbraw/zinc/97/76/97/659977697.db2.gz KFGPURLBHDKEGO-UHFFFAOYSA-N 0 1 306.456 3.201 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc[nH]c2C2CCC2)C[C@H]1C ZINC000939142410 659986302 /nfs/dbraw/zinc/98/63/02/659986302.db2.gz RVRFSTXZAQWXMP-IAQYHMDHSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)cccc2C)C[C@H]1C ZINC000939183528 659989874 /nfs/dbraw/zinc/98/98/74/659989874.db2.gz MMHJGGXPFYTOTG-UKRRQHHQSA-N 0 1 306.837 3.106 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)c(F)c(C)c2)C[C@H]1C ZINC000939780091 660014792 /nfs/dbraw/zinc/01/47/92/660014792.db2.gz KOLMYTGOFPHYNZ-IUODEOHRSA-N 0 1 324.827 3.245 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2CC)C[C@H]1C ZINC000939807483 660015140 /nfs/dbraw/zinc/01/51/40/660015140.db2.gz YWQGCMQRBFHYOB-MFKMUULPSA-N 0 1 312.866 3.113 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2cncc(C)c2)CC1 ZINC000948268935 660054125 /nfs/dbraw/zinc/05/41/25/660054125.db2.gz HTQHCEGBIVSQRW-INIZCTEOSA-N 0 1 321.852 3.069 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)C2CCCCC2)C(C)(C)C1 ZINC000941085613 660084542 /nfs/dbraw/zinc/08/45/42/660084542.db2.gz RIHAWECPGDYZEK-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000941225896 660096853 /nfs/dbraw/zinc/09/68/53/660096853.db2.gz JXPZERFJSHJVEH-SFHVURJKSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000941225896 660096854 /nfs/dbraw/zinc/09/68/54/660096854.db2.gz JXPZERFJSHJVEH-SFHVURJKSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc(C3CC3)c2)C(C)(C)C1 ZINC000941242483 660097349 /nfs/dbraw/zinc/09/73/49/660097349.db2.gz GZGYJSDMZJRPCW-GOSISDBHSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000941243582 660097821 /nfs/dbraw/zinc/09/78/21/660097821.db2.gz AJWDFFOBSVWGFW-YLJYHZDGSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941239650 660099100 /nfs/dbraw/zinc/09/91/00/660099100.db2.gz SJLMLCGZTPXGNQ-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941239652 660099219 /nfs/dbraw/zinc/09/92/19/660099219.db2.gz SJLMLCGZTPXGNQ-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3sccc3s2)[C@H](C)C1 ZINC000942184621 660142550 /nfs/dbraw/zinc/14/25/50/660142550.db2.gz VJZXMALIJHZLSJ-VXGBXAGGSA-N 0 1 318.467 3.036 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001032010326 660148667 /nfs/dbraw/zinc/14/86/67/660148667.db2.gz RBMDTRGWHMZQDW-UHFFFAOYSA-N 0 1 304.434 3.123 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H](C)Sc1ccccc1Cl ZINC000131062197 660158713 /nfs/dbraw/zinc/15/87/13/660158713.db2.gz GKBKMXOXYAIIKT-GHMZBOCLSA-N 0 1 324.833 3.054 20 30 DGEDMN CCN(Cc1cncc(C(=O)OC)c1)[C@@H](C)c1ccc(C#N)cc1 ZINC000929331169 661297960 /nfs/dbraw/zinc/29/79/60/661297960.db2.gz OYRJTMILARPOGX-AWEZNQCLSA-N 0 1 323.396 3.323 20 30 DGEDMN CC(C)N(Cc1cnn(CCF)c1)Cc1ccc(C#N)cc1 ZINC000929380986 661302774 /nfs/dbraw/zinc/30/27/74/661302774.db2.gz ZIVGMRPVDRMRJZ-UHFFFAOYSA-N 0 1 300.381 3.135 20 30 DGEDMN C[C@@H](CC#N)N(C)C[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000929830117 661342278 /nfs/dbraw/zinc/34/22/78/661342278.db2.gz AEPYTESYGNNLFS-CORIIIEPSA-N 0 1 312.335 3.403 20 30 DGEDMN COc1ccc(Cl)cc1[C@@H](CC(C)C)NC[C@H](O)CC#N ZINC000930228578 661380037 /nfs/dbraw/zinc/38/00/37/661380037.db2.gz WNDYVNDBMDSYQR-UKRRQHHQSA-N 0 1 310.825 3.300 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)[C@@H]1CCC[N@H+]1C(C)C ZINC000930527397 661407953 /nfs/dbraw/zinc/40/79/53/661407953.db2.gz BBKHPKFZOPXMKK-INIZCTEOSA-N 0 1 315.417 3.157 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)[C@@H]1CCCN1C(C)C ZINC000930527397 661407956 /nfs/dbraw/zinc/40/79/56/661407956.db2.gz BBKHPKFZOPXMKK-INIZCTEOSA-N 0 1 315.417 3.157 20 30 DGEDMN C[C@@H]1CN(C[C@H]2CCC3(CCCCCC3)O2)C[C@H](C)N1CC#N ZINC000930827638 661433408 /nfs/dbraw/zinc/43/34/08/661433408.db2.gz GHBOWUBARCCFJP-FGTMMUONSA-N 0 1 319.493 3.177 20 30 DGEDMN CCOCCN(Cc1cncc(C#N)c1)[C@H]1CCc2ccccc21 ZINC000931256755 661461994 /nfs/dbraw/zinc/46/19/94/661461994.db2.gz DJCYUKJKSMGPSA-FQEVSTJZSA-N 0 1 321.424 3.479 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cc(C)c(C)cc2Cl)CC1 ZINC000931598347 661491638 /nfs/dbraw/zinc/49/16/38/661491638.db2.gz KFVDSLFCVSIBBL-UHFFFAOYSA-N 0 1 319.836 3.176 20 30 DGEDMN C=CCCN1CC[C@H](C(F)(F)F)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000931979182 661526984 /nfs/dbraw/zinc/52/69/84/661526984.db2.gz VDSGZSBJXTWAAU-RYUDHWBXSA-N 0 1 322.371 3.340 20 30 DGEDMN N#Cc1ccc(CNC2([C@@H]3CCCCO3)CC2)c(OC(F)F)c1 ZINC000933377922 661631376 /nfs/dbraw/zinc/63/13/76/661631376.db2.gz IPXUVTPBWYBABW-HNNXBMFYSA-N 0 1 322.355 3.351 20 30 DGEDMN C[C@H](CCC#N)N1CCC[C@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC000933635699 661646833 /nfs/dbraw/zinc/64/68/33/661646833.db2.gz ZWOCMNBRWGOULG-CJNGLKHVSA-N 0 1 319.836 3.226 20 30 DGEDMN C[C@H](CCC#N)N1CCC[C@@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC000933635700 661647011 /nfs/dbraw/zinc/64/70/11/661647011.db2.gz ZWOCMNBRWGOULG-CZUORRHYSA-N 0 1 319.836 3.226 20 30 DGEDMN O=C1C=COC2(CCN(Cc3cccc(OC(F)F)c3)CC2)C1 ZINC000933652436 661648154 /nfs/dbraw/zinc/64/81/54/661648154.db2.gz WXIJWWLMOIMMRS-UHFFFAOYSA-N 0 1 323.339 3.126 20 30 DGEDMN CN(CCC(=O)Nc1ccccc1F)Cc1cc(C#N)cs1 ZINC000933775678 661657537 /nfs/dbraw/zinc/65/75/37/661657537.db2.gz LEHDUSSKDASEFB-UHFFFAOYSA-N 0 1 317.389 3.219 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)Nc2ccc(C#N)cc2C2CC2)n[nH]1 ZINC000933849369 661662186 /nfs/dbraw/zinc/66/21/86/661662186.db2.gz YATNGXRVNDUICK-NSHDSACASA-N 0 1 323.400 3.220 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934488293 661711354 /nfs/dbraw/zinc/71/13/54/661711354.db2.gz GERNJVQQAQJGQI-YJBOKZPZSA-N 0 1 318.424 3.499 20 30 DGEDMN C=CCCCN(CC)Cc1nc(-c2cccc([N+](=O)[O-])c2)no1 ZINC000934649512 661722386 /nfs/dbraw/zinc/72/23/86/661722386.db2.gz BYQGLYKDFMVBQN-UHFFFAOYSA-N 0 1 316.361 3.433 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000155474620 661847519 /nfs/dbraw/zinc/84/75/19/661847519.db2.gz VFLDRTAKGXBPLV-STQMWFEESA-N 0 1 302.374 3.169 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(/C=C/C(=O)c2ccc(O)cc2O)cc1 ZINC000156631653 661864140 /nfs/dbraw/zinc/86/41/40/661864140.db2.gz KYWJEQMTNKHPLR-KFRNIWOLSA-N 0 1 309.321 3.285 20 30 DGEDMN Cc1csc(C(C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000047358393 661875778 /nfs/dbraw/zinc/87/57/78/661875778.db2.gz VKSAEGIPOWFFJH-FXMSTWTQSA-N 0 1 312.350 3.070 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)C=Cc2ccc3c(c2)OCO3)n1 ZINC000047358393 661875781 /nfs/dbraw/zinc/87/57/81/661875781.db2.gz VKSAEGIPOWFFJH-FXMSTWTQSA-N 0 1 312.350 3.070 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)C[C@@H]1C ZINC000947571665 661917499 /nfs/dbraw/zinc/91/74/99/661917499.db2.gz HERZOSACJJKYEW-JKSUJKDBSA-N 0 1 324.424 3.266 20 30 DGEDMN C[C@H](C/N=C/c1ccccc1O)N1CCc2sccc2C1 ZINC000075533071 661934253 /nfs/dbraw/zinc/93/42/53/661934253.db2.gz WEQBFAMPZJLTNJ-VBEHJTROSA-N 0 1 300.427 3.319 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1Cc1ccccc1C#N ZINC000947962200 661940142 /nfs/dbraw/zinc/94/01/42/661940142.db2.gz KYUWUJHLTUGZJE-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(CC)oc3ccccc32)C[C@@H]1C ZINC000948075219 661948682 /nfs/dbraw/zinc/94/86/82/661948682.db2.gz XIBWHXPBAGXCIC-LSDHHAIUSA-N 0 1 324.424 3.211 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2ccccc2C2(C)CC2)CC1 ZINC000948767312 661996885 /nfs/dbraw/zinc/99/68/85/661996885.db2.gz WBACKYVUWSFBLG-KRWDZBQOSA-N 0 1 324.468 3.298 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](N(C)C(=O)[C@H](C)c2ccsc2)CC1 ZINC000948771492 661997157 /nfs/dbraw/zinc/99/71/57/661997157.db2.gz OXLBWVKWZLKIQP-WBVHZDCISA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000948901893 662006220 /nfs/dbraw/zinc/00/62/20/662006220.db2.gz GLTWEZKSKQQHQM-WCIQWLHISA-N 0 1 324.468 3.324 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2c3ccccc3CCN2CC)CC1 ZINC000948954023 662009554 /nfs/dbraw/zinc/00/95/54/662009554.db2.gz IPWKQNRAERYVPB-IBGZPJMESA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)c1c[nH]cc1C ZINC000948985788 662010665 /nfs/dbraw/zinc/01/06/65/662010665.db2.gz FQWVTBZDUFYRFV-IBGZPJMESA-N 0 1 323.440 3.228 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cccc(C(C)C)c2)CC1 ZINC000949096675 662011549 /nfs/dbraw/zinc/01/15/49/662011549.db2.gz ZDEMDEQRIQOPEP-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC000949635652 662022248 /nfs/dbraw/zinc/02/22/48/662022248.db2.gz IQXNAVKIYOXLDD-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CCCc2ccccc2C)CC1 ZINC000949654392 662023253 /nfs/dbraw/zinc/02/32/53/662023253.db2.gz BDSPQMMBDIPIKV-UHFFFAOYSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC[C@H](C(F)(F)F)C2)CC1 ZINC000949892611 662035975 /nfs/dbraw/zinc/03/59/75/662035975.db2.gz YPQMXCOZUWCNAU-KBPBESRZSA-N 0 1 318.383 3.075 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCC(C)(C)C2)CC1 ZINC001006451028 662042255 /nfs/dbraw/zinc/04/22/55/662042255.db2.gz JRWQDNWANRBBOF-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN CCN(C)c1ccc(/C=C/C(=O)c2ccc(O)c(F)c2)cn1 ZINC000191296213 662059083 /nfs/dbraw/zinc/05/90/83/662059083.db2.gz NKNCHDGQHRGYBM-QPJJXVBHSA-N 0 1 300.333 3.279 20 30 DGEDMN NC(CN1CCCCCC1)=NOCc1c(F)cccc1Cl ZINC000078542795 662074713 /nfs/dbraw/zinc/07/47/13/662074713.db2.gz CDKKSXWVSRZRQG-UHFFFAOYSA-N 0 1 313.804 3.144 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2[C@H]3CCCCCC[C@H]23)CC1 ZINC000950714752 662079783 /nfs/dbraw/zinc/07/97/83/662079783.db2.gz GRJOAZUZXRIWSL-IRXDYDNUSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC000950714751 662080022 /nfs/dbraw/zinc/08/00/22/662080022.db2.gz GRJOAZUZXRIWSL-IAGOWNOFSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)Cc2cccs2)CC1 ZINC000951103849 662113564 /nfs/dbraw/zinc/11/35/64/662113564.db2.gz HDHUKGRYADFFKL-OAHLLOKOSA-N 0 1 306.475 3.037 20 30 DGEDMN C#Cc1ccc(C(=O)N(CC)C2CN(CCCC3CCC3)C2)cc1 ZINC000951117307 662114137 /nfs/dbraw/zinc/11/41/37/662114137.db2.gz MDQOTFZIMAGZHQ-UHFFFAOYSA-N 0 1 324.468 3.395 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2cccc3sccc32)CC1 ZINC000951204896 662119510 /nfs/dbraw/zinc/11/95/10/662119510.db2.gz VWEAFRRVQNWCKJ-UHFFFAOYSA-N 0 1 314.454 3.164 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)C1 ZINC000952423223 662189070 /nfs/dbraw/zinc/18/90/70/662189070.db2.gz WCQRNAYHKMLULN-HSALFYBXSA-N 0 1 324.468 3.071 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC(C)(C)c3ccccc32)CC1 ZINC000089849766 662249461 /nfs/dbraw/zinc/24/94/61/662249461.db2.gz BSKNRYJSBZFNNH-UHFFFAOYSA-N 0 1 324.468 3.436 20 30 DGEDMN CCN(CC)c1ncc(CNCc2ccc(C#N)cc2)s1 ZINC000037748432 662251809 /nfs/dbraw/zinc/25/18/09/662251809.db2.gz FIHRNAQAQLIRRX-UHFFFAOYSA-N 0 1 300.431 3.151 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)Cc2ccccc2)CC1 ZINC000957097523 662349598 /nfs/dbraw/zinc/34/95/98/662349598.db2.gz IRHZXUNXJPYDKV-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN O=C1[C@@H](NCc2ccc3oc4ccccc4c3c2)CCCN1O ZINC000895194236 685308709 /nfs/dbraw/zinc/30/87/09/685308709.db2.gz YUXARQZHBMBMEO-HNNXBMFYSA-N 0 1 310.353 3.056 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)cn2)[C@H]1C ZINC000986964810 685354031 /nfs/dbraw/zinc/35/40/31/685354031.db2.gz AKIYHOZTXCHZHF-ZFWWWQNUSA-N 0 1 321.852 3.150 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001006189185 685366026 /nfs/dbraw/zinc/36/60/26/685366026.db2.gz QYIAYRGAZCXONN-QAQDUYKDSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2cc(Cl)ccc2F)C1 ZINC001019237960 685370180 /nfs/dbraw/zinc/37/01/80/685370180.db2.gz GLBVGOACVNDUAU-AWEZNQCLSA-N 0 1 324.827 3.382 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cccc3c(C)c(C)[nH]c32)CC1 ZINC001003178398 685363096 /nfs/dbraw/zinc/36/30/96/685363096.db2.gz CGXAAGABPHIMSG-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C(C)(C)C)n2)CC[C@H]1C ZINC001071507686 686671027 /nfs/dbraw/zinc/67/10/27/686671027.db2.gz ACQWWCNQECVGIV-ZIAGYGMSSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2nc(C(C)C)oc2C)CC[C@@H]1C ZINC001071509134 686671835 /nfs/dbraw/zinc/67/18/35/686671835.db2.gz YLUWWOVJSMVXDB-ZFWWWQNUSA-N 0 1 319.449 3.265 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)oc3ccccc32)CC[C@H]1C ZINC001071531699 686681623 /nfs/dbraw/zinc/68/16/23/686681623.db2.gz PAZLLZJKEGIHQY-GDBMZVCRSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2conc2C2CCCC2)CC[C@@H]1C ZINC001071562035 686688603 /nfs/dbraw/zinc/68/86/03/686688603.db2.gz YRHGDDVDKGCPLX-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C)CCCCCC2)CC[C@H]1C ZINC001071576880 686693270 /nfs/dbraw/zinc/69/32/70/686693270.db2.gz RLJFYMBDLGZZQM-IAGOWNOFSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@H]1C ZINC001071583422 686694757 /nfs/dbraw/zinc/69/47/57/686694757.db2.gz HTJNZIBMXZYLGI-ZIAGYGMSSA-N 0 1 322.399 3.432 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3c2CCCCC3)CC[C@H]1C ZINC001071590852 686695978 /nfs/dbraw/zinc/69/59/78/686695978.db2.gz JEQXWDMUSBMQSZ-AEFFLSMTSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3cc(F)ccc23)CC[C@H]1C ZINC001071630689 686708533 /nfs/dbraw/zinc/70/85/33/686708533.db2.gz ATYRVBALKBDPKB-OCCSQVGLSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(CC)s2)CC[C@@H]1C ZINC001071660505 686714943 /nfs/dbraw/zinc/71/49/43/686714943.db2.gz XCPJJENKSPVGAD-UONOGXRCSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2F)CC[C@@H]1C ZINC001071662125 686715982 /nfs/dbraw/zinc/71/59/82/686715982.db2.gz AYNAMHRWDIAZJD-WCQYABFASA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)CC[C@H]1C ZINC001071670010 686720097 /nfs/dbraw/zinc/72/00/97/686720097.db2.gz DNJWREJFSOYCEA-ZBFHGGJFSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)CC[C@@H]1C ZINC001071708623 686729725 /nfs/dbraw/zinc/72/97/25/686729725.db2.gz JQSQQSPDBZUYQL-DOTOQJQBSA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cncc3sccc32)CC[C@H]1C ZINC001071766228 686744299 /nfs/dbraw/zinc/74/42/99/686744299.db2.gz RMIKRCBGTUGPJS-OLZOCXBDSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nccc3ccccc32)CC[C@H]1C ZINC001071776075 686749057 /nfs/dbraw/zinc/74/90/57/686749057.db2.gz MAVCYQONUMBSOB-GDBMZVCRSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@@H]1C ZINC001071792154 686754419 /nfs/dbraw/zinc/75/44/19/686754419.db2.gz FJYGMZVXESJLRV-ZFWWWQNUSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@@H]1C ZINC001071791872 686754568 /nfs/dbraw/zinc/75/45/68/686754568.db2.gz BJAGFYNNTIUVSX-GOEBONIOSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@@H]1C ZINC001071845115 686769876 /nfs/dbraw/zinc/76/98/76/686769876.db2.gz XGRXHSNWCJBZPK-BLLLJJGKSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cnc3ccccc3c2)CC[C@@H]1C ZINC001071851998 686772675 /nfs/dbraw/zinc/77/26/75/686772675.db2.gz TUCLMRQELPGRPL-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(OCC)c2)CC[C@@H]1C ZINC001071859597 686774314 /nfs/dbraw/zinc/77/43/14/686774314.db2.gz AOFKKBTYLFSKKC-RDJZCZTQSA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(C3CC3)nc2C)CC[C@@H]1C ZINC001071884085 686782069 /nfs/dbraw/zinc/78/20/69/686782069.db2.gz XKIJOTTUFFGOLF-SWLSCSKDSA-N 0 1 317.433 3.019 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ccncc3c2)CC[C@H]1C ZINC001071964403 686798211 /nfs/dbraw/zinc/79/82/11/686798211.db2.gz MXUNXOXDNLWWFA-RDTXWAMCSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ccncc3c2)CC[C@@H]1C ZINC001071964402 686798247 /nfs/dbraw/zinc/79/82/47/686798247.db2.gz MXUNXOXDNLWWFA-KSSFIOAISA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C(C)C)c2)CC[C@H]1C ZINC001071964875 686798759 /nfs/dbraw/zinc/79/87/59/686798759.db2.gz QCMCXHIKZYOQMK-APWZRJJASA-N 0 1 312.457 3.416 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(C)cccn3c2)CC[C@H]1C ZINC001071988313 686805320 /nfs/dbraw/zinc/80/53/20/686805320.db2.gz IFKOZVYDUWVGTH-NVXWUHKLSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sccc2COC)CC[C@@H]1C ZINC001071992148 686807697 /nfs/dbraw/zinc/80/76/97/686807697.db2.gz KHTNQFVHFDNBTG-DZGCQCFKSA-N 0 1 322.474 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C(F)F)c2)CC[C@H]1C ZINC001072004740 686812927 /nfs/dbraw/zinc/81/29/27/686812927.db2.gz DYZGXLFXYFOOEK-CJNGLKHVSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)cccc2Cl)CC[C@H]1C ZINC001072023471 686828493 /nfs/dbraw/zinc/82/84/93/686828493.db2.gz RMXJBIMYNDIPPM-NEPJUHHUSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3ccc(F)cc23)CC[C@H]1C ZINC001072027262 686831184 /nfs/dbraw/zinc/83/11/84/686831184.db2.gz WGICJARKMKXARR-TZMCWYRMSA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3ccccc32)CC[C@H]1C ZINC001072037202 686835738 /nfs/dbraw/zinc/83/57/38/686835738.db2.gz LCNYJXVRIRBUCI-WBVHZDCISA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2sccc2C2CC2)CC[C@H]1C ZINC001072045501 686841352 /nfs/dbraw/zinc/84/13/52/686841352.db2.gz RQCSCIGGRFEGGN-OCCSQVGLSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ncsc3c2)CC[C@H]1C ZINC001072045789 686842053 /nfs/dbraw/zinc/84/20/53/686842053.db2.gz UAXUSVKUJFNZAY-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@H]1C ZINC001072047907 686842635 /nfs/dbraw/zinc/84/26/35/686842635.db2.gz GXXKBMYJLQBVHA-UZLBHIALSA-N 0 1 324.468 3.171 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@H]1C ZINC001072047906 686842765 /nfs/dbraw/zinc/84/27/65/686842765.db2.gz GXXKBMYJLQBVHA-OXQOHEQNSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)c3occc32)CC[C@@H]1C ZINC001072088738 686858901 /nfs/dbraw/zinc/85/89/01/686858901.db2.gz PCGUVYAMLMUSPJ-STQMWFEESA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2C(C)C)CC[C@H]1C ZINC001072092675 686860885 /nfs/dbraw/zinc/86/08/85/686860885.db2.gz VTUROIFFUGFTEQ-CABCVRRESA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C(C)C)s2)CC[C@H]1C ZINC001072104651 686867534 /nfs/dbraw/zinc/86/75/34/686867534.db2.gz CPAVIINPNMYBEV-CABCVRRESA-N 0 1 318.486 3.478 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@H]1C ZINC001072105763 686869315 /nfs/dbraw/zinc/86/93/15/686869315.db2.gz LNKXEJRANVYMPD-CVEARBPZSA-N 0 1 312.457 3.252 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccccc2C)CC[C@H]1C ZINC001072113638 686873985 /nfs/dbraw/zinc/87/39/85/686873985.db2.gz ZGXRHDLYLJPXIE-SJORKVTESA-N 0 1 314.473 3.428 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCCC2(F)F)[C@H]1C ZINC001074829974 687303105 /nfs/dbraw/zinc/30/31/05/687303105.db2.gz YDKDZAGPJJOPAA-AVGNSLFASA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCC(F)(F)C2)[C@H]1C ZINC001074871302 687308931 /nfs/dbraw/zinc/30/89/31/687308931.db2.gz VLPVKFSYPYXUQF-XQQFMLRXSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3ccc(CCC)s3)[C@@H]2C1 ZINC001075837448 687448471 /nfs/dbraw/zinc/44/84/71/687448471.db2.gz JEEHACMTCZQTNX-GOEBONIOSA-N 0 1 318.486 3.423 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3c(C)oc4ccccc43)[C@@H]2C1 ZINC001075829936 687448941 /nfs/dbraw/zinc/44/89/41/687448941.db2.gz VHBVKAAPPWLRAK-GOEBONIOSA-N 0 1 310.397 3.074 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C(C)(C)CC)cc3)[C@@H]2C1 ZINC001075924216 687454970 /nfs/dbraw/zinc/45/49/70/687454970.db2.gz GLSDVFNXDINWHL-PKOBYXMFSA-N 0 1 324.468 3.154 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C(C)C)oc3C)[C@@H]2C1 ZINC001076417529 687521094 /nfs/dbraw/zinc/52/10/94/687521094.db2.gz IVCLXZYKLXWZJR-GOEBONIOSA-N 0 1 302.418 3.044 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccc4ccsc43)[C@@H]2C1 ZINC001076428515 687523267 /nfs/dbraw/zinc/52/32/67/687523267.db2.gz YLNKQMBKYWGKQI-DOTOQJQBSA-N 0 1 324.449 3.071 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccc4ccccc43)[C@@H]2C1 ZINC001076526456 687533416 /nfs/dbraw/zinc/53/34/16/687533416.db2.gz JDKVPDXGBKDVSD-FXAWDEMLSA-N 0 1 318.420 3.009 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001079706072 687875576 /nfs/dbraw/zinc/87/55/76/687875576.db2.gz NUFHXWONAYSKBH-CXAGYDPISA-N 0 1 312.413 3.231 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C/C=C/c3ccccc3)C[C@H]2C)C1 ZINC001080404660 687972502 /nfs/dbraw/zinc/97/25/02/687972502.db2.gz SKULLCVZDXGDJJ-FFRPFHMCSA-N 0 1 324.468 3.493 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3occc3s2)C1 ZINC001080433487 687976284 /nfs/dbraw/zinc/97/62/84/687976284.db2.gz FGORHORHAABGRS-VXGBXAGGSA-N 0 1 304.415 3.121 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001080745830 688009474 /nfs/dbraw/zinc/00/94/74/688009474.db2.gz SATSBJICIOOHCV-IJEWVQPXSA-N 0 1 312.413 3.159 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001080781045 688012320 /nfs/dbraw/zinc/01/23/20/688012320.db2.gz LKTMJDHEVRLOKC-IUODEOHRSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)s2)C1 ZINC001081013807 688033371 /nfs/dbraw/zinc/03/33/71/688033371.db2.gz DBARFXUVXMJPDK-ZIAGYGMSSA-N 0 1 306.475 3.498 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001081021254 688035471 /nfs/dbraw/zinc/03/54/71/688035471.db2.gz WHTUUQODGHWBBO-CRAIPNDOSA-N 0 1 300.446 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC2)C[C@H]1c1ccccc1 ZINC001081077114 688048244 /nfs/dbraw/zinc/04/82/44/688048244.db2.gz CLHONIVFNNUZPH-DLBZAZTESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2CCC(F)(F)C2)C[C@H]1C ZINC001082550541 688119215 /nfs/dbraw/zinc/11/92/15/688119215.db2.gz NHDDBJFGUPIEJW-KGYLQXTDSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)CC2CCCC2)C[C@H]1C ZINC001082779870 688127813 /nfs/dbraw/zinc/12/78/13/688127813.db2.gz UDBPYYPXAYGFKX-XJKCOSOUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)c2cc(C)oc2C)C[C@H]1C ZINC001082809369 688128618 /nfs/dbraw/zinc/12/86/18/688128618.db2.gz QEXYRZCXTPQAHE-CKUKBARFSA-N 0 1 324.852 3.189 20 30 DGEDMN CC1(C)CN(C(=O)c2ccc(C#N)c(O)c2)c2cc(F)ccc21 ZINC001583450719 1192147388 /nfs/dbraw/zinc/14/73/88/1192147388.db2.gz WYVPKVSZNNVDKN-UHFFFAOYSA-N 0 1 310.328 3.341 20 30 DGEDMN C#CCCCCC(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC001584162387 1192181530 /nfs/dbraw/zinc/18/15/30/1192181530.db2.gz TVQAKKYTFPOVDU-UHFFFAOYSA-N 0 1 320.190 3.458 20 30 DGEDMN COc1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C)c1 ZINC001587347222 1192393944 /nfs/dbraw/zinc/39/39/44/1192393944.db2.gz CBKDGQQUIMFNPY-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN Cc1cccc(C2(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)CC2)c1 ZINC001587347673 1192394306 /nfs/dbraw/zinc/39/43/06/1192394306.db2.gz VPFDVPAJONSUEB-UHFFFAOYSA-N 0 1 316.364 3.413 20 30 DGEDMN Cc1ccc(CCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)s1 ZINC001587347617 1192394357 /nfs/dbraw/zinc/39/43/57/1192394357.db2.gz SXMQXXLGFOBNRT-UHFFFAOYSA-N 0 1 310.382 3.376 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc4ncccc43)[nH]c2c1 ZINC001587347760 1192394443 /nfs/dbraw/zinc/39/44/43/1192394443.db2.gz ZNTICUVNDOCOOR-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN COc1ccc(NC(=O)c2ccc(Cl)cc2O)cc1C#N ZINC001587743798 1192415967 /nfs/dbraw/zinc/41/59/67/1192415967.db2.gz AXGJERSKBYEJSI-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCC23CCC3)o1 ZINC001589774195 1192473540 /nfs/dbraw/zinc/47/35/40/1192473540.db2.gz YVDJLMPUWSSDKI-UHFFFAOYSA-N 0 1 315.381 3.100 20 30 DGEDMN N#CCC[C@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccccc1 ZINC001620868193 1192491231 /nfs/dbraw/zinc/49/12/31/1192491231.db2.gz SNCQQPWUOBDFEK-HNNXBMFYSA-N 0 1 306.369 3.403 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(Oc2ccc(F)cc2)nc1 ZINC001620909581 1192580009 /nfs/dbraw/zinc/58/00/09/1192580009.db2.gz PKBNRMCSRRIWHD-OAHLLOKOSA-N 0 1 302.349 3.304 20 30 DGEDMN Cc1nc(-c2nn[nH]n2)c(N=NC[C@@H](C)CC2CCCCC2)o1 ZINC001592338816 1192608202 /nfs/dbraw/zinc/60/82/02/1192608202.db2.gz PCHMFABFDWPHMV-JTQLQIEISA-N 0 1 317.397 3.167 20 30 DGEDMN C[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1cccc(C#N)c1 ZINC001596044438 1192679250 /nfs/dbraw/zinc/67/92/50/1192679250.db2.gz ILHXFFZFJFLIIB-SECBINFHSA-N 0 1 302.280 3.033 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1C[C@H](OC)C12CCC2 ZINC001620964939 1192681658 /nfs/dbraw/zinc/68/16/58/1192681658.db2.gz LPGMYGRUJXMQNJ-SJORKVTESA-N 0 1 319.832 3.399 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C[C@H](C)C2CC2)C1 ZINC001671972462 1176079675 /nfs/dbraw/zinc/07/96/75/1176079675.db2.gz SGFNKLZJDUSHDU-ZFWWWQNUSA-N 0 1 314.420 3.072 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001691976923 1176084282 /nfs/dbraw/zinc/08/42/82/1176084282.db2.gz WTIBITIRUQNBEW-CABCVRRESA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1C=CCC1)C1CCCC1 ZINC001671519540 1176165867 /nfs/dbraw/zinc/16/58/67/1176165867.db2.gz VFCLVIITOGICDZ-ZBFHGGJFSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)Cc1ccc(Cl)nc1 ZINC001691034291 1176167556 /nfs/dbraw/zinc/16/75/56/1176167556.db2.gz LKNBCUGPVSCTPD-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1[C@H](CC)c1ccc(F)cc1 ZINC001670635441 1176198244 /nfs/dbraw/zinc/19/82/44/1176198244.db2.gz LKMXQSPCCBVJAP-ZWKOTPCHSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1sc(C2CC2)nc1C ZINC001670640155 1176199125 /nfs/dbraw/zinc/19/91/25/1176199125.db2.gz AXUWOYIZELAKKP-CQSZACIVSA-N 0 1 319.474 3.099 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001670697006 1176222631 /nfs/dbraw/zinc/22/26/31/1176222631.db2.gz SCBRZKFQJCGAMC-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1ccsc1 ZINC001671308647 1176235335 /nfs/dbraw/zinc/23/53/35/1176235335.db2.gz KRTWBMBDMOOYEX-AWEZNQCLSA-N 0 1 312.866 3.014 20 30 DGEDMN C=CCCC(=O)NC/C=C/CNCc1cccc(Cl)c1F ZINC001754100761 1176256856 /nfs/dbraw/zinc/25/68/56/1176256856.db2.gz AMCXEDDKGAGEII-SNAWJCMRSA-N 0 1 310.800 3.207 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](CC)c1ccccc1 ZINC001691068709 1176292049 /nfs/dbraw/zinc/29/20/49/1176292049.db2.gz PRPGSCIEZVMNGS-SJLPKXTDSA-N 0 1 300.446 3.289 20 30 DGEDMN C[C@H]1CN(Cc2ccc(CC#N)cc2)CC[N@H+]1Cc1ccccc1 ZINC000399267292 1176297214 /nfs/dbraw/zinc/29/72/14/1176297214.db2.gz SJOSVFXUDQCJCU-SFHVURJKSA-N 0 1 319.452 3.459 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CC)CC1CCCCC1 ZINC001691100121 1176311208 /nfs/dbraw/zinc/31/12/08/1176311208.db2.gz NLMHVTCRWDKNRJ-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)Cc2ncccc2C)C1 ZINC001691190658 1176374661 /nfs/dbraw/zinc/37/46/61/1176374661.db2.gz JUWNVWPKFQIDQR-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H]1CCC[C@@H]1c1ccccc1)C1CC1 ZINC001691217256 1176394060 /nfs/dbraw/zinc/39/40/60/1176394060.db2.gz BEEUWFHFMOVSGG-UXHICEINSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H]1CCC[C@H]1c1ccccc1)C1CC1 ZINC001691217257 1176394716 /nfs/dbraw/zinc/39/47/16/1176394716.db2.gz BEEUWFHFMOVSGG-VQTJNVASSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[C@@H](NCc2nc(C)oc2C)C1 ZINC001691228938 1176404676 /nfs/dbraw/zinc/40/46/76/1176404676.db2.gz YZQJMSVGAQTBTO-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc(-c2ccoc2)c1)C1CC1 ZINC001691232600 1176406326 /nfs/dbraw/zinc/40/63/26/1176406326.db2.gz GLNMNDYZHBGRPR-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C[C@H]1C)CCN(CCF)CC2 ZINC001691275301 1176420707 /nfs/dbraw/zinc/42/07/07/1176420707.db2.gz OOQJXVMWCGQNNB-CVEARBPZSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C1(c2cccc(C)c2)CCC1 ZINC001691420999 1176478785 /nfs/dbraw/zinc/47/87/85/1176478785.db2.gz LIDMWMGMJUTFKD-UHFFFAOYSA-N 0 1 320.864 3.217 20 30 DGEDMN O=C(C=C1CCCCC1)NCC1(NCC#Cc2ccccc2)CC1 ZINC001670750891 1176527268 /nfs/dbraw/zinc/52/72/68/1176527268.db2.gz JLRHEHGSJOPXCH-UHFFFAOYSA-N 0 1 322.452 3.167 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(F)cc2C)C1 ZINC001691921838 1176534686 /nfs/dbraw/zinc/53/46/86/1176534686.db2.gz IYJCTOHKZBLWAY-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1cc(Cl)ccc1F ZINC001691437014 1176551332 /nfs/dbraw/zinc/55/13/32/1176551332.db2.gz AXJDENWRZKSTGC-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001725505198 1176593113 /nfs/dbraw/zinc/59/31/13/1176593113.db2.gz NJUJBLHMWHNEMX-NWDGAFQWSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H]1CCC[C@@H]1C ZINC001731309521 1176612835 /nfs/dbraw/zinc/61/28/35/1176612835.db2.gz RARQPCRKTLNBEG-ZFWWWQNUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)C1CCCCC1 ZINC001731624977 1176621948 /nfs/dbraw/zinc/62/19/48/1176621948.db2.gz WWQLVZCMFIKMGO-GXTWGEPZSA-N 0 1 300.874 3.440 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@@H](C#N)CCc2ccccc2)c1 ZINC001598064201 1192761218 /nfs/dbraw/zinc/76/12/18/1192761218.db2.gz MWWZWTMPKUNZNH-MRXNPFEDSA-N 0 1 322.364 3.306 20 30 DGEDMN C#CCCCCC(=O)NCC1(N[C@@H](C)c2ccccc2F)CC1 ZINC001670767397 1176779475 /nfs/dbraw/zinc/77/94/75/1176779475.db2.gz FJBLKWOQLNXMIY-HNNXBMFYSA-N 0 1 316.420 3.319 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001753852057 1176965611 /nfs/dbraw/zinc/96/56/11/1176965611.db2.gz ZTALCPDNYUDNGM-AWEZNQCLSA-N 0 1 319.424 3.024 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001752875491 1176985459 /nfs/dbraw/zinc/98/54/59/1176985459.db2.gz UTZMPBUGKXCAIZ-CYBMUJFWSA-N 0 1 307.438 3.057 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CCc2ccc(CC)cc2)[C@H]1CC ZINC001691516033 1177051016 /nfs/dbraw/zinc/05/10/16/1177051016.db2.gz QQZDNQPQZWLFDY-RBUKOAKNSA-N 0 1 314.473 3.337 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)C(C)(F)F)C(C)(C)C ZINC001700993235 1177053212 /nfs/dbraw/zinc/05/32/12/1177053212.db2.gz RIICQKBMYXSTAQ-WDEREUQCSA-N 0 1 310.816 3.151 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001670842988 1177223666 /nfs/dbraw/zinc/22/36/66/1177223666.db2.gz OTUDYVJKZVXNSW-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(C)(C)C)cc1 ZINC001691567184 1177314588 /nfs/dbraw/zinc/31/45/88/1177314588.db2.gz MGMKUKPLQQOXSK-UHFFFAOYSA-N 0 1 308.853 3.398 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2cnc(C)s2)C1 ZINC001691846429 1177387004 /nfs/dbraw/zinc/38/70/04/1177387004.db2.gz XBQSOKSVUZZIBQ-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H]1CCCCC1(C)C ZINC001691638411 1177406283 /nfs/dbraw/zinc/40/62/83/1177406283.db2.gz JGONNMHXLWHJHC-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001733260353 1177465653 /nfs/dbraw/zinc/46/56/53/1177465653.db2.gz NMHQLUJHIDGUET-QWHCGFSZSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCc1sccc1C ZINC001691675255 1177675125 /nfs/dbraw/zinc/67/51/25/1177675125.db2.gz VTIZUMFMDYLTRI-OAHLLOKOSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccc(C)s1 ZINC001691681334 1177684847 /nfs/dbraw/zinc/68/48/47/1177684847.db2.gz ATYPAEWHAGUHIJ-HNNXBMFYSA-N 0 1 306.475 3.098 20 30 DGEDMN C#CC[C@H](CC(=O)N1CCN(CCCC)CC1)c1ccccc1 ZINC001113737006 1177729665 /nfs/dbraw/zinc/72/96/65/1177729665.db2.gz UELWHTCRMNBEGW-LJQANCHMSA-N 0 1 312.457 3.128 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2cccc(C)c2o1 ZINC001274620055 1177919075 /nfs/dbraw/zinc/91/90/75/1177919075.db2.gz WUDAVFRCAOMTHT-ONEGZZNKSA-N 0 1 318.804 3.369 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110933547 1177944007 /nfs/dbraw/zinc/94/40/07/1177944007.db2.gz MWUJWGQWXXJNGM-SYQHCUMBSA-N 0 1 318.383 3.263 20 30 DGEDMN C[C@@H]1C(N=Nc2ccc(C#N)cn2)CCCN1Cc1ccccc1 ZINC001330911031 1178090138 /nfs/dbraw/zinc/09/01/38/1178090138.db2.gz OSVXCMHCSJGLFD-OAHLLOKOSA-N 0 1 319.412 3.406 20 30 DGEDMN CC(C)c1nc(C(C)N=Nc2ccnc3cccnc23)cs1 ZINC001330910409 1178090872 /nfs/dbraw/zinc/09/08/72/1178090872.db2.gz JWXPGUQQKVCSOX-UHFFFAOYSA-N 0 1 311.414 3.468 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccccc1C ZINC001331257440 1178166855 /nfs/dbraw/zinc/16/68/55/1178166855.db2.gz UZIPKHALGIUMPK-MRXNPFEDSA-N 0 1 306.837 3.188 20 30 DGEDMN C[C@@H]1CN(Cc2cc3c(cccc3O)s2)C[C@@H](C)N1CC#N ZINC001331582845 1178253463 /nfs/dbraw/zinc/25/34/63/1178253463.db2.gz JSWGEMKCILCYKY-CHWSQXEVSA-N 0 1 315.442 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c[nH]c2ccc(F)cc12 ZINC001331646319 1178266017 /nfs/dbraw/zinc/26/60/17/1178266017.db2.gz HCZQSYBALICJBF-NSHDSACASA-N 0 1 323.799 3.110 20 30 DGEDMN CCN(CC)[C@H](C(=O)Nc1cc(C#N)cc(C)n1)c1ccccc1 ZINC001331801302 1178283720 /nfs/dbraw/zinc/28/37/20/1178283720.db2.gz UFRHJYZYSAIBKH-SFHVURJKSA-N 0 1 322.412 3.283 20 30 DGEDMN Cc1ccccc1[C@H](C(=O)[O-])[N@@H+](C)CCc1cccc(C#N)c1 ZINC001600565823 1192876361 /nfs/dbraw/zinc/87/63/61/1192876361.db2.gz MVOKDLBGKHGBOX-GOSISDBHSA-N 0 1 308.381 3.167 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CC[C@H](CN2CCC[C@@](C)(C#N)C2)C1 ZINC001332839770 1178504808 /nfs/dbraw/zinc/50/48/08/1178504808.db2.gz QEJYMWZOOIEZPR-DAYGRLMNSA-N 0 1 321.465 3.305 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1ccc(F)cn1)C(C)(C)C ZINC001332839599 1178505018 /nfs/dbraw/zinc/50/50/18/1178505018.db2.gz YIFOJFRZIACXHA-HNNXBMFYSA-N 0 1 321.440 3.053 20 30 DGEDMN C=CCCCC(=O)N[C@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001332841746 1178507108 /nfs/dbraw/zinc/50/71/08/1178507108.db2.gz IPXBFCBOLCWSPU-CQSZACIVSA-N 0 1 323.506 3.428 20 30 DGEDMN Cc1cc(CON=Cc2ccc(N3CCOCC3)cc2)cs1 ZINC001333149132 1178563534 /nfs/dbraw/zinc/56/35/34/1178563534.db2.gz LMLNQUBUBMILME-UHFFFAOYSA-N 0 1 316.426 3.444 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CC2CCCC2)c(F)c1 ZINC001333461941 1178608211 /nfs/dbraw/zinc/60/82/11/1178608211.db2.gz NZIFSQMGOSVTJW-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN COCn1ncc(C)c1CN(Cc1ccc(C#N)cc1)C(C)C ZINC001333665397 1178648935 /nfs/dbraw/zinc/64/89/35/1178648935.db2.gz GKLIESNNKBGQQK-UHFFFAOYSA-N 0 1 312.417 3.078 20 30 DGEDMN C[C@@H]1CC(NN=Cc2c(Cl)cccc2N(C)C)C[C@@H](C)O1 ZINC001334665144 1178779123 /nfs/dbraw/zinc/77/91/23/1178779123.db2.gz DDKCDEQWKCUGAC-VXGBXAGGSA-N 0 1 309.841 3.286 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@H]1CCCN(Cc2csc(C#N)c2)C1 ZINC001335408097 1178906434 /nfs/dbraw/zinc/90/64/34/1178906434.db2.gz ZLHZWABWSIQQCZ-ZFWWWQNUSA-N 0 1 319.474 3.137 20 30 DGEDMN Cc1cccc(NC[C@@H]2CCCN2Cc2csc(C#N)c2)n1 ZINC001335423167 1178908110 /nfs/dbraw/zinc/90/81/10/1178908110.db2.gz YSEQAAOPWNQOBA-HNNXBMFYSA-N 0 1 312.442 3.400 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)CSCc1cccc(Cl)c1 ZINC001342175304 1179113905 /nfs/dbraw/zinc/11/39/05/1179113905.db2.gz TXTBQRIIPRMCDY-CYBMUJFWSA-N 0 1 319.817 3.183 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C1CCC1)C1CCC1 ZINC001479240614 1179116954 /nfs/dbraw/zinc/11/69/54/1179116954.db2.gz IEEYRRUZJJLJGZ-QGZVFWFLSA-N 0 1 304.478 3.312 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cnn(C4CCC4)c3)[nH]c21 ZINC001342604061 1179159513 /nfs/dbraw/zinc/15/95/13/1179159513.db2.gz NNAHIKKHYPXHQA-CQSZACIVSA-N 0 1 319.368 3.283 20 30 DGEDMN N#CC(C(=O)C1(c2cccnc2)CCCC1)c1cccc(F)n1 ZINC001342690260 1179174859 /nfs/dbraw/zinc/17/48/59/1179174859.db2.gz BWCPDXVAQDNSEZ-AWEZNQCLSA-N 0 1 309.344 3.304 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)CCC1CC1 ZINC001342979243 1179219342 /nfs/dbraw/zinc/21/93/42/1179219342.db2.gz OCNPJFHWTAYPKY-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN CCc1c(C(=O)[C@@H](C#N)c2cccc(F)n2)cnn1CC(C)C ZINC001344597746 1179456543 /nfs/dbraw/zinc/45/65/43/1179456543.db2.gz XNMHQOSWWZUYNI-LBPRGKRZSA-N 0 1 314.364 3.126 20 30 DGEDMN CCc1c(C(=O)C(C#N)c2cccc(F)n2)cnn1CC(C)C ZINC001344597746 1179456546 /nfs/dbraw/zinc/45/65/46/1179456546.db2.gz XNMHQOSWWZUYNI-LBPRGKRZSA-N 0 1 314.364 3.126 20 30 DGEDMN N#C[C@H]1CC[C@H](OC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)C1 ZINC001344623460 1179460100 /nfs/dbraw/zinc/46/01/00/1179460100.db2.gz ZBGBFKQCVWNBAU-NHYWBVRUSA-N 0 1 322.368 3.198 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001344864119 1179488901 /nfs/dbraw/zinc/48/89/01/1179488901.db2.gz MIPICYVMYLXGOM-PXAZEXFGSA-N 0 1 313.788 3.154 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)CSCc1ccc(F)cc1 ZINC001344874691 1179489608 /nfs/dbraw/zinc/48/96/08/1179489608.db2.gz DXTFEQQWTVPDEQ-AWEZNQCLSA-N 0 1 317.389 3.152 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@H](c1cccs1)N(C)C)c1ccccc1 ZINC001345527549 1179570117 /nfs/dbraw/zinc/57/01/17/1179570117.db2.gz TVGSAQVKKGADDD-HZPDHXFCSA-N 0 1 314.454 3.437 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)Nc1cc(CN(C)C)ccn1 ZINC001345898585 1179620144 /nfs/dbraw/zinc/62/01/44/1179620144.db2.gz UUFRBQZANHKPBD-OAHLLOKOSA-N 0 1 302.422 3.106 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001346095452 1179651376 /nfs/dbraw/zinc/65/13/76/1179651376.db2.gz DYQLQCNBEYIJRU-CMPLNLGQSA-N 0 1 303.312 3.161 20 30 DGEDMN O=C([O-])Cc1ccc(N[NH+]=Cc2ccccc2N2CCCC2)cc1 ZINC001346493843 1179711095 /nfs/dbraw/zinc/71/10/95/1179711095.db2.gz VJSRNNVRRGXFOT-UHFFFAOYSA-N 0 1 323.396 3.360 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)[C@H](C)C1 ZINC001346626935 1179727540 /nfs/dbraw/zinc/72/75/40/1179727540.db2.gz FERFECBNOFZUBF-CHWSQXEVSA-N 0 1 314.437 3.035 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@@](C)(CC)C1 ZINC001346627308 1179728012 /nfs/dbraw/zinc/72/80/12/1179728012.db2.gz KWQGPDDJGPRQQQ-QGZVFWFLSA-N 0 1 314.437 3.179 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)CC1 ZINC001347401298 1179804685 /nfs/dbraw/zinc/80/46/85/1179804685.db2.gz WLKOUPHSVQOBOE-CHWSQXEVSA-N 0 1 323.845 3.146 20 30 DGEDMN C#CCN1CCC(OC(=O)CCCc2ccc(C)s2)CC1 ZINC001347399962 1179804972 /nfs/dbraw/zinc/80/49/72/1179804972.db2.gz AJHQTFWBGZSPEH-UHFFFAOYSA-N 0 1 305.443 3.020 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2coc3ccccc32)CC1 ZINC001479996365 1179985597 /nfs/dbraw/zinc/98/55/97/1179985597.db2.gz FXJPQNQRXDHUHL-UHFFFAOYSA-N 0 1 324.424 3.383 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2CCC=CCC2)CC1 ZINC001479996418 1179986649 /nfs/dbraw/zinc/98/66/49/1179986649.db2.gz IBBFXTBDMAUWKG-UHFFFAOYSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(C)[C@@H]([NH2+]Cc1ccc(C(=O)[O-])cn1)c1ccc(F)c(F)c1 ZINC001602747391 1192996091 /nfs/dbraw/zinc/99/60/91/1192996091.db2.gz QEOYTPJKTDHDEU-MRXNPFEDSA-N 0 1 318.323 3.465 20 30 DGEDMN N#CCN(Cc1cc(Cl)cc(Br)c1O)C1CC1 ZINC001350310507 1180050048 /nfs/dbraw/zinc/05/00/48/1180050048.db2.gz XTQKVRLTMPBXOS-UHFFFAOYSA-N 0 1 315.598 3.296 20 30 DGEDMN C=C(C)CN(C)c1nnc(-c2ccc3nc[nH]c3c2)n1CC1CC1 ZINC001350547834 1180085342 /nfs/dbraw/zinc/08/53/42/1180085342.db2.gz OMTLEMSNDQBZED-UHFFFAOYSA-N 0 1 322.416 3.244 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1C[C@H](CC)CC[C@H]1C ZINC001351603576 1180228122 /nfs/dbraw/zinc/22/81/22/1180228122.db2.gz GRYKYNUDAGUTDO-TZMCWYRMSA-N 0 1 314.437 3.178 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N1C[C@H](C)[C@H](C)C1 ZINC001352073502 1180291409 /nfs/dbraw/zinc/29/14/09/1180291409.db2.gz ATWCCARJVIUQRY-BETUJISGSA-N 0 1 322.416 3.100 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(C#N)cn2)CN(Cc2ccccc2)C1 ZINC001353094421 1180461624 /nfs/dbraw/zinc/46/16/24/1180461624.db2.gz VDLAVRZKDKRWDL-HNNXBMFYSA-N 0 1 319.412 3.263 20 30 DGEDMN N#Cc1cc(NC(=O)[C@H]2Cc3ccccc3CN2)ccc1C1CC1 ZINC001353145762 1180474504 /nfs/dbraw/zinc/47/45/04/1180474504.db2.gz CBUKLPYRCOQBHV-LJQANCHMSA-N 0 1 317.392 3.089 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cccc(Cl)c1F ZINC001480517158 1180585787 /nfs/dbraw/zinc/58/57/87/1180585787.db2.gz FHHQIKQRNIUFSU-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001480532670 1180615298 /nfs/dbraw/zinc/61/52/98/1180615298.db2.gz OEVPTGUFUPCNBJ-SJCJKPOMSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NCc2csc(CC)n2)C1 ZINC001100374078 1180626183 /nfs/dbraw/zinc/62/61/83/1180626183.db2.gz SZLCOYYTGUWLDL-UHFFFAOYSA-N 0 1 321.490 3.046 20 30 DGEDMN N#Cc1cc(CNCc2ccc(NC(=O)C3CC3)cc2)cs1 ZINC001354162019 1180734111 /nfs/dbraw/zinc/73/41/11/1180734111.db2.gz ZPIZMDGUWIMCJD-UHFFFAOYSA-N 0 1 311.410 3.258 20 30 DGEDMN CC[C@H]([NH2+]C[C@@H]1CCCN(CC)C1)c1cccc(C#N)c1[O-] ZINC001354203694 1180743492 /nfs/dbraw/zinc/74/34/92/1180743492.db2.gz DOPJGLNCXFKJEH-YOEHRIQHSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@H]1CN(c2nc(C#N)c(Cl)s2)CCN1C1CCCC1 ZINC001354266765 1180759285 /nfs/dbraw/zinc/75/92/85/1180759285.db2.gz CVRGOYKPHYFMOH-JTQLQIEISA-N 0 1 310.854 3.121 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCC1CC(NCc2ccccc2C#N)C1 ZINC001100583474 1180818799 /nfs/dbraw/zinc/81/87/99/1180818799.db2.gz UUSZKUVNEPPTAE-OVCLIPMQSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100596128 1180836738 /nfs/dbraw/zinc/83/67/38/1180836738.db2.gz LPXUWMVVPIRJPQ-QTFRLHLLSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(NCc2ncoc2C(C)C)CC1 ZINC001200823932 1180941396 /nfs/dbraw/zinc/94/13/96/1180941396.db2.gz XODUULDHYQKYRQ-UHFFFAOYSA-N 0 1 319.449 3.235 20 30 DGEDMN C[C@@H](Cc1cccs1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001604233970 1193062377 /nfs/dbraw/zinc/06/23/77/1193062377.db2.gz JXDXYUGWNTVTNW-LBPRGKRZSA-N 0 1 314.410 3.381 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC001604459642 1193073773 /nfs/dbraw/zinc/07/37/73/1193073773.db2.gz DATNROBNSZWLGF-MGPUTAFESA-N 0 1 320.392 3.348 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCC(F)(F)c1ccc(F)cc1 ZINC001473005104 1181132791 /nfs/dbraw/zinc/13/27/91/1181132791.db2.gz LVAJHLHTEPLUCS-UHFFFAOYSA-N 0 1 315.320 3.193 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CCC(C)=C(C)C1 ZINC001357126286 1181356053 /nfs/dbraw/zinc/35/60/53/1181356053.db2.gz KAPAIBCRKYAZRY-UHFFFAOYSA-N 0 1 312.421 3.099 20 30 DGEDMN N#CC(C(=O)c1cnc(CC2CC2)s1)c1cccc(F)n1 ZINC001357712651 1181421771 /nfs/dbraw/zinc/42/17/71/1181421771.db2.gz MRIFJUJDLWDSFY-JTQLQIEISA-N 0 1 301.346 3.120 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(CC2CC2)s1)c1cccc(F)n1 ZINC001357712651 1181421776 /nfs/dbraw/zinc/42/17/76/1181421776.db2.gz MRIFJUJDLWDSFY-JTQLQIEISA-N 0 1 301.346 3.120 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1ccccc1C(F)(F)F ZINC001604980715 1193103758 /nfs/dbraw/zinc/10/37/58/1193103758.db2.gz MAZLPEUEJPSXTP-JOYOIKCWSA-N 0 1 300.280 3.113 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001569582774 1181703769 /nfs/dbraw/zinc/70/37/69/1181703769.db2.gz UCXWUYRHIAVFNG-OAHLLOKOSA-N 0 1 310.457 3.097 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C)c(Cl)c1)C(C)C ZINC001571614680 1181723325 /nfs/dbraw/zinc/72/33/25/1181723325.db2.gz PWDFOIUNORAOIV-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN Cc1c(C(=O)NC(C)(C)c2cccc(C#N)c2)ccc2cncn21 ZINC001437608838 1181940195 /nfs/dbraw/zinc/94/01/95/1181940195.db2.gz MZNKMLDWLWNIDP-UHFFFAOYSA-N 0 1 318.380 3.180 20 30 DGEDMN Cc1cc(N(C)C)cc(C)c1CC(=O)Nc1cc(C#N)ccc1O ZINC001447055618 1182182772 /nfs/dbraw/zinc/18/27/72/1182182772.db2.gz AMLUUPAGXGINTK-UHFFFAOYSA-N 0 1 323.396 3.128 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc(Cl)c(O)c1 ZINC001448840455 1182215704 /nfs/dbraw/zinc/21/57/04/1182215704.db2.gz INIRHANILILMJX-OAHLLOKOSA-N 0 1 312.756 3.335 20 30 DGEDMN N#CCc1ccccc1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC001450903753 1182258972 /nfs/dbraw/zinc/25/89/72/1182258972.db2.gz QQHKFZSPBUZGFY-UHFFFAOYSA-N 0 1 324.771 3.212 20 30 DGEDMN C[C@@H]1CC[C@H](CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001458051222 1182492138 /nfs/dbraw/zinc/49/21/38/1182492138.db2.gz YVHKJECRUYYQHY-NEPJUHHUSA-N 0 1 309.373 3.108 20 30 DGEDMN C[C@H]1CC[C@@H](CC(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001458051223 1182492766 /nfs/dbraw/zinc/49/27/66/1182492766.db2.gz YVHKJECRUYYQHY-NWDGAFQWSA-N 0 1 309.373 3.108 20 30 DGEDMN CN(CCN1CCCCC1)c1c(Br)cccc1C#N ZINC001458448910 1182525302 /nfs/dbraw/zinc/52/53/02/1182525302.db2.gz AVXUPWRIQYGOSU-UHFFFAOYSA-N 0 1 322.250 3.243 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCc3ccncc3C#N)n2)cc1 ZINC001458826118 1182554960 /nfs/dbraw/zinc/55/49/60/1182554960.db2.gz OTDBHRLCWSINKM-UHFFFAOYSA-N 0 1 323.381 3.039 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CC[C@H]2[C@@H](C1)C2(F)F ZINC001459790177 1182616930 /nfs/dbraw/zinc/61/69/30/1182616930.db2.gz DOSPZWVOUGUYNA-QWHCGFSZSA-N 0 1 312.747 3.329 20 30 DGEDMN N#Cc1cccc([C@@H](O)CN2CCC(CC(F)(F)F)CC2)c1 ZINC001460085202 1182650205 /nfs/dbraw/zinc/65/02/05/1182650205.db2.gz GAIKGKGSFJDZET-HNNXBMFYSA-N 0 1 312.335 3.256 20 30 DGEDMN C=C(C)CCNC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001460233783 1182665138 /nfs/dbraw/zinc/66/51/38/1182665138.db2.gz JQZWXBMQJOCFIX-SFHVURJKSA-N 0 1 300.446 3.432 20 30 DGEDMN Cc1noc2ncc(CNCc3ccc(C#N)c(Cl)c3)cc12 ZINC001461479311 1182817136 /nfs/dbraw/zinc/81/71/36/1182817136.db2.gz WBTQCSSBJGMKLL-UHFFFAOYSA-N 0 1 312.760 3.346 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc4occc4s3)[nH]c2c1 ZINC001461918714 1182876165 /nfs/dbraw/zinc/87/61/65/1182876165.db2.gz PQBPQYBLQWTQTK-UHFFFAOYSA-N 0 1 308.322 3.495 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc(F)cc3Cl)[nH]c2c1 ZINC001461917196 1182877789 /nfs/dbraw/zinc/87/77/89/1182877789.db2.gz IROOIBMYHUMKGE-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2sc(N3CCCC3)nc2Cl)C1 ZINC001462464095 1182956812 /nfs/dbraw/zinc/95/68/12/1182956812.db2.gz YEHVTAXKGXRGBN-GFCCVEGCSA-N 0 1 309.866 3.242 20 30 DGEDMN N#CCc1cccc(NC(=O)c2ccc(CN3CCCC3)o2)c1 ZINC001468129936 1183312214 /nfs/dbraw/zinc/31/22/14/1183312214.db2.gz FEIYRGDNOJHEIT-UHFFFAOYSA-N 0 1 309.369 3.194 20 30 DGEDMN C=CCC[C@H](NC(=O)NC[C@@H]1CCN1CC)c1ccccc1 ZINC001469470272 1183411686 /nfs/dbraw/zinc/41/16/86/1183411686.db2.gz XCNKEIDTTYSOGE-IRXDYDNUSA-N 0 1 301.434 3.087 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CN2CCCC2)o1 ZINC001470393456 1183483342 /nfs/dbraw/zinc/48/33/42/1183483342.db2.gz BCZQJEYJZRBVRN-GASCZTMLSA-N 0 1 300.402 3.199 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001470599149 1183499232 /nfs/dbraw/zinc/49/92/32/1183499232.db2.gz NNNZLVHFGWDDCK-IBGZPJMESA-N 0 1 309.413 3.466 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(C)C[C@@H]2CC)CCCC1 ZINC001470790631 1183514240 /nfs/dbraw/zinc/51/42/40/1183514240.db2.gz PBFJWFORJMITLL-INIZCTEOSA-N 0 1 307.482 3.249 20 30 DGEDMN Cc1ccc(CC(=O)NOc2cccc(C#N)c2)c(Cl)c1 ZINC001471085760 1183536717 /nfs/dbraw/zinc/53/67/17/1183536717.db2.gz KEGFJHHJPJGUPQ-UHFFFAOYSA-N 0 1 300.745 3.173 20 30 DGEDMN C=CCCC[C@H](NC(=O)N(C)CC1CN(C)C1)c1ccccc1 ZINC001471204223 1183546122 /nfs/dbraw/zinc/54/61/22/1183546122.db2.gz WQAWMOFVWATCSI-SFHVURJKSA-N 0 1 315.461 3.287 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)c1cccc(F)c1O ZINC001472324621 1183635336 /nfs/dbraw/zinc/63/53/36/1183635336.db2.gz QLJDAAZKTUGJPC-CYBMUJFWSA-N 0 1 311.397 3.373 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2cc(Br)ccc2C#N)[nH]n1 ZINC001472730962 1183674032 /nfs/dbraw/zinc/67/40/32/1183674032.db2.gz MQMPDDYUKJJHCV-SECBINFHSA-N 0 1 319.206 3.395 20 30 DGEDMN C#CCN(CC(=O)N(CCC)CC(F)(F)F)C1CCCCC1 ZINC001473137095 1183720348 /nfs/dbraw/zinc/72/03/48/1183720348.db2.gz PLCHIVAGVSSDTR-UHFFFAOYSA-N 0 1 318.383 3.055 20 30 DGEDMN Cc1ccc(N(C)[C@H]2CCCN(Cc3cc(C#N)ccn3)C2)cc1 ZINC001473305075 1183741687 /nfs/dbraw/zinc/74/16/87/1183741687.db2.gz VSUNXBGQOUJQFP-FQEVSTJZSA-N 0 1 320.440 3.363 20 30 DGEDMN Cc1cc([C@@H]([NH2+]Cc2ccc(F)cc2C#N)C(=O)[O-])ccc1F ZINC001607611821 1193240882 /nfs/dbraw/zinc/24/08/82/1193240882.db2.gz IZULVJPUKFZEGX-MRXNPFEDSA-N 0 1 316.307 3.060 20 30 DGEDMN C#CCN(C[C@@H]1CCC[C@@H](c2ccccc2)O1)C1CSC1 ZINC001473629237 1183784320 /nfs/dbraw/zinc/78/43/20/1183784320.db2.gz CQFZMIZPUSKCAE-ROUUACIJSA-N 0 1 301.455 3.347 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CCC[C@@H](C3CCC3)CC2)c1 ZINC001473742864 1183797360 /nfs/dbraw/zinc/79/73/60/1183797360.db2.gz PZPJMMZOVXJXIU-QGZVFWFLSA-N 0 1 311.429 3.399 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1ccc([N+](=O)[O-])cc1C ZINC001473861736 1183813532 /nfs/dbraw/zinc/81/35/32/1183813532.db2.gz JRWLTPYUBYWQBY-UHFFFAOYSA-N 0 1 305.378 3.130 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCO[C@H](C(C)(C)C)CC1 ZINC001473898236 1183822648 /nfs/dbraw/zinc/82/26/48/1183822648.db2.gz XIIUGNWBSKJGMY-KRWDZBQOSA-N 0 1 302.418 3.204 20 30 DGEDMN C#C[C@@H](NCc1ccc(C)c(Br)c1C)[C@@H]1CCCO1 ZINC001474118558 1183871925 /nfs/dbraw/zinc/87/19/25/1183871925.db2.gz NWIZUIDKXYUIQD-CABCVRRESA-N 0 1 322.246 3.336 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C=C(C)C)C1 ZINC001474177599 1183877603 /nfs/dbraw/zinc/87/76/03/1183877603.db2.gz SYQMWQYLLGWDEX-CVEARBPZSA-N 0 1 310.869 3.408 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@H](NCc1c(Cl)ccnc1F)C2 ZINC001474677744 1183960883 /nfs/dbraw/zinc/96/08/83/1183960883.db2.gz VJYVYPCAFPJKNA-CYBMUJFWSA-N 0 1 301.752 3.003 20 30 DGEDMN CCc1ccc(CN2CCN(CC#Cc3ccccc3)CC2)cc1 ZINC001474683954 1183961674 /nfs/dbraw/zinc/96/16/74/1183961674.db2.gz CJPBQSYHELLOPN-UHFFFAOYSA-N 0 1 318.464 3.418 20 30 DGEDMN CC[C@@H](CNCc1cccc(F)c1C#N)C(=O)OC(C)(C)C ZINC001474715839 1183968178 /nfs/dbraw/zinc/96/81/78/1183968178.db2.gz SMXNAOJSSQQXKE-LBPRGKRZSA-N 0 1 306.381 3.155 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1CCc1ccccc1F ZINC001479239066 1184214987 /nfs/dbraw/zinc/21/49/87/1184214987.db2.gz OMJMDKBFYVAOQF-MRXNPFEDSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1ccon1 ZINC001479518523 1184264350 /nfs/dbraw/zinc/26/43/50/1184264350.db2.gz BVNCJFUSRALUET-NVXWUHKLSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CCC[N@@H+](CCF)[C@@H]2C1(C)C ZINC001479610619 1184273906 /nfs/dbraw/zinc/27/39/06/1184273906.db2.gz CUGQIVCDERBXDU-BMFZPTHFSA-N 0 1 310.457 3.163 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CCCN(CCF)[C@@H]2C1(C)C ZINC001479610619 1184273912 /nfs/dbraw/zinc/27/39/12/1184273912.db2.gz CUGQIVCDERBXDU-BMFZPTHFSA-N 0 1 310.457 3.163 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnccc2C)[C@@H]1C ZINC001479719924 1184299502 /nfs/dbraw/zinc/29/95/02/1184299502.db2.gz JBHLUJWBYQNFSH-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)ccc1F ZINC001479737215 1184307354 /nfs/dbraw/zinc/30/73/54/1184307354.db2.gz DLHOFFIDTUKNNT-INIZCTEOSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCCCC(=O)NCCCN(C)Cc1cccc(F)c1F ZINC001479790971 1184325294 /nfs/dbraw/zinc/32/52/94/1184325294.db2.gz YWENNYIAEYWIRZ-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1csc(C(=O)[O-])c1)C2 ZINC001608189226 1193282171 /nfs/dbraw/zinc/28/21/71/1193282171.db2.gz IPQRHKWQBDRLAP-UHFFFAOYSA-N 0 1 312.394 3.071 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC001479904380 1184372566 /nfs/dbraw/zinc/37/25/66/1184372566.db2.gz GRJOHJGBERDXKW-KRWDZBQOSA-N 0 1 316.445 3.132 20 30 DGEDMN N#Cc1c(C[N@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)cccc1C(=O)[O-] ZINC001608192121 1193282663 /nfs/dbraw/zinc/28/26/63/1193282663.db2.gz UQJPTOLMOMLGKA-OXJNMPFZSA-N 0 1 318.376 3.030 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2cnccc2C)C1 ZINC001479908432 1184374257 /nfs/dbraw/zinc/37/42/57/1184374257.db2.gz MXTGYMJTMDAASB-AEFFLSMTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001479910028 1184376605 /nfs/dbraw/zinc/37/66/05/1184376605.db2.gz CCKVJKLKEAIRDV-IAGOWNOFSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001479912170 1184378592 /nfs/dbraw/zinc/37/85/92/1184378592.db2.gz WERUHVNIJYCBGY-DLBZAZTESA-N 0 1 300.446 3.255 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CCc2ccccc2Cl)C1 ZINC001479948924 1184387150 /nfs/dbraw/zinc/38/71/50/1184387150.db2.gz YMWHJWRKCXXUCU-HNNXBMFYSA-N 0 1 306.837 3.039 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001479964964 1184394717 /nfs/dbraw/zinc/39/47/17/1184394717.db2.gz GUBUQETVKJZPFO-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001479972797 1184401138 /nfs/dbraw/zinc/40/11/38/1184401138.db2.gz XDRHCEFJQSXHSV-QGZVFWFLSA-N 0 1 300.446 3.121 20 30 DGEDMN N#Cc1csc(C[N@@H+]2C[C@H](C3CCCCC3)C[C@@H]2C(=O)[O-])c1 ZINC001608222636 1193284631 /nfs/dbraw/zinc/28/46/31/1193284631.db2.gz VPEQTWLMLGFABR-GDBMZVCRSA-N 0 1 318.442 3.475 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1(C=C)CCCCC1 ZINC001480100573 1184434370 /nfs/dbraw/zinc/43/43/70/1184434370.db2.gz MTPWRXSFZQHTTM-HDICACEKSA-N 0 1 314.473 3.212 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CCC(C)(C)C ZINC001480102304 1184435716 /nfs/dbraw/zinc/43/57/16/1184435716.db2.gz DBTMVWIKQCTSPU-YESZJQIVSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001480201029 1184458430 /nfs/dbraw/zinc/45/84/30/1184458430.db2.gz AJVDDDUMKVRNJU-MCIONIFRSA-N 0 1 320.383 3.066 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1ncccc1C ZINC001480322347 1184491971 /nfs/dbraw/zinc/49/19/71/1184491971.db2.gz FUUDITCWCCMGFO-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC[C@H](C(=O)NC[C@H]1CCCCN1CC#CC)c1ccccc1 ZINC001480329615 1184494301 /nfs/dbraw/zinc/49/43/01/1184494301.db2.gz BUAWQEHEQSYLTF-UXHICEINSA-N 0 1 324.468 3.340 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001480333701 1184495762 /nfs/dbraw/zinc/49/57/62/1184495762.db2.gz VEIKSCDAZPUBPN-RDJZCZTQSA-N 0 1 324.424 3.140 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001480522697 1184542356 /nfs/dbraw/zinc/54/23/56/1184542356.db2.gz SVXNMLKDRAZEEQ-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C(C)(C)Cc1ccccc1 ZINC001493150327 1184659226 /nfs/dbraw/zinc/65/92/26/1184659226.db2.gz VCUWIZHKSMJCRE-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc2ccccc2cc1F)C1CC1 ZINC001493391567 1184699848 /nfs/dbraw/zinc/69/98/48/1184699848.db2.gz MHBPBQWBAIWQPL-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C/C=C/c1ccc(F)cc1 ZINC001493588875 1184718764 /nfs/dbraw/zinc/71/87/64/1184718764.db2.gz BMGRQWDMFLCXQK-ONEGZZNKSA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@H](C)NCc2cscn2)CC1 ZINC001493827013 1184744107 /nfs/dbraw/zinc/74/41/07/1184744107.db2.gz KRBVHLPJKCOOOF-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cccc(F)c1C)c1ccccc1 ZINC001493888047 1184758426 /nfs/dbraw/zinc/75/84/26/1184758426.db2.gz AUYNRQWGXYXZPB-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001493888480 1184758478 /nfs/dbraw/zinc/75/84/78/1184758478.db2.gz IHSDIPNXSVHSKM-MSOLQXFVSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001493964020 1184777589 /nfs/dbraw/zinc/77/75/89/1184777589.db2.gz IQBCKCPOXLWKKK-CABCVRRESA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2coc(C3CCC3)n2)C1 ZINC001493972761 1184780355 /nfs/dbraw/zinc/78/03/55/1184780355.db2.gz WWBQWAWVGRLJBV-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ncoc2C2CC2)C1 ZINC001493973041 1184780400 /nfs/dbraw/zinc/78/04/00/1184780400.db2.gz YMTAGHKKICNHET-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](CC)CCC)C1 ZINC001494066974 1184801526 /nfs/dbraw/zinc/80/15/26/1184801526.db2.gz OHJYNSXXIGJEII-LSDHHAIUSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C23CCC(CC2)CC3)C1 ZINC001494177706 1184823746 /nfs/dbraw/zinc/82/37/46/1184823746.db2.gz ATULZULSLDVNDF-UPTPFMHGSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C23CCC(CC2)CC3)C1 ZINC001494177705 1184824195 /nfs/dbraw/zinc/82/41/95/1184824195.db2.gz ATULZULSLDVNDF-PQUAAJSLSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001494198148 1184831130 /nfs/dbraw/zinc/83/11/30/1184831130.db2.gz NCPREKLOKKQLNA-GVDBMIGSSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2ccc(Cl)s2)C1 ZINC001494328959 1184857493 /nfs/dbraw/zinc/85/74/93/1184857493.db2.gz NSZMBKDBRQIASJ-LBPRGKRZSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C(C)CCC(=O)NC1CN(CC[C@H]2CCc3ccccc32)C1 ZINC001494397193 1184865884 /nfs/dbraw/zinc/86/58/84/1184865884.db2.gz ZCRPFXQGOXLWMB-QGZVFWFLSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C23CC(c4ccccc4)(C2)C3)C1 ZINC001494508376 1184877364 /nfs/dbraw/zinc/87/73/64/1184877364.db2.gz JVNLSKRYSYHJIT-YMTQGWHGSA-N 0 1 324.468 3.263 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001494509648 1184877622 /nfs/dbraw/zinc/87/76/22/1184877622.db2.gz WFPGOFCZXJNFQB-DLBZAZTESA-N 0 1 314.473 3.329 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001494821062 1184934561 /nfs/dbraw/zinc/93/45/61/1184934561.db2.gz HKJXSVPQHXVHFF-CVEARBPZSA-N 0 1 316.420 3.223 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001494844608 1184942320 /nfs/dbraw/zinc/94/23/20/1184942320.db2.gz ADLCLWURWZUESJ-MRXNPFEDSA-N 0 1 304.409 3.071 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001494853710 1184945063 /nfs/dbraw/zinc/94/50/63/1184945063.db2.gz UPOAPRRAVURPDN-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001494853711 1184945530 /nfs/dbraw/zinc/94/55/30/1184945530.db2.gz UPOAPRRAVURPDN-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2scnc2C)C1 ZINC001494911541 1184960632 /nfs/dbraw/zinc/96/06/32/1184960632.db2.gz VKMBOWGXSGBQOM-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC[C@@H](CNC(=O)CCC(C)C)NCc1cc(F)ccc1C#N ZINC001494936801 1184967074 /nfs/dbraw/zinc/96/70/74/1184967074.db2.gz DMZWKUGFROBLAZ-KRWDZBQOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(OC(C)C)cc1 ZINC001495103805 1184996822 /nfs/dbraw/zinc/99/68/22/1184996822.db2.gz LUMDQXMBZYSLGY-OAHLLOKOSA-N 0 1 324.852 3.324 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CCNC/C(Cl)=C\Cl)C1 ZINC001495344812 1185036240 /nfs/dbraw/zinc/03/62/40/1185036240.db2.gz SVJRTLNMRQTDKL-PCRWRXJSSA-N 0 1 319.276 3.490 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](NCc2nc(C)c(C)o2)CC1 ZINC001495465847 1185058467 /nfs/dbraw/zinc/05/84/67/1185058467.db2.gz BPCZJYNCKPFYTP-WKILWMFISA-N 0 1 319.449 3.165 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@@H]2CNCC(=C)Cl)cc1 ZINC001495578821 1185076548 /nfs/dbraw/zinc/07/65/48/1185076548.db2.gz YETQOFLPYVXAEP-MRXNPFEDSA-N 0 1 304.821 3.276 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)c(C)s1 ZINC001495589368 1185077798 /nfs/dbraw/zinc/07/77/98/1185077798.db2.gz HYWFFPBIEPSQSA-CYBMUJFWSA-N 0 1 312.866 3.312 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CCNCc1coc(C)n1 ZINC001496416775 1185182556 /nfs/dbraw/zinc/18/25/56/1185182556.db2.gz WYTDVQAWIQTZNP-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)Cc1ccccc1F ZINC001609371487 1193335922 /nfs/dbraw/zinc/33/59/22/1193335922.db2.gz HSLAYSCTXYVAFZ-UHFFFAOYSA-N 0 1 302.349 3.051 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])n(C)c1)Cc1ccccc1F ZINC001609371487 1193335924 /nfs/dbraw/zinc/33/59/24/1193335924.db2.gz HSLAYSCTXYVAFZ-UHFFFAOYSA-N 0 1 302.349 3.051 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)/C=C/C(C)(C)C ZINC001497361617 1185321582 /nfs/dbraw/zinc/32/15/82/1185321582.db2.gz HVKOKIFPLFOABC-BUHFOSPRSA-N 0 1 312.457 3.079 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CCC1CCC1 ZINC001497366942 1185323296 /nfs/dbraw/zinc/32/32/96/1185323296.db2.gz HVFIBEJUKHCBRQ-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCN(CCNCC#Cc1ccc(F)cc1)C(=O)C(C)(C)CC ZINC001497448422 1185334636 /nfs/dbraw/zinc/33/46/36/1185334636.db2.gz XNJUESJDXPSFEO-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C)c(Cl)c1 ZINC001497447377 1185334933 /nfs/dbraw/zinc/33/49/33/1185334933.db2.gz LERRHNDRCVXARI-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCC(F)(F)C1 ZINC001497452231 1185336633 /nfs/dbraw/zinc/33/66/33/1185336633.db2.gz FOAKJAKXHMGNMH-LBPRGKRZSA-N 0 1 308.800 3.003 20 30 DGEDMN C=C[C@H](C(=O)N(CC)CCNCC(=C)Cl)c1ccccc1 ZINC001497500171 1185341170 /nfs/dbraw/zinc/34/11/70/1185341170.db2.gz CFXGCFINMFWBHL-INIZCTEOSA-N 0 1 306.837 3.147 20 30 DGEDMN C=CCCC(=O)NC/C=C/CN[C@H]1CCc2c1c(F)ccc2F ZINC001497540208 1185350114 /nfs/dbraw/zinc/35/01/14/1185350114.db2.gz HTKQCPYHIAQZRU-APHBUQMISA-N 0 1 320.383 3.180 20 30 DGEDMN CCC(=CC(=O)N[C@H](C)CNCc1cc(C#N)ccc1F)CC ZINC001497801936 1185395869 /nfs/dbraw/zinc/39/58/69/1185395869.db2.gz PNSJUFBBBGYJTL-CYBMUJFWSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2c(c1)CCC=C2 ZINC001497962973 1185415335 /nfs/dbraw/zinc/41/53/35/1185415335.db2.gz MRDURZNDXOWXEE-CYBMUJFWSA-N 0 1 304.821 3.106 20 30 DGEDMN C[C@H](C[N@@H+](Cc1ccccc1)Cc1ccccc1C#N)C(=O)[O-] ZINC001609742511 1193353568 /nfs/dbraw/zinc/35/35/68/1193353568.db2.gz RYRLTEQGELAWAU-OAHLLOKOSA-N 0 1 308.381 3.281 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)c(Cl)cc1C ZINC001498088129 1185434838 /nfs/dbraw/zinc/43/48/38/1185434838.db2.gz YXLGATZNFIYZKC-LBPRGKRZSA-N 0 1 315.244 3.417 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC001498242322 1185455345 /nfs/dbraw/zinc/45/53/45/1185455345.db2.gz ZUXMYXHFHBHUBT-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(-c2ccco2)s1 ZINC001498417766 1185491172 /nfs/dbraw/zinc/49/11/72/1185491172.db2.gz HANIXBWFKAHBEV-CYBMUJFWSA-N 0 1 316.426 3.082 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccccc1-c1ccccc1 ZINC001498443842 1185496726 /nfs/dbraw/zinc/49/67/26/1185496726.db2.gz IOWDBCQCHILZLW-MRXNPFEDSA-N 0 1 306.409 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCCC(F)(F)C1)C1CC1 ZINC001498822553 1185551550 /nfs/dbraw/zinc/55/15/50/1185551550.db2.gz QASIPXJZCMAGOQ-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1ncc(C)s1)C1CC1 ZINC001498817653 1185551880 /nfs/dbraw/zinc/55/18/80/1185551880.db2.gz MAJQYWTYMHGZEL-YOEHRIQHSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1ncc(C)s1)C1CC1 ZINC001498817650 1185552086 /nfs/dbraw/zinc/55/20/86/1185552086.db2.gz MAJQYWTYMHGZEL-RHSMWYFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1[C@H](C)c1cccc(C)c1 ZINC001499036323 1185596334 /nfs/dbraw/zinc/59/63/34/1185596334.db2.gz CEJLJLPBJJXHPT-WBVHZDCISA-N 0 1 300.446 3.459 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(c3ccccc3C)CC2)CC1 ZINC001499466362 1185653688 /nfs/dbraw/zinc/65/36/88/1185653688.db2.gz TUMXCBZCNACGBF-UHFFFAOYSA-N 0 1 318.848 3.018 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H](C)C(F)(F)F)C1 ZINC001499730976 1185687791 /nfs/dbraw/zinc/68/77/91/1185687791.db2.gz FSNQSJQZABLYAA-LBPRGKRZSA-N 0 1 308.388 3.298 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc(C(F)F)c2)C1 ZINC001499774933 1185695043 /nfs/dbraw/zinc/69/50/43/1185695043.db2.gz QNBYQGFLNDEHGM-UHFFFAOYSA-N 0 1 308.372 3.347 20 30 DGEDMN CC/C(C)=C/C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001499982059 1185726729 /nfs/dbraw/zinc/72/67/29/1185726729.db2.gz PMHDJZQSZXYBGY-ISLYRVAYSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C)o1)C1CCCC1 ZINC001500066725 1185737436 /nfs/dbraw/zinc/73/74/36/1185737436.db2.gz AZKQNNZODVHMFF-CQSZACIVSA-N 0 1 310.825 3.219 20 30 DGEDMN C#CCCCCC(=O)N[C@@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001500208824 1185758214 /nfs/dbraw/zinc/75/82/14/1185758214.db2.gz WTEUVWJICKKWPX-IBGZPJMESA-N 0 1 316.420 3.100 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@](C)(CNCc2cscn2)C1 ZINC001500376537 1185782022 /nfs/dbraw/zinc/78/20/22/1185782022.db2.gz OMACBNPFESBUCM-IAGOWNOFSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CSC(C)C)CC1 ZINC001500412631 1185787440 /nfs/dbraw/zinc/78/74/40/1185787440.db2.gz BRSMYHBMMDJXTF-UHFFFAOYSA-N 0 1 318.914 3.099 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)/C=C/C(C)(C)C)C1 ZINC001500607246 1185827521 /nfs/dbraw/zinc/82/75/21/1185827521.db2.gz LNPDMFSBWVCDQA-PSKZRQQASA-N 0 1 314.420 3.238 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)CCC)C1 ZINC001500614376 1185829869 /nfs/dbraw/zinc/82/98/69/1185829869.db2.gz VFAVYRUOTJNQSV-ZDUSSCGKSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CCC)CC1)c1ccccc1CC ZINC001501022779 1185857105 /nfs/dbraw/zinc/85/71/05/1185857105.db2.gz QUYNMVKKZFPZJY-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCC[C@H](C)CC)[C@@H]2C1 ZINC001501418311 1185902633 /nfs/dbraw/zinc/90/26/33/1185902633.db2.gz SOARGQRPRKKOJT-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C=C\C(C)(C)C)cccc2C1 ZINC001501851449 1185931993 /nfs/dbraw/zinc/93/19/93/1185931993.db2.gz RCGNGOJBGACDBU-BENRWUELSA-N 0 1 324.468 3.287 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C=C/C(C)(C)C)cccc2C1 ZINC001501851450 1185932038 /nfs/dbraw/zinc/93/20/38/1185932038.db2.gz RCGNGOJBGACDBU-ZRDIBKRKSA-N 0 1 324.468 3.287 20 30 DGEDMN CCC(CC)C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001501916534 1185938193 /nfs/dbraw/zinc/93/81/93/1185938193.db2.gz CIVJFHYRPOGTBJ-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ccc(F)cc2F)CCC1 ZINC001501990468 1185943846 /nfs/dbraw/zinc/94/38/46/1185943846.db2.gz UEHGUWIWCUIGGS-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN CC1(CC(=O)N[C@H]2CCCN(CC#Cc3ccccc3)CC2)CC1 ZINC001502130698 1185957935 /nfs/dbraw/zinc/95/79/35/1185957935.db2.gz YDSUDGGMDMBVLB-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C[C@H]1C=CCC1 ZINC001502158051 1185964317 /nfs/dbraw/zinc/96/43/17/1185964317.db2.gz GACWWOPFJOFCHU-FQEVSTJZSA-N 0 1 324.468 3.175 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(C)(NCc2cc(C)on2)CC1 ZINC001502793486 1186012678 /nfs/dbraw/zinc/01/26/78/1186012678.db2.gz KUTYKRQVNQHPEG-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NCc2ncc(C(C)C)o2)C1 ZINC001503468137 1186045661 /nfs/dbraw/zinc/04/56/61/1186045661.db2.gz FRTFMBDCKXCLPZ-AWEZNQCLSA-N 0 1 319.449 3.139 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(-c2ccoc2)c1 ZINC001505453339 1186085253 /nfs/dbraw/zinc/08/52/53/1186085253.db2.gz YSGSTYIXWUZZRJ-LJQANCHMSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(C)CCC(C)CC1 ZINC001505466129 1186089425 /nfs/dbraw/zinc/08/94/25/1186089425.db2.gz SMSRVFWALSOAGK-TVRKMHQQSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001505469703 1186090442 /nfs/dbraw/zinc/09/04/42/1186090442.db2.gz UKOCIXKHJNDXBR-KRWDZBQOSA-N 0 1 323.440 3.404 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CC(CN[C@H](C)c2ncc(C)o2)C1 ZINC001505512320 1186097069 /nfs/dbraw/zinc/09/70/69/1186097069.db2.gz PUMCVMJLJPXLAH-WLYUNCDWSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1ccccc1C ZINC001505697742 1186126688 /nfs/dbraw/zinc/12/66/88/1186126688.db2.gz KXVZHPJUVHYTFY-GJZGRUSLSA-N 0 1 308.853 3.163 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2cccnc2c1 ZINC001505713694 1186128466 /nfs/dbraw/zinc/12/84/66/1186128466.db2.gz QJZRKVOPWDDVSU-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN N#Cc1c(C[N@H+]2CC[C@@H](c3ccccc3F)C2)cccc1C(=O)[O-] ZINC001610623064 1193401420 /nfs/dbraw/zinc/40/14/20/1193401420.db2.gz ISRJNJTXBCRISB-CQSZACIVSA-N 0 1 324.355 3.385 20 30 DGEDMN N#Cc1ccc(C[N@H+](CCC(=O)[O-])Cc2cccc(F)c2)cc1 ZINC001610628298 1193401847 /nfs/dbraw/zinc/40/18/47/1193401847.db2.gz WIPVLJPWZMTFJU-UHFFFAOYSA-N 0 1 312.344 3.174 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C2CCCC2)cc1 ZINC001505962958 1186171468 /nfs/dbraw/zinc/17/14/68/1186171468.db2.gz GTVBTYJSTFRDGZ-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](CC)CCCC)[C@@H]2C1 ZINC001506761514 1186290666 /nfs/dbraw/zinc/29/06/66/1186290666.db2.gz HTOQWKNIKBHIHW-YHUYYLMFSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC001506864282 1186298342 /nfs/dbraw/zinc/29/83/42/1186298342.db2.gz CQAQFROVJQPNFZ-DDJMYBDESA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C1CCC1)C1CCC1 ZINC001507116400 1186364177 /nfs/dbraw/zinc/36/41/77/1186364177.db2.gz FTBAQTPLFPCLGU-CYBMUJFWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)c1ccccc1F ZINC001507138459 1186370153 /nfs/dbraw/zinc/37/01/53/1186370153.db2.gz PWFBUXWSNMHFRW-QWHCGFSZSA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cccc(Cl)n2)C[C@@H]1C ZINC001507309107 1186387067 /nfs/dbraw/zinc/38/70/67/1186387067.db2.gz GOOGRTAMESYHMI-DZGCQCFKSA-N 0 1 321.852 3.170 20 30 DGEDMN CC(C)=CC(=O)N[C@@]12CCC[C@H]1N(Cc1ccccc1C#N)CC2 ZINC001507334837 1186393752 /nfs/dbraw/zinc/39/37/52/1186393752.db2.gz KNQIUDKQIXUDKL-UYAOXDASSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCc2cccs2)[C@H]1C ZINC001507386207 1186413390 /nfs/dbraw/zinc/41/33/90/1186413390.db2.gz OYOCRLBAGBIZFB-WBVHZDCISA-N 0 1 318.486 3.063 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@H](CC)c2ccc(C)cc2)CC1 ZINC001508217682 1186490700 /nfs/dbraw/zinc/49/07/00/1186490700.db2.gz AUSRVCHSJHFTGE-IBGZPJMESA-N 0 1 324.468 3.260 20 30 DGEDMN O=C([C@@H]1CCN1C1CCCC1)N(O)CCCCc1ccccc1 ZINC001611653152 1193439129 /nfs/dbraw/zinc/43/91/29/1193439129.db2.gz FOWMHECYKNSGGM-SFHVURJKSA-N 0 1 316.445 3.244 20 30 DGEDMN Cc1cnc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)c1 ZINC001515115250 1186744890 /nfs/dbraw/zinc/74/48/90/1186744890.db2.gz SHJXWODUSUQVGF-ZDUSSCGKSA-N 0 1 304.353 3.373 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1nc(C2CC2)cs1 ZINC001518387422 1186840411 /nfs/dbraw/zinc/84/04/11/1186840411.db2.gz MSJKQPSLSZTQHP-HMDXOVGESA-N 0 1 301.396 3.367 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(C(=O)CCCCC#N)CC1 ZINC001523692909 1187180017 /nfs/dbraw/zinc/18/00/17/1187180017.db2.gz JBESEVKTUJJLGA-GOSISDBHSA-N 0 1 313.445 3.366 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCOC(C)(C)C3)[nH]c21 ZINC001524671717 1187258085 /nfs/dbraw/zinc/25/80/85/1187258085.db2.gz KKHLPNRYFBVXLH-QWHCGFSZSA-N 0 1 311.385 3.253 20 30 DGEDMN N#CC(C(=O)Cc1ccc(Cl)c(F)c1)c1cccc(F)n1 ZINC001524739695 1187265232 /nfs/dbraw/zinc/26/52/32/1187265232.db2.gz BZLHVMJGOIGQGB-SNVBAGLBSA-N 0 1 306.699 3.432 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@]1(C)CCCc2ccccc21 ZINC001526595388 1187377523 /nfs/dbraw/zinc/37/75/23/1187377523.db2.gz YRRRHHGDDBUNHY-HNAYVOBHSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1cccc2c1CN(C(=O)c1[nH]nc3ccc(Cl)cc31)C2 ZINC001530456449 1187628915 /nfs/dbraw/zinc/62/89/15/1187628915.db2.gz VNYPOWGIRWAMLL-UHFFFAOYSA-N 0 1 322.755 3.244 20 30 DGEDMN Cc1ccccc1[C@@H]1C[C@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001534068221 1187873075 /nfs/dbraw/zinc/87/30/75/1187873075.db2.gz ZVSQTHFGHXFEMS-LSDHHAIUSA-N 0 1 316.364 3.485 20 30 DGEDMN C=CC1CCN([C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CC1 ZINC001615519816 1193523258 /nfs/dbraw/zinc/52/32/58/1193523258.db2.gz NECRJAVIVCHVTM-MRXNPFEDSA-N 0 1 322.811 3.482 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N2CCc3ccccc3C2)CC1 ZINC001536371949 1188004619 /nfs/dbraw/zinc/00/46/19/1188004619.db2.gz MTQJZRCWFKCDDJ-INIZCTEOSA-N 0 1 312.457 3.296 20 30 DGEDMN Cc1c(C(=O)N(CC#N)c2ccc(Cl)cc2)ccc2cncn21 ZINC001537552589 1188083007 /nfs/dbraw/zinc/08/30/07/1188083007.db2.gz NWXQWIQWRMJWCV-UHFFFAOYSA-N 0 1 324.771 3.467 20 30 DGEDMN C=CC1(CC(=O)NCCCN2C[C@@H](C)O[C@@H](C)C2)CCCCC1 ZINC001539827824 1188205624 /nfs/dbraw/zinc/20/56/24/1188205624.db2.gz DCBVYQGTLFNWRB-CALCHBBNSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001617171782 1193560004 /nfs/dbraw/zinc/56/00/04/1193560004.db2.gz XEBKVWPUXIYDCT-NSHDSACASA-N 0 1 301.390 3.351 20 30 DGEDMN C=CCN(Cc1cc(C(=O)OCC)n[nH]1)CC1CCCCC1 ZINC001621193261 1193569461 /nfs/dbraw/zinc/56/94/61/1193569461.db2.gz BWKAAIQHJZHFJY-UHFFFAOYSA-N 0 1 305.422 3.155 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)C1(c2cccc(F)c2)CCC1 ZINC001556466174 1189002061 /nfs/dbraw/zinc/00/20/61/1189002061.db2.gz LRDYGSBQKDUCNF-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)c1c[nH]cc2ncnc1-2 ZINC001556474122 1189002755 /nfs/dbraw/zinc/00/27/55/1189002755.db2.gz ZQKJGIGQUMQWOD-UHFFFAOYSA-N 0 1 306.369 3.176 20 30 DGEDMN C=CC(C)(C)CNC(=O)c1n[nH]c2ccc(Br)cc21 ZINC001556592996 1189008654 /nfs/dbraw/zinc/00/86/54/1189008654.db2.gz LUOXBHXJTSPNSA-UHFFFAOYSA-N 0 1 322.206 3.267 20 30 DGEDMN C#Cc1ccc(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)cc1 ZINC001556921767 1189029037 /nfs/dbraw/zinc/02/90/37/1189029037.db2.gz VUCNBOAKQXPQEE-UHFFFAOYSA-N 0 1 309.756 3.128 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2ccc(F)c(F)c2O)cc1 ZINC001556935260 1189029735 /nfs/dbraw/zinc/02/97/35/1189029735.db2.gz ROHLXBUMIOLTJO-UHFFFAOYSA-N 0 1 319.307 3.416 20 30 DGEDMN CCN(CC[S@@](=O)C(F)(F)F)[C@H](C)c1ccc(C#N)cc1 ZINC001559494986 1189210438 /nfs/dbraw/zinc/21/04/38/1189210438.db2.gz SWBNCWNJIMWQNH-WSVYEEACSA-N 0 1 318.364 3.210 20 30 DGEDMN CC1(C)CN(C[C@H](O)c2cccc(C#N)c2)[C@H]1c1ccncc1 ZINC001560036828 1189271298 /nfs/dbraw/zinc/27/12/98/1189271298.db2.gz NUJPOKYUJQEGCY-ROUUACIJSA-N 0 1 307.397 3.070 20 30 DGEDMN N#Cc1cc(F)ccc1N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001560078410 1189276024 /nfs/dbraw/zinc/27/60/24/1189276024.db2.gz RUNODLUQMQWMJG-CYBMUJFWSA-N 0 1 323.362 3.255 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cccc2[nH]c(=O)oc21 ZINC001560459540 1189330234 /nfs/dbraw/zinc/33/02/34/1189330234.db2.gz BNMNSZPXZIGNTH-OAHLLOKOSA-N 0 1 305.337 3.182 20 30 DGEDMN C#CCN(Cc1ccc(C(F)(F)F)nc1N(C)C)CC1CC1 ZINC001560672283 1189358306 /nfs/dbraw/zinc/35/83/06/1189358306.db2.gz AQMCOBODXLTYLE-UHFFFAOYSA-N 0 1 311.351 3.012 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(CC)C[C@@H]2C)c1 ZINC001562325125 1189479849 /nfs/dbraw/zinc/47/98/49/1189479849.db2.gz PTRVVWPBLOUWNN-HNNXBMFYSA-N 0 1 317.433 3.199 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001565824551 1189676741 /nfs/dbraw/zinc/67/67/41/1189676741.db2.gz JIMBZSFHPFLZBK-SJORKVTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H](F)CC)C[C@H]1c1ccccc1 ZINC001565880975 1189698915 /nfs/dbraw/zinc/69/89/15/1189698915.db2.gz JTWJNTHXNUUWFT-FGTMMUONSA-N 0 1 318.436 3.285 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@H](C)C1CC1 ZINC001565979623 1189709313 /nfs/dbraw/zinc/70/93/13/1189709313.db2.gz YHBUDCQZPFUQSY-WWGRRREGSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C/Cl)[C@@H]2C1(C)C ZINC001565992168 1189710259 /nfs/dbraw/zinc/71/02/59/1189710259.db2.gz DGEBTDHNPTWRIO-WCKKVKJMSA-N 0 1 310.869 3.310 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001566090127 1189732505 /nfs/dbraw/zinc/73/25/05/1189732505.db2.gz BHLSDYWAKNFHBQ-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1cccs1 ZINC001566124144 1189740480 /nfs/dbraw/zinc/74/04/80/1189740480.db2.gz IBMQOMGSASCMCO-HOTGVXAUSA-N 0 1 318.486 3.188 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)CCCC1CC1 ZINC001566483662 1189781188 /nfs/dbraw/zinc/78/11/88/1189781188.db2.gz JCAOLWCCMKLQPJ-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1cc(C)no1 ZINC001566533852 1189794496 /nfs/dbraw/zinc/79/44/96/1189794496.db2.gz PQBSPCFFCSCQBF-NVXWUHKLSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCN(C[C@@H](F)CC)C2)CCCCC1 ZINC001566674771 1189853275 /nfs/dbraw/zinc/85/32/75/1189853275.db2.gz BWFAHXNABBWCQI-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC23CCN(CCF)CC3)CCCCC1 ZINC001566694578 1189862517 /nfs/dbraw/zinc/86/25/17/1189862517.db2.gz KANNESXLIVFFCB-INIZCTEOSA-N 0 1 322.468 3.453 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@H](C)C2CC2)CC1 ZINC001566694537 1189862539 /nfs/dbraw/zinc/86/25/39/1189862539.db2.gz IXNZYCYFXFMOEP-WFASDCNBSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H]2CC=CCC2)CC1 ZINC001566700405 1189864354 /nfs/dbraw/zinc/86/43/54/1189864354.db2.gz ZRJHONQERMGEQC-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@@H](C)SC)C1 ZINC001566736508 1189881795 /nfs/dbraw/zinc/88/17/95/1189881795.db2.gz VLUKDDIRANLFPY-KGLIPLIRSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001566848758 1189921200 /nfs/dbraw/zinc/92/12/00/1189921200.db2.gz XFQVOLMBZZZDEX-UPJWGTAASA-N 0 1 322.399 3.475 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001567004455 1189990458 /nfs/dbraw/zinc/99/04/58/1189990458.db2.gz LZNSYENCGWJSLI-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cccc(Cl)c1F ZINC001567020165 1189997472 /nfs/dbraw/zinc/99/74/72/1189997472.db2.gz NSADWSMKTGYWEI-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN CCCCCC[C@H](O)CCC(=O)Nc1cc(C#N)ccc1O ZINC001567073236 1190021937 /nfs/dbraw/zinc/02/19/37/1190021937.db2.gz QKANLBRNCGJHAY-AWEZNQCLSA-N 0 1 304.390 3.314 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CC)c1ccc(OC)cc1 ZINC001567080045 1190024702 /nfs/dbraw/zinc/02/47/02/1190024702.db2.gz HLAFVXSDUZQHDQ-CJNGLKHVSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC1C2CC3CC(C2)CC1C3 ZINC001567080996 1190025220 /nfs/dbraw/zinc/02/52/20/1190025220.db2.gz YWJSSDGJIFJQRH-XXXXFFMBSA-N 0 1 324.896 3.296 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC001567096985 1190028429 /nfs/dbraw/zinc/02/84/29/1190028429.db2.gz YGYCYUQKHRHEIR-HNNXBMFYSA-N 0 1 306.837 3.387 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001567101605 1190029151 /nfs/dbraw/zinc/02/91/51/1190029151.db2.gz TZOUYAZHMZAHFJ-HIFRSBDPSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H](C)NC/C(Cl)=C\Cl ZINC001567216022 1190075441 /nfs/dbraw/zinc/07/54/41/1190075441.db2.gz LSTQFZKPRBCUJT-LWMMSDEHSA-N 0 1 307.265 3.392 20 30 DGEDMN CN(CCNC(=O)CCC1CCCC1)Cc1ccc(C#N)cc1 ZINC001567269551 1190102425 /nfs/dbraw/zinc/10/24/25/1190102425.db2.gz GPQZQGVWQJDQNA-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2cc(C)ccc2s1 ZINC001567350482 1190145085 /nfs/dbraw/zinc/14/50/85/1190145085.db2.gz AIHQUZHEEOCAGP-UHFFFAOYSA-N 0 1 314.454 3.285 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001567373436 1190158130 /nfs/dbraw/zinc/15/81/30/1190158130.db2.gz IAWJZPYXYQZWGZ-GDBMZVCRSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001567386462 1190164009 /nfs/dbraw/zinc/16/40/09/1190164009.db2.gz HSKOXXXYXXGTTE-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN CCCC(=O)NCCN(CC#Cc1ccc(Cl)cc1)CCC ZINC001567421493 1190181560 /nfs/dbraw/zinc/18/15/60/1190181560.db2.gz VOJMZACWWFMUBH-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(Cc1ccsc1)C1CC1 ZINC001567446550 1190197062 /nfs/dbraw/zinc/19/70/62/1190197062.db2.gz FIERBZWOOMTSEG-UHFFFAOYSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1cccc(F)c1Cl ZINC001567546149 1190216170 /nfs/dbraw/zinc/21/61/70/1190216170.db2.gz DMPOLDOQODGTOI-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCC#Cc2ccccc2)CCCC1 ZINC001567617597 1190226491 /nfs/dbraw/zinc/22/64/91/1190226491.db2.gz XBGXYUKMRRVWNK-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@H](C)NCc2cc(C)on2)CC1 ZINC001567630147 1190230213 /nfs/dbraw/zinc/23/02/13/1190230213.db2.gz LVFDZZQTMFBWTC-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001567682132 1190250156 /nfs/dbraw/zinc/25/01/56/1190250156.db2.gz JKLRGCGOXAPQBT-QZTJIDSGSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccccc2C)C1 ZINC001567691787 1190256261 /nfs/dbraw/zinc/25/62/61/1190256261.db2.gz WRTFRGHLQZKAPO-HNNXBMFYSA-N 0 1 306.837 3.189 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)CC2CC2)C1 ZINC001567745449 1190284249 /nfs/dbraw/zinc/28/42/49/1190284249.db2.gz PIRQWIYWQJEXLN-HXUWFJFHSA-N 0 1 324.468 3.303 20 30 DGEDMN CC(C)(C)CCCN1CC(NC(=O)CC#Cc2ccccc2)C1 ZINC001567819119 1190309430 /nfs/dbraw/zinc/30/94/30/1190309430.db2.gz YNGAWLDEZIJZKY-UHFFFAOYSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001567881400 1190334158 /nfs/dbraw/zinc/33/41/58/1190334158.db2.gz KOZDUBJMQYCDPH-NSHDSACASA-N 0 1 301.390 3.351 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](c2ccc(F)cc2)C(C)C)C1 ZINC001567929228 1190359015 /nfs/dbraw/zinc/35/90/15/1190359015.db2.gz YUHVIRBQHDCYJN-ROUUACIJSA-N 0 1 318.436 3.284 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001567934658 1190362428 /nfs/dbraw/zinc/36/24/28/1190362428.db2.gz XHCXEKWWDMKUAU-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](CC)NCc1cc(F)ccc1F ZINC001567973820 1190384084 /nfs/dbraw/zinc/38/40/84/1190384084.db2.gz MYXKJIXABGDWAJ-MRXNPFEDSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(Cl)cc1C ZINC001567975144 1190384125 /nfs/dbraw/zinc/38/41/25/1190384125.db2.gz KOTZCRPOZJNHKT-ZDUSSCGKSA-N 0 1 315.244 3.499 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2cnoc2C)CCCC1 ZINC001567990501 1190390019 /nfs/dbraw/zinc/39/00/19/1190390019.db2.gz IXYYDBMTVVBABQ-INIZCTEOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1coc2ccccc21 ZINC001567990611 1190390095 /nfs/dbraw/zinc/39/00/95/1190390095.db2.gz LGGVHKIDOPRVBQ-GFCCVEGCSA-N 0 1 306.793 3.283 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CCNCc2ncc(C)o2)C1 ZINC001568065867 1190412686 /nfs/dbraw/zinc/41/26/86/1190412686.db2.gz FUWOTTXJFVQJNQ-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001568109797 1190423149 /nfs/dbraw/zinc/42/31/49/1190423149.db2.gz IBEXKGNYHRWFKI-MPGHIAIKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)CC2CCC2)cc1 ZINC001568117032 1190426552 /nfs/dbraw/zinc/42/65/52/1190426552.db2.gz YBTGKCZWRIDTIX-UHFFFAOYSA-N 0 1 306.837 3.335 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C12CCC(CC1)CC2 ZINC001568151998 1190436120 /nfs/dbraw/zinc/43/61/20/1190436120.db2.gz ZNOVSTHOGINAQR-ISXOHVOVSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cnc2ccsc2c1 ZINC001568291149 1190474795 /nfs/dbraw/zinc/47/47/95/1190474795.db2.gz CPENRKZRPNFXIP-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN Cc1ccc(CN(C)CCN(C)C(=O)C#CC(C)(C)C)cc1F ZINC001568480535 1190538369 /nfs/dbraw/zinc/53/83/69/1190538369.db2.gz KBOMALQBHIZBEQ-UHFFFAOYSA-N 0 1 318.436 3.074 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3[C@@H](CCN3CC(=C)Cl)C2)CCC1 ZINC001568515994 1190556396 /nfs/dbraw/zinc/55/63/96/1190556396.db2.gz RTGXPSKTMUJNNK-HOTGVXAUSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)CC1(c2ccccc2)CC1 ZINC001568860421 1190595617 /nfs/dbraw/zinc/59/56/17/1190595617.db2.gz NXWZMRZJULLZPQ-AATRIKPKSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1cccc(F)c1F ZINC001568914706 1190599054 /nfs/dbraw/zinc/59/90/54/1190599054.db2.gz ZTKTWIJBJPBRCE-DKFQHHCZSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1cccc(F)c1F ZINC001568914707 1190599382 /nfs/dbraw/zinc/59/93/82/1190599382.db2.gz ZTKTWIJBJPBRCE-HPFMTEOYSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001568913916 1190599604 /nfs/dbraw/zinc/59/96/04/1190599604.db2.gz NQBWGXUZPKWGHK-QULHZPMSSA-N 0 1 318.436 3.498 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001568934424 1190601693 /nfs/dbraw/zinc/60/16/93/1190601693.db2.gz RADXIGMTTQDXOL-NCRJZKAISA-N 0 1 300.874 3.319 20 30 DGEDMN C[C@@H](NC[C@H](C)NC(=O)C#CC(C)(C)C)c1cc(F)ccc1F ZINC001569056282 1190614777 /nfs/dbraw/zinc/61/47/77/1190614777.db2.gz AQXJSSFIDYWLGS-QWHCGFSZSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001569134926 1190636224 /nfs/dbraw/zinc/63/62/24/1190636224.db2.gz NMCZVQUYDGPPMJ-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C(C)(C)c1ccccc1Cl ZINC001569146816 1190641923 /nfs/dbraw/zinc/64/19/23/1190641923.db2.gz LVWDMWYGQLHOCR-CQSZACIVSA-N 0 1 320.864 3.077 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001569371344 1190679294 /nfs/dbraw/zinc/67/92/94/1190679294.db2.gz VPJBZPTWHGVJPO-SFHVURJKSA-N 0 1 323.440 3.052 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1cccc2cccnc21 ZINC001569372234 1190681042 /nfs/dbraw/zinc/68/10/42/1190681042.db2.gz ZQJHFRXAJCFSOK-GOSISDBHSA-N 0 1 323.440 3.282 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001569401999 1190691681 /nfs/dbraw/zinc/69/16/81/1190691681.db2.gz NOGXASZIMVTYIY-UHFFFAOYSA-N 0 1 318.436 3.475 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(F)c2Cl)CC1 ZINC001569419641 1190694843 /nfs/dbraw/zinc/69/48/43/1190694843.db2.gz LUHHDRNUBCTWKG-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001569499584 1190709030 /nfs/dbraw/zinc/70/90/30/1190709030.db2.gz ISTBWOPDSSLFTI-HNNXBMFYSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001569504644 1190709883 /nfs/dbraw/zinc/70/98/83/1190709883.db2.gz RAQIURKKUAOJEQ-QWHCGFSZSA-N 0 1 320.399 3.462 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](C=C)c2ccccc2)C1 ZINC001569507137 1190710397 /nfs/dbraw/zinc/71/03/97/1190710397.db2.gz HDVFEIIHJGQSQH-VQIMIIECSA-N 0 1 312.457 3.454 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(C[C@H](C)CC)C2)CCCCC1 ZINC001569508226 1190710433 /nfs/dbraw/zinc/71/04/33/1190710433.db2.gz VBEJKUFSGAMNFP-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001569549449 1190715682 /nfs/dbraw/zinc/71/56/82/1190715682.db2.gz WXHDYDSXEDFBNS-JONQDZQNSA-N 0 1 310.869 3.146 20 30 DGEDMN C[C@H](C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001569570386 1190718420 /nfs/dbraw/zinc/71/84/20/1190718420.db2.gz QCJKGOJTAKJANJ-KRWDZBQOSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)C1CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001569589093 1190720316 /nfs/dbraw/zinc/72/03/16/1190720316.db2.gz ZBFYJBCHWPCSIV-RDJZCZTQSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)C=C(CC)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001569586277 1190720554 /nfs/dbraw/zinc/72/05/54/1190720554.db2.gz DCQRAQBVCILWPR-QGZVFWFLSA-N 0 1 322.468 3.407 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2ncccc2C)C1 ZINC001569647022 1190731983 /nfs/dbraw/zinc/73/19/83/1190731983.db2.gz WUFAYBCRWVWWBW-VQIMIIECSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CCCN(Cc2scnc2C)C1 ZINC001569712428 1190746759 /nfs/dbraw/zinc/74/67/59/1190746759.db2.gz VTTVOMNUWGJXMD-KRWDZBQOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C=C2CCCCC2)C1 ZINC001569736873 1190751710 /nfs/dbraw/zinc/75/17/10/1190751710.db2.gz HARAKEMMPNSHAB-OAHLLOKOSA-N 0 1 312.404 3.136 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](CC)CCC)C1 ZINC001569740396 1190752947 /nfs/dbraw/zinc/75/29/47/1190752947.db2.gz BQUJLSHCSUMDMR-UONOGXRCSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CCC1(C)C)c1ccccc1CC ZINC001569802218 1190756019 /nfs/dbraw/zinc/75/60/19/1190756019.db2.gz QRVNGQSQORGBKI-QZTJIDSGSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H](F)CC ZINC001569870375 1190767482 /nfs/dbraw/zinc/76/74/82/1190767482.db2.gz USMHQXCRBCPVOY-OAHLLOKOSA-N 0 1 312.816 3.105 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)CCCCC ZINC001569914636 1190773389 /nfs/dbraw/zinc/77/33/89/1190773389.db2.gz IBQRSCHBVZZCSE-IAGOWNOFSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](CCNC/C(Cl)=C/Cl)C1 ZINC001569993878 1190779641 /nfs/dbraw/zinc/77/96/41/1190779641.db2.gz BMAPNBXIBQHHIS-VWLVURMCSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)COC(C)C)cc1 ZINC001570030323 1190784762 /nfs/dbraw/zinc/78/47/62/1190784762.db2.gz RYMGSZFYZVRBKC-AWEZNQCLSA-N 0 1 324.852 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1CCC(F)(F)C1 ZINC001570085494 1190792940 /nfs/dbraw/zinc/79/29/40/1190792940.db2.gz MEIDFTHLGPXETF-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cc(O)ccc2Cl)CCC1 ZINC001570099572 1190794820 /nfs/dbraw/zinc/79/48/20/1190794820.db2.gz UMMKPQJVTPRALR-UHFFFAOYSA-N 0 1 322.836 3.140 20 30 DGEDMN CC1(C)CC(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C1 ZINC001570102600 1190796223 /nfs/dbraw/zinc/79/62/23/1190796223.db2.gz JYXKOKWVNXQDCR-UHFFFAOYSA-N 0 1 324.468 3.103 20 30 DGEDMN N#Cc1sccc1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC001570104449 1190796672 /nfs/dbraw/zinc/79/66/72/1190796672.db2.gz ISIDIBZBCVUHOB-UHFFFAOYSA-N 0 1 316.773 3.079 20 30 DGEDMN CC[C@H](C)C(=O)N(C)CCCN(C)Cc1ccc(C#N)cc1F ZINC001570190246 1190813152 /nfs/dbraw/zinc/81/31/52/1190813152.db2.gz FDVKGQMKNQJKBO-AWEZNQCLSA-N 0 1 319.424 3.024 20 30 DGEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)C(CC)(CC)CC)O2 ZINC001570235947 1190826962 /nfs/dbraw/zinc/82/69/62/1190826962.db2.gz KLPQJYWXZIMULM-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001570281034 1190836398 /nfs/dbraw/zinc/83/63/98/1190836398.db2.gz NFPLMOCHRIHGOC-GDBMZVCRSA-N 0 1 323.440 3.452 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC001570399186 1190853514 /nfs/dbraw/zinc/85/35/14/1190853514.db2.gz AFMQZYGLABKYEL-SJLPKXTDSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1(C)CC(=C)C1 ZINC001570478096 1190867432 /nfs/dbraw/zinc/86/74/32/1190867432.db2.gz IOQZRAZPOYEOGT-IBGZPJMESA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001570478381 1190867614 /nfs/dbraw/zinc/86/76/14/1190867614.db2.gz OXNIDTRMDQNTOT-KRWDZBQOSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1(C)CC(=C)C1 ZINC001570478097 1190868198 /nfs/dbraw/zinc/86/81/98/1190868198.db2.gz IOQZRAZPOYEOGT-LJQANCHMSA-N 0 1 322.452 3.082 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H]2CN(C)C[C@H](F)CC)CC1 ZINC001570541087 1190881269 /nfs/dbraw/zinc/88/12/69/1190881269.db2.gz XCEJACCGWCXXEL-IAGOWNOFSA-N 0 1 310.457 3.404 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1sccc1C(C)C ZINC001570549586 1190886444 /nfs/dbraw/zinc/88/64/44/1190886444.db2.gz ZWIUXYUVJBPOTF-AWEZNQCLSA-N 0 1 304.459 3.041 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C/C=C/Cc1ccccc1 ZINC001570610779 1190900866 /nfs/dbraw/zinc/90/08/66/1190900866.db2.gz KJQCPGIGMUCQAN-KJMJWJSPSA-N 0 1 320.864 3.411 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1c(C)noc1CC ZINC001570636909 1190907526 /nfs/dbraw/zinc/90/75/26/1190907526.db2.gz OVUYAWBJUMYKFD-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1cc(C)cc(C)c1 ZINC001570640562 1190908184 /nfs/dbraw/zinc/90/81/84/1190908184.db2.gz CQLZDPHVNVQHFX-HZPDHXFCSA-N 0 1 322.880 3.471 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCCN1Cc1cc(C)ns1 ZINC001570818161 1190957086 /nfs/dbraw/zinc/95/70/86/1190957086.db2.gz MVFCRPDZPLETGI-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@@H](C)CCCCCC)C(C)(C)C1 ZINC001570907982 1190974799 /nfs/dbraw/zinc/97/47/99/1190974799.db2.gz RYVSDKCCSYIJEF-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C(CCC)CCC)[C@@H]2C1 ZINC001570953203 1190977473 /nfs/dbraw/zinc/97/74/73/1190977473.db2.gz YUOIHIXLUFZSBB-PHZGNYQRSA-N 0 1 312.885 3.249 20 30 DGEDMN Cc1cccc(C(=O)N(C)C[C@@H](C)NCc2ccccc2C#N)c1 ZINC001571376358 1191007174 /nfs/dbraw/zinc/00/71/74/1191007174.db2.gz PUBRYUGXKBFAKE-MRXNPFEDSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1oc(C(F)F)cc1C ZINC001571392271 1191012123 /nfs/dbraw/zinc/01/21/23/1191012123.db2.gz SIWSTMVLPCHMKZ-JTQLQIEISA-N 0 1 320.767 3.328 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2nc(C)c(C)s2)C1 ZINC001571405136 1191016259 /nfs/dbraw/zinc/01/62/59/1191016259.db2.gz ZICVQISXERWKBV-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1csc(C(C)C)n1 ZINC001571409177 1191017454 /nfs/dbraw/zinc/01/74/54/1191017454.db2.gz HJKOIQFLIGOJMG-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN CCCCC(=O)N[C@@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001571495984 1191032190 /nfs/dbraw/zinc/03/21/90/1191032190.db2.gz YECATMNKSDHBJG-PZJWPPBQSA-N 0 1 324.468 3.342 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(F)CCCC1)C(C)(C)C ZINC001571627520 1191067767 /nfs/dbraw/zinc/06/77/67/1191067767.db2.gz VMCOKYHIRSNFDN-LBPRGKRZSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(CC)CCC1)C(C)(C)C ZINC001571631508 1191069119 /nfs/dbraw/zinc/06/91/19/1191069119.db2.gz ZUNCBLACHHQDFE-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CC)CC2CCCC2)CC1 ZINC001571695842 1191084839 /nfs/dbraw/zinc/08/48/39/1191084839.db2.gz BTAKSJLJXCLWIT-IBGZPJMESA-N 0 1 316.489 3.365 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@@H](CCC)c2c[nH]nn2)c(Cl)c1 ZINC001575032870 1191463376 /nfs/dbraw/zinc/46/33/76/1191463376.db2.gz PODVXJWKBGIETQ-ZDUSSCGKSA-N 0 1 317.780 3.102 20 30 DGEDMN C=C1CCC(CNC(=O)C2(Cc3c[nH]nn3)CCCCC2)CC1 ZINC001575062485 1191464208 /nfs/dbraw/zinc/46/42/08/1191464208.db2.gz SOGAJEWGMIVZQK-UHFFFAOYSA-N 0 1 316.449 3.160 20 30 DGEDMN C=C1CCC(CNC(=O)C2(Cc3cnn[nH]3)CCCCC2)CC1 ZINC001575062485 1191464210 /nfs/dbraw/zinc/46/42/10/1191464210.db2.gz SOGAJEWGMIVZQK-UHFFFAOYSA-N 0 1 316.449 3.160 20 30 DGEDMN CC(C)(C#N)CCN1CCOC[C@@H]1C[C@@H]1CNc2ccccc21 ZINC001575083632 1191465710 /nfs/dbraw/zinc/46/57/10/1191465710.db2.gz ZWGBQOKFAQZMEH-CVEARBPZSA-N 0 1 313.445 3.226 20 30 DGEDMN CCCCCCCCNC(=O)N1CCC[C@H](Cc2c[nH]nn2)C1 ZINC001576395904 1191584078 /nfs/dbraw/zinc/58/40/78/1191584078.db2.gz SZNQEWYPFDXWKW-OAHLLOKOSA-N 0 1 321.469 3.129 20 30 DGEDMN CCCCCCCCNC(=O)N1CCC[C@H](Cc2cnn[nH]2)C1 ZINC001576395904 1191584083 /nfs/dbraw/zinc/58/40/83/1191584083.db2.gz SZNQEWYPFDXWKW-OAHLLOKOSA-N 0 1 321.469 3.129 20 30 DGEDMN C#CCCCCCCN1CC2(CCC2)[C@@](F)(C(=O)OCC)C1 ZINC001620412573 1193767099 /nfs/dbraw/zinc/76/70/99/1193767099.db2.gz VVJVWHIBRZWVPY-SFHVURJKSA-N 0 1 309.425 3.327 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(C[C@H]1CCC3(CCCC3)O1)C2 ZINC001620643053 1193785551 /nfs/dbraw/zinc/78/55/51/1193785551.db2.gz UBUMSSCHUPHPGP-GFCCVEGCSA-N 0 1 317.458 3.052 20 30 DGEDMN Cc1cccc(O[C@@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001623604519 1193941058 /nfs/dbraw/zinc/94/10/58/1193941058.db2.gz IVPNRBXGGJWFJH-LBPRGKRZSA-N 0 1 320.352 3.149 20 30 DGEDMN COc1ccccc1C(F)(F)C(=O)Nc1cc(C#N)ccc1O ZINC001624288252 1193991408 /nfs/dbraw/zinc/99/14/08/1193991408.db2.gz ZFMBLMKXJQFCQM-UHFFFAOYSA-N 0 1 318.279 3.003 20 30 DGEDMN C=CC(C)(C)C(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001624764502 1194013667 /nfs/dbraw/zinc/01/36/67/1194013667.db2.gz NRJLLWVYIYGLSD-UHFFFAOYSA-N 0 1 307.357 3.171 20 30 DGEDMN N#C[C@H]1C[C@H]1C(=O)Nc1c(C2CC2)n[nH]c1-c1cccc(F)c1 ZINC001626238883 1194068102 /nfs/dbraw/zinc/06/81/02/1194068102.db2.gz IXXWPYPORYKVKQ-DGCLKSJQSA-N 0 1 310.332 3.191 20 30 DGEDMN N#CCCN(C(=O)c1cccc(F)c1O)c1ccc(F)cc1 ZINC001628161034 1194163954 /nfs/dbraw/zinc/16/39/54/1194163954.db2.gz CWGFLNSQJKEWMD-UHFFFAOYSA-N 0 1 302.280 3.231 20 30 DGEDMN C[C@@H](C#N)N(C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)C1CC1 ZINC001628756184 1194194875 /nfs/dbraw/zinc/19/48/75/1194194875.db2.gz IXRHSKFLKGTOKI-JTQLQIEISA-N 0 1 314.776 3.247 20 30 DGEDMN C=CC1(CC(=O)Nc2c(C(C)C)n[nH]c2C(N)=O)CCCCC1 ZINC001629830389 1194254604 /nfs/dbraw/zinc/25/46/04/1194254604.db2.gz ZLTSJAAHIIADPO-UHFFFAOYSA-N 0 1 318.421 3.097 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3ccc4c(C#N)c[nH]c4c3)cc2[nH]1 ZINC001630112928 1194269335 /nfs/dbraw/zinc/26/93/35/1194269335.db2.gz BKSOOVDRYWFJLS-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccccc2-n2cccc2)c1 ZINC001633077524 1194419980 /nfs/dbraw/zinc/41/99/80/1194419980.db2.gz WLCKWTYTJQQDCJ-UHFFFAOYSA-N 0 1 303.321 3.307 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Cl)c(Cl)cc2N)c1 ZINC001633077577 1194420337 /nfs/dbraw/zinc/42/03/37/1194420337.db2.gz YKQQISTVTWHMBL-UHFFFAOYSA-N 0 1 322.151 3.405 20 30 DGEDMN CC(C)(C)C1CCC(C#N)(NC(=O)CCN2CC[C@@H](F)C2)CC1 ZINC001633546483 1194442584 /nfs/dbraw/zinc/44/25/84/1194442584.db2.gz AMCIOFXOJIFRNS-SWKXRBFHSA-N 0 1 323.456 3.035 20 30 DGEDMN C=C(C)[C@H](NC(=O)[C@@H]1CCCCN1C)c1ccc(F)c(F)c1 ZINC001634453672 1194495033 /nfs/dbraw/zinc/49/50/33/1194495033.db2.gz NIPZUNVAQQQUAA-HOTGVXAUSA-N 0 1 308.372 3.183 20 30 DGEDMN C[C@H](Cn1ccc2ccccc21)C(=O)NOc1cccc(C#N)c1 ZINC001635511142 1194555305 /nfs/dbraw/zinc/55/53/05/1194555305.db2.gz SPIJMCRHCXEHHU-CQSZACIVSA-N 0 1 319.364 3.259 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2cc(F)ccc2F)c1 ZINC001635506801 1194555901 /nfs/dbraw/zinc/55/59/01/1194555901.db2.gz UPDJLYFSKCZUNG-DZGCQCFKSA-N 0 1 314.291 3.050 20 30 DGEDMN N#Cc1cc(NC(=O)Cc2n[nH]c3ccccc32)ccc1C1CC1 ZINC001636742180 1194630893 /nfs/dbraw/zinc/63/08/93/1194630893.db2.gz UQUUZUSMCOPMGP-UHFFFAOYSA-N 0 1 316.364 3.493 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(F)cc1O)c1ccc(Cl)cc1F ZINC001638680041 1194731235 /nfs/dbraw/zinc/73/12/35/1194731235.db2.gz JXBCVWNYRGCEIO-AWEZNQCLSA-N 0 1 321.710 3.428 20 30 DGEDMN C=C[C@@](C)(O)C(=O)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC001638668858 1194731626 /nfs/dbraw/zinc/73/16/26/1194731626.db2.gz NYTHFAHJWXOGTF-GOSISDBHSA-N 0 1 307.353 3.105 20 30 DGEDMN CCC(C)(C)N1CCN(C(=O)c2c(C)cc(C#N)cc2C)CC1 ZINC001638860458 1194741453 /nfs/dbraw/zinc/74/14/53/1194741453.db2.gz OAHRENKWWCOERT-UHFFFAOYSA-N 0 1 313.445 3.122 20 30 DGEDMN C=CCC1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CCC1 ZINC001639135856 1194752792 /nfs/dbraw/zinc/75/27/92/1194752792.db2.gz RGBYHOGVRFCFIK-UHFFFAOYSA-N 0 1 307.357 3.028 20 30 DGEDMN Cc1cc(NC[C@H](c2ccco2)N2CCCCC2)ncc1C#N ZINC001639150963 1194753163 /nfs/dbraw/zinc/75/31/63/1194753163.db2.gz MOTXLFBKHJRTLC-MRXNPFEDSA-N 0 1 310.401 3.494 20 30 DGEDMN C=C[C@H](CC(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1)c1ccccc1 ZINC001639405496 1194763955 /nfs/dbraw/zinc/76/39/55/1194763955.db2.gz FAQXQVWMZRIMNN-IXDOHACOSA-N 0 1 300.446 3.287 20 30 DGEDMN Cc1ccnc(N2CCN([C@H](C)c3ccccc3)CC2)c1C#N ZINC001640335766 1194807210 /nfs/dbraw/zinc/80/72/10/1194807210.db2.gz JGUIHBONBNMHCW-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)c1cc(C)c(C#N)c(C)c1 ZINC001640545378 1194819186 /nfs/dbraw/zinc/81/91/86/1194819186.db2.gz QERNZRGDPWTGLA-UHFFFAOYSA-N 0 1 305.341 3.007 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)[C@H](c2ccccc2)N(C)CC)C1 ZINC001641029897 1194836718 /nfs/dbraw/zinc/83/67/18/1194836718.db2.gz MWXSOLUBDSQBQZ-WMZOPIPTSA-N 0 1 300.446 3.494 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2ccccc2F)CC1 ZINC001642004236 1194883753 /nfs/dbraw/zinc/88/37/53/1194883753.db2.gz UQKHGLJMDNJVGI-QGZVFWFLSA-N 0 1 305.393 3.198 20 30 DGEDMN C#CCC1(C(=O)N2CCN[C@@H](Cc3ccccc3)C2)CCCCC1 ZINC001642332905 1194902636 /nfs/dbraw/zinc/90/26/36/1194902636.db2.gz KIIXBDCVJOSKDC-IBGZPJMESA-N 0 1 324.468 3.003 20 30 DGEDMN COC[C@H](NCc1ccc(OCC#N)cc1)c1ccc(F)cc1 ZINC001642433020 1194910312 /nfs/dbraw/zinc/91/03/12/1194910312.db2.gz CQEAZCBCSRKWQE-SFHVURJKSA-N 0 1 314.360 3.205 20 30 DGEDMN CC(C)C(=O)c1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1 ZINC001644035476 1195075199 /nfs/dbraw/zinc/07/51/99/1195075199.db2.gz VJXAZALDSTYTOT-UHFFFAOYSA-N 0 1 308.337 3.355 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCCC[C@H]2c2cc(C)[nH]n2)cc1 ZINC001645509909 1195189897 /nfs/dbraw/zinc/18/98/97/1195189897.db2.gz LAOXXNLNXGXQEX-IBGZPJMESA-N 0 1 321.424 3.386 20 30 DGEDMN N#CCSc1cccc(C(=O)Nc2ccc3cncn3c2)c1 ZINC001645631624 1195195779 /nfs/dbraw/zinc/19/57/79/1195195779.db2.gz DLFCWHYUFLSIBH-UHFFFAOYSA-N 0 1 308.366 3.202 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@@H](C)C1=NN(C)CC1=O)c1ccccc1 ZINC001646316775 1195221719 /nfs/dbraw/zinc/22/17/19/1195221719.db2.gz GXWQJKAIULIRKJ-ZFWWWQNUSA-N 0 1 313.401 3.053 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CC[C@@H](C3CCCCC3)C2)c1 ZINC001647128248 1195245603 /nfs/dbraw/zinc/24/56/03/1195245603.db2.gz JYDOMSGSHBORKF-QGZVFWFLSA-N 0 1 311.429 3.399 20 30 DGEDMN N#Cc1cccc(ONC(=O)c2ccc3ccccc3c2O)c1 ZINC001648726281 1195326621 /nfs/dbraw/zinc/32/66/21/1195326621.db2.gz IMHAOQSZVPPOCC-UHFFFAOYSA-N 0 1 304.305 3.141 20 30 DGEDMN C=Cc1ccc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)cc1 ZINC001651271310 1195436080 /nfs/dbraw/zinc/43/60/80/1195436080.db2.gz SGAGOECBXHXWSB-UHFFFAOYSA-N 0 1 315.336 3.239 20 30 DGEDMN C[C@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1cccs1 ZINC001651270561 1195436128 /nfs/dbraw/zinc/43/61/28/1195436128.db2.gz GPWWRTYZSGJONS-JTQLQIEISA-N 0 1 323.381 3.147 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CC(N(C)Cc2ccccc2)C1 ZINC001652623270 1195627498 /nfs/dbraw/zinc/62/74/98/1195627498.db2.gz NVNORLPJZRLZNF-UHFFFAOYSA-N 0 1 319.452 3.491 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@]2(CCCc3ccccc32)C1 ZINC001652646050 1195631107 /nfs/dbraw/zinc/63/11/07/1195631107.db2.gz KEAIZRJXLAUKER-ICSRJNTNSA-N 0 1 313.441 3.474 20 30 DGEDMN C=CC1(CC(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)CCCCC1 ZINC001652737058 1195643471 /nfs/dbraw/zinc/64/34/71/1195643471.db2.gz RTYJEZQHCPSGAL-UHFFFAOYSA-N 0 1 319.405 3.440 20 30 DGEDMN COc1ccc(C#N)cc1CN(CCO)[C@H](C)c1ccccc1 ZINC001652943947 1195674040 /nfs/dbraw/zinc/67/40/40/1195674040.db2.gz HHEHUSGCECEBSB-OAHLLOKOSA-N 0 1 310.397 3.122 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)c3cccc4nc[nH]c43)cc21 ZINC001652964529 1195675974 /nfs/dbraw/zinc/67/59/74/1195675974.db2.gz NTBTUFGUTYJGJU-UHFFFAOYSA-N 0 1 317.352 3.351 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CCc3c(F)cccc3C2)cc1 ZINC001653808666 1195751730 /nfs/dbraw/zinc/75/17/30/1195751730.db2.gz VCNWVYVRXJORRW-UHFFFAOYSA-N 0 1 322.383 3.194 20 30 DGEDMN C#CCN(Cc1ccccc1)Cc1cc2ccccc2n(C)c1=O ZINC001654394748 1195799197 /nfs/dbraw/zinc/79/91/97/1195799197.db2.gz OUILDWDLLMBSIE-UHFFFAOYSA-N 0 1 316.404 3.174 20 30 DGEDMN N#Cc1ccnc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC001654507394 1195811305 /nfs/dbraw/zinc/81/13/05/1195811305.db2.gz PFQRGEGBXDDEAX-SJORKVTESA-N 0 1 313.788 3.226 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2cccc(C#N)c2)C[C@@H]1C(=O)OC(C)(C)C ZINC001654847182 1195846599 /nfs/dbraw/zinc/84/65/99/1195846599.db2.gz DVEITTXIEZCXJH-YOEHRIQHSA-N 0 1 314.429 3.358 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC001654839982 1195846804 /nfs/dbraw/zinc/84/68/04/1195846804.db2.gz ZBPCDQMZRYQDFO-AWEZNQCLSA-N 0 1 310.397 3.407 20 30 DGEDMN N#Cc1cccc(CN[C@H](CO)c2ccccc2C(F)(F)F)c1 ZINC001654885766 1195851513 /nfs/dbraw/zinc/85/15/13/1195851513.db2.gz QMLZQWVSGQBHLM-MRXNPFEDSA-N 0 1 320.314 3.400 20 30 DGEDMN C=C(C)CN(C)CC(=O)N(C)CC12CC3CC(CC(C3)C1)C2 ZINC001655131097 1195881338 /nfs/dbraw/zinc/88/13/38/1195881338.db2.gz ZWFSNLRRWCZJOR-UHFFFAOYSA-N 0 1 304.478 3.169 20 30 DGEDMN CN(CC[C@H]1CCCCN1CC1(C#N)CC1)C(=O)OC(C)(C)C ZINC001655148171 1195882154 /nfs/dbraw/zinc/88/21/54/1195882154.db2.gz JSGWENAWQNKBIT-OAHLLOKOSA-N 0 1 321.465 3.402 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(CC)n[nH]2)cc1Cl ZINC001655603041 1195938415 /nfs/dbraw/zinc/93/84/15/1195938415.db2.gz AFXWHCYBPPMBKA-UHFFFAOYSA-N 0 1 305.809 3.480 20 30 DGEDMN C=CCOc1ccc(CNCc2cc(CC)[nH]n2)cc1Cl ZINC001655603041 1195938417 /nfs/dbraw/zinc/93/84/17/1195938417.db2.gz AFXWHCYBPPMBKA-UHFFFAOYSA-N 0 1 305.809 3.480 20 30 DGEDMN CCc1ccc(CN2CCN(c3ccc(C#N)c(C)n3)CC2)cc1 ZINC001655804037 1195965057 /nfs/dbraw/zinc/96/50/57/1195965057.db2.gz GQUIHQUVVFQDCN-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN C#CCCOC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC001655868931 1195971325 /nfs/dbraw/zinc/97/13/25/1195971325.db2.gz UGLMIUKXNAMSAJ-YESZJQIVSA-N 0 1 314.429 3.130 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@H]2CCN2CCOCCCC)CC1 ZINC001656088848 1195998091 /nfs/dbraw/zinc/99/80/91/1195998091.db2.gz OSFDFAYKIQJNQY-GOSISDBHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H]1CCc2ccccc21 ZINC001656096518 1196000658 /nfs/dbraw/zinc/00/06/58/1196000658.db2.gz BULHURSTIZFVJI-MSOLQXFVSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001656741690 1196067571 /nfs/dbraw/zinc/06/75/71/1196067571.db2.gz YXQXHXQHAJWPRB-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(CCc2c(F)cccc2F)[C@@H]1C ZINC001656928797 1196084519 /nfs/dbraw/zinc/08/45/19/1196084519.db2.gz WVICZNZQBOGOOB-DYVFJYSZSA-N 0 1 322.399 3.053 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@H]1CC2(CCC2)CO1 ZINC001656985577 1196089613 /nfs/dbraw/zinc/08/96/13/1196089613.db2.gz HFQZPOHFEHEXRN-CQSZACIVSA-N 0 1 319.832 3.401 20 30 DGEDMN Cc1cc(CNCc2cn(C)nc2-c2ccc(C#N)cc2)c(C)o1 ZINC001657148820 1196111341 /nfs/dbraw/zinc/11/13/41/1196111341.db2.gz AYJYKKDLMJWXOQ-UHFFFAOYSA-N 0 1 320.396 3.458 20 30 DGEDMN C=CC[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccncc1 ZINC001657237610 1196121914 /nfs/dbraw/zinc/12/19/14/1196121914.db2.gz WJNMINHDVNEAEG-INIZCTEOSA-N 0 1 305.385 3.274 20 30 DGEDMN CCOC(=O)Nc1ccc(NCc2n[nH]c(C)c2C)cc1C#N ZINC001658088452 1196211029 /nfs/dbraw/zinc/21/10/29/1196211029.db2.gz ACCRTPAUWYJSEO-UHFFFAOYSA-N 0 1 313.361 3.079 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](O)CC(C)(C)C1 ZINC001658110724 1196213475 /nfs/dbraw/zinc/21/34/75/1196213475.db2.gz CWIILHDJTUSIOO-CQSZACIVSA-N 0 1 321.848 3.335 20 30 DGEDMN CN(C)c1ccccc1CN(C)Cc1cc(C#N)ccc1N(C)C ZINC001658237424 1196228486 /nfs/dbraw/zinc/22/84/86/1196228486.db2.gz KEUFQJADTMRPQK-UHFFFAOYSA-N 0 1 322.456 3.322 20 30 DGEDMN CCC#C[C@H](C)N1CCN(c2cccc(Br)c2)CC1 ZINC001658612001 1196280684 /nfs/dbraw/zinc/28/06/84/1196280684.db2.gz FQAPTEHZRZHBQY-AWEZNQCLSA-N 0 1 321.262 3.373 20 30 DGEDMN Cc1noc(-c2ccc(CNCC#Cc3ccccc3)cc2)n1 ZINC001658753289 1196296884 /nfs/dbraw/zinc/29/68/84/1196296884.db2.gz PMNIAZZYHSTBIS-UHFFFAOYSA-N 0 1 303.365 3.186 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCOc3ccc(F)cc32)cc1F ZINC001658871592 1196312357 /nfs/dbraw/zinc/31/23/57/1196312357.db2.gz KGGSHJCZOWQLMM-INIZCTEOSA-N 0 1 300.308 3.450 20 30 DGEDMN CCO[C@@H]1C[C@H](NCC#Cc2ccccc2)[C@H]1Oc1ccccc1 ZINC001658921040 1196319289 /nfs/dbraw/zinc/31/92/89/1196319289.db2.gz AIFZGNXHJROYML-PWRODBHTSA-N 0 1 321.420 3.253 20 30 DGEDMN Cc1cccc2oc(CCNCc3cccc(F)c3C#N)nc21 ZINC001658984933 1196328665 /nfs/dbraw/zinc/32/86/65/1196328665.db2.gz WQUSKMRAZPOYDM-UHFFFAOYSA-N 0 1 309.344 3.479 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cc(O)ccc1[N+](=O)[O-] ZINC001659101014 1196344009 /nfs/dbraw/zinc/34/40/09/1196344009.db2.gz ZFNKYKLHZSPHIN-GFCCVEGCSA-N 0 1 311.341 3.365 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@H](C)C1 ZINC001659096343 1196344713 /nfs/dbraw/zinc/34/47/13/1196344713.db2.gz JGHBCEYVZSTVNM-VXGBXAGGSA-N 0 1 305.809 3.271 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001660116311 1196447828 /nfs/dbraw/zinc/44/78/28/1196447828.db2.gz IZAQWRCVTOSRSZ-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1c(C)nsc1C ZINC001660159076 1196452869 /nfs/dbraw/zinc/45/28/69/1196452869.db2.gz UKPADPUZRXOYMD-UHFFFAOYSA-N 0 1 309.479 3.054 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1nccs1 ZINC001660446190 1196484620 /nfs/dbraw/zinc/48/46/20/1196484620.db2.gz FLOHBJZBRWKBHR-HUUCEWRRSA-N 0 1 321.490 3.359 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1cnc(C)o1 ZINC001660448469 1196485166 /nfs/dbraw/zinc/48/51/66/1196485166.db2.gz YSSNKKSOLUINIM-WMLDXEAASA-N 0 1 319.449 3.199 20 30 DGEDMN C=CC[C@H]1CCN1CC(=O)NCC1(c2ccccc2)CCCC1 ZINC001660523033 1196493646 /nfs/dbraw/zinc/49/36/46/1196493646.db2.gz XUCJUPBOEOKFPU-SFHVURJKSA-N 0 1 312.457 3.265 20 30 DGEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1cc(F)cc(F)c1[O-] ZINC001660534222 1196495132 /nfs/dbraw/zinc/49/51/32/1196495132.db2.gz NEKLTIPDXBHWKJ-UHFFFAOYSA-N 0 1 302.324 3.217 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001661156315 1196573452 /nfs/dbraw/zinc/57/34/52/1196573452.db2.gz FEXSWLXJZNUHLE-YTRUQHMWSA-N 0 1 305.249 3.002 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1nc(C)cs1)C1CC1 ZINC001661172852 1196575973 /nfs/dbraw/zinc/57/59/73/1196575973.db2.gz TVYJPPDWMYZKIN-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(C(F)F)o1)C1CC1 ZINC001661187436 1196577693 /nfs/dbraw/zinc/57/76/93/1196577693.db2.gz IHZYOQQGONHVSC-JTQLQIEISA-N 0 1 318.751 3.068 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001661339296 1196595918 /nfs/dbraw/zinc/59/59/18/1196595918.db2.gz YGXWDPYLHAIOEW-ZFWWWQNUSA-N 0 1 321.852 3.028 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CCCC1CCCCC1 ZINC001661890144 1196659728 /nfs/dbraw/zinc/65/97/28/1196659728.db2.gz BLTAHQFGDVZCKX-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2nc(C)c(C)s2)CCC1 ZINC001662328516 1196708355 /nfs/dbraw/zinc/70/83/55/1196708355.db2.gz CJJMPAUBOGIRRC-LBPRGKRZSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CCc1cc(CNc2cccc3[nH]nnc32)cc(OC)c1O ZINC001662483406 1196724403 /nfs/dbraw/zinc/72/44/03/1196724403.db2.gz ISHBEARVWSBMPC-UHFFFAOYSA-N 0 1 310.357 3.013 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](N(C)C/C=C\Cl)C2)CCC1 ZINC001662862501 1196763935 /nfs/dbraw/zinc/76/39/35/1196763935.db2.gz NZUPEYWWFAWIKB-UJNBGNEJSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC001663475358 1196880020 /nfs/dbraw/zinc/88/00/20/1196880020.db2.gz BQWAHVRPIGNRDM-MRXNPFEDSA-N 0 1 304.409 3.181 20 30 DGEDMN CC#CCN(CCNC(=O)C1(c2cccc(C)c2)CCC1)C1CC1 ZINC001663744366 1196938432 /nfs/dbraw/zinc/93/84/32/1196938432.db2.gz QQDLBPSDOUXCRI-UHFFFAOYSA-N 0 1 324.468 3.021 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001663902997 1196956527 /nfs/dbraw/zinc/95/65/27/1196956527.db2.gz FMPXPNOZWUMECJ-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1occ2c1CCC2)C(C)C ZINC001664526518 1197010059 /nfs/dbraw/zinc/01/00/59/1197010059.db2.gz OIJJAQXEEMCWOX-UHFFFAOYSA-N 0 1 324.852 3.351 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccoc1)c1ccc(C(C)C)cc1 ZINC001664561723 1197013832 /nfs/dbraw/zinc/01/38/32/1197013832.db2.gz LRPMSWRXLJGURJ-SFHVURJKSA-N 0 1 310.397 3.097 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccc(C(C)C)cc1 ZINC001664561769 1197014064 /nfs/dbraw/zinc/01/40/64/1197014064.db2.gz NAWSVFGBGOSWPS-FUMNGEBKSA-N 0 1 324.468 3.236 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@@H]1C=CCCC1)c1ccccc1 ZINC001664582839 1197017529 /nfs/dbraw/zinc/01/75/29/1197017529.db2.gz AAFPZIXCBTXCAR-IEBWSBKVSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(C1CC1)C1CC1)c1ccccc1 ZINC001664598453 1197019996 /nfs/dbraw/zinc/01/99/96/1197019996.db2.gz KZBJNIVOBGTHET-HXUWFJFHSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001664685851 1197035486 /nfs/dbraw/zinc/03/54/86/1197035486.db2.gz FTTPEBGLUAKDNM-INIZCTEOSA-N 0 1 315.461 3.006 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(F)cc2C)C1 ZINC001664687616 1197036037 /nfs/dbraw/zinc/03/60/37/1197036037.db2.gz VDBHWFDUCBPWRO-INIZCTEOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2sccc2F)C1 ZINC001664713083 1197040935 /nfs/dbraw/zinc/04/09/35/1197040935.db2.gz VEJOWQHSFXGIJL-NSHDSACASA-N 0 1 316.829 3.082 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cccc(C)c2)C1 ZINC001664785633 1197056412 /nfs/dbraw/zinc/05/64/12/1197056412.db2.gz BVAKAWLBHVBPOG-OAHLLOKOSA-N 0 1 306.837 3.141 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cccn2C(C)C)C1 ZINC001664853531 1197069348 /nfs/dbraw/zinc/06/93/48/1197069348.db2.gz ICXZUIIRLGFGGF-HNNXBMFYSA-N 0 1 323.868 3.215 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCC2CCC2)CC1 ZINC001664869089 1197072437 /nfs/dbraw/zinc/07/24/37/1197072437.db2.gz SABVHABRZONPQB-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H](CC)SC)CC1 ZINC001664873646 1197073821 /nfs/dbraw/zinc/07/38/21/1197073821.db2.gz JCTAUTLLLZYJEV-AWEZNQCLSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)CCc2cccc(F)c2)C1 ZINC001665030090 1197088816 /nfs/dbraw/zinc/08/88/16/1197088816.db2.gz UUWXFCZSPNYFMF-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001665061476 1197092526 /nfs/dbraw/zinc/09/25/26/1197092526.db2.gz NNQNKOFDSCWIOJ-KRWDZBQOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCc2ccc(C)cc2)C1 ZINC001665072008 1197093498 /nfs/dbraw/zinc/09/34/98/1197093498.db2.gz VOXZDKJTCDCVMA-SFHVURJKSA-N 0 1 300.446 3.084 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2nccc3ccsc32)C1 ZINC001665148543 1197103055 /nfs/dbraw/zinc/10/30/55/1197103055.db2.gz UKSURNAXKNVXRJ-GFCCVEGCSA-N 0 1 315.442 3.065 20 30 DGEDMN CN1CCN(Cc2ccc(C#N)cc2F)[C@@H](Cc2ccccc2)C1 ZINC001665164966 1197104106 /nfs/dbraw/zinc/10/41/06/1197104106.db2.gz ZUHSULZKLWIRRH-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN C=CCC[C@H](C(=O)NC1CN(CCC2CC2)C1)c1ccccc1 ZINC001665244861 1197114556 /nfs/dbraw/zinc/11/45/56/1197114556.db2.gz ZAJMUYKJGHCFKM-IBGZPJMESA-N 0 1 312.457 3.337 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](CC)CC2CCCC2)C1 ZINC001665670341 1197168058 /nfs/dbraw/zinc/16/80/58/1197168058.db2.gz GCBSWMUXIYFKRA-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001665670233 1197168163 /nfs/dbraw/zinc/16/81/63/1197168163.db2.gz DBQMLZAKNUTYNT-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001665748550 1197185787 /nfs/dbraw/zinc/18/57/87/1197185787.db2.gz QTAGKSHZZBXYJJ-AQWUKCDYSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)NCc1cc(F)ccc1Cl ZINC001665765180 1197190443 /nfs/dbraw/zinc/19/04/43/1197190443.db2.gz IIAICWLOKGZIOI-CQSZACIVSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(C)cccc1Cl ZINC001665840221 1197205118 /nfs/dbraw/zinc/20/51/18/1197205118.db2.gz XFAKUVHIOSEJBE-LBPRGKRZSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(C(F)F)cc1 ZINC001665860544 1197208436 /nfs/dbraw/zinc/20/84/36/1197208436.db2.gz GLGNZKPBGFCTIY-ZDUSSCGKSA-N 0 1 316.779 3.475 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001666310675 1197250449 /nfs/dbraw/zinc/25/04/49/1197250449.db2.gz XAUJLWNQQFTZFP-HDJSIYSDSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2(F)CCCC2)CC1 ZINC001666370914 1197256381 /nfs/dbraw/zinc/25/63/81/1197256381.db2.gz NRMKLPPRCFRXNF-UHFFFAOYSA-N 0 1 316.848 3.240 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001666383457 1197257769 /nfs/dbraw/zinc/25/77/69/1197257769.db2.gz YNNNRARLFPBGKE-JKSUJKDBSA-N 0 1 310.869 3.003 20 30 DGEDMN C[C@@H](C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N)C(C)(C)C ZINC001666932357 1197299089 /nfs/dbraw/zinc/29/90/89/1197299089.db2.gz DNKMFOPKGCRWHB-GJZGRUSLSA-N 0 1 315.461 3.177 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1coc(C(F)F)c1 ZINC001666978082 1197304501 /nfs/dbraw/zinc/30/45/01/1197304501.db2.gz YYBBXNPFBCARCT-SECBINFHSA-N 0 1 306.740 3.020 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccccc1OC ZINC001667118065 1197320668 /nfs/dbraw/zinc/32/06/68/1197320668.db2.gz KBAWVIQVIKOHOY-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001667452160 1197349959 /nfs/dbraw/zinc/34/99/59/1197349959.db2.gz ISZNDIJOQZTXOS-YJBOKZPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C)nc1C(C)C ZINC001668510397 1197417371 /nfs/dbraw/zinc/41/73/71/1197417371.db2.gz RXYBHUXWSAICAQ-UHFFFAOYSA-N 0 1 323.868 3.318 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1oc(C(C)C)nc1C ZINC001668516619 1197417381 /nfs/dbraw/zinc/41/73/81/1197417381.db2.gz BBYIYTDAQBRALB-UHFFFAOYSA-N 0 1 321.465 3.257 20 30 DGEDMN CCN(CCNCC#Cc1cccc(Cl)c1)C(=O)C(C)(C)C ZINC001668623437 1197422025 /nfs/dbraw/zinc/42/20/25/1197422025.db2.gz PKOLEEREORYEAT-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1ccc(Cl)cc1F ZINC001668976946 1197435586 /nfs/dbraw/zinc/43/55/86/1197435586.db2.gz CPIPNZSWSSOSLD-WAYWQWQTSA-N 0 1 324.827 3.453 20 30 DGEDMN CC(C)=C(C)CC(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001668978047 1197435683 /nfs/dbraw/zinc/43/56/83/1197435683.db2.gz JAZSUYTXDKTZJM-PLNGDYQASA-N 0 1 317.458 3.128 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)CCc1ccc(C)s1 ZINC001669223864 1197444018 /nfs/dbraw/zinc/44/40/18/1197444018.db2.gz GPDKYLPBHAMUQS-ARJAWSKDSA-N 0 1 312.866 3.004 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1coc2c1cccc2C ZINC001669317228 1197448810 /nfs/dbraw/zinc/44/88/10/1197448810.db2.gz QHWIXSUHUKXFHK-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1csc2ccccc21 ZINC001669626695 1197465685 /nfs/dbraw/zinc/46/56/85/1197465685.db2.gz RGXAZWSIYAKRSR-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001669745885 1197474940 /nfs/dbraw/zinc/47/49/40/1197474940.db2.gz GCSODODIXBSHKH-RYUDHWBXSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2ccc(C)cc2)CCC1 ZINC001669753696 1197475433 /nfs/dbraw/zinc/47/54/33/1197475433.db2.gz VJTYHWRPVLDJRH-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(CC(C)C)cc2)CC1 ZINC001669840668 1197490598 /nfs/dbraw/zinc/49/05/98/1197490598.db2.gz OZXFZYNYCKRBAH-UHFFFAOYSA-N 0 1 313.445 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1ncc(C)o1 ZINC001669956692 1197511393 /nfs/dbraw/zinc/51/13/93/1197511393.db2.gz WLSFVZRJEOSVKQ-HZPDHXFCSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001671351362 1197535846 /nfs/dbraw/zinc/53/58/46/1197535846.db2.gz NDVGTYNAQMEKGN-SUIFULHWSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001671415926 1197539561 /nfs/dbraw/zinc/53/95/61/1197539561.db2.gz SGJUKLKJOCLEDN-UHFFFAOYSA-N 0 1 324.468 3.365 20 30 DGEDMN C#CCN(C(=O)/C=C/C(C)(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001671465342 1197541756 /nfs/dbraw/zinc/54/17/56/1197541756.db2.gz QMSBPHRLWDCUOM-KXKDPZRNSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC=CCC1)C1CCCC1 ZINC001671519414 1197544695 /nfs/dbraw/zinc/54/46/95/1197544695.db2.gz SAPBTWPEBJIFDV-HOTGVXAUSA-N 0 1 310.869 3.360 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@]2(CCN(CCF)C2)C1 ZINC001671599288 1197551118 /nfs/dbraw/zinc/55/11/18/1197551118.db2.gz VPBJWOCKHPCJOD-LJQANCHMSA-N 0 1 322.468 3.244 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1c[nH]c2ccccc12 ZINC001671786151 1197569458 /nfs/dbraw/zinc/56/94/58/1197569458.db2.gz KPBKGTJKBUBBDK-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)/C(C)=C/CC)c1ccccc1CC ZINC001672177314 1197594879 /nfs/dbraw/zinc/59/48/79/1197594879.db2.gz VGMQKNSPSBBRBF-SKTWIKFTSA-N 0 1 312.457 3.376 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCCC12CC2)c1ccccc1CC ZINC001672177643 1197594941 /nfs/dbraw/zinc/59/49/41/1197594941.db2.gz ZLTOEGUHUUWIAY-MOPGFXCFSA-N 0 1 324.468 3.209 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001673079925 1197636799 /nfs/dbraw/zinc/63/67/99/1197636799.db2.gz UZFBJPKMGANDKS-NRFANRHFSA-N 0 1 324.468 3.287 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)c(C)c2)CCC1 ZINC001673234108 1197645559 /nfs/dbraw/zinc/64/55/59/1197645559.db2.gz HMIIBMWFTUOYMF-UHFFFAOYSA-N 0 1 306.837 3.298 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](C)C(C)(C)C)CC1 ZINC001673381551 1197653061 /nfs/dbraw/zinc/65/30/61/1197653061.db2.gz SFIHYLFMZFSWSY-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001673392268 1197655914 /nfs/dbraw/zinc/65/59/14/1197655914.db2.gz XMQRAOJACVQKEN-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@@H]1CCCN(CCF)C1 ZINC001673496613 1197670047 /nfs/dbraw/zinc/67/00/47/1197670047.db2.gz PDTBNLQXDHPZGP-SJORKVTESA-N 0 1 310.457 3.405 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CCC1CCC1 ZINC001673633997 1197680896 /nfs/dbraw/zinc/68/08/96/1197680896.db2.gz MHYIUEQJJKBOGY-HXUWFJFHSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CC[C@H](C)OC)cc2C1 ZINC001673760371 1197690173 /nfs/dbraw/zinc/69/01/73/1197690173.db2.gz ITZLXPOQNWIYII-HNNXBMFYSA-N 0 1 316.445 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)CC(C)C)C[C@@H]1C ZINC001673917295 1197706532 /nfs/dbraw/zinc/70/65/32/1197706532.db2.gz LUBKMTVEEIMYAV-GXTWGEPZSA-N 0 1 300.874 3.248 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cc(C)ns2)[C@H](C)C1 ZINC001674140144 1197737584 /nfs/dbraw/zinc/73/75/84/1197737584.db2.gz DWCHUKSYTMGJBG-HUUCEWRRSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CCCC(C)C ZINC001674429686 1197771338 /nfs/dbraw/zinc/77/13/38/1197771338.db2.gz SGDOGMCEIQNROD-UYAOXDASSA-N 0 1 312.457 3.208 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](C)C(C)(C)C ZINC001674425381 1197771510 /nfs/dbraw/zinc/77/15/10/1197771510.db2.gz ZPUQJRFCXVSYFZ-ZTFGCOKTSA-N 0 1 312.457 3.064 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1ccccc1Cl ZINC001674766465 1197864672 /nfs/dbraw/zinc/86/46/72/1197864672.db2.gz ODSXGJZDBABILI-RYUDHWBXSA-N 0 1 315.244 3.118 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2cc(C)no2)CCCC1 ZINC001674781399 1197871780 /nfs/dbraw/zinc/87/17/80/1197871780.db2.gz WWBRVDVNQWMUNJ-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C(F)=C1CCCC1 ZINC001675253821 1198023206 /nfs/dbraw/zinc/02/32/06/1198023206.db2.gz ZFNPADLDYXARDE-INIZCTEOSA-N 0 1 306.425 3.168 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001675312254 1198040768 /nfs/dbraw/zinc/04/07/68/1198040768.db2.gz XILHNXVBNZBQAO-HNNXBMFYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2sc(C)nc2C)C1 ZINC001675338068 1198045922 /nfs/dbraw/zinc/04/59/22/1198045922.db2.gz MLAOMJRIDOJIEO-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC001675425776 1198061533 /nfs/dbraw/zinc/06/15/33/1198061533.db2.gz FXFDICGPHLGVDX-SFHVURJKSA-N 0 1 310.482 3.060 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2cnccc2C)CC1(C)C ZINC001675467986 1198074151 /nfs/dbraw/zinc/07/41/51/1198074151.db2.gz NOMQAGBZBGVHMJ-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN CN(C)CC#CCNC(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC001675566515 1198089681 /nfs/dbraw/zinc/08/96/81/1198089681.db2.gz LXTIGSXLBGPEOE-QGZVFWFLSA-N 0 1 315.461 3.028 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCCN(C/C=C/Cl)C3)CCC1 ZINC001675673172 1198110910 /nfs/dbraw/zinc/11/09/10/1198110910.db2.gz NSDAWHPABSBOOZ-BJMVGYQFSA-N 0 1 322.880 3.410 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001675752284 1198134961 /nfs/dbraw/zinc/13/49/61/1198134961.db2.gz RJCDXBIQRYPPLB-XZQWCENXSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1c(C)coc1C ZINC001676209277 1198251693 /nfs/dbraw/zinc/25/16/93/1198251693.db2.gz BCXKQDGWLGZKSE-AWEZNQCLSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@H]1C=CCCC1 ZINC001676227810 1198254297 /nfs/dbraw/zinc/25/42/97/1198254297.db2.gz GUIFJPSHFNRWSX-GOEBONIOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccn(CC)c1C ZINC001676243832 1198258409 /nfs/dbraw/zinc/25/84/09/1198258409.db2.gz LVXDUSGODFMNRS-MRXNPFEDSA-N 0 1 323.868 3.009 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H]1[C@@H](C=C(C)C)C1(C)C)C(C)C ZINC001677204805 1198487026 /nfs/dbraw/zinc/48/70/26/1198487026.db2.gz FYGZSYYNNOIEKD-SJORKVTESA-N 0 1 304.478 3.027 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001677252283 1198501940 /nfs/dbraw/zinc/50/19/40/1198501940.db2.gz GNGMXCJGLCBCSZ-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN CCC(CC)[C@@H](NC(=O)NCC#CCN(C)C)c1cccs1 ZINC001677455259 1198538694 /nfs/dbraw/zinc/53/86/94/1198538694.db2.gz VPGKDSFIRANUEG-MRXNPFEDSA-N 0 1 321.490 3.090 20 30 DGEDMN C=C1CN(C(=O)NC[C@@H](c2cccc(Cl)c2)N2CCCC2)C1 ZINC001681466759 1199011317 /nfs/dbraw/zinc/01/13/17/1199011317.db2.gz SQBIRUDWKOQVMZ-INIZCTEOSA-N 0 1 319.836 3.058 20 30 DGEDMN CCCCCC[C@@H](CC)CN(C)C(=O)NCC#CCN(C)C ZINC001684871245 1199422208 /nfs/dbraw/zinc/42/22/08/1199422208.db2.gz CWTVNDIDDWBLPV-QGZVFWFLSA-N 0 1 309.498 3.189 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(CC)C[C@H]2CC)CCCC1 ZINC001686451599 1199564752 /nfs/dbraw/zinc/56/47/52/1199564752.db2.gz MUCPNJMDICVEJS-MRXNPFEDSA-N 0 1 307.482 3.249 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1nccs1 ZINC001688891091 1199945919 /nfs/dbraw/zinc/94/59/19/1199945919.db2.gz JHISUPARJJQLMD-CQSZACIVSA-N 0 1 307.463 3.036 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2C[C@@H](NC/C(Cl)=C\Cl)C2)C1 ZINC001688911096 1199955375 /nfs/dbraw/zinc/95/53/75/1199955375.db2.gz ZUKKKGCMYWVDSC-IKJUQUSUSA-N 0 1 317.260 3.146 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H](C)CC)C1 ZINC001688952349 1199971162 /nfs/dbraw/zinc/97/11/62/1199971162.db2.gz BCBXETIXQJXQGY-YTQUADARSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001753859244 1200007421 /nfs/dbraw/zinc/00/74/21/1200007421.db2.gz ISSZUCGMQVOWFR-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1cccc(OC)c1 ZINC001753861532 1200010243 /nfs/dbraw/zinc/01/02/43/1200010243.db2.gz JQNXZGQNZWMLBY-IBGZPJMESA-N 0 1 318.461 3.188 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]2[C@@H](CCN2CC=C(Cl)Cl)C1 ZINC001753870852 1200024112 /nfs/dbraw/zinc/02/41/12/1200024112.db2.gz HILPANKCZBYSPC-QWHCGFSZSA-N 0 1 317.260 3.194 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1cccc([N+](=O)[O-])c1 ZINC000119706375 1200114052 /nfs/dbraw/zinc/11/40/52/1200114052.db2.gz YBXIPIYCTWAONO-CYBMUJFWSA-N 0 1 311.341 3.348 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(C)cc(Cl)c1 ZINC001754150769 1200114851 /nfs/dbraw/zinc/11/48/51/1200114851.db2.gz YBBPGXANEJCDJZ-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001754240281 1200147605 /nfs/dbraw/zinc/14/76/05/1200147605.db2.gz KVBQERLKGILZMV-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](F)CC1CCCCC1 ZINC001754249480 1200154623 /nfs/dbraw/zinc/15/46/23/1200154623.db2.gz QAYFGMSJJMUNRK-TZMCWYRMSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1ccc(Cl)s1 ZINC001754302010 1200184503 /nfs/dbraw/zinc/18/45/03/1200184503.db2.gz ZIBRIWMUJQXLNT-LLVKDONJSA-N 0 1 300.855 3.304 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001754326217 1200217082 /nfs/dbraw/zinc/21/70/82/1200217082.db2.gz FLUXGTZBRGJBOB-SJLPKXTDSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2coc(C)n2)[C@H](CC)C1 ZINC001754463320 1200276594 /nfs/dbraw/zinc/27/65/94/1200276594.db2.gz BISZVYNCKGMVGE-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2coc(C)n2)[C@H](CC)C1 ZINC001754463324 1200276794 /nfs/dbraw/zinc/27/67/94/1200276794.db2.gz BISZVYNCKGMVGE-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001754485048 1200296281 /nfs/dbraw/zinc/29/62/81/1200296281.db2.gz NJCXEMQEGYUMCO-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN CCC(C)(C)C(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001689832955 1200301835 /nfs/dbraw/zinc/30/18/35/1200301835.db2.gz ZNJMLSRLLNZXIB-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1CC[C@H]1CCCC1(F)F ZINC001754492327 1200303577 /nfs/dbraw/zinc/30/35/77/1200303577.db2.gz AGTFXOMOWWGCKB-HUUCEWRRSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001689854367 1200307360 /nfs/dbraw/zinc/30/73/60/1200307360.db2.gz YBEXOWLUYZXMBR-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN CC1(C)CC[C@H](C(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001754524934 1200337271 /nfs/dbraw/zinc/33/72/71/1200337271.db2.gz WLKUVEWBPMCRAQ-SFHVURJKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc2cc[nH]c21 ZINC001689957993 1200345578 /nfs/dbraw/zinc/34/55/78/1200345578.db2.gz XTFRCUVTIBBRQL-UHFFFAOYSA-N 0 1 319.836 3.362 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2coc3ccccc23)CC1 ZINC001754536952 1200346846 /nfs/dbraw/zinc/34/68/46/1200346846.db2.gz HPVFYGSSLIADHE-UHFFFAOYSA-N 0 1 304.777 3.037 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001690023383 1200370870 /nfs/dbraw/zinc/37/08/70/1200370870.db2.gz PBXLZEXKYZIWLV-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001754581129 1200375792 /nfs/dbraw/zinc/37/57/92/1200375792.db2.gz AXVUQXFCGNJMBF-HNNXBMFYSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccc(C)cc2C)C1 ZINC001754579501 1200376006 /nfs/dbraw/zinc/37/60/06/1200376006.db2.gz ROMYGSDWHGSKQD-UHFFFAOYSA-N 0 1 314.473 3.345 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H](C)C(C)(F)F)C1 ZINC001754581989 1200377703 /nfs/dbraw/zinc/37/77/03/1200377703.db2.gz PMOJDSZOMPZNPT-ZDUSSCGKSA-N 0 1 304.425 3.391 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc[nH]c2CCC)C1 ZINC001754582591 1200377859 /nfs/dbraw/zinc/37/78/59/1200377859.db2.gz WRAJNKUHURRQKP-CQSZACIVSA-N 0 1 303.450 3.078 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3cnccc3c2)C1 ZINC001754584525 1200379838 /nfs/dbraw/zinc/37/98/38/1200379838.db2.gz DOGKETCGSGWIIO-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001690050724 1200385829 /nfs/dbraw/zinc/38/58/29/1200385829.db2.gz XSDKCRKNJBQMNR-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001690051647 1200387872 /nfs/dbraw/zinc/38/78/72/1200387872.db2.gz KSLSSQWZNLUFHN-QZTJIDSGSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001690093096 1200394474 /nfs/dbraw/zinc/39/44/74/1200394474.db2.gz JNSDCCNOCFIXPJ-INIZCTEOSA-N 0 1 312.413 3.480 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001690103386 1200399197 /nfs/dbraw/zinc/39/91/97/1200399197.db2.gz NBSZCBDIDIOBOJ-MJGOQNOKSA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001754634884 1200407955 /nfs/dbraw/zinc/40/79/55/1200407955.db2.gz AOQSOMHCTCZZAG-IAGOWNOFSA-N 0 1 324.484 3.487 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC23CCN(CC(=C)Cl)CC3)CCC1 ZINC001690125116 1200409074 /nfs/dbraw/zinc/40/90/74/1200409074.db2.gz AEGYWJJMRCWXBN-OAHLLOKOSA-N 0 1 322.880 3.456 20 30 DGEDMN C#CCN(C(=O)C1CC(C)(C)C1)C1CCN(C/C=C\Cl)CC1 ZINC001754635683 1200410471 /nfs/dbraw/zinc/41/04/71/1200410471.db2.gz KLUVIZYFZIJVQY-YVMONPNESA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H]2CCC2(C)C)C1 ZINC001690192301 1200447106 /nfs/dbraw/zinc/44/71/06/1200447106.db2.gz MCRILNXNYPLXOV-HUUCEWRRSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](N[C@H](C)c2nc3ccccc3o2)C1 ZINC001690268952 1200468697 /nfs/dbraw/zinc/46/86/97/1200468697.db2.gz DNCVQAGLOMCVIB-MGPQQGTHSA-N 0 1 313.401 3.092 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2cccnc2)C1 ZINC001754728023 1200473567 /nfs/dbraw/zinc/47/35/67/1200473567.db2.gz RCLKWUNAWGUZSL-IBGZPJMESA-N 0 1 315.461 3.156 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001690288993 1200479962 /nfs/dbraw/zinc/47/99/62/1200479962.db2.gz PXWJAWCZOZXQRM-AGDCAZDESA-N 0 1 305.249 3.145 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)CCCC ZINC001754945746 1200548105 /nfs/dbraw/zinc/54/81/05/1200548105.db2.gz HNNODFIFAWPAEZ-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)c2cc[nH]c2)cc1 ZINC001754947083 1200549079 /nfs/dbraw/zinc/54/90/79/1200549079.db2.gz FJAYPACPPAXMFV-ZDUSSCGKSA-N 0 1 317.820 3.348 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)(C)CCC)C2)CC1 ZINC001754960225 1200555150 /nfs/dbraw/zinc/55/51/50/1200555150.db2.gz BQQXGALZPKIEDK-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001690454327 1200567596 /nfs/dbraw/zinc/56/75/96/1200567596.db2.gz BROLPHVIHUCNPB-RTBURBONSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@H](C)c1ccccc1 ZINC001690458480 1200572443 /nfs/dbraw/zinc/57/24/43/1200572443.db2.gz DBRPVRKZLPVGIV-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCCCn1c([C@H](C)CC)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001690471276 1200578258 /nfs/dbraw/zinc/57/82/58/1200578258.db2.gz ZIGFLCNSDJNLPA-CVEARBPZSA-N 0 1 319.497 3.288 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(C)C(C)(C)C2(C)C)CCC1 ZINC001754993309 1200579465 /nfs/dbraw/zinc/57/94/65/1200579465.db2.gz KOZRMJUCEVDVGT-UHFFFAOYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001755028588 1200590151 /nfs/dbraw/zinc/59/01/51/1200590151.db2.gz NXSUZYGIZGQJCI-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C(=O)N(C)CCCN(C)CC#Cc1ccccc1)=C1CCC1 ZINC001755036672 1200602799 /nfs/dbraw/zinc/60/27/99/1200602799.db2.gz JOGVBASYLHXRHH-UHFFFAOYSA-N 0 1 324.468 3.319 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001690557367 1200624798 /nfs/dbraw/zinc/62/47/98/1200624798.db2.gz QQJRWMNMGAHJQE-NHXNDTTKSA-N 0 1 318.848 3.142 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001690568959 1200629800 /nfs/dbraw/zinc/62/98/00/1200629800.db2.gz QPXSUDRNDOYJMS-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1cc(F)ccc1Cl ZINC001690573402 1200631696 /nfs/dbraw/zinc/63/16/96/1200631696.db2.gz URXUTDXZTLITQE-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)/C=C/c3ccc[nH]3)cc2C1 ZINC001755101169 1200634679 /nfs/dbraw/zinc/63/46/79/1200634679.db2.gz UIDZGDWVZDTYRD-BQYQJAHWSA-N 0 1 321.424 3.236 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC3CCCC3)cc2C1 ZINC001755100237 1200635114 /nfs/dbraw/zinc/63/51/14/1200635114.db2.gz LKJQMFACSJGRMU-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2c(o1)c(F)ccc2C ZINC001690606674 1200645411 /nfs/dbraw/zinc/64/54/11/1200645411.db2.gz SIGWXPWCGRLUHZ-NSHDSACASA-N 0 1 324.783 3.341 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)NCc1cc(F)ccc1Cl ZINC001690615257 1200650242 /nfs/dbraw/zinc/65/02/42/1200650242.db2.gz YUTXYUANCJPVMJ-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](Cc1ccccc1)C(C)C ZINC001690621326 1200653615 /nfs/dbraw/zinc/65/36/15/1200653615.db2.gz RRALLTAWGBNOOT-DOTOQJQBSA-N 0 1 322.880 3.348 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@H](C)NCc2ccccc2C#N)cc1 ZINC001690624959 1200655240 /nfs/dbraw/zinc/65/52/40/1200655240.db2.gz HCULFQUYGDVBDL-HNNXBMFYSA-N 0 1 319.408 3.109 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001755157374 1200662659 /nfs/dbraw/zinc/66/26/59/1200662659.db2.gz HQDWUSGSUYPWKJ-IEBWSBKVSA-N 0 1 312.457 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H](C)C1 ZINC001755158911 1200665677 /nfs/dbraw/zinc/66/56/77/1200665677.db2.gz AWHZTFUZHDTVQS-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2cc(C)ccc2o1 ZINC001690650922 1200672314 /nfs/dbraw/zinc/67/23/14/1200672314.db2.gz UNGIYEJKFAOORG-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001755168967 1200674790 /nfs/dbraw/zinc/67/47/90/1200674790.db2.gz IZXVWQDEJKSUOM-KGLIPLIRSA-N 0 1 317.458 3.055 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC[C@@H](C)CC ZINC001755187111 1200685284 /nfs/dbraw/zinc/68/52/84/1200685284.db2.gz VVWJZLGQSLJWPJ-QKYXUNIQSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2ncc(C)o2)C1 ZINC001690782573 1200712322 /nfs/dbraw/zinc/71/23/22/1200712322.db2.gz ZILNBHLJVYJHNM-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1c(Cl)cccc1OC ZINC001755229004 1200718804 /nfs/dbraw/zinc/71/88/04/1200718804.db2.gz WBQJFKJJNFMCTC-CHWSQXEVSA-N 0 1 324.852 3.298 20 30 DGEDMN CCC(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2Cl)C1 ZINC001690800590 1200720995 /nfs/dbraw/zinc/72/09/95/1200720995.db2.gz YOMPDFPSNRXWMF-INIZCTEOSA-N 0 1 318.848 3.024 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(O)ccc1Cl ZINC001755249055 1200734124 /nfs/dbraw/zinc/73/41/24/1200734124.db2.gz NJOKATUHDJVBKB-VXGBXAGGSA-N 0 1 324.852 3.241 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(F)cc(Cl)c1 ZINC001755258740 1200742890 /nfs/dbraw/zinc/74/28/90/1200742890.db2.gz VIWJJUHVYRAOEU-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1oc(CC)nc1C ZINC001698609546 1200777126 /nfs/dbraw/zinc/77/71/26/1200777126.db2.gz PFEXULKLMBGWOG-AWEZNQCLSA-N 0 1 321.465 3.132 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1CCC(C)(C)CC1 ZINC001691798873 1200811871 /nfs/dbraw/zinc/81/18/71/1200811871.db2.gz SROOZPYYKQUALT-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC(CC=C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C(C)C ZINC001691820836 1200822193 /nfs/dbraw/zinc/82/21/93/1200822193.db2.gz WFBWTXQQODELPJ-HDICACEKSA-N 0 1 302.462 3.396 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2cccs2)C1 ZINC001691860863 1200841720 /nfs/dbraw/zinc/84/17/20/1200841720.db2.gz MNCUHTHXQUTAQT-CABCVRRESA-N 0 1 306.475 3.351 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001691864641 1200844824 /nfs/dbraw/zinc/84/48/24/1200844824.db2.gz QPASYVASZHSKEK-SFHVURJKSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC(C2CC2)C2CC2)C1 ZINC001691867492 1200846989 /nfs/dbraw/zinc/84/69/89/1200846989.db2.gz VEFVVKPEPOTSDB-KRWDZBQOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3cnccc3c2)C1 ZINC001691866640 1200847219 /nfs/dbraw/zinc/84/72/19/1200847219.db2.gz CLKXOTCPNVNUTA-IBGZPJMESA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](c2ccccc2)C(C)C)C(C)(C)C1 ZINC001755412930 1200889465 /nfs/dbraw/zinc/88/94/65/1200889465.db2.gz YUJPEXYSOHATSM-MSOLQXFVSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001755415799 1200891807 /nfs/dbraw/zinc/89/18/07/1200891807.db2.gz LWFKFQFJDPKTIA-HOTGVXAUSA-N 0 1 310.457 3.308 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@H](C)c1ccco1 ZINC001755568170 1200957743 /nfs/dbraw/zinc/95/77/43/1200957743.db2.gz FUNQXHBLAREVKH-SWLSCSKDSA-N 0 1 324.852 3.352 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1F ZINC001755580818 1200967489 /nfs/dbraw/zinc/96/74/89/1200967489.db2.gz CETOYUGHGAOTEH-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN CC(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001755588520 1200973177 /nfs/dbraw/zinc/97/31/77/1200973177.db2.gz AYSNQAADDNBBMY-OAHLLOKOSA-N 0 1 315.461 3.177 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001755606801 1200984851 /nfs/dbraw/zinc/98/48/51/1200984851.db2.gz MKTBVQNQWJVYSR-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ccncc2Cl)[C@H]1C ZINC001755659990 1201001166 /nfs/dbraw/zinc/00/11/66/1201001166.db2.gz WBZDFUGGGHLARF-CZUORRHYSA-N 0 1 321.852 3.170 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@@H]1N(Cc1ccccc1F)CC2 ZINC001755696059 1201023953 /nfs/dbraw/zinc/02/39/53/1201023953.db2.gz JSXGJTKNTZFKBU-HKUYNNGSSA-N 0 1 316.420 3.405 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001692335258 1201025842 /nfs/dbraw/zinc/02/58/42/1201025842.db2.gz HWARWWWWOBJZHS-IBGZPJMESA-N 0 1 314.473 3.125 20 30 DGEDMN C=CCN1CC(CNC(=O)C[C@@H](c2ccccc2)C(C)C)C1 ZINC001692344436 1201028599 /nfs/dbraw/zinc/02/85/99/1201028599.db2.gz UMANIVIKMDFWIO-GOSISDBHSA-N 0 1 300.446 3.050 20 30 DGEDMN CC[C@H](c1ccccc1)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)C ZINC001692362713 1201037698 /nfs/dbraw/zinc/03/76/98/1201037698.db2.gz NDLWFDNPAISWEX-GBESFXJTSA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cccc1C ZINC001692372857 1201041648 /nfs/dbraw/zinc/04/16/48/1201041648.db2.gz LQBTVTFNNHWKEG-OALUTQOASA-N 0 1 324.468 3.282 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C(F)F)o1)C(C)C ZINC001755817659 1201084206 /nfs/dbraw/zinc/08/42/06/1201084206.db2.gz UHPXCOXUVWMMDA-UHFFFAOYSA-N 0 1 312.360 3.023 20 30 DGEDMN C#CCCCC(=O)N[C@@H](CNC/C(Cl)=C/Cl)C(C)(C)C ZINC001755823716 1201088335 /nfs/dbraw/zinc/08/83/35/1201088335.db2.gz LJUWJWPJQVXFTG-SUIFULHWSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001755830599 1201092577 /nfs/dbraw/zinc/09/25/77/1201092577.db2.gz OSVHXYFKEMILJE-KBPBESRZSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)C(C)(C)C ZINC001755838802 1201099055 /nfs/dbraw/zinc/09/90/55/1201099055.db2.gz FUWZJBCHNSLCJL-AYCBFJCHSA-N 0 1 324.896 3.152 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001698565208 1201235479 /nfs/dbraw/zinc/23/54/79/1201235479.db2.gz VKUJSKOKQXYZKZ-QAPCUYQASA-N 0 1 316.420 3.223 20 30 DGEDMN CC[C@@H](C)C(=O)N(CC)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001698576105 1201245363 /nfs/dbraw/zinc/24/53/63/1201245363.db2.gz JXCNGNBZNRMOBJ-QAPCUYQASA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001698585871 1201259347 /nfs/dbraw/zinc/25/93/47/1201259347.db2.gz SQHFCOFUGGJTLV-UONOGXRCSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1coc(C(F)F)c1 ZINC001698731964 1201372247 /nfs/dbraw/zinc/37/22/47/1201372247.db2.gz YAGBABFSKJEQKH-LLVKDONJSA-N 0 1 318.751 3.164 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC001698792597 1201421704 /nfs/dbraw/zinc/42/17/04/1201421704.db2.gz SSJZZZJBTYNCNJ-DLBZAZTESA-N 0 1 324.509 3.088 20 30 DGEDMN C=CCCCN1CCO[C@@H](CNC(=O)CC2CCCCCC2)C1 ZINC001698791927 1201421994 /nfs/dbraw/zinc/42/19/94/1201421994.db2.gz HGVZEHRJKQATPI-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccccc1CCC ZINC001753480660 1201459864 /nfs/dbraw/zinc/45/98/64/1201459864.db2.gz ZTNSIMXAVWBHKU-OAHLLOKOSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCCc1ccccc1 ZINC001698845122 1201501188 /nfs/dbraw/zinc/50/11/88/1201501188.db2.gz UNRIJWLRFIQZOO-OAHLLOKOSA-N 0 1 308.853 3.198 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1cc(C)no1 ZINC001698948704 1201597214 /nfs/dbraw/zinc/59/72/14/1201597214.db2.gz DTQURSMALYGCBD-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN Cc1cc(F)ccc1CN(C)CCN(C)C(=O)C#CC(C)(C)C ZINC001699001638 1201672260 /nfs/dbraw/zinc/67/22/60/1201672260.db2.gz LYUJUVLSMVKIEC-UHFFFAOYSA-N 0 1 318.436 3.074 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)Cc1cccc(C2CC2)c1 ZINC001750926738 1201725366 /nfs/dbraw/zinc/72/53/66/1201725366.db2.gz BUTONDHFMWTLNG-FQEVSTJZSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1sc(C)cc1C ZINC001750935444 1201730229 /nfs/dbraw/zinc/73/02/29/1201730229.db2.gz WNBZRLIFQLJXJW-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN C[C@H](C(=O)NCCCN(C)Cc1ccccc1C#N)C(C)(C)C ZINC001752012617 1201763850 /nfs/dbraw/zinc/76/38/50/1201763850.db2.gz FCPREFIKPVOJTR-OAHLLOKOSA-N 0 1 315.461 3.179 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1cc(Cl)ccc1F ZINC001699252378 1201770831 /nfs/dbraw/zinc/77/08/31/1201770831.db2.gz QTXWMAVOZWQVAS-WAYWQWQTSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(C2CCCCC2)CC1 ZINC001699338620 1201795679 /nfs/dbraw/zinc/79/56/79/1201795679.db2.gz SZVWYGALGIDSFA-WAYWQWQTSA-N 0 1 310.869 3.361 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2cc(C)ccc2o1 ZINC001699347477 1201797930 /nfs/dbraw/zinc/79/79/30/1201797930.db2.gz ZYWNKOCBJZLYMW-ARJAWSKDSA-N 0 1 318.804 3.369 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC(C2CCC2)C2CCC2)CC1 ZINC001752307636 1201826459 /nfs/dbraw/zinc/82/64/59/1201826459.db2.gz BEQAXIYEBURVLN-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)C1CCCCC1 ZINC001699381553 1201827622 /nfs/dbraw/zinc/82/76/22/1201827622.db2.gz JIVUCMIOZWWAFC-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC[C@H](C)c1ccccc1 ZINC001699381958 1201828791 /nfs/dbraw/zinc/82/87/91/1201828791.db2.gz RIORGJCIUGONRJ-DZGCQCFKSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)Cc1ccccc1C ZINC001699387833 1201833079 /nfs/dbraw/zinc/83/30/79/1201833079.db2.gz ICVGSUDNFQGQPP-UKRRQHHQSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccc(C)s2)CC1 ZINC001752432076 1201837134 /nfs/dbraw/zinc/83/71/34/1201837134.db2.gz OTDKCWUWTSHPON-UHFFFAOYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001752520491 1201868372 /nfs/dbraw/zinc/86/83/72/1201868372.db2.gz ZLKQHQDRYBGXAM-FSBNRTBOSA-N 0 1 319.276 3.392 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccnc1 ZINC001752526466 1201869304 /nfs/dbraw/zinc/86/93/04/1201869304.db2.gz VGMVDVBNYHTFSE-HDICACEKSA-N 0 1 315.461 3.341 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CCC ZINC001752543725 1201872576 /nfs/dbraw/zinc/87/25/76/1201872576.db2.gz TYRKUAHSGPQTQI-IYBDPMFKSA-N 0 1 316.445 3.487 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1ocnc1C ZINC001752830573 1201956845 /nfs/dbraw/zinc/95/68/45/1201956845.db2.gz NHWLDAXZPXJGGX-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2nc(C)cs2)C1 ZINC001752836365 1201959990 /nfs/dbraw/zinc/95/99/90/1201959990.db2.gz FOQOZTPTFGAHQZ-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1ccccc1C)C1CC1 ZINC001699602155 1201969972 /nfs/dbraw/zinc/96/99/72/1201969972.db2.gz JBQFZIHSBHJGEM-YOEHRIQHSA-N 0 1 320.864 3.335 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001752910016 1202006488 /nfs/dbraw/zinc/00/64/88/1202006488.db2.gz OJSYRLIGPVRASD-BEFAXECRSA-N 0 1 315.461 3.369 20 30 DGEDMN CCC(=CC(=O)NCC1(NCc2ccc(C#N)s2)CC1)CC ZINC001699649130 1202013559 /nfs/dbraw/zinc/01/35/59/1202013559.db2.gz PNCCCAOTDPFEAA-UHFFFAOYSA-N 0 1 317.458 3.105 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2c(C)nsc2C)CC1 ZINC001699663779 1202023314 /nfs/dbraw/zinc/02/33/14/1202023314.db2.gz PNDNXKBJKPEQFS-MRXNPFEDSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC001699667770 1202025266 /nfs/dbraw/zinc/02/52/66/1202025266.db2.gz DASFQRYVNUBJCA-AWEZNQCLSA-N 0 1 320.864 3.343 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)C2(C)CCC2)C1 ZINC001752994377 1202044294 /nfs/dbraw/zinc/04/42/94/1202044294.db2.gz ATTMLAPGTIOLAK-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2scnc2C)C1 ZINC001752997186 1202046296 /nfs/dbraw/zinc/04/62/96/1202046296.db2.gz SPPSIMLJZYZLMN-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(C)c2F)C1 ZINC001699713299 1202047619 /nfs/dbraw/zinc/04/76/19/1202047619.db2.gz GAWKHXPESNCNLZ-AWEZNQCLSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCCC[C@H]2C2CC2)C1 ZINC001699715922 1202047818 /nfs/dbraw/zinc/04/78/18/1202047818.db2.gz LMBXHDSEHLCWHP-ZWKOTPCHSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001699725376 1202053827 /nfs/dbraw/zinc/05/38/27/1202053827.db2.gz CSBUXIASFZZZGJ-INIZCTEOSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc2cc[nH]c21 ZINC001699759333 1202067393 /nfs/dbraw/zinc/06/73/93/1202067393.db2.gz VEZDJQZOXALOTK-AWEZNQCLSA-N 0 1 317.820 3.115 20 30 DGEDMN C#CCN(C(=O)CC1CCCC1)C1CCN(C/C=C\Cl)CC1 ZINC001699778066 1202076770 /nfs/dbraw/zinc/07/67/70/1202076770.db2.gz HRAVMWCODQXHEA-YHYXMXQVSA-N 0 1 322.880 3.245 20 30 DGEDMN CC#CCN(C)CCNC(=O)c1ccccc1C(C)(C)CC ZINC001753053448 1202085700 /nfs/dbraw/zinc/08/57/00/1202085700.db2.gz NIIOQSYHEWBWDS-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001699850960 1202112597 /nfs/dbraw/zinc/11/25/97/1202112597.db2.gz ZBFATMBLAJOSAS-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(Cl)sc1Cl ZINC001753083917 1202114723 /nfs/dbraw/zinc/11/47/23/1202114723.db2.gz WYGJXFODTMNJMD-UHFFFAOYSA-N 0 1 319.257 3.130 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CC[C@@H](C)CC)C1 ZINC001699886700 1202136146 /nfs/dbraw/zinc/13/61/46/1202136146.db2.gz GEUGIGWEDAJCQQ-LSDHHAIUSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)C(=C)C)C1 ZINC001699888947 1202136660 /nfs/dbraw/zinc/13/66/60/1202136660.db2.gz VTMIXWQYJZDYMW-CQSZACIVSA-N 0 1 314.420 3.238 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)CC1CCCCC1 ZINC001753112330 1202142503 /nfs/dbraw/zinc/14/25/03/1202142503.db2.gz AHVMMEGLTFNSFT-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H]1CCCN1C/C=C/Cl)c1ccccc1 ZINC001753116357 1202145653 /nfs/dbraw/zinc/14/56/53/1202145653.db2.gz UVFXZVYDMPGOER-QZKWLWDQSA-N 0 1 318.848 3.289 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(CCC)CCC1 ZINC001700010369 1202162610 /nfs/dbraw/zinc/16/26/10/1202162610.db2.gz IPUYEOLOWLLYPE-IRXDYDNUSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC001700019628 1202166466 /nfs/dbraw/zinc/16/64/66/1202166466.db2.gz SNYMXSZMMSJZJS-CEXWTWQISA-N 0 1 316.489 3.315 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)CCC(C)(C)C ZINC001700018081 1202166684 /nfs/dbraw/zinc/16/66/84/1202166684.db2.gz WBDISHLDJSDVGP-IXDOHACOSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCCCCCC)[C@@H]2C1 ZINC001700020454 1202168087 /nfs/dbraw/zinc/16/80/87/1202168087.db2.gz VRXVWIHDVAHVNL-ZWKOTPCHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(CCNC(=O)C[C@@H](C)c1ccccc1C)C1CC1 ZINC001753161051 1202177436 /nfs/dbraw/zinc/17/74/36/1202177436.db2.gz KTQSWNRZTNNVLU-QGZVFWFLSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN(CCNC(=O)CC1CCC(C)(C)CC1)C1CC1 ZINC001753164857 1202178456 /nfs/dbraw/zinc/17/84/56/1202178456.db2.gz IWYQIZWRIOTXRJ-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C(C)=C3CCCC3)cccc2C1 ZINC001700123336 1202184957 /nfs/dbraw/zinc/18/49/57/1202184957.db2.gz QNJMROODYPEXIJ-UHFFFAOYSA-N 0 1 322.452 3.185 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001700133481 1202188065 /nfs/dbraw/zinc/18/80/65/1202188065.db2.gz WPOGOTPVXLUSOS-UHFFFAOYSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CCC1 ZINC001700134329 1202188195 /nfs/dbraw/zinc/18/81/95/1202188195.db2.gz UPKFNHRYGZYSLS-QDMKHBRRSA-N 0 1 310.869 3.194 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001700173669 1202194402 /nfs/dbraw/zinc/19/44/02/1202194402.db2.gz KYVZFONLLHAVBO-CQSZACIVSA-N 0 1 319.474 3.281 20 30 DGEDMN O=C(C#CC1CC1)NC[C@@H](NCc1ccsc1)c1ccccc1 ZINC001753298655 1202199559 /nfs/dbraw/zinc/19/95/59/1202199559.db2.gz LWYHWJRVKIMDJC-GOSISDBHSA-N 0 1 324.449 3.109 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(F)cc1C)c1ccccc1 ZINC001753302617 1202200822 /nfs/dbraw/zinc/20/08/22/1202200822.db2.gz GKKFOGKIBHSRNF-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN(C)Cc1cncc(F)c1 ZINC001700193313 1202206848 /nfs/dbraw/zinc/20/68/48/1202206848.db2.gz WBBGPHOOLVPAQF-UHFFFAOYSA-N 0 1 321.440 3.103 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCc2ccccc2)C1 ZINC001753323101 1202210113 /nfs/dbraw/zinc/21/01/13/1202210113.db2.gz LDJWBSQCTBKFIK-SFHVURJKSA-N 0 1 300.446 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)C=C(CC)CC)CO2 ZINC001700211468 1202211127 /nfs/dbraw/zinc/21/11/27/1202211127.db2.gz XZDYXDJQWNYMMA-RDJZCZTQSA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN(Cc2csc(C)n2)C1 ZINC001753331937 1202215438 /nfs/dbraw/zinc/21/54/38/1202215438.db2.gz DJFVYPAKIDXSBJ-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001753349768 1202223435 /nfs/dbraw/zinc/22/34/35/1202223435.db2.gz VJIXONRRQXKHLR-WCXIOVBPSA-N 0 1 322.880 3.122 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700291431 1202228700 /nfs/dbraw/zinc/22/87/00/1202228700.db2.gz CTQUVKPYSQWJFF-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ocnc2C)CC1(C)C ZINC001700291704 1202228726 /nfs/dbraw/zinc/22/87/26/1202228726.db2.gz ZGQGJIRHVHHGJS-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001753411332 1202244459 /nfs/dbraw/zinc/24/44/59/1202244459.db2.gz KDYNSIUBTZTFNF-UHFFFAOYSA-N 0 1 306.475 3.207 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1nsc2ccccc21 ZINC001700401727 1202262799 /nfs/dbraw/zinc/26/27/99/1202262799.db2.gz QICZMUZCIDRCHG-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001700403464 1202263647 /nfs/dbraw/zinc/26/36/47/1202263647.db2.gz YFEYJAUYYSLPPA-CHWSQXEVSA-N 0 1 309.841 3.074 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(C)[nH]c2ccccc21 ZINC001700407802 1202264491 /nfs/dbraw/zinc/26/44/91/1202264491.db2.gz GDYFMEUFNLMJTE-RYUDHWBXSA-N 0 1 319.836 3.325 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001700413414 1202266238 /nfs/dbraw/zinc/26/62/38/1202266238.db2.gz WXCLIZXGUKWDLQ-RYUDHWBXSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cnc2ccsc2c1 ZINC001753481448 1202270477 /nfs/dbraw/zinc/27/04/77/1202270477.db2.gz QSLSVDQSNFGGQY-LBPRGKRZSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cnc2ccsc2c1 ZINC001753481447 1202270574 /nfs/dbraw/zinc/27/05/74/1202270574.db2.gz QSLSVDQSNFGGQY-GFCCVEGCSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCCCC1(C)C ZINC001753497194 1202275472 /nfs/dbraw/zinc/27/54/72/1202275472.db2.gz LIJZELJPHMJDLB-UONOGXRCSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCCCC1(C)C ZINC001753497195 1202275732 /nfs/dbraw/zinc/27/57/32/1202275732.db2.gz LIJZELJPHMJDLB-ZIAGYGMSSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc2c1CCCCC2 ZINC001700463093 1202281750 /nfs/dbraw/zinc/28/17/50/1202281750.db2.gz DJCNTCXQSZGWTM-MRXNPFEDSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CCNCc2cscn2)C1 ZINC001753547456 1202287747 /nfs/dbraw/zinc/28/77/47/1202287747.db2.gz DPCINBCYVJELFK-HNNXBMFYSA-N 0 1 321.490 3.218 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(CC)c(CCC)s1 ZINC001700487399 1202295072 /nfs/dbraw/zinc/29/50/72/1202295072.db2.gz ZYDLPYKAYAABIB-AWEZNQCLSA-N 0 1 320.502 3.336 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C(C)(C)CC(C)(C)C ZINC001700531469 1202306614 /nfs/dbraw/zinc/30/66/14/1202306614.db2.gz MZNMSGGSLXGBBJ-INIZCTEOSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H](C)C1CN(Cc2ccc(C#N)cc2)C1 ZINC001700547448 1202310954 /nfs/dbraw/zinc/31/09/54/1202310954.db2.gz ZGNKUOFSSYAKAH-UZPPOTPCSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccnc2ccccc21 ZINC001753703147 1202316562 /nfs/dbraw/zinc/31/65/62/1202316562.db2.gz YSIAPGVKWROXFV-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc[nH]c1C)C1CCCCC1 ZINC001753744543 1202327493 /nfs/dbraw/zinc/32/74/93/1202327493.db2.gz JOYJAENHBWPJHV-MRXNPFEDSA-N 0 1 323.868 3.344 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1nc(C)oc1C)C(C)C ZINC001753829644 1202343065 /nfs/dbraw/zinc/34/30/65/1202343065.db2.gz AUELKUMTAMVJEX-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001700751848 1202348327 /nfs/dbraw/zinc/34/83/27/1202348327.db2.gz UHKYMLNEGZVSTM-HNNXBMFYSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001700763515 1202350241 /nfs/dbraw/zinc/35/02/41/1202350241.db2.gz CUMUZSCOTBGQTO-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001700767540 1202351179 /nfs/dbraw/zinc/35/11/79/1202351179.db2.gz KTPDTFYJCUHTLW-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001700848993 1202358632 /nfs/dbraw/zinc/35/86/32/1202358632.db2.gz ZVHYEHWYSGUEOP-GHMZBOCLSA-N 0 1 324.774 3.051 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001700856720 1202359709 /nfs/dbraw/zinc/35/97/09/1202359709.db2.gz VEPRPVLCNHSBQE-HFSMHLIXSA-N 0 1 324.468 3.197 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCC2CCCCC2)[C@@H]1C ZINC001700888201 1202366392 /nfs/dbraw/zinc/36/63/92/1202366392.db2.gz OGJUMVJRGFYCSY-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@@H](CNCc2cscn2)C1 ZINC001700905795 1202368157 /nfs/dbraw/zinc/36/81/57/1202368157.db2.gz VUFTVJRJHIEOKX-KGLIPLIRSA-N 0 1 321.490 3.120 20 30 DGEDMN CC(C)N(CCN(C)Cc1ccc(C#N)cc1)C(=O)C1CCC1 ZINC001700970809 1202372535 /nfs/dbraw/zinc/37/25/35/1202372535.db2.gz YXULKXRZCFZLJK-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](C)c1ccccc1C)C(C)C ZINC001700974895 1202374139 /nfs/dbraw/zinc/37/41/39/1202374139.db2.gz FDWANORNYMTVRU-GOSISDBHSA-N 0 1 314.473 3.291 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(F)cc1Cl)C(C)C ZINC001700975868 1202374543 /nfs/dbraw/zinc/37/45/43/1202374543.db2.gz BJRIXWBHAUUIBC-UHFFFAOYSA-N 0 1 324.827 3.285 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1Cl)C(C)(C)C ZINC001700983160 1202376349 /nfs/dbraw/zinc/37/63/49/1202376349.db2.gz OHSWICPODNBGLD-LLVKDONJSA-N 0 1 319.232 3.420 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)CC1CCCCC1 ZINC001707050911 1202569335 /nfs/dbraw/zinc/56/93/35/1202569335.db2.gz DUBDMCPPZVPVNE-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)[C@H]1C ZINC001707260149 1202578987 /nfs/dbraw/zinc/57/89/87/1202578987.db2.gz DGSTVVRVERELEA-NJAFHUGGSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1cccc(Cl)n1 ZINC001707383502 1202581862 /nfs/dbraw/zinc/58/18/62/1202581862.db2.gz YHCRAEWNHOUREO-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C#C[C@H](CC)NCc1ccc(OC(F)F)cc1OC(F)F ZINC000229878472 1202596983 /nfs/dbraw/zinc/59/69/83/1202596983.db2.gz KVPQLWSRKHVVQZ-SNVBAGLBSA-N 0 1 305.271 3.391 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1cccc(Cl)n1 ZINC001712973845 1202607396 /nfs/dbraw/zinc/60/73/96/1202607396.db2.gz AEGQBIWAVGBALM-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@H](CC)C(C)(C)C)CC1 ZINC001713056996 1202613467 /nfs/dbraw/zinc/61/34/67/1202613467.db2.gz BCOPTWIIPGVJNF-INIZCTEOSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)C(C)(C)C ZINC001713143904 1202621612 /nfs/dbraw/zinc/62/16/12/1202621612.db2.gz GOSSQIUVIWQCKI-ZACQAIPSSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001713144133 1202621810 /nfs/dbraw/zinc/62/18/10/1202621810.db2.gz TXVGVYRCUHAYOG-VVLHAWIVSA-N 0 1 304.478 3.003 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H](C)c1ccccc1C ZINC001713258453 1202632627 /nfs/dbraw/zinc/63/26/27/1202632627.db2.gz XQMZJMXASYMESE-ROUUACIJSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H](C)c1ccccc1C ZINC001713258454 1202632662 /nfs/dbraw/zinc/63/26/62/1202632662.db2.gz XQMZJMXASYMESE-ZWKOTPCHSA-N 0 1 312.457 3.093 20 30 DGEDMN Cc1ccc(CN[C@H](C)CNC(=O)C#CC(C)(C)C)c(Cl)c1 ZINC001713309550 1202638032 /nfs/dbraw/zinc/63/80/32/1202638032.db2.gz DLVXRMHHZUKKLK-CQSZACIVSA-N 0 1 320.864 3.292 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)Cc1ccc(F)c(F)c1 ZINC001713454837 1202652034 /nfs/dbraw/zinc/65/20/34/1202652034.db2.gz AZCHPEYWTCZRSQ-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CC#CCN(CC)CCNC(=O)CCc1ccc(C)c(Cl)c1 ZINC001713489250 1202658770 /nfs/dbraw/zinc/65/87/70/1202658770.db2.gz NCOMKTHBCHNYDQ-UHFFFAOYSA-N 0 1 320.864 3.042 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001713511816 1202662653 /nfs/dbraw/zinc/66/26/53/1202662653.db2.gz BPXTXURLOIEROM-VPEZWSJASA-N 0 1 323.440 3.161 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CC[C@H]1c1ccc(F)cc1 ZINC001713618208 1202673579 /nfs/dbraw/zinc/67/35/79/1202673579.db2.gz QDMRKBONCLFAJS-HOTGVXAUSA-N 0 1 324.827 3.120 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCCC1)c1ccc(C(C)C)cc1 ZINC001713697454 1202678355 /nfs/dbraw/zinc/67/83/55/1202678355.db2.gz HGXDRGOTOAGHOF-IBGZPJMESA-N 0 1 312.457 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1sccc1C1CC1)c1ccccc1 ZINC001713703858 1202678928 /nfs/dbraw/zinc/67/89/28/1202678928.db2.gz NEEYDWFWUKCBSA-KRWDZBQOSA-N 0 1 324.449 3.319 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC(C)(C)CC)C1 ZINC001713730779 1202683420 /nfs/dbraw/zinc/68/34/20/1202683420.db2.gz FCDFIMNYGSTNDB-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001713731376 1202683459 /nfs/dbraw/zinc/68/34/59/1202683459.db2.gz JSOMMCJIDHPORH-QWHCGFSZSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(CC)cc2)C1 ZINC001713739399 1202684794 /nfs/dbraw/zinc/68/47/94/1202684794.db2.gz DGCUKTUZEKRNAB-MRXNPFEDSA-N 0 1 320.864 3.443 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2ccccc2C)C1 ZINC001713840386 1202694801 /nfs/dbraw/zinc/69/48/01/1202694801.db2.gz MMORMXMDKVJLHW-MRXNPFEDSA-N 0 1 300.446 3.083 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2ccccc2C)C1 ZINC001713840384 1202694813 /nfs/dbraw/zinc/69/48/13/1202694813.db2.gz MMORMXMDKVJLHW-INIZCTEOSA-N 0 1 300.446 3.083 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(C)(C)C(=C)C)CC1 ZINC001713848252 1202695517 /nfs/dbraw/zinc/69/55/17/1202695517.db2.gz USJWNMBFNJQCGS-CQSZACIVSA-N 0 1 310.869 3.312 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2sc(C)cc2C)CC1 ZINC001713852816 1202695678 /nfs/dbraw/zinc/69/56/78/1202695678.db2.gz HKTWSSSCOTZQTD-UHFFFAOYSA-N 0 1 318.486 3.315 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C(C)(C)C1CCCC1)C1CC1 ZINC001713867130 1202697185 /nfs/dbraw/zinc/69/71/85/1202697185.db2.gz BQKGXDQKTNMBFZ-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCC1(C(=O)NC2CN(CC(CC)CC)C2)CCCCC1 ZINC001713870704 1202697574 /nfs/dbraw/zinc/69/75/74/1202697574.db2.gz BWAFOVGXRJHAJB-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CCCCCC ZINC001713912178 1202701699 /nfs/dbraw/zinc/70/16/99/1202701699.db2.gz QWUCHJHFPDQCSB-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCC(C)(C)c2ccccc2)C1 ZINC001713926429 1202702745 /nfs/dbraw/zinc/70/27/45/1202702745.db2.gz JVVVQJGEFQEJEO-UHFFFAOYSA-N 0 1 314.473 3.369 20 30 DGEDMN C=C[C@@H](CC(=O)NCC1CN(CC=C(C)C)C1)c1ccccc1 ZINC001713925991 1202702816 /nfs/dbraw/zinc/70/28/16/1202702816.db2.gz SXXMBGCTDFZQKN-SFHVURJKSA-N 0 1 312.457 3.361 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@H](NCC(=C)Cl)C2)CCCCC1 ZINC001713932469 1202703371 /nfs/dbraw/zinc/70/33/71/1202703371.db2.gz CDWNHUKHRAHTJC-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1sc(C)nc1C ZINC001713941004 1202704101 /nfs/dbraw/zinc/70/41/01/1202704101.db2.gz AUABCBUMKRONLC-UGFHNGPFSA-N 0 1 319.474 3.013 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001713986409 1202715063 /nfs/dbraw/zinc/71/50/63/1202715063.db2.gz SNZVNIVJTHRTLM-JCGIZDLHSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCCCC1(F)F ZINC001714090737 1202768867 /nfs/dbraw/zinc/76/88/67/1202768867.db2.gz KXWMZIRWJCGUFH-NEPJUHHUSA-N 0 1 308.800 3.049 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1c(C)noc1C ZINC001714110690 1202781519 /nfs/dbraw/zinc/78/15/19/1202781519.db2.gz JNKYFIWYXHEOMK-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1c(C)noc1C ZINC001714110691 1202782309 /nfs/dbraw/zinc/78/23/09/1202782309.db2.gz JNKYFIWYXHEOMK-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@@H](C)CC(C)(C)C ZINC001714116310 1202786889 /nfs/dbraw/zinc/78/68/89/1202786889.db2.gz ZBSAZMOGAYJBNV-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccoc1CC ZINC001714125821 1202792454 /nfs/dbraw/zinc/79/24/54/1202792454.db2.gz DHGUKYZZAGDBLV-CYBMUJFWSA-N 0 1 310.825 3.179 20 30 DGEDMN C=CCCC(=O)N[C@@](C)(CNCc1nc(C)sc1C)C1CC1 ZINC001714158941 1202809545 /nfs/dbraw/zinc/80/95/45/1202809545.db2.gz FHHNVMAUKBDGRF-KRWDZBQOSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](CCNCc2nccs2)C1 ZINC001714222459 1202837761 /nfs/dbraw/zinc/83/77/61/1202837761.db2.gz UDPSKRGNMCXCOJ-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cn(CC)c2ccccc12 ZINC001714252777 1202848825 /nfs/dbraw/zinc/84/88/25/1202848825.db2.gz FGKCJPJBPNKNME-CYBMUJFWSA-N 0 1 319.836 3.122 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001714278955 1202860490 /nfs/dbraw/zinc/86/04/90/1202860490.db2.gz QJZVSKQZBCZEBY-GJZGRUSLSA-N 0 1 320.864 3.078 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NCc1ccc(F)cc1F ZINC001714279292 1202861618 /nfs/dbraw/zinc/86/16/18/1202861618.db2.gz QEGIJMFRAYRPBQ-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C2C=CC=CC=C2)CC1 ZINC001714327001 1202885031 /nfs/dbraw/zinc/88/50/31/1202885031.db2.gz GTGUYZQFYDMUIY-UHFFFAOYSA-N 0 1 320.864 3.256 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cc(C)ccc1F ZINC001714348720 1202891283 /nfs/dbraw/zinc/89/12/83/1202891283.db2.gz OFKNGARXPGEVPR-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCC(=O)NCCN(C)Cc1ccc(C(F)(F)F)cc1 ZINC001714541307 1202988055 /nfs/dbraw/zinc/98/80/55/1202988055.db2.gz YPTJYRYLOKNWOQ-UHFFFAOYSA-N 0 1 314.351 3.220 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001714568770 1203009895 /nfs/dbraw/zinc/00/98/95/1203009895.db2.gz NFFMDBSMRIYTER-OAHLLOKOSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(Cl)c1C ZINC001714624169 1203059261 /nfs/dbraw/zinc/05/92/61/1203059261.db2.gz LPEMQISTECSFNX-NSHDSACASA-N 0 1 315.244 3.451 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cc(Cl)ccc1F ZINC001714715843 1203107789 /nfs/dbraw/zinc/10/77/89/1203107789.db2.gz KEWJIJQTBSNGHK-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2nc(C)cs2)CCC1 ZINC001714746315 1203115502 /nfs/dbraw/zinc/11/55/02/1203115502.db2.gz JULDVKWDTLBYMO-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2ccccc2n1CC ZINC001714798007 1203129085 /nfs/dbraw/zinc/12/90/85/1203129085.db2.gz NITBGXLKIODHSB-UHFFFAOYSA-N 0 1 319.836 3.075 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@H](C)NCc2coc(C)n2)CC1 ZINC001714811012 1203134120 /nfs/dbraw/zinc/13/41/20/1203134120.db2.gz FBKWPHZZGCKVBY-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1Cc2ccccc21)C(C)C ZINC001714826984 1203141309 /nfs/dbraw/zinc/14/13/09/1203141309.db2.gz FBHCYBJSSHQRBQ-KRWDZBQOSA-N 0 1 320.864 3.296 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC2CCC1CC2)c1ccccc1 ZINC001714839256 1203146601 /nfs/dbraw/zinc/14/66/01/1203146601.db2.gz GCAJZPSODNCGAV-TZJYRCSTSA-N 0 1 324.468 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccc(C)cc1F)c1ccccc1 ZINC001714838331 1203146620 /nfs/dbraw/zinc/14/66/20/1203146620.db2.gz FIFKOBTZZUTLOO-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(C)C(C)(F)F)c1ccccc1 ZINC001714848035 1203150034 /nfs/dbraw/zinc/15/00/34/1203150034.db2.gz LSJMLUOCTWLMGW-HNNXBMFYSA-N 0 1 322.399 3.138 20 30 DGEDMN CCCC(=O)N(CCC)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001714852973 1203152451 /nfs/dbraw/zinc/15/24/51/1203152451.db2.gz NADYALUQZBQFSC-GOSISDBHSA-N 0 1 313.445 3.171 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC2CCCCC2)C1 ZINC001714853531 1203154000 /nfs/dbraw/zinc/15/40/00/1203154000.db2.gz UORDTSRVQFGDLJ-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C2C(C)(C)C2(C)C)C1 ZINC001714857718 1203157208 /nfs/dbraw/zinc/15/72/08/1203157208.db2.gz MABDXMHIWFWCQY-HNNXBMFYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccc(F)s2)C1 ZINC001714859056 1203159717 /nfs/dbraw/zinc/15/97/17/1203159717.db2.gz BBLPNZVTBGDXHE-ZDUSSCGKSA-N 0 1 310.438 3.390 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C3CC3)on2)C1 ZINC001714867292 1203163484 /nfs/dbraw/zinc/16/34/84/1203163484.db2.gz HXQVURUNKRNBSA-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2csc(C3CC3)n2)C1 ZINC001714867818 1203164674 /nfs/dbraw/zinc/16/46/74/1203164674.db2.gz WQBMPCGBBOICFJ-CQSZACIVSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cscc2C)C1 ZINC001714897190 1203184194 /nfs/dbraw/zinc/18/41/94/1203184194.db2.gz DWLHWDICAZRNFN-ZDUSSCGKSA-N 0 1 312.866 3.203 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCCC2CC2)CC1 ZINC001714914095 1203197406 /nfs/dbraw/zinc/19/74/06/1203197406.db2.gz MVKTYRYULILQEH-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCC1(C(=O)NC2CN(CCC(C)(C)C)C2)CCCCC1 ZINC001714952943 1203214380 /nfs/dbraw/zinc/21/43/80/1203214380.db2.gz GZCHNQKRDQGELR-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC[C@@H](C(=O)NC1CN(C[C@H](C)CC)C1)c1ccccc1 ZINC001714954767 1203215470 /nfs/dbraw/zinc/21/54/70/1203215470.db2.gz WOJSGPHGTLPPSY-CRAIPNDOSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCCc2ccccc2)C1 ZINC001714973615 1203222270 /nfs/dbraw/zinc/22/22/70/1203222270.db2.gz ZSTNWRYLOFWXHE-UHFFFAOYSA-N 0 1 300.446 3.024 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C/C=C/Cc1ccccc1 ZINC001714987954 1203226908 /nfs/dbraw/zinc/22/69/08/1203226908.db2.gz QFOHWGOXVUUVBP-FNYRVFDFSA-N 0 1 310.441 3.037 20 30 DGEDMN CCCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001715007589 1203234968 /nfs/dbraw/zinc/23/49/68/1203234968.db2.gz CFMKYWPAASYBLN-SFHVURJKSA-N 0 1 313.445 3.171 20 30 DGEDMN CC[C@H](CNC(=O)C1CCCCC1)NCc1ccccc1C#N ZINC001715049166 1203254455 /nfs/dbraw/zinc/25/44/55/1203254455.db2.gz IZKUIOJZFPXKNT-GOSISDBHSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](CC)NCc2ncc(C)s2)CC1 ZINC001715075632 1203264501 /nfs/dbraw/zinc/26/45/01/1203264501.db2.gz XXOZPVQJBPYUEU-HNNXBMFYSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(Cl)cn1CC ZINC001715079769 1203265594 /nfs/dbraw/zinc/26/55/94/1203265594.db2.gz LMMAAKUGNVTVIP-LBPRGKRZSA-N 0 1 318.248 3.012 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001753442225 1203287818 /nfs/dbraw/zinc/28/78/18/1203287818.db2.gz YEVKLMLDRKYJPS-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H]2CCN(CC#CC)C2)CCCCC1 ZINC001753449777 1203289481 /nfs/dbraw/zinc/28/94/81/1203289481.db2.gz MUUUHOYNNGEVJW-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1cnc(Cl)s1 ZINC001715339207 1203319209 /nfs/dbraw/zinc/31/92/09/1203319209.db2.gz MWQPIEURYSBSPE-LLVKDONJSA-N 0 1 315.870 3.089 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)OCCCCCC)CC2 ZINC001715313490 1203313625 /nfs/dbraw/zinc/31/36/25/1203313625.db2.gz GCXUTAZKGZAWHD-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001715352541 1203321995 /nfs/dbraw/zinc/32/19/95/1203321995.db2.gz HNXIAIHVWHQJDG-HNNXBMFYSA-N 0 1 313.445 3.097 20 30 DGEDMN C#CCCCC(=O)N1CCC(CCN(C)CC(=C)Cl)CC1 ZINC001715470429 1203346110 /nfs/dbraw/zinc/34/61/10/1203346110.db2.gz OBSWLRMNFVCGDG-UHFFFAOYSA-N 0 1 310.869 3.103 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1cnc(C)o1 ZINC001715482044 1203349132 /nfs/dbraw/zinc/34/91/32/1203349132.db2.gz ZLLQCEXONRGZNE-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001715497623 1203352617 /nfs/dbraw/zinc/35/26/17/1203352617.db2.gz XBAGZHSVNZQCKH-BXBOZWQASA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715527329 1203361974 /nfs/dbraw/zinc/36/19/74/1203361974.db2.gz NYUJABKLPRCEIK-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715535552 1203365556 /nfs/dbraw/zinc/36/55/56/1203365556.db2.gz UEJBJZIXURDXDW-HZPDHXFCSA-N 0 1 315.461 3.131 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@H]1CNCc1nc(C)oc1C ZINC001715572444 1203372650 /nfs/dbraw/zinc/37/26/50/1203372650.db2.gz UCYDCKFPCDSMDG-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C=C1CCCCC1 ZINC001715720774 1203384406 /nfs/dbraw/zinc/38/44/06/1203384406.db2.gz NXWUCESOKYWOMQ-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001715736309 1203386460 /nfs/dbraw/zinc/38/64/60/1203386460.db2.gz QDCJWKYOQVWYKT-UONOGXRCSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C(C)(C)C)cn1 ZINC001715822888 1203395695 /nfs/dbraw/zinc/39/56/95/1203395695.db2.gz YNFORQYPUIDUOK-WAYWQWQTSA-N 0 1 321.852 3.007 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@H](CC)C1CCC(C)CC1 ZINC001715842119 1203396971 /nfs/dbraw/zinc/39/69/71/1203396971.db2.gz VJCCVXQMJPDTAQ-DAWZGUTISA-N 0 1 306.494 3.252 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2occc2s1 ZINC001715876653 1203400271 /nfs/dbraw/zinc/40/02/71/1203400271.db2.gz UGQCNKYKWUYPPE-NSCUHMNNSA-N 0 1 310.806 3.122 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(Cl)cs1 ZINC001715879761 1203400508 /nfs/dbraw/zinc/40/05/08/1203400508.db2.gz USEFOCPGPJTOGE-IHWYPQMZSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](c1ccccc1)C(C)C ZINC001715949807 1203409523 /nfs/dbraw/zinc/40/95/23/1203409523.db2.gz UNDHVTYUZRVOFG-ZBFHGGJFSA-N 0 1 308.853 3.273 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001715979846 1203415062 /nfs/dbraw/zinc/41/50/62/1203415062.db2.gz XETQZXVVTIMHHL-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(Cl)c2occc21 ZINC001715983661 1203415998 /nfs/dbraw/zinc/41/59/98/1203415998.db2.gz PMXYETNDXJAKCH-UHFFFAOYSA-N 0 1 318.804 3.113 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)c1ccc(OC)cc1 ZINC001716008804 1203420774 /nfs/dbraw/zinc/42/07/74/1203420774.db2.gz KFVVWIPPDQAVDF-BBRMVZONSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1c(C)oc2ccccc21 ZINC001716011138 1203421010 /nfs/dbraw/zinc/42/10/10/1203421010.db2.gz WFCOIUGSWIAKLH-LBPRGKRZSA-N 0 1 320.820 3.131 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CC[C@H](C)c1ccccc1 ZINC001716042259 1203434041 /nfs/dbraw/zinc/43/40/41/1203434041.db2.gz QCXIAMCZQQEMKI-DLBZAZTESA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(C)(C)CCC)CC[C@H]21 ZINC001716051591 1203435944 /nfs/dbraw/zinc/43/59/44/1203435944.db2.gz OYMKBOBXNYPHSS-LSDHHAIUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1nc2ccccc2o1 ZINC001716143989 1203443231 /nfs/dbraw/zinc/44/32/31/1203443231.db2.gz SLFAWUUWDRJJKH-CQSZACIVSA-N 0 1 315.417 3.169 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCN[C@H](C)c1ncc(C)o1 ZINC001716177559 1203446310 /nfs/dbraw/zinc/44/63/10/1203446310.db2.gz ZJJKNNNFFJLJBV-TZMCWYRMSA-N 0 1 307.438 3.131 20 30 DGEDMN CCN(CCNCC#Cc1ccc(F)cc1)C(=O)CCC(C)C ZINC001716205779 1203449231 /nfs/dbraw/zinc/44/92/31/1203449231.db2.gz YEQUPKWNHZJIRQ-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN CCC[C@H](C)C(=O)N(CC)CCNCc1cc(C#N)ccc1F ZINC001716210109 1203449559 /nfs/dbraw/zinc/44/95/59/1203449559.db2.gz HNPFDFGCMWCMGZ-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2ccncc2Cl)C1 ZINC001716239928 1203452900 /nfs/dbraw/zinc/45/29/00/1203452900.db2.gz PNGUPEQMWVFJCX-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(F)cc1Cl ZINC001716251922 1203453985 /nfs/dbraw/zinc/45/39/85/1203453985.db2.gz VUCUXVWOXUEZJE-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2conc2C)CCCC1 ZINC001716257533 1203454482 /nfs/dbraw/zinc/45/44/82/1203454482.db2.gz VNHMHDCCFSOBKC-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CC(C)C#CC(=O)NC/C=C\CN[C@H](C)c1ccccc1Cl ZINC001716291458 1203457762 /nfs/dbraw/zinc/45/77/62/1203457762.db2.gz QRGGUZGRFXGFOM-IDTUSYRASA-N 0 1 318.848 3.322 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C\CNCc2cc(C)ccc2F)C1 ZINC001716341154 1203460791 /nfs/dbraw/zinc/46/07/91/1203460791.db2.gz GKKPNPCHZIKOCK-PLNGDYQASA-N 0 1 316.420 3.252 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C)(C)CC(C)(C)C ZINC001716379510 1203463238 /nfs/dbraw/zinc/46/32/38/1203463238.db2.gz PBQFGMZAHAZHRQ-BQYQJAHWSA-N 0 1 300.874 3.463 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001716393253 1203465618 /nfs/dbraw/zinc/46/56/18/1203465618.db2.gz XYTRQJNWXDDAHW-KGLIPLIRSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN[C@H](C)c2c(F)cccc2F)C1 ZINC001716411276 1203468317 /nfs/dbraw/zinc/46/83/17/1203468317.db2.gz GFCPSGHHTRZUFJ-CHWSQXEVSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001716411113 1203468566 /nfs/dbraw/zinc/46/85/66/1203468566.db2.gz XLLPZMSYFRRECK-FZKQIMNGSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001716423714 1203470487 /nfs/dbraw/zinc/47/04/87/1203470487.db2.gz GCSODODIXBSHKH-NEPJUHHUSA-N 0 1 315.244 3.290 20 30 DGEDMN C[C@H](CN(C)[C@H](C)c1ccccc1Cl)NC(=O)C#CC1CC1 ZINC001716479130 1203484685 /nfs/dbraw/zinc/48/46/85/1203484685.db2.gz ZHHCFRNOIBTJLK-ZIAGYGMSSA-N 0 1 318.848 3.251 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](c2ccccc2)C2CC2)CC1 ZINC001716688075 1203525258 /nfs/dbraw/zinc/52/52/58/1203525258.db2.gz UGQFSHAMYZUPOD-INIZCTEOSA-N 0 1 318.848 3.171 20 30 DGEDMN C=CCCCC(=O)NCC1(N[C@H](C)c2ccccc2F)CC1 ZINC001716692223 1203526277 /nfs/dbraw/zinc/52/62/77/1203526277.db2.gz MEAZISVTMSNYGJ-CQSZACIVSA-N 0 1 304.409 3.481 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001716693516 1203526593 /nfs/dbraw/zinc/52/65/93/1203526593.db2.gz VJFACQVFPNRKRY-MRXNPFEDSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CCc3ccccc32)CC1 ZINC001716697967 1203527347 /nfs/dbraw/zinc/52/73/47/1203527347.db2.gz LCRCJHQPGRMRQN-HNNXBMFYSA-N 0 1 318.848 3.097 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C2CCC(F)CC2)C1 ZINC001716747591 1203536355 /nfs/dbraw/zinc/53/63/55/1203536355.db2.gz HUCMIYHRPCHJRP-UHFFFAOYSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001716749212 1203536825 /nfs/dbraw/zinc/53/68/25/1203536825.db2.gz CHTAGXRVBYSOGY-ZWKOTPCHSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C(C)(C)c2ccccc2)C1 ZINC001716752957 1203537744 /nfs/dbraw/zinc/53/77/44/1203537744.db2.gz NXQPNDHNCFGWBB-MRXNPFEDSA-N 0 1 314.473 3.462 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001716753498 1203538484 /nfs/dbraw/zinc/53/84/84/1203538484.db2.gz HXQWCNKLGFVNQI-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001716753576 1203538525 /nfs/dbraw/zinc/53/85/25/1203538525.db2.gz KPTHNXGMMKUGKX-IRXDYDNUSA-N 0 1 314.473 3.363 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2nocc2C)C[C@@H]1C ZINC001716761252 1203539632 /nfs/dbraw/zinc/53/96/32/1203539632.db2.gz IUCTUEQCQGROLS-GJZGRUSLSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc2c(s1)CCC2 ZINC001716789683 1203543143 /nfs/dbraw/zinc/54/31/43/1203543143.db2.gz YDRGEZCFCWVZFZ-ZDUSSCGKSA-N 0 1 324.877 3.184 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2ccccc2Cl)C1 ZINC001716836490 1203551453 /nfs/dbraw/zinc/55/14/53/1203551453.db2.gz DBBDFOCODFLWHR-QGZVFWFLSA-N 0 1 306.837 3.387 20 30 DGEDMN CC(C)=CC(=O)NC[C@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001716892109 1203563378 /nfs/dbraw/zinc/56/33/78/1203563378.db2.gz VZFQYJGYKMBQPY-NRFANRHFSA-N 0 1 324.468 3.223 20 30 DGEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2ccc(C(F)F)o2)C1 ZINC001716901510 1203566550 /nfs/dbraw/zinc/56/65/50/1203566550.db2.gz JVAPZAGSYJWXJO-QGZVFWFLSA-N 0 1 324.371 3.072 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC3(C)CCCC3)cccc2C1 ZINC001717143355 1203589672 /nfs/dbraw/zinc/58/96/72/1203589672.db2.gz GRYXDUXOCVYXHQ-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccnc(Cl)c2)CC1 ZINC001717191835 1203595586 /nfs/dbraw/zinc/59/55/86/1203595586.db2.gz UHEWOKDDKWYIQQ-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CCCC1CC1 ZINC001717243418 1203609225 /nfs/dbraw/zinc/60/92/25/1203609225.db2.gz DIPDQNSDVYXAOR-HXUWFJFHSA-N 0 1 324.468 3.133 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3(CCC)CC3)cc2C1 ZINC001717270558 1203610928 /nfs/dbraw/zinc/61/09/28/1203610928.db2.gz CFCYGIVEQJQETL-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN CC#CCCCC(=O)NCc1ccc2c(c1)CN(CCCF)C2 ZINC001717270794 1203610992 /nfs/dbraw/zinc/61/09/92/1203610992.db2.gz LYEJHKTXUVRZGN-UHFFFAOYSA-N 0 1 316.420 3.172 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](F)C(C)C ZINC001717343773 1203622274 /nfs/dbraw/zinc/62/22/74/1203622274.db2.gz AJRHGKRHSPEGTH-ZWKOTPCHSA-N 0 1 318.436 3.272 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2cc(C)c(C)cc2o1 ZINC001717372485 1203628518 /nfs/dbraw/zinc/62/85/18/1203628518.db2.gz AZNXVCUGCDEPIY-QGZVFWFLSA-N 0 1 324.424 3.219 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)c1ccccc1C ZINC001717376380 1203630932 /nfs/dbraw/zinc/63/09/32/1203630932.db2.gz PPGZTRBBZXFGPC-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC[C@@H]1CCc2ccccc21 ZINC001717376372 1203631555 /nfs/dbraw/zinc/63/15/55/1203631555.db2.gz PKVAQFSFCUKRCD-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(OC)ccc1Cl ZINC001717401432 1203636406 /nfs/dbraw/zinc/63/64/06/1203636406.db2.gz RWWINNHJBZTGLS-OLZOCXBDSA-N 0 1 324.852 3.298 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1c(C)noc1CC ZINC001717423391 1203641137 /nfs/dbraw/zinc/64/11/37/1203641137.db2.gz RXSKFUDLHLVNRW-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(Cl)c(F)c1 ZINC001717426704 1203642194 /nfs/dbraw/zinc/64/21/94/1203642194.db2.gz JXNCTSRBBYGBSA-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C12CCC(CC1)C2(C)C ZINC001717429414 1203642445 /nfs/dbraw/zinc/64/24/45/1203642445.db2.gz SUVPYJDDBYPHES-YRFCHQJDSA-N 0 1 312.885 3.438 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccsc1Cl ZINC001717429706 1203642482 /nfs/dbraw/zinc/64/24/82/1203642482.db2.gz XEGZHDMXAXSLHA-RKDXNWHRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001717474379 1203656434 /nfs/dbraw/zinc/65/64/34/1203656434.db2.gz LRRLOUOLDTUHFU-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(C)CCCCC1 ZINC001717539585 1203676952 /nfs/dbraw/zinc/67/69/52/1203676952.db2.gz YMYQPIBQUFZXKE-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CCC2CCCCCC2)C1 ZINC001717552489 1203680471 /nfs/dbraw/zinc/68/04/71/1203680471.db2.gz GBABAXCUEJZIOC-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(CCc2ccccc2F)C1 ZINC001717554666 1203680686 /nfs/dbraw/zinc/68/06/86/1203680686.db2.gz GSGWTDPMDCBNRO-CQSZACIVSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001717564755 1203682915 /nfs/dbraw/zinc/68/29/15/1203682915.db2.gz MERPTNBOEFNFBB-INIZCTEOSA-N 0 1 314.473 3.367 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC001717575080 1203683773 /nfs/dbraw/zinc/68/37/73/1203683773.db2.gz WJHQDWMKCXFNRN-IBGZPJMESA-N 0 1 324.509 3.450 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)C=C(CC)CC)C2)C1 ZINC001717573225 1203683873 /nfs/dbraw/zinc/68/38/73/1203683873.db2.gz LMKNOGCRAGTWGB-LJQANCHMSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CC3CCCCC3)[C@@H]2C1 ZINC001717590629 1203688291 /nfs/dbraw/zinc/68/82/91/1203688291.db2.gz MVSBJMFMLZEPSR-PHZGNYQRSA-N 0 1 310.869 3.003 20 30 DGEDMN CC(C)=CC(=O)N[C@]12CCC[C@H]1N(CC#Cc1ccccc1)CC2 ZINC001717834371 1203718674 /nfs/dbraw/zinc/71/86/74/1203718674.db2.gz SCCPDYNQZRBONF-CTNGQTDRSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](F)CC3CCCCC3)CCC[C@@H]12 ZINC001717840524 1203719708 /nfs/dbraw/zinc/71/97/08/1203719708.db2.gz JDUCUPAQPJYDCL-YQVWRLOYSA-N 0 1 320.452 3.041 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCCC2(CC2)C1)C(C)C ZINC001717948451 1203733041 /nfs/dbraw/zinc/73/30/41/1203733041.db2.gz MPUBNGOBUKVBQE-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@H](NC(=O)CC1CC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001717981719 1203739525 /nfs/dbraw/zinc/73/95/25/1203739525.db2.gz RZSZJSAFRGGBMA-KRWDZBQOSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C2CCC2)cc1 ZINC001723423944 1203970445 /nfs/dbraw/zinc/97/04/45/1203970445.db2.gz TWBUERMDHTZSAC-FQEVSTJZSA-N 0 1 324.468 3.448 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(F)cc3ccoc32)CC1 ZINC001723531458 1203980844 /nfs/dbraw/zinc/98/08/44/1203980844.db2.gz CNZLPKKJGBCNKS-UHFFFAOYSA-N 0 1 322.767 3.176 20 30 DGEDMN CC(C)CCCC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001723560873 1203982664 /nfs/dbraw/zinc/98/26/64/1203982664.db2.gz HNDNKFVOMYDOAR-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@]3(CCN(CCF)C3)C2)CCCCC1 ZINC001723721033 1203990518 /nfs/dbraw/zinc/99/05/18/1203990518.db2.gz HMNRRKRYRMTDLB-IBGZPJMESA-N 0 1 322.468 3.407 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC001723777267 1203992653 /nfs/dbraw/zinc/99/26/53/1203992653.db2.gz VMYCHBYDNKSYFX-WMLDXEAASA-N 0 1 316.445 3.054 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc3ccccn3c2)C1 ZINC001723799961 1203993665 /nfs/dbraw/zinc/99/36/65/1203993665.db2.gz SIOUDGHOFDSTOG-OAHLLOKOSA-N 0 1 311.429 3.050 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001723820844 1203994312 /nfs/dbraw/zinc/99/43/12/1203994312.db2.gz WJJYGTLFJAHXFL-HNNXBMFYSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001723931187 1203999016 /nfs/dbraw/zinc/99/90/16/1203999016.db2.gz LIZCXTTZZYVKBG-XLCPLWEUSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)C1CC1)C1CCN(C/C=C/Cl)CC1 ZINC001724037652 1204002226 /nfs/dbraw/zinc/00/22/26/1204002226.db2.gz CHSOVFZSDSOYQG-BTCKXDFZSA-N 0 1 320.864 3.021 20 30 DGEDMN C#CCN(C(=O)CCCCC)C1CCN(C[C@H](F)CC)CC1 ZINC001724029393 1204002291 /nfs/dbraw/zinc/00/22/91/1204002291.db2.gz LCGRDEPSXSREHY-MRXNPFEDSA-N 0 1 310.457 3.241 20 30 DGEDMN CC(C)CCC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001724130321 1204006651 /nfs/dbraw/zinc/00/66/51/1204006651.db2.gz IRRVBEAXCAUTMQ-IBGZPJMESA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)C#CC(=O)N[C@@]1(C)CCN([C@@H](C)c2ccccc2F)C1 ZINC001724154727 1204010206 /nfs/dbraw/zinc/01/02/06/1204010206.db2.gz OPJOHLNEGUNSSV-KXBFYZLASA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001724378291 1204025315 /nfs/dbraw/zinc/02/53/15/1204025315.db2.gz BSWBDVXSBHMEQM-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]2CN(Cc3ccccc3F)C[C@H]21 ZINC001724650394 1204036096 /nfs/dbraw/zinc/03/60/96/1204036096.db2.gz XZKNAXRLAGLPIN-FUHWJXTLSA-N 0 1 316.420 3.215 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccn1 ZINC001724652007 1204036316 /nfs/dbraw/zinc/03/63/16/1204036316.db2.gz NTVBOPNWLLXRJL-MSOLQXFVSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)C1CCCC1 ZINC001724740553 1204041913 /nfs/dbraw/zinc/04/19/13/1204041913.db2.gz JEQPKGQQSBUPLO-MRXNPFEDSA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C[C@@H](C)C1CC1 ZINC001724739722 1204041924 /nfs/dbraw/zinc/04/19/24/1204041924.db2.gz DHFIVYNYTHOTTO-MRXNPFEDSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3c(o2)CCCC3)CCC1 ZINC001724784507 1204046835 /nfs/dbraw/zinc/04/68/35/1204046835.db2.gz NYWXXZDJFNPIFO-UHFFFAOYSA-N 0 1 322.836 3.153 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001724831664 1204050518 /nfs/dbraw/zinc/05/05/18/1204050518.db2.gz NWGUXFHRAIGFHQ-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C#CCCCC(=O)N(C)CCCN(C)Cc1cccc(F)c1F ZINC001724852483 1204057195 /nfs/dbraw/zinc/05/71/95/1204057195.db2.gz KOJDNDMOLJEVGJ-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)CC(C)=C(C)C)CO2 ZINC001724878229 1204061180 /nfs/dbraw/zinc/06/11/80/1204061180.db2.gz ANABOVJYNZYTLL-SJORKVTESA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C=C(\C)CC)C2 ZINC001724896801 1204064879 /nfs/dbraw/zinc/06/48/79/1204064879.db2.gz RQJVPNRWXJUUGK-SHRNQRGKSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN([C@@H](C)COC)C2 ZINC001724922804 1204065849 /nfs/dbraw/zinc/06/58/49/1204065849.db2.gz HXLAZYADIBUSEC-HNNXBMFYSA-N 0 1 316.445 3.010 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H](C)C3CC3)cc2C1 ZINC001724923604 1204066202 /nfs/dbraw/zinc/06/62/02/1204066202.db2.gz NYGALGRAAZTPMP-HNNXBMFYSA-N 0 1 310.441 3.078 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C#CC(C)(C)C)cc2C1 ZINC001724923931 1204066581 /nfs/dbraw/zinc/06/65/81/1204066581.db2.gz QXINKOSDGQYYMC-UHFFFAOYSA-N 0 1 310.441 3.244 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H](NC/C(Cl)=C/Cl)[C@@H](C)C1 ZINC001724948780 1204069308 /nfs/dbraw/zinc/06/93/08/1204069308.db2.gz QNOGYBPSVVVBRE-SLZKOVEISA-N 0 1 319.276 3.344 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CCC2CCCCC2)C(C)(C)C1 ZINC001724972307 1204073427 /nfs/dbraw/zinc/07/34/27/1204073427.db2.gz SORLXLYAUIHQOM-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(C/C=C/Cl)CC1(C)C ZINC001724970223 1204073551 /nfs/dbraw/zinc/07/35/51/1204073551.db2.gz JLVYLKXHRFXJBQ-SHQCLWGWSA-N 0 1 310.869 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(F)cc(Cl)c1 ZINC001725047561 1204085111 /nfs/dbraw/zinc/08/51/11/1204085111.db2.gz MTJVBLYQZJWYHL-INIZCTEOSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2cscc2s1 ZINC001725046284 1204085132 /nfs/dbraw/zinc/08/51/32/1204085132.db2.gz YYPCDQIORBNAFC-CYBMUJFWSA-N 0 1 318.467 3.132 20 30 DGEDMN CCCC(=O)N(C)CC(C)(C)CNCc1cc(F)ccc1C#N ZINC001725138652 1204101798 /nfs/dbraw/zinc/10/17/98/1204101798.db2.gz ZUCWNNKOQMYIFY-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001725155733 1204105010 /nfs/dbraw/zinc/10/50/10/1204105010.db2.gz JKWZSXSTXIPTKI-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccccc1C(C)(C)CC ZINC001725176028 1204111532 /nfs/dbraw/zinc/11/15/32/1204111532.db2.gz XFYHZAAYHZCKJE-INIZCTEOSA-N 0 1 314.473 3.448 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(CCCC)cc1 ZINC001725178805 1204112879 /nfs/dbraw/zinc/11/28/79/1204112879.db2.gz VJWARVKWAWKYQC-INIZCTEOSA-N 0 1 300.446 3.103 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001725182300 1204113229 /nfs/dbraw/zinc/11/32/29/1204113229.db2.gz WEXXMRRFOYYNGE-KXBFYZLASA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(-c2cccs2)o1 ZINC001725193903 1204117686 /nfs/dbraw/zinc/11/76/86/1204117686.db2.gz GQLYZFAWCPYDLT-ZDUSSCGKSA-N 0 1 316.426 3.082 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@@H]1CCC1(F)F ZINC001725232241 1204123148 /nfs/dbraw/zinc/12/31/48/1204123148.db2.gz GWFIQSSVJIVPBF-NEPJUHHUSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001725252046 1204127982 /nfs/dbraw/zinc/12/79/82/1204127982.db2.gz ZBTAUBKCPCISEC-OAHLLOKOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CN(C/C=C/c3ccccc3)C2)C1 ZINC001725255751 1204128642 /nfs/dbraw/zinc/12/86/42/1204128642.db2.gz ABYTVBMRKTYUSI-PYUISTEWSA-N 0 1 324.468 3.493 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCc2sccc2C)C1 ZINC001725262629 1204129782 /nfs/dbraw/zinc/12/97/82/1204129782.db2.gz DSGSBGAXHYZUQP-AWEZNQCLSA-N 0 1 306.475 3.002 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CC(C)(C)CC)C2)C1 ZINC001725276750 1204131235 /nfs/dbraw/zinc/13/12/35/1204131235.db2.gz GUHCBGWDDQZEHT-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCC[C@H](C)CC)C(C)(C)C1 ZINC001725287986 1204133376 /nfs/dbraw/zinc/13/33/76/1204133376.db2.gz WBTRASZIGNKPHZ-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H](CC)CCCCC)C(C)(C)C1 ZINC001725301470 1204135475 /nfs/dbraw/zinc/13/54/75/1204135475.db2.gz XCOJSDHMTYCIOB-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001725363674 1204141179 /nfs/dbraw/zinc/14/11/79/1204141179.db2.gz HRLMXSKRZCHINX-RBSFLKMASA-N 0 1 319.449 3.131 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001725364403 1204141218 /nfs/dbraw/zinc/14/12/18/1204141218.db2.gz NSQLOTNBIQFVMI-CTTBROEDSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H]1CCC(C)(C)C1 ZINC001725465420 1204153384 /nfs/dbraw/zinc/15/33/84/1204153384.db2.gz NVQHZYUUSBOQAI-HUUCEWRRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CCCC1(C)C ZINC001725466688 1204153813 /nfs/dbraw/zinc/15/38/13/1204153813.db2.gz LDVFOFMFPQXTSZ-GJZGRUSLSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(C(C)C)n1 ZINC001725496732 1204159313 /nfs/dbraw/zinc/15/93/13/1204159313.db2.gz UGVQTCMDIDDYPW-ZDUSSCGKSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2ccncc2Cl)C[C@H]1C ZINC001725598723 1204175426 /nfs/dbraw/zinc/17/54/26/1204175426.db2.gz OISMNHITLGDFLB-HIFRSBDPSA-N 0 1 321.852 3.170 20 30 DGEDMN C#CCCCCC(=O)N[C@]12CCC[C@@H]1N(C/C=C/Cl)CC2 ZINC001725598755 1204175792 /nfs/dbraw/zinc/17/57/92/1204175792.db2.gz OBSGZBHMTZESET-XENLGGRHSA-N 0 1 308.853 3.046 20 30 DGEDMN C=C[C@H](C(=O)N(CCN(C)CC#CC)C(C)C)c1ccccc1 ZINC001725732333 1204204525 /nfs/dbraw/zinc/20/45/25/1204204525.db2.gz IYMBBCUSJMSNJY-IBGZPJMESA-N 0 1 312.457 3.148 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccoc1)C(C)(C)C ZINC001725738434 1204207992 /nfs/dbraw/zinc/20/79/92/1204207992.db2.gz NFFAHJAFMQGUAH-CQSZACIVSA-N 0 1 312.841 3.085 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001725743792 1204209946 /nfs/dbraw/zinc/20/99/46/1204209946.db2.gz HACUVCOILIUOAE-YOEHRIQHSA-N 0 1 315.461 3.225 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)c2ccc(C)cc2)CC1 ZINC001725825844 1204228712 /nfs/dbraw/zinc/22/87/12/1204228712.db2.gz COMFWTAFGUGINB-UHFFFAOYSA-N 0 1 324.468 3.044 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H](C)C1CCC1)C(C)C ZINC001753287422 1204346348 /nfs/dbraw/zinc/34/63/48/1204346348.db2.gz WWEPAXSGENFSHT-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1ccc(Cl)nc1 ZINC001731243767 1204351820 /nfs/dbraw/zinc/35/18/20/1204351820.db2.gz RBJJXJYFNHIRAR-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1c(C)nsc1C ZINC001731264943 1204356666 /nfs/dbraw/zinc/35/66/66/1204356666.db2.gz YAPVFNVREZXTJJ-KRWDZBQOSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c(C)oc(C)c1C ZINC001731311985 1204369172 /nfs/dbraw/zinc/36/91/72/1204369172.db2.gz JCXFDWOCBPVPBJ-UHFFFAOYSA-N 0 1 312.841 3.399 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1(C)CC(F)(F)C1 ZINC001731325149 1204374417 /nfs/dbraw/zinc/37/44/17/1204374417.db2.gz IZGNKJAVDGQAQY-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC=CCCC2)CC1 ZINC001731386125 1204391948 /nfs/dbraw/zinc/39/19/48/1204391948.db2.gz PJIRPQQQUAJFMS-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC001731452818 1204417354 /nfs/dbraw/zinc/41/73/54/1204417354.db2.gz UQEPWCLPSRJWLI-CKEIUWERSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001731485136 1204427813 /nfs/dbraw/zinc/42/78/13/1204427813.db2.gz LNPFZKHYEDYZPM-IIYDPXPESA-N 0 1 321.490 3.045 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC/C=C\c1ccccc1 ZINC001731542052 1204451909 /nfs/dbraw/zinc/45/19/09/1204451909.db2.gz TZMGRVBBUSAMGT-RYLLTQLHSA-N 0 1 310.441 3.084 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001731600892 1204479073 /nfs/dbraw/zinc/47/90/73/1204479073.db2.gz LVSKOOULXUBLAL-VQIMIIECSA-N 0 1 318.436 3.051 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2ccccc2c1 ZINC001731631683 1204490523 /nfs/dbraw/zinc/49/05/23/1204490523.db2.gz TWVICUDFOQKUDQ-ZDUSSCGKSA-N 0 1 302.805 3.300 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2ccccc2c1 ZINC001731631682 1204490786 /nfs/dbraw/zinc/49/07/86/1204490786.db2.gz TWVICUDFOQKUDQ-CYBMUJFWSA-N 0 1 302.805 3.300 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NCc2ncoc2C(C)C)C1 ZINC001731690157 1204506006 /nfs/dbraw/zinc/50/60/06/1204506006.db2.gz NSYFSJNLXHUZLZ-LSDHHAIUSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NCc2ncoc2C(C)C)C1 ZINC001731690155 1204506531 /nfs/dbraw/zinc/50/65/31/1204506531.db2.gz NSYFSJNLXHUZLZ-GJZGRUSLSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2ccc(Cl)cc2)C1 ZINC001731783695 1204555292 /nfs/dbraw/zinc/55/52/92/1204555292.db2.gz ACZNSXGXYMQESH-UHFFFAOYSA-N 0 1 306.837 3.244 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@@H](C)c2cc(F)ccc2F)C1 ZINC001731841977 1204597024 /nfs/dbraw/zinc/59/70/24/1204597024.db2.gz JDGDNPFRMLCDKE-KBPBESRZSA-N 0 1 322.399 3.430 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC1(C)CC1)c1ccc(C(C)C)cc1 ZINC001732017148 1204649912 /nfs/dbraw/zinc/64/99/12/1204649912.db2.gz CHUSCPQXHQKFHA-GOSISDBHSA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccccc1 ZINC001732021296 1204652602 /nfs/dbraw/zinc/65/26/02/1204652602.db2.gz DMQHJEHXUMHQLS-VNTMZGSJSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)CC(C)C)c1ccccc1 ZINC001732025782 1204654409 /nfs/dbraw/zinc/65/44/09/1204654409.db2.gz RCUQYRXUAXFBLI-QGZVFWFLSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C)oc2C)C1 ZINC001732033252 1204656248 /nfs/dbraw/zinc/65/62/48/1204656248.db2.gz GAAYZMCJKONNGR-MRXNPFEDSA-N 0 1 304.434 3.399 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C(C)C)nc2)C1 ZINC001732040487 1204661038 /nfs/dbraw/zinc/66/10/38/1204661038.db2.gz ZPCXHGNTPPNOCH-QGZVFWFLSA-N 0 1 315.461 3.318 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC2CC(C)(C)C2)C1 ZINC001732052329 1204669379 /nfs/dbraw/zinc/66/93/79/1204669379.db2.gz GFRCUWUXPLBFJK-CQSZACIVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C)(CC)CC)C1 ZINC001732059701 1204674497 /nfs/dbraw/zinc/67/44/97/1204674497.db2.gz LRBUWRZIHMKWLK-CQSZACIVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@H](C)CCC)C1 ZINC001732067237 1204677542 /nfs/dbraw/zinc/67/75/42/1204677542.db2.gz PMUWQHZFAPMKQW-HIFRSBDPSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(F)=C2CCCC2)C1 ZINC001732070335 1204678209 /nfs/dbraw/zinc/67/82/09/1204678209.db2.gz VDTRYBCNKVHDGY-ZDUSSCGKSA-N 0 1 314.832 3.317 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@@H]2CCCC2(F)F)C1 ZINC001732074771 1204681054 /nfs/dbraw/zinc/68/10/54/1204681054.db2.gz KVTDEGLMKFCMFY-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001732131153 1204706556 /nfs/dbraw/zinc/70/65/56/1204706556.db2.gz JADOQWIAUXEUEP-INIZCTEOSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)CC(C)=C(C)C ZINC001732207311 1204748899 /nfs/dbraw/zinc/74/88/99/1204748899.db2.gz XJRWENSGQOGNAJ-ZDUSSCGKSA-N 0 1 317.271 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(C)cc(C)cc1C ZINC001732222278 1204758245 /nfs/dbraw/zinc/75/82/45/1204758245.db2.gz VXXSUEMKZXDYTD-HNNXBMFYSA-N 0 1 308.853 3.462 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@](C)(CNCc1nccs1)C1CC1 ZINC001732247274 1204768907 /nfs/dbraw/zinc/76/89/07/1204768907.db2.gz XKERSIQOOHQMFW-QGZVFWFLSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C#CC(C)(C)C)cc1 ZINC001732316537 1204789157 /nfs/dbraw/zinc/78/91/57/1204789157.db2.gz WGEROOTUQMLPNQ-UHFFFAOYSA-N 0 1 318.848 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2nccs2)CCCC1 ZINC001732396194 1204819763 /nfs/dbraw/zinc/81/97/63/1204819763.db2.gz MAOYNHVIVBGTSA-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CCC(C)(C)C)CC2 ZINC001732405219 1204824081 /nfs/dbraw/zinc/82/40/81/1204824081.db2.gz JDVRGIGDWJFVCH-KRWDZBQOSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cc(C2CC2)no1 ZINC001732440084 1204842168 /nfs/dbraw/zinc/84/21/68/1204842168.db2.gz ONWIPEJLPRVFKG-ZDUSSCGKSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(OC(C)C)cc1 ZINC001732457900 1204852214 /nfs/dbraw/zinc/85/22/14/1204852214.db2.gz PDAUJFFIZHMGCK-AWEZNQCLSA-N 0 1 324.852 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(OC(C)C)cc1 ZINC001732457912 1204852775 /nfs/dbraw/zinc/85/27/75/1204852775.db2.gz PDAUJFFIZHMGCK-CQSZACIVSA-N 0 1 324.852 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1occ2c1CCC2 ZINC001732465334 1204856458 /nfs/dbraw/zinc/85/64/58/1204856458.db2.gz AMCWNDGDFJOTHC-CQSZACIVSA-N 0 1 324.852 3.255 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)F)C1CCCCC1 ZINC001732471755 1204861641 /nfs/dbraw/zinc/86/16/41/1204861641.db2.gz LXYLVVOTRFEYBN-CYBMUJFWSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1ocnc1C)C1CCCCC1 ZINC001732475488 1204863462 /nfs/dbraw/zinc/86/34/62/1204863462.db2.gz LPFZCXUGLALYAL-MRXNPFEDSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1cc[nH]c1CC ZINC001732536752 1204884831 /nfs/dbraw/zinc/88/48/31/1204884831.db2.gz SIJKKZXZGQBREQ-WBMJQRKESA-N 0 1 323.868 3.160 20 30 DGEDMN C=CCC1(C(=O)N(C)CCN(C)Cc2ccc(F)cc2)CCC1 ZINC001732590827 1204913380 /nfs/dbraw/zinc/91/33/80/1204913380.db2.gz AFDXYGZMBTWNNO-UHFFFAOYSA-N 0 1 318.436 3.462 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C(C)=C1CCCC1 ZINC001732767086 1204941386 /nfs/dbraw/zinc/94/13/86/1204941386.db2.gz MEZFDMFLWXRTCH-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(-c2ccoc2)c1 ZINC001732979669 1204971585 /nfs/dbraw/zinc/97/15/85/1204971585.db2.gz XQPIPYQIPJIRGM-CYBMUJFWSA-N 0 1 318.804 3.407 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001733053807 1205005589 /nfs/dbraw/zinc/00/55/89/1205005589.db2.gz LFEDLABPJIQIKF-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001733057036 1205008735 /nfs/dbraw/zinc/00/87/35/1205008735.db2.gz NOBANWSIZQWALZ-MJGOQNOKSA-N 0 1 314.473 3.276 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1coc2ccc(C)cc12 ZINC001733210748 1205045521 /nfs/dbraw/zinc/04/55/21/1205045521.db2.gz AMVGKCOAQHTZRW-INIZCTEOSA-N 0 1 312.413 3.050 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001733246204 1205067561 /nfs/dbraw/zinc/06/75/61/1205067561.db2.gz WQMNDTIIQDTAEO-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H](C)c2ccc(CC)cc2)C1 ZINC001733312188 1205091043 /nfs/dbraw/zinc/09/10/43/1205091043.db2.gz JFKTUDZQNVILTH-MRXNPFEDSA-N 0 1 314.473 3.461 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001733316437 1205093210 /nfs/dbraw/zinc/09/32/10/1205093210.db2.gz AZRQXHNMTPXLAM-KRWDZBQOSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C)c(C)c1 ZINC001733352807 1205103142 /nfs/dbraw/zinc/10/31/42/1205103142.db2.gz NGLFORJEZARPAN-INIZCTEOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN([C@H](C)c2cccc(F)c2)C1 ZINC001733395825 1205115915 /nfs/dbraw/zinc/11/59/15/1205115915.db2.gz DOSLHMRDAYRJQF-RDTXWAMCSA-N 0 1 304.409 3.434 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC/C=C/c2ccccc2)C1 ZINC001733409816 1205122782 /nfs/dbraw/zinc/12/27/82/1205122782.db2.gz FZCNYPBEMLWLHV-GPVYEGESSA-N 0 1 310.441 3.084 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2C=CC=CC=C2)CC1 ZINC001733448635 1205136676 /nfs/dbraw/zinc/13/66/76/1205136676.db2.gz MWTAYXNSXGCKQV-UHFFFAOYSA-N 0 1 320.864 3.256 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)c2cocn2)cc1 ZINC001733682380 1205183885 /nfs/dbraw/zinc/18/38/85/1205183885.db2.gz RRSXKLNBXLCYSB-LBPRGKRZSA-N 0 1 319.792 3.008 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC001733753110 1205197797 /nfs/dbraw/zinc/19/77/97/1205197797.db2.gz DQCJKMRCCGGQKT-ZIAGYGMSSA-N 0 1 319.474 3.137 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001733814166 1205225245 /nfs/dbraw/zinc/22/52/45/1205225245.db2.gz PFXXCUSRFMUBLQ-DNXKIMQPSA-N 0 1 322.880 3.103 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC)CCCCC)C(C)(C)C1 ZINC001733876999 1205241440 /nfs/dbraw/zinc/24/14/40/1205241440.db2.gz AIQDSKGJUIPCAI-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1c2ccccc2CCN1CCCF ZINC001733908529 1205251158 /nfs/dbraw/zinc/25/11/58/1205251158.db2.gz YYAMNTHZCKUZDL-GOSISDBHSA-N 0 1 318.436 3.418 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCC=CCCC1 ZINC001733931486 1205260021 /nfs/dbraw/zinc/26/00/21/1205260021.db2.gz CDGRYHNTFYZVPL-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C(C)(C)C)c1 ZINC001733937107 1205265329 /nfs/dbraw/zinc/26/53/29/1205265329.db2.gz NHBCHROFEVLEGV-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@H]2CN(C)CC#CC)CCCCC1 ZINC001733936543 1205265525 /nfs/dbraw/zinc/26/55/25/1205265525.db2.gz IQXMDDZWBAMMLR-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001733960487 1205271199 /nfs/dbraw/zinc/27/11/99/1205271199.db2.gz PBBPTYMKFBMVJJ-ZIAGYGMSSA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1Cl ZINC001733974335 1205276448 /nfs/dbraw/zinc/27/64/48/1205276448.db2.gz MBRVTNJUUNMSRB-CHWSQXEVSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c[nH]c2ccc(F)cc12 ZINC001734001844 1205284408 /nfs/dbraw/zinc/28/44/08/1205284408.db2.gz AWDXLIMBOPHVIS-GHMZBOCLSA-N 0 1 323.799 3.156 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(c2ccccc2C)CC1 ZINC001734007018 1205286882 /nfs/dbraw/zinc/28/68/82/1205286882.db2.gz REFFHSZHGSAVFM-LSDHHAIUSA-N 0 1 320.864 3.262 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2cnc(C)o2)CCCC1 ZINC001734009005 1205287309 /nfs/dbraw/zinc/28/73/09/1205287309.db2.gz ZBMKNKSFCAPDHA-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)N(C)C1CCC(NCc2ncc(CC)o2)CC1 ZINC001734010087 1205287621 /nfs/dbraw/zinc/28/76/21/1205287621.db2.gz ZKYPVRFVHXQYLL-UHFFFAOYSA-N 0 1 319.449 3.062 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001734051707 1205305540 /nfs/dbraw/zinc/30/55/40/1205305540.db2.gz ROQPXDUVJWQOHU-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1cnc(C)o1 ZINC001734104044 1205325247 /nfs/dbraw/zinc/32/52/47/1205325247.db2.gz PNJMGQFEHOKAAP-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)CC3CC3)C2)C1 ZINC001734137377 1205332167 /nfs/dbraw/zinc/33/21/67/1205332167.db2.gz GVGBOLIWFVWZQB-IBGZPJMESA-N 0 1 322.493 3.060 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001734153521 1205337480 /nfs/dbraw/zinc/33/74/80/1205337480.db2.gz IFGJTAXPROYHBW-HKUYNNGSSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](CNCc2csc(CC)n2)C1 ZINC001734209317 1205343919 /nfs/dbraw/zinc/34/39/19/1205343919.db2.gz DYKUDUQFBHDOHE-HDJSIYSDSA-N 0 1 321.490 3.046 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1nccc2ccsc21 ZINC001734329535 1205365422 /nfs/dbraw/zinc/36/54/22/1205365422.db2.gz GXRCQLLMZFNIEW-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001734357034 1205371167 /nfs/dbraw/zinc/37/11/67/1205371167.db2.gz MTXVSSPGIXLOKQ-HOCLYGCPSA-N 0 1 322.880 3.363 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001734357032 1205371255 /nfs/dbraw/zinc/37/12/55/1205371255.db2.gz MTXVSSPGIXLOKQ-GDBMZVCRSA-N 0 1 322.880 3.363 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cccnc2C)[C@H]1C ZINC001734454584 1205380323 /nfs/dbraw/zinc/38/03/23/1205380323.db2.gz CYVVUAGNIATQCZ-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)C(F)F)C(C)(C)C ZINC001734572139 1205395615 /nfs/dbraw/zinc/39/56/15/1205395615.db2.gz UVMZTVLSSAFCKR-SNVBAGLBSA-N 0 1 310.816 3.151 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C2(CCC)CCC2)c(F)c1 ZINC001734642278 1205408902 /nfs/dbraw/zinc/40/89/02/1205408902.db2.gz PITUDOAOVHOHMU-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=CCCCCCN1CCO[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001666708256 1197279631 /nfs/dbraw/zinc/27/96/31/1197279631.db2.gz MSYQXGXVBFCNQP-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2ccc(Cl)cc2C#N)C1 ZINC001589606407 949285002 /nfs/dbraw/zinc/28/50/02/949285002.db2.gz OCXPNDKTECPEOU-MRXNPFEDSA-N 0 1 306.793 3.288 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2CCC[C@@H](CC(=O)[O-])C2)cc1 ZINC001602830929 971655531 /nfs/dbraw/zinc/65/55/31/971655531.db2.gz DLELDUNHJWWWOP-HNNXBMFYSA-N 0 1 300.402 3.174 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC001589281683 953523828 /nfs/dbraw/zinc/52/38/28/953523828.db2.gz YVEIHADEAGPRIZ-UKRRQHHQSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC001589308612 953776594 /nfs/dbraw/zinc/77/65/94/953776594.db2.gz WDYZVTVLMNPCSI-HIFRSBDPSA-N 0 1 300.402 3.173 20 30 DGEDMN C=C[C@@H]1CCCC[N@@H+]1Cc1ccc(N2CCC[C@@H](C(=O)[O-])C2)o1 ZINC001588453192 958432719 /nfs/dbraw/zinc/43/27/19/958432719.db2.gz VHOGAYUWTKPOIN-HUUCEWRRSA-N 0 1 318.417 3.121 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@H](CCC(=O)[O-])C2)c(Cl)c1 ZINC000163519743 959238129 /nfs/dbraw/zinc/23/81/29/959238129.db2.gz JSDYOORZWZDCOE-GFCCVEGCSA-N 0 1 306.793 3.288 20 30 DGEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC001603608796 973871879 /nfs/dbraw/zinc/87/18/79/973871879.db2.gz CDIFCAZMRFWLNF-QGZVFWFLSA-N 0 1 305.805 3.268 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC001605619738 973939759 /nfs/dbraw/zinc/93/97/59/973939759.db2.gz HCHVVSGQADKWEE-KRWDZBQOSA-N 0 1 306.365 3.132 20 30 DGEDMN CCC[N@H+](Cc1ccc(C#N)cc1)[C@H](C)c1nc(C(=O)[O-])co1 ZINC001595886127 982915333 /nfs/dbraw/zinc/91/53/33/982915333.db2.gz YWAAFAXIHVNIMP-GFCCVEGCSA-N 0 1 313.357 3.218 20 30 DGEDMN CCC[N@@H+](Cc1ccc(C#N)cc1)[C@H](C)c1nc(C(=O)[O-])co1 ZINC001595886127 982915336 /nfs/dbraw/zinc/91/53/36/982915336.db2.gz YWAAFAXIHVNIMP-GFCCVEGCSA-N 0 1 313.357 3.218 20 30 DGEDMN C#C[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cc(Cl)cc(Cl)c2)C1 ZINC001588382990 983375893 /nfs/dbraw/zinc/37/58/93/983375893.db2.gz MEDYVIMWPBSCMR-IINYFYTJSA-N 0 1 312.196 3.464 20 30 DGEDMN C#CC[C@@H]1CC[N@H+](Cc2ccc(-c3ccc(C(=O)[O-])cc3)o2)C1 ZINC001588434878 983473051 /nfs/dbraw/zinc/47/30/51/983473051.db2.gz WTBFXRRQHPBBDS-CQSZACIVSA-N 0 1 309.365 3.490 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001588567314 983646496 /nfs/dbraw/zinc/64/64/96/983646496.db2.gz JOYHTKFXRPIZQR-RHSMWYFYSA-N 0 1 321.417 3.041 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](Cc2cc(Cl)ccc2OC)C1 ZINC001588662640 983790819 /nfs/dbraw/zinc/79/08/19/983790819.db2.gz DSAQDMGWDBCONE-WFASDCNBSA-N 0 1 323.820 3.242 20 30 DGEDMN CC[N@@H+](CCc1ccccc1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001596348089 983801780 /nfs/dbraw/zinc/80/17/80/983801780.db2.gz ZZVMZLHNTRWVFZ-GOSISDBHSA-N 0 1 308.381 3.249 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC001588664873 983805893 /nfs/dbraw/zinc/80/58/93/983805893.db2.gz YPVZVXAQRCRGSI-MRXNPFEDSA-N 0 1 300.333 3.035 20 30 DGEDMN CC[N@@H+](Cc1ccc(C(=O)[O-])n1C)[C@@H](C)c1ccc(C#N)cc1 ZINC001596358005 983831274 /nfs/dbraw/zinc/83/12/74/983831274.db2.gz YLCKEKSFENWSGV-ZDUSSCGKSA-N 0 1 311.385 3.178 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)CCOc1ccccc1C(C)(C)C ZINC001588729738 983992854 /nfs/dbraw/zinc/99/28/54/983992854.db2.gz UTZFAQKFGYUFIF-OAHLLOKOSA-N 0 1 305.418 3.324 20 30 DGEDMN CC#CCC[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001588926936 984595027 /nfs/dbraw/zinc/59/50/27/984595027.db2.gz DBUUOCKAZJQPHJ-CYBMUJFWSA-N 0 1 300.185 3.464 20 30 DGEDMN C[N@@H+](CC1(c2ccccc2)CC1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001598446877 991883249 /nfs/dbraw/zinc/88/32/49/991883249.db2.gz MGONWWKURBZDSC-SFHVURJKSA-N 0 1 320.392 3.348 20 30 DGEDMN C[N@@H+](CCCOc1ccc(C#N)cc1)Cc1ccc(C(=O)[O-])cc1 ZINC001598481726 992163423 /nfs/dbraw/zinc/16/34/23/992163423.db2.gz BTRZBSCZJNTCBP-UHFFFAOYSA-N 0 1 324.380 3.157 20 30 DGEDMN C[C@@]([NH2+]CC#Cc1cccc(Cl)c1)(C(=O)[O-])c1ccccc1 ZINC001593230071 994356422 /nfs/dbraw/zinc/35/64/22/994356422.db2.gz FCOSDWBYBPGETD-SFHVURJKSA-N 0 1 313.784 3.281 20 30 DGEDMN COc1ccc(C(=O)[O-])c2c1C[N@@H+](CC(C)(C)CCC#N)CC2 ZINC001599501243 997125092 /nfs/dbraw/zinc/12/50/92/997125092.db2.gz KONXBAZEQVQUSQ-UHFFFAOYSA-N 0 1 316.401 3.081 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001676757347 1198381806 /nfs/dbraw/zinc/38/18/06/1198381806.db2.gz XQNWKXOTPDBZCW-NWDGAFQWSA-N 0 1 305.249 3.241 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)C=C(CC)CC ZINC001481802483 1084642065 /nfs/dbraw/zinc/64/20/65/1084642065.db2.gz UFVNOBHFKPNQNB-CYBMUJFWSA-N 0 1 317.271 3.126 20 30 DGEDMN Cc1nc(O[C@H](C)CC(C)(C)S)c(Br)c(=O)[nH]1 ZINC001234567297 1098604085 /nfs/dbraw/zinc/60/40/85/1098604085.db2.gz GCHXANSDKXNIDQ-ZCFIWIBFSA-N 0 1 321.240 3.119 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CCC(C)C ZINC001319840061 1104346175 /nfs/dbraw/zinc/34/61/75/1104346175.db2.gz YVVWQMRVRFXUHC-DZGCQCFKSA-N 0 1 300.874 3.392 20 30 DGEDMN N#CN=C(N=c1ccc2cccc(Cl)c2[nH]1)c1ccncc1 ZINC001156323033 1081573087 /nfs/dbraw/zinc/57/30/87/1081573087.db2.gz LZRKOKIKIZIEEW-UHFFFAOYSA-N 0 1 307.744 3.432 20 30 DGEDMN N#CC12CCC(Nc3cc(O[C@H]4CCOC4)ccn3)(CC1)CC2 ZINC001169751873 1081642889 /nfs/dbraw/zinc/64/28/89/1081642889.db2.gz KDGVHYJGZAKWII-ZLPCBKJTSA-N 0 1 313.401 3.278 20 30 DGEDMN Cc1cccc(CN2CCCN(c3ccc(C#N)cn3)CC2)c1F ZINC001137677497 1081649950 /nfs/dbraw/zinc/64/99/50/1081649950.db2.gz VENGTFBRRWNZAR-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CC#CCN(C)CCNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001266362707 1081702774 /nfs/dbraw/zinc/70/27/74/1081702774.db2.gz QVNRHIGSTDLYLU-UHFFFAOYSA-N 0 1 306.494 3.300 20 30 DGEDMN Cn1c(Cl)cnc1[C@@H](C#N)C(=O)c1cnc(C(C)(C)C)s1 ZINC001346096126 1081717267 /nfs/dbraw/zinc/71/72/67/1081717267.db2.gz MNWVCDFAJFNAPL-QMMMGPOBSA-N 0 1 322.821 3.318 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1cnc(C(C)(C)C)s1 ZINC001346096126 1081717274 /nfs/dbraw/zinc/71/72/74/1081717274.db2.gz MNWVCDFAJFNAPL-QMMMGPOBSA-N 0 1 322.821 3.318 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001109238285 1081789659 /nfs/dbraw/zinc/78/96/59/1081789659.db2.gz UJGFVBFTDGDFLC-UGRGGGHKSA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)OC1CCCCC1)C2 ZINC001109283053 1081794504 /nfs/dbraw/zinc/79/45/04/1081794504.db2.gz GFLRYDHGLSCWNS-LAVFITLUSA-N 0 1 320.477 3.022 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1nc(C)cs1)C1CC1 ZINC001266429402 1081794640 /nfs/dbraw/zinc/79/46/40/1081794640.db2.gz OWZBQTGXJYTUJO-PBHICJAKSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C12CCC(CC1)C2(C)C)C1CC1 ZINC001266433360 1081800627 /nfs/dbraw/zinc/80/06/27/1081800627.db2.gz BHDGOEXGHYBSBR-CSLYMUCUSA-N 0 1 324.896 3.440 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC[C@@H](C)CCC)C2 ZINC001109333213 1081800754 /nfs/dbraw/zinc/80/07/54/1081800754.db2.gz RPUZUFWKJJPDJT-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN C#CCN(CC)CCNC(=O)c1ccccc1-c1ccccc1 ZINC001266434968 1081804217 /nfs/dbraw/zinc/80/42/17/1081804217.db2.gz VYXKLQSYDFAXHX-UHFFFAOYSA-N 0 1 306.409 3.039 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109342934 1081804843 /nfs/dbraw/zinc/80/48/43/1081804843.db2.gz AQLAFRQYAFYSPT-AGIUHOORSA-N 0 1 318.383 3.263 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1 ZINC001109351089 1081807268 /nfs/dbraw/zinc/80/72/68/1081807268.db2.gz NFRVXENRVDULMP-SQNIBIBYSA-N 0 1 316.420 3.404 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C/c1ccccc1F)C2 ZINC001109443231 1081837263 /nfs/dbraw/zinc/83/72/63/1081837263.db2.gz ZEZWLAUESYXCLN-SRRGMWCNSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1cccc(Cl)c1 ZINC001266451081 1081838776 /nfs/dbraw/zinc/83/87/76/1081838776.db2.gz ZTMRXOUMRWVAJT-INIZCTEOSA-N 0 1 306.837 3.387 20 30 DGEDMN N#C[C@H]1CCC[N@H+](Cc2c(O)cccc2Br)CC1 ZINC001140101260 1081840508 /nfs/dbraw/zinc/84/05/08/1081840508.db2.gz NLZJCZSLEXXBML-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN N#C[C@H]1CCC[N@@H+](Cc2c([O-])cccc2Br)CC1 ZINC001140101260 1081840513 /nfs/dbraw/zinc/84/05/13/1081840513.db2.gz NLZJCZSLEXXBML-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN N#C[C@H]1CCC[N@H+](Cc2c([O-])cccc2Br)CC1 ZINC001140101260 1081840523 /nfs/dbraw/zinc/84/05/23/1081840523.db2.gz NLZJCZSLEXXBML-NSHDSACASA-N 0 1 309.207 3.280 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)OC)cc1F)C1CCCCC1 ZINC001167273641 1081876329 /nfs/dbraw/zinc/87/63/29/1081876329.db2.gz NUNVTHGZAJCIAP-UHFFFAOYSA-N 0 1 303.377 3.380 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCOC(C)C ZINC001109634368 1081889311 /nfs/dbraw/zinc/88/93/11/1081889311.db2.gz KUMYRVAFKMNRHO-BDXSIMOUSA-N 0 1 322.493 3.125 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN2CC#CC)CCCCC1 ZINC001266495913 1081898059 /nfs/dbraw/zinc/89/80/59/1081898059.db2.gz XFEAMABGQLXZJM-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](F)CC1CCCCC1)C2 ZINC001109982826 1081944118 /nfs/dbraw/zinc/94/41/18/1081944118.db2.gz UDYGLZOJXIGXRO-NCOADZHNSA-N 0 1 308.441 3.202 20 30 DGEDMN C=CCCC(=O)N1CCN(CCc2ccc3ccccc3c2)CC1 ZINC001112688117 1081989988 /nfs/dbraw/zinc/98/99/88/1081989988.db2.gz FAEWTQPDNWGJHC-UHFFFAOYSA-N 0 1 322.452 3.493 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@H]1CC=CCC1 ZINC001266554326 1081992211 /nfs/dbraw/zinc/99/22/11/1081992211.db2.gz XPKAHQVKKJPOSP-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN C[C@H](O)c1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1 ZINC001210641991 1082003263 /nfs/dbraw/zinc/00/32/63/1082003263.db2.gz YMQDZCDBVUNOGL-NSHDSACASA-N 0 1 313.426 3.405 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](CC)OC2CCCCC2)CC1 ZINC001112850060 1082022781 /nfs/dbraw/zinc/02/27/81/1082022781.db2.gz VKWOEQVHZJWOHY-GOSISDBHSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)CC1 ZINC001112851902 1082025435 /nfs/dbraw/zinc/02/54/35/1082025435.db2.gz IESQFYHTEVTKRS-IAGOWNOFSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC001112867388 1082029225 /nfs/dbraw/zinc/02/92/25/1082029225.db2.gz OKDUNJQMKYUEIA-XWTMOSNGSA-N 0 1 302.462 3.088 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2sc(C)cc2C)CC1 ZINC001112891008 1082039731 /nfs/dbraw/zinc/03/97/31/1082039731.db2.gz CXGSCVOOYGRLPZ-CQSZACIVSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCN(CCCC2CCC2)CC1)OCC ZINC001112906477 1082047827 /nfs/dbraw/zinc/04/78/27/1082047827.db2.gz FBTREVKTBHJWLJ-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCCO[C@H]2CC)CC1 ZINC001112939809 1082056426 /nfs/dbraw/zinc/05/64/26/1082056426.db2.gz KBBNWNBYNXGRBZ-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCCO[C@@H]2CC)CC1 ZINC001112939810 1082056665 /nfs/dbraw/zinc/05/66/65/1082056665.db2.gz KBBNWNBYNXGRBZ-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)CCc2ccc(F)cc2)CC1 ZINC001112940197 1082057885 /nfs/dbraw/zinc/05/78/85/1082057885.db2.gz YWJVMJYDDHSDFK-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H](OCC)C(C)C)CC1 ZINC001112966008 1082068066 /nfs/dbraw/zinc/06/80/66/1082068066.db2.gz RHYBOLYAEKJYLJ-GOSISDBHSA-N 0 1 324.509 3.328 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(CCC2CC2)CC1 ZINC001112969677 1082070259 /nfs/dbraw/zinc/07/02/59/1082070259.db2.gz VUNMZPILAHUMSE-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccco2)C1 ZINC001086569508 1082078768 /nfs/dbraw/zinc/07/87/68/1082078768.db2.gz DUSPECWBYVYIBI-DLBZAZTESA-N 0 1 310.397 3.054 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc3ccc(C)nc32)CC1 ZINC001112999931 1082081048 /nfs/dbraw/zinc/08/10/48/1082081048.db2.gz JARDYCNYJQGTEM-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C#CCN(CCNC(=O)[C@H](c1ccccc1)[C@@H](C)CC)C1CC1 ZINC001266619638 1082084991 /nfs/dbraw/zinc/08/49/91/1082084991.db2.gz VSRSVBDDIREFLC-LPHOPBHVSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(C(F)(F)F)CCC2)CC1 ZINC001113020489 1082090712 /nfs/dbraw/zinc/09/07/12/1082090712.db2.gz JHCSMJORELFEOH-CYBMUJFWSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccsc2)C1 ZINC001086573226 1082104837 /nfs/dbraw/zinc/10/48/37/1082104837.db2.gz JWNNJDJXIVVBOU-DLBZAZTESA-N 0 1 312.438 3.132 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2cccnc2)CC1 ZINC001113073827 1082108589 /nfs/dbraw/zinc/10/85/89/1082108589.db2.gz KGCRZQSNKYRRTM-UHFFFAOYSA-N 0 1 317.477 3.129 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cn(C(C)C)cn2)CC1 ZINC001113149416 1082125728 /nfs/dbraw/zinc/12/57/28/1082125728.db2.gz MDWCJGQWZCQNOW-UHFFFAOYSA-N 0 1 320.481 3.192 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](OCC)C2CCCC2)CC1 ZINC001113167011 1082129956 /nfs/dbraw/zinc/12/99/56/1082129956.db2.gz WJQSUKLPBXXKMM-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cc(C)c(F)c(C)c2)C1 ZINC001143387939 1082134022 /nfs/dbraw/zinc/13/40/22/1082134022.db2.gz XNOROSQRIRHHQH-INIZCTEOSA-N 0 1 320.408 3.319 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CCCCc2ccccc2)CC1 ZINC001113190941 1082135293 /nfs/dbraw/zinc/13/52/93/1082135293.db2.gz XUCQKHNMHWJJPI-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN N#Cc1cc(CN2CC3(CN(Cc4ccccc4)C3)C2)ccc1F ZINC000706818320 1082140610 /nfs/dbraw/zinc/14/06/10/1082140610.db2.gz YTEUBYGFJNYYLM-UHFFFAOYSA-N 0 1 321.399 3.015 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001113228936 1082142937 /nfs/dbraw/zinc/14/29/37/1082142937.db2.gz AEVMKUUXDLEFAD-DLBZAZTESA-N 0 1 304.478 3.479 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113230274 1082144020 /nfs/dbraw/zinc/14/40/20/1082144020.db2.gz ZXBATZCSMGJNPO-HZPDHXFCSA-N 0 1 304.478 3.169 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001113232543 1082144793 /nfs/dbraw/zinc/14/47/93/1082144793.db2.gz UAHJROYRYSBHHT-LJQANCHMSA-N 0 1 310.441 3.012 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](CCC)OCC)CC1 ZINC001113235136 1082145630 /nfs/dbraw/zinc/14/56/30/1082145630.db2.gz UCWUOHUVPROMMV-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN C#CCCCC(=O)N(C)CCN[C@@H](C)c1cccc(Cl)c1F ZINC001266686431 1082146310 /nfs/dbraw/zinc/14/63/10/1082146310.db2.gz BQZJBJKWGZFAAZ-ZDUSSCGKSA-N 0 1 324.827 3.392 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001113244141 1082149622 /nfs/dbraw/zinc/14/96/22/1082149622.db2.gz UTXSGBBQFFJMNP-GJZGRUSLSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2CC[C@H](C(F)(F)F)C2)CC1 ZINC001113260962 1082152051 /nfs/dbraw/zinc/15/20/51/1082152051.db2.gz UWMZBVQOKWRJFI-KBPBESRZSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2nccc3ccccc32)CC1 ZINC001113265479 1082160038 /nfs/dbraw/zinc/16/00/38/1082160038.db2.gz VCUZUDSZEFVWQQ-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN CCCCCCC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001266728257 1082173014 /nfs/dbraw/zinc/17/30/14/1082173014.db2.gz QKOHYVDHTGIYPT-HDICACEKSA-N 0 1 313.445 3.265 20 30 DGEDMN C=CCCC1(C(=O)N2CCN(CC3CC4(CCC4)C3)CC2)CC1 ZINC001113312952 1082175809 /nfs/dbraw/zinc/17/58/09/1082175809.db2.gz ZYIKAVCTBXYAGU-UHFFFAOYSA-N 0 1 316.489 3.457 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C(C)C)cn2)CC1 ZINC001113345751 1082182815 /nfs/dbraw/zinc/18/28/15/1082182815.db2.gz DTXWEDYEEWNFMX-MRXNPFEDSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(F)cc(Cl)c2)CC1 ZINC001113375393 1082188626 /nfs/dbraw/zinc/18/86/26/1082188626.db2.gz QIUXLSVCYSSEQM-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc([C@@H](C)CC)no2)CC1 ZINC001113411448 1082200582 /nfs/dbraw/zinc/20/05/82/1082200582.db2.gz YAWVBZIYWCDITO-LSDHHAIUSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc([C@H](C)CC)no2)CC1 ZINC001113411445 1082200659 /nfs/dbraw/zinc/20/06/59/1082200659.db2.gz YAWVBZIYWCDITO-CABCVRRESA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2oc(C(C)C)nc2C)CC1 ZINC001113414996 1082208540 /nfs/dbraw/zinc/20/85/40/1082208540.db2.gz CTBLMISDSLZXCF-CQSZACIVSA-N 0 1 319.449 3.219 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)c2ccccc2F)CC1 ZINC001113439671 1082211280 /nfs/dbraw/zinc/21/12/80/1082211280.db2.gz NRRNIAPIPVWNOB-HZPDHXFCSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001086594826 1082228949 /nfs/dbraw/zinc/22/89/49/1082228949.db2.gz WEQBHOMXDLIKDU-IPMKNSEASA-N 0 1 324.468 3.337 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC001113500947 1082234861 /nfs/dbraw/zinc/23/48/61/1082234861.db2.gz RJXCOMPZYSZGAD-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cnn(C(C)C)c2)CC1 ZINC001113529689 1082240511 /nfs/dbraw/zinc/24/05/11/1082240511.db2.gz ZZHQXBRLGCYSLW-UHFFFAOYSA-N 0 1 320.481 3.192 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2cccc(F)c2F)CC1 ZINC001266828401 1082246824 /nfs/dbraw/zinc/24/68/24/1082246824.db2.gz YCOUFEMLYCWEBX-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](CC)CC(F)(F)F)CC1 ZINC001113551039 1082247548 /nfs/dbraw/zinc/24/75/48/1082247548.db2.gz YMRMHGHNOCYFPS-ZIAGYGMSSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](CC)CC(F)(F)F)CC1 ZINC001113551037 1082247820 /nfs/dbraw/zinc/24/78/20/1082247820.db2.gz YMRMHGHNOCYFPS-KGLIPLIRSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@@H](C)n2cccc2)CC1 ZINC001113559099 1082248945 /nfs/dbraw/zinc/24/89/45/1082248945.db2.gz CNKFWURMHJQZOW-GOSISDBHSA-N 0 1 317.477 3.330 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)COCCCCCC)CC1 ZINC001113581822 1082257584 /nfs/dbraw/zinc/25/75/84/1082257584.db2.gz ICOHIZLTHWDPFS-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2sc(C)cc2C)CC1 ZINC001113592270 1082259886 /nfs/dbraw/zinc/25/98/86/1082259886.db2.gz FEHOXPGTBLYTEA-UHFFFAOYSA-N 0 1 306.475 3.018 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C)c(C)c2)CC1 ZINC001113599710 1082262013 /nfs/dbraw/zinc/26/20/13/1082262013.db2.gz IZYBNGUPGOPFIX-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001266850091 1082264231 /nfs/dbraw/zinc/26/42/31/1082264231.db2.gz UGYVTSWFVNAWMD-RTBURBONSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)Cc2ccccc2)CC1 ZINC001113659983 1082272656 /nfs/dbraw/zinc/27/26/56/1082272656.db2.gz PDRXQLOZABSVOE-ZWKOTPCHSA-N 0 1 314.473 3.364 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C1CCCCCC1 ZINC001157656571 1082288948 /nfs/dbraw/zinc/28/89/48/1082288948.db2.gz QFUTYEGQXGKTQP-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001266869335 1082289442 /nfs/dbraw/zinc/28/94/42/1082289442.db2.gz VAVCFSVRTZBBQC-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)cc(C)n1 ZINC001266873302 1082293235 /nfs/dbraw/zinc/29/32/35/1082293235.db2.gz RNSDKOQRMBTNOM-HNNXBMFYSA-N 0 1 321.852 3.035 20 30 DGEDMN CN1CCN(c2ccccc2Nc2ccccc2OCC#N)CC1 ZINC001212600256 1082325212 /nfs/dbraw/zinc/32/52/12/1082325212.db2.gz KYTDKTAMUUARKE-UHFFFAOYSA-N 0 1 322.412 3.084 20 30 DGEDMN N#CC1(c2ccccc2)CCC(NC2(c3ncccn3)CC2)CC1 ZINC001170371734 1082350594 /nfs/dbraw/zinc/35/05/94/1082350594.db2.gz JEXATTZIRPTOQL-UHFFFAOYSA-N 0 1 318.424 3.459 20 30 DGEDMN COc1ccc(C[C@@H](C)N2CCC[C@@H](CC#N)C2)c([N+](=O)[O-])c1 ZINC001170348246 1082356616 /nfs/dbraw/zinc/35/66/16/1082356616.db2.gz WHJOQGCCCQWJIB-KGLIPLIRSA-N 0 1 317.389 3.160 20 30 DGEDMN N#CC1(c2ccccc2)CCC(N2CCC(=O)[C@H](F)CC2)CC1 ZINC001170378498 1082362933 /nfs/dbraw/zinc/36/29/33/1082362933.db2.gz DNACUNIUDCIJJO-TVRKMHQQSA-N 0 1 314.404 3.394 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C/C=C\c1ccc(F)cc1 ZINC001266947473 1082365036 /nfs/dbraw/zinc/36/50/36/1082365036.db2.gz HNSVBIFUMMZZLM-SCOBNMCVSA-N 0 1 310.800 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)c1cccc(F)c1 ZINC001266970918 1082390182 /nfs/dbraw/zinc/39/01/82/1082390182.db2.gz PLKBKYONLYPQPI-AAEUAGOBSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2cc(C)no2)CCCCC1 ZINC001266978391 1082397614 /nfs/dbraw/zinc/39/76/14/1082397614.db2.gz MTVQGFVNZSUVPZ-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001086614079 1082414761 /nfs/dbraw/zinc/41/47/61/1082414761.db2.gz BEFQMXOUXDRBLS-ZGXWSNOMSA-N 0 1 324.468 3.193 20 30 DGEDMN Cc1nsc(Nc2cnc(Br)s2)c1C#N ZINC001216265662 1082447697 /nfs/dbraw/zinc/44/76/97/1082447697.db2.gz WOBRSEAUBHYQOR-UHFFFAOYSA-N 0 1 301.194 3.286 20 30 DGEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC001170452006 1082481239 /nfs/dbraw/zinc/48/12/39/1082481239.db2.gz QENKDIOKBKGIGE-XHDPSFHLSA-N 0 1 312.335 3.424 20 30 DGEDMN C[C@H](C(=O)NCCNCC#Cc1ccccc1Cl)C(C)(C)C ZINC001130278226 1082561895 /nfs/dbraw/zinc/56/18/95/1082561895.db2.gz QQOBUNXAJUMVPL-CQSZACIVSA-N 0 1 320.864 3.080 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2cc(C#N)ccc2Cl)C1 ZINC001140602171 1082624235 /nfs/dbraw/zinc/62/42/35/1082624235.db2.gz FWELFGBMSCKZIJ-AWEZNQCLSA-N 0 1 320.820 3.377 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1CN(C)C[C@H](F)CC ZINC001158358211 1082674687 /nfs/dbraw/zinc/67/46/87/1082674687.db2.gz YCTKXSFAZHKCML-IAGOWNOFSA-N 0 1 310.457 3.241 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC001125652686 1082679780 /nfs/dbraw/zinc/67/97/80/1082679780.db2.gz GYHRZKLGZLYNCU-OAHLLOKOSA-N 0 1 300.446 3.152 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCN(CC)Cc1ccc(C#N)c(F)c1 ZINC001267240456 1082688024 /nfs/dbraw/zinc/68/80/24/1082688024.db2.gz MIVCVTCVIJXLIW-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN Cc1cc(Nc2sc3c(c2C#N)CCN(C)C3)ccc1CO ZINC001211572657 1082688904 /nfs/dbraw/zinc/68/89/04/1082688904.db2.gz JNSJHQGQBDJRTD-UHFFFAOYSA-N 0 1 313.426 3.152 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3ccccc3F)[nH]c2c1 ZINC001131674625 1082705019 /nfs/dbraw/zinc/70/50/19/1082705019.db2.gz SILMPYSIEITGCW-VURMDHGXSA-N 0 1 306.300 3.226 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(C)(C)C1 ZINC001158449402 1082722139 /nfs/dbraw/zinc/72/21/39/1082722139.db2.gz ABZYNVNALWKOMS-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H]1CCCCC1(C)C ZINC001267283864 1082747206 /nfs/dbraw/zinc/74/72/06/1082747206.db2.gz SENYIXBPHOUURR-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](C)N(Cc2ccccc2F)C1 ZINC001131900473 1082758527 /nfs/dbraw/zinc/75/85/27/1082758527.db2.gz YNZUDRUPYWPTNR-HOCLYGCPSA-N 0 1 304.409 3.261 20 30 DGEDMN C[C@H](Cc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131906467 1082760016 /nfs/dbraw/zinc/76/00/16/1082760016.db2.gz IEVSBWVMUSUQKZ-GFCCVEGCSA-N 0 1 304.353 3.252 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1ccoc1C ZINC001267310760 1082774798 /nfs/dbraw/zinc/77/47/98/1082774798.db2.gz ALFRWGNOZDXKFP-UHFFFAOYSA-N 0 1 324.424 3.082 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1ccc(C)cc1F ZINC001158522198 1082782293 /nfs/dbraw/zinc/78/22/93/1082782293.db2.gz PXSXEGIXSZTFTQ-MRXNPFEDSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)cc1F ZINC001158522198 1082782298 /nfs/dbraw/zinc/78/22/98/1082782298.db2.gz PXSXEGIXSZTFTQ-MRXNPFEDSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001267316111 1082785380 /nfs/dbraw/zinc/78/53/80/1082785380.db2.gz GANPOENFBUPEGV-UYRXBGFRSA-N 0 1 324.468 3.389 20 30 DGEDMN N#C[C@@H](C(=O)C=Cc1cncc(F)c1)c1cnc2ccccc2n1 ZINC000588587841 1082813282 /nfs/dbraw/zinc/81/32/82/1082813282.db2.gz XGEZYZDVIBNREF-GEXIGZQTSA-N 0 1 318.311 3.054 20 30 DGEDMN N#CC(C(=O)C=Cc1cncc(F)c1)c1cnc2ccccc2n1 ZINC000588587841 1082813288 /nfs/dbraw/zinc/81/32/88/1082813288.db2.gz XGEZYZDVIBNREF-GEXIGZQTSA-N 0 1 318.311 3.054 20 30 DGEDMN C=CC[N@H+](CCNC(=O)c1cc2c(s1)CC[C@H](C)C2)C1CC1 ZINC001267337187 1082815747 /nfs/dbraw/zinc/81/57/47/1082815747.db2.gz KFIJXGOTGDOWBY-ZDUSSCGKSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cccc(Cl)c1F ZINC001267379169 1082850618 /nfs/dbraw/zinc/85/06/18/1082850618.db2.gz YPDWXKSVLRDNEW-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001132308989 1082878307 /nfs/dbraw/zinc/87/83/07/1082878307.db2.gz ZWRIFWAXBRGHDW-LBPRGKRZSA-N 0 1 310.388 3.334 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1cc(F)ccc1Cl ZINC001132398733 1082899190 /nfs/dbraw/zinc/89/91/90/1082899190.db2.gz NANNTGWQLKXCEF-UHFFFAOYSA-N 0 1 324.827 3.269 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCc1ccc(C)cc1)C2 ZINC001111492406 1082901238 /nfs/dbraw/zinc/90/12/38/1082901238.db2.gz XSYUJGAJPGJDRP-AQNXPRMDSA-N 0 1 324.468 3.063 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001132449772 1082914854 /nfs/dbraw/zinc/91/48/54/1082914854.db2.gz DAPAAIFILXKCIT-UHFFFAOYSA-N 0 1 318.848 3.144 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)n(CC)c2C)C1 ZINC001267466608 1082918836 /nfs/dbraw/zinc/91/88/36/1082918836.db2.gz QKNQOGZSNPDGSC-KRWDZBQOSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2ccsc2)C1 ZINC001267482825 1082938888 /nfs/dbraw/zinc/93/88/88/1082938888.db2.gz WZUFDFSQJXYGNJ-HOCLYGCPSA-N 0 1 306.475 3.351 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C\c2ccc(C)cc2)CC[C@H]1C ZINC001132548568 1082941964 /nfs/dbraw/zinc/94/19/64/1082941964.db2.gz GCROOULGCFOZFQ-CWHLKURSSA-N 0 1 324.468 3.391 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C\c2ccc(C)cc2)CC[C@@H]1C ZINC001132548569 1082942290 /nfs/dbraw/zinc/94/22/90/1082942290.db2.gz GCROOULGCFOZFQ-DDRDIHNTSA-N 0 1 324.468 3.391 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](CC)C(C)C)C1 ZINC001267488717 1082946271 /nfs/dbraw/zinc/94/62/71/1082946271.db2.gz OLCWIXSMSYGVHM-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132568906 1082947803 /nfs/dbraw/zinc/94/78/03/1082947803.db2.gz HFPUXFTZQQIXGD-RYUDHWBXSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1csc(C)c1C ZINC001283619404 1082965638 /nfs/dbraw/zinc/96/56/38/1082965638.db2.gz PCVCYNXDYOTRCW-JTQLQIEISA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CC[C@@H](F)C1)C(C)C ZINC001267507789 1082966593 /nfs/dbraw/zinc/96/65/93/1082966593.db2.gz NEEWDZXTKXQGHH-UONOGXRCSA-N 0 1 304.837 3.094 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001132653561 1082968131 /nfs/dbraw/zinc/96/81/31/1082968131.db2.gz ONTJOZUBCYBGQU-LRDDRELGSA-N 0 1 322.399 3.260 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132653219 1082968189 /nfs/dbraw/zinc/96/81/89/1082968189.db2.gz HMGAXGKNZRZSOC-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cccc(F)c1Cl ZINC001132660915 1082974225 /nfs/dbraw/zinc/97/42/25/1082974225.db2.gz WPGLDOMCEWDQED-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccc(C)s2)C1 ZINC001267550279 1083021777 /nfs/dbraw/zinc/02/17/77/1083021777.db2.gz SPBNOLDZPDITMF-MRXNPFEDSA-N 0 1 320.502 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(C)cc2F)C1 ZINC001267568417 1083050004 /nfs/dbraw/zinc/05/00/04/1083050004.db2.gz UUSNFNMTDRILLY-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2occc2Cl)C1 ZINC001267570057 1083058733 /nfs/dbraw/zinc/05/87/33/1083058733.db2.gz HQRVUYAILKYTBI-NSHDSACASA-N 0 1 317.216 3.127 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001481005108 1083072002 /nfs/dbraw/zinc/07/20/02/1083072002.db2.gz PBVBIVDIBROMBW-IBGZPJMESA-N 0 1 314.473 3.025 20 30 DGEDMN CCCCCC(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001481025417 1083094424 /nfs/dbraw/zinc/09/44/24/1083094424.db2.gz MXPVYHQVPBWARD-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN CCCCCC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001481025419 1083094848 /nfs/dbraw/zinc/09/48/48/1083094848.db2.gz MXPVYHQVPBWARD-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2cccc(C(C)C)n2)CC1 ZINC001133375987 1083112037 /nfs/dbraw/zinc/11/20/37/1083112037.db2.gz QUJAERUCYIHAKC-UHFFFAOYSA-N 0 1 315.461 3.157 20 30 DGEDMN C=CC(C)(C)C(=O)NCc1ccc(CNC/C=C/Cl)cc1F ZINC001279716222 1083114183 /nfs/dbraw/zinc/11/41/83/1083114183.db2.gz QCJVTXSRJCDQIE-VMPITWQZSA-N 0 1 324.827 3.496 20 30 DGEDMN CC(C(=O)NC[C@@H]1CCCN1Cc1ccc(C#N)cc1)=C1CCC1 ZINC001481039868 1083125916 /nfs/dbraw/zinc/12/59/16/1083125916.db2.gz MLWCKLHXYVSUSC-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001481051610 1083135825 /nfs/dbraw/zinc/13/58/25/1083135825.db2.gz FFPAYSQDAGCMQM-WMZOPIPTSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@H](CC)c2ccc(F)cc2F)C1 ZINC001133566076 1083152506 /nfs/dbraw/zinc/15/25/06/1083152506.db2.gz UGKNXRBVKUDTEP-MRXNPFEDSA-N 0 1 322.399 3.478 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1cc(C)c(Cl)cc1C ZINC001481144550 1083210817 /nfs/dbraw/zinc/21/08/17/1083210817.db2.gz YCDKDXBRGDKYKB-UHFFFAOYSA-N 0 1 320.864 3.422 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cnccc2C)C1 ZINC001267618168 1083217791 /nfs/dbraw/zinc/21/77/91/1083217791.db2.gz MXTGYMJTMDAASB-SJLPKXTDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001267628967 1083231241 /nfs/dbraw/zinc/23/12/41/1083231241.db2.gz GKOXWAFRLRCQDC-QHVUTBCBSA-N 0 1 323.440 3.161 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(CCC(C)(C)C)C1)c1ccccc1 ZINC001267670283 1083256604 /nfs/dbraw/zinc/25/66/04/1083256604.db2.gz OYUGNZLEUFDGTG-KRWDZBQOSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(Cc3cccc(CC)c3)C2)C1 ZINC001267692757 1083267820 /nfs/dbraw/zinc/26/78/20/1083267820.db2.gz KPMDPUUMIVWJMC-UHFFFAOYSA-N 0 1 312.457 3.153 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@@H](C)NCc1cscn1 ZINC001134418879 1083287295 /nfs/dbraw/zinc/28/72/95/1083287295.db2.gz QZGKFRKTXMUZNX-ZIAGYGMSSA-N 0 1 321.490 3.284 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001134470140 1083294311 /nfs/dbraw/zinc/29/43/11/1083294311.db2.gz NPSICNJXLZVHIB-STQMWFEESA-N 0 1 307.438 3.021 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@@H](NC(=O)c2scnc2C)C1 ZINC001267737446 1083296924 /nfs/dbraw/zinc/29/69/24/1083296924.db2.gz MLKGUSVEJXPACL-CQSZACIVSA-N 0 1 307.463 3.002 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccccc2OC(C)C)C1 ZINC001267738405 1083300995 /nfs/dbraw/zinc/30/09/95/1083300995.db2.gz GAAKCDQUUHRJFN-QGZVFWFLSA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001267793452 1083351674 /nfs/dbraw/zinc/35/16/74/1083351674.db2.gz INHXVPCVIPRZIP-DZGCQCFKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)CCc2ccc(C)s2)C1 ZINC001267795100 1083352169 /nfs/dbraw/zinc/35/21/69/1083352169.db2.gz VCBPYSLDGDLVPA-INIZCTEOSA-N 0 1 320.502 3.488 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001267799483 1083354135 /nfs/dbraw/zinc/35/41/35/1083354135.db2.gz YZBXKLNNAVHOOP-DZNGUJDPSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001267817346 1083371065 /nfs/dbraw/zinc/37/10/65/1083371065.db2.gz YIQXXZPFHUINRT-AWEZNQCLSA-N 0 1 319.836 3.327 20 30 DGEDMN C#CCCCCC(=O)NCCN[C@@H](CC)c1ccc(F)cc1F ZINC001125945990 1083689499 /nfs/dbraw/zinc/68/94/99/1083689499.db2.gz QNRSVYCJKGTSLW-KRWDZBQOSA-N 0 1 322.399 3.315 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1C[C@H]1c1ccccc1C(F)(F)F ZINC001182361431 1083702224 /nfs/dbraw/zinc/70/22/24/1083702224.db2.gz RHSWDXYQAOUTFB-UWVGGRQHSA-N 0 1 320.274 3.042 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC001182423251 1083708977 /nfs/dbraw/zinc/70/89/77/1083708977.db2.gz XROSEZUEYHPVBY-GFCCVEGCSA-N 0 1 324.877 3.142 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@](C)(CNCc2cscn2)C1 ZINC001182465350 1083730090 /nfs/dbraw/zinc/73/00/90/1083730090.db2.gz VZGMKVQLCCBGFZ-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268017683 1083746813 /nfs/dbraw/zinc/74/68/13/1083746813.db2.gz LZDSIBNFDCGEDQ-AEFFLSMTSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1c[nH]cc1-c1ccccc1 ZINC001481315251 1083754878 /nfs/dbraw/zinc/75/48/78/1083754878.db2.gz UCYQKSSQADTDDZ-UHFFFAOYSA-N 0 1 317.820 3.096 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2c(cccc2C)o1 ZINC001481320764 1083762711 /nfs/dbraw/zinc/76/27/11/1083762711.db2.gz IGCSNLJQZURHNW-UHFFFAOYSA-N 0 1 306.793 3.155 20 30 DGEDMN CC#CCCCCCCCC(=O)Nc1[nH]cnc1C(=O)OCC ZINC001182765580 1083797446 /nfs/dbraw/zinc/79/74/46/1083797446.db2.gz XWJXBNCXPIQRCW-UHFFFAOYSA-N 0 1 319.405 3.279 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C=C)c1ccc(C(C)C)cc1 ZINC001481360681 1083819309 /nfs/dbraw/zinc/81/93/09/1083819309.db2.gz RSUYNTIARSKVAO-SFHVURJKSA-N 0 1 312.457 3.402 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)Cc2cccc(C)c2)C1 ZINC001481445371 1083926104 /nfs/dbraw/zinc/92/61/04/1083926104.db2.gz WIZBPSQZYJOCSM-INIZCTEOSA-N 0 1 320.864 3.118 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@H](C)C2CC2)C1 ZINC001087424871 1083967439 /nfs/dbraw/zinc/96/74/39/1083967439.db2.gz TWHXJUDSDJJXMF-ATZDWAIDSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@H]2CC=CCC2)C1 ZINC001481497397 1084016203 /nfs/dbraw/zinc/01/62/03/1084016203.db2.gz XCNJZIOOYRACGE-JKSUJKDBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001481536814 1084103677 /nfs/dbraw/zinc/10/36/77/1084103677.db2.gz NKNWLUMTRJYUMM-HOCLYGCPSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001481536372 1084104746 /nfs/dbraw/zinc/10/47/46/1084104746.db2.gz MNZUPTXTPUHSGU-QCSXQHJISA-N 0 1 312.457 3.493 20 30 DGEDMN N#Cc1c(-c2ccccc2)ccnc1NCCN1CCSCC1 ZINC001167832844 1084138291 /nfs/dbraw/zinc/13/82/91/1084138291.db2.gz QAYZSBIUOPFIJV-UHFFFAOYSA-N 0 1 324.453 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)c1ccccc1F ZINC001268090480 1084140171 /nfs/dbraw/zinc/14/01/71/1084140171.db2.gz URVHFKNEFJSQKH-QWHCGFSZSA-N 0 1 312.816 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(Cl)cc1 ZINC001268113535 1084188644 /nfs/dbraw/zinc/18/86/44/1084188644.db2.gz QWAMSBXLJPXUHT-LLVKDONJSA-N 0 1 301.217 3.143 20 30 DGEDMN CC1=CC[C@@](C)(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001184481974 1084196340 /nfs/dbraw/zinc/19/63/40/1084196340.db2.gz DGEFYSFOGAVDAC-GOSISDBHSA-N 0 1 321.384 3.418 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001481585756 1084227195 /nfs/dbraw/zinc/22/71/95/1084227195.db2.gz BQVMDEYGAZXZEI-MRXNPFEDSA-N 0 1 300.446 3.255 20 30 DGEDMN Cc1cc(NC(=O)Nc2ccc(C#N)cc2)n(-c2ccncc2)n1 ZINC001184721693 1084248665 /nfs/dbraw/zinc/24/86/65/1084248665.db2.gz XUQDKRNRCCQWIE-UHFFFAOYSA-N 0 1 318.340 3.091 20 30 DGEDMN CCCCCCN1CCN(C(=O)Nc2ccc(C#N)cc2)CC1 ZINC001184733303 1084257009 /nfs/dbraw/zinc/25/70/09/1084257009.db2.gz VJCACBQMWGUANL-UHFFFAOYSA-N 0 1 314.433 3.288 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC001185296790 1084408794 /nfs/dbraw/zinc/40/87/94/1084408794.db2.gz RKVRCDPMHMGXSY-GFCCVEGCSA-N 0 1 312.866 3.448 20 30 DGEDMN CCCC(C)(C)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001185328947 1084420367 /nfs/dbraw/zinc/42/03/67/1084420367.db2.gz ZVNCNCUSRABXJK-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCC(=O)NCCN[C@@H](C)c1cccc(Cl)c1F ZINC001126338497 1084452086 /nfs/dbraw/zinc/45/20/86/1084452086.db2.gz CILVDESRDAJKPZ-LBPRGKRZSA-N 0 1 310.800 3.049 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](c1ccccc1)C(C)C ZINC001481681497 1084469844 /nfs/dbraw/zinc/46/98/44/1084469844.db2.gz HITRLLQJSPOXHC-UFYCRDLUSA-N 0 1 324.468 3.125 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](c1ccccc1)C(C)C ZINC001481681497 1084469850 /nfs/dbraw/zinc/46/98/50/1084469850.db2.gz HITRLLQJSPOXHC-UFYCRDLUSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001481677824 1084471735 /nfs/dbraw/zinc/47/17/35/1084471735.db2.gz BLUPAHJNSKVVKG-GJZGRUSLSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)/C=C(\C)C1CC1 ZINC001496471116 1084491733 /nfs/dbraw/zinc/49/17/33/1084491733.db2.gz XUCCVHCATURMFR-DSZKDISBSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001481705959 1084498947 /nfs/dbraw/zinc/49/89/47/1084498947.db2.gz DCGITZBPEQDVEB-JXYKKQOOSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@H]1CCC(F)(F)C1 ZINC001268452698 1084626442 /nfs/dbraw/zinc/62/64/42/1084626442.db2.gz CAYNKDWCINHHPA-GFCCVEGCSA-N 0 1 308.800 3.003 20 30 DGEDMN C[C@@](CNCc1ccccc1C#N)(NC(=O)C=C1CCC1)C1CC1 ZINC001481926137 1084709707 /nfs/dbraw/zinc/70/97/07/1084709707.db2.gz TWPMKNQHAATSBW-FQEVSTJZSA-N 0 1 323.440 3.043 20 30 DGEDMN C=CCN(CC1CCCCC1)S(=O)(=O)c1ccccc1O ZINC001186901306 1084726304 /nfs/dbraw/zinc/72/63/04/1084726304.db2.gz WNOWEQDDRFDVKU-UHFFFAOYSA-N 0 1 309.431 3.149 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC001268500423 1084734327 /nfs/dbraw/zinc/73/43/27/1084734327.db2.gz ZBFCFOSWCBIYQJ-STQMWFEESA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001268500422 1084734838 /nfs/dbraw/zinc/73/48/38/1084734838.db2.gz ZBFCFOSWCBIYQJ-NHAGDIPZSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001187043000 1084744443 /nfs/dbraw/zinc/74/44/43/1084744443.db2.gz HIFDBPCPMUIKTC-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CNCc1ccncc1Cl ZINC001268588586 1084858027 /nfs/dbraw/zinc/85/80/27/1084858027.db2.gz SVUNXNCYMFQNHQ-DKRLNXSXSA-N 0 1 321.852 3.099 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)CNCc1cc(F)ccc1Cl ZINC001268642928 1084909858 /nfs/dbraw/zinc/90/98/58/1084909858.db2.gz SOAVBPLJTFHADK-CYBMUJFWSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1cc(OC)ccc1Cl ZINC001268698303 1084957009 /nfs/dbraw/zinc/95/70/09/1084957009.db2.gz PCKYVXAHIODCQV-LBPRGKRZSA-N 0 1 324.852 3.155 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccccc1C(C)(C)CC ZINC001268735267 1084995712 /nfs/dbraw/zinc/99/57/12/1084995712.db2.gz KIONXSOYYSIDDX-INIZCTEOSA-N 0 1 314.473 3.448 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001268749379 1085026623 /nfs/dbraw/zinc/02/66/23/1085026623.db2.gz WFUUXAVUSJFYLK-HKUYNNGSSA-N 0 1 314.473 3.024 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001269057278 1085108209 /nfs/dbraw/zinc/10/82/09/1085108209.db2.gz SUMOBHLYCJYLLK-CABCVRRESA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccc(C(C)C)cc2)C1 ZINC001269147076 1085162188 /nfs/dbraw/zinc/16/21/88/1085162188.db2.gz CVUWFRLGJJUATH-GOSISDBHSA-N 0 1 300.446 3.119 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001269245749 1085233173 /nfs/dbraw/zinc/23/31/73/1085233173.db2.gz MHOSYRGTHMLQAR-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001269287737 1085267726 /nfs/dbraw/zinc/26/77/26/1085267726.db2.gz WPDBJAGXBWHZCL-CZUORRHYSA-N 0 1 306.837 3.210 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001269284159 1085268377 /nfs/dbraw/zinc/26/83/77/1085268377.db2.gz BQNWUHJNBGHYRW-GOSISDBHSA-N 0 1 300.446 3.084 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001269349606 1085328284 /nfs/dbraw/zinc/32/82/84/1085328284.db2.gz UHKPNRAGYDTWEE-LSDHHAIUSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C(CC(C)C)CC(C)C)C1 ZINC001269357117 1085334317 /nfs/dbraw/zinc/33/43/17/1085334317.db2.gz YULRMBGYBOAYAV-SFHVURJKSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](CC)NCc2ncc(C)s2)CCC1 ZINC001269466187 1085400876 /nfs/dbraw/zinc/40/08/76/1085400876.db2.gz GVDRNTSTKYTTSL-CQSZACIVSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NC/C(Cl)=C/Cl)C1 ZINC001269467544 1085401741 /nfs/dbraw/zinc/40/17/41/1085401741.db2.gz WUKJYYGWXRXWES-RDQDRAATSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC001269540575 1085453423 /nfs/dbraw/zinc/45/34/23/1085453423.db2.gz GLFYSSLVCPRDCI-GFCCVEGCSA-N 0 1 324.827 3.310 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H](C)C(C)(F)F)C1 ZINC001269849242 1085585267 /nfs/dbraw/zinc/58/52/67/1085585267.db2.gz LPHDFTRVJDUDTF-ZDUSSCGKSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccsc2C)C1 ZINC001269855083 1085589100 /nfs/dbraw/zinc/58/91/00/1085589100.db2.gz CVJDDYQPDQPDBX-CYBMUJFWSA-N 0 1 306.475 3.096 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccsc2C)C1 ZINC001269855084 1085589689 /nfs/dbraw/zinc/58/96/89/1085589689.db2.gz CVJDDYQPDQPDBX-ZDUSSCGKSA-N 0 1 306.475 3.096 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001270010911 1085652005 /nfs/dbraw/zinc/65/20/05/1085652005.db2.gz NGIOFZOWPKEESK-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C)c(F)c1 ZINC001270091595 1085687947 /nfs/dbraw/zinc/68/79/47/1085687947.db2.gz QKPARKKXFFDGFE-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2conc2CC)CC1 ZINC001270231805 1085743140 /nfs/dbraw/zinc/74/31/40/1085743140.db2.gz MJRZGIUGRNCGMJ-UHFFFAOYSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2ocnc2C)CC1 ZINC001270242376 1085744894 /nfs/dbraw/zinc/74/48/94/1085744894.db2.gz AWHYQCVGJLKLJB-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC[C@H](C)C1)C1CCN(C/C=C\Cl)CC1 ZINC001270351315 1085781453 /nfs/dbraw/zinc/78/14/53/1085781453.db2.gz LCHIIWMFYNDRDO-SUWYVTPWSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC[C@H](C)C1)C1CCN(C/C=C/Cl)CC1 ZINC001270351312 1085781932 /nfs/dbraw/zinc/78/19/32/1085781932.db2.gz LCHIIWMFYNDRDO-GHKWRAHLSA-N 0 1 322.880 3.101 20 30 DGEDMN C#CCN(C(=O)[C@@H](CCCC)C(C)C)C1CCN(CC#C)CC1 ZINC001270364794 1085785573 /nfs/dbraw/zinc/78/55/73/1085785573.db2.gz UZVAGVJYDRGUKU-IBGZPJMESA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(C)[nH]c1C ZINC001482394452 1086122256 /nfs/dbraw/zinc/12/22/56/1086122256.db2.gz ZSYSWFBXJAGVNQ-CQSZACIVSA-N 0 1 311.857 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001270975802 1086228922 /nfs/dbraw/zinc/22/89/22/1086228922.db2.gz IVHMBZDARPHDIC-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@H](C(=O)NC[C@]1(C)CCCN(CCF)C1)c1ccccc1 ZINC001271016545 1086252457 /nfs/dbraw/zinc/25/24/57/1086252457.db2.gz HUENENFFONSBPC-HKUYNNGSSA-N 0 1 318.436 3.144 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)[C@H]2CC2(C)C)CCN1CC#Cc1ccccc1 ZINC001087544250 1086263247 /nfs/dbraw/zinc/26/32/47/1086263247.db2.gz ASNBVRIWRWCWEV-CEXWTWQISA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1cccc(C)c1 ZINC001271052664 1086267044 /nfs/dbraw/zinc/26/70/44/1086267044.db2.gz WUJTVLLXVUXSQE-OAHLLOKOSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2cccc(Cl)n2)C1 ZINC001271103522 1086292079 /nfs/dbraw/zinc/29/20/79/1086292079.db2.gz ZPTYIOJIJIDEJS-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C[C@@H](C)CC(C)C)C1 ZINC001271099646 1086292955 /nfs/dbraw/zinc/29/29/55/1086292955.db2.gz DYHVSUXUCSKATC-LSDHHAIUSA-N 0 1 316.436 3.318 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(Cl)c2C)[C@H]1CC ZINC001087582080 1086314575 /nfs/dbraw/zinc/31/45/75/1086314575.db2.gz RLYSJSLBEXOECO-DLBZAZTESA-N 0 1 318.848 3.254 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCN1Cc1cccc(C#N)c1 ZINC001087805884 1086418498 /nfs/dbraw/zinc/41/84/98/1086418498.db2.gz ARCMKSALYUPQQC-DLBZAZTESA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3ccccc32)[C@H]1CC ZINC001087816958 1086424800 /nfs/dbraw/zinc/42/48/00/1086424800.db2.gz QKFQSSZBCQYQJP-RBUKOAKNSA-N 0 1 306.409 3.056 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1CC ZINC001087822116 1086429536 /nfs/dbraw/zinc/42/95/36/1086429536.db2.gz XDQLTQJJUHAXSY-LSDHHAIUSA-N 0 1 318.486 3.225 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CC=C(C)CC2)[C@H]1CC ZINC001087837881 1086436706 /nfs/dbraw/zinc/43/67/06/1086436706.db2.gz IDPBVFISDOPVKZ-YQVWRLOYSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)[C@H]1CC ZINC001087842129 1086440527 /nfs/dbraw/zinc/44/05/27/1086440527.db2.gz FJZKELKILWQMFT-AYOQOUSVSA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1ccco1)C1CCCCC1 ZINC001271353690 1086585196 /nfs/dbraw/zinc/58/51/96/1086585196.db2.gz IGGVODOHNAIEGW-MRXNPFEDSA-N 0 1 324.852 3.229 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001088093610 1086598336 /nfs/dbraw/zinc/59/83/36/1086598336.db2.gz DLGKJGNHYVDVNV-QVIDFCHCSA-N 0 1 310.869 3.122 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)CC)c1ccccc1CC ZINC001271438196 1086625415 /nfs/dbraw/zinc/62/54/15/1086625415.db2.gz MAROUHHQZZHKFY-YJBOKZPZSA-N 0 1 300.446 3.065 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1(C)CC1)c1ccccc1CC ZINC001271445624 1086634488 /nfs/dbraw/zinc/63/44/88/1086634488.db2.gz GWILQJPIVXWNTK-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccoc1CC ZINC001271705356 1086707940 /nfs/dbraw/zinc/70/79/40/1086707940.db2.gz MSRIYFCOBZFQLI-WFASDCNBSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C1C=CC=CC=C1 ZINC001482493385 1086713779 /nfs/dbraw/zinc/71/37/79/1086713779.db2.gz TVPCQYKZXJCLME-YOEHRIQHSA-N 0 1 320.864 3.254 20 30 DGEDMN CCC(=O)N1CCC(CCN(C)Cc2ccccc2C#N)CC1 ZINC001482498927 1086728527 /nfs/dbraw/zinc/72/85/27/1086728527.db2.gz LKZHVAIBIMDQCO-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)[C@H]1C ZINC001088570111 1087071438 /nfs/dbraw/zinc/07/14/38/1087071438.db2.gz BFYJLAOSYABJTK-DYVFJYSZSA-N 0 1 312.413 3.374 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CNCc1conc1CC ZINC001280452129 1087223564 /nfs/dbraw/zinc/22/35/64/1087223564.db2.gz OQJYVHQWWPPHDL-MRXNPFEDSA-N 0 1 319.449 3.064 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3c(C)c(C)[nH]c32)[C@H]1C ZINC001088672810 1087250284 /nfs/dbraw/zinc/25/02/84/1087250284.db2.gz LIKIRXFLVAUCKG-QAPCUYQASA-N 0 1 323.440 3.001 20 30 DGEDMN N#Cc1ccccc1C(F)(F)C(=O)Nc1ccc(F)c(F)c1O ZINC001625992608 1087282626 /nfs/dbraw/zinc/28/26/26/1087282626.db2.gz UYNFIDCBZATTMK-UHFFFAOYSA-N 0 1 324.233 3.273 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1c(C)nsc1C ZINC001483065428 1087325013 /nfs/dbraw/zinc/32/50/13/1087325013.db2.gz SWLIIAOFRZYIBI-BQYQJAHWSA-N 0 1 321.490 3.124 20 30 DGEDMN CCC(CC)CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001483078273 1087332765 /nfs/dbraw/zinc/33/27/65/1087332765.db2.gz ZBRMOFGAZYQUGY-CMDGGOBGSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2coc3cc(C)c(C)cc23)[C@H]1C ZINC001088772256 1087347463 /nfs/dbraw/zinc/34/74/63/1087347463.db2.gz RZEGUJMOUFDQDU-QAPCUYQASA-N 0 1 324.424 3.266 20 30 DGEDMN CCOC(=O)c1ccc(CN(C)Cc2cccc(C#N)c2)cc1 ZINC001142602759 1087376922 /nfs/dbraw/zinc/37/69/22/1087376922.db2.gz BMYWJCIXPXSHKO-UHFFFAOYSA-N 0 1 308.381 3.367 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2c([nH]1)c(F)ccc2C ZINC001483142635 1087402394 /nfs/dbraw/zinc/40/23/94/1087402394.db2.gz DOPQAKKFGXCJRX-LLVKDONJSA-N 0 1 323.799 3.076 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)c(CC)s1 ZINC001483289918 1087459359 /nfs/dbraw/zinc/45/93/59/1087459359.db2.gz BYQIRMPJCIGLSO-NSHDSACASA-N 0 1 300.855 3.079 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001483264643 1087453362 /nfs/dbraw/zinc/45/33/62/1087453362.db2.gz ZHCBYJFLPXVKRN-YVEFUNNKSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(OC2CCC2)cc1 ZINC001483295691 1087461265 /nfs/dbraw/zinc/46/12/65/1087461265.db2.gz RIBIPVWZKZGDSK-ZDUSSCGKSA-N 0 1 322.836 3.078 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001105709829 1087477094 /nfs/dbraw/zinc/47/70/94/1087477094.db2.gz XHGILDFIJCSGLN-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CN(C)Cc2scnc2C)CC1 ZINC001483380003 1087486712 /nfs/dbraw/zinc/48/67/12/1087486712.db2.gz BLTAPGHWCHXQGD-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(Cl)c(Cl)c1 ZINC001483408426 1087493914 /nfs/dbraw/zinc/49/39/14/1087493914.db2.gz KVLIBPMYKSZFPH-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CCC)c1ccccc1 ZINC001483418145 1087496536 /nfs/dbraw/zinc/49/65/36/1087496536.db2.gz STGIPTGCIICSKY-FUHWJXTLSA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN[C@H](C)c1ncc(C)o1 ZINC001483485110 1087513538 /nfs/dbraw/zinc/51/35/38/1087513538.db2.gz YHVMIXXMXBGZTM-CQSZACIVSA-N 0 1 307.438 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CNC/C(Cl)=C/Cl)C2CC2)C1 ZINC001483585301 1087530148 /nfs/dbraw/zinc/53/01/48/1087530148.db2.gz IZECIUQSNJIIGA-FFXRNRBCSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CNC/C(Cl)=C\Cl)C2CC2)C1 ZINC001483585304 1087530572 /nfs/dbraw/zinc/53/05/72/1087530572.db2.gz IZECIUQSNJIIGA-WHGQRRHOSA-N 0 1 317.260 3.146 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCN1Cc1cccc2ccccc21 ZINC001483649290 1087603512 /nfs/dbraw/zinc/60/35/12/1087603512.db2.gz UHJPMPVVHCSLRZ-LJQANCHMSA-N 0 1 320.436 3.190 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001483653446 1087606165 /nfs/dbraw/zinc/60/61/65/1087606165.db2.gz PKMVXSJRNLUWEC-GOSISDBHSA-N 0 1 300.446 3.084 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(C3CCCC3)n2)[C@H]1C ZINC001088948192 1087608314 /nfs/dbraw/zinc/60/83/14/1087608314.db2.gz QORALXHNIHQRFN-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3sccc3c2)[C@H]1C ZINC001088952998 1087609187 /nfs/dbraw/zinc/60/91/87/1087609187.db2.gz UWECSQWHVBZGQE-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1C ZINC001088979513 1087614358 /nfs/dbraw/zinc/61/43/58/1087614358.db2.gz RRNDEPNKUFNMOV-OCCSQVGLSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3ccccc3C)CCC2)[C@H]1C ZINC001089008314 1087620747 /nfs/dbraw/zinc/62/07/47/1087620747.db2.gz BMGGBYBVPOSSNU-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@H]1C ZINC001089008609 1087621130 /nfs/dbraw/zinc/62/11/30/1087621130.db2.gz UQRYAJIQMKYOPY-ABAIWWIYSA-N 0 1 310.800 3.166 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2c(CC)oc3ccccc32)[C@H]1C ZINC001089064117 1087629678 /nfs/dbraw/zinc/62/96/78/1087629678.db2.gz JWQXONVTTUQCNM-HIFRSBDPSA-N 0 1 312.413 3.374 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)CCc1ccccc1F ZINC001483680080 1087641244 /nfs/dbraw/zinc/64/12/44/1087641244.db2.gz VGMYBWGMIDXQDD-RDJZCZTQSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CC(C)(C)C(=O)N1CC2(CCN2C[C@@H](CC)CCCC)C1 ZINC001272343767 1087677708 /nfs/dbraw/zinc/67/77/08/1087677708.db2.gz ONPCDDIUMHWZBX-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCCCC(=O)NCC1(NCc2ccc(C#N)cc2F)CC1 ZINC001483716332 1087693937 /nfs/dbraw/zinc/69/39/37/1087693937.db2.gz QXPFRZWYPSFKTQ-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@H]2c2cccc(F)c2F)c1 ZINC000176402802 1087725521 /nfs/dbraw/zinc/72/55/21/1087725521.db2.gz KRVAJECWELKVGN-RYUDHWBXSA-N 0 1 314.291 3.284 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2occc2s1 ZINC001158468074 1087848072 /nfs/dbraw/zinc/84/80/72/1087848072.db2.gz MJHWKJLMTYBYPD-CYBMUJFWSA-N 0 1 316.426 3.054 20 30 DGEDMN Cc1nc(N2CCN(C(C)C)[C@@H](C)C2)c(C#N)c(C)c1Cl ZINC001158493678 1087866431 /nfs/dbraw/zinc/86/64/31/1087866431.db2.gz GGROQAYICJMUMV-NSHDSACASA-N 0 1 306.841 3.142 20 30 DGEDMN C=CCC1(C(=O)NCCNCC#Cc2ccccc2)CCCCC1 ZINC001152011037 1087868174 /nfs/dbraw/zinc/86/81/74/1087868174.db2.gz WVOFGWZIPZVSCF-UHFFFAOYSA-N 0 1 324.468 3.271 20 30 DGEDMN CC(C)N1CCN(c2nc(Cl)cc(Cl)c2C#N)C[C@@H]1C ZINC001158500952 1087878519 /nfs/dbraw/zinc/87/85/19/1087878519.db2.gz DJYWRPIMCPGUMN-JTQLQIEISA-N 0 1 313.232 3.179 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)C ZINC001158512369 1087887143 /nfs/dbraw/zinc/88/71/43/1087887143.db2.gz HHLSOVNBSOYEKE-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN N#Cc1ccc(NC(=O)c2ccc3cncn3c2)c2cccnc12 ZINC001152202520 1087918243 /nfs/dbraw/zinc/91/82/43/1087918243.db2.gz LYAHRDCAJPEVAS-UHFFFAOYSA-N 0 1 313.320 3.006 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001158578339 1087919828 /nfs/dbraw/zinc/91/98/28/1087919828.db2.gz PQAUWEILEUCELA-SBHAEUEKSA-N 0 1 324.468 3.126 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3cc(Cl)cnc3n2)cc1O ZINC001152496543 1087991041 /nfs/dbraw/zinc/99/10/41/1087991041.db2.gz YUECDQORVFQKRI-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)c(CC)o1 ZINC001158731837 1087996649 /nfs/dbraw/zinc/99/66/49/1087996649.db2.gz BDTOCYNLGYXYCC-MRXNPFEDSA-N 0 1 316.445 3.100 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C)CC(C)C ZINC001158739203 1087999077 /nfs/dbraw/zinc/99/90/77/1087999077.db2.gz BSNAHHBJIVOYOU-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)CCC(C)(C)C ZINC001158744368 1088001756 /nfs/dbraw/zinc/00/17/56/1088001756.db2.gz KBNBFCFOYOOPBI-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)CCC(C)(C)C ZINC001158744367 1088001920 /nfs/dbraw/zinc/00/19/20/1088001920.db2.gz KBNBFCFOYOOPBI-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN N#CC12CCC(Nc3nc[nH]c4nc(=S)sc3-4)(CC1)CC2 ZINC001158788442 1088030177 /nfs/dbraw/zinc/03/01/77/1088030177.db2.gz GQOLBFVJOBYVND-UHFFFAOYSA-N 0 1 317.443 3.403 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(Cl)s1 ZINC001158819547 1088045933 /nfs/dbraw/zinc/04/59/33/1088045933.db2.gz SCAAOVLDFXUFKN-CYBMUJFWSA-N 0 1 324.877 3.351 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)c(F)c(C)c1 ZINC001158872499 1088079167 /nfs/dbraw/zinc/07/91/67/1088079167.db2.gz MPKAVXOCODTDII-QGZVFWFLSA-N 0 1 316.420 3.002 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCNCc1c(F)cccc1F ZINC001158887010 1088084390 /nfs/dbraw/zinc/08/43/90/1088084390.db2.gz NMJLKIMMJKLGLC-UHFFFAOYSA-N 0 1 310.388 3.163 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@H](CCC)CC(C)C ZINC001152877014 1088102905 /nfs/dbraw/zinc/10/29/05/1088102905.db2.gz VXTGLKLXLWYBMW-CYBMUJFWSA-N 0 1 319.287 3.063 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCCC1(C)C ZINC001158949945 1088112350 /nfs/dbraw/zinc/11/23/50/1088112350.db2.gz XEPCGGJPQVCTIP-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN CCc1cc(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)on1 ZINC001203226642 1088159521 /nfs/dbraw/zinc/15/95/21/1088159521.db2.gz QDWGOJWAKDTVTE-KRWDZBQOSA-N 0 1 311.385 3.152 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)c1n[nH]c2cccnc21 ZINC001153144381 1088172884 /nfs/dbraw/zinc/17/28/84/1088172884.db2.gz MPJRILIRGHFGTE-UHFFFAOYSA-N 0 1 319.349 3.297 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001153188188 1088181628 /nfs/dbraw/zinc/18/16/28/1088181628.db2.gz QWIYLMVGAUVYLK-WBWKYDSYSA-N 0 1 312.457 3.221 20 30 DGEDMN CCc1cc(NC(=O)c2cnc3nc[nH]c3c2)cc(CC)c1C#N ZINC001153733380 1088342101 /nfs/dbraw/zinc/34/21/01/1088342101.db2.gz SJKZFIHIAMONEE-UHFFFAOYSA-N 0 1 319.368 3.207 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C/C=C\c1ccc(C)cc1)C2 ZINC001111527638 1088365980 /nfs/dbraw/zinc/36/59/80/1088365980.db2.gz IWLFMKQFDBPJKH-KSULIHCOSA-N 0 1 310.441 3.306 20 30 DGEDMN CCCCCCCCOCC(=O)NC[C@@H](C)[N@@H+](CC)CCF ZINC001153828544 1088377801 /nfs/dbraw/zinc/37/78/01/1088377801.db2.gz NDTOYFHDQOTQNE-MRXNPFEDSA-N 0 1 318.477 3.160 20 30 DGEDMN CCCCCCCCOCC(=O)NC[C@@H](C)N(CC)CCF ZINC001153828544 1088377814 /nfs/dbraw/zinc/37/78/14/1088377814.db2.gz NDTOYFHDQOTQNE-MRXNPFEDSA-N 0 1 318.477 3.160 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H](C)C2CC2)CC(C)(C)C1 ZINC001089351224 1088397895 /nfs/dbraw/zinc/39/78/95/1088397895.db2.gz LVPCLYQVSWQIES-DZGCQCFKSA-N 0 1 312.885 3.392 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C1CC1)C1CC1 ZINC001099303766 1088514243 /nfs/dbraw/zinc/51/42/43/1088514243.db2.gz ISVUPXAZMUJPEZ-QGZVFWFLSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2CC2(C)C)CC(C)(C)C1 ZINC001089364150 1088515856 /nfs/dbraw/zinc/51/58/56/1088515856.db2.gz WQQBJVWMZPQUBA-KGLIPLIRSA-N 0 1 312.885 3.392 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)CC1(C)CC1 ZINC001154373365 1088586444 /nfs/dbraw/zinc/58/64/44/1088586444.db2.gz FUZBIBMCAXPOKT-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2CC23CC3)CC(C)(C)C1 ZINC001089502144 1088697437 /nfs/dbraw/zinc/69/74/37/1088697437.db2.gz NEAUZXPWJXSEHK-KGLIPLIRSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C(C)(C)C ZINC001272669664 1088735987 /nfs/dbraw/zinc/73/59/87/1088735987.db2.gz FLAFQZXPNJGJDV-MRXNPFEDSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC(C)(C)C1CC1 ZINC001272670593 1088764990 /nfs/dbraw/zinc/76/49/90/1088764990.db2.gz WFXQINNCPWAQNG-UHFFFAOYSA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(F)c1 ZINC001089600472 1088798905 /nfs/dbraw/zinc/79/89/05/1088798905.db2.gz FDXBGPYOTUPEEF-KRWDZBQOSA-N 0 1 316.420 3.069 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001154957245 1088853911 /nfs/dbraw/zinc/85/39/11/1088853911.db2.gz ARSVECIJCBLTSL-MRXNPFEDSA-N 0 1 303.450 3.073 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)coc1C ZINC001089637837 1088864726 /nfs/dbraw/zinc/86/47/26/1088864726.db2.gz PUTFEFRSLQMWRW-INIZCTEOSA-N 0 1 316.445 3.140 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001155066099 1088926717 /nfs/dbraw/zinc/92/67/17/1088926717.db2.gz CVNUWULOBHSDNX-APWZRJJASA-N 0 1 317.477 3.319 20 30 DGEDMN CC(C)C#CC(=O)N(C)C1CN(CCC2CCCCCC2)C1 ZINC001483863218 1088960764 /nfs/dbraw/zinc/96/07/64/1088960764.db2.gz QLWKHVFRRZSMJD-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN(CC2CCC3(CC3)CC2)C1 ZINC001483860316 1088960796 /nfs/dbraw/zinc/96/07/96/1088960796.db2.gz NRWIVXPDHFPYMV-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001483868382 1088964997 /nfs/dbraw/zinc/96/49/97/1088964997.db2.gz WNKUIMLFDDAXTH-XOKHGSTOSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2c(F)cc(C)cc2F)C1 ZINC001483871526 1088971507 /nfs/dbraw/zinc/97/15/07/1088971507.db2.gz NYVUKGUJQYCBFY-CYBMUJFWSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(CC)cc2)C1 ZINC001483890537 1088991744 /nfs/dbraw/zinc/99/17/44/1088991744.db2.gz WHBDBAXTRFFRHE-OAHLLOKOSA-N 0 1 300.446 3.360 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)COC2CCC(C)CC2)C1 ZINC001483894482 1088997754 /nfs/dbraw/zinc/99/77/54/1088997754.db2.gz LCWGRBDERNQUCX-UPTPFMHGSA-N 0 1 322.493 3.079 20 30 DGEDMN CCC[C@H](C)C(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001483925986 1089007397 /nfs/dbraw/zinc/00/73/97/1089007397.db2.gz UZWJRMWQMKEBEJ-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc4[nH]cnc43)sc2C1 ZINC001213027276 1089009293 /nfs/dbraw/zinc/00/92/93/1089009293.db2.gz RGGUCQJDNFPOAB-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(Cl)c([N+](=O)[O-])c2)c1 ZINC001160908058 1089013978 /nfs/dbraw/zinc/01/39/78/1089013978.db2.gz LZTFLFVBOIHMEC-UHFFFAOYSA-N 0 1 317.688 3.078 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCC=CCCC1 ZINC001089657083 1089019262 /nfs/dbraw/zinc/01/92/62/1089019262.db2.gz QXCRAUVRPLTSIO-QZTJIDSGSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C(C)(C)c1ccccc1 ZINC001483954618 1089046044 /nfs/dbraw/zinc/04/60/44/1089046044.db2.gz NBNYTDGAVRPCQR-MRXNPFEDSA-N 0 1 320.864 3.297 20 30 DGEDMN C#CCN(C(=O)[C@H](C)CCC)C1CCN(C/C=C/Cl)CC1 ZINC001483982522 1089060000 /nfs/dbraw/zinc/06/00/00/1089060000.db2.gz XCYRSBBKSCHLAX-WCLQSUPFSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001483983007 1089060564 /nfs/dbraw/zinc/06/05/64/1089060564.db2.gz MGGQRMFATYJNQL-CVEARBPZSA-N 0 1 310.457 3.097 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)oc(C)c1C ZINC001089668018 1089074639 /nfs/dbraw/zinc/07/46/39/1089074639.db2.gz QKZMIQZVLPKTIW-MRXNPFEDSA-N 0 1 316.445 3.058 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)oc(C)c1C ZINC001089668018 1089074652 /nfs/dbraw/zinc/07/46/52/1089074652.db2.gz QKZMIQZVLPKTIW-MRXNPFEDSA-N 0 1 316.445 3.058 20 30 DGEDMN C=CCCCC(=O)NCCC1=CCN(CCC(F)(F)F)CC1 ZINC001161056339 1089092784 /nfs/dbraw/zinc/09/27/84/1089092784.db2.gz DFWDSBDNTNJPLC-UHFFFAOYSA-N 0 1 318.383 3.434 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCc2ccc(C)cc2)CC1 ZINC001161121743 1089126684 /nfs/dbraw/zinc/12/66/84/1089126684.db2.gz SBYOMVDGTHQCIM-UHFFFAOYSA-N 0 1 324.468 3.089 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC1(C)C ZINC001089684046 1089159023 /nfs/dbraw/zinc/15/90/23/1089159023.db2.gz NBJUJBKTHDMMQW-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC1=CCN(C/C=C/Cl)CC1 ZINC001161343742 1089202233 /nfs/dbraw/zinc/20/22/33/1089202233.db2.gz RJGVKIQAUFWITR-BJMVGYQFSA-N 0 1 310.869 3.480 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C=C)cc1 ZINC001089695295 1089211738 /nfs/dbraw/zinc/21/17/38/1089211738.db2.gz HSCOQVNQFJNBFD-SFHVURJKSA-N 0 1 310.441 3.183 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](C)CCCCC)CC1 ZINC001161398498 1089225618 /nfs/dbraw/zinc/22/56/18/1089225618.db2.gz VEMBCAJTQRRZSE-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](C)CCCCC)CC1 ZINC001161398497 1089226236 /nfs/dbraw/zinc/22/62/36/1089226236.db2.gz VEMBCAJTQRRZSE-KRWDZBQOSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccncc1Cl ZINC001089700854 1089234898 /nfs/dbraw/zinc/23/48/98/1089234898.db2.gz GQGMUZACGVCWQY-CYBMUJFWSA-N 0 1 321.852 3.141 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc3c(c2)C=CCC3)CC1 ZINC001161452201 1089252021 /nfs/dbraw/zinc/25/20/21/1089252021.db2.gz ORPMBQADKDOJLN-UHFFFAOYSA-N 0 1 320.436 3.031 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CCCN(Cc2cccnc2)C1 ZINC001484166562 1089259887 /nfs/dbraw/zinc/25/98/87/1089259887.db2.gz JSPCWJKROPECOA-LJQANCHMSA-N 0 1 315.461 3.012 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CC2(C=C)CCCCC2)C1 ZINC001484171175 1089266088 /nfs/dbraw/zinc/26/60/88/1089266088.db2.gz GQGYDYHEQGRVNZ-IBGZPJMESA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001484175461 1089272654 /nfs/dbraw/zinc/27/26/54/1089272654.db2.gz PSMNONKFCATRLW-HKUYNNGSSA-N 0 1 306.494 3.300 20 30 DGEDMN C=C(C)C[N@H+]1CCC(F)(F)[C@H](CNC(=O)C(C)(C)CCC)C1 ZINC001484199576 1089288270 /nfs/dbraw/zinc/28/82/70/1089288270.db2.gz YOFQUAWKJZRBAL-CQSZACIVSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(C)(C)CCC)C1 ZINC001484199576 1089288291 /nfs/dbraw/zinc/28/82/91/1089288291.db2.gz YOFQUAWKJZRBAL-CQSZACIVSA-N 0 1 316.436 3.462 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CC12CCCC2 ZINC001089719250 1089294396 /nfs/dbraw/zinc/29/43/96/1089294396.db2.gz ZZTYZXNQJZCZLD-IAGOWNOFSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1sccc1OC ZINC001089724649 1089302291 /nfs/dbraw/zinc/30/22/91/1089302291.db2.gz ZOBHRXQPBUSPCC-ZDUSSCGKSA-N 0 1 322.474 3.163 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)cc1 ZINC001089726779 1089304724 /nfs/dbraw/zinc/30/47/24/1089304724.db2.gz QTYOGFXAFVMUDI-SFHVURJKSA-N 0 1 312.457 3.239 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc2c(c1)CCC2 ZINC001089731660 1089310744 /nfs/dbraw/zinc/31/07/44/1089310744.db2.gz VBNIAVAOKBFJBS-IBGZPJMESA-N 0 1 324.468 3.029 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1coc2ccccc12 ZINC001089737667 1089320911 /nfs/dbraw/zinc/32/09/11/1089320911.db2.gz ZIDPTZGLJPZDJT-OAHLLOKOSA-N 0 1 324.424 3.286 20 30 DGEDMN COC(=O)c1cc2cc(N[C@H](C#N)c3ccccc3)cnc2[nH]1 ZINC001161718492 1089366900 /nfs/dbraw/zinc/36/69/00/1089366900.db2.gz OZHWKEZGOZDMAR-OAHLLOKOSA-N 0 1 306.325 3.026 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](C)C(CC)CC)C[C@@H]2C1 ZINC001484408276 1089404008 /nfs/dbraw/zinc/40/40/08/1089404008.db2.gz GUXHAAADPPSTCY-CWRNSKLLSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2C/C=C/Cl ZINC001484465720 1089435722 /nfs/dbraw/zinc/43/57/22/1089435722.db2.gz TZDYAMHMHLSJHU-ILJFBXALSA-N 0 1 310.869 3.407 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C/Cl ZINC001484465720 1089435732 /nfs/dbraw/zinc/43/57/32/1089435732.db2.gz TZDYAMHMHLSJHU-ILJFBXALSA-N 0 1 310.869 3.407 20 30 DGEDMN CC1CCC(C)(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001161918274 1089469482 /nfs/dbraw/zinc/46/94/82/1089469482.db2.gz KBZMVWCEDPIBRB-UHFFFAOYSA-N 0 1 323.400 3.498 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](CC)CC(C)C ZINC001484556939 1089491318 /nfs/dbraw/zinc/49/13/18/1089491318.db2.gz BJGRQBHAJXCGJX-QGZVFWFLSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC001161968224 1089498555 /nfs/dbraw/zinc/49/85/55/1089498555.db2.gz LQVDPYCHGZCNJX-HXUWFJFHSA-N 0 1 314.473 3.285 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001484556121 1089499538 /nfs/dbraw/zinc/49/95/38/1089499538.db2.gz KEQDYWRNSIUZOB-FFZOFVMBSA-N 0 1 324.468 3.194 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)c3cc4cc(C#N)ccc4[nH]3)ccc12 ZINC001156462066 1089530860 /nfs/dbraw/zinc/53/08/60/1089530860.db2.gz JELIANCGAHJUJR-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN N#CCc1cc(NCCCc2cncc(C(F)(F)F)c2)ccn1 ZINC001162045822 1089539405 /nfs/dbraw/zinc/53/94/05/1089539405.db2.gz KLINZWKDHYXTAA-UHFFFAOYSA-N 0 1 320.318 3.028 20 30 DGEDMN CC/C=C(/C)C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001484627923 1089550739 /nfs/dbraw/zinc/55/07/39/1089550739.db2.gz YRQAKLZDJDTBIP-CHHVJCJISA-N 0 1 311.429 3.043 20 30 DGEDMN C[C@@]1(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C=CCC1 ZINC001484646259 1089562074 /nfs/dbraw/zinc/56/20/74/1089562074.db2.gz JISCADKECKZKJZ-HXUWFJFHSA-N 0 1 322.452 3.023 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](C)C(C)(F)F)CC1 ZINC001484721690 1089633347 /nfs/dbraw/zinc/63/33/47/1089633347.db2.gz LQTSDYWWIUXZQO-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2Cc3ccccc3CN2C(C)C)C1 ZINC001484824128 1089707116 /nfs/dbraw/zinc/70/71/16/1089707116.db2.gz OBLSLQAWUDQODV-GOSISDBHSA-N 0 1 312.457 3.294 20 30 DGEDMN C[C@H]1c2ccccc2CCN1C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156876855 1089727033 /nfs/dbraw/zinc/72/70/33/1089727033.db2.gz SWNVMUKRFUDSGL-LBPRGKRZSA-N 0 1 316.364 3.194 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@@H](C)N(CC)CCF)c1ccccc1 ZINC001157068474 1089809130 /nfs/dbraw/zinc/80/91/30/1089809130.db2.gz AMNBRHLPODBRSP-CVEARBPZSA-N 0 1 306.425 3.142 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccccc1F ZINC001485034528 1089866751 /nfs/dbraw/zinc/86/67/51/1089866751.db2.gz NUSDNMJOVXDLLR-ZDUSSCGKSA-N 0 1 310.800 3.163 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@@H]1CC=CCC1 ZINC001485038910 1089874122 /nfs/dbraw/zinc/87/41/22/1089874122.db2.gz PKPBTJYIQWVCQK-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001485069336 1089903900 /nfs/dbraw/zinc/90/39/00/1089903900.db2.gz NQVCEUGYGMSNGT-HIFRSBDPSA-N 0 1 320.399 3.464 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCCNCc1cc(F)ccc1C#N ZINC001157371363 1089930385 /nfs/dbraw/zinc/93/03/85/1089930385.db2.gz RISRKQUKJWWIFF-CQSZACIVSA-N 0 1 319.424 3.120 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(C)CC=C(C)CC1 ZINC001485080285 1089938785 /nfs/dbraw/zinc/93/87/85/1089938785.db2.gz SNXOYEUFMIONLX-MJGOQNOKSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]([C@H]2CCN(CC(=C)Cl)C2)C1 ZINC001485083019 1089941733 /nfs/dbraw/zinc/94/17/33/1089941733.db2.gz RLUREOMFAVTUIQ-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)/C(C)=C/C ZINC001162770071 1089943562 /nfs/dbraw/zinc/94/35/62/1089943562.db2.gz UBNULUSXQYIDHX-SYZQJQIISA-N 0 1 320.864 3.366 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001485153360 1089989738 /nfs/dbraw/zinc/98/97/38/1089989738.db2.gz QQMFQIDFCOTWRU-RYUDHWBXSA-N 0 1 309.479 3.099 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC001485141655 1089994470 /nfs/dbraw/zinc/99/44/70/1089994470.db2.gz GWLFBJIGPGCQHS-OLZOCXBDSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C(C)(C)C1CCCC1 ZINC001485159358 1089997693 /nfs/dbraw/zinc/99/76/93/1089997693.db2.gz WXKBSKOVPIQPTQ-OLZOCXBDSA-N 0 1 300.874 3.438 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@H](C)NC(=O)C1(C)CCCC1 ZINC001485177750 1090003540 /nfs/dbraw/zinc/00/35/40/1090003540.db2.gz QVNRFMBNRQRKHO-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1scnc1C(C)C ZINC001485198435 1090015324 /nfs/dbraw/zinc/01/53/24/1090015324.db2.gz IFWJWHBKSIWPBB-MNOVXSKESA-N 0 1 315.870 3.115 20 30 DGEDMN C=CC[C@@H](Nc1ncnc2[nH]cc(C(=O)OC)c21)c1ccccc1 ZINC001162906203 1090018355 /nfs/dbraw/zinc/01/83/55/1090018355.db2.gz ZGISGPLNWYEPHA-CQSZACIVSA-N 0 1 322.368 3.474 20 30 DGEDMN N#CCc1cc2ccccc2c(NCc2noc3c2CNCC3)c1 ZINC001163134852 1090086549 /nfs/dbraw/zinc/08/65/49/1090086549.db2.gz KAMZUWIWTPBVNY-UHFFFAOYSA-N 0 1 318.380 3.152 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1C[C@H]1c1ccccc1 ZINC001157967102 1090159169 /nfs/dbraw/zinc/15/91/69/1090159169.db2.gz BCSFXHPQRUMNRS-ZCNNSNEGSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](CCCC)CNCc2conc2C)C1 ZINC001485469312 1090169539 /nfs/dbraw/zinc/16/95/39/1090169539.db2.gz UQAAAIDHZMZTPM-MRXNPFEDSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(CCC)s1 ZINC001158005330 1090170464 /nfs/dbraw/zinc/17/04/64/1090170464.db2.gz OVUKZLPATMQDKQ-OAHLLOKOSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CN(C)Cc1ccccn1 ZINC001158019222 1090173359 /nfs/dbraw/zinc/17/33/59/1090173359.db2.gz VNXMDFOOEJIEMA-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(CCc2ccccc2F)C1 ZINC001485515644 1090199575 /nfs/dbraw/zinc/19/95/75/1090199575.db2.gz FAWNCYXTJVIQLZ-OAHLLOKOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001485520888 1090200618 /nfs/dbraw/zinc/20/06/18/1090200618.db2.gz UMVIQXWJUBOOEN-CYBMUJFWSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001485524844 1090202261 /nfs/dbraw/zinc/20/22/61/1090202261.db2.gz ULUXPVSGEVYBBX-AWEZNQCLSA-N 0 1 318.436 3.365 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)C3(C)CC3)C2)C1 ZINC001485555676 1090207390 /nfs/dbraw/zinc/20/73/90/1090207390.db2.gz FFJQONLADRILNW-LJQANCHMSA-N 0 1 322.493 3.060 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc(-c2ccc(-n3ccnc3)cc2)nn1 ZINC001163738175 1090245790 /nfs/dbraw/zinc/24/57/90/1090245790.db2.gz SLYOCNRSZWBMEM-QBFSEMIESA-N 0 1 319.368 3.234 20 30 DGEDMN CC(=O)C=C(C)Nc1nccc2c1ncn2Cc1ccccc1 ZINC001163738118 1090245806 /nfs/dbraw/zinc/24/58/06/1090245806.db2.gz QEDRIIIXPQKLMM-RAXLEYEMSA-N 0 1 306.369 3.384 20 30 DGEDMN C#CCCCCCC(=O)N1CCC(N(C)CC(=C)Cl)CC1 ZINC001485761221 1090267506 /nfs/dbraw/zinc/26/75/06/1090267506.db2.gz KLPXZUZZURBMRE-UHFFFAOYSA-N 0 1 310.869 3.245 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CCCC(C)C)CC1 ZINC001485762788 1090269448 /nfs/dbraw/zinc/26/94/48/1090269448.db2.gz DBWCXTFNDUQBTO-UHFFFAOYSA-N 0 1 300.874 3.488 20 30 DGEDMN CCC[C@@H](C)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001124587676 1090309682 /nfs/dbraw/zinc/30/96/82/1090309682.db2.gz KNQSPOJJZGCGRD-OAHLLOKOSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001164222137 1090376448 /nfs/dbraw/zinc/37/64/48/1090376448.db2.gz IQXSDKDHYIBFNG-UONOGXRCSA-N 0 1 323.506 3.150 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164224058 1090378576 /nfs/dbraw/zinc/37/85/76/1090378576.db2.gz ZWJSXTZOYIPZBF-ZIAGYGMSSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001164345949 1090406635 /nfs/dbraw/zinc/40/66/35/1090406635.db2.gz JDEBEPNSWFCJRA-AWEZNQCLSA-N 0 1 323.506 3.294 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H](C)C3CC3)CCC[C@@H]12 ZINC001107353911 1090423452 /nfs/dbraw/zinc/42/34/52/1090423452.db2.gz OCUQHSSWBREYHZ-FRFSOERESA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CCC(=O)NCCC1(CN[C@H](C)c2ncc(C)o2)CC1 ZINC001165258725 1090490754 /nfs/dbraw/zinc/49/07/54/1090490754.db2.gz NKCPJOZYTHKKRP-OAHLLOKOSA-N 0 1 319.449 3.276 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CCC(C)C)C1 ZINC001107723857 1090493643 /nfs/dbraw/zinc/49/36/43/1090493643.db2.gz CYVWORQQCSQUOW-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)CC2CCC2)C1 ZINC001107844228 1090513997 /nfs/dbraw/zinc/51/39/97/1090513997.db2.gz PWWPMYYOPSCZPH-LJQANCHMSA-N 0 1 324.509 3.354 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C2=CCCC2)C1 ZINC001107867633 1090521339 /nfs/dbraw/zinc/52/13/39/1090521339.db2.gz MAWSLOSDSBSKSG-IBGZPJMESA-N 0 1 322.493 3.274 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@@](C)(CNC(=O)C[C@H](C)CC)C1 ZINC001107901462 1090532283 /nfs/dbraw/zinc/53/22/83/1090532283.db2.gz QGVVJSPHZIVWLO-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C[C@H](C)CC)C1 ZINC001107901462 1090532285 /nfs/dbraw/zinc/53/22/85/1090532285.db2.gz QGVVJSPHZIVWLO-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCCCCNC(=S)N1CCNC2(CC2)C1 ZINC001239441061 1090557823 /nfs/dbraw/zinc/55/78/23/1090557823.db2.gz RPDUFXYEKIKYDY-UHFFFAOYSA-N 0 1 311.539 3.439 20 30 DGEDMN C=CCCC1(C(=O)NCCCNCc2ncoc2C(C)C)CC1 ZINC001165913771 1090560986 /nfs/dbraw/zinc/56/09/86/1090560986.db2.gz ICSIBRYNDLPEAE-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCC[C@@H](C)CCC)C1 ZINC001107968859 1090589868 /nfs/dbraw/zinc/58/98/68/1090589868.db2.gz IOHLQJVLSWXOQY-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CN(CCCC2CCC2)CCO1 ZINC001107977029 1090607104 /nfs/dbraw/zinc/60/71/04/1090607104.db2.gz ZTQGNWMCAJQLKF-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC1(CNCc2nccs2)CC1 ZINC001166545869 1090618525 /nfs/dbraw/zinc/61/85/25/1090618525.db2.gz RIALQBMXKOBQIS-UHFFFAOYSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1ccc(Cl)cc1)CC2 ZINC001272915057 1090679512 /nfs/dbraw/zinc/67/95/12/1090679512.db2.gz LCIKGSFMKXEPGH-INIZCTEOSA-N 0 1 318.848 3.244 20 30 DGEDMN CCC[C@H](C)CCCC(=O)NCCNCC#Cc1ccccc1 ZINC001128152218 1090696014 /nfs/dbraw/zinc/69/60/14/1090696014.db2.gz HTRICWIIVKIUQU-SFHVURJKSA-N 0 1 314.473 3.350 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)C(CC)CC)C1 ZINC001108038029 1090703359 /nfs/dbraw/zinc/70/33/59/1090703359.db2.gz MAATZHJMQQHUAQ-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)C[C@H](C)CCC ZINC001485854008 1090708564 /nfs/dbraw/zinc/70/85/64/1090708564.db2.gz OVFDVENTUUOHKT-DGCLKSJQSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(OCCC)c1 ZINC001485882404 1090718880 /nfs/dbraw/zinc/71/88/80/1090718880.db2.gz JKFNSJBDUIGMDY-CQSZACIVSA-N 0 1 324.852 3.278 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001485908140 1090723657 /nfs/dbraw/zinc/72/36/57/1090723657.db2.gz MBFODMOCDSDPTJ-NSHDSACASA-N 0 1 323.799 3.110 20 30 DGEDMN CC(C)CCCC(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001485925456 1090728729 /nfs/dbraw/zinc/72/87/29/1090728729.db2.gz MQZWQIGYRLNKLG-INIZCTEOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)[C@H]1C ZINC001486079375 1090750185 /nfs/dbraw/zinc/75/01/85/1090750185.db2.gz NTHCDKJDWJBTIL-UKRRQHHQSA-N 0 1 321.490 3.195 20 30 DGEDMN Cc1cc(Nc2cc(F)c(C#N)c(F)c2)n(-c2ccncc2)n1 ZINC001203674525 1090778191 /nfs/dbraw/zinc/77/81/91/1090778191.db2.gz HMHWRQMMVAMKFQ-UHFFFAOYSA-N 0 1 311.295 3.469 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(C)(C)CC)CC1(C)C ZINC001486233087 1090790083 /nfs/dbraw/zinc/79/00/83/1090790083.db2.gz DRWPOZZPPVVKKM-ZDUSSCGKSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H](NCC(=C)Cl)C(C)(C)C2)CCC1 ZINC001486239855 1090797086 /nfs/dbraw/zinc/79/70/86/1090797086.db2.gz GOXYRFMKPPTYGQ-CQSZACIVSA-N 0 1 310.869 3.312 20 30 DGEDMN COc1ccccc1O[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001203760528 1090798486 /nfs/dbraw/zinc/79/84/86/1090798486.db2.gz LGOMOVDVSCHZSC-QGZVFWFLSA-N 0 1 308.381 3.220 20 30 DGEDMN N#Cc1cccc(CN2CC[C@H](Oc3cc(F)ccc3F)C2)c1 ZINC001203760330 1090799005 /nfs/dbraw/zinc/79/90/05/1090799005.db2.gz HSFWBTVKUBKNGE-INIZCTEOSA-N 0 1 314.335 3.490 20 30 DGEDMN Cc1cccc(O[C@@H]2CCCN(Cc3cccc(C#N)c3)C2)n1 ZINC001203765011 1090800570 /nfs/dbraw/zinc/80/05/70/1090800570.db2.gz SCZUCBOPBOUZQS-GOSISDBHSA-N 0 1 307.397 3.305 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CC[C@H](CC)CC1)C(C)C ZINC001486379970 1090815555 /nfs/dbraw/zinc/81/55/55/1090815555.db2.gz VBCSTOVGXJZHAV-IYARVYRRSA-N 0 1 306.494 3.395 20 30 DGEDMN N#Cc1ccccc1O[C@H]1CCCN(Cc2cccc(O)c2)C1 ZINC001203863655 1090822447 /nfs/dbraw/zinc/82/24/47/1090822447.db2.gz JHWFDEDTHPDSEP-SFHVURJKSA-N 0 1 308.381 3.307 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Oc3cc(F)ccc3F)C2)cc1 ZINC001203904102 1090834002 /nfs/dbraw/zinc/83/40/02/1090834002.db2.gz VTJOWPRDVFDNNJ-INIZCTEOSA-N 0 1 314.335 3.490 20 30 DGEDMN CCOC(=O)C1(CC)CCN(Cc2ccc(C#N)cc2)CC1 ZINC001203906290 1090835543 /nfs/dbraw/zinc/83/55/43/1090835543.db2.gz MNZKNCUNEYPEPT-UHFFFAOYSA-N 0 1 300.402 3.114 20 30 DGEDMN COc1cccnc1O[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001203906315 1090835875 /nfs/dbraw/zinc/83/58/75/1090835875.db2.gz OCRBAORWIBEWGF-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CC(NCc2nc(C)sc2C)C1 ZINC001486473250 1090848683 /nfs/dbraw/zinc/84/86/83/1090848683.db2.gz JQEGBTRYRRZBOL-UHFFFAOYSA-N 0 1 321.490 3.051 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001486476253 1090850053 /nfs/dbraw/zinc/85/00/53/1090850053.db2.gz SLNFBYKMQZLYJR-MQBCKMQZSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001486520281 1090866482 /nfs/dbraw/zinc/86/64/82/1090866482.db2.gz KFTQLHZOOHOPPC-HXUWFJFHSA-N 0 1 324.468 3.342 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H]2CCCCC2(C)C)CC1 ZINC001486521897 1090867367 /nfs/dbraw/zinc/86/73/67/1090867367.db2.gz QBHYIDONIMWKJA-SFHVURJKSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCCC(=O)NCc1ccc(CNC/C=C\Cl)cc1F ZINC001486523575 1090868837 /nfs/dbraw/zinc/86/88/37/1090868837.db2.gz XMUGVSAXAPBVDJ-YWEYNIOJSA-N 0 1 310.800 3.250 20 30 DGEDMN C=C(C)CCC(=O)NCCNCc1nc(C)c(C(C)(C)C)s1 ZINC001128413698 1090958177 /nfs/dbraw/zinc/95/81/77/1090958177.db2.gz KJKINJNKKKKTOZ-UHFFFAOYSA-N 0 1 323.506 3.311 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H]2[C@H]1CCC(=O)N2C1CCCC1 ZINC001272990735 1090968839 /nfs/dbraw/zinc/96/88/39/1090968839.db2.gz NPRLXCJPHISCLQ-RTBURBONSA-N 0 1 323.440 3.066 20 30 DGEDMN O=C1C=C2CN([C@@H]3Cc4cccc(Cl)c4C3)CCC2S1 ZINC001168717530 1090984516 /nfs/dbraw/zinc/98/45/16/1090984516.db2.gz ACGGBNMSUULYTQ-DOMZBBRYSA-N 0 1 305.830 3.081 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CC3(C2)CN(C(=O)NC(C)C)C3)CC1 ZINC001276477463 1091054576 /nfs/dbraw/zinc/05/45/76/1091054576.db2.gz LEYDRQLFAWGCQA-QGZVFWFLSA-N 0 1 317.477 3.025 20 30 DGEDMN CCc1ccccc1CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001205081692 1091097448 /nfs/dbraw/zinc/09/74/48/1091097448.db2.gz JLMNUIJYLHMMPL-UHFFFAOYSA-N 0 1 319.452 3.438 20 30 DGEDMN N#Cc1ccccc1Sc1ccc(C(=O)Nc2c[nH]nn2)cc1 ZINC001138325565 1091141535 /nfs/dbraw/zinc/14/15/35/1091141535.db2.gz IVISNHAZCBAJHM-UHFFFAOYSA-N 0 1 321.365 3.080 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc(O)c3F)sc2C1 ZINC001212546384 1091144997 /nfs/dbraw/zinc/14/49/97/1091144997.db2.gz KACFMLOSCPNKLZ-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN CCOc1ccc(CN2CCC3SC(=O)C=C3C2)c(C)c1 ZINC001138432422 1091182958 /nfs/dbraw/zinc/18/29/58/1091182958.db2.gz MOKOTKQKKHMSOT-INIZCTEOSA-N 0 1 303.427 3.168 20 30 DGEDMN Cc1nccn1-c1ccncc1NC(=O)c1cc(C#N)ccc1C ZINC001293569108 1091258671 /nfs/dbraw/zinc/25/86/71/1091258671.db2.gz WWZCEHFYQQXJTM-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC(C)(C(=O)OC)CC1 ZINC001138663926 1091279757 /nfs/dbraw/zinc/27/97/57/1091279757.db2.gz GGKMPCLIAHTUOE-UHFFFAOYSA-N 0 1 317.429 3.417 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C2(CC)CC2)C1 ZINC001108193212 1091429349 /nfs/dbraw/zinc/42/93/49/1091429349.db2.gz BOKOXJOENHOFKE-SFHVURJKSA-N 0 1 324.509 3.354 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(F)ccc3CC)[C@@H]2C1 ZINC001084484854 1091493033 /nfs/dbraw/zinc/49/30/33/1091493033.db2.gz LAMPCUDGQIHBCY-CRAIPNDOSA-N 0 1 316.420 3.111 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(F)ccc3CC)[C@@H]2C1 ZINC001084484854 1091493036 /nfs/dbraw/zinc/49/30/36/1091493036.db2.gz LAMPCUDGQIHBCY-CRAIPNDOSA-N 0 1 316.420 3.111 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(c4ccccc4)CCC3)[C@@H]2C1 ZINC001084500436 1091496206 /nfs/dbraw/zinc/49/62/06/1091496206.db2.gz MVXVLYWZWAIDDV-IEBWSBKVSA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc4c(cc(C)cc4C)[nH]3)[C@@H]2C1 ZINC001084554716 1091503701 /nfs/dbraw/zinc/50/37/01/1091503701.db2.gz CKSVBPBQIVPZLL-DNVCBOLYSA-N 0 1 323.440 3.117 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc4cc(C)ccc4[nH]3)[C@@H]2C1 ZINC001084566311 1091503829 /nfs/dbraw/zinc/50/38/29/1091503829.db2.gz OPQOLRQXWYEIPZ-DNVCBOLYSA-N 0 1 323.440 3.199 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCN(Cc3ccsc3)C[C@H]21 ZINC001084635069 1091522742 /nfs/dbraw/zinc/52/27/42/1091522742.db2.gz OJZUYRREFZQCNQ-JFIYKMOQSA-N 0 1 318.486 3.383 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)[C@H](C)CO1 ZINC001139405383 1091549632 /nfs/dbraw/zinc/54/96/32/1091549632.db2.gz NIDDFERWPUZXNW-HUUCEWRRSA-N 0 1 307.397 3.229 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](CC)CCCC ZINC001098914927 1091556388 /nfs/dbraw/zinc/55/63/88/1091556388.db2.gz DSSAOBSHGXBVCG-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C)c(C)c3Cl)[C@@H]2C1 ZINC001084774746 1091559858 /nfs/dbraw/zinc/55/98/58/1091559858.db2.gz BSGMQUVGOMXRFZ-GDBMZVCRSA-N 0 1 318.848 3.289 20 30 DGEDMN C#Cc1cccc(CNCc2cc(C(=O)OC)ccc2Cl)c1 ZINC001206292310 1091565210 /nfs/dbraw/zinc/56/52/10/1091565210.db2.gz KIYMUOWBSYYDPZ-UHFFFAOYSA-N 0 1 313.784 3.398 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccccc3C(F)(F)F)[C@@H]2C1 ZINC001084789785 1091565886 /nfs/dbraw/zinc/56/58/86/1091565886.db2.gz YAJMRHYZCZYBAA-IUODEOHRSA-N 0 1 324.346 3.038 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C(F)(F)F)cc3)[C@@H]2C1 ZINC001084791485 1091571446 /nfs/dbraw/zinc/57/14/46/1091571446.db2.gz DVYDZQWTRSMOFU-UKRRQHHQSA-N 0 1 324.346 3.038 20 30 DGEDMN CN(CCCC(=O)c1cccnc1)Cc1ccc(C#N)c(F)c1 ZINC001139529037 1091587038 /nfs/dbraw/zinc/58/70/38/1091587038.db2.gz FXSVEWFZTLOOLR-UHFFFAOYSA-N 0 1 311.360 3.187 20 30 DGEDMN N#Cc1ccc(CN2C[C@@H]3C(=O)c4ccccc4[C@@H]3C2)cc1F ZINC001139527149 1091587363 /nfs/dbraw/zinc/58/73/63/1091587363.db2.gz YSFGZKQTTQZMHK-IRXDYDNUSA-N 0 1 306.340 3.109 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCCN(Cc2cc(C#N)ccc2F)C1 ZINC001139647421 1091634267 /nfs/dbraw/zinc/63/42/67/1091634267.db2.gz ZVBWPNNLUARPRK-GOSISDBHSA-N 0 1 318.392 3.253 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccccc2Cl)C[C@H]1C ZINC001206490521 1091647099 /nfs/dbraw/zinc/64/70/99/1091647099.db2.gz OTOGYQIQXVYOHT-CZUORRHYSA-N 0 1 306.837 3.243 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](OCCc2ccc(O)cc2)C1 ZINC001206725062 1091713857 /nfs/dbraw/zinc/71/38/57/1091713857.db2.gz PZVHVXZNFHAZMQ-HXUWFJFHSA-N 0 1 322.408 3.097 20 30 DGEDMN C#CC1CCN(Cc2c(C)nn(C(=O)OC(C)(C)C)c2C)CC1 ZINC001206813904 1091751445 /nfs/dbraw/zinc/75/14/45/1091751445.db2.gz GDXMWLURUAILIN-UHFFFAOYSA-N 0 1 317.433 3.128 20 30 DGEDMN COc1cncc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)c1 ZINC001206999420 1091816258 /nfs/dbraw/zinc/81/62/58/1091816258.db2.gz XQXNNAOQVKRMFI-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN Cn1cc(CN2CCC(Oc3cccc(F)c3)CC2)cc1C#N ZINC001207106454 1091845486 /nfs/dbraw/zinc/84/54/86/1091845486.db2.gz MDASRPBSDVHSSL-UHFFFAOYSA-N 0 1 313.376 3.079 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001085594020 1091847305 /nfs/dbraw/zinc/84/73/05/1091847305.db2.gz XFJKYBPHKXRSNF-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1ccoc1C(F)(F)F ZINC001085618158 1091860055 /nfs/dbraw/zinc/86/00/55/1091860055.db2.gz GTNLJLUINXUGNJ-LLVKDONJSA-N 0 1 316.323 3.021 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc3c(c2)CCC3)C1 ZINC001203035960 1091864335 /nfs/dbraw/zinc/86/43/35/1091864335.db2.gz OAKAZJUMOOHTHK-GOSISDBHSA-N 0 1 314.429 3.052 20 30 DGEDMN Cc1cncc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001207161491 1091870109 /nfs/dbraw/zinc/87/01/09/1091870109.db2.gz JJZVBVGUELQTHF-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCc2ccccc21 ZINC001085670990 1091878023 /nfs/dbraw/zinc/87/80/23/1091878023.db2.gz KMMFOSVYHSNTDB-MJGOQNOKSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCN(CCN1CCC[C@@H](CC#N)C1)C(=O)OC(C)(C)C ZINC001207205817 1091887502 /nfs/dbraw/zinc/88/75/02/1091887502.db2.gz OJSIIDHYXHHUQF-HNNXBMFYSA-N 0 1 307.438 3.035 20 30 DGEDMN C=CCN(CCN1CC[C@@H](C(F)(F)F)C1)C(=O)OC(C)(C)C ZINC001207206857 1091887660 /nfs/dbraw/zinc/88/76/60/1091887660.db2.gz ZOLYJIMLTYKVRZ-GFCCVEGCSA-N 0 1 322.371 3.294 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)cc(OC)c1C ZINC001085698291 1091888035 /nfs/dbraw/zinc/88/80/35/1091888035.db2.gz JCBABFGUBGTWBM-INIZCTEOSA-N 0 1 316.445 3.034 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001085757147 1091915042 /nfs/dbraw/zinc/91/50/42/1091915042.db2.gz ZVJBEWAPIAXXPR-MRXNPFEDSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001085757147 1091915051 /nfs/dbraw/zinc/91/50/51/1091915051.db2.gz ZVJBEWAPIAXXPR-MRXNPFEDSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c(C)cc(F)cc1C ZINC001085759472 1091917783 /nfs/dbraw/zinc/91/77/83/1091917783.db2.gz IDIWUYUWCZQVMP-INIZCTEOSA-N 0 1 304.409 3.165 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CCC3(C[C@@H](F)CO3)CC2)c1 ZINC001140602978 1091928391 /nfs/dbraw/zinc/92/83/91/1091928391.db2.gz VGCOCGLJQAJIAQ-CQSZACIVSA-N 0 1 308.784 3.305 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(F)c2ccccc12 ZINC001085833937 1091963985 /nfs/dbraw/zinc/96/39/85/1091963985.db2.gz XZTBYBUCTWYGMT-OAHLLOKOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc(F)c1Cl ZINC001085840823 1091967625 /nfs/dbraw/zinc/96/76/25/1091967625.db2.gz QQLTVRKRXASEEB-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccccc1OC(C)C ZINC001085938102 1092025201 /nfs/dbraw/zinc/02/52/01/1092025201.db2.gz YDCKMMAPARKYQQ-INIZCTEOSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C2CCCC2)no1 ZINC001085979957 1092068721 /nfs/dbraw/zinc/06/87/21/1092068721.db2.gz GDLNGQIWXRUZAM-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C)c2c1CCC2 ZINC001085988904 1092074688 /nfs/dbraw/zinc/07/46/88/1092074688.db2.gz CRNMYFJARFWZMD-MRXNPFEDSA-N 0 1 312.457 3.206 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CCCCCC)C2)C1 ZINC001276548710 1092114580 /nfs/dbraw/zinc/11/45/80/1092114580.db2.gz NRRBUHJYOMQVTI-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001207859500 1092122291 /nfs/dbraw/zinc/12/22/91/1092122291.db2.gz AIBBAROJAUMSMN-QLKUMGTLSA-N 0 1 317.260 3.080 20 30 DGEDMN C[C@H]1CN(Cc2ccc(F)cc2C#N)CCN1Cc1ccccc1 ZINC001141166696 1092159829 /nfs/dbraw/zinc/15/98/29/1092159829.db2.gz GNWXRLUEIFIRCX-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1cccc(F)c1Cl)C1CC1 ZINC001276552434 1092176556 /nfs/dbraw/zinc/17/65/56/1092176556.db2.gz IKCCJJZYUCXGKF-OAHLLOKOSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001491632787 1092193183 /nfs/dbraw/zinc/19/31/83/1092193183.db2.gz NBWVFADEYYKWDC-UONOGXRCSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(OC2CCC2)cc1 ZINC001491684865 1092198706 /nfs/dbraw/zinc/19/87/06/1092198706.db2.gz QGOOJRKCMUWNAX-ZDUSSCGKSA-N 0 1 322.836 3.078 20 30 DGEDMN CCN(Cc1ccc(Oc2ccc(C#N)cc2)cc1)C1CN(C)C1 ZINC001141295798 1092201399 /nfs/dbraw/zinc/20/13/99/1092201399.db2.gz LAWRKURSLODSSF-UHFFFAOYSA-N 0 1 321.424 3.486 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001208241089 1092290419 /nfs/dbraw/zinc/29/04/19/1092290419.db2.gz SVJIQTCRLXLNCR-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2ccc(F)cc2F)C1 ZINC001208255748 1092294015 /nfs/dbraw/zinc/29/40/15/1092294015.db2.gz QEBRQLSOKZHDQC-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CCCC[N@H+]1CCO[C@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333982 1092336137 /nfs/dbraw/zinc/33/61/37/1092336137.db2.gz YWHNRWPWTXCJGH-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C(C)(C)CC(C)C)C1 ZINC001108333982 1092336148 /nfs/dbraw/zinc/33/61/48/1092336148.db2.gz YWHNRWPWTXCJGH-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001108336367 1092337703 /nfs/dbraw/zinc/33/77/03/1092337703.db2.gz BPOHXHXYXSVVKW-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208387995 1092338772 /nfs/dbraw/zinc/33/87/72/1092338772.db2.gz DJYMNYZCXCJWIE-HDMKZQKVSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208388732 1092339087 /nfs/dbraw/zinc/33/90/87/1092339087.db2.gz PQLQVOOKEAIORS-IIDMSEBBSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208387996 1092339331 /nfs/dbraw/zinc/33/93/31/1092339331.db2.gz DJYMNYZCXCJWIE-IIDMSEBBSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C[C@H](C)C(C)(C)C)C1 ZINC001108366504 1092358547 /nfs/dbraw/zinc/35/85/47/1092358547.db2.gz VBJPJOKXPVXBJQ-QFBILLFUSA-N 0 1 324.509 3.232 20 30 DGEDMN CSc1nc(CN2CC[C@H](c3ccncc3)C2)ccc1C#N ZINC001141939329 1092364740 /nfs/dbraw/zinc/36/47/40/1092364740.db2.gz OBLVWVKYVGOXRT-HNNXBMFYSA-N 0 1 310.426 3.060 20 30 DGEDMN O[C@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@@H]1F ZINC001141972854 1092371283 /nfs/dbraw/zinc/37/12/83/1092371283.db2.gz SVABLIJTNHXDRV-ROUUACIJSA-N 0 1 315.413 3.053 20 30 DGEDMN O[C@H]1CN(Cc2ccc(C#Cc3ccccc3)s2)CC[C@@H]1F ZINC001141972854 1092371295 /nfs/dbraw/zinc/37/12/95/1092371295.db2.gz SVABLIJTNHXDRV-ROUUACIJSA-N 0 1 315.413 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC001208509177 1092377712 /nfs/dbraw/zinc/37/77/12/1092377712.db2.gz VPFKUILKJBSQCM-BJZITVGISA-N 0 1 318.436 3.365 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCN1C(C)(C)C ZINC001142076246 1092403648 /nfs/dbraw/zinc/40/36/48/1092403648.db2.gz ZFYOUNFTCLZMJI-DLBZAZTESA-N 0 1 300.446 3.163 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC[C@@H]1CCCN1Cc1ccon1 ZINC001492154585 1092413711 /nfs/dbraw/zinc/41/37/11/1092413711.db2.gz PJPSQJOYTOJBDS-MRXNPFEDSA-N 0 1 319.449 3.138 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)Cc1ccc2c(c1)OCCCO2 ZINC001142262469 1092452437 /nfs/dbraw/zinc/45/24/37/1092452437.db2.gz DTZXEZVLRKLNHW-UHFFFAOYSA-N 0 1 308.381 3.352 20 30 DGEDMN C[C@H](c1ccc(F)c(F)c1)N(C)CCNC(=O)C#CC(C)(C)C ZINC001492247470 1092457496 /nfs/dbraw/zinc/45/74/96/1092457496.db2.gz LPJUFEQJAOXQDB-CYBMUJFWSA-N 0 1 322.399 3.123 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(CCc2ccc(Cl)cc2)CC1 ZINC001208741789 1092486536 /nfs/dbraw/zinc/48/65/36/1092486536.db2.gz HGBZZZULLZNHGO-UHFFFAOYSA-N 0 1 320.820 3.051 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC[N@@H+](C)Cc1ccc(F)cc1C ZINC001492380767 1092489925 /nfs/dbraw/zinc/48/99/25/1092489925.db2.gz GGRPMEYWRKZOOP-OAHLLOKOSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001492380767 1092489931 /nfs/dbraw/zinc/48/99/31/1092489931.db2.gz GGRPMEYWRKZOOP-OAHLLOKOSA-N 0 1 306.425 3.284 20 30 DGEDMN N#Cc1cccc(CCCN2CCO[C@@H](Cc3ccccc3)C2)c1 ZINC001208850649 1092542065 /nfs/dbraw/zinc/54/20/65/1092542065.db2.gz HFYCDWKZWISXHN-NRFANRHFSA-N 0 1 320.436 3.434 20 30 DGEDMN CCOC(=O)CN(CCCc1cccc(C#N)c1)C1CCCC1 ZINC001208853942 1092544027 /nfs/dbraw/zinc/54/40/27/1092544027.db2.gz CKACWBQPOJSWOH-UHFFFAOYSA-N 0 1 314.429 3.299 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001208917755 1092585695 /nfs/dbraw/zinc/58/56/95/1092585695.db2.gz ASAPCUUASPGUIP-FSBNRTBOSA-N 0 1 319.276 3.488 20 30 DGEDMN Cc1[nH]c(NC(=O)c2c(O)c(C)nc3ccccc32)c(C#N)c1C ZINC001149191666 1092668200 /nfs/dbraw/zinc/66/82/00/1092668200.db2.gz XJIBEJMMIVXURP-UHFFFAOYSA-N 0 1 320.352 3.318 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001209183466 1092684566 /nfs/dbraw/zinc/68/45/66/1092684566.db2.gz NDEBNGCZGNYPDP-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCCN1CCO[C@H]2[C@@H](Oc3cccnc3)CC[C@@H]21 ZINC001209200502 1092691431 /nfs/dbraw/zinc/69/14/31/1092691431.db2.gz KTBOQWCRTKOAOM-OKZBNKHCSA-N 0 1 302.418 3.049 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001209205029 1092695563 /nfs/dbraw/zinc/69/55/63/1092695563.db2.gz OZZORMXQUKSZNX-UHFFFAOYSA-N 0 1 306.837 3.454 20 30 DGEDMN C#CCCCN1CCN(C(=O)OC(C)(C)C)C2=CCCC[C@H]21 ZINC001209268201 1092720333 /nfs/dbraw/zinc/72/03/33/1092720333.db2.gz BLLSNXSOTZMFME-OAHLLOKOSA-N 0 1 304.434 3.389 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](NCc2cccc(Cl)n2)C1 ZINC001209335767 1092738724 /nfs/dbraw/zinc/73/87/24/1092738724.db2.gz QOSHCQZTOCWNLE-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCCCCN1Cc2nn(C)cc2[C@@H](COCC2CC2)C1 ZINC001209423549 1092768935 /nfs/dbraw/zinc/76/89/35/1092768935.db2.gz WWFMZPMENSNBES-QGZVFWFLSA-N 0 1 317.477 3.492 20 30 DGEDMN C=CCCCCCCN1Cc2nnn(CC)c2[C@H](COCC)C1 ZINC001209436093 1092772898 /nfs/dbraw/zinc/77/28/98/1092772898.db2.gz QICDYVJRPZPKBZ-INIZCTEOSA-N 0 1 320.481 3.370 20 30 DGEDMN C=CCCCCCCCCN1Cc2nnn(C)c2[C@H](COC)C1 ZINC001209443573 1092775995 /nfs/dbraw/zinc/77/59/95/1092775995.db2.gz VTAXJGDFKCFYBH-INIZCTEOSA-N 0 1 320.481 3.277 20 30 DGEDMN C=CCCCCCCCCN1CCC[C@@H](S(C)(=O)=O)C1 ZINC001209444952 1092776975 /nfs/dbraw/zinc/77/69/75/1092776975.db2.gz APMBYTBHECRWLG-MRXNPFEDSA-N 0 1 301.496 3.412 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc3cc[nH]c3c2)C1 ZINC001209517904 1092805487 /nfs/dbraw/zinc/80/54/87/1092805487.db2.gz HZPAJIINXPOXBJ-INIZCTEOSA-N 0 1 313.401 3.045 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)[C@](C)(CC)CCCCC)C1 ZINC001150793170 1092826119 /nfs/dbraw/zinc/82/61/19/1092826119.db2.gz BABMSPWBZFOFIX-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCOC(=O)c1ccc(Nc2cnc(N)cc2C)c(F)c1 ZINC001209969126 1092999058 /nfs/dbraw/zinc/99/90/58/1092999058.db2.gz GBRBGTLAJURJRU-UHFFFAOYSA-N 0 1 301.321 3.198 20 30 DGEDMN Cc1cc(N)ncc1Nc1cc(Br)cc(F)c1C#N ZINC001209970836 1093000205 /nfs/dbraw/zinc/00/02/05/1093000205.db2.gz FURZTCXRZWQTMT-UHFFFAOYSA-N 0 1 321.153 3.489 20 30 DGEDMN Cc1cc2[nH]ncc2cc1Nc1c(C#N)cnn1C1CCOCC1 ZINC001210103216 1093042532 /nfs/dbraw/zinc/04/25/32/1093042532.db2.gz YURVHTDHQFAUMZ-UHFFFAOYSA-N 0 1 322.372 3.035 20 30 DGEDMN Cc1ccc(C#N)cc1Nc1cccc(N2CCN(C)CC2)c1 ZINC001210500790 1093190181 /nfs/dbraw/zinc/19/01/81/1093190181.db2.gz BLKLADPXQOLXBX-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(F)c(O)c3)sc2C1 ZINC001211216046 1093424600 /nfs/dbraw/zinc/42/46/00/1093424600.db2.gz UMYGVFPBHSPYCQ-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN CCOC(=O)c1ccccc1Nc1cc(C#N)c(F)cc1O ZINC001213176888 1093536230 /nfs/dbraw/zinc/53/62/30/1093536230.db2.gz GSECBGJOBAVDLX-UHFFFAOYSA-N 0 1 300.289 3.323 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C(C)C)[C@H](OC)C1 ZINC001213518194 1093602200 /nfs/dbraw/zinc/60/22/00/1093602200.db2.gz ZVHFJPOXLTYDEF-GVDBMIGSSA-N 0 1 312.498 3.064 20 30 DGEDMN Cc1cc(-c2noc(-c3cnccc3N(C)C)n2)ccc1C#N ZINC001214670189 1093828533 /nfs/dbraw/zinc/82/85/33/1093828533.db2.gz XNRXGPXNLNJIIC-UHFFFAOYSA-N 0 1 305.341 3.045 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NCc2ccc(F)cc2F)C1 ZINC001214741305 1093844562 /nfs/dbraw/zinc/84/45/62/1093844562.db2.gz FGMGTLOMBNNBCI-CXAGYDPISA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C)(C)CC(F)F)C[C@H]1C ZINC001215186631 1093935276 /nfs/dbraw/zinc/93/52/76/1093935276.db2.gz XZBKHJUXQGMEEV-ZYHUDNBSSA-N 0 1 322.827 3.247 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1C[C@@H](C)[C@H](NCc2ccccc2C#N)C1 ZINC001215341896 1093965118 /nfs/dbraw/zinc/96/51/18/1093965118.db2.gz JLKGYDYVHRZBNZ-LCINHOFUSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215379726 1093972482 /nfs/dbraw/zinc/97/24/82/1093972482.db2.gz XUHVZKGLTNCCHH-KLRFGDGRSA-N 0 1 319.276 3.344 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2cc3[nH]ncc3c(F)c2)c1 ZINC001215557869 1094001509 /nfs/dbraw/zinc/00/15/09/1094001509.db2.gz CIZNGNXCIQKDFI-UHFFFAOYSA-N 0 1 310.288 3.104 20 30 DGEDMN CCc1cc(Nc2ncccc2N2CCN(C)CC2)ccc1C#N ZINC001216315750 1094172673 /nfs/dbraw/zinc/17/26/73/1094172673.db2.gz BCGDACYMHYSTMD-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](CC)C(C)C)C[C@H]1C ZINC001216452428 1094201553 /nfs/dbraw/zinc/20/15/53/1094201553.db2.gz SAUVPIYOALVNFI-VHDGCEQUSA-N 0 1 300.874 3.248 20 30 DGEDMN N#Cc1cc2c(ccn2-c2cncn2Cc2ccccc2)c(N)c1 ZINC001216553190 1094218435 /nfs/dbraw/zinc/21/84/35/1094218435.db2.gz JBHZDPHYRGIHHR-UHFFFAOYSA-N 0 1 313.364 3.329 20 30 DGEDMN CCCCC[C@@H](C)CC(=O)NCCNCC#Cc1ccccc1 ZINC001134823601 1094302225 /nfs/dbraw/zinc/30/22/25/1094302225.db2.gz APQQEEIYNVCDHY-GOSISDBHSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001134846652 1094309261 /nfs/dbraw/zinc/30/92/61/1094309261.db2.gz CARIXWNKSRLIMZ-MRXNPFEDSA-N 0 1 308.853 3.022 20 30 DGEDMN CC(C)n1c2ccccc2nc1CCNC[C@H](C#N)CCC#N ZINC001120584126 1094461960 /nfs/dbraw/zinc/46/19/60/1094461960.db2.gz XYBBUQIIIDGUGA-HNNXBMFYSA-N 0 1 309.417 3.193 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1coc(C2CC2)n1 ZINC001135357541 1094501101 /nfs/dbraw/zinc/50/11/01/1094501101.db2.gz DTVCZUHUALPSGF-ZIAGYGMSSA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001135358796 1094503154 /nfs/dbraw/zinc/50/31/54/1094503154.db2.gz YOCPVMVEAYPZNN-QWHCGFSZSA-N 0 1 307.438 3.021 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@H](C)NCc2cscn2)CC1 ZINC001135384166 1094510661 /nfs/dbraw/zinc/51/06/61/1094510661.db2.gz ZXWVCWGJYBVXJV-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2cscn2)CCC1 ZINC001135395138 1094515095 /nfs/dbraw/zinc/51/50/95/1094515095.db2.gz NLCICOXUIRITRV-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@H](C)NCc2coc(C)n2)CC1 ZINC001135414768 1094527304 /nfs/dbraw/zinc/52/73/04/1094527304.db2.gz CZMBNZLDRQHWDL-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C[C@@H](C)NCc2nc(C)c(C)o2)C1 ZINC001135419751 1094530356 /nfs/dbraw/zinc/53/03/56/1094530356.db2.gz QCIXAYUZORQBJQ-CHWSQXEVSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001135560740 1094577295 /nfs/dbraw/zinc/57/72/95/1094577295.db2.gz KYWPFLINKFPUAN-STQMWFEESA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)CCC(=O)NC1CC2(CC(NCc3cscn3)C2)C1 ZINC001121183456 1094600359 /nfs/dbraw/zinc/60/03/59/1094600359.db2.gz LQJDGTRVPDAVFA-UHFFFAOYSA-N 0 1 319.474 3.016 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CSCCN1Cc1ccccc1 ZINC001121188190 1094603513 /nfs/dbraw/zinc/60/35/13/1094603513.db2.gz QHXCAWCRBXLZJE-QGZVFWFLSA-N 0 1 318.486 3.077 20 30 DGEDMN CC(C)c1cc(Br)ccc1O[C@H]1CNC[C@H]1C#N ZINC001218199971 1094654927 /nfs/dbraw/zinc/65/49/27/1094654927.db2.gz IMGQENBOBFKBMF-YGRLFVJLSA-N 0 1 309.207 3.063 20 30 DGEDMN Cc1ccc2nc(C(F)(F)F)cc(O[C@@H]3CNC[C@@H]3C#N)c2c1 ZINC001218199827 1094655142 /nfs/dbraw/zinc/65/51/42/1094655142.db2.gz HNRUXVARNGDIIA-IINYFYTJSA-N 0 1 321.302 3.052 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1ccc2ccc(Br)cc2c1 ZINC001218201653 1094656317 /nfs/dbraw/zinc/65/63/17/1094656317.db2.gz SNVVNKOPYBOKOC-SWLSCSKDSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1cc(Br)c2ccccc2c1 ZINC001218202642 1094656660 /nfs/dbraw/zinc/65/66/60/1094656660.db2.gz NGHHAHVOGBHPRP-ABAIWWIYSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1cc2ccccc2cc1Br ZINC001218203832 1094658128 /nfs/dbraw/zinc/65/81/28/1094658128.db2.gz SLYBDZCQEQAKHA-IUODEOHRSA-N 0 1 317.186 3.093 20 30 DGEDMN Cc1cc(Cc2ccc(O[C@@H]3CNC[C@@H]3C#N)c(C)c2)ccc1O ZINC001218204822 1094658151 /nfs/dbraw/zinc/65/81/51/1094658151.db2.gz WWISHNKVHIIAPS-FXAWDEMLSA-N 0 1 322.408 3.090 20 30 DGEDMN Cc1ccc2nc(O[C@@H]3CNC[C@@H]3C#N)cc(C(F)(F)F)c2c1 ZINC001218203765 1094658831 /nfs/dbraw/zinc/65/88/31/1094658831.db2.gz RYEXGJYPHSTDAN-IINYFYTJSA-N 0 1 321.302 3.052 20 30 DGEDMN C=C[C@@H](CCCCC)Oc1ccc(C(=O)N2CCNCC2)cc1 ZINC001218212486 1094662732 /nfs/dbraw/zinc/66/27/32/1094662732.db2.gz OKYXOXBPGRPJFN-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C[C@@H]1CN(c2ncc(C#N)cc2Cl)CCN1C1CCCC1 ZINC001336713493 1094706820 /nfs/dbraw/zinc/70/68/20/1094706820.db2.gz WMILYDZLVXSDBG-GFCCVEGCSA-N 0 1 304.825 3.060 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC001122018165 1094870094 /nfs/dbraw/zinc/87/00/94/1094870094.db2.gz GATUYUDOTMYWSR-CJNGLKHVSA-N 0 1 306.837 3.415 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccc(Cl)nc1 ZINC001337158286 1094877426 /nfs/dbraw/zinc/87/74/26/1094877426.db2.gz OPIKTGLZBHELGL-GFCCVEGCSA-N 0 1 301.777 3.488 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)C[C@H](N)c2ccccc2OCC)C1 ZINC001122063562 1094889000 /nfs/dbraw/zinc/88/90/00/1094889000.db2.gz WIELIILJFOXEQY-RDJZCZTQSA-N 0 1 316.445 3.290 20 30 DGEDMN CC(C)(C)c1cccc(NC(=O)[C@@H](N)Cc2cccc(C#N)c2)c1 ZINC001218900108 1094899582 /nfs/dbraw/zinc/89/95/82/1094899582.db2.gz BBTJHDJYJYWEBJ-SFHVURJKSA-N 0 1 321.424 3.364 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1C[N@@H+](C/C=C/Cl)C2 ZINC001111760810 1094960205 /nfs/dbraw/zinc/96/02/05/1094960205.db2.gz PDPPMWOKOVRVNW-YOFDASAYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]12CCC[C@H]1CN(C/C=C/Cl)C2 ZINC001111760810 1094960220 /nfs/dbraw/zinc/96/02/20/1094960220.db2.gz PDPPMWOKOVRVNW-YOFDASAYSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111848921 1094989991 /nfs/dbraw/zinc/98/99/91/1094989991.db2.gz XXHBFEFZJKIVMS-KCOYDGFKSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111850096 1094990528 /nfs/dbraw/zinc/99/05/28/1094990528.db2.gz BVYUTHCYQWZGEP-QBSSLWDBSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111850098 1094990706 /nfs/dbraw/zinc/99/07/06/1094990706.db2.gz BVYUTHCYQWZGEP-YOKJABJISA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1cc(-c2ccccc2O)[nH]n1 ZINC001337488132 1094993996 /nfs/dbraw/zinc/99/39/96/1094993996.db2.gz JZZJCRUMLCGIIS-CYBMUJFWSA-N 0 1 311.385 3.353 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCCC1(F)F)c1nnc2n1CCCCC2 ZINC001122514765 1094994908 /nfs/dbraw/zinc/99/49/08/1094994908.db2.gz WROMCLRNHDDURD-NEPJUHHUSA-N 0 1 322.359 3.006 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001111892532 1095004941 /nfs/dbraw/zinc/00/49/41/1095004941.db2.gz UMRREBOYRIIAFH-KPFFTGBYSA-N 0 1 316.489 3.197 20 30 DGEDMN C#Cc1ccc(CNC(=O)[C@H](N)c2ccc3ccccc3c2)cc1 ZINC001220382243 1095090754 /nfs/dbraw/zinc/09/07/54/1095090754.db2.gz UCMIZKDGPXDCCB-HXUWFJFHSA-N 0 1 314.388 3.137 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001170998691 1095111304 /nfs/dbraw/zinc/11/13/04/1095111304.db2.gz UUIJGJNWSWUUMM-AWEZNQCLSA-N 0 1 307.438 3.042 20 30 DGEDMN C=CCC[C@@H]1CCCN1c1nnc(-c2ccc3nc[nH]c3c2)n1C ZINC001338085107 1095184755 /nfs/dbraw/zinc/18/47/55/1095184755.db2.gz ZEBCNXVQORMUPK-CQSZACIVSA-N 0 1 322.416 3.293 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2nc(C)oc2C)CCCCC1 ZINC001115442945 1095223266 /nfs/dbraw/zinc/22/32/66/1095223266.db2.gz XWNBGQUHEIMNRF-UHFFFAOYSA-N 0 1 319.449 3.166 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)SCc1ccccc1 ZINC001123335943 1095244333 /nfs/dbraw/zinc/24/43/33/1095244333.db2.gz AFOPGOHFNAGBTH-HIFRSBDPSA-N 0 1 313.426 3.401 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+](Cc3cc(C)ccc3C)C[C@H]21 ZINC001221423790 1095260762 /nfs/dbraw/zinc/26/07/62/1095260762.db2.gz RMRZQLCAYHTLBK-IEBWSBKVSA-N 0 1 312.457 3.302 20 30 DGEDMN N#C[C@H]1CN([C@@H]2CCc3cc(Cl)cc(Cl)c3C2)CCC1=O ZINC001171083407 1095294333 /nfs/dbraw/zinc/29/43/33/1095294333.db2.gz UJDDERKYQJDNRQ-WCQYABFASA-N 0 1 323.223 3.265 20 30 DGEDMN Cc1ccc(CN2CC[C@@H]3CN(C(=O)C#CC(C)(C)C)[C@@H]3C2)cc1 ZINC001221535211 1095296138 /nfs/dbraw/zinc/29/61/38/1095296138.db2.gz RKUUZNABGZFMSE-RTBURBONSA-N 0 1 324.468 3.077 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001137037549 1095298515 /nfs/dbraw/zinc/29/85/15/1095298515.db2.gz HCPUFSQMZQHFCD-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1ccc(CN2CCC(c3cccc(C#N)c3)CC2)cn1 ZINC001137036574 1095304662 /nfs/dbraw/zinc/30/46/62/1095304662.db2.gz XWFFMXZOQWGNPP-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001221762058 1095349920 /nfs/dbraw/zinc/34/99/20/1095349920.db2.gz MTIMFXPKBQXZAO-BFHYXJOUSA-N 0 1 318.383 3.074 20 30 DGEDMN Clc1cncc([N-][NH+]=Cc2ccccc2N2CCCC2)n1 ZINC001115835622 1095405464 /nfs/dbraw/zinc/40/54/64/1095405464.db2.gz DULBKELLCBNMPK-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](CCCC)C(C)C)[C@@H]2C1 ZINC001222058189 1095418353 /nfs/dbraw/zinc/41/83/53/1095418353.db2.gz PLOLBOBPTXGGOI-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN Cc1ccc(C=NNc2ccc(C(C)(C)C)nn2)cc1[N+](=O)[O-] ZINC001116087173 1095454183 /nfs/dbraw/zinc/45/41/83/1095454183.db2.gz FOSWVRYPERWHJT-UHFFFAOYSA-N 0 1 313.361 3.437 20 30 DGEDMN CCCC1CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC001116333794 1095519844 /nfs/dbraw/zinc/51/98/44/1095519844.db2.gz VHDOMHKJCDCNHW-SFHVURJKSA-N 0 1 311.429 3.176 20 30 DGEDMN Cc1c(C(=O)Nc2cc(C#N)cn2C(C)(C)C)ccc2cncn21 ZINC001116501941 1095580767 /nfs/dbraw/zinc/58/07/67/1095580767.db2.gz LKXPFZSFLGPZPO-UHFFFAOYSA-N 0 1 321.384 3.323 20 30 DGEDMN C=CCO[C@H]1CCN(C(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC001116573334 1095605158 /nfs/dbraw/zinc/60/51/58/1095605158.db2.gz FYKDTCSIQAZGCX-JTQLQIEISA-N 0 1 316.184 3.116 20 30 DGEDMN N#C[C@@H]1CN([C@H]2CCc3cc(Cl)c(Cl)cc3C2)CCC1=O ZINC001171160958 1095612361 /nfs/dbraw/zinc/61/23/61/1095612361.db2.gz CSOBUYKMDWEWIY-OLZOCXBDSA-N 0 1 323.223 3.265 20 30 DGEDMN C=C[C@H](COC)N[C@H](C)c1ccc(N2CCOCC2)cc1Cl ZINC001116621596 1095618636 /nfs/dbraw/zinc/61/86/36/1095618636.db2.gz YDASIOONSPCEDN-ZIAGYGMSSA-N 0 1 324.852 3.028 20 30 DGEDMN C=C[C@H](COC)N[C@H](COC)c1cccc(C(F)(F)F)c1 ZINC001116642939 1095622518 /nfs/dbraw/zinc/62/25/18/1095622518.db2.gz FABXMTVCEYVBMO-ZIAGYGMSSA-N 0 1 303.324 3.184 20 30 DGEDMN N=C(Nc1cnc2c(c1)CCC2)c1ccc2cc(O)ccc2c1 ZINC001171184289 1095663821 /nfs/dbraw/zinc/66/38/21/1095663821.db2.gz IXCQDWATMJSWLN-UHFFFAOYSA-N 0 1 303.365 3.466 20 30 DGEDMN CCOC[C@@]12CCC[C@@H]1CN(Cc1ccc(OC)cc1C#N)C2 ZINC001137701450 1095715095 /nfs/dbraw/zinc/71/50/95/1095715095.db2.gz XZHVWZMLZSBVAW-MJGOQNOKSA-N 0 1 314.429 3.205 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2ccnc(C#N)c2)C1 ZINC001222736386 1095737599 /nfs/dbraw/zinc/73/75/99/1095737599.db2.gz QCWKURVPUAHGDP-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN Cc1c(-c2nnc(-c3ccc(C#N)cc3)o2)ccc2cncn21 ZINC001117243363 1095744471 /nfs/dbraw/zinc/74/44/71/1095744471.db2.gz UBILFIIPZCTRBM-UHFFFAOYSA-N 0 1 301.309 3.231 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCN1Cc1ccccc1C(C)C ZINC001276841570 1095765399 /nfs/dbraw/zinc/76/53/99/1095765399.db2.gz FCPRCUNFIFUECO-SFHVURJKSA-N 0 1 312.457 3.160 20 30 DGEDMN C=CCN(CC=C)Cc1c[nH]c2cc(Br)cnc12 ZINC001144700769 1095769919 /nfs/dbraw/zinc/76/99/19/1095769919.db2.gz MAERTWRETOVCFD-UHFFFAOYSA-N 0 1 306.207 3.499 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)N1CCN(c2ccccc2F)CC1 ZINC001171221892 1095819038 /nfs/dbraw/zinc/81/90/38/1095819038.db2.gz WTNNSOCIHFPBFE-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN CC/C(C)=C\C(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001223081143 1095860103 /nfs/dbraw/zinc/86/01/03/1095860103.db2.gz CYFWOXOJPPHBNX-VLGSPTGOSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001223343857 1095941799 /nfs/dbraw/zinc/94/17/99/1095941799.db2.gz ALOUUMDYHJMUJK-GUJPZIPKSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)CC(C)(C)C1 ZINC001340374116 1095941901 /nfs/dbraw/zinc/94/19/01/1095941901.db2.gz BAUKGQUQLLGEHO-GFCCVEGCSA-N 0 1 314.437 3.035 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001223343860 1095942324 /nfs/dbraw/zinc/94/23/24/1095942324.db2.gz ALOUUMDYHJMUJK-VYZBVOHISA-N 0 1 310.869 3.264 20 30 DGEDMN CC(C)[C@H](C#N)c1ccc(NC(=N)c2ccc(C(N)=O)cc2)cc1 ZINC001171259100 1095952898 /nfs/dbraw/zinc/95/28/98/1095952898.db2.gz VSSGCJJGRBPCDG-KRWDZBQOSA-N 0 1 320.396 3.086 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CC[N@H+](C[C@@H](F)CC)[C@H]3C2)CCC1 ZINC001223459438 1095975718 /nfs/dbraw/zinc/97/57/18/1095975718.db2.gz IGZJHSKWWONZIN-IKGGRYGDSA-N 0 1 322.468 3.404 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CCN(C[C@@H](F)CC)[C@H]3C2)CCC1 ZINC001223459438 1095975726 /nfs/dbraw/zinc/97/57/26/1095975726.db2.gz IGZJHSKWWONZIN-IKGGRYGDSA-N 0 1 322.468 3.404 20 30 DGEDMN C=CCn1c(-c2ccc3nc[nH]c3c2)nnc1N(C)[C@H](C)C1CC1 ZINC001340577521 1095979791 /nfs/dbraw/zinc/97/97/91/1095979791.db2.gz GDBMASZYAVNBKM-GFCCVEGCSA-N 0 1 322.416 3.242 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1coc2ccc(Cl)cc12 ZINC001149411727 1095987245 /nfs/dbraw/zinc/98/72/45/1095987245.db2.gz GCJHUSWCAHOEEW-UHFFFAOYSA-N 0 1 313.184 3.158 20 30 DGEDMN Cc1cc(NC2(C#N)CCN(Cc3ccccc3)CC2)cc(F)n1 ZINC001171275381 1096002900 /nfs/dbraw/zinc/00/29/00/1096002900.db2.gz UENXTLGOJDGOTA-UHFFFAOYSA-N 0 1 324.403 3.499 20 30 DGEDMN C[N@@H+]1C[C@H]2CCC[C@@H](C1)[C@@H]2O/C(=N\O)c1ccc(Cl)cc1 ZINC001223592546 1096011530 /nfs/dbraw/zinc/01/15/30/1096011530.db2.gz CFTCAQUCVGKWNM-IUYOYJPKSA-N 0 1 308.809 3.223 20 30 DGEDMN C[N@H+]1C[C@H]2CCC[C@@H](C1)[C@@H]2O/C(=N\[O-])c1ccc(Cl)cc1 ZINC001223592546 1096011542 /nfs/dbraw/zinc/01/15/42/1096011542.db2.gz CFTCAQUCVGKWNM-IUYOYJPKSA-N 0 1 308.809 3.223 20 30 DGEDMN C[N@@H+]1C[C@H]2CCC[C@@H](C1)[C@@H]2O/C(=N\[O-])c1ccc(Cl)cc1 ZINC001223592546 1096011552 /nfs/dbraw/zinc/01/15/52/1096011552.db2.gz CFTCAQUCVGKWNM-IUYOYJPKSA-N 0 1 308.809 3.223 20 30 DGEDMN N#CC1(Nc2ccc(=S)[nH]c2)CCN(Cc2ccccc2)CC1 ZINC001171277913 1096059346 /nfs/dbraw/zinc/05/93/46/1096059346.db2.gz YJLJFXJRWRBCAV-UHFFFAOYSA-N 0 1 324.453 3.341 20 30 DGEDMN C[C@H](O/C(=N\O)c1ccccc1Br)c1ncccn1 ZINC001223885640 1096085630 /nfs/dbraw/zinc/08/56/30/1096085630.db2.gz YMJCUDIIIYXDHO-KWSCKXNYSA-N 0 1 322.162 3.153 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H]2CCCC(=O)C2(C)C)c1 ZINC001223891315 1096087492 /nfs/dbraw/zinc/08/74/92/1096087492.db2.gz HWFTVYXOKLBPOB-ROAZCBAYSA-N 0 1 321.373 3.004 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H](C)c1ccccc1C ZINC001276933804 1096103148 /nfs/dbraw/zinc/10/31/48/1096103148.db2.gz ZTCYGLLMOGSRJD-IRXDYDNUSA-N 0 1 300.446 3.255 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3ccc(C#N)cn3)CC2)s1 ZINC001119260145 1096147402 /nfs/dbraw/zinc/14/74/02/1096147402.db2.gz YWXGIHTWNLDGFR-UHFFFAOYSA-N 0 1 308.410 3.266 20 30 DGEDMN C#CCCCN1CC[C@H]2C[C@]21C(=O)Nc1ccc2cnccc2c1 ZINC001276973021 1096216157 /nfs/dbraw/zinc/21/61/57/1096216157.db2.gz JJTMTLIPUDXDSU-FXAWDEMLSA-N 0 1 319.408 3.051 20 30 DGEDMN C#CCCCN1CC[C@H]2C[C@]21C(=O)Nc1ccc2ccccc2n1 ZINC001276973378 1096216457 /nfs/dbraw/zinc/21/64/57/1096216457.db2.gz ODPAPOJYMKCANN-OXJNMPFZSA-N 0 1 319.408 3.051 20 30 DGEDMN Cc1cc(C(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)cc(C)c1C#N ZINC001119569690 1096225833 /nfs/dbraw/zinc/22/58/33/1096225833.db2.gz RLGDTUDCIJJNRB-UHFFFAOYSA-N 0 1 324.428 3.468 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCN(C[C@@H](F)CC)C2 ZINC001147631461 1096247686 /nfs/dbraw/zinc/24/76/86/1096247686.db2.gz ZKDAYPJFPXZCJL-INIZCTEOSA-N 0 1 308.441 3.037 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCN(C/C=C\Cl)C2 ZINC001147636077 1096249578 /nfs/dbraw/zinc/24/95/78/1096249578.db2.gz ABCNUZULMHGBBN-UITAMQMPSA-N 0 1 308.853 3.042 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN[C@H](C)c2nc(C)cs2)cc1 ZINC001119732985 1096251760 /nfs/dbraw/zinc/25/17/60/1096251760.db2.gz TYHLUMMVOYUTIX-CYBMUJFWSA-N 0 1 313.426 3.112 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001148032972 1096305480 /nfs/dbraw/zinc/30/54/80/1096305480.db2.gz ASGPXLUBFKPSCT-UHFFFAOYSA-N 0 1 306.793 3.122 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC)CCCCCC)C2)C1 ZINC001148031235 1096305597 /nfs/dbraw/zinc/30/55/97/1096305597.db2.gz BEYWEPKGDHGVKA-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C[C@@H](CC)c3ccc(C)cc3)C2)C1 ZINC001148277975 1096347339 /nfs/dbraw/zinc/34/73/39/1096347339.db2.gz PZWORYDWSPJYNR-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2)C[C@@H]1Oc1ncccc1C#N ZINC001225406487 1096420173 /nfs/dbraw/zinc/42/01/73/1096420173.db2.gz ZOCDCSKRZBCKBC-YJBOKZPZSA-N 0 1 307.397 3.243 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1cc(OC)ccn1 ZINC001148727755 1096430983 /nfs/dbraw/zinc/43/09/83/1096430983.db2.gz JMDRCMHGAXIRLN-UHFFFAOYSA-N 0 1 321.465 3.047 20 30 DGEDMN CC(C)c1ccc(C(=O)NCCNCC#Cc2ccccc2)cc1 ZINC001148845653 1096455891 /nfs/dbraw/zinc/45/58/91/1096455891.db2.gz JXOPVCOAUXKKLD-UHFFFAOYSA-N 0 1 320.436 3.181 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@](C)(CC)CCCCC)C2)C1 ZINC001148851297 1096457757 /nfs/dbraw/zinc/45/77/57/1096457757.db2.gz QKWXFJIWLFDRPG-GOSISDBHSA-N 0 1 304.478 3.151 20 30 DGEDMN Cc1cc(C#N)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)nc1C ZINC001225531081 1096459368 /nfs/dbraw/zinc/45/93/68/1096459368.db2.gz OGPXJZKMCKSQEF-NVXWUHKLSA-N 0 1 322.412 3.179 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)/C(C)=C\C)C2)CC1 ZINC001086913953 1096507548 /nfs/dbraw/zinc/50/75/48/1096507548.db2.gz BQBRWZPZWWXOMA-XNISGLLXSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C#N)cc1Br ZINC001225767719 1096524370 /nfs/dbraw/zinc/52/43/70/1096524370.db2.gz RUYHTUPXZFPBSV-LLVKDONJSA-N 0 1 322.158 3.309 20 30 DGEDMN O=C1C=C2CN([C@H]3CCc4c(F)cc(F)cc4C3)CCC2S1 ZINC001171372000 1096552280 /nfs/dbraw/zinc/55/22/80/1096552280.db2.gz SBDSYQMWKZBYKU-XJKSGUPXSA-N 0 1 321.392 3.096 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)cc(F)c1F ZINC001225906923 1096560007 /nfs/dbraw/zinc/56/00/07/1096560007.db2.gz HKUPBMZENHWKMW-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccccc2C)[C@H](C)C1 ZINC001092439257 1096608027 /nfs/dbraw/zinc/60/80/27/1096608027.db2.gz DNSNCLCSOBRXSO-UKRRQHHQSA-N 0 1 306.837 3.045 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ccc(C)c(F)c2)[C@H](C)C1 ZINC001092801434 1096631332 /nfs/dbraw/zinc/63/13/32/1096631332.db2.gz VTXYDJYJBHDWOA-IUODEOHRSA-N 0 1 324.827 3.184 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)C(CC)CC)CC2)C1 ZINC001093510016 1096702864 /nfs/dbraw/zinc/70/28/64/1096702864.db2.gz WLBOZYSRWJURFO-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](Oc2c(O)cccc2C#N)C1 ZINC001226521574 1096712249 /nfs/dbraw/zinc/71/22/49/1096712249.db2.gz ICKNUDWOMQEIOJ-ZBFHGGJFSA-N 0 1 323.396 3.263 20 30 DGEDMN C[C@H](c1ccc(Cl)cc1)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226649861 1096741532 /nfs/dbraw/zinc/74/15/32/1096741532.db2.gz XSMMWSNUTYLADJ-QWHCGFSZSA-N 0 1 319.836 3.141 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)c1ccccc1)C2 ZINC001110153110 1096768249 /nfs/dbraw/zinc/76/82/49/1096768249.db2.gz NCHGCYKOXRXDLR-SQNIBIBYSA-N 0 1 312.457 3.262 20 30 DGEDMN C[C@H]1CCC[C@@]1(C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001277164942 1096810944 /nfs/dbraw/zinc/81/09/44/1096810944.db2.gz QRAFVIQQURTOGT-FXAWDEMLSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3CC4(CC4)C3)CCC[C@@H]12 ZINC001094505120 1096839594 /nfs/dbraw/zinc/83/95/94/1096839594.db2.gz XTGLIAMTPIXVQR-CRAIPNDOSA-N 0 1 322.880 3.290 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H](C)C[NH+](CC)CC ZINC001227120236 1096873624 /nfs/dbraw/zinc/87/36/24/1096873624.db2.gz IMWJIIRDZNYROM-ZDUSSCGKSA-N 0 1 305.418 3.432 20 30 DGEDMN N#Cc1ccc2nc(O[C@H]3CCCN(c4ccccc4)C3)[nH]c2c1 ZINC001227215453 1096896388 /nfs/dbraw/zinc/89/63/88/1096896388.db2.gz CFQOZLGXJQWAAO-INIZCTEOSA-N 0 1 318.380 3.482 20 30 DGEDMN CC(C)Oc1ccc(COc2nc3ccc(C#N)cc3[nH]2)cn1 ZINC001227215777 1096896408 /nfs/dbraw/zinc/89/64/08/1096896408.db2.gz JYVCKTKTSGGOAG-UHFFFAOYSA-N 0 1 308.341 3.196 20 30 DGEDMN CC/C=C\CCOC(=O)[C@H](C)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227216150 1096897242 /nfs/dbraw/zinc/89/72/42/1096897242.db2.gz OZGIYQWRTPLXMJ-RXNFCKPNSA-N 0 1 313.357 3.101 20 30 DGEDMN COc1cccc(OC[C@H](C)Oc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001227218435 1096898032 /nfs/dbraw/zinc/89/80/32/1096898032.db2.gz FVPKRBIEDBJSLG-LBPRGKRZSA-N 0 1 323.352 3.289 20 30 DGEDMN COc1ccc(OC[C@H](C)Oc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001227220113 1096898498 /nfs/dbraw/zinc/89/84/98/1096898498.db2.gz PYZYGZHPTQAOGL-LBPRGKRZSA-N 0 1 323.352 3.289 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cc(C#N)ccc2O)C1 ZINC001227351746 1096919298 /nfs/dbraw/zinc/91/92/98/1096919298.db2.gz YQPYLSCHLGSWIE-GOEBONIOSA-N 0 1 323.396 3.263 20 30 DGEDMN COc1ccc(C(=O)c2ccccc2O)c(O[C@H](C)CS)c1 ZINC001227409320 1096926865 /nfs/dbraw/zinc/92/68/65/1096926865.db2.gz CRALEUZOSKYFJF-LLVKDONJSA-N 0 1 318.394 3.329 20 30 DGEDMN N#Cc1ccc(C(=O)N(CC2CC2)c2cccc(F)c2)cc1O ZINC001171644319 1096950392 /nfs/dbraw/zinc/95/03/92/1096950392.db2.gz UXIUUPOTRNSDAM-UHFFFAOYSA-N 0 1 310.328 3.460 20 30 DGEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1cc(Cl)c([O-])cc1Cl ZINC001227641360 1096964887 /nfs/dbraw/zinc/96/48/87/1096964887.db2.gz MUPHLOYPVNQSIG-SECBINFHSA-O 0 1 304.197 3.066 20 30 DGEDMN CCCCCCCCC(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001171818460 1096974251 /nfs/dbraw/zinc/97/42/51/1096974251.db2.gz LZINFSVDQXTSIT-AWEZNQCLSA-N 0 1 323.485 3.021 20 30 DGEDMN C=C[C@]1(C)CC[C@H](Oc2nc(=O)[nH]c3c2CO[C@H]3C)C(C)(C)O1 ZINC001227715793 1096975134 /nfs/dbraw/zinc/97/51/34/1096975134.db2.gz GUCIZDCUNJEVOX-QAGHZCBJSA-N 0 1 320.389 3.055 20 30 DGEDMN C=C[C@H](CCCCCCC)Oc1c[nH]c(C(=O)OC)cc1=O ZINC001227786649 1096988783 /nfs/dbraw/zinc/98/87/83/1096988783.db2.gz UNJJYAXOVWJMKS-CYBMUJFWSA-N 0 1 307.390 3.455 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2nccc3cc[nH]c32)C1 ZINC001227898832 1097009376 /nfs/dbraw/zinc/00/93/76/1097009376.db2.gz QLTAYSLDKWMVRG-QGZVFWFLSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001227934392 1097016443 /nfs/dbraw/zinc/01/64/43/1097016443.db2.gz WCAGCJSSBIRSQQ-HUUCEWRRSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ncc(C)s1 ZINC001227972850 1097023178 /nfs/dbraw/zinc/02/31/78/1097023178.db2.gz HJSUPGUNITZVPA-ZIAGYGMSSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC001228130589 1097057162 /nfs/dbraw/zinc/05/71/62/1097057162.db2.gz QCVZIORVAKABTE-BZUAXINKSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@@H](CCCC#N)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001172486068 1097152108 /nfs/dbraw/zinc/15/21/08/1097152108.db2.gz YNXQQMKBPUKBHV-KRWDZBQOSA-N 0 1 310.445 3.217 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001228748608 1097182269 /nfs/dbraw/zinc/18/22/69/1097182269.db2.gz MOTNUZXRHJTGBG-ZIAGYGMSSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(Cc2cnc(C)s2)CC1 ZINC001228809546 1097195562 /nfs/dbraw/zinc/19/55/62/1097195562.db2.gz JMFWRRBDOIUKDB-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CCCCN(CCC#N)[C@@H]1CCN(Cc2ccccc2)C(=O)C1 ZINC001172675647 1097212971 /nfs/dbraw/zinc/21/29/71/1097212971.db2.gz INNNHSKUMJMOHM-GOSISDBHSA-N 0 1 313.445 3.193 20 30 DGEDMN CC(C)OC(=O)CC[C@H](C)N1CCc2ccc(C#N)cc2C1 ZINC001172755080 1097230931 /nfs/dbraw/zinc/23/09/31/1097230931.db2.gz LIPMQUDMLMMGBH-AWEZNQCLSA-N 0 1 300.402 3.037 20 30 DGEDMN C#C[C@@H](COc1cccc(Cl)c1)Oc1nnc2c[nH]ccc1-2 ZINC001228994500 1097233797 /nfs/dbraw/zinc/23/37/97/1097233797.db2.gz DROZTMSTWKEECG-LBPRGKRZSA-N 0 1 313.744 3.071 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3c(c2)OCC3)C1 ZINC001228997708 1097234517 /nfs/dbraw/zinc/23/45/17/1097234517.db2.gz RLTOFAJLKOURMI-LJQANCHMSA-N 0 1 320.392 3.146 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NCc2nccs2)C12CCC2 ZINC001203341671 1097254511 /nfs/dbraw/zinc/25/45/11/1097254511.db2.gz SPMXJNUGIIUJTP-KGLIPLIRSA-N 0 1 319.474 3.016 20 30 DGEDMN CCC[C@@H](C#Cc1ccccc1)Oc1nc(C)nc2[nH]cnc21 ZINC001229438811 1097320709 /nfs/dbraw/zinc/32/07/09/1097320709.db2.gz WPJXYZRUEJIBDL-HNNXBMFYSA-N 0 1 306.369 3.261 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(N=C2C=CC(=O)C=C2)cc1 ZINC001229695547 1097366882 /nfs/dbraw/zinc/36/68/82/1097366882.db2.gz ZACDYGRVXLXDHM-KRWDZBQOSA-N 0 1 323.348 3.442 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(CC#N)cc3)c2)CC1 ZINC001212713994 1097374130 /nfs/dbraw/zinc/37/41/30/1097374130.db2.gz YUZKJNMITMUCID-UHFFFAOYSA-N 0 1 306.413 3.248 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CCCC)C(C)C)C2 ZINC001110168772 1097405023 /nfs/dbraw/zinc/40/50/23/1097405023.db2.gz BENQFLYXOJIAIA-OWSLCNJRSA-N 0 1 304.478 3.194 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001229919382 1097411730 /nfs/dbraw/zinc/41/17/30/1097411730.db2.gz LDMHIZQZLPVVLN-SNVBAGLBSA-N 0 1 304.342 3.240 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(C2CCC(CC#N)CC2)[C@H]2C[C@H]21 ZINC001173335846 1097420437 /nfs/dbraw/zinc/42/04/37/1097420437.db2.gz DWBJATDIOIEBSK-SSHXOBKSSA-N 0 1 319.449 3.152 20 30 DGEDMN CSc1ccc(C(=O)c2[nH]c(O[C@H](C)CS)nc2C)cc1 ZINC001230136556 1097449905 /nfs/dbraw/zinc/44/99/05/1097449905.db2.gz ILGNGVPMGXWNER-SECBINFHSA-N 0 1 322.455 3.368 20 30 DGEDMN CC(C)(C)CC(=O)N1CCN(C2CCC(C)(C#N)CC2)CC1 ZINC001173597959 1097468233 /nfs/dbraw/zinc/46/82/33/1097468233.db2.gz KHNWMWSDTQUVMY-UHFFFAOYSA-N 0 1 305.466 3.039 20 30 DGEDMN C=C[C@H](C(=O)NC1CCN(C[C@@H](F)CC)CC1)c1ccccc1 ZINC001230331915 1097477723 /nfs/dbraw/zinc/47/77/23/1097477723.db2.gz JOZFMTJHPMDONH-WMZOPIPTSA-N 0 1 318.436 3.285 20 30 DGEDMN CN1CCN(c2ccc(NC=Cc3ccccc3)cc2C#N)CC1 ZINC001173873032 1097524431 /nfs/dbraw/zinc/52/44/31/1097524431.db2.gz BVNFZBQTGLTIJK-MDZDMXLPSA-N 0 1 318.424 3.393 20 30 DGEDMN COc1cc(Nc2cc(C#N)c(F)cc2O)cc(OC)c1OC ZINC001173908000 1097535510 /nfs/dbraw/zinc/53/55/10/1097535510.db2.gz RDIWLRPGOQRJSM-UHFFFAOYSA-N 0 1 318.304 3.172 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1c(C)noc1C ZINC001230715434 1097562961 /nfs/dbraw/zinc/56/29/61/1097562961.db2.gz YVIXZIBWCSMCET-MRXNPFEDSA-N 0 1 321.465 3.408 20 30 DGEDMN C=C(C)C[N@H+](CCCNC(=O)[C@H](C)CCC)Cc1cccnc1 ZINC001230714088 1097563433 /nfs/dbraw/zinc/56/34/33/1097563433.db2.gz VGQZHPJRLNLRRW-QGZVFWFLSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C(C)C[N@H+](CCCNC(=O)[C@@H](C)CCC)Cc1cccnc1 ZINC001230714087 1097563704 /nfs/dbraw/zinc/56/37/04/1097563704.db2.gz VGQZHPJRLNLRRW-KRWDZBQOSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)CCCC)Cc1cccnc1 ZINC001230747808 1097573246 /nfs/dbraw/zinc/57/32/46/1097573246.db2.gz ITNNVMGTHUVCSC-QGZVFWFLSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)CC(=C)C)Cc1cccnc1 ZINC001230819774 1097597216 /nfs/dbraw/zinc/59/72/16/1097597216.db2.gz FNNWYNLBTPRCOK-KRWDZBQOSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCN(CCCNC(=O)C1(CC)CCC1)Cc1cccnc1 ZINC001230820040 1097597582 /nfs/dbraw/zinc/59/75/82/1097597582.db2.gz QABQDGZQYWLLOF-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)[C@@H](C)C=C)Cc1cccnc1 ZINC001230820206 1097597760 /nfs/dbraw/zinc/59/77/60/1097597760.db2.gz XOBDZUVRSKHYHW-DLBZAZTESA-N 0 1 315.461 3.034 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CCCC(C)(C)C ZINC001231094444 1097667016 /nfs/dbraw/zinc/66/70/16/1097667016.db2.gz GRNZYTBQYCSXNV-IRXDYDNUSA-N 0 1 324.509 3.327 20 30 DGEDMN N#CC1CCN(Cc2n[nH]c3cc(Br)ccc32)CC1 ZINC001231614758 1097793583 /nfs/dbraw/zinc/79/35/83/1097793583.db2.gz HLKIERLDPHMTLV-UHFFFAOYSA-N 0 1 319.206 3.061 20 30 DGEDMN C#Cc1cccc(CN(C)Cc2cncc(Br)c2)c1 ZINC001231655092 1097802835 /nfs/dbraw/zinc/80/28/35/1097802835.db2.gz KZHQRVCEXCHQFQ-UHFFFAOYSA-N 0 1 315.214 3.457 20 30 DGEDMN C#Cc1cccc(CN2CCCN(C(=O)OC(C)(C)C)CC2)c1 ZINC001231656329 1097804910 /nfs/dbraw/zinc/80/49/10/1097804910.db2.gz ZUYYFFNRKXXRSV-UHFFFAOYSA-N 0 1 314.429 3.111 20 30 DGEDMN CN1CCN(c2ccc(Nc3cc(F)ccc3C#N)cc2)CC1 ZINC001174815202 1097846125 /nfs/dbraw/zinc/84/61/25/1097846125.db2.gz MZLUMRSDEXCSDR-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001174834083 1097853104 /nfs/dbraw/zinc/85/31/04/1097853104.db2.gz VTPDLUFDYDVATA-CVEARBPZSA-N 0 1 321.465 3.350 20 30 DGEDMN C[C@@H](C#N)C(=O)N(C)C1CN(CC2CCC(C(C)(C)C)CC2)C1 ZINC001277575722 1097861291 /nfs/dbraw/zinc/86/12/91/1097861291.db2.gz NTESXYLLLBQFCX-FHERZECASA-N 0 1 319.493 3.141 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001174985842 1097917346 /nfs/dbraw/zinc/91/73/46/1097917346.db2.gz AUTVXZYZTQKCTJ-CQSZACIVSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001175082476 1097941557 /nfs/dbraw/zinc/94/15/57/1097941557.db2.gz OIICXNNDWNNHBP-YJBOKZPZSA-N 0 1 321.465 3.350 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@H]3CCO)CC2)cc1Cl ZINC001232112340 1097942516 /nfs/dbraw/zinc/94/25/16/1097942516.db2.gz TTZYRYWBTRQTSD-OAHLLOKOSA-N 0 1 304.821 3.196 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2ccc(C#N)c(Cl)c2)C1 ZINC001232116954 1097943692 /nfs/dbraw/zinc/94/36/92/1097943692.db2.gz SZUYXDFMJTUAQF-KRWDZBQOSA-N 0 1 320.820 3.377 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCCCNCc2ccon2)CCC1 ZINC001175090283 1097944610 /nfs/dbraw/zinc/94/46/10/1097944610.db2.gz ZCZUZYOSGKHJKM-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN CN(Cc1cnc(-c2cccnc2)o1)Cc1ccc(C#N)cc1 ZINC001232137022 1097947151 /nfs/dbraw/zinc/94/71/51/1097947151.db2.gz GKRJMCOWXAMVQZ-UHFFFAOYSA-N 0 1 304.353 3.240 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCCNCc2nocc2C)C1 ZINC001175159154 1097950670 /nfs/dbraw/zinc/95/06/70/1097950670.db2.gz NNKHPJMMUNJJIF-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(c3ccccc3)CCC2)C1 ZINC001277625948 1097959374 /nfs/dbraw/zinc/95/93/74/1097959374.db2.gz QLOACXVIFSEERS-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1C(C)(C)C1(C)C ZINC001277629411 1097965106 /nfs/dbraw/zinc/96/51/06/1097965106.db2.gz JDEHNDXOBIQHGU-CYBMUJFWSA-N 0 1 312.885 3.248 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C/C=C/Cl)CC1(C)C ZINC001281546456 1097990129 /nfs/dbraw/zinc/99/01/29/1097990129.db2.gz JTZAUKXBLHESGL-SHQCLWGWSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001277654736 1098028234 /nfs/dbraw/zinc/02/82/34/1098028234.db2.gz CUIKKWTVHLVPCB-OLZOCXBDSA-N 0 1 320.811 3.001 20 30 DGEDMN CC[C@H]1CN(Cc2ccccc2)CCN1Cc1cc(C#N)ccn1 ZINC001232481369 1098053778 /nfs/dbraw/zinc/05/37/78/1098053778.db2.gz DMFKOVSFRCVSPH-FQEVSTJZSA-N 0 1 320.440 3.050 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(CC#N)CC2)c(Cl)c1 ZINC001232577533 1098082043 /nfs/dbraw/zinc/08/20/43/1098082043.db2.gz FVBUFGDVWWFSRP-UHFFFAOYSA-N 0 1 306.793 3.252 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@H]1CC=CCC1 ZINC001277684483 1098084098 /nfs/dbraw/zinc/08/40/98/1098084098.db2.gz KHRKDQXMXVEUFI-GOEBONIOSA-N 0 1 310.869 3.312 20 30 DGEDMN CCCCN(CC#N)Cc1cc(F)c(O)c(Br)c1 ZINC001232633873 1098104060 /nfs/dbraw/zinc/10/40/60/1098104060.db2.gz AHGJSBOQSNAXRG-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc4c[nH]nc43)sc2C1 ZINC001175636854 1098111358 /nfs/dbraw/zinc/11/13/58/1098111358.db2.gz HWIHCOJWMASEAC-UHFFFAOYSA-N 0 1 309.398 3.228 20 30 DGEDMN CC(C)CCCN1CC(N(C)C(=O)CC#Cc2ccccc2)C1 ZINC001277699620 1098113108 /nfs/dbraw/zinc/11/31/08/1098113108.db2.gz LCBHKWXQKZJVBY-UHFFFAOYSA-N 0 1 312.457 3.007 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2cc(F)cc(C#N)c2)CC1 ZINC001175685056 1098137535 /nfs/dbraw/zinc/13/75/35/1098137535.db2.gz IJUOMYWVYKVKRC-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN CN(Cc1ccncc1)Cc1ccc(Br)c(C#N)c1 ZINC001232893564 1098167187 /nfs/dbraw/zinc/16/71/87/1098167187.db2.gz JUCBGADRRMYHCL-UHFFFAOYSA-N 0 1 316.202 3.348 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3cccc(C#N)n3)CC2)cc1 ZINC001232924525 1098173789 /nfs/dbraw/zinc/17/37/89/1098173789.db2.gz HUBZZNSGQOWBFP-UHFFFAOYSA-N 0 1 316.408 3.280 20 30 DGEDMN COc1cccc([C@@H]2CCCN(Cc3cccc(C#N)n3)C2)c1 ZINC001232926880 1098175023 /nfs/dbraw/zinc/17/50/23/1098175023.db2.gz GUPLWABUJNKTPA-MRXNPFEDSA-N 0 1 307.397 3.341 20 30 DGEDMN C#Cc1cccc(Nc2c(F)cccc2N2CCN(C)CC2)c1 ZINC001175977951 1098217497 /nfs/dbraw/zinc/21/74/97/1098217497.db2.gz GOEFOUIFYAUJRK-UHFFFAOYSA-N 0 1 309.388 3.302 20 30 DGEDMN C#Cc1cccc(Nc2ccc(N3CCN(C)CC3)c(OC)c2)c1 ZINC001175979048 1098217637 /nfs/dbraw/zinc/21/76/37/1098217637.db2.gz ZXRIBAFULAILDN-UHFFFAOYSA-N 0 1 321.424 3.172 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@](O)(C(F)(F)F)[C@H](C)C1 ZINC001233080055 1098221634 /nfs/dbraw/zinc/22/16/34/1098221634.db2.gz CBFBCXVVAWTZRD-IUODEOHRSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCCc2cc(O)c(O)cc2C1 ZINC001233082330 1098222723 /nfs/dbraw/zinc/22/27/23/1098222723.db2.gz ILOALFQAOBLALS-UHFFFAOYSA-N 0 1 308.381 3.226 20 30 DGEDMN COC(=O)c1cccc(CN(C)Cc2ccc(C#N)cc2C)c1 ZINC001233082141 1098223013 /nfs/dbraw/zinc/22/30/13/1098223013.db2.gz AGRYOAAJJQWTNH-UHFFFAOYSA-N 0 1 308.381 3.285 20 30 DGEDMN Cc1cnsc1CN1CCC[C@@H](Oc2ccc(C#N)cc2)C1 ZINC001233322509 1098288214 /nfs/dbraw/zinc/28/82/14/1098288214.db2.gz HZYWIWNYCKBCPQ-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN COc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)cc1O ZINC001176254305 1098306334 /nfs/dbraw/zinc/30/63/34/1098306334.db2.gz PMUXICHEESFGLF-UHFFFAOYSA-N 0 1 315.398 3.065 20 30 DGEDMN Cc1ccccc1O[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233386279 1098310728 /nfs/dbraw/zinc/31/07/28/1098310728.db2.gz NFZZAOVCTBCFLJ-QGZVFWFLSA-N 0 1 308.381 3.226 20 30 DGEDMN CC(C)(O)C[N@@H+](Cc1ccccc1)Cc1ccc(C#N)cc1[O-] ZINC001233387473 1098311195 /nfs/dbraw/zinc/31/11/95/1098311195.db2.gz KSVCRUBJFJOEEY-UHFFFAOYSA-N 0 1 310.397 3.037 20 30 DGEDMN C#C[C@H](C)Oc1c(O)ccc2c1occ(-c1ccc(O)cc1)c2=O ZINC001233814433 1098435899 /nfs/dbraw/zinc/43/58/99/1098435899.db2.gz SZCOTOOCUHAZBO-NSHDSACASA-N 0 1 322.316 3.272 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]2OCCN(CCCC(C)(C)C)[C@@H]2C1 ZINC001176954894 1098456724 /nfs/dbraw/zinc/45/67/24/1098456724.db2.gz KIRHIUNHEZZQQU-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H]2OCCN(CCC(C)(C)C)[C@H]2C1 ZINC001177026570 1098463977 /nfs/dbraw/zinc/46/39/77/1098463977.db2.gz ZQVFTNIIVAYVHX-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2OCCN(CC(CC)CC)[C@@H]2C1 ZINC001177088232 1098475753 /nfs/dbraw/zinc/47/57/53/1098475753.db2.gz IUQBXAILBCDYBW-QZTJIDSGSA-N 0 1 322.493 3.081 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001234115276 1098497505 /nfs/dbraw/zinc/49/75/05/1098497505.db2.gz AJOHGMMYAHOSNG-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(CCCC(=O)OCC)cc1 ZINC001234152349 1098505748 /nfs/dbraw/zinc/50/57/48/1098505748.db2.gz UXOGVJXWWJPSPW-LBPRGKRZSA-N 0 1 318.369 3.171 20 30 DGEDMN C#CC[C@H](CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001110206381 1098531399 /nfs/dbraw/zinc/53/13/99/1098531399.db2.gz MSJSFSICVCPVBL-ZRNYENFQSA-N 0 1 322.452 3.091 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)Cc1cccc(F)c1 ZINC001234322480 1098537133 /nfs/dbraw/zinc/53/71/33/1098537133.db2.gz IQZTXIYCIKYKBD-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2csc(C)n2)CCCC1 ZINC001177548025 1098554404 /nfs/dbraw/zinc/55/44/04/1098554404.db2.gz MPPGDFPVLSHTDV-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN CC(C)[C@H](Oc1[nH]c(=O)nc2cccc(C#N)c21)C(F)(F)F ZINC001234510825 1098575420 /nfs/dbraw/zinc/57/54/20/1098575420.db2.gz GLYANTQRBNHLQW-NSHDSACASA-N 0 1 311.263 3.173 20 30 DGEDMN C[C@@H](COc1ccccc1)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234529191 1098584686 /nfs/dbraw/zinc/58/46/86/1098584686.db2.gz CSEMHMKRNVVVTD-LBPRGKRZSA-N 0 1 321.336 3.053 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1coc2ccc(C)cc12 ZINC001234582882 1098610139 /nfs/dbraw/zinc/61/01/39/1098610139.db2.gz GMVLITJUQRGHCB-INIZCTEOSA-N 0 1 312.413 3.002 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001234592809 1098612731 /nfs/dbraw/zinc/61/27/31/1098612731.db2.gz FESOMZAWJGQJTI-SFHVURJKSA-N 0 1 300.446 3.384 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2cc3cccnc3[nH]2)C1 ZINC001234630197 1098625009 /nfs/dbraw/zinc/62/50/09/1098625009.db2.gz QPDBJVPGBZICCH-QGZVFWFLSA-N 0 1 318.380 3.088 20 30 DGEDMN C[C@@]1(CF)CC(F)(F)CN1C(=O)C(C#N)Cc1cccs1 ZINC001177909439 1098644684 /nfs/dbraw/zinc/64/46/84/1098644684.db2.gz VAJJYVBUMCYATI-GWCFXTLKSA-N 0 1 316.348 3.026 20 30 DGEDMN C[C@]1(CF)CC(F)(F)CN1C(=O)C(C#N)Cc1cccs1 ZINC001177909445 1098644747 /nfs/dbraw/zinc/64/47/47/1098644747.db2.gz VAJJYVBUMCYATI-GXFFZTMASA-N 0 1 316.348 3.026 20 30 DGEDMN C[C@@H]1CCN(C(=O)C(C#N)Cc2cccs2)CCC1(F)F ZINC001177908452 1098645513 /nfs/dbraw/zinc/64/55/13/1098645513.db2.gz KXGNAPNEUFMKAZ-NEPJUHHUSA-N 0 1 312.385 3.324 20 30 DGEDMN CN(CCc1ccc(F)cc1)C(=O)C(C#N)Cc1cccs1 ZINC001177910080 1098645610 /nfs/dbraw/zinc/64/56/10/1098645610.db2.gz UYGIPGRHURQELX-CQSZACIVSA-N 0 1 316.401 3.271 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cccc2cc[nH]c21 ZINC001177915353 1098647070 /nfs/dbraw/zinc/64/70/70/1098647070.db2.gz MIYKOXWXZIIYMT-CQSZACIVSA-N 0 1 309.394 3.228 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCCc2cccnc21 ZINC001177916346 1098648011 /nfs/dbraw/zinc/64/80/11/1098648011.db2.gz VCXNRTXYJQEIKG-ZFWWWQNUSA-N 0 1 311.410 3.019 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CCc2ccccc21 ZINC001177918240 1098648293 /nfs/dbraw/zinc/64/82/93/1098648293.db2.gz SIXJFHVMIWLEOL-HUUCEWRRSA-N 0 1 310.422 3.277 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c(C)cc(F)cc1C ZINC001277901013 1098685415 /nfs/dbraw/zinc/68/54/15/1098685415.db2.gz UODKKUAHFAROQE-CYBMUJFWSA-N 0 1 312.816 3.245 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H](C)NCc1nc(C)c(C)s1 ZINC001277921849 1098783252 /nfs/dbraw/zinc/78/32/52/1098783252.db2.gz KAZNXHIAVZVYLE-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](Cc2cc(Br)cc(F)c2[O-])C1 ZINC001235068970 1098783469 /nfs/dbraw/zinc/78/34/69/1098783469.db2.gz NUOHSIAMAYFPJS-SNVBAGLBSA-N 0 1 312.182 3.139 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)[C@@H]1C ZINC001178320027 1098795293 /nfs/dbraw/zinc/79/52/93/1098795293.db2.gz BNOOJLPHWFCETJ-LRDDRELGSA-N 0 1 308.372 3.010 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)CCN2C(=O)OC(C)(C)C ZINC001235126195 1098825603 /nfs/dbraw/zinc/82/56/03/1098825603.db2.gz ORYGSQIFEWCAMM-UHFFFAOYSA-N 0 1 300.402 3.049 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2CC2=CCCCC2)CCC1 ZINC001235208805 1098878285 /nfs/dbraw/zinc/87/82/85/1098878285.db2.gz GTGKCUKALGMZTK-GOSISDBHSA-N 0 1 314.473 3.213 20 30 DGEDMN C=CCC[C@@H](CO)NCc1c(Cl)cccc1OC(F)F ZINC001178709554 1098918270 /nfs/dbraw/zinc/91/82/70/1098918270.db2.gz IHZWFDNCGCAEIX-JTQLQIEISA-N 0 1 305.752 3.358 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1ccncc1Cl ZINC001277933131 1098922957 /nfs/dbraw/zinc/92/29/57/1098922957.db2.gz UMAJHVFJWJDVEI-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1cc(OC)ccc1Cl ZINC001235451348 1099042799 /nfs/dbraw/zinc/04/27/99/1099042799.db2.gz HSBMRJUUDPWXSB-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCN[C@@H](C)c1ncccn1 ZINC001179235112 1099044831 /nfs/dbraw/zinc/04/48/31/1099044831.db2.gz GHGFMGYVSUNQNA-HNNXBMFYSA-N 0 1 318.465 3.016 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCCCCNCc1nocc1C ZINC001179306770 1099058603 /nfs/dbraw/zinc/05/86/03/1099058603.db2.gz MCGQRFSYBRUPSJ-GDBMZVCRSA-N 0 1 321.465 3.207 20 30 DGEDMN CC(C)(C)OC(=O)O[C@H]1CCN(Cc2ccccc2CC#N)C1 ZINC001235510325 1099069692 /nfs/dbraw/zinc/06/96/92/1099069692.db2.gz AHYZDILLMGSFPD-INIZCTEOSA-N 0 1 316.401 3.279 20 30 DGEDMN CC(C)(C)OC(=O)O[C@@H]1CCN(Cc2ccccc2CC#N)C1 ZINC001235510341 1099069887 /nfs/dbraw/zinc/06/98/87/1099069887.db2.gz AHYZDILLMGSFPD-MRXNPFEDSA-N 0 1 316.401 3.279 20 30 DGEDMN N#CCc1ccccc1CN1CCCc2cc(O)c(O)cc2C1 ZINC001235524923 1099075011 /nfs/dbraw/zinc/07/50/11/1099075011.db2.gz ICHADVUPUXLMLJ-UHFFFAOYSA-N 0 1 308.381 3.112 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](NCc2ncc(C)s2)[C@@H]1C ZINC001179384669 1099088379 /nfs/dbraw/zinc/08/83/79/1099088379.db2.gz TWJSNYPBVJGICY-QEJZJMRPSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ccncc2Cl)[C@@H]1C ZINC001179452576 1099103830 /nfs/dbraw/zinc/10/38/30/1099103830.db2.gz WBZDFUGGGHLARF-BBRMVZONSA-N 0 1 321.852 3.170 20 30 DGEDMN COC(=O)CCN(Cc1c(F)cc(C#N)cc1F)C1CCCC1 ZINC001235657015 1099111242 /nfs/dbraw/zinc/11/12/42/1099111242.db2.gz ICKQECGZGWWFEE-UHFFFAOYSA-N 0 1 322.355 3.144 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2ccc(O)c(OC(F)(F)F)c2)CC1 ZINC001235671689 1099116712 /nfs/dbraw/zinc/11/67/12/1099116712.db2.gz XIVGGPPYTXOZDM-LLVKDONJSA-N 0 1 314.307 3.416 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCC(F)(F)F)[C@H]1C ZINC001179584968 1099151589 /nfs/dbraw/zinc/15/15/89/1099151589.db2.gz JZHMPKADTIJZCX-QWRGUYRKSA-N 0 1 312.763 3.051 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001235741820 1099158420 /nfs/dbraw/zinc/15/84/20/1099158420.db2.gz JPNJYUFTHQOZSF-OOFWQKGWSA-N 0 1 316.420 3.338 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CCC)C(C)C)[C@H]1C ZINC001179632750 1099160172 /nfs/dbraw/zinc/16/01/72/1099160172.db2.gz FADGLURZQLVRGG-ZNMIVQPWSA-N 0 1 300.874 3.390 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc(C)c(Cl)c1 ZINC001235758230 1099166737 /nfs/dbraw/zinc/16/67/37/1099166737.db2.gz LGRNZKGNOBLAPL-INIZCTEOSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](CC)C(C)C)[C@H]1C ZINC001179962853 1099273985 /nfs/dbraw/zinc/27/39/85/1099273985.db2.gz CCLVCEYLVHQDDL-ZNMIVQPWSA-N 0 1 300.874 3.390 20 30 DGEDMN N#Cc1c(F)cccc1CNCCc1ccc(F)c(F)c1F ZINC001180310484 1099367289 /nfs/dbraw/zinc/36/72/89/1099367289.db2.gz JAUPFRCJWVKLNF-UHFFFAOYSA-N 0 1 308.278 3.447 20 30 DGEDMN CCCCCCC/C=C/CN1CCC(N)(C(=O)OCC)CC1 ZINC001236071398 1099369023 /nfs/dbraw/zinc/36/90/23/1099369023.db2.gz WQIDSAYCXBXWEV-ZHACJKMWSA-N 0 1 310.482 3.260 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1cc(C)ccc1F ZINC001277981638 1099542674 /nfs/dbraw/zinc/54/26/74/1099542674.db2.gz NXRQKAZDFLBGGL-OAHLLOKOSA-N 0 1 324.827 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](CCCC)C(C)C)C1 ZINC001188195339 1099710525 /nfs/dbraw/zinc/71/05/25/1099710525.db2.gz HBGYAZKELINFKH-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1ccnc(N2CCN(Cc3cccc4occc43)CC2)c1 ZINC001236738988 1099766326 /nfs/dbraw/zinc/76/63/26/1099766326.db2.gz KISCLDSEVVJXLL-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(F)c4ccoc43)CCC2S1 ZINC001236893448 1099855482 /nfs/dbraw/zinc/85/54/82/1099855482.db2.gz HPQWCHGFTMCLCO-CQSZACIVSA-N 0 1 303.358 3.346 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@@H]([N@@H+](C)Cc2ccc(C#N)s2)C1 ZINC001188820510 1099868579 /nfs/dbraw/zinc/86/85/79/1099868579.db2.gz FXNQMDFNAXJVOC-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001188820510 1099868587 /nfs/dbraw/zinc/86/85/87/1099868587.db2.gz FXNQMDFNAXJVOC-CQSZACIVSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1ccc(C)s1 ZINC001278033911 1099893882 /nfs/dbraw/zinc/89/38/82/1099893882.db2.gz KXSDVWZTVIGXHM-GFCCVEGCSA-N 0 1 314.882 3.178 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)Cc2cc(C)ccc2F)C1 ZINC001189317771 1100023931 /nfs/dbraw/zinc/02/39/31/1100023931.db2.gz DGEHREANTDJDLZ-INIZCTEOSA-N 0 1 304.409 3.133 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(CN2CCCCC2)o1)c1ccccc1 ZINC001189737983 1100127854 /nfs/dbraw/zinc/12/78/54/1100127854.db2.gz YFYQEYOXWKHIBF-QGZVFWFLSA-N 0 1 323.396 3.260 20 30 DGEDMN COC1(c2ccccc2)CCN(Cc2ccnc(C#N)c2)CC1 ZINC001237462340 1100131789 /nfs/dbraw/zinc/13/17/89/1100131789.db2.gz GMSNCHUQTVGZIH-UHFFFAOYSA-N 0 1 307.397 3.091 20 30 DGEDMN N#Cc1cc(CN2CCC(c3nc4ccccc4o3)CC2)ccn1 ZINC001237459460 1100131938 /nfs/dbraw/zinc/13/19/38/1100131938.db2.gz QVDGHNJEVQYKJP-UHFFFAOYSA-N 0 1 318.380 3.474 20 30 DGEDMN Cn1cc(CN2CC3(C2)CC(F)(F)C3)c2cc(C#N)ccc21 ZINC001237466195 1100133406 /nfs/dbraw/zinc/13/34/06/1100133406.db2.gz KVDZEJLKVURVRC-UHFFFAOYSA-N 0 1 301.340 3.281 20 30 DGEDMN Cc1ccc(CN(C)Cc2cn(C)c3ccc(C#N)cc23)cn1 ZINC001237472455 1100136422 /nfs/dbraw/zinc/13/64/22/1100136422.db2.gz IPCGSLFQYXOOJE-UHFFFAOYSA-N 0 1 304.397 3.385 20 30 DGEDMN C#CCN(C(=O)CCCC)C1CCN(Cc2ccsc2)CC1 ZINC001278122029 1100187681 /nfs/dbraw/zinc/18/76/81/1100187681.db2.gz VJGUKCDAURDEQG-UHFFFAOYSA-N 0 1 318.486 3.365 20 30 DGEDMN CCc1[nH]ncc1C(=O)Nc1ccc(N2CCCCC2)c(C#N)c1 ZINC001190142796 1100206186 /nfs/dbraw/zinc/20/61/86/1100206186.db2.gz OAQRXTPOEICYCH-UHFFFAOYSA-N 0 1 323.400 3.086 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cc(C(C)(C)C)c[nH]1 ZINC001273595286 1100215421 /nfs/dbraw/zinc/21/54/21/1100215421.db2.gz IGQRZFVXZZBVHU-IAGOWNOFSA-N 0 1 315.461 3.064 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(C(C)(C)C)c[nH]1 ZINC001273595286 1100215426 /nfs/dbraw/zinc/21/54/26/1100215426.db2.gz IGQRZFVXZZBVHU-IAGOWNOFSA-N 0 1 315.461 3.064 20 30 DGEDMN COc1ccc(C)c(CN2CCN(c3ccccc3C#N)CC2)c1 ZINC001237715334 1100225763 /nfs/dbraw/zinc/22/57/63/1100225763.db2.gz RETDIFKZVWVXMF-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN COC(=O)c1ccc(-c2ccc(CN3CC(CC#N)C3)cc2)cc1 ZINC001237821382 1100257436 /nfs/dbraw/zinc/25/74/36/1100257436.db2.gz RPYHPZPUSNWEIB-UHFFFAOYSA-N 0 1 320.392 3.486 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC001190421721 1100270801 /nfs/dbraw/zinc/27/08/01/1100270801.db2.gz BKCMTUYACIZSMZ-OAHLLOKOSA-N 0 1 322.399 3.354 20 30 DGEDMN C#CCN(C(=O)CCCC[C@@H](C)CC)C1CCN(CC#C)CC1 ZINC001278157251 1100272107 /nfs/dbraw/zinc/27/21/07/1100272107.db2.gz FLOYCJUAPDIDDO-SFHVURJKSA-N 0 1 316.489 3.152 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2ccccc2CN2CCCC2)CC1 ZINC001190805328 1100341418 /nfs/dbraw/zinc/34/14/18/1100341418.db2.gz HMYXHDMFDBPNRK-UHFFFAOYSA-N 0 1 320.458 3.133 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)c1ccn3cncc3c1)CCC2 ZINC001151896201 1100353159 /nfs/dbraw/zinc/35/31/59/1100353159.db2.gz YSMWEAXNTYVEJO-UHFFFAOYSA-N 0 1 308.366 3.008 20 30 DGEDMN C[C@H](C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1)C1CCC1 ZINC001190870877 1100354656 /nfs/dbraw/zinc/35/46/56/1100354656.db2.gz WONXQBGGCBKJJD-FXAWDEMLSA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)c1nc(CCN(C)Cc2ccc(Cl)c(C#N)c2)no1 ZINC001238189838 1100386457 /nfs/dbraw/zinc/38/64/57/1100386457.db2.gz XOGKIQLOMHGCRY-UHFFFAOYSA-N 0 1 318.808 3.393 20 30 DGEDMN COc1cc(CN2CCC3(CCC(=O)CC3)CC2)ccc1C#N ZINC001238414019 1100435157 /nfs/dbraw/zinc/43/51/57/1100435157.db2.gz VIIUJLDOAKQCKV-UHFFFAOYSA-N 0 1 312.413 3.292 20 30 DGEDMN COc1cc(CN2CCC(Oc3cccnc3)CC2)ccc1C#N ZINC001238406567 1100435632 /nfs/dbraw/zinc/43/56/32/1100435632.db2.gz FXOKBLIMOMSVBQ-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN N#Cc1cc[nH]c1NC(=O)c1cc(-c2cccc(Cl)c2)n[nH]1 ZINC001191362668 1100459136 /nfs/dbraw/zinc/45/91/36/1100459136.db2.gz GIVQEUOVRMIANP-UHFFFAOYSA-N 0 1 311.732 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@]12C[C@H]1CCC2)C1CCCC1 ZINC001278259729 1100490636 /nfs/dbraw/zinc/49/06/36/1100490636.db2.gz PMEOKOUQDPDUHD-HLLBOEOZSA-N 0 1 310.869 3.194 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)C2(C)CCCC2)C1 ZINC001191594534 1100492293 /nfs/dbraw/zinc/49/22/93/1100492293.db2.gz KBZDDJVYUMFTGO-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001191695632 1100506229 /nfs/dbraw/zinc/50/62/29/1100506229.db2.gz LFGQWPIWZHDUNE-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001192160275 1100580201 /nfs/dbraw/zinc/58/02/01/1100580201.db2.gz CQLAERBBMVVLOU-FPOVZHCZSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001192160278 1100580295 /nfs/dbraw/zinc/58/02/95/1100580295.db2.gz CQLAERBBMVVLOU-TZIWHRDSSA-N 0 1 324.468 3.173 20 30 DGEDMN Cc1ncc(C(=O)Nc2cccc(C(F)(F)F)c2O)cc1C#N ZINC001192297024 1100600683 /nfs/dbraw/zinc/60/06/83/1100600683.db2.gz RCPXRQSLETYJLF-UHFFFAOYSA-N 0 1 321.258 3.238 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC/C=C\c2ccccc2)C1 ZINC001192323634 1100604143 /nfs/dbraw/zinc/60/41/43/1100604143.db2.gz CRGDBZRPELKRAD-ICEKFOEVSA-N 0 1 310.441 3.036 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001192471777 1100620373 /nfs/dbraw/zinc/62/03/73/1100620373.db2.gz HCALWJNXTKWTCL-MSOLQXFVSA-N 0 1 304.478 3.315 20 30 DGEDMN CN1CCC(C#N)(NC(=O)Nc2ccc3sccc3c2)CC1 ZINC001192472471 1100620408 /nfs/dbraw/zinc/62/04/08/1100620408.db2.gz SACFMPFGIOPMFM-UHFFFAOYSA-N 0 1 314.414 3.011 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2scnc2C)C1 ZINC001192586216 1100639137 /nfs/dbraw/zinc/63/91/37/1100639137.db2.gz JTCVBTBCWPSSHS-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2scnc2C)C1 ZINC001192586216 1100639138 /nfs/dbraw/zinc/63/91/38/1100639138.db2.gz JTCVBTBCWPSSHS-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC2CCCC2)C(C)C)C1 ZINC001192631965 1100646846 /nfs/dbraw/zinc/64/68/46/1100646846.db2.gz LQQLWOPVYBYJKU-RTBURBONSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001192642393 1100648283 /nfs/dbraw/zinc/64/82/83/1100648283.db2.gz SNLXDTSJNQLQDJ-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)CCCCCC(C)C)C1 ZINC001192705177 1100656421 /nfs/dbraw/zinc/65/64/21/1100656421.db2.gz WQRMLKURUWZSSF-GOSISDBHSA-N 0 1 324.509 3.328 20 30 DGEDMN C#CC[C@H](NCc1cc2ccccc2n(C)c1=O)c1ccccc1 ZINC001192793121 1100662699 /nfs/dbraw/zinc/66/26/99/1100662699.db2.gz WTSNZMDDACBRCC-IBGZPJMESA-N 0 1 316.404 3.393 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccn1)c1ccc(OC(F)F)cc1 ZINC001192758377 1100662798 /nfs/dbraw/zinc/66/27/98/1100662798.db2.gz SQQRTOGVQQVNGK-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001193365885 1100734129 /nfs/dbraw/zinc/73/41/29/1100734129.db2.gz GQLSXYNJGNCQSX-STQMWFEESA-N 0 1 324.877 3.061 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001193463705 1100747078 /nfs/dbraw/zinc/74/70/78/1100747078.db2.gz XASHLPXSPJPCJJ-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1ccnc2cc(NC(=O)c3ccc(O)c(C#N)c3)ccc12 ZINC001193505752 1100757059 /nfs/dbraw/zinc/75/70/59/1100757059.db2.gz NGVRVOAQFIUIOR-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN CC(C)OC(=O)c1ccc(NC(=O)c2ccc(O)c(C#N)c2)cc1 ZINC001193504418 1100757392 /nfs/dbraw/zinc/75/73/92/1100757392.db2.gz DCWIAEOZURDNEK-UHFFFAOYSA-N 0 1 324.336 3.081 20 30 DGEDMN Cc1c(C)c(NC(=O)c2ccc(O)c(C#N)c2)c(C)c(C)c1N ZINC001193513062 1100759635 /nfs/dbraw/zinc/75/96/35/1100759635.db2.gz YUMOWWHBXIVJNL-UHFFFAOYSA-N 0 1 309.369 3.332 20 30 DGEDMN COc1ccc([C@@H](C)N(C)C(=O)c2cccc(C#N)c2O)cc1 ZINC001193646386 1100783040 /nfs/dbraw/zinc/78/30/40/1100783040.db2.gz IZYUNGIAEXEGPF-GFCCVEGCSA-N 0 1 310.353 3.106 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2ccc(C)c3ccccc32)cn1 ZINC001193833802 1100820560 /nfs/dbraw/zinc/82/05/60/1100820560.db2.gz HSRJDZNGMGWALE-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN N#Cc1nc(-c2ccc(N3CCCCC3)cc2)cc2[nH]cnc21 ZINC001240000702 1100826665 /nfs/dbraw/zinc/82/66/65/1100826665.db2.gz RKNLTOTXLQOWFG-UHFFFAOYSA-N 0 1 303.369 3.487 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001193866205 1100832070 /nfs/dbraw/zinc/83/20/70/1100832070.db2.gz YCIRGRUZXDQXCQ-IBGZPJMESA-N 0 1 324.468 3.054 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001194192383 1100890487 /nfs/dbraw/zinc/89/04/87/1100890487.db2.gz URKYADJECGTTLA-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001194324633 1100913105 /nfs/dbraw/zinc/91/31/05/1100913105.db2.gz FPBWGORBRQKJDH-VQIMIIECSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCOC(=O)NCC1CCN(Cc2ccccc2Cl)CC1 ZINC001194343392 1100927626 /nfs/dbraw/zinc/92/76/26/1100927626.db2.gz QRLMVCZMIKRWQM-UHFFFAOYSA-N 0 1 322.836 3.464 20 30 DGEDMN C=CC(C)(C)CCCNS(=O)(=O)c1c(F)cccc1F ZINC001194424255 1100940221 /nfs/dbraw/zinc/94/02/21/1100940221.db2.gz GVGBZGXCPFGCRA-UHFFFAOYSA-N 0 1 303.374 3.236 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](N(C)C/C=C\Cl)C2)CCCC1 ZINC001194567735 1100968465 /nfs/dbraw/zinc/96/84/65/1100968465.db2.gz NLKVXMAQYBXWBY-UJNBGNEJSA-N 0 1 310.869 3.408 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001194606834 1100975520 /nfs/dbraw/zinc/97/55/20/1100975520.db2.gz ZPXMFTBAYZNYNS-HXUWFJFHSA-N 0 1 322.452 3.424 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCO[C@H]2CCCC[C@@H]2C)CC1 ZINC001195182863 1101084215 /nfs/dbraw/zinc/08/42/15/1101084215.db2.gz XXZVUWHVOOKPSB-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@@H](C)c2cccc(OC)c2)CC1 ZINC001195232957 1101094424 /nfs/dbraw/zinc/09/44/24/1101094424.db2.gz OJHSVBQRLLMINN-INIZCTEOSA-N 0 1 316.445 3.257 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)N2c3ccccc3CC[C@@H]2C)CC1 ZINC001195463334 1101139027 /nfs/dbraw/zinc/13/90/27/1101139027.db2.gz LAAGHDLJUXNTDP-JKSUJKDBSA-N 0 1 310.441 3.088 20 30 DGEDMN CN1CCN(c2ccc(-c3cc(C#N)cc4[nH]ccc43)cc2)CC1 ZINC001240856140 1101160576 /nfs/dbraw/zinc/16/05/76/1101160576.db2.gz YQHFQPYVYXTMGR-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(Cl)cc(Cl)n2)c(O)c1 ZINC001195733205 1101182748 /nfs/dbraw/zinc/18/27/48/1101182748.db2.gz DNYUJNADGSBGGD-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN Cc1cc(Cl)c(NC(=O)c2ccc(C#N)cc2O)cc1O ZINC001195758812 1101190240 /nfs/dbraw/zinc/19/02/40/1101190240.db2.gz UETKQLXDEKEWQN-UHFFFAOYSA-N 0 1 302.717 3.184 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cc(Cl)cc3ncoc32)c(O)c1 ZINC001195758699 1101190556 /nfs/dbraw/zinc/19/05/56/1101190556.db2.gz PRFNOIMHLQWKSQ-UHFFFAOYSA-N 0 1 313.700 3.311 20 30 DGEDMN Cc1ccc2c(cccc2NC(=O)c2ccc(C#N)cc2O)n1 ZINC001195757516 1101190682 /nfs/dbraw/zinc/19/06/82/1101190682.db2.gz CWZFUXIIBOKUCS-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H](C)Cc2cccc(F)c2)CC1 ZINC001195866379 1101214751 /nfs/dbraw/zinc/21/47/51/1101214751.db2.gz ZLRIXGPMFVNCKJ-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN CCOc1cc(F)c(-c2cc3[nH]cnc3c(C#N)n2)cc1F ZINC001241071699 1101242695 /nfs/dbraw/zinc/24/26/95/1101242695.db2.gz QXIKWTPALIHWTO-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN C[C@H](NC(=O)C(F)(F)F)c1ccc(-c2ccnc(C#N)c2)cc1 ZINC001241200428 1101294113 /nfs/dbraw/zinc/29/41/13/1101294113.db2.gz ZTUGADKFJULWLJ-JTQLQIEISA-N 0 1 319.286 3.360 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196829174 1101401272 /nfs/dbraw/zinc/40/12/72/1101401272.db2.gz DFGAKEVGEYHNGI-MSOLQXFVSA-N 0 1 324.509 3.042 20 30 DGEDMN C=CCCCC(=O)N1CCCN(CCc2ccccc2F)CC1 ZINC001197000187 1101453700 /nfs/dbraw/zinc/45/37/00/1101453700.db2.gz KZPFMYMULGNXOR-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)CC/C=C\c2ccccc2)CC1 ZINC001197159036 1101491583 /nfs/dbraw/zinc/49/15/83/1101491583.db2.gz ZVQKXPLBWFHKRJ-GHXNOFRVSA-N 0 1 310.441 3.038 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCCCCC(C)C)CC1 ZINC001197346094 1101534618 /nfs/dbraw/zinc/53/46/18/1101534618.db2.gz HNLPZOGNHDTKNI-UHFFFAOYSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCN1CCCN(C(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001197354339 1101538500 /nfs/dbraw/zinc/53/85/00/1101538500.db2.gz SASROLKDYVNOCE-KRWDZBQOSA-N 0 1 300.446 3.291 20 30 DGEDMN Cc1ccc([C@H](C#N)c2ccc(C3=CCCN(C)C3)nn2)cc1 ZINC001241564940 1101551509 /nfs/dbraw/zinc/55/15/09/1101551509.db2.gz DUOAPLBZOIUBNB-KRWDZBQOSA-N 0 1 304.397 3.159 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccccc1N(C)C ZINC001152353385 1101681004 /nfs/dbraw/zinc/68/10/04/1101681004.db2.gz YZYSBLQXAUMGPJ-MRXNPFEDSA-N 0 1 317.477 3.045 20 30 DGEDMN CCCCCCCCN1CCOC[C@@H]1[C@@H]1CC[C@@H](COC)O1 ZINC001198050635 1101737199 /nfs/dbraw/zinc/73/71/99/1101737199.db2.gz JINAHXURGSCAHL-KSZLIROESA-N 0 1 313.482 3.242 20 30 DGEDMN C#CCN(Cc1n[nH]c(C(C)C)n1)[C@H]1CCCc2ccccc21 ZINC001198105784 1101763700 /nfs/dbraw/zinc/76/37/00/1101763700.db2.gz YVGILRUDXQJKMT-KRWDZBQOSA-N 0 1 308.429 3.441 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)[C@@H](CC)CCCCC)CC1 ZINC001198204152 1101776978 /nfs/dbraw/zinc/77/69/78/1101776978.db2.gz BRTFEEQAVQGYFU-SFHVURJKSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C2(CC)CCCCC2)CC1 ZINC001198214950 1101780516 /nfs/dbraw/zinc/78/05/16/1101780516.db2.gz XDENZZBCLOISJB-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc3c(C#N)cccc23)cn1 ZINC001198314926 1101815783 /nfs/dbraw/zinc/81/57/83/1101815783.db2.gz LORGZXAIOWKPNO-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCC1(C(=O)N2CCCN(CCOC(C)C)CC2)CCCC1 ZINC001198423991 1101852238 /nfs/dbraw/zinc/85/22/38/1101852238.db2.gz YFYRDOSRPAZYOL-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@@H](C)CC)c1ccccc1OC ZINC001198694115 1101946651 /nfs/dbraw/zinc/94/66/51/1101946651.db2.gz GMZUSBYZCOCVMH-HOCLYGCPSA-N 0 1 304.434 3.064 20 30 DGEDMN CC[N@@H+](CC1(C#N)CC1)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001198694404 1101947926 /nfs/dbraw/zinc/94/79/26/1101947926.db2.gz DIZRJFRCEUSCTL-UHFFFAOYSA-N 0 1 307.438 3.012 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC(C)(C)CC)c1ccccc1OC ZINC001198741307 1101964229 /nfs/dbraw/zinc/96/42/29/1101964229.db2.gz JXEGJETXIBSGFT-INIZCTEOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCC(=C)C)c1ccccc1OC ZINC001198835153 1101990975 /nfs/dbraw/zinc/99/09/75/1101990975.db2.gz SBRDXBGHIBVYQC-INIZCTEOSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@@H](C=C)CC)c1ccccc1OC ZINC001198857575 1102003004 /nfs/dbraw/zinc/00/30/04/1102003004.db2.gz RPOMQFAUXNHNID-DOTOQJQBSA-N 0 1 316.445 3.230 20 30 DGEDMN COC(=O)c1ccc(-c2cc3cn[nH]c3cc2C)cc1CC#N ZINC001242285853 1102028938 /nfs/dbraw/zinc/02/89/38/1102028938.db2.gz YTKSMSCEDRBFCQ-UHFFFAOYSA-N 0 1 305.337 3.391 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@H](C)C(C)C)c1ccccc1OC ZINC001198925821 1102029485 /nfs/dbraw/zinc/02/94/85/1102029485.db2.gz YBQUVNNCYPOYMN-WBVHZDCISA-N 0 1 318.461 3.310 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@@H](CCCC)C(C)C ZINC001152653448 1102164293 /nfs/dbraw/zinc/16/42/93/1102164293.db2.gz ZHDCKWXPCOOCGL-ZDUSSCGKSA-N 0 1 319.287 3.063 20 30 DGEDMN Cc1ccc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)(C)C)C3)cc1 ZINC001110542873 1102223616 /nfs/dbraw/zinc/22/36/16/1102223616.db2.gz BMUBRLKTOJGUME-QRVBRYPASA-N 0 1 324.468 3.266 20 30 DGEDMN COCOc1cnc(-c2ccc(C)cc2C#N)cc1/C=C/N(C)C ZINC001242591520 1102228745 /nfs/dbraw/zinc/22/87/45/1102228745.db2.gz SRKXOSNNZUYCJH-BQYQJAHWSA-N 0 1 323.396 3.444 20 30 DGEDMN N#Cc1nc(-c2cc(O)cc(OC(F)(F)F)c2)cc2[nH]cnc21 ZINC001242986803 1102457093 /nfs/dbraw/zinc/45/70/93/1102457093.db2.gz RJDQOQKXWREWIE-UHFFFAOYSA-N 0 1 320.230 3.101 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc3ccccc3c2)cc1C#N ZINC001201287244 1102535616 /nfs/dbraw/zinc/53/56/16/1102535616.db2.gz BGCYQCNATWPZIH-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc3ccccc3n2)cc1C#N ZINC001201288216 1102537947 /nfs/dbraw/zinc/53/79/47/1102537947.db2.gz WOXMIUFHNPISME-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc(C(C)C)n2)cc1C#N ZINC001201294958 1102547857 /nfs/dbraw/zinc/54/78/57/1102547857.db2.gz JRLSCXSSLZVENN-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc(Cl)c2O)cc1C#N ZINC001201317349 1102551970 /nfs/dbraw/zinc/55/19/70/1102551970.db2.gz QAXUHFLNIRIRSU-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCOCc1nn(CC2CC2)c2c1CN(CC(C)(C)C)CC2 ZINC001201434197 1102600280 /nfs/dbraw/zinc/60/02/80/1102600280.db2.gz FXTGQDBMUCOWOK-UHFFFAOYSA-N 0 1 317.477 3.400 20 30 DGEDMN CN1CCN(c2ccccc2Nc2ccc(C#N)cc2F)CC1 ZINC001201566876 1102654055 /nfs/dbraw/zinc/65/40/55/1102654055.db2.gz PXIVDYJUTDULQG-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN CCCCCCCN1Cc2nnn(CC)c2[C@H](COCC)C1 ZINC001201762309 1102722662 /nfs/dbraw/zinc/72/26/62/1102722662.db2.gz FKULMVRXEAZYLW-HNNXBMFYSA-N 0 1 308.470 3.204 20 30 DGEDMN CCOC(=O)Cc1cccc(-c2nccc3[nH]cc(C#N)c32)c1 ZINC001243524597 1102778288 /nfs/dbraw/zinc/77/82/88/1102778288.db2.gz SIFAXEIMWGFDFY-UHFFFAOYSA-N 0 1 305.337 3.207 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3ccccc3OCC#N)cnc2[nH]1 ZINC001243587293 1102831650 /nfs/dbraw/zinc/83/16/50/1102831650.db2.gz NVALDVXAMKMZMN-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001202123580 1102842232 /nfs/dbraw/zinc/84/22/32/1102842232.db2.gz USMWNIATKWSKNO-HIFRSBDPSA-N 0 1 319.449 3.227 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC001202123499 1102843220 /nfs/dbraw/zinc/84/32/20/1102843220.db2.gz RVIRQAJLFYICND-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN N#Cc1nc(-c2ccc(C3CCOCC3)cc2)cc2[nH]cnc21 ZINC001243625141 1102864181 /nfs/dbraw/zinc/86/41/81/1102864181.db2.gz CPVNISADOQVCBU-UHFFFAOYSA-N 0 1 304.353 3.391 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(C4(C#N)CC4)cn3)c2)CC1 ZINC001243669874 1102903616 /nfs/dbraw/zinc/90/36/16/1102903616.db2.gz ZNRKGOQOJJPHNK-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(OC)cc3C#N)ccc-2n1 ZINC001243725071 1102942976 /nfs/dbraw/zinc/94/29/76/1102942976.db2.gz DIEJSWPZGBWMBC-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN COCOc1cnc(C2=CC[C@@H](C#N)CC2)cc1/C=C/N(C)C ZINC001243800367 1102984622 /nfs/dbraw/zinc/98/46/22/1102984622.db2.gz YUKUIHLIIHGUSY-MYSGNRETSA-N 0 1 313.401 3.304 20 30 DGEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CC/C=C\c1ccccc1)C2 ZINC001111016439 1103017545 /nfs/dbraw/zinc/01/75/45/1103017545.db2.gz JBAJSEOUIBJRGM-CSMWOAOJSA-N 0 1 322.452 3.225 20 30 DGEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](CC)c1ccc(C)cc1)C2 ZINC001111022071 1103019742 /nfs/dbraw/zinc/01/97/42/1103019742.db2.gz JRKYPPUTRINNNH-NBYUQASBSA-N 0 1 324.468 3.233 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](CC)c1ccc(C)cc1)C2 ZINC001111022071 1103019757 /nfs/dbraw/zinc/01/97/57/1103019757.db2.gz JRKYPPUTRINNNH-NBYUQASBSA-N 0 1 324.468 3.233 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@@H](C)c1ccccc1)C2 ZINC001111107295 1103046849 /nfs/dbraw/zinc/04/68/49/1103046849.db2.gz OVHQTOFTLWMURZ-OWYHZJEWSA-N 0 1 312.457 3.478 20 30 DGEDMN COC(=O)c1ccc(-c2ccc3nc(C)[nH]c3c2)cc1CC#N ZINC001243966565 1103090390 /nfs/dbraw/zinc/09/03/90/1103090390.db2.gz KIUXTAGPWJUDMJ-UHFFFAOYSA-N 0 1 305.337 3.391 20 30 DGEDMN C=CCCCN1CC[C@H]1CN(C)C(=O)c1scnc1C1CC1 ZINC001488675246 1103102501 /nfs/dbraw/zinc/10/25/01/1103102501.db2.gz XRCAZXBGSRJNBY-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cc(C)cc(C)c1)C2 ZINC001111236367 1103111416 /nfs/dbraw/zinc/11/14/16/1103111416.db2.gz OXNBRNLFZHZWAV-QRVBRYPASA-N 0 1 312.457 3.144 20 30 DGEDMN CCCCCCC[C@@H](C)NC1(C(=O)OCC)CCN(C)CC1 ZINC001258038932 1103117481 /nfs/dbraw/zinc/11/74/81/1103117481.db2.gz HHIWHZGPMXLJAQ-MRXNPFEDSA-N 0 1 312.498 3.353 20 30 DGEDMN N#C[C@@H]1CC=C(Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC001212863842 1103117535 /nfs/dbraw/zinc/11/75/35/1103117535.db2.gz IDKVHFCDRMTOCH-QGZVFWFLSA-N 0 1 311.429 3.181 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2CC=C(C)C)CCCCC1 ZINC001488700640 1103132674 /nfs/dbraw/zinc/13/26/74/1103132674.db2.gz YPPVYJFQVADSLJ-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](c1ccccc1)C1CC1)C2 ZINC001095760297 1103137194 /nfs/dbraw/zinc/13/71/94/1103137194.db2.gz UXULSIFVQMEWTN-YDZRNGNQSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](c1ccccc1)C1CC1)C2 ZINC001095760297 1103137204 /nfs/dbraw/zinc/13/72/04/1103137204.db2.gz UXULSIFVQMEWTN-YDZRNGNQSA-N 0 1 310.441 3.088 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](c1ccccc1)C(C)C)C2 ZINC001111267837 1103144871 /nfs/dbraw/zinc/14/48/71/1103144871.db2.gz KXFFFXTZQTZOAH-WCIQWLHISA-N 0 1 324.468 3.171 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001488844142 1103176556 /nfs/dbraw/zinc/17/65/56/1103176556.db2.gz NSABCGYAVUUGHV-PAYQAHJCSA-N 0 1 319.276 3.392 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1CCCCC1)C2 ZINC001111347262 1103238170 /nfs/dbraw/zinc/23/81/70/1103238170.db2.gz RYHUUXSYYWRQKH-KURKYZTESA-N 0 1 302.462 3.092 20 30 DGEDMN COc1cc(N2CCN(C)CC2)ccc1-c1cccc(C)c1C#N ZINC001244159395 1103241550 /nfs/dbraw/zinc/24/15/50/1103241550.db2.gz WFRNTHRYIMRKAR-UHFFFAOYSA-N 0 1 321.424 3.294 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1ccon1 ZINC001489000206 1103242663 /nfs/dbraw/zinc/24/26/63/1103242663.db2.gz XMPUUIJRWKQFBY-RDJZCZTQSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CCC)c1ccccc1)C2 ZINC001111360340 1103245551 /nfs/dbraw/zinc/24/55/51/1103245551.db2.gz HGJKVHSMURAWHU-MKXGPGLRSA-N 0 1 312.457 3.478 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001326330913 1103276298 /nfs/dbraw/zinc/27/62/98/1103276298.db2.gz HVMVHKFOXZIAAH-LSDHHAIUSA-N 0 1 319.449 3.084 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CCCCC ZINC001326448839 1103319381 /nfs/dbraw/zinc/31/93/81/1103319381.db2.gz BAEBMZVKQZSSBP-UHFFFAOYSA-N 0 1 300.446 3.338 20 30 DGEDMN C=C(C)C[N@H+](C)Cc1ccccc1CNC(=O)CC(C)(F)F ZINC001326457598 1103324424 /nfs/dbraw/zinc/32/44/24/1103324424.db2.gz CKBUQKROCMLSLE-UHFFFAOYSA-N 0 1 310.388 3.356 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110603396 1103391896 /nfs/dbraw/zinc/39/18/96/1103391896.db2.gz AQLAFRQYAFYSPT-UPJWGTAASA-N 0 1 318.383 3.263 20 30 DGEDMN C/C(=C/C(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CC1 ZINC001326785519 1103441650 /nfs/dbraw/zinc/44/16/50/1103441650.db2.gz LXWWIKRZDNLJJC-ICFOKQHNSA-N 0 1 322.452 3.023 20 30 DGEDMN C/C(=N\C#N)N(C)Cc1ccc(-c2ccc(Cl)cc2O)nc1 ZINC001244468082 1103461596 /nfs/dbraw/zinc/46/15/96/1103461596.db2.gz MBAFRPLGVVNPJN-RGVLZGJSSA-N 0 1 314.776 3.439 20 30 DGEDMN CCC[C@H](C)C(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001490163824 1103497717 /nfs/dbraw/zinc/49/77/17/1103497717.db2.gz DAJOJHUTGFFYBP-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CC[C@H](C)CCC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001490264037 1103552170 /nfs/dbraw/zinc/55/21/70/1103552170.db2.gz IUVWRSUXXAIANJ-SFHVURJKSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCCN(CC(=C)Cl)CC2)CCC1 ZINC001327148730 1103554003 /nfs/dbraw/zinc/55/40/03/1103554003.db2.gz VGWLZBMERUIQNJ-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@@H]1CC[C@H](C)C1 ZINC001490342716 1103555702 /nfs/dbraw/zinc/55/57/02/1103555702.db2.gz QSNVMKGNQLKYNF-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN CCN(CCCNC(=O)[C@@H](C)C#N)[C@@H](C)c1cc(F)ccc1F ZINC001490317201 1103567105 /nfs/dbraw/zinc/56/71/05/1103567105.db2.gz CVAXJHNOFFXTCS-STQMWFEESA-N 0 1 323.387 3.014 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1c[nH]c2ccccc21 ZINC001490336442 1103574312 /nfs/dbraw/zinc/57/43/12/1103574312.db2.gz PCSVDFQDDLZOAC-UHFFFAOYSA-N 0 1 319.836 3.362 20 30 DGEDMN CN(CCCN(C)C(=O)CCC1CC1)Cc1ccccc1C#N ZINC001327190353 1103575608 /nfs/dbraw/zinc/57/56/08/1103575608.db2.gz WZDRVJWUXPNYPY-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CCc2ccccc2F)C1 ZINC001490438438 1103602187 /nfs/dbraw/zinc/60/21/87/1103602187.db2.gz MTQCYIBPKNGXDE-KRWDZBQOSA-N 0 1 318.436 3.305 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001490416777 1103616020 /nfs/dbraw/zinc/61/60/20/1103616020.db2.gz RFCUIAMROOHTIY-GOSISDBHSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CC1(CC(=O)N(C)C2CCN(CC#CC)CC2)CCCCC1 ZINC001490420305 1103618004 /nfs/dbraw/zinc/61/80/04/1103618004.db2.gz WHKDTRIOEJHAMK-UHFFFAOYSA-N 0 1 316.489 3.459 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CCC)CC1CCCCC1 ZINC001114922901 1103638202 /nfs/dbraw/zinc/63/82/02/1103638202.db2.gz ZOZDYFOGHSDQQP-YRXWBPOGSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)CC ZINC001327508057 1103664412 /nfs/dbraw/zinc/66/44/12/1103664412.db2.gz NICBQEJMPDJBQY-MAUKXSAKSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@]2(CCN(CC(=C)Cl)C2)C1 ZINC001327529398 1103674466 /nfs/dbraw/zinc/67/44/66/1103674466.db2.gz HNTVOPSNEXPNJO-KRWDZBQOSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CC2CC(C)(C)C2)CC1 ZINC001490619576 1103682275 /nfs/dbraw/zinc/68/22/75/1103682275.db2.gz GORONTJFCHFTNF-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1CCC(F)(F)CC1)C1CC1 ZINC001490709256 1103699463 /nfs/dbraw/zinc/69/94/63/1103699463.db2.gz CIUQRBPHSPFOFE-ZDUSSCGKSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccc(Cl)cc1)C2 ZINC001095961870 1103714683 /nfs/dbraw/zinc/71/46/83/1103714683.db2.gz SCZZOTVMWDEXLE-IEAZIUSSSA-N 0 1 318.848 3.351 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)Cc1ccccc1)C1CC1 ZINC001490742245 1103715721 /nfs/dbraw/zinc/71/57/21/1103715721.db2.gz NPISKXBPEUUHNB-CXAGYDPISA-N 0 1 320.864 3.102 20 30 DGEDMN C=CCOc1cc(C)nc(-c2cccc(CN(C)C)c2F)n1 ZINC001244865233 1103733622 /nfs/dbraw/zinc/73/36/22/1103733622.db2.gz XNVNQQNSNGKVSP-UHFFFAOYSA-N 0 1 301.365 3.218 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2CC23CCCC3)C1 ZINC001490775352 1103738919 /nfs/dbraw/zinc/73/89/19/1103738919.db2.gz VVDNBZNICUGOHV-CVEARBPZSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H]2CC23CCCC3)C1 ZINC001490775356 1103740194 /nfs/dbraw/zinc/74/01/94/1103740194.db2.gz VVDNBZNICUGOHV-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN COCOc1ccc(-c2nccc3[nH]cc(C#N)c32)c(OC)c1 ZINC001244920961 1103761888 /nfs/dbraw/zinc/76/18/88/1103761888.db2.gz LVDYBVRUHKBGDH-UHFFFAOYSA-N 0 1 309.325 3.093 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1oc(C(C)C)nc1C)C2 ZINC001096130395 1103762953 /nfs/dbraw/zinc/76/29/53/1103762953.db2.gz WFSRMFQIUKKUAC-ILXRZTDVSA-N 0 1 317.433 3.018 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3c(c1)C=CCC3)C2 ZINC001096151956 1103768871 /nfs/dbraw/zinc/76/88/71/1103768871.db2.gz JWLMNPWPOIZREE-AABGKKOBSA-N 0 1 320.436 3.004 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccc(CC)cc1)C2 ZINC001096181946 1103779730 /nfs/dbraw/zinc/77/97/30/1103779730.db2.gz YZZUNLFIQQXLSJ-XCLNPWKQSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C3CCC3)c1)C2 ZINC001096344680 1103806920 /nfs/dbraw/zinc/80/69/20/1103806920.db2.gz QTUPSKFQCWVOEP-QYZOEREBSA-N 0 1 310.441 3.475 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(C(F)(F)F)c1)C2 ZINC001096409977 1103826672 /nfs/dbraw/zinc/82/66/72/1103826672.db2.gz IAXDOJYJTXMRRA-ILXRZTDVSA-N 0 1 324.346 3.227 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cncc(C)c2)CC1(C)C ZINC001328067722 1103830187 /nfs/dbraw/zinc/83/01/87/1103830187.db2.gz MHCYWCIDGHTIQD-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2(C=C)CCCCC2)C(C)(C)C1 ZINC001328099419 1103842938 /nfs/dbraw/zinc/84/29/38/1103842938.db2.gz VNKUJJLQHRZINH-KRWDZBQOSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3cccc(C)c3o1)C2 ZINC001096618694 1103888008 /nfs/dbraw/zinc/88/80/08/1103888008.db2.gz MYDYOKLNJDNUAV-IKGGRYGDSA-N 0 1 322.408 3.100 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCCc3ccccc31)C2 ZINC001096621925 1103888798 /nfs/dbraw/zinc/88/87/98/1103888798.db2.gz HAJBQFPAOYLOLU-GTAWCEEGSA-N 0 1 324.468 3.404 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1ccc3nccnc3c1)CC2 ZINC001137084379 1103967195 /nfs/dbraw/zinc/96/71/95/1103967195.db2.gz KQJSWVSZWNBVFX-UHFFFAOYSA-N 0 1 300.365 3.060 20 30 DGEDMN N#Cc1cc(-c2ccc3cncn3c2)ccc1OC1CCOCC1 ZINC001245305969 1103973996 /nfs/dbraw/zinc/97/39/96/1103973996.db2.gz RCMKXVUZLYOJKR-UHFFFAOYSA-N 0 1 319.364 3.431 20 30 DGEDMN N#CCc1cc(C2=CC[C@H](N3CCOCC3)CC2)ccc1F ZINC001245426341 1104049164 /nfs/dbraw/zinc/04/91/64/1104049164.db2.gz RQTICVLFKPLEHE-KRWDZBQOSA-N 0 1 300.377 3.160 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CC1CCCCCC1 ZINC001328765251 1104061554 /nfs/dbraw/zinc/06/15/54/1104061554.db2.gz JNJPBURQKFXTAW-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1cc(F)ccc1Cl ZINC001273981342 1104082386 /nfs/dbraw/zinc/08/23/86/1104082386.db2.gz CYVNWYZVJPGLHP-WAYWQWQTSA-N 0 1 322.811 3.045 20 30 DGEDMN COCOc1cnc(-c2ccc(C#N)cc2)cc1/C=C/N(C)C ZINC001245492328 1104085702 /nfs/dbraw/zinc/08/57/02/1104085702.db2.gz JDLBVBUWRKRWCA-CMDGGOBGSA-N 0 1 309.369 3.135 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC001329028700 1104130406 /nfs/dbraw/zinc/13/04/06/1104130406.db2.gz UMQCWVCNNBRYJM-STQMWFEESA-N 0 1 324.827 3.265 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC001329048047 1104135901 /nfs/dbraw/zinc/13/59/01/1104135901.db2.gz LTPBMCGWOCFPGN-OLZOCXBDSA-N 0 1 309.479 3.037 20 30 DGEDMN COC(=O)c1ccc2[nH]c(-c3nccc4[nH]cc(C#N)c43)cc2c1 ZINC001245583008 1104136060 /nfs/dbraw/zinc/13/60/60/1104136060.db2.gz BSRMOXZUFSKIQM-UHFFFAOYSA-N 0 1 316.320 3.369 20 30 DGEDMN CN1CC[C@H](NC(=O)CCCCCC#N)[C@@H]1c1ccc(F)cc1 ZINC001329117288 1104160594 /nfs/dbraw/zinc/16/05/94/1104160594.db2.gz BNTZNWDACHXKCL-WMZOPIPTSA-N 0 1 317.408 3.161 20 30 DGEDMN CN1CC[C@H](NC(=O)CCCCCC#N)[C@H]1c1ccc(F)cc1 ZINC001329117286 1104160612 /nfs/dbraw/zinc/16/06/12/1104160612.db2.gz BNTZNWDACHXKCL-FUHWJXTLSA-N 0 1 317.408 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c[nH]c2ccc(C)cc21 ZINC001329201808 1104187039 /nfs/dbraw/zinc/18/70/39/1104187039.db2.gz FYOQTWMMRGGKHA-STQMWFEESA-N 0 1 319.836 3.325 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2ccccc2N2CCCC2)n1 ZINC001329427497 1104261420 /nfs/dbraw/zinc/26/14/20/1104261420.db2.gz VOSKPINXJOKULJ-UHFFFAOYSA-N 0 1 315.808 3.485 20 30 DGEDMN COc1cc(C(F)(F)F)c(C#N)c(-c2ccc3cncn3c2)n1 ZINC001245895492 1104292994 /nfs/dbraw/zinc/29/29/94/1104292994.db2.gz YBSXESXLVUJPJN-UHFFFAOYSA-N 0 1 318.258 3.295 20 30 DGEDMN COc1ccc(-c2cc(=O)n(-c3ccc(C#N)cc3)[nH]2)cc1OC ZINC001245931027 1104318427 /nfs/dbraw/zinc/31/84/27/1104318427.db2.gz WKSURHVUFMTGDW-UHFFFAOYSA-N 0 1 321.336 3.134 20 30 DGEDMN CN(C)c1ccc(C2=NN(c3cccc(C#N)c3)C(=O)C2)cc1 ZINC001245943713 1104331099 /nfs/dbraw/zinc/33/10/99/1104331099.db2.gz JUHFGTKYHMDSIH-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN CN(C)c1ccc(-c2cc(=O)n(-c3cccc(C#N)c3)[nH]2)cc1 ZINC001245943713 1104331109 /nfs/dbraw/zinc/33/11/09/1104331109.db2.gz JUHFGTKYHMDSIH-UHFFFAOYSA-N 0 1 304.353 3.183 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H](NC/C(Cl)=C\Cl)[C@@H](C)C1 ZINC001274237594 1104333175 /nfs/dbraw/zinc/33/31/75/1104333175.db2.gz ATVQRILALYWJTQ-MZGZCWAVSA-N 0 1 319.276 3.344 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001329599976 1104338853 /nfs/dbraw/zinc/33/88/53/1104338853.db2.gz JLCSOJNGNKATNU-CCLIWJKGSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCCc1cc(=O)n(-c2c(F)cccc2Br)[nH]1 ZINC001245951760 1104339412 /nfs/dbraw/zinc/33/94/12/1104339412.db2.gz JJHBECFVQYAPAU-UHFFFAOYSA-N 0 1 309.138 3.045 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001329599979 1104339335 /nfs/dbraw/zinc/33/93/35/1104339335.db2.gz JLCSOJNGNKATNU-HWWITLHISA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H]1Cc2ccccc21 ZINC001329895173 1104413536 /nfs/dbraw/zinc/41/35/36/1104413536.db2.gz ZWPLPYHFXCZJGT-WBVHZDCISA-N 0 1 320.864 3.343 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001330054044 1104466387 /nfs/dbraw/zinc/46/63/87/1104466387.db2.gz NQZLWSYUSHHLEZ-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN Nc1ccc(CN=Nc2cccc(F)c2[N+](=O)[O-])c(Cl)c1 ZINC001330189566 1104503052 /nfs/dbraw/zinc/50/30/52/1104503052.db2.gz BGMWAKCRGMSLDB-UHFFFAOYSA-N 0 1 308.700 3.416 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2scnc2C)CC1(C)C ZINC001330201095 1104506135 /nfs/dbraw/zinc/50/61/35/1104506135.db2.gz CXWXEQFKQYRGOW-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@](C)(C=C)CC ZINC001274855468 1104520427 /nfs/dbraw/zinc/52/04/27/1104520427.db2.gz DZTMEMLEOPDNNK-TZIWHRDSSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2cccc(Cl)n2)CC1 ZINC001274907505 1104540387 /nfs/dbraw/zinc/54/03/87/1104540387.db2.gz ZWURFNQWKBWODY-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001274913983 1104541577 /nfs/dbraw/zinc/54/15/77/1104541577.db2.gz ZHCBYJFLPXVKRN-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCCCCCCC)C2)C1 ZINC001330511732 1104563682 /nfs/dbraw/zinc/56/36/82/1104563682.db2.gz GBBRXZZKNGSPHC-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CNCc1conc1CC ZINC001275024710 1104580692 /nfs/dbraw/zinc/58/06/92/1104580692.db2.gz OQJYVHQWWPPHDL-INIZCTEOSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H](C)c1cccc(OC)c1 ZINC001275045538 1104591214 /nfs/dbraw/zinc/59/12/14/1104591214.db2.gz JXKKMHGRJDGASH-GXTWGEPZSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCCCC(=O)N[C@]12CCC[C@@H]1N(Cc1ccns1)CC2 ZINC001278460315 1104649815 /nfs/dbraw/zinc/64/98/15/1104649815.db2.gz QOVBVVAFMDINDV-RDJZCZTQSA-N 0 1 319.474 3.113 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001275160150 1104657714 /nfs/dbraw/zinc/65/77/14/1104657714.db2.gz KHMCNWGVJFQITP-OLZOCXBDSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)c1ccc(Cl)s1 ZINC001275160150 1104657718 /nfs/dbraw/zinc/65/77/18/1104657718.db2.gz KHMCNWGVJFQITP-OLZOCXBDSA-N 0 1 324.877 3.061 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001275168739 1104662834 /nfs/dbraw/zinc/66/28/34/1104662834.db2.gz UMBCPLOLHYXHKX-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1Cl ZINC001275394290 1104745311 /nfs/dbraw/zinc/74/53/11/1104745311.db2.gz KDGIOXCOCOBWKQ-QWHCGFSZSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(C)(C)C)cc1 ZINC001275417426 1104752689 /nfs/dbraw/zinc/75/26/89/1104752689.db2.gz IVGXBVOIDTXFOH-ZDUSSCGKSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)sc1C ZINC001275441550 1104761039 /nfs/dbraw/zinc/76/10/39/1104761039.db2.gz DUZOVRQQEZBHMT-QWRGUYRKSA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2conc2C)CCCCC1 ZINC001275451306 1104764144 /nfs/dbraw/zinc/76/41/44/1104764144.db2.gz IRGOKVLDYOJKRC-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN C[C@H](c1ccccc1F)N(C)C[C@H](C)NC(=O)C#CC(C)(C)C ZINC001275552203 1104804796 /nfs/dbraw/zinc/80/47/96/1104804796.db2.gz PASLIEKSDFGBHH-LSDHHAIUSA-N 0 1 318.436 3.373 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(CC)CC ZINC001275569949 1104814763 /nfs/dbraw/zinc/81/47/63/1104814763.db2.gz AGDVXBFWUSWCHJ-NWDGAFQWSA-N 0 1 319.287 3.204 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001275629598 1104840930 /nfs/dbraw/zinc/84/09/30/1104840930.db2.gz NAWZAUZDLBWAKH-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN Cc1cc(-c2noc([C@H]3CCCc4[nH]cnc43)n2)ccc1C#N ZINC001247275735 1104861274 /nfs/dbraw/zinc/86/12/74/1104861274.db2.gz LLLLERQXGAJBPQ-ZDUSSCGKSA-N 0 1 305.341 3.108 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](c1ccc(F)cc1)C(C)C ZINC001275730391 1104885583 /nfs/dbraw/zinc/88/55/83/1104885583.db2.gz SOZUVAJLYLUDFH-CRAIPNDOSA-N 0 1 318.436 3.025 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)s1 ZINC001275783276 1104906510 /nfs/dbraw/zinc/90/65/10/1104906510.db2.gz UHZZLPWAOMJPAJ-LLVKDONJSA-N 0 1 300.855 3.304 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2ccccc2cc1F ZINC001275881826 1104942132 /nfs/dbraw/zinc/94/21/32/1104942132.db2.gz HYHDGSFBSUIXAL-AWEZNQCLSA-N 0 1 312.388 3.052 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1ccc(C(C)C)cc1 ZINC001275883101 1104944280 /nfs/dbraw/zinc/94/42/80/1104944280.db2.gz XVPVKQNYEWLIDU-QGZVFWFLSA-N 0 1 314.473 3.202 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(-c2ccco2)s1 ZINC001275970965 1104972595 /nfs/dbraw/zinc/97/25/95/1104972595.db2.gz UXYQYCLVMSWZAY-ZDUSSCGKSA-N 0 1 316.426 3.082 20 30 DGEDMN C=CCCCCCN1CC2(CCN2C(=O)Nc2cccnc2)C1 ZINC001276039995 1105003117 /nfs/dbraw/zinc/00/31/17/1105003117.db2.gz FFNRKASLHLIHDX-UHFFFAOYSA-N 0 1 314.433 3.120 20 30 DGEDMN N#Cc1ccc(-c2ccc(-c3noc([C@@H]4C=CCN4)n3)cc2)cc1 ZINC001248228016 1105074806 /nfs/dbraw/zinc/07/48/06/1105074806.db2.gz GHWZUINDKGRGOB-KRWDZBQOSA-N 0 1 314.348 3.476 20 30 DGEDMN C[C@@]1(c2nc(-c3cc(Cl)c(C#N)cc3Cl)no2)CCN1 ZINC001248726126 1105152762 /nfs/dbraw/zinc/15/27/62/1105152762.db2.gz ZWLKLADGQZETSN-ZDUSSCGKSA-N 0 1 309.156 3.124 20 30 DGEDMN O=C1C=C2C[N@H+](Cc3ccc(F)c4cc[nH]c43)CC[C@@H]2S1 ZINC001248816039 1105168971 /nfs/dbraw/zinc/16/89/71/1105168971.db2.gz VAJRNPARQKRABF-AWEZNQCLSA-N 0 1 302.374 3.081 20 30 DGEDMN O=C1C=C2CN(Cc3ccc(F)c4cc[nH]c43)CCC2S1 ZINC001248816039 1105168974 /nfs/dbraw/zinc/16/89/74/1105168974.db2.gz VAJRNPARQKRABF-AWEZNQCLSA-N 0 1 302.374 3.081 20 30 DGEDMN Cc1ccc2nc(CN3CCc4cc(C#N)ccc4C3)[nH]c2c1 ZINC001248840056 1105175398 /nfs/dbraw/zinc/17/53/98/1105175398.db2.gz HMNBHRMDWYVIRV-UHFFFAOYSA-N 0 1 302.381 3.301 20 30 DGEDMN C=CCOc1ccc(-c2noc([C@@H](NC)C(C)C)n2)c(F)c1 ZINC001249000454 1105211341 /nfs/dbraw/zinc/21/13/41/1105211341.db2.gz WAQDDTKWQHWDQL-AWEZNQCLSA-N 0 1 305.353 3.357 20 30 DGEDMN N#Cc1ccc2cc(CN3CCC4(C[C@H](F)CO4)CC3)[nH]c2c1 ZINC001249429594 1105285395 /nfs/dbraw/zinc/28/53/95/1105285395.db2.gz IPFLVFANUNPFQK-HNNXBMFYSA-N 0 1 313.376 3.133 20 30 DGEDMN N#Cc1cc(F)cc(F)c1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249566740 1105317151 /nfs/dbraw/zinc/31/71/51/1105317151.db2.gz GLHRYPHKCPKYGO-HNNXBMFYSA-N 0 1 319.355 3.017 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2oc(C)nc2C)[C@H]1C ZINC001278521442 1105331051 /nfs/dbraw/zinc/33/10/51/1105331051.db2.gz NSIAOWYAOGLMHU-HOCLYGCPSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(F)(F)F)[C@H]1C ZINC001278526853 1105347808 /nfs/dbraw/zinc/34/78/08/1105347808.db2.gz NMYRZRZXAOUUBO-VXGBXAGGSA-N 0 1 306.372 3.120 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CCC)s1)C2 ZINC001097150338 1105353852 /nfs/dbraw/zinc/35/38/52/1105353852.db2.gz AWEHOAJAVWQPDM-BMFZPTHFSA-N 0 1 316.470 3.059 20 30 DGEDMN CSc1ccccc1NC(=S)NCc1ccc(C#N)o1 ZINC001249714166 1105363225 /nfs/dbraw/zinc/36/32/25/1105363225.db2.gz IQNRFXICSMTYJE-UHFFFAOYSA-N 0 1 303.412 3.360 20 30 DGEDMN CSc1ccccc1NC(=S)NCc1ncc(C#N)s1 ZINC001249714990 1105363269 /nfs/dbraw/zinc/36/32/69/1105363269.db2.gz QQPOJEOFFMBFRE-UHFFFAOYSA-N 0 1 320.468 3.223 20 30 DGEDMN C=CC[C@@](C)(NC(=S)Nc1ccccc1SC)C(=O)OC ZINC001249719481 1105364728 /nfs/dbraw/zinc/36/47/28/1105364728.db2.gz ZYRAEFJWUPGRDR-OAHLLOKOSA-N 0 1 324.471 3.203 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC[C@@H](c3ccccc3)C1)C2 ZINC001097323155 1105380724 /nfs/dbraw/zinc/38/07/24/1105380724.db2.gz WHZVHKYQNIURKQ-WAPOTWQKSA-N 0 1 324.468 3.478 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)c1ccc(F)cc1)C2 ZINC001111449544 1105395770 /nfs/dbraw/zinc/39/57/70/1105395770.db2.gz AZELFWZVEAYPTO-LIRZEXBASA-N 0 1 316.420 3.227 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(Cl)c(C)c1)C2 ZINC001097773722 1105441135 /nfs/dbraw/zinc/44/11/35/1105441135.db2.gz AYYUUNJTGUNNDX-OIISXLGYSA-N 0 1 316.832 3.007 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)C)cn1)C2 ZINC001097848930 1105452712 /nfs/dbraw/zinc/45/27/12/1105452712.db2.gz WVSZIGNOGYKHJF-NXHRZFHOSA-N 0 1 313.445 3.116 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1cccc(F)c1)C2 ZINC001097834751 1105453631 /nfs/dbraw/zinc/45/36/31/1105453631.db2.gz VMRIDPSNGBNGNQ-ZACQAIPSSA-N 0 1 316.420 3.011 20 30 DGEDMN CC(C)(C)c1noc(Nc2c(F)ccc(CO)c2F)c1C#N ZINC001250252765 1105481199 /nfs/dbraw/zinc/48/11/99/1105481199.db2.gz PQHJFXOEEWKBHT-UHFFFAOYSA-N 0 1 307.300 3.358 20 30 DGEDMN CC[C@@H](CC#N)NC[C@@H]1COc2ccc(Br)cc2C1 ZINC001255165348 1105504052 /nfs/dbraw/zinc/50/40/52/1105504052.db2.gz XBXJMRHWBWHVLP-RISCZKNCSA-N 0 1 323.234 3.282 20 30 DGEDMN N#Cc1cccc(-c2nc3cc(F)c(-n4cccn4)cc3[nH]2)c1 ZINC001250458679 1105517048 /nfs/dbraw/zinc/51/70/48/1105517048.db2.gz ACXCVCORIMBCRR-UHFFFAOYSA-N 0 1 303.300 3.426 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)c1ccc(CC)cc1)C2 ZINC001098046711 1105536830 /nfs/dbraw/zinc/53/68/30/1105536830.db2.gz YZZUNLFIQQXLSJ-OBZTUIKSSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1cccc(Cl)c1)C2 ZINC001098443696 1105576502 /nfs/dbraw/zinc/57/65/02/1105576502.db2.gz XIBMLFCXBVWFQM-YYQUZTFQSA-N 0 1 318.848 3.351 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C13CC(C(C)(C)C)(C1)C3)C2 ZINC001098480411 1105579061 /nfs/dbraw/zinc/57/90/61/1105579061.db2.gz GEBDSHZSXYCELO-UMWKCDSESA-N 0 1 302.462 3.110 20 30 DGEDMN N#Cc1cncc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)c1 ZINC001250994284 1105617885 /nfs/dbraw/zinc/61/78/85/1105617885.db2.gz FRSYOBQAYPLJNZ-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN N#Cc1ccc(O)c(-c2nc3c([nH]2)c(F)c(F)c(F)c3F)c1 ZINC001251180384 1105635898 /nfs/dbraw/zinc/63/58/98/1105635898.db2.gz SKQYRUZGAVFJDS-UHFFFAOYSA-N 0 1 307.206 3.364 20 30 DGEDMN CC[C@@H](F)CN1CC(C)(C)CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001099070272 1105638887 /nfs/dbraw/zinc/63/88/87/1105638887.db2.gz VBBTWOLTXWXWKS-HZPDHXFCSA-N 0 1 324.484 3.391 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001099084310 1105640377 /nfs/dbraw/zinc/64/03/77/1105640377.db2.gz PBFVBRDCJUWRQG-RXVVDRJESA-N 0 1 324.468 3.202 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099093235 1105641359 /nfs/dbraw/zinc/64/13/59/1105641359.db2.gz NSJDEPWBFZLKRC-GOSISDBHSA-N 0 1 316.489 3.385 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)C(CC)CC ZINC001099131035 1105646841 /nfs/dbraw/zinc/64/68/41/1105646841.db2.gz VQIWCRFRXZFTTJ-WBVHZDCISA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CC)CCC1 ZINC001099183519 1105655646 /nfs/dbraw/zinc/65/56/46/1105655646.db2.gz VFZLDEWXNYKUGF-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099215201 1105658331 /nfs/dbraw/zinc/65/83/31/1105658331.db2.gz PZQWYOVLSUQXBI-VQIMIIECSA-N 0 1 304.478 3.219 20 30 DGEDMN C=CCCC1(C(=O)NC[C@H]2CCC(C)(C)CN2CC#CC)CC1 ZINC001099214925 1105658621 /nfs/dbraw/zinc/65/86/21/1105658621.db2.gz NYZWVYJSZNIWCG-QGZVFWFLSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)c1ccsc1 ZINC001099234610 1105660051 /nfs/dbraw/zinc/66/00/51/1105660051.db2.gz KHMCXXAFGNPSRQ-GDBMZVCRSA-N 0 1 318.486 3.092 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(CC)(CC)CC ZINC001099281357 1105663531 /nfs/dbraw/zinc/66/35/31/1105663531.db2.gz SZGRSJRAUQGUJM-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC[C@H](C)CC ZINC001099280160 1105663683 /nfs/dbraw/zinc/66/36/83/1105663683.db2.gz BCONJRNFJMVZRU-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(CC)CC ZINC001099285643 1105664743 /nfs/dbraw/zinc/66/47/43/1105664743.db2.gz CPOCFWMMFICTDE-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCC1(C)CC1 ZINC001099292073 1105665576 /nfs/dbraw/zinc/66/55/76/1105665576.db2.gz PGDFEKDDBLJKRL-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN COc1cc2[nH]c(-c3cnn4ccc(C#N)cc34)nc2cc1C ZINC001251809912 1105698929 /nfs/dbraw/zinc/69/89/29/1105698929.db2.gz TVIMUDGGUGQMGH-UHFFFAOYSA-N 0 1 303.325 3.066 20 30 DGEDMN CCCCCCCC[C@H](O)CN1CCN(c2ccccn2)CC1 ZINC001252121382 1105744217 /nfs/dbraw/zinc/74/42/17/1105744217.db2.gz FOVMHQMFWBJTRK-SFHVURJKSA-N 0 1 319.493 3.315 20 30 DGEDMN CCCCCCCC[C@@H](O)CN1CCN(c2ccccn2)CC1 ZINC001252121380 1105744724 /nfs/dbraw/zinc/74/47/24/1105744724.db2.gz FOVMHQMFWBJTRK-GOSISDBHSA-N 0 1 319.493 3.315 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001252139968 1105750011 /nfs/dbraw/zinc/75/00/11/1105750011.db2.gz WBDWVFKYCRKFJO-QGZVFWFLSA-N 0 1 323.481 3.465 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCC(=O)Cc1ccccc1 ZINC001252140559 1105750103 /nfs/dbraw/zinc/75/01/03/1105750103.db2.gz RCIBIEACAILXNZ-GOSISDBHSA-N 0 1 305.462 3.499 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CCC1CCCCCC1 ZINC001491216392 1105778113 /nfs/dbraw/zinc/77/81/13/1105778113.db2.gz GHUGVHUZEYOYIM-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCCc2ccccc21 ZINC001491229954 1105779037 /nfs/dbraw/zinc/77/90/37/1105779037.db2.gz LQDYDTQLLLJHBA-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN CCOC(=O)COc1ccc(CN=Nc2ccccc2F)cc1 ZINC001252607734 1105802937 /nfs/dbraw/zinc/80/29/37/1105802937.db2.gz ZYTBIMVYTULJPB-UHFFFAOYSA-N 0 1 316.332 3.214 20 30 DGEDMN C=CCC[C@@H](O)CNCc1ccc(F)cc1Br ZINC001252619522 1105805987 /nfs/dbraw/zinc/80/59/87/1105805987.db2.gz FDJRICVYSLYFHX-GFCCVEGCSA-N 0 1 302.187 3.005 20 30 DGEDMN C=CCC[C@H](O)CNCc1csc(-c2cccc(F)c2)n1 ZINC001252623519 1105806685 /nfs/dbraw/zinc/80/66/85/1105806685.db2.gz LJQLQZPJHRCCCB-HNNXBMFYSA-N 0 1 306.406 3.366 20 30 DGEDMN CCCCCCCCCC[C@@H](O)C[N@H+]1CCc2[n-][nH]c(=O)c2C1 ZINC001252983026 1105865646 /nfs/dbraw/zinc/86/56/46/1105865646.db2.gz PTXOMVIXXGFEMZ-OAHLLOKOSA-N 0 1 323.481 3.365 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(CC=C)CCCCC2)C1 ZINC001278693223 1105879300 /nfs/dbraw/zinc/87/93/00/1105879300.db2.gz GKSRDGVWYJNRDI-IBGZPJMESA-N 0 1 316.489 3.365 20 30 DGEDMN CCCC[C@@H](CC)COC[C@H](O)CNC1(CC#N)CCCC1 ZINC001253034370 1105880625 /nfs/dbraw/zinc/88/06/25/1105880625.db2.gz PLVHJFUPOBDULC-IAGOWNOFSA-N 0 1 310.482 3.396 20 30 DGEDMN C=CCCCCCC[C@H](O)CN1CCN(c2nccs2)CC1 ZINC001253228202 1105905399 /nfs/dbraw/zinc/90/53/99/1105905399.db2.gz QZNJSZRBLYWLQX-INIZCTEOSA-N 0 1 323.506 3.153 20 30 DGEDMN C=CCCCCCC[C@@H](O)CN[C@H](CO)c1ccccc1F ZINC001253253458 1105912653 /nfs/dbraw/zinc/91/26/53/1105912653.db2.gz HAIQCWGJCJMLQM-CRAIPNDOSA-N 0 1 309.425 3.336 20 30 DGEDMN CC(C)(C)OC(=O)[C@H](O)CN(CCC#N)C1CCCCCCC1 ZINC001253683025 1105968797 /nfs/dbraw/zinc/96/87/97/1105968797.db2.gz YBUARNDNUYOKGG-MRXNPFEDSA-N 0 1 324.465 3.018 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](CC)CCCC)C1 ZINC001278740839 1105987844 /nfs/dbraw/zinc/98/78/44/1105987844.db2.gz ILIQYTVENFROKI-LSDHHAIUSA-N 0 1 316.436 3.462 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC(C)(C)CC)C1 ZINC001278741401 1105989198 /nfs/dbraw/zinc/98/91/98/1105989198.db2.gz OKJJRMODZZFYPK-CYBMUJFWSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C(F)=C2CCCC2)[C@H](C)C1 ZINC001101875813 1105989219 /nfs/dbraw/zinc/98/92/19/1105989219.db2.gz LWERFXDWPMIIHK-BXUZGUMPSA-N 0 1 314.832 3.221 20 30 DGEDMN C=CCCC(C)(C)CNC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC001254023440 1106010329 /nfs/dbraw/zinc/01/03/29/1106010329.db2.gz YSWDTEKVSHTISE-INIZCTEOSA-N 0 1 309.498 3.351 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](CC)CC(F)F)[C@H](C)C1 ZINC001102037083 1106011647 /nfs/dbraw/zinc/01/16/47/1106011647.db2.gz OOJOZAUXRIYGIP-KGYLQXTDSA-N 0 1 322.827 3.104 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)CC(C)(C)C)[C@H](C)C1 ZINC001102436033 1106058989 /nfs/dbraw/zinc/05/89/89/1106058989.db2.gz TTYKOZNIFSHIBA-GZBFAFLISA-N 0 1 314.901 3.495 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H](C)C(C)(C)C)[C@H](C)C1 ZINC001102529944 1106070248 /nfs/dbraw/zinc/07/02/48/1106070248.db2.gz VVSDNXAQEKMUMF-VNHYZAJKSA-N 0 1 314.901 3.495 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC2(CN([C@H]3CC[C@H](C#N)C3)C2)C1 ZINC001254661008 1106126940 /nfs/dbraw/zinc/12/69/40/1106126940.db2.gz OJGDRNWDMQXTRE-GJZGRUSLSA-N 0 1 319.449 3.012 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC3(CC2)CC(=O)c2ccccc2O3)C1 ZINC001254669276 1106128601 /nfs/dbraw/zinc/12/86/01/1106128601.db2.gz QFIGQCYJVJSHTK-CABCVRRESA-N 0 1 310.397 3.179 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1ccc(F)c(Cl)c1 ZINC001254950622 1106158357 /nfs/dbraw/zinc/15/83/57/1106158357.db2.gz ZYWGVPFOSBFUCC-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN CC[C@@H](CC#N)N[C@H]1CC12CCN(C(=O)OC(C)(C)C)CC2 ZINC001255151970 1106192089 /nfs/dbraw/zinc/19/20/89/1106192089.db2.gz JWSXBLZYIMXKTF-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2ccc(C#N)nc2C)cc1 ZINC001255158428 1106194022 /nfs/dbraw/zinc/19/40/22/1106194022.db2.gz HZROYRUBTVGMCF-UHFFFAOYSA-N 0 1 315.398 3.015 20 30 DGEDMN CC[C@H](CC#N)NCCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001255158660 1106194208 /nfs/dbraw/zinc/19/42/08/1106194208.db2.gz LPEDYCIWKFJUKF-MRXNPFEDSA-N 0 1 302.418 3.466 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(c2cccc(C(=O)OC)c2)CC1 ZINC001255176120 1106199724 /nfs/dbraw/zinc/19/97/24/1106199724.db2.gz XHICMICFUGLWEG-KRWDZBQOSA-N 0 1 300.402 3.345 20 30 DGEDMN CC[C@H](CC#N)N(C)C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255174649 1106199742 /nfs/dbraw/zinc/19/97/42/1106199742.db2.gz HFXJUFUPAGNQIO-HUUCEWRRSA-N 0 1 309.454 3.258 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CC[N@@H+]1C1CCCCCC1 ZINC001255888841 1106338994 /nfs/dbraw/zinc/33/89/94/1106338994.db2.gz UDAGBENZYBEOGN-KRWDZBQOSA-N 0 1 318.465 3.123 20 30 DGEDMN C=CCOC[C@H]1c2nnn(CC)c2CCN1C1CCCCCC1 ZINC001255888841 1106338999 /nfs/dbraw/zinc/33/89/99/1106338999.db2.gz UDAGBENZYBEOGN-KRWDZBQOSA-N 0 1 318.465 3.123 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C(=O)[C@@H](C)c1ccc(C)o1)C(C)C ZINC001278922010 1106395531 /nfs/dbraw/zinc/39/55/31/1106395531.db2.gz FHUYXFSTQHCIJL-INIZCTEOSA-N 0 1 306.450 3.436 20 30 DGEDMN C=CC[N@H+](C)CCN(C(=O)c1ccc2cccnc2c1)C(C)C ZINC001278922037 1106396012 /nfs/dbraw/zinc/39/60/12/1106396012.db2.gz GCOFSKAMSIHSNM-UHFFFAOYSA-N 0 1 311.429 3.203 20 30 DGEDMN N#C[C@@H](NC1CCN(Cc2ccncc2)CC1)c1ccc(F)cc1 ZINC001256582260 1106450203 /nfs/dbraw/zinc/45/02/03/1106450203.db2.gz NVYRYLQJMKOJMB-LJQANCHMSA-N 0 1 324.403 3.040 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)C1CCC1)c1ccccc1CC ZINC001278969471 1106498964 /nfs/dbraw/zinc/49/89/64/1106498964.db2.gz KYHGZUQFJNZJQI-BEFAXECRSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)C1CC1)C(C)(C)C ZINC001279033743 1106620571 /nfs/dbraw/zinc/62/05/71/1106620571.db2.gz DAJLCHQRMGJEJJ-FZMZJTMJSA-N 0 1 300.874 3.296 20 30 DGEDMN COC(=O)C1CCC(N(CCC#N)Cc2ccccc2)CC1 ZINC001257861449 1106636290 /nfs/dbraw/zinc/63/62/90/1106636290.db2.gz ZTMXMDKZYOYIII-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2ccccc2C(F)F)cc1 ZINC001258109182 1106674798 /nfs/dbraw/zinc/67/47/98/1106674798.db2.gz CBVNVXDWYAUSAO-UHFFFAOYSA-N 0 1 308.309 3.297 20 30 DGEDMN C#CCCCC(=O)N(C)CCN(C)Cc1cccc(C(F)F)c1 ZINC001319991748 1106767901 /nfs/dbraw/zinc/76/79/01/1106767901.db2.gz UFUSBFPFZPBSDD-UHFFFAOYSA-N 0 1 322.399 3.318 20 30 DGEDMN C=CCC1(C(=O)N(C)CCN(C)Cc2cccnc2C)CCC1 ZINC001320042959 1106817016 /nfs/dbraw/zinc/81/70/16/1106817016.db2.gz OQBCZGIFDWHBNC-UHFFFAOYSA-N 0 1 315.461 3.027 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC[C@@](C)(C#N)C2)CC1(C)C ZINC001258565652 1106824685 /nfs/dbraw/zinc/82/46/85/1106824685.db2.gz YSWFPDBCWIHBFE-KDOFPFPSSA-N 0 1 321.465 3.400 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C(C)(C)c1cccc(Cl)c1 ZINC001320054782 1106825023 /nfs/dbraw/zinc/82/50/23/1106825023.db2.gz JBQXNIJZWGBNIV-UHFFFAOYSA-N 0 1 320.864 3.031 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@@H]1CNCc1ncoc1C(C)C ZINC001320127964 1106888560 /nfs/dbraw/zinc/88/85/60/1106888560.db2.gz IXSXOCUAKCFEPJ-CABCVRRESA-N 0 1 319.449 3.139 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2coc(C(F)F)c2)CC1 ZINC001317007569 1106889793 /nfs/dbraw/zinc/88/97/93/1106889793.db2.gz ZEZYTCPSJQSIFC-UHFFFAOYSA-N 0 1 324.371 3.167 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2cncn2C[C@H](COCC2CC2)C1 ZINC001258727090 1106930242 /nfs/dbraw/zinc/93/02/42/1106930242.db2.gz BLGIKQAKCGUYNI-NVXWUHKLSA-N 0 1 303.450 3.096 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H]2[C@H](Oc3ccccn3)CC[C@@H]21 ZINC001258728205 1106935866 /nfs/dbraw/zinc/93/58/66/1106935866.db2.gz MQOOHYUGCABZOU-LISAXSMJSA-N 0 1 302.418 3.047 20 30 DGEDMN CCCC(C)(C)C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001317064084 1106961479 /nfs/dbraw/zinc/96/14/79/1106961479.db2.gz DKUMPZCGCGUSBW-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC001320377386 1106986454 /nfs/dbraw/zinc/98/64/54/1106986454.db2.gz NISIIDQICMKCGY-AWEZNQCLSA-N 0 1 323.458 3.203 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1CCC[C@@H](C)C1)CC2 ZINC001258820739 1107001419 /nfs/dbraw/zinc/00/14/19/1107001419.db2.gz OBWXEJXPXPJZPN-CABCVRRESA-N 0 1 303.450 3.060 20 30 DGEDMN C=C[C@H](COC)N[C@H]1CCc2c1cc(Br)cc2F ZINC001320428389 1107004963 /nfs/dbraw/zinc/00/49/63/1107004963.db2.gz YTZAKKZFPNOESY-YGRLFVJLSA-N 0 1 314.198 3.366 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1ccccc1F ZINC001317165169 1107069002 /nfs/dbraw/zinc/06/90/02/1107069002.db2.gz HANHVVSKSHUDOC-INIZCTEOSA-N 0 1 304.409 3.263 20 30 DGEDMN C=C1CC=C(F)C=C1NS(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082504 1107074012 /nfs/dbraw/zinc/07/40/12/1107074012.db2.gz DVMHOWINNOEDCF-UHFFFAOYSA-N 0 1 319.279 3.079 20 30 DGEDMN Cc1ccnc2c(NS(=O)(=O)c3cccc(C#N)c3)cccc12 ZINC001259124999 1107081115 /nfs/dbraw/zinc/08/11/15/1107081115.db2.gz FWEPGOGXBVOGHQ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(C#N)cnc2C)cc1Cl ZINC001259130979 1107083247 /nfs/dbraw/zinc/08/32/47/1107083247.db2.gz GSVUSSAPHWCVLM-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1CCC(F)CC1 ZINC001317191569 1107110716 /nfs/dbraw/zinc/11/07/16/1107110716.db2.gz HVLPAZJBBOYMAV-YMAMQOFZSA-N 0 1 316.848 3.238 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1CCC(F)CC1 ZINC001317191566 1107110783 /nfs/dbraw/zinc/11/07/83/1107110783.db2.gz HVLPAZJBBOYMAV-NRXISQOPSA-N 0 1 316.848 3.238 20 30 DGEDMN N#Cc1cc(S(=O)(=O)Nc2csc(Cl)c2)ccc1F ZINC001259412365 1107133152 /nfs/dbraw/zinc/13/31/52/1107133152.db2.gz DTRPDXKJUGUTCC-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001317220637 1107144143 /nfs/dbraw/zinc/14/41/43/1107144143.db2.gz ZBSLQQJWIGSFNW-JTTJXQCZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2cccc(F)c2F)C1 ZINC001320839506 1107158067 /nfs/dbraw/zinc/15/80/67/1107158067.db2.gz ZFSSGYQWZORGNT-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C[C@H](CNC(=O)C1=CCCCCC1)NCc1ccccc1C#N ZINC001317235013 1107160360 /nfs/dbraw/zinc/16/03/60/1107160360.db2.gz OSNGOQJNEHOTDF-OAHLLOKOSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(Cl)c(C)c1 ZINC001317264402 1107185136 /nfs/dbraw/zinc/18/51/36/1107185136.db2.gz IDNLPLAODQXXRQ-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)NCc1cc(Cl)ccc1F ZINC001317265546 1107186632 /nfs/dbraw/zinc/18/66/32/1107186632.db2.gz CUJZKGHMDKUDRF-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2cnc3ccccc3c2)c1 ZINC001260013113 1107236162 /nfs/dbraw/zinc/23/61/62/1107236162.db2.gz SMZOIMXRDGLUNS-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#Cc1csc(C(=O)Nc2ccc(Br)c(O)c2)c1 ZINC001321275837 1107308755 /nfs/dbraw/zinc/30/87/55/1107308755.db2.gz DRMGXKZYDRATNM-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2ccc3c(c2)CCCC3)cs1 ZINC001260978735 1107331216 /nfs/dbraw/zinc/33/12/16/1107331216.db2.gz PLKNCWSKYRINEJ-UHFFFAOYSA-N 0 1 318.423 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1c(C)cccc1Cl ZINC001321577114 1107385433 /nfs/dbraw/zinc/38/54/33/1107385433.db2.gz FIXTZXOWMMFCJJ-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2ccccc2s1 ZINC001321578596 1107386154 /nfs/dbraw/zinc/38/61/54/1107386154.db2.gz YMXZSONJHSJLAY-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001321640956 1107403071 /nfs/dbraw/zinc/40/30/71/1107403071.db2.gz KDBBMZQFQMWHPM-VXGBXAGGSA-N 0 1 315.244 3.290 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C)c(C)c1Cl ZINC001321710117 1107416848 /nfs/dbraw/zinc/41/68/48/1107416848.db2.gz KZCIMFSEIJMILQ-LLVKDONJSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCCc1sccc1C ZINC001321723179 1107420827 /nfs/dbraw/zinc/42/08/27/1107420827.db2.gz MTTDTUJAPDMGMF-CYBMUJFWSA-N 0 1 314.882 3.226 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001321967000 1107474206 /nfs/dbraw/zinc/47/42/06/1107474206.db2.gz VMHBCOGFDPYTNW-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001322011531 1107483998 /nfs/dbraw/zinc/48/39/98/1107483998.db2.gz AIMSMVPIUPLUSE-RDJZCZTQSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001317637517 1107575663 /nfs/dbraw/zinc/57/56/63/1107575663.db2.gz ZVQGKIGCDJUETH-LRDDRELGSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)Cc1c(C)oc2ccccc21 ZINC001317669210 1107588893 /nfs/dbraw/zinc/58/88/93/1107588893.db2.gz JFPHYCXEYXNJFU-UHFFFAOYSA-N 0 1 320.820 3.084 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1coc2ccc(Cl)cc21 ZINC001322285658 1107612636 /nfs/dbraw/zinc/61/26/36/1107612636.db2.gz NWFVDBZDGAEELM-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1ccco1)c1ccc(C(C)C)cc1 ZINC001317711535 1107614465 /nfs/dbraw/zinc/61/44/65/1107614465.db2.gz QYGXRTYMAIAPJQ-QGZVFWFLSA-N 0 1 310.397 3.097 20 30 DGEDMN Cc1cccc(CN[C@H](CNC(=O)[C@H](C)C#N)c2ccccc2)c1 ZINC001317715044 1107617645 /nfs/dbraw/zinc/61/76/45/1107617645.db2.gz LIOBVUAFWNKNTJ-VQIMIIECSA-N 0 1 321.424 3.102 20 30 DGEDMN C#CCCCC(=O)N(CCC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001317755413 1107644523 /nfs/dbraw/zinc/64/45/23/1107644523.db2.gz UMEMOWQZMAFVJM-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@H]2c2ccsc2)C1 ZINC001317761279 1107646719 /nfs/dbraw/zinc/64/67/19/1107646719.db2.gz IUYWYUZAQNIIBT-IXDOHACOSA-N 0 1 318.486 3.351 20 30 DGEDMN C#CC[N@H+](Cc1cncc(-c2cc(F)ccc2OC)c1)C1CC1 ZINC001262260042 1107661043 /nfs/dbraw/zinc/66/10/43/1107661043.db2.gz BYWVEDCQDRLSQR-UHFFFAOYSA-N 0 1 310.372 3.494 20 30 DGEDMN C#CCN(Cc1cncc(-c2cc(F)ccc2OC)c1)C1CC1 ZINC001262260042 1107661045 /nfs/dbraw/zinc/66/10/45/1107661045.db2.gz BYWVEDCQDRLSQR-UHFFFAOYSA-N 0 1 310.372 3.494 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccn(CC)c2C)C1 ZINC001317798680 1107675616 /nfs/dbraw/zinc/67/56/16/1107675616.db2.gz XNHWWCCVAFVXLX-HNNXBMFYSA-N 0 1 323.868 3.011 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C[C@H]2CC=CCC2)C1 ZINC001317798451 1107676221 /nfs/dbraw/zinc/67/62/21/1107676221.db2.gz WBTRHNLIZFDXHH-HOTGVXAUSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001317799594 1107676762 /nfs/dbraw/zinc/67/67/62/1107676762.db2.gz ASHPZXUKQUTBAK-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001317803626 1107679299 /nfs/dbraw/zinc/67/92/99/1107679299.db2.gz UYUXDKISYQJGIB-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H](C)C(C)C)CC1 ZINC001317879523 1107731782 /nfs/dbraw/zinc/73/17/82/1107731782.db2.gz GMCXSWCELIOBHB-AWEZNQCLSA-N 0 1 300.874 3.201 20 30 DGEDMN C[S@@](=O)c1ccc(CNCc2ccc(C#N)c(Cl)c2)cc1 ZINC001322686625 1107736892 /nfs/dbraw/zinc/73/68/92/1107736892.db2.gz OCOUXVUTGNGYFJ-OAQYLSRUSA-N 0 1 318.829 3.239 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCCc1ccc(C)cc1 ZINC001318231849 1107907906 /nfs/dbraw/zinc/90/79/06/1107907906.db2.gz CFVVAHRTPTZJTA-PMACEKPBSA-N 0 1 324.468 3.016 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001318254299 1107917524 /nfs/dbraw/zinc/91/75/24/1107917524.db2.gz BBHAIAQMFUBCNY-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001323352457 1107949090 /nfs/dbraw/zinc/94/90/90/1107949090.db2.gz NJZOYFVBRHDFJY-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001323358542 1107951013 /nfs/dbraw/zinc/95/10/13/1107951013.db2.gz VIWNNXBXWIDRGZ-SFHVURJKSA-N 0 1 312.457 3.183 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC001318386496 1107973380 /nfs/dbraw/zinc/97/33/80/1107973380.db2.gz NCLGSAPKOKPBRZ-INIZCTEOSA-N 0 1 306.837 3.339 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1(NCc2ccccc2Cl)CC1 ZINC001323438244 1107974537 /nfs/dbraw/zinc/97/45/37/1107974537.db2.gz YVENRIKIRBSCNT-UHFFFAOYSA-N 0 1 318.848 3.128 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2cc(Cl)ccc2F)CC1 ZINC001323435541 1107974920 /nfs/dbraw/zinc/97/49/20/1107974920.db2.gz LKKAGTIJPURYES-UHFFFAOYSA-N 0 1 322.811 3.021 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC001323438624 1107975355 /nfs/dbraw/zinc/97/53/55/1107975355.db2.gz KJYUFXLASJFGQU-GFCCVEGCSA-N 0 1 310.800 3.330 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2c2sccc2C)CC1 ZINC001323443950 1107978788 /nfs/dbraw/zinc/97/87/88/1107978788.db2.gz SOEAIGIBVVQFQX-CHWSQXEVSA-N 0 1 324.877 3.151 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2oc(C(F)F)cc2C)CC1 ZINC001323468820 1107983314 /nfs/dbraw/zinc/98/33/14/1107983314.db2.gz OUBWIEOBVOHJBM-UHFFFAOYSA-N 0 1 318.751 3.130 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2c(C)nsc2C)C1 ZINC001318422736 1107994188 /nfs/dbraw/zinc/99/41/88/1107994188.db2.gz AVAHBKWSVMXALP-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](CCC)c2ccccc2)C1 ZINC001318444046 1108011789 /nfs/dbraw/zinc/01/17/89/1108011789.db2.gz CAAXSAJFKOSEGL-RTBURBONSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)c2ccccc2)CC1 ZINC001323608253 1108026833 /nfs/dbraw/zinc/02/68/33/1108026833.db2.gz SRNIAOSMXADUCT-CYBMUJFWSA-N 0 1 306.837 3.171 20 30 DGEDMN N#C[C@H](C(=O)CCc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001263375223 1108030019 /nfs/dbraw/zinc/03/00/19/1108030019.db2.gz IRHWPSIICRIRID-OAHLLOKOSA-N 0 1 316.364 3.021 20 30 DGEDMN Cc1c(C(=O)N[C@H](C)c2ccc(C#N)cc2)ccc2cncn21 ZINC001323646973 1108041753 /nfs/dbraw/zinc/04/17/53/1108041753.db2.gz LLQZRJABUAPKMC-GFCCVEGCSA-N 0 1 304.353 3.005 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001318485938 1108046337 /nfs/dbraw/zinc/04/63/37/1108046337.db2.gz VCVHSONIGMXINA-CHWSQXEVSA-N 0 1 324.877 3.061 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCCC(C)(C)C ZINC001323685271 1108051802 /nfs/dbraw/zinc/05/18/02/1108051802.db2.gz XXFWNBUOSRASQB-SJORKVTESA-N 0 1 304.478 3.291 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(O)cc2OC)c(O)c1 ZINC001323769772 1108079698 /nfs/dbraw/zinc/07/96/98/1108079698.db2.gz FQGOGVSYEACLQK-YWEYNIOJSA-N 0 1 300.310 3.011 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1ccn(C(C)C)n1 ZINC001318556829 1108093811 /nfs/dbraw/zinc/09/38/11/1108093811.db2.gz TYOYAQSOUZWSLU-OAHLLOKOSA-N 0 1 320.481 3.051 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NC/C(Cl)=C\Cl ZINC001318558216 1108095990 /nfs/dbraw/zinc/09/59/90/1108095990.db2.gz YVEYCIQQAWUFFN-VNKGSWCUSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1ccccc1F ZINC001318582599 1108108338 /nfs/dbraw/zinc/10/83/38/1108108338.db2.gz KSOQKOMSYYAOSG-OLZOCXBDSA-N 0 1 312.816 3.166 20 30 DGEDMN CC[C@H](C)n1nc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001263615802 1108110790 /nfs/dbraw/zinc/11/07/90/1108110790.db2.gz GGXVZPGOKYEDDQ-ONGXEEELSA-N 0 1 319.796 3.040 20 30 DGEDMN C#CCN1CCC(OC(=O)c2[nH]c3ccccc3c2Cl)CC1 ZINC001263620965 1108117387 /nfs/dbraw/zinc/11/73/87/1108117387.db2.gz KBPZTGLWRAVIFZ-UHFFFAOYSA-N 0 1 316.788 3.076 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2nc(C(C)C)oc2C)C1 ZINC001323920346 1108120061 /nfs/dbraw/zinc/12/00/61/1108120061.db2.gz CFZJYDXNIFPCMD-CYBMUJFWSA-N 0 1 319.449 3.217 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(Cl)cc2)C1 ZINC001324016664 1108148015 /nfs/dbraw/zinc/14/80/15/1108148015.db2.gz DNAQXAYFTPVJMJ-CYBMUJFWSA-N 0 1 306.837 3.451 20 30 DGEDMN C=C[C@H](COC)N[C@@H](C)c1ccc(Br)c(F)c1 ZINC001324022838 1108149652 /nfs/dbraw/zinc/14/96/52/1108149652.db2.gz SUMWNVCXTRVFCR-GXSJLCMTSA-N 0 1 302.187 3.440 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)Cc2ccc(F)cc2)C1 ZINC001324029326 1108151596 /nfs/dbraw/zinc/15/15/96/1108151596.db2.gz MZHBLFMWYURQBK-OAHLLOKOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001324030727 1108153103 /nfs/dbraw/zinc/15/31/03/1108153103.db2.gz MALWFJZCMUUSNR-KRWDZBQOSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCc1ccc(C)cc1 ZINC001263808798 1108217413 /nfs/dbraw/zinc/21/74/13/1108217413.db2.gz DLJIGBWVIHJNOU-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)CC)C1CCN(C[C@@H](F)CC)CC1 ZINC001324387315 1108272754 /nfs/dbraw/zinc/27/27/54/1108272754.db2.gz SHEKNTMKFLRAEN-MDJJKAFGSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)CCc2ccoc2)CC1 ZINC001319019336 1108280605 /nfs/dbraw/zinc/28/06/05/1108280605.db2.gz JLCGKAQNZKHQAY-UHFFFAOYSA-N 0 1 324.852 3.183 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(CC)CC)C1 ZINC001263882202 1108281480 /nfs/dbraw/zinc/28/14/80/1108281480.db2.gz FMLTYAABCBSJBN-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1cccc(F)c1 ZINC001319132109 1108327259 /nfs/dbraw/zinc/32/72/59/1108327259.db2.gz HSSHYXAEQUKPTP-XJKSGUPXSA-N 0 1 324.827 3.262 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2cccc(Cl)c2)[C@@H]1C ZINC001264139393 1108396933 /nfs/dbraw/zinc/39/69/33/1108396933.db2.gz MJECGVNBXMKZSC-PBHICJAKSA-N 0 1 318.848 3.223 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC1CCCCC1 ZINC001264179164 1108413857 /nfs/dbraw/zinc/41/38/57/1108413857.db2.gz KWUGZPAEUAWSGZ-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001319402102 1108447707 /nfs/dbraw/zinc/44/77/07/1108447707.db2.gz DMIZIDNDVQGKPX-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H](C)CNCc1cccc(F)c1Cl ZINC001319447632 1108473278 /nfs/dbraw/zinc/47/32/78/1108473278.db2.gz BNBWILHVNBPNMM-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN N#Cc1cccnc1NCCNCc1cc(Cl)ccc1Cl ZINC001319454302 1108476844 /nfs/dbraw/zinc/47/68/44/1108476844.db2.gz OHVDJRDFULUFRF-UHFFFAOYSA-N 0 1 321.211 3.462 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2CCOC(C)C)CCCC1 ZINC001264382956 1108496253 /nfs/dbraw/zinc/49/62/53/1108496253.db2.gz SHGUHYHHWDJSAH-QGZVFWFLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCCc1ccc(C)cc1 ZINC001264382697 1108496542 /nfs/dbraw/zinc/49/65/42/1108496542.db2.gz NWHHRGGQDRQIPX-LJQANCHMSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001264399795 1108506829 /nfs/dbraw/zinc/50/68/29/1108506829.db2.gz LYMXQSZQKZWMFR-DTVTURNOSA-N 0 1 305.249 3.002 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CCNCc1nccs1 ZINC001264509277 1108560184 /nfs/dbraw/zinc/56/01/84/1108560184.db2.gz RLSXCCBOWSEHLI-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC2CCCCC2)C1 ZINC001325042805 1108565393 /nfs/dbraw/zinc/56/53/93/1108565393.db2.gz NZDRFZPMJYCACY-HNNXBMFYSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1coc(C)c1 ZINC001264548484 1108569315 /nfs/dbraw/zinc/56/93/15/1108569315.db2.gz QROUVNGMVZNRNF-ABAIWWIYSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)CCCC)C1 ZINC001325051294 1108570475 /nfs/dbraw/zinc/57/04/75/1108570475.db2.gz OKCFVRKXTQTZIM-ZIAGYGMSSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCOCC[N@H+](C)Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC001319656135 1108579517 /nfs/dbraw/zinc/57/95/17/1108579517.db2.gz LNBJTNDLKMYTAC-UHFFFAOYSA-N 0 1 322.408 3.021 20 30 DGEDMN C#CCOCCN(C)Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC001319656135 1108579526 /nfs/dbraw/zinc/57/95/26/1108579526.db2.gz LNBJTNDLKMYTAC-UHFFFAOYSA-N 0 1 322.408 3.021 20 30 DGEDMN Cc1cccc(CN2CCCC[C@H]2C(=O)NCC(C)(C)C#N)c1 ZINC001325150989 1108584034 /nfs/dbraw/zinc/58/40/34/1108584034.db2.gz NVRNNAPTOBUGTA-KRWDZBQOSA-N 0 1 313.445 3.016 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)[C@@H]1C ZINC001264698896 1108625028 /nfs/dbraw/zinc/62/50/28/1108625028.db2.gz VUCQZLYRRIWNOV-QAPCUYQASA-N 0 1 316.420 3.017 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](CC)CC(C)C ZINC001264743914 1108642674 /nfs/dbraw/zinc/64/26/74/1108642674.db2.gz ATGMOTHRJNLMGB-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(Cl)cc1 ZINC001264745431 1108643544 /nfs/dbraw/zinc/64/35/44/1108643544.db2.gz FQGNIWCIDPWKHG-KRWDZBQOSA-N 0 1 318.848 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1occc1C ZINC001319834694 1108658089 /nfs/dbraw/zinc/65/80/89/1108658089.db2.gz HINZLBPMSXDPDY-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN C[C@@H](C(=O)NCCCN(C)CC#Cc1ccccc1)C(C)(C)C ZINC001265001086 1108695794 /nfs/dbraw/zinc/69/57/94/1108695794.db2.gz NKUSNDQTUPKFGU-KRWDZBQOSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2sc(C)nc2C)C1 ZINC001265215352 1108782375 /nfs/dbraw/zinc/78/23/75/1108782375.db2.gz YMFSYBXZHCMKIZ-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001265285768 1108805681 /nfs/dbraw/zinc/80/56/81/1108805681.db2.gz HGWVIWWLUNBLGR-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001265284440 1108806181 /nfs/dbraw/zinc/80/61/81/1108806181.db2.gz PSZQYUAMGHQYNE-QZTJIDSGSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(Cc3ccccc3)CCC2)C1 ZINC001265287486 1108807716 /nfs/dbraw/zinc/80/77/16/1108807716.db2.gz BAEMGONURTVJDR-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccccc2F)CC1 ZINC001265335818 1108831632 /nfs/dbraw/zinc/83/16/32/1108831632.db2.gz ICXSNPDHXOITIJ-UHFFFAOYSA-N 0 1 316.420 3.166 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCC2(C)CC2)C1 ZINC001265396809 1108861758 /nfs/dbraw/zinc/86/17/58/1108861758.db2.gz LRGXCNSUSPAITH-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc[nH]1 ZINC001265401494 1108863870 /nfs/dbraw/zinc/86/38/70/1108863870.db2.gz WJAWNBGBLKSZGL-IYBDPMFKSA-N 0 1 303.450 3.274 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncoc1C(C)C ZINC001265414989 1108867209 /nfs/dbraw/zinc/86/72/09/1108867209.db2.gz UAFUVYRREWCZAB-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(Cl)C[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)/C=C(\C)CC)C1 ZINC001265523918 1108899289 /nfs/dbraw/zinc/89/92/89/1108899289.db2.gz QWPVWXPUXXZVFF-ROCUKZSVSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)/C=C(\C)CC)C1 ZINC001265523918 1108899294 /nfs/dbraw/zinc/89/92/94/1108899294.db2.gz QWPVWXPUXXZVFF-ROCUKZSVSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1cncc(C)c1 ZINC001265647378 1108947754 /nfs/dbraw/zinc/94/77/54/1108947754.db2.gz OCXHAPPICGKXQH-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCCCN1CCC(F)(F)F ZINC001265651243 1108951037 /nfs/dbraw/zinc/95/10/37/1108951037.db2.gz JLSSAFHRZNGUNS-CQSZACIVSA-N 0 1 318.383 3.103 20 30 DGEDMN CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001265655436 1108952854 /nfs/dbraw/zinc/95/28/54/1108952854.db2.gz HYZBUBVRGYYQGS-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001265658295 1108955099 /nfs/dbraw/zinc/95/50/99/1108955099.db2.gz VXEYCNCKQNWDMN-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCCCC1(C)C ZINC001265660034 1108955963 /nfs/dbraw/zinc/95/59/63/1108955963.db2.gz MDPALOSCEFTGGC-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001265816570 1109013799 /nfs/dbraw/zinc/01/37/99/1109013799.db2.gz XZVYLJYLRMPDQJ-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cccc(Cl)n2)CCC1 ZINC001265821431 1109015237 /nfs/dbraw/zinc/01/52/37/1109015237.db2.gz UCHOYVPPCICPQE-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=CCC1(C(=O)NCCCN(C)Cc2cc(C)no2)CCCC1 ZINC001265986193 1109056883 /nfs/dbraw/zinc/05/68/83/1109056883.db2.gz ZYBPSAAJTFJAMW-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@]1(C)CCC[C@@H]1C ZINC001266080657 1109083037 /nfs/dbraw/zinc/08/30/37/1109083037.db2.gz PHVMQOUVQQZYIB-BBRMVZONSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CCN(C[C@@H](F)CC)CC2)CCC1 ZINC001266190410 1109121306 /nfs/dbraw/zinc/12/13/06/1109121306.db2.gz WXJFWFQKNSTSKC-HNNXBMFYSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001266240461 1109137371 /nfs/dbraw/zinc/13/73/71/1109137371.db2.gz VVWNTBQCTJFYRG-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCCC[C@H]1C ZINC001280333828 1109186869 /nfs/dbraw/zinc/18/68/69/1109186869.db2.gz OARZMYUYVFYJDK-VQIMIIECSA-N 0 1 312.457 3.194 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCCC[C@@H]1[C@H]1CCCN(CCF)C1 ZINC001280888939 1109270248 /nfs/dbraw/zinc/27/02/48/1109270248.db2.gz FPAIQDNJPMTYFA-DLBZAZTESA-N 0 1 322.468 3.099 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)CC(CC)CC)CO2 ZINC001280962203 1109279129 /nfs/dbraw/zinc/27/91/29/1109279129.db2.gz OTCDBJOFWMFNIO-RDJZCZTQSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(C)C(C)C)cc2C1 ZINC001281293248 1109324032 /nfs/dbraw/zinc/32/40/32/1109324032.db2.gz NGJKWBGRTZFEQI-UHFFFAOYSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCCC(C)C)C[C@@H]1C ZINC001281457728 1109358350 /nfs/dbraw/zinc/35/83/50/1109358350.db2.gz QLCSKXRMPHGGNM-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cccnc2)CC1(C)C ZINC001281553157 1109379256 /nfs/dbraw/zinc/37/92/56/1109379256.db2.gz NXCJUXCSSSCVPW-MRXNPFEDSA-N 0 1 315.461 3.011 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(CCC)s1 ZINC001281939026 1109417035 /nfs/dbraw/zinc/41/70/35/1109417035.db2.gz AMRWEFZQXCZEQK-OAHLLOKOSA-N 0 1 318.486 3.260 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1oc2c(cccc2C)c1C ZINC001281966722 1109424396 /nfs/dbraw/zinc/42/43/96/1109424396.db2.gz BUHJXHQVKYSHOV-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1c(C)onc1CC ZINC001282133162 1109455861 /nfs/dbraw/zinc/45/58/61/1109455861.db2.gz KLODNKZWKOXDPZ-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@H](C)NCc1c(C)onc1CC ZINC001282133164 1109456112 /nfs/dbraw/zinc/45/61/12/1109456112.db2.gz KLODNKZWKOXDPZ-QWHCGFSZSA-N 0 1 321.465 3.131 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001282184832 1109464897 /nfs/dbraw/zinc/46/48/97/1109464897.db2.gz JXXCTVKCHBWCMG-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)[C@H](C)c1ccccc1Cl ZINC001282385379 1109499056 /nfs/dbraw/zinc/49/90/56/1109499056.db2.gz WRHLGEIBEOTKDL-ZIAGYGMSSA-N 0 1 318.848 3.251 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(CC)CCCC1 ZINC001282678032 1109550452 /nfs/dbraw/zinc/55/04/52/1109550452.db2.gz MOFJNBKUWIVGJE-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(F)ccc1C ZINC001283520509 1109647276 /nfs/dbraw/zinc/64/72/76/1109647276.db2.gz MCBGNWLPSNJSHA-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C[C@@H](CN(C)C(=O)CC(C)(C)C)NCc1cc(C#N)ccc1F ZINC001283571443 1109655304 /nfs/dbraw/zinc/65/53/04/1109655304.db2.gz IQWOFUAZJSDZBE-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1ccc(Cl)cc1F ZINC001283607592 1109659824 /nfs/dbraw/zinc/65/98/24/1109659824.db2.gz GOYGNUYHWNOJKD-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cscc1C(F)F ZINC001283611335 1109660439 /nfs/dbraw/zinc/66/04/39/1109660439.db2.gz ADGJVSWKYDTVID-SECBINFHSA-N 0 1 322.808 3.488 20 30 DGEDMN CCCC1(C(=O)N(C)C[C@@H](C)NCc2ccccc2C#N)CC1 ZINC001283664192 1109668674 /nfs/dbraw/zinc/66/86/74/1109668674.db2.gz VRKYTXUFUHSFNV-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001283678861 1109672820 /nfs/dbraw/zinc/67/28/20/1109672820.db2.gz JHEKVZCXJUSKSW-RBSFLKMASA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C[C@@H]1C ZINC001283986540 1109711091 /nfs/dbraw/zinc/71/10/91/1109711091.db2.gz NSKHVMRGAWNZQC-XJKSGUPXSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCC=CCCC1)C(C)C ZINC001284538195 1109807527 /nfs/dbraw/zinc/80/75/27/1109807527.db2.gz SMBIEXYYGYBZEI-SFHVURJKSA-N 0 1 304.478 3.315 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(C(C)C)n1)C(C)C ZINC001284543006 1109808128 /nfs/dbraw/zinc/80/81/28/1109808128.db2.gz BHQMHQNBTLEBLT-UHFFFAOYSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](C(F)(F)F)[C@H](NC/C=C\Cl)C1 ZINC001284731952 1109852013 /nfs/dbraw/zinc/85/20/13/1109852013.db2.gz UDMZEFVLRRIVCU-PRTUSXBOSA-N 0 1 324.774 3.074 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001284750550 1109854640 /nfs/dbraw/zinc/85/46/40/1109854640.db2.gz APFWBIDFLKNCGW-NNGSBXSVSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CCCCC)c(F)c1 ZINC001284993820 1109889319 /nfs/dbraw/zinc/88/93/19/1109889319.db2.gz KCZMTDMUHMCTTL-UHFFFAOYSA-N 0 1 304.409 3.135 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCCN3CCSC[C@@H]23)CCCCC1 ZINC001288802746 1110196220 /nfs/dbraw/zinc/19/62/20/1110196220.db2.gz MBJVZGUQMKQYNN-HOTGVXAUSA-N 0 1 322.518 3.209 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001317459434 1111232101 /nfs/dbraw/zinc/23/21/01/1111232101.db2.gz QAIYHAPDZPSJSR-LBPRGKRZSA-N 0 1 310.388 3.286 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@@H](C(=O)OC)C1(OC)CCC1 ZINC001307471392 1111303245 /nfs/dbraw/zinc/30/32/45/1111303245.db2.gz PHFKYDQEOWHOMO-INIZCTEOSA-N 0 1 309.450 3.165 20 30 DGEDMN N#Cc1ccnc(CN[C@H](c2cccs2)C2(CO)CCC2)c1 ZINC001307844875 1111345165 /nfs/dbraw/zinc/34/51/65/1111345165.db2.gz PFAAKYSZQKVBJL-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN C#CC[C@H]1CCN(Cc2cc([N+](=O)[O-])ccc2Br)C1 ZINC001308066526 1111373760 /nfs/dbraw/zinc/37/37/60/1111373760.db2.gz BTBRZOMQUIMORU-NSHDSACASA-N 0 1 323.190 3.203 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc2c(c1)CCCO2 ZINC001308271822 1111408350 /nfs/dbraw/zinc/40/83/50/1111408350.db2.gz JFOTXUGKWDHMSK-UHFFFAOYSA-N 0 1 307.393 3.313 20 30 DGEDMN C[C@](O)(CNCc1cccc(F)c1C#N)c1cccc(Cl)c1 ZINC001308665221 1111457392 /nfs/dbraw/zinc/45/73/92/1111457392.db2.gz YXRSKDVGOGBKSA-KRWDZBQOSA-N 0 1 318.779 3.348 20 30 DGEDMN C#C[C@@H](N[C@@H](C)Cc1ccno1)c1ccc(Br)cc1 ZINC001308783693 1111475010 /nfs/dbraw/zinc/47/50/10/1111475010.db2.gz CQBOWUJKOHQHSH-XHDPSFHLSA-N 0 1 319.202 3.332 20 30 DGEDMN C=C(CC)CNC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC001312855785 1111677036 /nfs/dbraw/zinc/67/70/36/1111677036.db2.gz BHIIQQJOHMNZSQ-SFHVURJKSA-N 0 1 315.461 3.259 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1F ZINC001317042901 1111742585 /nfs/dbraw/zinc/74/25/85/1111742585.db2.gz NRSHZSKZMJBGEA-BETUJISGSA-N 0 1 308.422 3.142 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001316791923 1111768202 /nfs/dbraw/zinc/76/82/02/1111768202.db2.gz FWRZBXZPVYKTJM-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000272871357 210262455 /nfs/dbraw/zinc/26/24/55/210262455.db2.gz OTCYGXIPTGHVHV-AWEZNQCLSA-N 0 1 321.442 3.301 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCC[C@@H](C)C2)c1 ZINC000078823547 185116043 /nfs/dbraw/zinc/11/60/43/185116043.db2.gz KTUPUWIHHIPGHI-OAHLLOKOSA-N 0 1 313.445 3.282 20 30 DGEDMN C[C@@H](c1ccccc1Cl)N(C)CCC(=O)N(C)CCC#N ZINC000062014221 432003111 /nfs/dbraw/zinc/00/31/11/432003111.db2.gz RCIJWALALYROOP-ZDUSSCGKSA-N 0 1 307.825 3.095 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)NCC(C)(C)CCC#N)n[nH]1 ZINC000358747250 529432139 /nfs/dbraw/zinc/43/21/39/529432139.db2.gz ZGIAAGGKEDFPRG-VXGBXAGGSA-N 0 1 320.441 3.008 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1ccc(OC)c(C)c1 ZINC000103144627 432004831 /nfs/dbraw/zinc/00/48/31/432004831.db2.gz RCGRCEQPHWEDNI-INIZCTEOSA-N 0 1 316.445 3.025 20 30 DGEDMN CC[C@H](NCC(=O)NC1(C#N)CCC1)c1ccc(Cl)s1 ZINC000347242956 529587885 /nfs/dbraw/zinc/58/78/85/529587885.db2.gz XZYMGADUGPSVLA-JTQLQIEISA-N 0 1 311.838 3.005 20 30 DGEDMN CCc1cncc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000434555089 529668794 /nfs/dbraw/zinc/66/87/94/529668794.db2.gz GEFGPTTYHXPCDC-CQSZACIVSA-N 0 1 304.353 3.319 20 30 DGEDMN CCc1nc(C)c(C(=O)C(C#N)c2nc3ccccc3n2C)s1 ZINC000353585560 529721122 /nfs/dbraw/zinc/72/11/22/529721122.db2.gz AMDOGKOABVTCTC-NSHDSACASA-N 0 1 324.409 3.391 20 30 DGEDMN C[N@@H+]1CCCC(C)(C)[C@@H]1CNC(=O)c1c(F)ccc([O-])c1F ZINC000330906250 530051460 /nfs/dbraw/zinc/05/14/60/530051460.db2.gz ZUVMMDWBTDLDAX-LBPRGKRZSA-N 0 1 312.360 3.095 20 30 DGEDMN C[N@H+]1CCCC(C)(C)[C@@H]1CNC(=O)c1c(F)ccc([O-])c1F ZINC000330906250 530051461 /nfs/dbraw/zinc/05/14/61/530051461.db2.gz ZUVMMDWBTDLDAX-LBPRGKRZSA-N 0 1 312.360 3.095 20 30 DGEDMN CCN1CCCC[C@@H]1C(=O)Nc1cccc(OCCCC#N)c1 ZINC000104283439 432016372 /nfs/dbraw/zinc/01/63/72/432016372.db2.gz QJYGNEJLRFUMNF-QGZVFWFLSA-N 0 1 315.417 3.182 20 30 DGEDMN CCN(CCC#N)C(=O)CNC1(c2ccc(Cl)cc2)CCC1 ZINC000104708245 432017124 /nfs/dbraw/zinc/01/71/24/432017124.db2.gz TUDGSGXWYHURQM-UHFFFAOYSA-N 0 1 319.836 3.071 20 30 DGEDMN CN(CC(=O)Nc1sccc1C#N)C1CCC(F)(F)CC1 ZINC000286942017 219236565 /nfs/dbraw/zinc/23/65/65/219236565.db2.gz LYRKXJYQRHGAFL-UHFFFAOYSA-N 0 1 313.373 3.068 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)C=Cc2ccc(C#N)cc2)n[nH]1 ZINC000277174504 213345232 /nfs/dbraw/zinc/34/52/32/213345232.db2.gz YHKQFMQKKZZZBU-MZWKFTRPSA-N 0 1 323.400 3.080 20 30 DGEDMN CC(C)C[C@H](CNC(=O)OC(C)(C)C)NCc1ccc(C#N)o1 ZINC000174377246 198326311 /nfs/dbraw/zinc/32/63/11/198326311.db2.gz FAIPTLXUNKMRPH-CYBMUJFWSA-N 0 1 321.421 3.180 20 30 DGEDMN COc1ccc(S(=O)(=O)Nc2ccc(C#N)cc2)c(Cl)c1 ZINC000074936155 191391686 /nfs/dbraw/zinc/39/16/86/191391686.db2.gz GSCYNVCQKIOGJQ-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C[C@H]1C[C@H](C(=O)Nc2ccc3nc(N(C)C)[nH]c3c2)CCC1=O ZINC000328743537 291111418 /nfs/dbraw/zinc/11/14/18/291111418.db2.gz JZYUKHZNLWVHBW-WDEREUQCSA-N 0 1 314.389 3.222 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)NC(CC)CC ZINC000411165346 283293202 /nfs/dbraw/zinc/29/32/02/283293202.db2.gz JRWODXUGWJRNES-HNNXBMFYSA-N 0 1 315.461 3.464 20 30 DGEDMN C#Cc1cccc(NC(=O)N[C@H](C)c2n[nH]c([C@@H](C)CC)n2)c1 ZINC000276737986 213077026 /nfs/dbraw/zinc/07/70/26/213077026.db2.gz AMQZRIDKZRDNKN-NWDGAFQWSA-N 0 1 311.389 3.182 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000179954025 199099208 /nfs/dbraw/zinc/09/92/08/199099208.db2.gz RORSULFRRKXMAJ-INIZCTEOSA-N 0 1 302.418 3.035 20 30 DGEDMN Cc1ccc([C@H](C)N[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)o1 ZINC000180234956 432081909 /nfs/dbraw/zinc/08/19/09/432081909.db2.gz CAFHBPKUOJJUAI-YOEHRIQHSA-N 0 1 323.396 3.306 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000187665420 200142086 /nfs/dbraw/zinc/14/20/86/200142086.db2.gz ZGMSCJDGXRZRGE-BBRMVZONSA-N 0 1 315.417 3.218 20 30 DGEDMN C[C@H]1CCCCN(CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000187920340 200176369 /nfs/dbraw/zinc/17/63/69/200176369.db2.gz VOZJTOZIMFWHDI-LBPRGKRZSA-N 0 1 305.809 3.272 20 30 DGEDMN CCOc1ccccc1CN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292377539 223033468 /nfs/dbraw/zinc/03/34/68/223033468.db2.gz YWVOEZMDKJAXTG-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN N#Cc1ccsc1NC(=O)CNC1(c2ccccc2)CCC1 ZINC000170907346 432086430 /nfs/dbraw/zinc/08/64/30/432086430.db2.gz ZQEJQAXWRCIGOI-UHFFFAOYSA-N 0 1 311.410 3.227 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCN(Cc3cccc(Cl)c3)CC2)C1 ZINC000429707086 238058586 /nfs/dbraw/zinc/05/85/86/238058586.db2.gz FVVPCKLIDMVFHE-RHSMWYFYSA-N 0 1 303.837 3.150 20 30 DGEDMN COCCN(Cc1cccc(C#N)c1F)[C@H]1CCCSC1 ZINC000433183392 238149444 /nfs/dbraw/zinc/14/94/44/238149444.db2.gz RHRQLQPJHZRWEL-HNNXBMFYSA-N 0 1 308.422 3.041 20 30 DGEDMN COCCCCCN1CCN(c2ccc(C#N)cc2Cl)CC1 ZINC000444770057 239349029 /nfs/dbraw/zinc/34/90/29/239349029.db2.gz YDPCEZDYDMFDII-UHFFFAOYSA-N 0 1 321.852 3.150 20 30 DGEDMN COC(=O)c1ccc(CNC2(c3cccc(C#N)c3)CC2)s1 ZINC000271825225 209247457 /nfs/dbraw/zinc/24/74/57/209247457.db2.gz SSYBXARQOKEASR-UHFFFAOYSA-N 0 1 312.394 3.185 20 30 DGEDMN CCCn1nc(C)c(CNCc2ccc(C#N)c(F)c2)c1C ZINC000449733530 240389096 /nfs/dbraw/zinc/38/90/96/240389096.db2.gz IIEMPGHODJBXIA-UHFFFAOYSA-N 0 1 300.381 3.211 20 30 DGEDMN CCO[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@@H]1C ZINC000451523859 241156390 /nfs/dbraw/zinc/15/63/90/241156390.db2.gz DLSCLKRYMYPMRS-SWLSCSKDSA-N 0 1 324.371 3.407 20 30 DGEDMN C[C@@H]1CCC(C)(C)N1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000452008918 241295241 /nfs/dbraw/zinc/29/52/41/241295241.db2.gz PJXQWZRCMAVUOX-LLVKDONJSA-N 0 1 305.809 3.413 20 30 DGEDMN Cc1ccc(CN(C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cc1C ZINC000452037941 241303937 /nfs/dbraw/zinc/30/39/37/241303937.db2.gz CSFPRCOYBGAOFR-LPHOPBHVSA-N 0 1 315.461 3.178 20 30 DGEDMN C#CC[C@@H](NCc1c(C)nc2sc(C)nn21)c1ccccc1 ZINC000271788307 209215013 /nfs/dbraw/zinc/21/50/13/209215013.db2.gz GESRVMZMHFCYHX-OAHLLOKOSA-N 0 1 310.426 3.262 20 30 DGEDMN CC(C)[C@@H](C(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1)N(C)C ZINC000456117143 242353533 /nfs/dbraw/zinc/35/35/33/242353533.db2.gz QNZIVINSHJBPGV-INIZCTEOSA-N 0 1 317.433 3.260 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCN(c2cccc(O)c2)CC1 ZINC000275092292 212116360 /nfs/dbraw/zinc/11/63/60/212116360.db2.gz MAKWZPAVWSAGEH-HNNXBMFYSA-N 0 1 307.397 3.147 20 30 DGEDMN C=CCNC(=O)CN1CCC(CC)(c2ccc(Cl)cc2)CC1 ZINC000470865983 244190303 /nfs/dbraw/zinc/19/03/03/244190303.db2.gz KBIMDCDRHKNFPR-UHFFFAOYSA-N 0 1 320.864 3.386 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CCN(c2cccc(O)c2)CC1 ZINC000275056598 212096801 /nfs/dbraw/zinc/09/68/01/212096801.db2.gz GKFJKEKNALQUOA-HNNXBMFYSA-N 0 1 307.397 3.147 20 30 DGEDMN N#Cc1csc(CN2CCC(OC[C@@H]3CCCCO3)CC2)c1 ZINC000093842466 193316875 /nfs/dbraw/zinc/31/68/75/193316875.db2.gz DSVIOTKLPZJYEZ-INIZCTEOSA-N 0 1 320.458 3.170 20 30 DGEDMN C[C@H](N[C@H](C[S@](C)=O)c1ccccc1)c1ccc(C#N)cc1 ZINC000183159765 299410201 /nfs/dbraw/zinc/41/02/01/299410201.db2.gz YBXYQSPRCDTRFB-OHOGDBNVSA-N 0 1 312.438 3.329 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(C)cc2)CC1 ZINC000488186133 245158362 /nfs/dbraw/zinc/15/83/62/245158362.db2.gz RLHJVZXOOKIWIE-UHFFFAOYSA-N 0 1 300.446 3.499 20 30 DGEDMN COC(=O)c1ccc(CN[C@H](C)c2ccc(C#N)cc2)s1 ZINC000271727823 209161371 /nfs/dbraw/zinc/16/13/71/209161371.db2.gz ZFDNNXYXCAYTGF-LLVKDONJSA-N 0 1 300.383 3.257 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2cccc3n[nH]cc32)cc1 ZINC000489949972 245364945 /nfs/dbraw/zinc/36/49/45/245364945.db2.gz UZBAIFGERQDQOI-KRWDZBQOSA-N 0 1 304.353 3.120 20 30 DGEDMN C=CCOc1ccc(CNCc2cccc3c2OCCO3)cc1 ZINC000264974223 204316895 /nfs/dbraw/zinc/31/68/95/204316895.db2.gz RXAWYIRXPDBTGN-UHFFFAOYSA-N 0 1 311.381 3.312 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C1CC1)C1CCCC1)c1ccccc1 ZINC000271719362 209154888 /nfs/dbraw/zinc/15/48/88/209154888.db2.gz PRIFJDIBTHWNEP-IBGZPJMESA-N 0 1 310.441 3.274 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@H](C)c1ccccc1)c1ccccc1 ZINC000271674145 209117326 /nfs/dbraw/zinc/11/73/26/209117326.db2.gz HDGLWUCIQBSWGV-APWZRJJASA-N 0 1 306.409 3.218 20 30 DGEDMN C[C@H](NCC(=O)N(C)C1CCC(C)CC1)c1ccc(C#N)cc1 ZINC000271669666 209113022 /nfs/dbraw/zinc/11/30/22/209113022.db2.gz MVOKUDKKBBWEDN-CSLYMUCUSA-N 0 1 313.445 3.246 20 30 DGEDMN CN(C)C[C@@H](NCc1ccc(C#N)o1)c1ccc(Cl)cc1 ZINC000282907952 217316554 /nfs/dbraw/zinc/31/65/54/217316554.db2.gz USVYDGPXLFIKFE-MRXNPFEDSA-N 0 1 303.793 3.197 20 30 DGEDMN N#Cc1ccc(CN2CCN(Cc3ccccc3)CC2)c(F)c1 ZINC000023249224 182354578 /nfs/dbraw/zinc/35/45/78/182354578.db2.gz SOSKVJJGCVIUQD-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@@H](NCC1(C#N)CC1)C1CCCCC1 ZINC000495066968 246229708 /nfs/dbraw/zinc/22/97/08/246229708.db2.gz NEBKQVHFVUHKTD-OAHLLOKOSA-N 0 1 321.465 3.353 20 30 DGEDMN C[C@H](CNC(=O)OC(C)(C)C)N[C@@H](C)c1ccc(C#N)cc1F ZINC000495377920 246264155 /nfs/dbraw/zinc/26/41/55/246264155.db2.gz VPGYJKMJQLUDRR-NEPJUHHUSA-N 0 1 321.396 3.261 20 30 DGEDMN C[C@H](N[C@@H]1CCN(c2sccc2C#N)C1=O)c1ccccc1 ZINC000267737230 206250230 /nfs/dbraw/zinc/25/02/30/206250230.db2.gz YDLIXRZTLPNVCE-SWLSCSKDSA-N 0 1 311.410 3.076 20 30 DGEDMN C=C(Cl)COc1ccc([C@@H](C)NC[C@H](O)C(F)(F)F)cc1 ZINC000268806384 432138578 /nfs/dbraw/zinc/13/85/78/432138578.db2.gz COSNJRLQFHZMNZ-MFKMUULPSA-N 0 1 323.742 3.392 20 30 DGEDMN C=CCOc1ccc(CN[C@H]2CCCc3c[nH]nc32)cc1OC ZINC000268861300 207028193 /nfs/dbraw/zinc/02/81/93/207028193.db2.gz SCGZPJAKRWJGBR-HNNXBMFYSA-N 0 1 313.401 3.150 20 30 DGEDMN CCN(C(=O)c1ccc2[nH]nnc2c1)[C@H](C)c1ccc(C#N)cc1 ZINC000065839811 184381057 /nfs/dbraw/zinc/38/10/57/184381057.db2.gz LQWAZYGAIZKAOH-GFCCVEGCSA-N 0 1 319.368 3.053 20 30 DGEDMN COC(=O)CC[C@@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC000106248178 252625377 /nfs/dbraw/zinc/62/53/77/252625377.db2.gz AYDHDVCYNHQCQK-GOSISDBHSA-N 0 1 308.381 3.342 20 30 DGEDMN CCN(Cc1cc(C(N)=O)cs1)[C@@H](C)c1ccc(C#N)cc1 ZINC000119879096 195054380 /nfs/dbraw/zinc/05/43/80/195054380.db2.gz XGYQUYCPKZDMDZ-LBPRGKRZSA-N 0 1 313.426 3.302 20 30 DGEDMN CO[C@@H]1C[C@@H](NCc2ccc(Br)cc2C#N)C1(C)C ZINC000336813602 253002460 /nfs/dbraw/zinc/00/24/60/253002460.db2.gz VBCCUBXLVVHGMM-ZIAGYGMSSA-N 0 1 323.234 3.224 20 30 DGEDMN CSc1ccccc1[C@@H](C)N[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000336969783 533058394 /nfs/dbraw/zinc/05/83/94/533058394.db2.gz NZKWFOJQQJQKKM-OLZOCXBDSA-N 0 1 317.458 3.010 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2c[nH]nc2-c2ccccc2F)cc1O ZINC000338213695 253244012 /nfs/dbraw/zinc/24/40/12/253244012.db2.gz LUHHTWGQJCIKGN-UHFFFAOYSA-N 0 1 322.299 3.045 20 30 DGEDMN CC(=O)c1cccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000338344103 253270044 /nfs/dbraw/zinc/27/00/44/253270044.db2.gz CQCKPKYAMDPDEZ-ZDUSSCGKSA-N 0 1 321.380 3.050 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H](C)c2ccc(C#N)cc2)c(F)c1 ZINC000338363142 253273926 /nfs/dbraw/zinc/27/39/26/253273926.db2.gz PDOHFFFPYKZSES-LBPRGKRZSA-N 0 1 312.344 3.335 20 30 DGEDMN Cc1nc2cccc(C(=O)N[C@H](C)c3ccc(C#N)cc3)c2[nH]1 ZINC000338441367 253288134 /nfs/dbraw/zinc/28/81/34/253288134.db2.gz QUTVUDGYJVPDEZ-LLVKDONJSA-N 0 1 304.353 3.234 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@H](C)c1cccs1)c1ccccc1 ZINC000271662542 209105198 /nfs/dbraw/zinc/10/51/98/209105198.db2.gz DTKOICOQKTUUET-ZBFHGGJFSA-N 0 1 312.438 3.280 20 30 DGEDMN C=CCOc1cccc(NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)c1 ZINC000158253019 197256957 /nfs/dbraw/zinc/25/69/57/197256957.db2.gz NAJCUQQHHDPSMO-GFCCVEGCSA-N 0 1 314.389 3.036 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000158228453 197255029 /nfs/dbraw/zinc/25/50/29/197255029.db2.gz ZEQFOFZLEBFQDG-AWEZNQCLSA-N 0 1 312.417 3.047 20 30 DGEDMN COCC1(C#N)CCN(CCOc2cccc3ccccc32)CC1 ZINC000341987979 533111892 /nfs/dbraw/zinc/11/18/92/533111892.db2.gz JFZXWBYDZSQYTA-UHFFFAOYSA-N 0 1 324.424 3.471 20 30 DGEDMN N#CC1CCN(CCc2nc(-c3ccc(Cl)cc3)no2)CC1 ZINC000157677595 197207320 /nfs/dbraw/zinc/20/73/20/197207320.db2.gz FMXLDDPMXPZZGH-UHFFFAOYSA-N 0 1 316.792 3.168 20 30 DGEDMN N#Cc1cnc2ccccc2c1NCCc1ccc2cn[nH]c2c1 ZINC000343080394 254096162 /nfs/dbraw/zinc/09/61/62/254096162.db2.gz RLQWZINOTAQCEH-UHFFFAOYSA-N 0 1 313.364 3.059 20 30 DGEDMN C[C@H]1CN(CCCNc2cccc(Cl)c2C#N)C[C@H](C)O1 ZINC000344473761 254274765 /nfs/dbraw/zinc/27/47/65/254274765.db2.gz HNDXKDBJNVYRLY-STQMWFEESA-N 0 1 307.825 3.123 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C#N)c(C)c1)O[C@@H](C)C2 ZINC000443989930 533159649 /nfs/dbraw/zinc/15/96/49/533159649.db2.gz MXFYKKJNKORIBJ-AWEZNQCLSA-N 0 1 322.408 3.489 20 30 DGEDMN C#CC[C@@H](NCC(=O)NCc1sccc1C)c1ccccc1 ZINC000271648415 209092414 /nfs/dbraw/zinc/09/24/14/209092414.db2.gz ATICQJAIXZNBBA-MRXNPFEDSA-N 0 1 312.438 3.027 20 30 DGEDMN Cc1cc(CNCc2ccc(C#N)s2)cc(N2CCCC2)n1 ZINC000289826224 221252623 /nfs/dbraw/zinc/25/26/23/221252623.db2.gz NZAPLDNYHXQJKR-UHFFFAOYSA-N 0 1 312.442 3.213 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289908081 221307831 /nfs/dbraw/zinc/30/78/31/221307831.db2.gz NVKMZKYXNUBFRF-BLLLJJGKSA-N 0 1 300.793 3.416 20 30 DGEDMN C=C(C)[C@H]1OCC[C@H]1c1nc(Cc2nc3cccc(C)c3[nH]2)no1 ZINC000288898352 335058771 /nfs/dbraw/zinc/05/87/71/335058771.db2.gz BECVLUUJOQJODL-SJKOYZFVSA-N 0 1 324.384 3.294 20 30 DGEDMN COc1ccc(Br)c(CN[C@H]2CC[C@@H](C#N)C2)c1 ZINC000349813459 533260076 /nfs/dbraw/zinc/26/00/76/533260076.db2.gz OPIBMISDLYCLQY-PWSUYJOCSA-N 0 1 309.207 3.240 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CCSC(C)(C)CC1 ZINC000318134255 533338803 /nfs/dbraw/zinc/33/88/03/533338803.db2.gz NVDMKMHKCOHYFV-ZDUSSCGKSA-N 0 1 317.458 3.103 20 30 DGEDMN C[C@@H](NC1CC(C#N)(c2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000127899486 533330713 /nfs/dbraw/zinc/33/07/13/533330713.db2.gz KBAKAIAZHCSZMS-MYWSLZCHSA-N 0 1 319.408 3.227 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)[C@@H](C)C1 ZINC000441379365 533416002 /nfs/dbraw/zinc/41/60/02/533416002.db2.gz PCMAWXMNFONGRX-SMDDNHRTSA-N 0 1 310.344 3.159 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccnc1)C(=O)N(CCC#N)c1ccccc1 ZINC000173366962 533536097 /nfs/dbraw/zinc/53/60/97/533536097.db2.gz NGQVYGBHFCBSBU-HZPDHXFCSA-N 0 1 322.412 3.068 20 30 DGEDMN COc1cc(C(=O)C(C#N)c2nc3ccccc3s2)cc(C)n1 ZINC000337350338 533560961 /nfs/dbraw/zinc/56/09/61/533560961.db2.gz RYKWVQVRMRWCCO-LBPRGKRZSA-N 0 1 323.377 3.498 20 30 DGEDMN C[C@@H](O)CN(CC1(C#N)CC1)[C@H](C)Cc1ccc(Cl)cc1 ZINC000441235624 533655473 /nfs/dbraw/zinc/65/54/73/533655473.db2.gz SSBWCXAESZKBCB-ZIAGYGMSSA-N 0 1 306.837 3.258 20 30 DGEDMN CCN(CCOCC(F)(F)C(F)(F)F)CCC(F)(F)F ZINC000682525709 549334348 /nfs/dbraw/zinc/33/43/48/549334348.db2.gz MNPZHSAEBSEJAR-UHFFFAOYSA-N 0 1 317.220 3.475 20 30 DGEDMN C=CCN(Cc1cccs1)Cc1n[nH]c(C)c1C(=O)OCC ZINC000595289804 400117508 /nfs/dbraw/zinc/11/75/08/400117508.db2.gz UIGONFBRKDHHDR-UHFFFAOYSA-N 0 1 319.430 3.145 20 30 DGEDMN COCCN(CCOCc1ccccc1)Cc1ccc(C#N)cc1 ZINC000595296818 400122447 /nfs/dbraw/zinc/12/24/47/400122447.db2.gz LHSRAQFJHMZALK-UHFFFAOYSA-N 0 1 324.424 3.223 20 30 DGEDMN CCc1nc([C@@H](C)N2CC=C(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000595406623 400141000 /nfs/dbraw/zinc/14/10/00/400141000.db2.gz FDOINDCYVKQMDB-CYBMUJFWSA-N 0 1 307.401 3.089 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccc(C)s2)C(C)C)CC1 ZINC000067234043 400155078 /nfs/dbraw/zinc/15/50/78/400155078.db2.gz AEWOSBBHLLECIR-UHFFFAOYSA-N 0 1 318.486 3.139 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC3(CCC3)CC2)cc1Cl ZINC000586783348 400155367 /nfs/dbraw/zinc/15/53/67/400155367.db2.gz IBSMGNRKMUADRO-UHFFFAOYSA-N 0 1 317.820 3.416 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@H](c1cccnc1)C(C)(C)CO ZINC000595499501 400168991 /nfs/dbraw/zinc/16/89/91/400168991.db2.gz LCIPGPMBHFMDPW-GOSISDBHSA-N 0 1 309.413 3.111 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000595659996 400221660 /nfs/dbraw/zinc/22/16/60/400221660.db2.gz PTDRFOCBXCYPKC-CQSZACIVSA-N 0 1 317.389 3.130 20 30 DGEDMN C[C@@]12COC[C@]1(C)CN(Cc1ccc(C#N)cc1OC(F)F)C2 ZINC000595687489 400226791 /nfs/dbraw/zinc/22/67/91/400226791.db2.gz AUVIEDGBQCVWMC-CALCHBBNSA-N 0 1 322.355 3.018 20 30 DGEDMN Cc1cccc2c1ncc(C#N)c2NC[C@H](c1ccco1)N(C)C ZINC000610246548 400228185 /nfs/dbraw/zinc/22/81/85/400228185.db2.gz JCZPLUZDVJLJDB-MRXNPFEDSA-N 0 1 320.396 3.145 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CC[C@@H]2c2ccc(F)cc2)cc1 ZINC000595705806 400237669 /nfs/dbraw/zinc/23/76/69/400237669.db2.gz WESUEGNYYNJZNK-GOSISDBHSA-N 0 1 323.371 3.473 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)Nc1cccc(C#N)c1)c1cccc(C#N)c1 ZINC000610345890 400246209 /nfs/dbraw/zinc/24/62/09/400246209.db2.gz BRHBHLMYQSMSQE-KGLIPLIRSA-N 0 1 318.380 3.108 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N(C)Cc1ccccc1 ZINC000610345928 400246328 /nfs/dbraw/zinc/24/63/28/400246328.db2.gz CWFNUVAFYDFLNW-JKSUJKDBSA-N 0 1 321.424 3.256 20 30 DGEDMN Cc1ccc(CNCc2cn(CCC#N)nc2-c2ccncc2)o1 ZINC000601153266 400249189 /nfs/dbraw/zinc/24/91/89/400249189.db2.gz WAYUTGWEQOCPFC-UHFFFAOYSA-N 0 1 321.384 3.050 20 30 DGEDMN N#CCCN(C(=O)CN1CCC[C@H](CCF)C1)c1ccccc1 ZINC000595756792 400251476 /nfs/dbraw/zinc/25/14/76/400251476.db2.gz WYVSNAXNLSXJGK-MRXNPFEDSA-N 0 1 317.408 3.005 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1CCC(C2CCCC2)CC1 ZINC000610370840 400252806 /nfs/dbraw/zinc/25/28/06/400252806.db2.gz ONYFFOHASCVAAT-HNAYVOBHSA-N 0 1 319.493 3.332 20 30 DGEDMN CCc1ccc(CN2CCN(Cc3ccc(C#N)cc3)CC2)cc1 ZINC000609770833 400191230 /nfs/dbraw/zinc/19/12/30/400191230.db2.gz GGCCCVVTAYWBJX-UHFFFAOYSA-N 0 1 319.452 3.438 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NCc1noc(C)c1C(=O)OCC ZINC000595605858 400201341 /nfs/dbraw/zinc/20/13/41/400201341.db2.gz YVLVUKCCTLTSFY-KBPBESRZSA-N 0 1 306.406 3.384 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2ccc(C#N)cc2F)CC1 ZINC000022919113 400206124 /nfs/dbraw/zinc/20/61/24/400206124.db2.gz SFLGPOSJFASDDF-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN C=CCN(CC(=O)Nc1cc([N+](=O)[O-])ccc1C)C(C)(C)C ZINC000595766387 400254412 /nfs/dbraw/zinc/25/44/12/400254412.db2.gz URPWJQNQTGVJIU-UHFFFAOYSA-N 0 1 305.378 3.128 20 30 DGEDMN C=CCN(Cc1ccc([N+](=O)[O-])c(OCC)c1)[C@H](C)COC ZINC000595639307 400214853 /nfs/dbraw/zinc/21/48/53/400214853.db2.gz SFRFJMAMHUIYNF-CYBMUJFWSA-N 0 1 308.378 3.016 20 30 DGEDMN C[C@@H]1CCCC[C@H]1NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595641127 400216758 /nfs/dbraw/zinc/21/67/58/400216758.db2.gz BQZKVZCBCWGGMY-RHSMWYFYSA-N 0 1 313.445 3.078 20 30 DGEDMN N#Cc1cccc(CN[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC000610162255 400217402 /nfs/dbraw/zinc/21/74/02/400217402.db2.gz LUVQIMPSCZDWBV-IBGZPJMESA-N 0 1 302.381 3.286 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CC[C@@H]2c2cccc(F)c2)c1 ZINC000610505271 400266631 /nfs/dbraw/zinc/26/66/31/400266631.db2.gz DWTSQTSHHKROFT-GOSISDBHSA-N 0 1 323.371 3.473 20 30 DGEDMN Cc1cccc(N2CCN(CCOc3ccc(C#N)cc3)CC2)c1 ZINC000027383408 400271563 /nfs/dbraw/zinc/27/15/63/400271563.db2.gz KEAPYPNBXKTKAT-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cc(C(C)C)[nH]n1 ZINC000068793202 400289333 /nfs/dbraw/zinc/28/93/33/400289333.db2.gz IUGXYXICLWDTKP-UHFFFAOYSA-N 0 1 308.385 3.233 20 30 DGEDMN COc1nc(C)cc(C)c1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000589339143 400289849 /nfs/dbraw/zinc/28/98/49/400289849.db2.gz SWQMWPILXJGVEM-SFHVURJKSA-N 0 1 307.397 3.356 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](N[C@@H]1CCN(C3CCCCC3)C1=O)CC2 ZINC000589341563 400290194 /nfs/dbraw/zinc/29/01/94/400290194.db2.gz SBUOLXXFHMWEMJ-RTBURBONSA-N 0 1 323.440 3.069 20 30 DGEDMN Cn1nc(CN[C@@H]2CCc3ccc(C#N)cc32)c2ccccc21 ZINC000589343985 400291096 /nfs/dbraw/zinc/29/10/96/400291096.db2.gz MXOVOANJJYCSCN-QGZVFWFLSA-N 0 1 302.381 3.222 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(F)c(C#N)c2)cc1OC ZINC000610719238 400295565 /nfs/dbraw/zinc/29/55/65/400295565.db2.gz WMIDSOXHFUQUMU-UHFFFAOYSA-N 0 1 314.360 3.347 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)Nc1ccc(CC#N)cc1 ZINC000610435867 400258572 /nfs/dbraw/zinc/25/85/72/400258572.db2.gz ZNNBSGXZHAJMDP-UHFFFAOYSA-N 0 1 308.263 3.055 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)Nc1ccc(F)c(C#N)c1 ZINC000610436743 400258866 /nfs/dbraw/zinc/25/88/66/400258866.db2.gz XCWHNVUCWYJJOY-UHFFFAOYSA-N 0 1 312.226 3.000 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCOCC23CCCC3)cc1 ZINC000093840594 400259534 /nfs/dbraw/zinc/25/95/34/400259534.db2.gz CARZWJNKOWPBNP-OAHLLOKOSA-N 0 1 300.402 3.122 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000595791471 400261709 /nfs/dbraw/zinc/26/17/09/400261709.db2.gz UVKDXFLBVQYPEN-YJBOKZPZSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1ncc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C#N)s1 ZINC000601938132 400381187 /nfs/dbraw/zinc/38/11/87/400381187.db2.gz XBNQXKDRCIWWSL-QWRGUYRKSA-N 0 1 314.414 3.001 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)c(C(F)(F)F)c2)c(=O)c1CCC#N ZINC000601938078 400381231 /nfs/dbraw/zinc/38/12/31/400381231.db2.gz GSMHXPWTMVZSJF-GFCCVEGCSA-N 0 1 320.274 3.220 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CC[C@H](C3CC3)C2)c1C#N ZINC000611349532 400382878 /nfs/dbraw/zinc/38/28/78/400382878.db2.gz DZNHTSAJFDORKG-INIZCTEOSA-N 0 1 306.413 3.284 20 30 DGEDMN C[C@H]1CCc2[nH]n(-c3ccc(C#N)cc3C(F)(F)F)c(=O)c21 ZINC000601949434 400384696 /nfs/dbraw/zinc/38/46/96/400384696.db2.gz PGUXJJJFVBJDNA-SDBXPKJASA-N 0 1 307.275 3.326 20 30 DGEDMN C[C@@H]1CCc2[nH]n(-c3ccc(C#N)cc3C(F)(F)F)c(=O)c21 ZINC000601949430 400384778 /nfs/dbraw/zinc/38/47/78/400384778.db2.gz PGUXJJJFVBJDNA-AMIZOPFISA-N 0 1 307.275 3.326 20 30 DGEDMN N#Cc1ccc(-n2[nH]c(C3CCC3)cc2=O)c(C(F)(F)F)c1 ZINC000601950073 400384787 /nfs/dbraw/zinc/38/47/87/400384787.db2.gz TYWJVJMHFYEZAV-UHFFFAOYSA-N 0 1 307.275 3.470 20 30 DGEDMN N#Cc1cccc(CN2C[C@@H]3CN(c4ccccc4)C[C@H]3C2)c1F ZINC000611371233 400385752 /nfs/dbraw/zinc/38/57/52/400385752.db2.gz MLFRLXMOAKBIAT-QZTJIDSGSA-N 0 1 321.399 3.266 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2cccc(C#N)c2F)C1 ZINC000611374478 400386223 /nfs/dbraw/zinc/38/62/23/400386223.db2.gz QZPPAVBRRKPATH-OAHLLOKOSA-N 0 1 318.392 3.109 20 30 DGEDMN COC(=O)c1ccc(CN[C@H](C)c2cccc(C#N)c2)c(F)c1 ZINC000611386590 400389039 /nfs/dbraw/zinc/38/90/39/400389039.db2.gz OXZXXDBHGNRWQP-GFCCVEGCSA-N 0 1 312.344 3.335 20 30 DGEDMN Cc1ccccc1CN(C)C(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611388394 400390410 /nfs/dbraw/zinc/39/04/10/400390410.db2.gz HLVDOAYHCDMTMK-MRXNPFEDSA-N 0 1 321.424 3.176 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)cc1C ZINC000611387452 400390478 /nfs/dbraw/zinc/39/04/78/400390478.db2.gz OOPWVLUMBFTJRQ-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN CCc1ccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)cc1 ZINC000611387648 400390556 /nfs/dbraw/zinc/39/05/56/400390556.db2.gz RJHHICWYYHRVGC-AWEZNQCLSA-N 0 1 307.397 3.410 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1C[C@H]1c1ccccc1Br ZINC000579187505 400335508 /nfs/dbraw/zinc/33/55/08/400335508.db2.gz JUCRMKMSSUNHCQ-OSAQELSMSA-N 0 1 318.218 3.338 20 30 DGEDMN CCc1nn(C)c(OC)c1CN[C@@H]1CCCc2cc(C#N)ccc21 ZINC000611393772 400392111 /nfs/dbraw/zinc/39/21/11/400392111.db2.gz XSIJESAYMVSGQH-GOSISDBHSA-N 0 1 324.428 3.030 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1cccc(C(F)(F)F)c1O ZINC000581319145 400352556 /nfs/dbraw/zinc/35/25/56/400352556.db2.gz RNUHYUIAHPWAJE-UHFFFAOYSA-N 0 1 300.280 3.175 20 30 DGEDMN C=CCNC(=O)[C@@H](C)Nc1ccc(N2CCCCCC2)cc1 ZINC000070824301 400358922 /nfs/dbraw/zinc/35/89/22/400358922.db2.gz RWMUBMFLIGUZNG-OAHLLOKOSA-N 0 1 301.434 3.170 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1cccc(C#N)c1 ZINC000072630710 400393772 /nfs/dbraw/zinc/39/37/72/400393772.db2.gz HKMQVDJXGOFOIM-UHFFFAOYSA-N 0 1 312.417 3.149 20 30 DGEDMN C[C@H](NCC(=O)NC1(C#N)CCCCC1)c1ccc(Cl)cc1 ZINC000032832539 400376382 /nfs/dbraw/zinc/37/63/82/400376382.db2.gz DKYHJOBCSNYMJN-ZDUSSCGKSA-N 0 1 319.836 3.333 20 30 DGEDMN CC(C)n1cc(C2=CCCN(Cc3ccccc3C#N)CC2)nn1 ZINC000590612553 400403751 /nfs/dbraw/zinc/40/37/51/400403751.db2.gz JVUBTHBRMVNPSK-UHFFFAOYSA-N 0 1 321.428 3.410 20 30 DGEDMN C[C@H]1CN(CC(=O)c2cn(CCC#N)c3ccccc23)C[C@H]1C ZINC000072871661 400411051 /nfs/dbraw/zinc/41/10/51/400411051.db2.gz KHSUIABAGCYKGH-GASCZTMLSA-N 0 1 309.413 3.325 20 30 DGEDMN N#Cc1ccc([N+](=O)[O-])c(NC[C@H]2CCN2Cc2ccccc2)c1 ZINC000596559136 400444024 /nfs/dbraw/zinc/44/40/24/400444024.db2.gz XBJWZLGFBVYYST-MRXNPFEDSA-N 0 1 322.368 3.153 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000617782391 400453316 /nfs/dbraw/zinc/45/33/16/400453316.db2.gz DUCWTJUXBMHGDG-JTQLQIEISA-N 0 1 301.312 3.165 20 30 DGEDMN CC(C)CN(CC(=O)Nc1ccc(C#N)c(Cl)c1)C1CC1 ZINC000044898296 400505941 /nfs/dbraw/zinc/50/59/41/400505941.db2.gz CHRLKGPSBREQTP-UHFFFAOYSA-N 0 1 305.809 3.271 20 30 DGEDMN N#Cc1ccc(NC(=O)NC[C@H](c2ccco2)N2CCCC2)cc1 ZINC000045027077 400508989 /nfs/dbraw/zinc/50/89/89/400508989.db2.gz RCOSJYUQMSYWDS-MRXNPFEDSA-N 0 1 324.384 3.110 20 30 DGEDMN CCOC(=O)[C@@H](Cc1ccccc1)N(C)C[C@H](C)CCC#N ZINC000602471402 400471446 /nfs/dbraw/zinc/47/14/46/400471446.db2.gz AFLZCJDGUCHFOG-NVXWUHKLSA-N 0 1 302.418 3.032 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CC[C@@H](C3CCC3)C2)c(C#N)c1C ZINC000591063257 400480432 /nfs/dbraw/zinc/48/04/32/400480432.db2.gz MHBJAAQVPGOULL-SWLSCSKDSA-N 0 1 315.417 3.217 20 30 DGEDMN C[C@H](NCc1cccc(C#N)n1)c1ccc(OC(F)F)cc1 ZINC000602510981 400483586 /nfs/dbraw/zinc/48/35/86/400483586.db2.gz KQGAUNBWEHLBTE-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN CCCOc1ncccc1CN[C@@H](C)CC1(C#N)CCOCC1 ZINC000602533335 400489827 /nfs/dbraw/zinc/48/98/27/400489827.db2.gz WWMLDICIWCOTIB-HNNXBMFYSA-N 0 1 317.433 3.059 20 30 DGEDMN COC[C@H](C)Oc1ccc(CNCC2(C#N)CCCC2)cc1 ZINC000597159260 400574124 /nfs/dbraw/zinc/57/41/24/400574124.db2.gz WBHXMSKJZBQYTK-HNNXBMFYSA-N 0 1 302.418 3.274 20 30 DGEDMN COC(=O)c1nc([C@H]2CCCN2C[C@H](C)CCC#N)sc1C ZINC000602653416 400524810 /nfs/dbraw/zinc/52/48/10/400524810.db2.gz ZYMYUHDYFYRRNW-DGCLKSJQSA-N 0 1 321.446 3.315 20 30 DGEDMN COc1ccccc1-c1ncc(CN2CCC[C@@H](CC#N)C2)cn1 ZINC000597177819 400578129 /nfs/dbraw/zinc/57/81/29/400578129.db2.gz RAJSDOIKBWWKBC-HNNXBMFYSA-N 0 1 322.412 3.278 20 30 DGEDMN CCCCCc1cc(C(=O)N(CCC#N)Cc2ccco2)n[nH]1 ZINC000597025126 400540693 /nfs/dbraw/zinc/54/06/93/400540693.db2.gz WHWUUIKBWBOMGJ-UHFFFAOYSA-N 0 1 314.389 3.291 20 30 DGEDMN C[C@@H](CCC#N)CN1CC(OCCCOc2ccccc2)C1 ZINC000602691621 400540729 /nfs/dbraw/zinc/54/07/29/400540729.db2.gz XPADPCZRNJJFRW-INIZCTEOSA-N 0 1 302.418 3.096 20 30 DGEDMN N#CCSCCCN1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000074991990 400551946 /nfs/dbraw/zinc/55/19/46/400551946.db2.gz JTYNSPVJZHRUER-RKDXNWHRSA-N 0 1 320.302 3.306 20 30 DGEDMN N#CCCN1CCN(Cc2ccc(-c3ccsc3)cc2)CC1 ZINC000618289752 400562022 /nfs/dbraw/zinc/56/20/22/400562022.db2.gz ZCJLBFMUDNJGMU-UHFFFAOYSA-N 0 1 311.454 3.446 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000618313845 400565190 /nfs/dbraw/zinc/56/51/90/400565190.db2.gz SXGJJIWCDVDBTH-GJZGRUSLSA-N 0 1 312.335 3.002 20 30 DGEDMN COc1cccc(CN(C[C@H]2CCCO2)CC2(CC#N)CC2)c1 ZINC000602762924 400566323 /nfs/dbraw/zinc/56/63/23/400566323.db2.gz HIQYDPRXQRBWHB-GOSISDBHSA-N 0 1 314.429 3.370 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(C2CC2)s1)c1nc2ccccc2[nH]1 ZINC000591862696 400567362 /nfs/dbraw/zinc/56/73/62/400567362.db2.gz YZMCQIHGDJYIPA-SNVBAGLBSA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1cnc(C2CC2)s1)c1nc2ccccc2[nH]1 ZINC000591862696 400567366 /nfs/dbraw/zinc/56/73/66/400567366.db2.gz YZMCQIHGDJYIPA-SNVBAGLBSA-N 0 1 308.366 3.387 20 30 DGEDMN C[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1cccc(C#N)c1 ZINC000075276233 400568763 /nfs/dbraw/zinc/56/87/63/400568763.db2.gz JINVYEYIHOXBSY-SNVBAGLBSA-N 0 1 308.316 3.065 20 30 DGEDMN COc1ccc(CN2CC[C@H](Oc3ccccc3)C2)cc1C#N ZINC000592136349 400613405 /nfs/dbraw/zinc/61/34/05/400613405.db2.gz HCTUMERYYCGMAD-SFHVURJKSA-N 0 1 308.381 3.220 20 30 DGEDMN CCN(CCSC)[C@@H](C)C(=O)N(CCC#N)c1ccccc1 ZINC000592200750 400622868 /nfs/dbraw/zinc/62/28/68/400622868.db2.gz DLLDTSIIAKQOJQ-HNNXBMFYSA-N 0 1 319.474 3.007 20 30 DGEDMN CCCN(CC(=O)N1CCC[C@H](C)C1)Cc1ccc(C#N)cc1 ZINC000047739851 400629449 /nfs/dbraw/zinc/62/94/49/400629449.db2.gz DNKLMZCKKYFQOG-INIZCTEOSA-N 0 1 313.445 3.029 20 30 DGEDMN N#CCC1CN(Cc2ccc(-n3ccc(C(F)(F)F)n3)cc2)C1 ZINC000597194749 400583043 /nfs/dbraw/zinc/58/30/43/400583043.db2.gz ZZXQLQNYPURREU-UHFFFAOYSA-N 0 1 320.318 3.237 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1cc(Cl)cc(Cl)c1 ZINC000602839408 400588366 /nfs/dbraw/zinc/58/83/66/400588366.db2.gz DSNINFTWEHOWRP-OLZOCXBDSA-N 0 1 317.216 3.015 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1cc(Cl)cc(Cl)c1 ZINC000602839411 400588441 /nfs/dbraw/zinc/58/84/41/400588441.db2.gz DSNINFTWEHOWRP-STQMWFEESA-N 0 1 317.216 3.015 20 30 DGEDMN N#CC1(CC(=O)Nc2cccc(-c3nnc[nH]3)c2)CCCCC1 ZINC000597227891 400592416 /nfs/dbraw/zinc/59/24/16/400592416.db2.gz IEFUZRQAUYCUQJ-UHFFFAOYSA-N 0 1 309.373 3.274 20 30 DGEDMN CN(CCc1ccccc1)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000075640277 400600019 /nfs/dbraw/zinc/60/00/19/400600019.db2.gz TXYXPYCRMGOELB-UHFFFAOYSA-N 0 1 318.380 3.283 20 30 DGEDMN CCN(C(=O)c1cc(C(F)F)[nH]n1)[C@@H](C)c1ccc(C#N)cc1 ZINC000602894822 400600349 /nfs/dbraw/zinc/60/03/49/400600349.db2.gz DLHLSEVMNDHEDP-JTQLQIEISA-N 0 1 318.327 3.442 20 30 DGEDMN Cc1ncsc1CN1CCC(Nc2ccccc2C#N)CC1 ZINC000602902192 400602424 /nfs/dbraw/zinc/60/24/24/400602424.db2.gz VDPIFDGAXNBCGK-UHFFFAOYSA-N 0 1 312.442 3.400 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)CC2(C#N)CCCCC2)n[nH]1 ZINC000597561489 400646850 /nfs/dbraw/zinc/64/68/50/400646850.db2.gz IUUHAHOYIFHSHR-OLZOCXBDSA-N 0 1 317.437 3.360 20 30 DGEDMN N#CCCCOc1cccc(CN2CCOC[C@@H]2C2CCC2)c1 ZINC000592523097 400664225 /nfs/dbraw/zinc/66/42/25/400664225.db2.gz JFVAUONEBGUEQO-LJQANCHMSA-N 0 1 314.429 3.370 20 30 DGEDMN N#Cc1ccc(CSc2nc(-c3cccnc3)n[nH]2)c(F)c1 ZINC000076697774 400668492 /nfs/dbraw/zinc/66/84/92/400668492.db2.gz UBLDJDBGNQRWHS-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN CC/C=C/CCN1CCN([C@@H](C#N)c2ccc(F)cc2)CC1 ZINC000613477124 400730680 /nfs/dbraw/zinc/73/06/80/400730680.db2.gz CUUODWZJPIMRIT-WHLIDYPQSA-N 0 1 301.409 3.364 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(CC#Cc2ccc(F)cc2)C1 ZINC000161861039 400742988 /nfs/dbraw/zinc/74/29/88/400742988.db2.gz AGAPYPLAPLQNJK-QGZVFWFLSA-N 0 1 317.404 3.233 20 30 DGEDMN CCOC(=O)CC[C@@H]1CCCN(Cc2cc(C#N)ccc2F)C1 ZINC000162110540 400743053 /nfs/dbraw/zinc/74/30/53/400743053.db2.gz PMLOXXPTIBBCPE-AWEZNQCLSA-N 0 1 318.392 3.253 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N1CCC[C@H](CC#N)C1)c1ccccc1 ZINC000597913645 400695685 /nfs/dbraw/zinc/69/56/85/400695685.db2.gz QKIPSTWBOAVASZ-SJLPKXTDSA-N 0 1 313.445 3.222 20 30 DGEDMN C[C@]1(C#N)CCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000598415914 400808797 /nfs/dbraw/zinc/80/87/97/400808797.db2.gz XIBAPYLVFAAPQD-CQSZACIVSA-N 0 1 320.805 3.167 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CCc1ccc(F)cc1)C1CC1 ZINC000604903190 400813699 /nfs/dbraw/zinc/81/36/99/400813699.db2.gz WLKPHKLBAXLPKN-UHFFFAOYSA-N 0 1 318.436 3.257 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(C)c2cccc(C#N)c2)o1 ZINC000604439135 400776012 /nfs/dbraw/zinc/77/60/12/400776012.db2.gz NTDGOOITLILURA-UHFFFAOYSA-N 0 1 311.385 3.270 20 30 DGEDMN CCN(C)[C@@H](C(=O)NCC1(C#N)CCCCC1)c1ccccc1 ZINC000598308943 400779689 /nfs/dbraw/zinc/77/96/89/400779689.db2.gz RYZUIDAICJWIEV-QGZVFWFLSA-N 0 1 313.445 3.270 20 30 DGEDMN CCN(C)[C@H](C(=O)NCC1(C#N)CCCCC1)c1ccccc1 ZINC000598308942 400779987 /nfs/dbraw/zinc/77/99/87/400779987.db2.gz RYZUIDAICJWIEV-KRWDZBQOSA-N 0 1 313.445 3.270 20 30 DGEDMN N#CC(CCc1ccccc1)C(=O)NCC1(C#N)CCCCC1 ZINC000598313806 400782001 /nfs/dbraw/zinc/78/20/01/400782001.db2.gz TZQOFPBXBBNTKT-KRWDZBQOSA-N 0 1 309.413 3.349 20 30 DGEDMN C[C@@H]1CN(C(=O)CCCC#N)[C@H](C)[C@@H](C)N1Cc1ccccc1 ZINC000593224130 400794363 /nfs/dbraw/zinc/79/43/63/400794363.db2.gz CAAYQSPSEWOKBW-BRWVUGGUSA-N 0 1 313.445 3.190 20 30 DGEDMN C=C(C)CN[C@H](C)c1cc2c(cc1Br)OCCO2 ZINC000180483952 400884346 /nfs/dbraw/zinc/88/43/46/400884346.db2.gz GJWYDIQBYMQXCO-SNVBAGLBSA-N 0 1 312.207 3.447 20 30 DGEDMN CC[C@H](C#N)C(=O)NC[C@H](c1ccccc1Cl)N(CC)CC ZINC000593396703 400837861 /nfs/dbraw/zinc/83/78/61/400837861.db2.gz MJIXPQSVBGJIRG-CZUORRHYSA-N 0 1 321.852 3.389 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](C)c1cccc(OCC)c1 ZINC000180690818 400885630 /nfs/dbraw/zinc/88/56/30/400885630.db2.gz GNJGJHROMBMWFA-OAHLLOKOSA-N 0 1 304.434 3.161 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@H]1c1ccc(F)cc1F ZINC000598621188 400845571 /nfs/dbraw/zinc/84/55/71/400845571.db2.gz RNQJSDBOOZMHJB-CJNGLKHVSA-N 0 1 308.372 3.402 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000598625690 400846554 /nfs/dbraw/zinc/84/65/54/400846554.db2.gz UIGSONOZZVJJHP-HOCLYGCPSA-N 0 1 302.418 3.497 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000079204728 400851911 /nfs/dbraw/zinc/85/19/11/400851911.db2.gz CCJYJWVDVGPICV-HNNXBMFYSA-N 0 1 305.422 3.024 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NC1(C#N)CCCCC1)c1ccsc1 ZINC000619746311 400896939 /nfs/dbraw/zinc/89/69/39/400896939.db2.gz MMTCZMNDCMZKAK-OLZOCXBDSA-N 0 1 305.447 3.130 20 30 DGEDMN CCN(C)c1ccc(CNCc2ccc(C#N)c(Cl)c2)cn1 ZINC000619748924 400898212 /nfs/dbraw/zinc/89/82/12/400898212.db2.gz SOLFGUHLYHPVPN-UHFFFAOYSA-N 0 1 314.820 3.353 20 30 DGEDMN Cn1cc2c(n1)CCC[C@@H]2NCc1ccc(C#N)c(Cl)c1 ZINC000619754695 400899357 /nfs/dbraw/zinc/89/93/57/400899357.db2.gz IFIKHLMEPRKRLL-HNNXBMFYSA-N 0 1 300.793 3.112 20 30 DGEDMN C[C@H](CC(=O)Nc1ccccc1C(F)(F)F)NC[C@H](C)C#N ZINC000183833087 400902424 /nfs/dbraw/zinc/90/24/24/400902424.db2.gz YNPVIRYUJLKVMZ-GHMZBOCLSA-N 0 1 313.323 3.172 20 30 DGEDMN C[C@H](NCC(=O)N(C)C1(C#N)CCCCC1)c1ccsc1 ZINC000619783433 400906418 /nfs/dbraw/zinc/90/64/18/400906418.db2.gz RQQSPWYLAAVAIL-ZDUSSCGKSA-N 0 1 305.447 3.084 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000598943700 400909835 /nfs/dbraw/zinc/90/98/35/400909835.db2.gz YJQBDKBTUXEBGI-PBFPGSCMSA-N 0 1 322.412 3.167 20 30 DGEDMN C=CCCSCCNCc1ncc(Br)cc1F ZINC000598981416 400919304 /nfs/dbraw/zinc/91/93/04/400919304.db2.gz PSMJDVVEPNBZBZ-UHFFFAOYSA-N 0 1 319.243 3.382 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@H]3CCC[C@@H]32)cc1Cl ZINC000614600361 400921447 /nfs/dbraw/zinc/92/14/47/400921447.db2.gz HHRIXSAXRYUGGA-ABAIWWIYSA-N 0 1 303.793 3.025 20 30 DGEDMN CCOC(=O)CCN(Cc1ccc(C#N)cc1Cl)C(C)C ZINC000594055145 400967051 /nfs/dbraw/zinc/96/70/51/400967051.db2.gz VQVQJRYSHRNTJT-UHFFFAOYSA-N 0 1 308.809 3.375 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCc3oc(-c4ccccc4)cc3C2)CC1 ZINC000599452403 401055891 /nfs/dbraw/zinc/05/58/91/401055891.db2.gz PHCJCPSTHBDTDG-QGZVFWFLSA-N 0 1 322.408 3.359 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000599526484 401068835 /nfs/dbraw/zinc/06/88/35/401068835.db2.gz MTXHJTNROUBKOB-GFCCVEGCSA-N 0 1 303.793 3.048 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CNC(C)(C)c1ccc(Cl)cc1 ZINC000057846574 401031975 /nfs/dbraw/zinc/03/19/75/401031975.db2.gz MNJXNUJVHYEPCZ-QGZVFWFLSA-N 0 1 321.852 3.219 20 30 DGEDMN CCN(C)[C@H](C(=O)N(C)Cc1ccc(C#N)cc1)c1ccccc1 ZINC000057911284 401036577 /nfs/dbraw/zinc/03/65/77/401036577.db2.gz CXGVZSZDZMCQML-IBGZPJMESA-N 0 1 321.424 3.210 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)COc1ccccc1F ZINC000057950411 401037941 /nfs/dbraw/zinc/03/79/41/401037941.db2.gz NIPOKZFUDDWTTM-AWEZNQCLSA-N 0 1 321.417 3.315 20 30 DGEDMN COC(=O)[C@@H]1CN([C@@H](C)c2ccc(C#N)cc2)Cc2ccccc21 ZINC000599667111 401105773 /nfs/dbraw/zinc/10/57/73/401105773.db2.gz CFUZYPIUGATFJC-IFXJQAMLSA-N 0 1 320.392 3.392 20 30 DGEDMN COc1ccccc1OCCN1CCc2c(CC#N)cccc2C1 ZINC000599678269 401112497 /nfs/dbraw/zinc/11/24/97/401112497.db2.gz HCQMHEYIDCBVQK-UHFFFAOYSA-N 0 1 322.408 3.198 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOc1ccc(C#N)cc1)C2 ZINC000599685583 401114077 /nfs/dbraw/zinc/11/40/77/401114077.db2.gz HBJFXIDDNMUWRL-UHFFFAOYSA-N 0 1 317.392 3.061 20 30 DGEDMN CN(C)C[C@H](NCC1(C#N)CC1)c1ccc(Cl)c(Cl)c1 ZINC000599627369 401095585 /nfs/dbraw/zinc/09/55/85/401095585.db2.gz LQGXCQPGNOMTLW-AWEZNQCLSA-N 0 1 312.244 3.489 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000059896125 401096066 /nfs/dbraw/zinc/09/60/66/401096066.db2.gz SKXHFMSLJWUKQP-NSHDSACASA-N 0 1 316.792 3.352 20 30 DGEDMN N#CC1(c2ccc(C[NH2+][C@@H](C(=O)[O-])c3cccs3)cc2)CC1 ZINC000594689126 401151370 /nfs/dbraw/zinc/15/13/70/401151370.db2.gz CZGZWHOJHJGNMV-OAHLLOKOSA-N 0 1 312.394 3.219 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000625646048 401169897 /nfs/dbraw/zinc/16/98/97/401169897.db2.gz JDLMNOQPBXPTDQ-IHRRRGAJSA-N 0 1 302.409 3.023 20 30 DGEDMN CC#CCSc1nc(-c2ccc(Br)cc2)n[nH]1 ZINC000608101263 401188818 /nfs/dbraw/zinc/18/88/18/401188818.db2.gz HDZJVRMXTFRPHI-UHFFFAOYSA-N 0 1 308.204 3.350 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN(C)CC(C)C)c1 ZINC000065962585 401288480 /nfs/dbraw/zinc/28/84/80/401288480.db2.gz QTSULVSTMVUOBK-UHFFFAOYSA-N 0 1 301.434 3.138 20 30 DGEDMN C=C(C)[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000635814915 401490054 /nfs/dbraw/zinc/49/00/54/401490054.db2.gz UWMWTZXTWKVLLV-HSMVNMDESA-N 0 1 317.339 3.225 20 30 DGEDMN COCC1CCN(Cc2ccc(C#N)cc2Br)CC1 ZINC000181109413 164903512 /nfs/dbraw/zinc/90/35/12/164903512.db2.gz BULYGLADQSUYMD-UHFFFAOYSA-N 0 1 323.234 3.179 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000186687168 165054518 /nfs/dbraw/zinc/05/45/18/165054518.db2.gz LECDOHVNZUJHKS-HXUWFJFHSA-N 0 1 320.392 3.198 20 30 DGEDMN Cc1oc(NC(=O)CN[C@H](C)c2ccc(C)cc2)c(C#N)c1C ZINC000182327354 432178867 /nfs/dbraw/zinc/17/88/67/432178867.db2.gz HBZLPAIJNBCMOZ-CYBMUJFWSA-N 0 1 311.385 3.366 20 30 DGEDMN Cc1oc(NC(=O)CN[C@@H](C)c2ccc(C)cc2)c(C#N)c1C ZINC000182327372 432179281 /nfs/dbraw/zinc/17/92/81/432179281.db2.gz HBZLPAIJNBCMOZ-ZDUSSCGKSA-N 0 1 311.385 3.366 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCCC(F)(F)F)c1 ZINC000269866914 432216832 /nfs/dbraw/zinc/21/68/32/432216832.db2.gz LUYMSQJDXRNISN-UHFFFAOYSA-N 0 1 312.335 3.271 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182697397 432218739 /nfs/dbraw/zinc/21/87/39/432218739.db2.gz MSZPMUDWJOUHBJ-HZPDHXFCSA-N 0 1 304.296 3.049 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(CCC(C)C)c2C)n1 ZINC000160048241 228244406 /nfs/dbraw/zinc/24/44/06/228244406.db2.gz PBJIJXATRSKNAN-CYBMUJFWSA-N 0 1 316.430 3.493 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cc(C#N)ccc2Cl)cc1 ZINC000160763789 228248148 /nfs/dbraw/zinc/24/81/48/228248148.db2.gz CDJDBHNYYPBKJH-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN N#CCCn1cc(CNCc2ccoc2)c(-c2ccccc2)n1 ZINC000172115191 228274624 /nfs/dbraw/zinc/27/46/24/228274624.db2.gz ALASYCXPEVNRNC-UHFFFAOYSA-N 0 1 306.369 3.347 20 30 DGEDMN Cc1ncsc1CN(C)Cc1ccc(N(C)CCC#N)cc1 ZINC000179428657 228350844 /nfs/dbraw/zinc/35/08/44/228350844.db2.gz VLGZBTVFABROMR-UHFFFAOYSA-N 0 1 314.458 3.433 20 30 DGEDMN C[C@H](c1cccnc1)N1CCN(Cc2ccc(CC#N)cc2)CC1 ZINC000343525756 229127219 /nfs/dbraw/zinc/12/72/19/229127219.db2.gz JVLKTMUXKNLWRD-QGZVFWFLSA-N 0 1 320.440 3.026 20 30 DGEDMN COCC1(C#N)CCN(Cc2cc3cc(OC)ccc3o2)CC1 ZINC000342837815 229118797 /nfs/dbraw/zinc/11/87/97/229118797.db2.gz KHLDOLZJHHTGBT-UHFFFAOYSA-N 0 1 314.385 3.194 20 30 DGEDMN Cc1cccc2nc(CCC(=O)NCC(C)(C)CCC#N)[nH]c21 ZINC000345919199 229161563 /nfs/dbraw/zinc/16/15/63/229161563.db2.gz ZRHOORVWSCACNQ-UHFFFAOYSA-N 0 1 312.417 3.250 20 30 DGEDMN C[C@H]1CN(CCOCC(F)(F)C(F)(F)F)CCC1(F)F ZINC000352026710 229243174 /nfs/dbraw/zinc/24/31/74/229243174.db2.gz HQPPKSGAIQVTGE-QMMMGPOBSA-N 0 1 311.241 3.178 20 30 DGEDMN Cc1nc(-c2ccccc2)ccc1C(=O)C(C#N)c1ccncn1 ZINC000354476084 229294586 /nfs/dbraw/zinc/29/45/86/229294586.db2.gz SCZGCDVNUFSMKD-INIZCTEOSA-N 0 1 314.348 3.337 20 30 DGEDMN Cc1nc(-c2ccccc2)ccc1C(=O)[C@@H](C#N)c1ccncn1 ZINC000354476084 229294592 /nfs/dbraw/zinc/29/45/92/229294592.db2.gz SCZGCDVNUFSMKD-INIZCTEOSA-N 0 1 314.348 3.337 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000171804986 533685709 /nfs/dbraw/zinc/68/57/09/533685709.db2.gz JAMSRODMPUHHQC-JTQLQIEISA-N 0 1 300.387 3.222 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1C ZINC000346445925 264033016 /nfs/dbraw/zinc/03/30/16/264033016.db2.gz DPOVZQJWRCSLPF-NEPJUHHUSA-N 0 1 320.824 3.158 20 30 DGEDMN CC(C)CN(Cc1n[nH]c(C2CC2)n1)Cc1ccc(C#N)cc1 ZINC000347405931 264215590 /nfs/dbraw/zinc/21/55/90/264215590.db2.gz ZRXMZFUEMFJKKO-UHFFFAOYSA-N 0 1 309.417 3.212 20 30 DGEDMN CCC1(C)CCN(Cc2cccc(C(=O)OC)c2C#N)CC1 ZINC000533719630 270005044 /nfs/dbraw/zinc/00/50/44/270005044.db2.gz YYUDKJMXPZXZKI-UHFFFAOYSA-N 0 1 300.402 3.357 20 30 DGEDMN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000366427327 267002116 /nfs/dbraw/zinc/00/21/16/267002116.db2.gz CILWNVVKHSDEGM-SUMWQHHRSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@@H]1C ZINC000357733108 267014306 /nfs/dbraw/zinc/01/43/06/267014306.db2.gz PLDJRPPBXCFQST-VXGBXAGGSA-N 0 1 305.809 3.271 20 30 DGEDMN CCOC(=O)C(C)(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC000516815395 267111716 /nfs/dbraw/zinc/11/17/16/267111716.db2.gz OQNZUXDACKDKRI-UHFFFAOYSA-N 0 1 320.458 3.421 20 30 DGEDMN C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1C[C@H](C)[C@H](C)C1 ZINC000362434656 267142095 /nfs/dbraw/zinc/14/20/95/267142095.db2.gz NRMLBKFCGNXVFC-GRYCIOLGSA-N 0 1 317.458 3.023 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)[C@H](C)c3cccc(C#N)c3)C2)n[nH]1 ZINC000360332699 267362293 /nfs/dbraw/zinc/36/22/93/267362293.db2.gz JUFOYSZEVGLBHB-RHSMWYFYSA-N 0 1 322.412 3.100 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)CSc1ccc(C#N)cc1 ZINC000360457673 267367191 /nfs/dbraw/zinc/36/71/91/267367191.db2.gz DOUDKXRQIVNKNT-UHFFFAOYSA-N 0 1 322.393 3.474 20 30 DGEDMN Cc1ncsc1CNCc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000350624436 267661556 /nfs/dbraw/zinc/66/15/56/267661556.db2.gz ACXMIHLXAUHCSQ-UHFFFAOYSA-N 0 1 323.425 3.014 20 30 DGEDMN N#Cc1ccc(CN(CCO)[C@H]2CCc3ccccc32)c(F)c1 ZINC000353488932 268064297 /nfs/dbraw/zinc/06/42/97/268064297.db2.gz AFKZVEDYQSGWLT-IBGZPJMESA-N 0 1 310.372 3.179 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(-n3ccnc3)c(F)c2)c(F)c1 ZINC000357309951 268074437 /nfs/dbraw/zinc/07/44/37/268074437.db2.gz QQOIQCQBHBQIGJ-UHFFFAOYSA-N 0 1 324.334 3.312 20 30 DGEDMN N#Cc1ccc(NCc2nc(-c3ccc(F)cc3)n[nH]2)c(F)c1 ZINC000525310950 268249528 /nfs/dbraw/zinc/24/95/28/268249528.db2.gz JANSWRJVBWNCLV-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN N#Cc1ccc(NCc2n[nH]c(-c3ccc(F)cc3)n2)c(F)c1 ZINC000525310950 268249531 /nfs/dbraw/zinc/24/95/31/268249531.db2.gz JANSWRJVBWNCLV-UHFFFAOYSA-N 0 1 311.295 3.234 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccc(-c2ccc[nH]2)cc1 ZINC000351766898 268286599 /nfs/dbraw/zinc/28/65/99/268286599.db2.gz WNPVEZPWVLZKKA-UHFFFAOYSA-N 0 1 323.377 3.354 20 30 DGEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2ncc(C(F)(F)F)[nH]2)c1 ZINC000520993104 268288636 /nfs/dbraw/zinc/28/86/36/268288636.db2.gz SYKAAFZKNMACCO-WDEREUQCSA-N 0 1 320.274 3.042 20 30 DGEDMN N#Cc1ccsc1NC(=O)CN1CCCC12CCCCC2 ZINC000363162543 268307763 /nfs/dbraw/zinc/30/77/63/268307763.db2.gz LNCNMDVPUKNMET-UHFFFAOYSA-N 0 1 303.431 3.357 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C)c1ccc(Cl)s1 ZINC000183019809 432250057 /nfs/dbraw/zinc/25/00/57/432250057.db2.gz GYOZMTDVQUAUHH-WDEREUQCSA-N 0 1 313.854 3.060 20 30 DGEDMN CC(C)(C)[C@@H](C#N)NC(=O)C(F)(F)c1c(F)cccc1F ZINC000270504434 432252603 /nfs/dbraw/zinc/25/26/03/432252603.db2.gz ZDXHHGFDFYXCMU-SNVBAGLBSA-N 0 1 302.271 3.111 20 30 DGEDMN Cc1nc2c(s1)[C@H](N(C)Cc1cc(C#N)n(C)c1)CCC2 ZINC000191357328 432254464 /nfs/dbraw/zinc/25/44/64/432254464.db2.gz YAFVTXGFSULLQM-OAHLLOKOSA-N 0 1 300.431 3.171 20 30 DGEDMN N#Cc1cc(N2CCc3cnccc32)nc2ccc([N+](=O)[O-])cc12 ZINC000302673288 274920642 /nfs/dbraw/zinc/92/06/42/274920642.db2.gz OVSLQSPDWRXXBP-UHFFFAOYSA-N 0 1 317.308 3.104 20 30 DGEDMN Cc1nc(NC[C@H](C)N2CCc3sccc3C2)ccc1C#N ZINC000487933086 429174910 /nfs/dbraw/zinc/17/49/10/429174910.db2.gz SXMYYNPAUYQWAT-LBPRGKRZSA-N 0 1 312.442 3.182 20 30 DGEDMN COc1ccc(-c2cc(C(=O)Nc3cccc(C#N)c3)[nH]n2)cc1 ZINC000151616437 432260916 /nfs/dbraw/zinc/26/09/16/432260916.db2.gz QLYNPYVDTHSPQX-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCS[C@H]2CCCC[C@@H]21 ZINC000121182761 277367495 /nfs/dbraw/zinc/36/74/95/277367495.db2.gz SVSUYVSHPXFONF-RDJZCZTQSA-N 0 1 302.443 3.427 20 30 DGEDMN Cc1cc(CNc2nc3c(c(C#N)c2C#N)CCCCCC3)n[nH]1 ZINC000619481654 430596894 /nfs/dbraw/zinc/59/68/94/430596894.db2.gz SBMHVHRMNXBDOD-UHFFFAOYSA-N 0 1 320.400 3.128 20 30 DGEDMN CC1(C)CN(C(=O)C2CC2)CCN1CCC1(C#N)CCCCC1 ZINC000411501812 278296296 /nfs/dbraw/zinc/29/62/96/278296296.db2.gz UDBCXLOXEKEFEN-UHFFFAOYSA-N 0 1 317.477 3.183 20 30 DGEDMN C[C@@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C#N)cc1 ZINC000344083087 278366365 /nfs/dbraw/zinc/36/63/65/278366365.db2.gz AKEARAQHYWGRSC-BEFAXECRSA-N 0 1 321.424 3.428 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCCC[C@H]1c1ccc(C)cc1 ZINC000124524581 279172283 /nfs/dbraw/zinc/17/22/83/279172283.db2.gz FESDGRNENYYERC-SFHVURJKSA-N 0 1 313.445 3.284 20 30 DGEDMN CN1CCC[C@@H]1C(=O)Nc1ccc(Oc2cccc(C#N)c2)cc1 ZINC000109020815 431166462 /nfs/dbraw/zinc/16/64/62/431166462.db2.gz AFZNGCIBFFCPTQ-GOSISDBHSA-N 0 1 321.380 3.383 20 30 DGEDMN C[C@H]1CCCN(CC(=O)Nc2ccccc2SCC#N)CC1 ZINC000068830221 431145088 /nfs/dbraw/zinc/14/50/88/431145088.db2.gz FQOOYCMENVXJPZ-AWEZNQCLSA-N 0 1 317.458 3.363 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)c2cn(CCC#N)c3ccccc23)C1 ZINC000070738420 431258131 /nfs/dbraw/zinc/25/81/31/431258131.db2.gz KRIBBMODCWBIJO-OAHLLOKOSA-N 0 1 309.413 3.470 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1ccc(F)cc1 ZINC000072691645 431346754 /nfs/dbraw/zinc/34/67/54/431346754.db2.gz XQYASVJZGYVPRV-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN Cc1ccc2nc(S[C@@H](C(N)=O)c3ccc(C#N)cc3)[nH]c2c1 ZINC000115184896 431436392 /nfs/dbraw/zinc/43/63/92/431436392.db2.gz NJXNRIGSCWDVQI-OAHLLOKOSA-N 0 1 322.393 3.062 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCN(Cc2ccccc2)CC1 ZINC000118390234 431605122 /nfs/dbraw/zinc/60/51/22/431605122.db2.gz PDYZLMDVYRIUQX-QGZVFWFLSA-N 0 1 305.425 3.437 20 30 DGEDMN Cc1noc(C)c1CC(=O)Nc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000028855492 431709713 /nfs/dbraw/zinc/70/97/13/431709713.db2.gz AFOCIORBQYIQJK-UHFFFAOYSA-N 0 1 311.345 3.216 20 30 DGEDMN CCCN1CCN([C@H](C#N)c2ccc(Br)cc2)CC1 ZINC000120983395 431738405 /nfs/dbraw/zinc/73/84/05/431738405.db2.gz RHJZJHNPCYTPON-OAHLLOKOSA-N 0 1 322.250 3.041 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000041521408 431859736 /nfs/dbraw/zinc/85/97/36/431859736.db2.gz HLUZEKCEFGUXLK-LLVKDONJSA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C)c([N+](=O)[O-])c2)n1 ZINC000041521408 431859738 /nfs/dbraw/zinc/85/97/38/431859738.db2.gz HLUZEKCEFGUXLK-LLVKDONJSA-N 0 1 301.327 3.158 20 30 DGEDMN N#Cc1ccc(C(=O)[C@@H](C#N)c2ccc(C(F)(F)F)cn2)cc1 ZINC000041555413 431860299 /nfs/dbraw/zinc/86/02/99/431860299.db2.gz JHHCNQYGIJZNEA-ZDUSSCGKSA-N 0 1 315.254 3.462 20 30 DGEDMN N#Cc1ccc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)cc1 ZINC000041555413 431860303 /nfs/dbraw/zinc/86/03/03/431860303.db2.gz JHHCNQYGIJZNEA-ZDUSSCGKSA-N 0 1 315.254 3.462 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(Cc1ccncc1)C1CC1 ZINC000124914021 431908414 /nfs/dbraw/zinc/90/84/14/431908414.db2.gz VXEXTCRPVBGNCW-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCOC3(CCCC3)C2)cc1 ZINC000093588986 431915870 /nfs/dbraw/zinc/91/58/70/431915870.db2.gz CLZCEEJLDMPDIX-OAHLLOKOSA-N 0 1 300.402 3.122 20 30 DGEDMN C#CCN(Cc1ccc(OC)c(OC)c1OC)C1CCCC1 ZINC000093819742 431917704 /nfs/dbraw/zinc/91/77/04/431917704.db2.gz JMUOEECRKFJZMR-UHFFFAOYSA-N 0 1 303.402 3.090 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1Cc2ccccc2[C@H](c2ccccc2)C1 ZINC000046054959 431893113 /nfs/dbraw/zinc/89/31/13/431893113.db2.gz UUPUUAKHMKRTKI-UZLBHIALSA-N 0 1 320.436 3.325 20 30 DGEDMN CC(C)CN(CC(N)=O)Cc1ccc(-c2ccccc2C#N)cc1 ZINC000046512993 431897910 /nfs/dbraw/zinc/89/79/10/431897910.db2.gz PDIZEEKXGHSZEX-UHFFFAOYSA-N 0 1 321.424 3.169 20 30 DGEDMN C=C(C)COc1cc(CN2CCC[C@@H](OC)C2)ccc1OC ZINC000091772918 431904765 /nfs/dbraw/zinc/90/47/65/431904765.db2.gz YDFBTGWHWJYLGB-MRXNPFEDSA-N 0 1 305.418 3.261 20 30 DGEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H](C)c1ccc(F)cc1 ZINC000054341032 431971094 /nfs/dbraw/zinc/97/10/94/431971094.db2.gz IIPQPLNTPCVUPY-KSSFIOAISA-N 0 1 319.424 3.263 20 30 DGEDMN Cc1cc(C)n(-c2ccc(CNCc3ccc(C#N)cc3)cn2)n1 ZINC000048743580 431931574 /nfs/dbraw/zinc/93/15/74/431931574.db2.gz KQZDTARGPICQLJ-UHFFFAOYSA-N 0 1 317.396 3.046 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(C(C)(C)C)c2C)n1 ZINC000048788617 431932316 /nfs/dbraw/zinc/93/23/16/431932316.db2.gz LTTNGIIWMBZHGH-LLVKDONJSA-N 0 1 302.403 3.202 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N(C)CCc1ccccc1 ZINC000051999054 431954046 /nfs/dbraw/zinc/95/40/46/431954046.db2.gz POADRIMWIOHGGV-OAHLLOKOSA-N 0 1 307.397 3.060 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000056950347 431989616 /nfs/dbraw/zinc/98/96/16/431989616.db2.gz IULQYRAALYEMCR-MRXNPFEDSA-N 0 1 307.413 3.036 20 30 DGEDMN N#CC(C(=O)c1cncc(F)c1)c1nc(-c2ccncc2)cs1 ZINC000151848750 432282075 /nfs/dbraw/zinc/28/20/75/432282075.db2.gz FPGRQXVLRGCYAP-CYBMUJFWSA-N 0 1 324.340 3.229 20 30 DGEDMN Cc1csc([C@@H](NC[C@@H](O)c2ccc(C#N)cc2)C2CC2)n1 ZINC000183511696 432299198 /nfs/dbraw/zinc/29/91/98/432299198.db2.gz JJXJWQJZRTWEBZ-CVEARBPZSA-N 0 1 313.426 3.098 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1cn(CCC#N)nc1-c1ccccc1 ZINC000191757608 432299872 /nfs/dbraw/zinc/29/98/72/432299872.db2.gz DTYMLEWPFQQYIO-UHFFFAOYSA-N 0 1 324.428 3.098 20 30 DGEDMN C=CCN(CCOC)Cc1cn(CCC#N)nc1-c1ccccc1 ZINC000191757608 432299877 /nfs/dbraw/zinc/29/98/77/432299877.db2.gz DTYMLEWPFQQYIO-UHFFFAOYSA-N 0 1 324.428 3.098 20 30 DGEDMN Cc1cc(-n2cccn2)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000191457481 432266735 /nfs/dbraw/zinc/26/67/35/432266735.db2.gz LMAMLRYYQIDXCC-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=CCN(CCOC)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000191961087 432317673 /nfs/dbraw/zinc/31/76/73/432317673.db2.gz LSFVMAPKXORLHX-UHFFFAOYSA-N 0 1 319.792 3.005 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CCC4(CCCC4)C3)o2)cc1 ZINC000174260585 432333610 /nfs/dbraw/zinc/33/36/10/432333610.db2.gz CBINCSUCCOVKMA-UHFFFAOYSA-N 0 1 308.385 3.374 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)C[C@@H]1OCCc2ccccc21 ZINC000271008133 432313953 /nfs/dbraw/zinc/31/39/53/432313953.db2.gz HKEUWSQDYJNYTE-FQEVSTJZSA-N 0 1 322.408 3.313 20 30 DGEDMN C#CCSCCNCc1csc(-c2ccc(F)cc2)n1 ZINC000152958354 432372048 /nfs/dbraw/zinc/37/20/48/432372048.db2.gz ABDHTOPBVPEMSM-UHFFFAOYSA-N 0 1 306.431 3.405 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1n[nH]c2ccccc21)c1ccccc1 ZINC000153285434 432397205 /nfs/dbraw/zinc/39/72/05/432397205.db2.gz SDXMWBUIXYEOKL-OAHLLOKOSA-N 0 1 304.353 3.338 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN2CC[C@@H](C)C2)ccc1Cl ZINC000261392541 432428409 /nfs/dbraw/zinc/42/84/09/432428409.db2.gz PPVWSEHUKCETGD-CYBMUJFWSA-N 0 1 319.836 3.237 20 30 DGEDMN C=C(C)[C@H](NC(=O)CN(CC)C1CC1)c1ccc(F)c(F)c1 ZINC000184857554 432440023 /nfs/dbraw/zinc/44/00/23/432440023.db2.gz FDCQRADCLKMUIO-KRWDZBQOSA-N 0 1 308.372 3.183 20 30 DGEDMN COc1cc(C#N)ccc1OCCN[C@H](C)c1ncc(C)s1 ZINC000184993001 432454689 /nfs/dbraw/zinc/45/46/89/432454689.db2.gz CEGAAEZFXIIHEF-GFCCVEGCSA-N 0 1 317.414 3.061 20 30 DGEDMN C[C@H](C#N)CSc1ccccc1NC(=O)CCc1cnc[nH]1 ZINC000193197329 432455218 /nfs/dbraw/zinc/45/52/18/432455218.db2.gz HSMWPMYFKJUCJF-GFCCVEGCSA-N 0 1 314.414 3.233 20 30 DGEDMN Cc1ccn2cc(CN[C@H](C)c3ccc(OCC#N)cc3)nc2c1 ZINC000271852797 432426084 /nfs/dbraw/zinc/42/60/84/432426084.db2.gz IVRSKQDLCONFRG-OAHLLOKOSA-N 0 1 320.396 3.396 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CN2CCC(C)(C#N)CC2)cc1 ZINC000272499060 432512461 /nfs/dbraw/zinc/51/24/61/432512461.db2.gz GNPHUQSXXKOBIE-UHFFFAOYSA-N 0 1 310.401 3.211 20 30 DGEDMN Cn1cc([C@H](N[C@@H]2CCC[C@H](C#N)C2)c2ccc(F)cc2)cn1 ZINC000193305191 432463697 /nfs/dbraw/zinc/46/36/97/432463697.db2.gz JMDRBCIYOXMMPT-MORSLUCNSA-N 0 1 312.392 3.321 20 30 DGEDMN N#CCc1ccccc1NS(=O)(=O)c1ccc(F)cc1Cl ZINC000193357412 432467875 /nfs/dbraw/zinc/46/78/75/432467875.db2.gz SOYOSDGAFMKRNT-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000185669134 432522202 /nfs/dbraw/zinc/52/22/02/432522202.db2.gz FJYBTWBRJZYIOZ-IINYFYTJSA-N 0 1 305.447 3.296 20 30 DGEDMN CCOCc1cc(CN2CCC(C)(C#N)CC2)ccc1OC ZINC000272580496 432527588 /nfs/dbraw/zinc/52/75/88/432527588.db2.gz XXSWJDQARNNEEZ-UHFFFAOYSA-N 0 1 302.418 3.357 20 30 DGEDMN CC1(C#N)CCN(C[C@@H](O)c2ccc(Br)cc2)CC1 ZINC000272602096 432531249 /nfs/dbraw/zinc/53/12/49/432531249.db2.gz QYSRPTFPUSBQSN-CQSZACIVSA-N 0 1 323.234 3.108 20 30 DGEDMN COC(=O)C1(C/N=C/c2cc(Cl)cc(Cl)c2O)CC1 ZINC000185917801 432546181 /nfs/dbraw/zinc/54/61/81/432546181.db2.gz FKWRBAHXSCSBRM-OMCISZLKSA-N 0 1 302.157 3.071 20 30 DGEDMN N#CC(C(N)=O)c1nc(-c2ccc(Cl)cc2Cl)cs1 ZINC000155686986 432567225 /nfs/dbraw/zinc/56/72/25/432567225.db2.gz HSTMKFUJMXKVFL-QMMMGPOBSA-N 0 1 312.181 3.209 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCN(Cc2ccccc2)CC1 ZINC000186286698 432577695 /nfs/dbraw/zinc/57/76/95/432577695.db2.gz AOWSBSFIHDGMHI-KRWDZBQOSA-N 0 1 305.425 3.437 20 30 DGEDMN CC(C)(C)OC(=O)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC000263882537 432589188 /nfs/dbraw/zinc/58/91/88/432589188.db2.gz MWIWDQGAEZDPJP-UHFFFAOYSA-N 0 1 300.402 3.112 20 30 DGEDMN N#Cc1ccc(CN(Cc2cccc3c2OCCO3)C2CC2)cc1 ZINC000194983556 432592150 /nfs/dbraw/zinc/59/21/50/432592150.db2.gz HGIMFRSOHIQTKO-UHFFFAOYSA-N 0 1 320.392 3.494 20 30 DGEDMN C=CCCCC(=O)Nc1cc(Cl)ccc1N1CCN(C)CC1 ZINC000177513113 432631737 /nfs/dbraw/zinc/63/17/37/432631737.db2.gz FOGZVGGJPXYOFK-UHFFFAOYSA-N 0 1 321.852 3.387 20 30 DGEDMN CCN(CC)[C@H](C(=O)NCc1cccc(C#N)c1)c1ccccc1 ZINC000264139135 432618675 /nfs/dbraw/zinc/61/86/75/432618675.db2.gz HGQZJNYOGCRREA-IBGZPJMESA-N 0 1 321.424 3.258 20 30 DGEDMN N#Cc1cc(CSc2nc(-c3cccnc3)n[nH]2)ccc1F ZINC000158549756 432650159 /nfs/dbraw/zinc/65/01/59/432650159.db2.gz KRADAKNKOBYCJN-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN N#CC(C(=O)c1noc2c1CCCCC2)c1nc2ccccc2[nH]1 ZINC000158769613 432656721 /nfs/dbraw/zinc/65/67/21/432656721.db2.gz PJXNXCIUPQWFDT-GFCCVEGCSA-N 0 1 320.352 3.310 20 30 DGEDMN CCN(Cc1nc2ccccc2c(=O)[nH]1)CC(C)(C)CCC#N ZINC000159919512 432700668 /nfs/dbraw/zinc/70/06/68/432700668.db2.gz NUKFRQKHZPMVRS-UHFFFAOYSA-N 0 1 312.417 3.075 20 30 DGEDMN C=C(C)[C@@H](NCc1n[nH]c(C2CC2)n1)c1ccc(F)c(F)c1 ZINC000187790219 432685285 /nfs/dbraw/zinc/68/52/85/432685285.db2.gz HYFRNEYLZFNIPQ-OAHLLOKOSA-N 0 1 304.344 3.367 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2n[nH]c3c2cccc3Cl)cc1O ZINC000188953711 432755024 /nfs/dbraw/zinc/75/50/24/432755024.db2.gz GSFVGIHEIHBKII-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN CCn1c2ccc(F)cc2nc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000189202016 432770646 /nfs/dbraw/zinc/77/06/46/432770646.db2.gz MPHWLBUDIIXOIC-UHFFFAOYSA-N 0 1 324.315 3.025 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@H](O)C(C)(C)C2)cc1Cl ZINC000275700583 432743584 /nfs/dbraw/zinc/74/35/84/432743584.db2.gz BMRJKQDOXMQQLG-INIZCTEOSA-N 0 1 309.837 3.498 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccc(OC(F)(F)F)cc2)CCCO1 ZINC000178939968 432791282 /nfs/dbraw/zinc/79/12/82/432791282.db2.gz GJEOYQZMHIPYDN-CYBMUJFWSA-N 0 1 313.319 3.048 20 30 DGEDMN Cc1c(C#N)cccc1NS(=O)(=O)c1ccc(F)cc1Cl ZINC000179027694 432796816 /nfs/dbraw/zinc/79/68/16/432796816.db2.gz JIYDEPFPHIUEKB-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN N#Cc1ccccc1CN(C[C@H]1CCCO1)[C@H]1CCSC1 ZINC000245888718 432867884 /nfs/dbraw/zinc/86/78/84/432867884.db2.gz ODRUWYIWVCBYJE-DLBZAZTESA-N 0 1 302.443 3.045 20 30 DGEDMN C=CC[C@H]1N(CC(=O)N(C)c2nccs2)CCCC1(C)C ZINC000277739355 432873537 /nfs/dbraw/zinc/87/35/37/432873537.db2.gz KQQSXIFGYJZCTI-CYBMUJFWSA-N 0 1 307.463 3.173 20 30 DGEDMN C#Cc1ccc(CN2CCCN(C(=O)c3ccsc3)CC2)cc1 ZINC000289239344 432937245 /nfs/dbraw/zinc/93/72/45/432937245.db2.gz ZIMYBONKDUIAPM-UHFFFAOYSA-N 0 1 324.449 3.078 20 30 DGEDMN C#CC(C)(C)N(C)Cc1cccc(C(=O)NCc2ccco2)c1 ZINC000279185199 432943175 /nfs/dbraw/zinc/94/31/75/432943175.db2.gz DIQYBKUEVVPQAY-UHFFFAOYSA-N 0 1 310.397 3.053 20 30 DGEDMN CCC1(CC)CCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)CC1 ZINC000330186311 432896625 /nfs/dbraw/zinc/89/66/25/432896625.db2.gz JRUDNRUPRAVJBI-CQSZACIVSA-N 0 1 304.438 3.014 20 30 DGEDMN C[C@@H]1CC[C@H]([NH+]=C([O-])N[C@@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330184041 432896679 /nfs/dbraw/zinc/89/66/79/432896679.db2.gz IIRPVOOVHRFOHS-CPUCHLNUSA-N 0 1 324.428 3.340 20 30 DGEDMN C[C@@H]1CC[C@H](NC([O-])=[NH+][C@@H]2CCn3ccnc3C2)c2ccccc21 ZINC000330184041 432896680 /nfs/dbraw/zinc/89/66/80/432896680.db2.gz IIRPVOOVHRFOHS-CPUCHLNUSA-N 0 1 324.428 3.340 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CCCC[C@@H]2C)cn1 ZINC000330234414 432898801 /nfs/dbraw/zinc/89/88/01/432898801.db2.gz SQDPUQUZGNWWKX-SMDDNHRTSA-N 0 1 301.394 3.176 20 30 DGEDMN C[C@H](c1ccc(F)cc1F)N1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC000278755603 432899801 /nfs/dbraw/zinc/89/98/01/432899801.db2.gz XMXNNFXOYRSQCZ-FMKPAKJESA-N 0 1 319.399 3.336 20 30 DGEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N(CC1CC1)C1CCCCC1 ZINC000330250376 432899821 /nfs/dbraw/zinc/89/98/21/432899821.db2.gz HCDRHPIMCDYAEE-HNNXBMFYSA-N 0 1 316.449 3.157 20 30 DGEDMN CC(C)(C)c1nnsc1C(=O)N[C@H]1CCN(C2CCCC2)C1 ZINC000330296286 432903479 /nfs/dbraw/zinc/90/34/79/432903479.db2.gz GCVZFCCPCFNYEM-NSHDSACASA-N 0 1 322.478 3.157 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CC23CCCCC3)cn1 ZINC000330330848 432904891 /nfs/dbraw/zinc/90/48/91/432904891.db2.gz XEYPKKUGIWTSCD-CQSZACIVSA-N 0 1 313.405 3.321 20 30 DGEDMN Cn1cc([C@H]2CSCCN2CCCCC(C)(C)C#N)cn1 ZINC000278910944 432917049 /nfs/dbraw/zinc/91/70/49/432917049.db2.gz VXKDKNPPXPOTAI-OAHLLOKOSA-N 0 1 306.479 3.230 20 30 DGEDMN CC[C@H]1CC[C@H](C)N1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000330644156 432921743 /nfs/dbraw/zinc/92/17/43/432921743.db2.gz FMAPZIRTDJRZNY-FZMZJTMJSA-N 0 1 305.809 3.413 20 30 DGEDMN C[C@H]1CCc2nc(NC(=O)c3ccc(C#N)c(O)c3)sc2C1 ZINC000332129505 432975549 /nfs/dbraw/zinc/97/55/49/432975549.db2.gz DKVQMPWKQQJOGR-VIFPVBQESA-N 0 1 313.382 3.097 20 30 DGEDMN CN(CCc1ccccc1[N+](=O)[O-])Cc1ccc(C#N)s1 ZINC000289391721 432953586 /nfs/dbraw/zinc/95/35/86/432953586.db2.gz NOAMLTBMUBPBNL-UHFFFAOYSA-N 0 1 301.371 3.202 20 30 DGEDMN C#C[C@@H](NC(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1)C(C)C ZINC000297969420 433036979 /nfs/dbraw/zinc/03/69/79/433036979.db2.gz LUYLMUUSWYUDGK-PBHICJAKSA-N 0 1 324.428 3.376 20 30 DGEDMN C#Cc1ccc(CNCc2ccc(C)cc2O[C@@H]2CCOC2)cc1 ZINC000290420967 433049899 /nfs/dbraw/zinc/04/98/99/433049899.db2.gz RAMKEOPHLSNZOQ-HXUWFJFHSA-N 0 1 321.420 3.434 20 30 DGEDMN CCCn1cc[nH+]c1CCC[N@H+](C)Cc1ccc(C#N)s1 ZINC000290486687 433065865 /nfs/dbraw/zinc/06/58/65/433065865.db2.gz OHJPYDZZAWFJME-UHFFFAOYSA-N 0 1 302.447 3.291 20 30 DGEDMN COc1cc(CN2CCC[C@H]2c2c(C)n[nH]c2C)ccc1C#N ZINC000290557530 433097022 /nfs/dbraw/zinc/09/70/22/433097022.db2.gz SCSJGGUNCPCCNL-INIZCTEOSA-N 0 1 310.401 3.244 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@H]1CCCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000298432928 433136363 /nfs/dbraw/zinc/13/63/63/433136363.db2.gz WUUJRIQJPIFRRG-KRXQYRFLSA-N 0 1 313.445 3.292 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccccc1OC(F)F ZINC000298481944 433152188 /nfs/dbraw/zinc/15/21/88/433152188.db2.gz GPOXSJUWBBNLJT-CYBMUJFWSA-N 0 1 324.371 3.139 20 30 DGEDMN C[C@H]1CN(Cc2cccc(OCCCC#N)c2)C[C@@H](C2CC2)O1 ZINC000373474296 433152639 /nfs/dbraw/zinc/15/26/39/433152639.db2.gz MAGNGFDNQTTXEB-KXBFYZLASA-N 0 1 314.429 3.368 20 30 DGEDMN C#CC(C)(C)[N@H+](C)CCC(=O)Nc1cc(Cl)ccc1OC ZINC000298644894 433203374 /nfs/dbraw/zinc/20/33/74/433203374.db2.gz WZRCQQIZIDFSHL-UHFFFAOYSA-N 0 1 308.809 3.021 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1ccc(F)cc1)c1ccc(C#N)cc1 ZINC000447543878 433222213 /nfs/dbraw/zinc/22/22/13/433222213.db2.gz SVFKTJTZMXCTQS-QGZVFWFLSA-N 0 1 311.360 3.377 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2ccc(C#N)cc2F)C1 ZINC000453624279 433243137 /nfs/dbraw/zinc/24/31/37/433243137.db2.gz QACZREGVYNQHSD-GOSISDBHSA-N 0 1 318.392 3.251 20 30 DGEDMN Cc1nsc(NCCCN2CCc3ccccc3C2)c1C#N ZINC000341743593 433246086 /nfs/dbraw/zinc/24/60/86/433246086.db2.gz LQHUDQCQPZGBHK-UHFFFAOYSA-N 0 1 312.442 3.184 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)c(F)c1 ZINC000374729048 433267244 /nfs/dbraw/zinc/26/72/44/433267244.db2.gz VHJGBROHLQWDBH-QGZVFWFLSA-N 0 1 320.371 3.494 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2ccccc2-c2nnc[nH]2)cc1 ZINC000338134215 433278869 /nfs/dbraw/zinc/27/88/69/433278869.db2.gz NPHWYUJZQDIPFG-UHFFFAOYSA-N 0 1 320.352 3.289 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cccc(C#N)c3C)n2)cc1 ZINC000338191325 433281282 /nfs/dbraw/zinc/28/12/82/433281282.db2.gz QGBYVUKBNLOEDK-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)CC[S@](=O)c1ccccc1 ZINC000425535298 433287074 /nfs/dbraw/zinc/28/70/74/433287074.db2.gz NDQWICYLMVNYML-QRQCRPRQSA-N 0 1 312.438 3.359 20 30 DGEDMN CC1=C(C)CN([C@@H]2CCCN(c3ccc(C#N)cc3)C2=O)CC1 ZINC000361619941 433296233 /nfs/dbraw/zinc/29/62/33/433296233.db2.gz PAYJWNQIAPHOCS-GOSISDBHSA-N 0 1 309.413 3.096 20 30 DGEDMN C[N@@H+](C1CCCCC1)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447850250 433302534 /nfs/dbraw/zinc/30/25/34/433302534.db2.gz LSEZCADETYGWID-SFHVURJKSA-N 0 1 311.429 3.318 20 30 DGEDMN CC(C)[C@@H]1CCCN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000299243943 433354090 /nfs/dbraw/zinc/35/40/90/433354090.db2.gz WBHVHODOXKQEDB-HNNXBMFYSA-N 0 1 305.809 3.271 20 30 DGEDMN CN(Cc1ccc(C#N)c(F)c1)C[C@H]1OCCc2ccccc21 ZINC000361708122 433356665 /nfs/dbraw/zinc/35/66/65/433356665.db2.gz XGIAKVRRGCAKSZ-LJQANCHMSA-N 0 1 310.372 3.443 20 30 DGEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)Nc1ccc(C#N)cc1Cl ZINC000354958073 433377224 /nfs/dbraw/zinc/37/72/24/433377224.db2.gz LESNHHKESHLQPP-HNNXBMFYSA-N 0 1 320.824 3.016 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCCc2[nH]cnc21 ZINC000413983476 433338049 /nfs/dbraw/zinc/33/80/49/433338049.db2.gz HHHQDBRPBNWGPA-HOTGVXAUSA-N 0 1 309.413 3.133 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)c(F)c1)c1ccc([S@@](C)=O)cc1 ZINC000361862994 433429765 /nfs/dbraw/zinc/42/97/65/433429765.db2.gz SHMYPWXXHKNUDW-VERVWZFWSA-N 0 1 316.401 3.286 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](CCO)CC2)c(OC(F)F)c1 ZINC000421024452 433391788 /nfs/dbraw/zinc/39/17/88/433391788.db2.gz XHJZDOJHMUHXGD-ZDUSSCGKSA-N 0 1 324.371 3.144 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000426038565 433408057 /nfs/dbraw/zinc/40/80/57/433408057.db2.gz ZQVFROWJNPPYIV-KRWDZBQOSA-N 0 1 319.424 3.008 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(OCC3CC3)nc2)cc1F ZINC000421331182 433479794 /nfs/dbraw/zinc/47/97/94/433479794.db2.gz ZIXDBHJWDSFNMF-UHFFFAOYSA-N 0 1 311.360 3.171 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N[C@H]1CC[N@H+](C2CCCC2)C1 ZINC000301290758 433489041 /nfs/dbraw/zinc/48/90/41/433489041.db2.gz NMJDOJJTZKZHKR-LBPRGKRZSA-N 0 1 324.350 3.401 20 30 DGEDMN COc1cc(CN2CCC(OC(C)(C)C)CC2)ccc1C#N ZINC000282280827 433551877 /nfs/dbraw/zinc/55/18/77/433551877.db2.gz KZAPDRJQYHIEMV-UHFFFAOYSA-N 0 1 302.418 3.346 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)NCC1CCCCC1 ZINC000362174396 433563951 /nfs/dbraw/zinc/56/39/51/433563951.db2.gz XHYNGYWMJDDNOV-UHFFFAOYSA-N 0 1 307.482 3.335 20 30 DGEDMN C=CCN(Cc1ccoc1C)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000414384231 433588979 /nfs/dbraw/zinc/58/89/79/433588979.db2.gz PBHUGQDFHAKNAX-AWEZNQCLSA-N 0 1 323.400 3.313 20 30 DGEDMN CC[C@H]1CN(CC)CCN1C(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000456342816 433606159 /nfs/dbraw/zinc/60/61/59/433606159.db2.gz CNIBQNDYAAVKKJ-KRWDZBQOSA-N 0 1 313.445 3.044 20 30 DGEDMN CCn1nnc(C)c1CN(Cc1ccc(C#N)cc1)CC(C)C ZINC000449013899 433659924 /nfs/dbraw/zinc/65/99/24/433659924.db2.gz NCIUVGQEOCDYSY-UHFFFAOYSA-N 0 1 311.433 3.136 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3cccc4ccoc43)CC2)nc1 ZINC000449011378 433660513 /nfs/dbraw/zinc/66/05/13/433660513.db2.gz PYQABGRLVTYFSY-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN CCOCC(C)(C)NCc1ccc(Br)cc1C#N ZINC000293221828 433662130 /nfs/dbraw/zinc/66/21/30/433662130.db2.gz KAMQSZKBNKIIQF-UHFFFAOYSA-N 0 1 311.223 3.225 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCCN(Cc2ccccc2)C[C@@H]1C ZINC000293261817 433676261 /nfs/dbraw/zinc/67/62/61/433676261.db2.gz SXBRQFPMNNXJFJ-HNNXBMFYSA-N 0 1 322.399 3.321 20 30 DGEDMN C=CCSc1ccccc1C(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000362402215 433696574 /nfs/dbraw/zinc/69/65/74/433696574.db2.gz LTARDEFRISRGNA-NSHDSACASA-N 0 1 316.430 3.136 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Oc3ccc(F)cc3)C2)s1 ZINC000368165871 293098321 /nfs/dbraw/zinc/09/83/21/293098321.db2.gz HVLSMMHQKQUMOF-AWEZNQCLSA-N 0 1 302.374 3.412 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN1CC[C@@H](C2CCOCC2)C1 ZINC000373462360 293277951 /nfs/dbraw/zinc/27/79/51/293277951.db2.gz ZWTLCYHPDZMPDN-OAHLLOKOSA-N 0 1 304.821 3.460 20 30 DGEDMN CC(C)C[C@H](N)c1noc([C@@H](C)Oc2ccccc2C#N)n1 ZINC000414622723 433750573 /nfs/dbraw/zinc/75/05/73/433750573.db2.gz SQIHDIYGSIZVIV-YPMHNXCESA-N 0 1 300.362 3.127 20 30 DGEDMN Cc1cc(C#N)cc(NC2CCN(Cc3ccncc3)CC2)c1 ZINC000449756910 433767938 /nfs/dbraw/zinc/76/79/38/433767938.db2.gz CNKLSPBKFISZLH-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN Cc1c(Nc2nccc(C#N)c2[N+](=O)[O-])cnn1CCC(C)C ZINC000301790963 433722884 /nfs/dbraw/zinc/72/28/84/433722884.db2.gz NXFDDMNSHMLQRN-UHFFFAOYSA-N 0 1 314.349 3.156 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(OCCCC)CC1 ZINC000362449637 433723655 /nfs/dbraw/zinc/72/36/55/433723655.db2.gz PMOBLGYYQGSZEB-INIZCTEOSA-N 0 1 310.482 3.081 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCc2cccc(C)c2C1 ZINC000429562585 433778900 /nfs/dbraw/zinc/77/89/00/433778900.db2.gz WQKKESAHBJPIGK-MRXNPFEDSA-N 0 1 300.446 3.166 20 30 DGEDMN N#Cc1ccc(OCc2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000356966058 433787449 /nfs/dbraw/zinc/78/74/49/433787449.db2.gz RFRWFQRGWYXLKT-UHFFFAOYSA-N 0 1 317.308 3.064 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2c(C)cc(Cl)cc2C)C1=O ZINC000343609059 433821277 /nfs/dbraw/zinc/82/12/77/433821277.db2.gz WDWYEFBKJQEOJJ-INIZCTEOSA-N 0 1 306.837 3.176 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000351982698 433832116 /nfs/dbraw/zinc/83/21/16/433832116.db2.gz OVGGAESDEZHSFD-LJQANCHMSA-N 0 1 321.399 3.334 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1ccc(F)cc1C#N ZINC000303697763 433960736 /nfs/dbraw/zinc/96/07/36/433960736.db2.gz AOTDPTNMOLHCCP-INIZCTEOSA-N 0 1 323.415 3.404 20 30 DGEDMN Cc1nsc(N2CCN(CCCC(C)(C)C)CC2)c1C#N ZINC000352191508 433964633 /nfs/dbraw/zinc/96/46/33/433964633.db2.gz SFVCBWMJEVXBFE-UHFFFAOYSA-N 0 1 306.479 3.272 20 30 DGEDMN C[C@@H](NCCCOc1cccc(C#N)c1)c1c(F)cncc1F ZINC000285594583 434009364 /nfs/dbraw/zinc/00/93/64/434009364.db2.gz IYYDOVSNWUKOOC-GFCCVEGCSA-N 0 1 317.339 3.351 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2C[C@H](O)c2ccco2)ccc1F ZINC000305084903 434035566 /nfs/dbraw/zinc/03/55/66/434035566.db2.gz YHNGEFOKPMKZOU-RDJZCZTQSA-N 0 1 314.360 3.378 20 30 DGEDMN Cc1ccc2nc(CNc3ccc(C#N)c([N+](=O)[O-])c3)[nH]c2c1 ZINC000357483252 434037634 /nfs/dbraw/zinc/03/76/34/434037634.db2.gz JHYBJGGAAYOFKV-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN CC[C@H]1CN(c2nccc(C)c2C#N)CCN1Cc1ccccc1 ZINC000353293408 434074404 /nfs/dbraw/zinc/07/44/04/434074404.db2.gz OAMLLZJZRYJQSR-SFHVURJKSA-N 0 1 320.440 3.363 20 30 DGEDMN CO[C@@H]1CCC[N@@H+](Cc2ccc(C#N)cc2Br)CC1 ZINC000363674168 434115495 /nfs/dbraw/zinc/11/54/95/434115495.db2.gz MCADZOGBOHYUHW-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN CO[C@@H]1CCCN(Cc2ccc(C#N)cc2Br)CC1 ZINC000363674168 434115506 /nfs/dbraw/zinc/11/55/06/434115506.db2.gz MCADZOGBOHYUHW-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN C=C(Br)CN1C[C@H](c2ccccc2)[C@H]2COCC[C@@H]21 ZINC000286100647 434161474 /nfs/dbraw/zinc/16/14/74/434161474.db2.gz TYXLNUKGTQKDLI-OAGGEKHMSA-N 0 1 322.246 3.400 20 30 DGEDMN CCOC[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000437160166 434225228 /nfs/dbraw/zinc/22/52/28/434225228.db2.gz PEPQXPXXQYMMLC-CQSZACIVSA-N 0 1 324.371 3.408 20 30 DGEDMN C=C[C@@H](CO)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000353562394 434228271 /nfs/dbraw/zinc/22/82/71/434228271.db2.gz CDHTUOYTYCUPQY-STQMWFEESA-N 0 1 305.365 3.246 20 30 DGEDMN COc1cc(CN2CCN(C)C[C@H]2c2ccccc2)ccc1C#N ZINC000326255361 434279438 /nfs/dbraw/zinc/27/94/38/434279438.db2.gz GAWZMPBIVMBDSZ-IBGZPJMESA-N 0 1 321.424 3.056 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000348365624 434292289 /nfs/dbraw/zinc/29/22/89/434292289.db2.gz CEGLHGMVJMWRNV-CVEARBPZSA-N 0 1 307.413 3.082 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000348365628 434292871 /nfs/dbraw/zinc/29/28/71/434292871.db2.gz CEGLHGMVJMWRNV-HZPDHXFCSA-N 0 1 307.413 3.082 20 30 DGEDMN Cn1ccnc1[C@@H]1CCCN(CC#Cc2cccc(Cl)c2)C1 ZINC000365625911 434302739 /nfs/dbraw/zinc/30/27/39/434302739.db2.gz IOGZEFWEDYDPTD-MRXNPFEDSA-N 0 1 313.832 3.305 20 30 DGEDMN CCOC(=O)c1cc(CNC2(c3cccc(C#N)c3)CC2)[nH]c1C ZINC000295339382 434317875 /nfs/dbraw/zinc/31/78/75/434317875.db2.gz CUTSZEAOTZLMJI-UHFFFAOYSA-N 0 1 323.396 3.150 20 30 DGEDMN O=C(NC1(c2nnc[nH]2)CCC1)c1coc(C2CCCCC2)n1 ZINC000329259324 434381312 /nfs/dbraw/zinc/38/13/12/434381312.db2.gz AFRPKVXIXPNQFY-UHFFFAOYSA-N 0 1 315.377 3.224 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+][C@H]3CC[C@H](C)CC3)C2)c1 ZINC000329256705 434381708 /nfs/dbraw/zinc/38/17/08/434381708.db2.gz BAHCKEGGADQSCW-FVQBIDKESA-N 0 1 304.438 3.321 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000340744822 434335127 /nfs/dbraw/zinc/33/51/27/434335127.db2.gz PCBPUCGOJPXYBZ-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN CC(C)C1CCC(CNC(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000329004619 434340979 /nfs/dbraw/zinc/34/09/79/434340979.db2.gz YKAFSYCMCZZZTR-JXQTWKCFSA-N 0 1 304.438 3.329 20 30 DGEDMN CC(C)C1CCC(CNC(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000329004619 434340991 /nfs/dbraw/zinc/34/09/91/434340991.db2.gz YKAFSYCMCZZZTR-JXQTWKCFSA-N 0 1 304.438 3.329 20 30 DGEDMN CCOC[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000437845786 434352885 /nfs/dbraw/zinc/35/28/85/434352885.db2.gz KEOJCHLXOWNIMN-CYBMUJFWSA-N 0 1 310.344 3.018 20 30 DGEDMN C[C@@H]1C[C@H](C(C)(C)C)CCN1C([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329424931 434407849 /nfs/dbraw/zinc/40/78/49/434407849.db2.gz IHSSSDNADAQPGW-YUELXQCFSA-N 0 1 318.465 3.328 20 30 DGEDMN CC1(C)C[C@H](NC(=O)Nc2cc3n(n2)CCCC3)c2ccccc21 ZINC000329432457 434410195 /nfs/dbraw/zinc/41/01/95/434410195.db2.gz KPGVQEAMEMOMML-INIZCTEOSA-N 0 1 324.428 3.968 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]CC3C(C)(C)C3(C)C)C2)c1 ZINC000329628226 434448374 /nfs/dbraw/zinc/44/83/74/434448374.db2.gz UNTLLUJIBDNZRN-CYBMUJFWSA-N 0 1 304.438 3.035 20 30 DGEDMN CN(CCc1ccccc1[N+](=O)[O-])Cc1cc(C#N)cs1 ZINC000348639194 434423860 /nfs/dbraw/zinc/42/38/60/434423860.db2.gz YBASDYUMHIOXTN-UHFFFAOYSA-N 0 1 301.371 3.202 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCCC[C@H]2C1)c1cccn2c(O)nnc12 ZINC000329507071 434424374 /nfs/dbraw/zinc/42/43/74/434424374.db2.gz LSCBWPMTSYXWSV-RWMBFGLXSA-N 0 1 314.389 3.098 20 30 DGEDMN C[C@H](C1CCCCC1)N(C)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329634688 434448558 /nfs/dbraw/zinc/44/85/58/434448558.db2.gz XGQUHAAEJGNFJA-OCCSQVGLSA-N 0 1 304.438 3.082 20 30 DGEDMN Cc1cccc([C@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])c1C ZINC000417558377 434436929 /nfs/dbraw/zinc/43/69/29/434436929.db2.gz FEDMYTDNBXMSNA-HNNXBMFYSA-N 0 1 300.383 3.152 20 30 DGEDMN CC(C)(C)c1csc([NH+]=C([O-])N[C@H]2CCc3[nH]cnc3C2)n1 ZINC000329804835 434481839 /nfs/dbraw/zinc/48/18/39/434481839.db2.gz AGMPXUMZLHREMH-VIFPVBQESA-N 0 1 319.434 3.047 20 30 DGEDMN Cc1nc(C2(NC(=O)CN3[C@H](C)CC[C@H]3C)CCCCC2)no1 ZINC000329807773 434482058 /nfs/dbraw/zinc/48/20/58/434482058.db2.gz OXEMGMYEHSTSIK-CHWSQXEVSA-N 0 1 320.437 3.367 20 30 DGEDMN C#CCN1CCC(C(=O)N2Cc3cccc(C)c3CC2(C)C)CC1 ZINC000296080057 434502082 /nfs/dbraw/zinc/50/20/82/434502082.db2.gz GZMVBSAYOKOJEH-UHFFFAOYSA-N 0 1 324.468 3.004 20 30 DGEDMN Cc1nc(-c2ccc(CNCc3cc(C#N)n(C)c3)o2)cs1 ZINC000359970185 434452847 /nfs/dbraw/zinc/45/28/47/434452847.db2.gz KZNDTHUUGAMCSZ-UHFFFAOYSA-N 0 1 312.398 3.212 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000360104880 434519359 /nfs/dbraw/zinc/51/93/59/434519359.db2.gz NYNKJLFRAITWAH-KBPBESRZSA-N 0 1 315.417 3.157 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](c3ccccc3)C[C@@H]2C)CC1 ZINC000366951963 434532986 /nfs/dbraw/zinc/53/29/86/434532986.db2.gz KYTKSIXFNATMRF-FXAWDEMLSA-N 0 1 324.468 3.126 20 30 DGEDMN N#CCCOCCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000451023887 434536175 /nfs/dbraw/zinc/53/61/75/434536175.db2.gz VQKZNWCCLFCPIW-OAHLLOKOSA-N 0 1 318.808 3.283 20 30 DGEDMN N#CC1(CN2C[C@@H](c3ccc(Cl)cc3)[C@H](CO)C2)CCC1 ZINC000451049335 434544726 /nfs/dbraw/zinc/54/47/26/434544726.db2.gz ZDZQIUVAUKSQCL-HOCLYGCPSA-N 0 1 304.821 3.042 20 30 DGEDMN N#Cc1c(CN2CCC([C@H]3CCOC3)CC2)cn2ccccc12 ZINC000368235236 434569911 /nfs/dbraw/zinc/56/99/11/434569911.db2.gz OCRWLUCTJXWFFN-INIZCTEOSA-N 0 1 309.413 3.059 20 30 DGEDMN C[C@H]1CCN(CC(=O)N(C)C2(C#N)CCCCC2)CC1(C)C ZINC000354024643 434584749 /nfs/dbraw/zinc/58/47/49/434584749.db2.gz RNIXPPHAXBSTGD-HNNXBMFYSA-N 0 1 305.466 3.039 20 30 DGEDMN C#CCOc1ccc(CN[C@H]2CCOc3c(OC)cccc32)cc1 ZINC000369292916 434591726 /nfs/dbraw/zinc/59/17/26/434591726.db2.gz JPVNHRXFAQDUKJ-SFHVURJKSA-N 0 1 323.392 3.321 20 30 DGEDMN CCn1nccc1C1CCN(Cc2cc(C#N)ccc2OC)CC1 ZINC000369294317 434591797 /nfs/dbraw/zinc/59/17/97/434591797.db2.gz QLHXBSIBULICLN-UHFFFAOYSA-N 0 1 324.428 3.163 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)[C@H]1CCc2[nH]nnc2C1 ZINC000329002758 295382922 /nfs/dbraw/zinc/38/29/22/295382922.db2.gz WKKSGEKCKVFPCF-RFQIPJPRSA-N 0 1 302.422 3.225 20 30 DGEDMN O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)[C@H]1CCc2nn[nH]c2C1 ZINC000329002758 295382926 /nfs/dbraw/zinc/38/29/26/295382926.db2.gz WKKSGEKCKVFPCF-RFQIPJPRSA-N 0 1 302.422 3.225 20 30 DGEDMN CCCn1ncc(NC(=O)c2ccc(C#N)c(O)c2)c1C1CC1 ZINC000452466838 434620869 /nfs/dbraw/zinc/62/08/69/434620869.db2.gz PAFHSJXEKBPNQP-UHFFFAOYSA-N 0 1 310.357 3.000 20 30 DGEDMN COc1cc(C(=O)Nc2sc(C(C)(C)C)cc2C#N)on1 ZINC000411005802 434698357 /nfs/dbraw/zinc/69/83/57/434698357.db2.gz HKMFJNGHJJXMIK-UHFFFAOYSA-N 0 1 305.359 3.166 20 30 DGEDMN CC(C)(CC#N)CNCc1cn2ccc(Br)cc2n1 ZINC000459726381 434681781 /nfs/dbraw/zinc/68/17/81/434681781.db2.gz FIEYSYLXOUFIGY-UHFFFAOYSA-N 0 1 321.222 3.126 20 30 DGEDMN Cc1sc(NC(=O)CN(C)C2CC(C)(C)C2)c(C#N)c1C ZINC000459737171 434689140 /nfs/dbraw/zinc/68/91/40/434689140.db2.gz HPPAZAXJOYRQEM-UHFFFAOYSA-N 0 1 305.447 3.296 20 30 DGEDMN CC(C)C(=O)N1CCCN(CCC2(C#N)CCCCC2)CC1 ZINC000411215982 434747892 /nfs/dbraw/zinc/74/78/92/434747892.db2.gz INXQRWVHAWCGEG-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@@H](C)c1ccccc1SC ZINC000440609176 434724029 /nfs/dbraw/zinc/72/40/29/434724029.db2.gz CPTQKRZZFCOHRL-AWEZNQCLSA-N 0 1 306.475 3.484 20 30 DGEDMN CC(C)(C)c1[nH]n(CCOCC2CCC2)c(=O)c1CCC#N ZINC000418904673 434727625 /nfs/dbraw/zinc/72/76/25/434727625.db2.gz UDAZSQZKHXUYJO-UHFFFAOYSA-N 0 1 305.422 3.159 20 30 DGEDMN C[C@@H](CN(C)Cc1cccc(C#N)c1F)C(=O)OC(C)(C)C ZINC000459822060 434731344 /nfs/dbraw/zinc/73/13/44/434731344.db2.gz HAWRWDOELYHWLW-LBPRGKRZSA-N 0 1 306.381 3.107 20 30 DGEDMN C[C@@H](CCC#N)N1CCCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000411193822 434742659 /nfs/dbraw/zinc/74/26/59/434742659.db2.gz TZWHFJCNNOYWHK-AWEZNQCLSA-N 0 1 319.836 3.180 20 30 DGEDMN N#CC1(CC[N@@H+]2CCC[C@@](O)(C(F)(F)F)C2)CCCCC1 ZINC000411287785 434757964 /nfs/dbraw/zinc/75/79/64/434757964.db2.gz ZJFIWBRBMRBPIU-AWEZNQCLSA-N 0 1 304.356 3.240 20 30 DGEDMN N#CC1(CCN2CCC[C@@](O)(C(F)(F)F)C2)CCCCC1 ZINC000411287785 434757969 /nfs/dbraw/zinc/75/79/69/434757969.db2.gz ZJFIWBRBMRBPIU-AWEZNQCLSA-N 0 1 304.356 3.240 20 30 DGEDMN CC(C)(CC#N)CN[C@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000419343481 434775106 /nfs/dbraw/zinc/77/51/06/434775106.db2.gz CSFAQPDMIMEGIC-KRWDZBQOSA-N 0 1 313.445 3.270 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@H](C)[C@@H](C)[C@H]2C)c(C#N)c1C ZINC000419369212 434777656 /nfs/dbraw/zinc/77/76/56/434777656.db2.gz HGUGKDHQVBWAMF-HOSYDEDBSA-N 0 1 305.447 3.151 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](C)c1ccncc1CC ZINC000361127914 434804993 /nfs/dbraw/zinc/80/49/93/434804993.db2.gz BPOLSUKMBIBCPM-LSDHHAIUSA-N 0 1 303.450 3.108 20 30 DGEDMN CCc1cnccc1[C@@H](C)N[C@H](C)C(=O)Nc1ccccc1C#N ZINC000361132065 434805230 /nfs/dbraw/zinc/80/52/30/434805230.db2.gz NEGOKKZENKDDHY-ZIAGYGMSSA-N 0 1 322.412 3.193 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN([C@H]3C[C@H]3c3cccc(F)c3)C2)nc1 ZINC000411756100 434827039 /nfs/dbraw/zinc/82/70/39/434827039.db2.gz JWWIVAAJHNXQHW-SQNIBIBYSA-N 0 1 323.371 3.102 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)Cc2cccc(C#N)c2)c1 ZINC000521381006 434881791 /nfs/dbraw/zinc/88/17/91/434881791.db2.gz GSOZSWCNAHPETM-UHFFFAOYSA-N 0 1 308.337 3.038 20 30 DGEDMN C=C(C)CC[N@@H+](CC(=O)[O-])Cc1cccc(Br)c1 ZINC000573331710 434889765 /nfs/dbraw/zinc/88/97/65/434889765.db2.gz BNRRACZCIUKZCQ-UHFFFAOYSA-N 0 1 312.207 3.302 20 30 DGEDMN CC[C@H](CC1CCCCC1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000573058578 434871332 /nfs/dbraw/zinc/87/13/32/434871332.db2.gz SRTLDTZDKKWNRG-MRXNPFEDSA-N 0 1 305.466 3.087 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)cc1F ZINC000554838872 434948342 /nfs/dbraw/zinc/94/83/42/434948342.db2.gz ALWGQKRXZWMYQJ-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCc1cccc([N+](=O)[O-])c1 ZINC000554847149 434949188 /nfs/dbraw/zinc/94/91/88/434949188.db2.gz RSJOLCWSZFLORX-UHFFFAOYSA-N 0 1 312.344 3.260 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccccc2C)nc(C)n1 ZINC000528918694 435030700 /nfs/dbraw/zinc/03/07/00/435030700.db2.gz PWWKXIZFMARGTG-GUYCJALGSA-N 0 1 307.397 3.457 20 30 DGEDMN CN(C(=O)C[N@H+]1CCC[C@H]1C(C)(C)C)C1(C#N)CCCCC1 ZINC000524756758 434988717 /nfs/dbraw/zinc/98/87/17/434988717.db2.gz NGZBXMHPHMIEHW-HNNXBMFYSA-N 0 1 305.466 3.182 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2C[C@H](C)C[C@@H]2C)c1C ZINC000525410934 435007315 /nfs/dbraw/zinc/00/73/15/435007315.db2.gz RAWPYESDJWMCIK-ZBFHGGJFSA-N 0 1 313.445 3.280 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)c1cccc2nn[nH]c21 ZINC000527181619 435009799 /nfs/dbraw/zinc/00/97/99/435009799.db2.gz PDXSIQZBZLNUSC-UHFFFAOYSA-N 0 1 306.369 3.176 20 30 DGEDMN COc1ccc(-n2cc(CN3CCC(CC#N)CC3)cn2)cc1 ZINC000576909377 435058893 /nfs/dbraw/zinc/05/88/93/435058893.db2.gz CAVYGJPWEOYAGL-UHFFFAOYSA-N 0 1 310.401 3.007 20 30 DGEDMN C[C@H]1C[C@@H](C(C)(C)C)CCN1CC(=O)NC1(C#N)CCCCC1 ZINC000558177547 435080612 /nfs/dbraw/zinc/08/06/12/435080612.db2.gz MBRZJAQCXOSSEJ-HOTGVXAUSA-N 0 1 319.493 3.476 20 30 DGEDMN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NC[C@@H](C#N)CCC#N ZINC000556884051 435034857 /nfs/dbraw/zinc/03/48/57/435034857.db2.gz CEPVEMQDJRGMEV-OQIJWPOYSA-N 0 1 311.429 3.371 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC000529521458 435037359 /nfs/dbraw/zinc/03/73/59/435037359.db2.gz ONNRXNIJPJPDMZ-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN N#Cc1ccsc1NC(=O)CCN1CC[C@H]1c1ccccc1 ZINC000533335004 435226319 /nfs/dbraw/zinc/22/63/19/435226319.db2.gz ISIVJYUKGXODKA-HNNXBMFYSA-N 0 1 311.410 3.395 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2C3CCC2CC3)c1C ZINC000563487275 435268376 /nfs/dbraw/zinc/26/83/76/435268376.db2.gz UMJDAEBKXVLAAF-UHFFFAOYSA-N 0 1 311.429 3.177 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCNC[C@H]1c1ccc(CC)cc1 ZINC000565151164 435276977 /nfs/dbraw/zinc/27/69/77/435276977.db2.gz JGZUCFNYTGZWQC-YJBOKZPZSA-N 0 1 300.446 3.324 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H](C)C[C@@H](C)C2)c1C#N ZINC000535964339 435365458 /nfs/dbraw/zinc/36/54/58/435365458.db2.gz PTVRGCHUYDFJFV-UONOGXRCSA-N 0 1 300.402 3.213 20 30 DGEDMN C=C(C)CN(C)CC(=O)NCCc1cccc(Cl)c1Cl ZINC000481322851 435421203 /nfs/dbraw/zinc/42/12/03/435421203.db2.gz UDMRGLGTEQZXBA-UHFFFAOYSA-N 0 1 315.244 3.160 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@H](c2cccnc2)C1 ZINC000639697167 435394138 /nfs/dbraw/zinc/39/41/38/435394138.db2.gz CGOKOTVFNFQXQC-AEFFLSMTSA-N 0 1 315.461 3.074 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](NCc1cnn(CC(F)(F)F)c1)CC2 ZINC000568806337 435473391 /nfs/dbraw/zinc/47/33/91/435473391.db2.gz GBLXIXVXJSVIDJ-OAHLLOKOSA-N 0 1 320.318 3.094 20 30 DGEDMN N#CCC[C@H](C#N)CNCC1(c2c(F)cccc2F)CCC1 ZINC000568373675 435429764 /nfs/dbraw/zinc/42/97/64/435429764.db2.gz WKQQHFOEQPVGCY-CYBMUJFWSA-N 0 1 303.356 3.420 20 30 DGEDMN C=CCN(CCOC)Cc1cc(C)c(Br)s1 ZINC000483427744 435520054 /nfs/dbraw/zinc/52/00/54/435520054.db2.gz BDBDHXUGUCQIEW-UHFFFAOYSA-N 0 1 304.253 3.453 20 30 DGEDMN C=C(C)[C@@H](CO)N(CC)Cc1cc(Br)ccc1F ZINC000649273627 435554798 /nfs/dbraw/zinc/55/47/98/435554798.db2.gz YSKLEOWYMGPAQD-CQSZACIVSA-N 0 1 316.214 3.347 20 30 DGEDMN N#Cc1ccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)nc1 ZINC000569768399 435559645 /nfs/dbraw/zinc/55/96/45/435559645.db2.gz GFTKOAFEGBABQK-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@](C)(C(=O)OC)C2)cc1Cl ZINC000539824479 435572301 /nfs/dbraw/zinc/57/23/01/435572301.db2.gz GLUJULXJNYWWDJ-KRWDZBQOSA-N 0 1 323.820 3.290 20 30 DGEDMN C=C(C)CN(CCc1cncc(C)n1)Cc1cnn(C(C)C)c1 ZINC000649610035 435642897 /nfs/dbraw/zinc/64/28/97/435642897.db2.gz GEYIIRFSHQJPCQ-UHFFFAOYSA-N 0 1 313.449 3.183 20 30 DGEDMN OC1(C2CCN(CC#Cc3ccc(C(F)(F)F)cc3)CC2)CC1 ZINC000649673781 435663567 /nfs/dbraw/zinc/66/35/67/435663567.db2.gz ZUDMJZFUZGAIOF-UHFFFAOYSA-N 0 1 323.358 3.294 20 30 DGEDMN CC[C@H](CC#N)NC[C@H](O)COc1ccc(Cl)cc1Cl ZINC000571697278 435729510 /nfs/dbraw/zinc/72/95/10/435729510.db2.gz GCDWROFIVSXMLY-NEPJUHHUSA-N 0 1 317.216 3.015 20 30 DGEDMN C#C[C@H](NC1CC(CC(=O)OC)C1)c1ccc(Cl)cc1F ZINC000491485733 435757942 /nfs/dbraw/zinc/75/79/42/435757942.db2.gz QRRPPJFQHOPNEG-QHAMSDLMSA-N 0 1 309.768 3.085 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@@H](CC2CC2)C1 ZINC000550029742 435787505 /nfs/dbraw/zinc/78/75/05/435787505.db2.gz VZJXGEQJVARVGA-ZDUSSCGKSA-N 0 1 317.820 3.272 20 30 DGEDMN CC(C)[C@H](NCC#Cc1cccc(Cl)c1)[C@H](O)C(F)(F)F ZINC000660525792 435788972 /nfs/dbraw/zinc/78/89/72/435788972.db2.gz PBVNAZBYCORYSE-KBPBESRZSA-N 0 1 319.754 3.229 20 30 DGEDMN C=CCC1(C(=O)Nc2ccc(-c3nnc[nH]3)cc2F)CCC1 ZINC000660565251 435807999 /nfs/dbraw/zinc/80/79/99/435807999.db2.gz FNGHJVXGRATFKZ-UHFFFAOYSA-N 0 1 300.337 3.296 20 30 DGEDMN C#C[C@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccc(Cl)cc1 ZINC000491622090 435780446 /nfs/dbraw/zinc/78/04/46/435780446.db2.gz GQQVEDHFGKMSQO-HNNXBMFYSA-N 0 1 323.783 3.250 20 30 DGEDMN CC(C)n1cc(C2=CCCN(CC#Cc3ccccc3)CC2)nn1 ZINC000660717208 435868616 /nfs/dbraw/zinc/86/86/16/435868616.db2.gz CUOZZHOOXAYBCN-UHFFFAOYSA-N 0 1 320.440 3.390 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)NCc2nc3cccc(C)c3[nH]2)C1 ZINC000660975326 435934819 /nfs/dbraw/zinc/93/48/19/435934819.db2.gz JEXAAOPJAPHQSJ-AWEZNQCLSA-N 0 1 312.417 3.369 20 30 DGEDMN C=CCN(Cc1cc(-c2ccc(OC)cc2)no1)[C@@H](C)COC ZINC000661239876 436003884 /nfs/dbraw/zinc/00/38/84/436003884.db2.gz PFTNNXADNTZXMK-AWEZNQCLSA-N 0 1 316.401 3.373 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)NCc1ccc2cncn2c1 ZINC000661305748 436026752 /nfs/dbraw/zinc/02/67/52/436026752.db2.gz YJDNKAQLSUQDCY-DOTOQJQBSA-N 0 1 312.417 3.268 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000661414019 436060240 /nfs/dbraw/zinc/06/02/40/436060240.db2.gz JDCOIMIXAOBQJD-VHDGCEQUSA-N 0 1 302.422 3.221 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](C)c2ccc(F)cc2F)nn1 ZINC000657381520 436168564 /nfs/dbraw/zinc/16/85/64/436168564.db2.gz GVWUYSKFRORLDJ-GFCCVEGCSA-N 0 1 306.360 3.026 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](C)Sc2ccccc2)nn1 ZINC000657379303 436168883 /nfs/dbraw/zinc/16/88/83/436168883.db2.gz SZINJUXKQJHBRD-CQSZACIVSA-N 0 1 302.447 3.125 20 30 DGEDMN C=CCCn1cc(CNCCc2c(C)oc3ccccc32)nn1 ZINC000657438180 436202723 /nfs/dbraw/zinc/20/27/23/436202723.db2.gz VSUDYDNVSSVVOO-UHFFFAOYSA-N 0 1 310.401 3.241 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CCCS(=O)(=O)c1ccc(F)cc1 ZINC000662087763 436270065 /nfs/dbraw/zinc/27/00/65/436270065.db2.gz KAOWJOSSCCGBKF-IYBDPMFKSA-N 0 1 323.433 3.173 20 30 DGEDMN C=CCCn1cc(CN[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)nn1 ZINC000657701639 436281978 /nfs/dbraw/zinc/28/19/78/436281978.db2.gz AFFOVNBDMRMIQV-HZPDHXFCSA-N 0 1 318.465 3.070 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccn(C(C)(C)C)n3)[nH]c21 ZINC000654981610 436479731 /nfs/dbraw/zinc/47/97/31/436479731.db2.gz UZFVBMOYDCCQCQ-GFCCVEGCSA-N 0 1 321.384 3.313 20 30 DGEDMN Cc1ccccc1[C@H]1CCCN1CC(=O)N[C@@](C)(C#N)C(C)C ZINC000052212407 302217518 /nfs/dbraw/zinc/21/75/18/302217518.db2.gz PYUHGXYLLLBKBM-MJGOQNOKSA-N 0 1 313.445 3.186 20 30 DGEDMN N#C[C@@H]1CC[C@H](N[C@H](CCO)c2ccccc2Br)C1 ZINC000442834122 303160784 /nfs/dbraw/zinc/16/07/84/303160784.db2.gz AHAMTVGATQCGIN-TYNCELHUSA-N 0 1 323.234 3.154 20 30 DGEDMN C[C@@H](Cc1ccsc1)N1CCN(c2ccccc2C#N)CC1 ZINC000543059028 314112106 /nfs/dbraw/zinc/11/21/06/314112106.db2.gz MOMQYSXLPAFDPY-HNNXBMFYSA-N 0 1 311.454 3.373 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cn1)c1cccc(OC(F)F)c1 ZINC000554364540 314676390 /nfs/dbraw/zinc/67/63/90/314676390.db2.gz IBAMUWWEHOEAOH-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN Cc1nccn1-c1ccc([C@H](C)NCc2ccc(C#N)cn2)cc1 ZINC000558235038 314834546 /nfs/dbraw/zinc/83/45/46/314834546.db2.gz GUECGTQVLSVEAY-AWEZNQCLSA-N 0 1 317.396 3.298 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CC2)OCCc2ccccc23)nc1 ZINC000565169963 315237212 /nfs/dbraw/zinc/23/72/12/315237212.db2.gz CBRYOGULVTZEOL-UHFFFAOYSA-N 0 1 319.408 3.017 20 30 DGEDMN CCCN(Cc1ccc(F)cc1)Cc1cnc2c(C#N)cnn2c1 ZINC000566245910 315325420 /nfs/dbraw/zinc/32/54/20/315325420.db2.gz YZDHGAIYULDRFC-UHFFFAOYSA-N 0 1 323.375 3.152 20 30 DGEDMN C[C@H](NCCOC[C@H]1CCCO1)c1ccc(C#N)cc1Cl ZINC000575738158 316057448 /nfs/dbraw/zinc/05/74/48/316057448.db2.gz YLGCVKSEZLLQLU-GXTWGEPZSA-N 0 1 308.809 3.058 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cnc(N2CCCC2)nc1 ZINC000577604009 316252025 /nfs/dbraw/zinc/25/20/25/316252025.db2.gz DMPPVBYQJYFMSA-HNNXBMFYSA-N 0 1 321.428 3.141 20 30 DGEDMN COc1cc(C#N)ccc1OCCN[C@H](C)c1nc(C)cs1 ZINC000184181696 323357698 /nfs/dbraw/zinc/35/76/98/323357698.db2.gz NMSSNBYIIZOOCE-GFCCVEGCSA-N 0 1 317.414 3.061 20 30 DGEDMN N#Cc1ccc(N2CC[C@@H](N3CCC4(CCCC4)CC3)C2=O)cc1 ZINC000582448244 324230727 /nfs/dbraw/zinc/23/07/27/324230727.db2.gz XMBLMNINEDVCJN-GOSISDBHSA-N 0 1 323.440 3.320 20 30 DGEDMN COC(=O)C[C@H](NCc1cccc(F)c1C#N)C1CCCCC1 ZINC000428346032 533708259 /nfs/dbraw/zinc/70/82/59/533708259.db2.gz GQQVHUOZOIAYGL-KRWDZBQOSA-N 0 1 318.392 3.299 20 30 DGEDMN Cc1c(Br)cccc1C(=O)C(C#N)c1ccncn1 ZINC000354465906 533708927 /nfs/dbraw/zinc/70/89/27/533708927.db2.gz JOTDJCIFNRCEAI-NSHDSACASA-N 0 1 316.158 3.038 20 30 DGEDMN Cc1cc(CNCc2cccnc2OCC(F)F)ccc1C#N ZINC000443997383 533709011 /nfs/dbraw/zinc/70/90/11/533709011.db2.gz QJPRQJYPTYJPIW-UHFFFAOYSA-N 0 1 317.339 3.195 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccc2c(c1)OCO2 ZINC000150876446 332196467 /nfs/dbraw/zinc/19/64/67/332196467.db2.gz MIIDWJGYNSMPOP-UHFFFAOYSA-N 0 1 304.390 3.032 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000531066908 332244828 /nfs/dbraw/zinc/24/48/28/332244828.db2.gz HQQFLBQAZHXGHZ-BBRMVZONSA-N 0 1 324.852 3.040 20 30 DGEDMN CC[C@H](CC#N)NCCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000580343670 335116419 /nfs/dbraw/zinc/11/64/19/335116419.db2.gz VBFVBFXCYCPWBT-CYBMUJFWSA-N 0 1 314.345 3.074 20 30 DGEDMN N#C[C@H]1CCC[C@H](Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000185728433 333212944 /nfs/dbraw/zinc/21/29/44/333212944.db2.gz YYJFKXNUPXNNTA-HKUYNNGSSA-N 0 1 313.445 3.056 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](c2ccc(F)cc2F)C(C)(C)C)C1=O ZINC000499603921 333286735 /nfs/dbraw/zinc/28/67/35/333286735.db2.gz AXWIGEUSWVHOJM-JKSUJKDBSA-N 0 1 322.399 3.429 20 30 DGEDMN Fc1ccc(C#CCN2CCCN(c3ccccc3)CC2)cc1 ZINC000532608632 336257525 /nfs/dbraw/zinc/25/75/25/336257525.db2.gz XIWVQRYFGVTRFK-UHFFFAOYSA-N 0 1 308.400 3.390 20 30 DGEDMN C[C@H](C(=O)N(C)C1(C#N)CCC(C)CC1)N1CCC(C)CC1 ZINC000097056440 337942796 /nfs/dbraw/zinc/94/27/96/337942796.db2.gz OQCICOWOEZKBTF-BFHRDPOASA-N 0 1 305.466 3.038 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1CN1CCC(CCC#N)CC1 ZINC000516056776 338003228 /nfs/dbraw/zinc/00/32/28/338003228.db2.gz APPVSIHTNMISDO-UHFFFAOYSA-N 0 1 303.362 3.119 20 30 DGEDMN CC#CCCNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000499212266 340032521 /nfs/dbraw/zinc/03/25/21/340032521.db2.gz KAIGJFWULFDSJB-UHFFFAOYSA-N 0 1 300.383 3.042 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000499426692 340036668 /nfs/dbraw/zinc/03/66/68/340036668.db2.gz ZODASNAOFOIHEM-IAGOWNOFSA-N 0 1 300.446 3.464 20 30 DGEDMN C=CCN(CCOC)Cc1cccc(C(=O)OC(C)(C)C)c1 ZINC000501929134 340090917 /nfs/dbraw/zinc/09/09/17/340090917.db2.gz OXECOGJYYXQVRV-UHFFFAOYSA-N 0 1 305.418 3.276 20 30 DGEDMN Cc1cccc(NC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000505444682 340168611 /nfs/dbraw/zinc/16/86/11/340168611.db2.gz SMGNLVTYFDNVIZ-CQSZACIVSA-N 0 1 304.353 3.426 20 30 DGEDMN CN(CC#Cc1ccc(OC(F)(F)F)cc1)[C@@H]1CCCOC1 ZINC000510153975 340290814 /nfs/dbraw/zinc/29/08/14/340290814.db2.gz FDCSBLWQWSLNJO-CQSZACIVSA-N 0 1 313.319 3.048 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H](C)N2CCCCCC2)c1 ZINC000510477575 340300669 /nfs/dbraw/zinc/30/06/69/340300669.db2.gz FCYMBHJJTPFZMT-OAHLLOKOSA-N 0 1 302.418 3.454 20 30 DGEDMN CCCCc1oc2ccccc2c1CN1CCN(CC#N)CC1 ZINC000513133480 340394519 /nfs/dbraw/zinc/39/45/19/340394519.db2.gz JENXCXSFOSDRIG-UHFFFAOYSA-N 0 1 311.429 3.417 20 30 DGEDMN N#CC1(NC(=O)c2cccc(C(F)(F)F)c2O)CCCCC1 ZINC000517244911 340466496 /nfs/dbraw/zinc/46/64/96/340466496.db2.gz OTAKHQDCQMBDJJ-UHFFFAOYSA-N 0 1 312.291 3.367 20 30 DGEDMN COc1ccc([C@H](NCC#Cc2ccc(F)cc2)C2CC2)cn1 ZINC000528854971 340736927 /nfs/dbraw/zinc/73/69/27/340736927.db2.gz XEDIODCMLWCAOP-LJQANCHMSA-N 0 1 310.372 3.322 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](c1ccc(F)cc1F)C(C)C ZINC000538227282 340814084 /nfs/dbraw/zinc/81/40/84/340814084.db2.gz MHRLIANIZUQFOP-SFHVURJKSA-N 0 1 322.399 3.452 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(-c3n[nH]c(C)n3)cc2)n1 ZINC000134109992 341206706 /nfs/dbraw/zinc/20/67/06/341206706.db2.gz VQTLXZMGUOURIE-ZDUSSCGKSA-N 0 1 323.381 3.035 20 30 DGEDMN N#CCc1ccccc1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000550100386 341309974 /nfs/dbraw/zinc/30/99/74/341309974.db2.gz YBSNEXPVJPIDIG-UHFFFAOYSA-N 0 1 308.366 3.457 20 30 DGEDMN Cc1cc(CN[C@H]2C[C@H](C)N(c3ccccc3)C2=O)ccc1C#N ZINC000444002258 533737732 /nfs/dbraw/zinc/73/77/32/533737732.db2.gz NCUZDJXZJFGGCB-KXBFYZLASA-N 0 1 319.408 3.150 20 30 DGEDMN COC(=O)c1cc(C(C)(C)NCCCCC(C)(C)C#N)no1 ZINC000352006830 533750129 /nfs/dbraw/zinc/75/01/29/533750129.db2.gz JZGDDDVKRHOISB-UHFFFAOYSA-N 0 1 307.394 3.006 20 30 DGEDMN C[C@H](CC1CCCCC1)[NH+]=C([O-])N1CC[C@@H](n2cccn2)C1 ZINC000329816309 533773527 /nfs/dbraw/zinc/77/35/27/533773527.db2.gz OBYWYMCLBFDBHZ-GDBMZVCRSA-N 0 1 304.438 3.403 20 30 DGEDMN C[C@H](NCc1cccc(N2CCC2=O)c1)c1ccc(C#N)cc1 ZINC000442134593 533927048 /nfs/dbraw/zinc/92/70/48/533927048.db2.gz XADNJWJYOJXJMT-AWEZNQCLSA-N 0 1 305.381 3.146 20 30 DGEDMN Cc1cc(CC(=O)Nc2ccccc2S[C@H](C)CC#N)n[nH]1 ZINC000153456415 534153042 /nfs/dbraw/zinc/15/30/42/534153042.db2.gz JDXZALMURBMYRL-GFCCVEGCSA-N 0 1 314.414 3.294 20 30 DGEDMN Cc1cc(CC(=O)Nc2ccccc2SC[C@H](C)C#N)n[nH]1 ZINC000153189786 534153443 /nfs/dbraw/zinc/15/34/43/534153443.db2.gz XOOQVQHHQBHJGN-LLVKDONJSA-N 0 1 314.414 3.151 20 30 DGEDMN N#CCCN(CC1CC1)C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000353774491 534641094 /nfs/dbraw/zinc/64/10/94/534641094.db2.gz QPXMAXDWOUKZSY-UHFFFAOYSA-N 0 1 320.396 3.013 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(C)Cc1ccc(OCC)cc1 ZINC000489448602 534693103 /nfs/dbraw/zinc/69/31/03/534693103.db2.gz MLVREJPKEDSUKN-KRWDZBQOSA-N 0 1 316.445 3.084 20 30 DGEDMN Cn1cc(Oc2ccccc2C(=O)[C@H](C#N)c2ccccn2)cn1 ZINC000338626436 534748019 /nfs/dbraw/zinc/74/80/19/534748019.db2.gz NXLXWFLBBLJSOB-OAHLLOKOSA-N 0 1 318.336 3.097 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC000442709352 534755069 /nfs/dbraw/zinc/75/50/69/534755069.db2.gz ABWYOOABQNXURY-LSDHHAIUSA-N 0 1 306.413 3.143 20 30 DGEDMN Cc1cccc2nc(CCC(=O)N(CC#N)C3CCCC3)[nH]c21 ZINC000176682896 534767564 /nfs/dbraw/zinc/76/75/64/534767564.db2.gz NWFUELCKTQMCFY-UHFFFAOYSA-N 0 1 310.401 3.099 20 30 DGEDMN CC#CCCCC(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000185551613 526302958 /nfs/dbraw/zinc/30/29/58/526302958.db2.gz SYVPMMREUPNMBO-UHFFFAOYSA-N 0 1 316.470 3.368 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc(C(=O)OC)s1 ZINC000352699894 526327947 /nfs/dbraw/zinc/32/79/47/526327947.db2.gz GHOSLARRYKXYHB-UHFFFAOYSA-N 0 1 305.399 3.358 20 30 DGEDMN N#CCCN1CCN(C(c2ccccc2)c2ccccc2)CC1 ZINC000031945992 526459612 /nfs/dbraw/zinc/45/96/12/526459612.db2.gz PCBWOFJWKQXBBL-UHFFFAOYSA-N 0 1 305.425 3.307 20 30 DGEDMN C=C(C)CN(C)[C@H]1CCN(c2cccc(Br)c2)C1=O ZINC000433259804 526505782 /nfs/dbraw/zinc/50/57/82/526505782.db2.gz JPYAEKKLGIGIND-AWEZNQCLSA-N 0 1 323.234 3.062 20 30 DGEDMN C=C(C)CN(CCC(=O)OC(C)C)Cc1cnn(C(C)C)c1 ZINC000352899916 526550603 /nfs/dbraw/zinc/55/06/03/526550603.db2.gz GDQIWRSTZZTBNA-UHFFFAOYSA-N 0 1 307.438 3.184 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)C[C@H](O)CC(F)(F)F ZINC000352953584 526572457 /nfs/dbraw/zinc/57/24/57/526572457.db2.gz QTNWGFFQMAREKK-CQSZACIVSA-N 0 1 319.371 3.155 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000180034478 526573654 /nfs/dbraw/zinc/57/36/54/526573654.db2.gz JIXLYJWJMOZSJQ-MRXNPFEDSA-N 0 1 324.424 3.218 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](C)c1oc2ccc(OC)cc2c1C ZINC000184320419 526627330 /nfs/dbraw/zinc/62/73/30/526627330.db2.gz POGXSCZTGMHKPK-QWHCGFSZSA-N 0 1 316.401 3.091 20 30 DGEDMN C=CCOc1cccc(CN(C)[C@@H]2CCCc3c2cnn3C)c1 ZINC000180208917 526896558 /nfs/dbraw/zinc/89/65/58/526896558.db2.gz UUHSSBNETKQMDT-GOSISDBHSA-N 0 1 311.429 3.494 20 30 DGEDMN C=CCSCCNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000342404307 526999817 /nfs/dbraw/zinc/99/98/17/526999817.db2.gz RBVILDFSROWPMW-QGZVFWFLSA-N 0 1 318.486 3.077 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000432074472 527007493 /nfs/dbraw/zinc/00/74/93/527007493.db2.gz GJHZPVGHRFBVFI-AWEZNQCLSA-N 0 1 309.479 3.252 20 30 DGEDMN C=CCC(C)(C)CNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000352508930 527012521 /nfs/dbraw/zinc/01/25/21/527012521.db2.gz IMQVGFLWXBLNJX-KRWDZBQOSA-N 0 1 300.446 3.370 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cc(Cl)ccc2O)C1 ZINC000158081878 527076389 /nfs/dbraw/zinc/07/63/89/527076389.db2.gz SCIRZMHIOYQFKL-OAHLLOKOSA-N 0 1 322.836 3.092 20 30 DGEDMN CC(=O)c1ccc(C#N)c(NCCN(C)Cc2ccccc2)c1 ZINC000302128287 527224951 /nfs/dbraw/zinc/22/49/51/527224951.db2.gz XFAAHWSQHMCJPC-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCCC[C@@H](C)N1CCc2ccc(S(C)(=O)=O)cc2CC1 ZINC000338586528 527251523 /nfs/dbraw/zinc/25/15/23/527251523.db2.gz HEGYFEMRCCZREJ-OAHLLOKOSA-N 0 1 321.486 3.236 20 30 DGEDMN C=CCCN1CCN([C@H](C)c2nc(-c3cccs3)no2)CC1 ZINC000133088947 527325430 /nfs/dbraw/zinc/32/54/30/527325430.db2.gz XKUQBSVGDJNKOZ-CYBMUJFWSA-N 0 1 318.446 3.053 20 30 DGEDMN CC(=O)c1cccc(C(=O)C(C#N)c2nc3ccccc3n2C)c1 ZINC000340983006 527352933 /nfs/dbraw/zinc/35/29/33/527352933.db2.gz VPYBALSGAZFYNN-OAHLLOKOSA-N 0 1 317.348 3.266 20 30 DGEDMN CC(=O)c1cccc(C(O)=C(C#N)c2nc3ccccc3n2C)c1 ZINC000340983006 527352946 /nfs/dbraw/zinc/35/29/46/527352946.db2.gz VPYBALSGAZFYNN-OAHLLOKOSA-N 0 1 317.348 3.266 20 30 DGEDMN CC(C)[NH+]=C([O-])Nc1ccc(NC(=O)[C@]2(C)CCCOC2)cc1 ZINC000330791482 527386359 /nfs/dbraw/zinc/38/63/59/527386359.db2.gz TZQJNSGXVHXRTR-QGZVFWFLSA-N 0 1 319.405 3.176 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)c1cc(-c2cccc(OC)c2)[nH]n1 ZINC000428049329 527453869 /nfs/dbraw/zinc/45/38/69/527453869.db2.gz NJLRJDQUOURQFM-CQSZACIVSA-N 0 1 311.385 3.266 20 30 DGEDMN C=CCC[C@@H](O)CN1CCc2cccc(Br)c2C1 ZINC000177524511 527458224 /nfs/dbraw/zinc/45/82/24/527458224.db2.gz WTHDESBCQKQQJT-CYBMUJFWSA-N 0 1 310.235 3.134 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(CC(F)(F)C(F)F)CC1 ZINC000336862224 527460653 /nfs/dbraw/zinc/46/06/53/527460653.db2.gz HRPFXATWOYQMOP-UHFFFAOYSA-N 0 1 323.378 3.224 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C)[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000428026031 527461955 /nfs/dbraw/zinc/46/19/55/527461955.db2.gz XILPVPXGNJHFSM-BQFCYCMXSA-N 0 1 300.446 3.287 20 30 DGEDMN CC(=Cc1cc(C)c2ncccc2c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435339457 527498256 /nfs/dbraw/zinc/49/82/56/527498256.db2.gz UECAOGASNDZWQJ-WQLSENKSSA-N 0 1 316.364 3.367 20 30 DGEDMN CC(=Cc1coc(-c2ccccc2)n1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435342391 527507855 /nfs/dbraw/zinc/50/78/55/527507855.db2.gz GBEUQRARTXDIMN-FLIBITNWSA-N 0 1 318.336 3.166 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(-c2ccc(Br)cc2)o1 ZINC000352468099 527592111 /nfs/dbraw/zinc/59/21/11/527592111.db2.gz ZCZDKAYHEQSWGU-CYBMUJFWSA-N 0 1 322.202 3.346 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000135487745 527610986 /nfs/dbraw/zinc/61/09/86/527610986.db2.gz WJXXEZGABIOSMZ-SJLPKXTDSA-N 0 1 304.434 3.016 20 30 DGEDMN CC(C)[C@H](c1ccc(F)cc1)N(C)CC(=O)NC1(C#N)CCC1 ZINC000347103006 527750499 /nfs/dbraw/zinc/75/04/99/527750499.db2.gz YUSKYHZWVJREEU-QGZVFWFLSA-N 0 1 317.408 3.017 20 30 DGEDMN CCCN(CC)CC(=O)N(CCC#N)c1cc(C)cc(C)c1 ZINC000346305232 527961887 /nfs/dbraw/zinc/96/18/87/527961887.db2.gz UAAGMBOJLGVPDS-UHFFFAOYSA-N 0 1 301.434 3.282 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccnn2CC(F)F)n1 ZINC000434561821 528241706 /nfs/dbraw/zinc/24/17/06/528241706.db2.gz PMBRNVVKEKYVLZ-SECBINFHSA-N 0 1 324.356 3.218 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@H](C)c1ccc(C)cc1C ZINC000341235733 528616765 /nfs/dbraw/zinc/61/67/65/528616765.db2.gz HAWOUPMALKUSFM-DOTOQJQBSA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCCC[C@@H]1c1ccccc1 ZINC000358029459 528619802 /nfs/dbraw/zinc/61/98/02/528619802.db2.gz WXLOSGUGXFGJJM-FUHWJXTLSA-N 0 1 313.445 3.222 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1c1ccc(C)s1 ZINC000359062410 528621656 /nfs/dbraw/zinc/62/16/56/528621656.db2.gz DCGXVXMPHXWGMO-ZFWWWQNUSA-N 0 1 319.474 3.202 20 30 DGEDMN CC[N@@H+](Cc1ccc(C([O-])=C(C#N)c2ccncn2)cc1)C(C)C ZINC000347870774 528776715 /nfs/dbraw/zinc/77/67/15/528776715.db2.gz WKXWGRWGFDOTMR-KRWDZBQOSA-N 0 1 322.412 3.197 20 30 DGEDMN CCCn1c(C(O)=C(C#N)c2ccncn2)cc2ccccc21 ZINC000345176228 528810166 /nfs/dbraw/zinc/81/01/66/528810166.db2.gz YQLLQYOHFPSQFL-CQSZACIVSA-N 0 1 304.353 3.331 20 30 DGEDMN CC(C)N(CC(=O)Nc1ccccc1SCC#N)C1CC1 ZINC000173187112 528862215 /nfs/dbraw/zinc/86/22/15/528862215.db2.gz BJRXEAGJKMGRRQ-UHFFFAOYSA-N 0 1 303.431 3.114 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)CSCC#N)cc2[nH]1 ZINC000337016123 529046004 /nfs/dbraw/zinc/04/60/04/529046004.db2.gz LVKKQMHOGMRTQU-UHFFFAOYSA-N 0 1 302.403 3.101 20 30 DGEDMN CCN(C[C@@H](C)C#N)C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000494102398 535762973 /nfs/dbraw/zinc/76/29/73/535762973.db2.gz QLVRUKCEEVAFFI-LSDHHAIUSA-N 0 1 309.454 3.115 20 30 DGEDMN C[C@H](C1CC1)N(C)CC(=O)c1cn(CCC#N)c2ccccc12 ZINC000174833811 546108307 /nfs/dbraw/zinc/10/83/07/546108307.db2.gz CAZGMIZNFRTXBU-CQSZACIVSA-N 0 1 309.413 3.468 20 30 DGEDMN Cc1ccc(C[N@H+](C)[C@H](C)C(=O)NC2(C#N)CCCCC2)cc1 ZINC000196627834 546256732 /nfs/dbraw/zinc/25/67/32/546256732.db2.gz UXORDGYRKAQMFZ-MRXNPFEDSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1ccc(CN(C)[C@H](C)C(=O)NC2(C#N)CCCCC2)cc1 ZINC000196627834 546256737 /nfs/dbraw/zinc/25/67/37/546256737.db2.gz UXORDGYRKAQMFZ-MRXNPFEDSA-N 0 1 313.445 3.158 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000329540050 546487031 /nfs/dbraw/zinc/48/70/31/546487031.db2.gz CSJWRKSTUOALKR-GBJTYRQASA-N 0 1 316.449 3.130 20 30 DGEDMN C=CCCNCc1c(Br)cncc1Br ZINC000394534743 546760746 /nfs/dbraw/zinc/76/07/46/546760746.db2.gz QYCOEQCGYGTRHA-UHFFFAOYSA-N 0 1 320.028 3.272 20 30 DGEDMN C=C(C)[C@H](CO)N[C@H](C)c1ccc(Br)cc1F ZINC000671741193 547822426 /nfs/dbraw/zinc/82/24/26/547822426.db2.gz OHLLSNZUULGMOB-RNCFNFMXSA-N 0 1 302.187 3.176 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3occ4c3CCC4)[nH]c21 ZINC000671767041 547831001 /nfs/dbraw/zinc/83/10/01/547831001.db2.gz VRUAMRGFLSRVDG-ZDUSSCGKSA-N 0 1 305.337 3.443 20 30 DGEDMN C=CCCCCCN(C)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000673864306 548005603 /nfs/dbraw/zinc/00/56/03/548005603.db2.gz JMBHFHFJPNUUQJ-UHFFFAOYSA-N 0 1 313.405 3.477 20 30 DGEDMN OCC[C@H]1CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000674251343 548052082 /nfs/dbraw/zinc/05/20/82/548052082.db2.gz RFTLVUSIUFCTBL-OAHLLOKOSA-N 0 1 311.347 3.151 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(CC)[C@H](C)C2)c1 ZINC000677200957 548470917 /nfs/dbraw/zinc/47/09/17/548470917.db2.gz GEQCVJDYAYKOFC-OAHLLOKOSA-N 0 1 317.433 3.199 20 30 DGEDMN C=C(C)CN(C)Cc1cnc2c(C)cc(Br)cn12 ZINC000680334767 549031878 /nfs/dbraw/zinc/03/18/78/549031878.db2.gz MZXWDUYABQYMKL-UHFFFAOYSA-N 0 1 308.223 3.413 20 30 DGEDMN C=C(C)[C@@H](NC(=O)NCc1cc(C)[nH]n1)c1ccc(F)c(F)c1 ZINC000681311808 549191596 /nfs/dbraw/zinc/19/15/96/549191596.db2.gz SDJKVYZARFOFRR-OAHLLOKOSA-N 0 1 320.343 3.113 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000682158634 549298754 /nfs/dbraw/zinc/29/87/54/549298754.db2.gz PPJLRYVQOCPMKI-MRXNPFEDSA-N 0 1 321.808 3.061 20 30 DGEDMN N#Cc1ccc(CN2CCC(N=Nc3ccc(F)cn3)CC2)cc1 ZINC000731319378 574546943 /nfs/dbraw/zinc/54/69/43/574546943.db2.gz UKZAURRGFSPIAE-UHFFFAOYSA-N 0 1 323.375 3.156 20 30 DGEDMN COc1ccc(CN=Nc2ccc(F)cn2)cc1OC(F)F ZINC000731321843 574547228 /nfs/dbraw/zinc/54/72/28/574547228.db2.gz RAKDBKRWFNCWJN-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN Cc1nn(-c2ccc(F)cc2)c(C)c1C=NNc1cc(C)ncn1 ZINC000731934569 574562928 /nfs/dbraw/zinc/56/29/28/574562928.db2.gz CUQOTUFMDXZRQS-UHFFFAOYSA-N 0 1 324.363 3.173 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)cn1 ZINC000732546247 574575786 /nfs/dbraw/zinc/57/57/86/574575786.db2.gz INIKKSKBQYQGNN-JTQLQIEISA-N 0 1 324.771 3.084 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)NCc2cc(CC)[nH]n2)c1 ZINC000732881626 574586904 /nfs/dbraw/zinc/58/69/04/574586904.db2.gz NZIKARNGJGXBSQ-UHFFFAOYSA-N 0 1 314.389 3.249 20 30 DGEDMN c1ccc2c(N=NCc3cccc4c3OCCCO4)cnnc2c1 ZINC000733748340 574636441 /nfs/dbraw/zinc/63/64/41/574636441.db2.gz ROJMNQHUMMSBCY-UHFFFAOYSA-N 0 1 320.352 3.237 20 30 DGEDMN C#CCOc1ccccc1CNCc1c[nH]nc1-c1ccccc1 ZINC000734159888 574653500 /nfs/dbraw/zinc/65/35/00/574653500.db2.gz ZRJMMQVVBCFNNN-UHFFFAOYSA-N 0 1 317.392 3.379 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H](C)N2C3CCC2CC3)cc1 ZINC000734202925 574654512 /nfs/dbraw/zinc/65/45/12/574654512.db2.gz KTHNSZDLUJHTPR-ZLPCBKJTSA-N 0 1 311.429 3.257 20 30 DGEDMN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(O[C@H](C)C#N)cc1 ZINC000734852091 574679038 /nfs/dbraw/zinc/67/90/38/574679038.db2.gz OTBGLNGVLZBRFI-ACJLOTCBSA-N 0 1 322.412 3.243 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@@H]2CSc3ccccc32)nc(C)n1 ZINC000735769228 574713701 /nfs/dbraw/zinc/71/37/01/574713701.db2.gz HQDZEOVFZRXOCL-ZIAGYGMSSA-N 0 1 309.394 3.159 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CC3(Cl)Cl)[nH]c21 ZINC000735790578 574714818 /nfs/dbraw/zinc/71/48/18/574714818.db2.gz NMIZOKQRTFQLGY-BDAKNGLRSA-N 0 1 308.168 3.241 20 30 DGEDMN C=C(Cl)CNC(=O)[C@H](C)N1CCC(Cc2ccccc2)CC1 ZINC000736237859 574737244 /nfs/dbraw/zinc/73/72/44/574737244.db2.gz ANRSPXVXQRQFPQ-HNNXBMFYSA-N 0 1 320.864 3.198 20 30 DGEDMN Cc1nc(C)c(C=NNc2nc3cc(F)c(F)cc3[nH]2)s1 ZINC000736430260 574750883 /nfs/dbraw/zinc/75/08/83/574750883.db2.gz SZQKFNXZRNYQNP-UHFFFAOYSA-N 0 1 307.329 3.360 20 30 DGEDMN CC(C)[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000736394920 574747563 /nfs/dbraw/zinc/74/75/63/574747563.db2.gz LIGXLBNEJRYLKE-WBVHZDCISA-N 0 1 300.402 3.442 20 30 DGEDMN Cc1nc(C)c(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)s1 ZINC000736430260 574750884 /nfs/dbraw/zinc/75/08/84/574750884.db2.gz SZQKFNXZRNYQNP-UHFFFAOYSA-N 0 1 307.329 3.360 20 30 DGEDMN C[C@@H](C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1)c1ccccc1 ZINC000736574469 574759507 /nfs/dbraw/zinc/75/95/07/574759507.db2.gz DLKLPEFNSUONBJ-KBXCAEBGSA-N 0 1 308.381 3.419 20 30 DGEDMN C[N@@H+](Cc1cccc(Cl)c1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737486419 574847908 /nfs/dbraw/zinc/84/79/08/574847908.db2.gz KQLGBAKLNUYYRC-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN Cc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC000738593837 574874614 /nfs/dbraw/zinc/87/46/14/574874614.db2.gz APUCUQFYMJTSGC-HNNXBMFYSA-N 0 1 300.383 3.186 20 30 DGEDMN Cc1ccc(C[N@H+](C)[C@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC000738593837 574874615 /nfs/dbraw/zinc/87/46/15/574874615.db2.gz APUCUQFYMJTSGC-HNNXBMFYSA-N 0 1 300.383 3.186 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CCCc3sccc3C2)cc1 ZINC000739106967 574892145 /nfs/dbraw/zinc/89/21/45/574892145.db2.gz VANHPNSCNGWBRC-INIZCTEOSA-N 0 1 312.394 3.194 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000739138944 574893097 /nfs/dbraw/zinc/89/30/97/574893097.db2.gz AAKOTZMTPKDROB-SFHVURJKSA-N 0 1 306.365 3.274 20 30 DGEDMN Cc1nn(C)c(Cl)c1CN=Nc1cccc(C(F)(F)F)n1 ZINC000739422199 574902916 /nfs/dbraw/zinc/90/29/16/574902916.db2.gz RJQCQXATBIUBTO-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN CC(N=Nc1ccnc(N(C)C)n1)c1ccc(F)c(Cl)c1 ZINC000739418578 574902362 /nfs/dbraw/zinc/90/23/62/574902362.db2.gz WVHKZTHAYKYTCC-UHFFFAOYSA-N 0 1 307.760 3.171 20 30 DGEDMN CSc1ccc(C(C)=NN=c2cc[nH]c(N(C)C)n2)cc1 ZINC000739418030 574902537 /nfs/dbraw/zinc/90/25/37/574902537.db2.gz JQTFANSKZZDNDO-UHFFFAOYSA-N 0 1 301.419 3.101 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCc2c(C)cccc2C1 ZINC000740876709 574944508 /nfs/dbraw/zinc/94/45/08/574944508.db2.gz ACTQOJBUNQSBNW-UHFFFAOYSA-N 0 1 322.408 3.312 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(F)cc1C#N)N1[C@H](C)CC[C@H]1C ZINC000741016959 574948613 /nfs/dbraw/zinc/94/86/13/574948613.db2.gz KJLQQBOKNZGDSB-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN N#CCCCCOC(=O)[C@@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000741328117 574955918 /nfs/dbraw/zinc/95/59/18/574955918.db2.gz FZBPDUGVRJLVAA-MRXNPFEDSA-N 0 1 318.392 3.275 20 30 DGEDMN Cc1nc(Cl)cc(NN=Cc2occc2Br)n1 ZINC000741765894 574969442 /nfs/dbraw/zinc/96/94/42/574969442.db2.gz KXOZCJSJLNAFHN-UHFFFAOYSA-N 0 1 315.558 3.240 20 30 DGEDMN CCCCCC[C@@H](C)NN=Cc1cnc(N2CCCC2)nc1 ZINC000743449926 575051686 /nfs/dbraw/zinc/05/16/86/575051686.db2.gz CLPKCOHBFRQYPO-OAHLLOKOSA-N 0 1 303.454 3.359 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@]23CCc2ccccc23)nc(C)n1 ZINC000744026250 575069185 /nfs/dbraw/zinc/06/91/85/575069185.db2.gz LRHQEPHSUWBTSD-KNBMTAEXSA-N 0 1 317.392 3.174 20 30 DGEDMN c1ccc(CCCN=NC2CCN(Cc3ccccn3)CC2)cc1 ZINC000729146202 575219914 /nfs/dbraw/zinc/21/99/14/575219914.db2.gz PDDSDIDRULKKIM-UHFFFAOYSA-N 0 1 322.456 3.256 20 30 DGEDMN CC[C@@H](CC(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)C(C)C ZINC000729761587 575262578 /nfs/dbraw/zinc/26/25/78/575262578.db2.gz LPPJNOHZNYURHA-QWHCGFSZSA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@@H](CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000729761587 575262580 /nfs/dbraw/zinc/26/25/80/575262580.db2.gz LPPJNOHZNYURHA-QWHCGFSZSA-N 0 1 322.430 3.243 20 30 DGEDMN Cc1ccc2nc(CNCc3ccc(O[C@H](C)C#N)cc3)[nH]c2c1 ZINC000744447500 575343968 /nfs/dbraw/zinc/34/39/68/575343968.db2.gz QJORMXRCPCKKEI-CQSZACIVSA-N 0 1 320.396 3.452 20 30 DGEDMN O=C(OCC#Cc1ccccc1Cl)[C@H]1CCCc2[nH]ncc21 ZINC000747081330 575494606 /nfs/dbraw/zinc/49/46/06/575494606.db2.gz BFUZCBKKKPFOPS-ZDUSSCGKSA-N 0 1 314.772 3.078 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194156 575505022 /nfs/dbraw/zinc/50/50/22/575505022.db2.gz VOPYVHUYUXNXEE-ITDFMYJTSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194156 575505024 /nfs/dbraw/zinc/50/50/24/575505024.db2.gz VOPYVHUYUXNXEE-ITDFMYJTSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)=C(O)C=CC2CCOCC2)n1 ZINC000747194156 575505025 /nfs/dbraw/zinc/50/50/25/575505025.db2.gz VOPYVHUYUXNXEE-ITDFMYJTSA-N 0 1 304.415 3.426 20 30 DGEDMN CN1CCCc2cc(C=[NH+]Nc3ccccc3C(=O)[O-])ccc21 ZINC000748033875 575557770 /nfs/dbraw/zinc/55/77/70/575557770.db2.gz NXPCJSIZOZKNCN-UHFFFAOYSA-N 0 1 309.369 3.213 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2coc3cc(F)ccc23)nc(C)n1 ZINC000749026328 575622307 /nfs/dbraw/zinc/62/23/07/575622307.db2.gz NVJKGFVEADKGES-OAHLLOKOSA-N 0 1 323.327 3.398 20 30 DGEDMN CC(C)CCCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000749026376 575622374 /nfs/dbraw/zinc/62/23/74/575622374.db2.gz SCNVYMSQESDESM-AWEZNQCLSA-N 0 1 301.390 3.335 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccccc2OC(C)C)nc(C)n1 ZINC000749046803 575623571 /nfs/dbraw/zinc/62/35/71/575623571.db2.gz AGZZMEMMKHUZOV-INIZCTEOSA-N 0 1 323.396 3.300 20 30 DGEDMN O=C1c2c(c(F)ccc2F)C/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000749582480 575666268 /nfs/dbraw/zinc/66/62/68/575666268.db2.gz MWSNIQYGKVCQBI-UITAMQMPSA-N 0 1 317.247 3.401 20 30 DGEDMN CCn1nc(C)c(C(=O)/C=C/c2cc(F)c(O)c(F)c2)c1C ZINC000749891448 575685203 /nfs/dbraw/zinc/68/52/03/575685203.db2.gz KPVJCWQPYAAESL-AATRIKPKSA-N 0 1 306.312 3.400 20 30 DGEDMN C[C@@H]1CN(CCCNc2nc3ccccc3cc2C#N)C[C@H](C)O1 ZINC000750015132 575697851 /nfs/dbraw/zinc/69/78/51/575697851.db2.gz HYAFNNSCVHQNSO-GASCZTMLSA-N 0 1 324.428 3.018 20 30 DGEDMN C[C@@H]1CCC[C@H](CC(=O)[C@@H](C#N)C(=O)NC2CCCCC2)C1 ZINC000750667193 575744530 /nfs/dbraw/zinc/74/45/30/575744530.db2.gz DAHHWXOWIBZMLS-IJEWVQPXSA-N 0 1 304.434 3.361 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C1CCCC1 ZINC000750686440 575745811 /nfs/dbraw/zinc/74/58/11/575745811.db2.gz FECQKGHHAYSISV-OCCSQVGLSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@H]1Cc2ccccc2C1N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000751131373 575771293 /nfs/dbraw/zinc/77/12/93/575771293.db2.gz UJKVMRJIQRSVFW-LBPRGKRZSA-N 0 1 314.410 3.099 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC(c2cccc(F)c2)CC1 ZINC000752092601 575822332 /nfs/dbraw/zinc/82/23/32/575822332.db2.gz MNKOQXBQXKZQOW-UHFFFAOYSA-N 0 1 318.436 3.430 20 30 DGEDMN C[C@H]1CN(C)CC1N=Nc1ncc(C(F)(F)F)cc1Cl ZINC000752154806 575824996 /nfs/dbraw/zinc/82/49/96/575824996.db2.gz JUGWWJIRYWYKSZ-ZETCQYMHSA-N 0 1 306.719 3.103 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2cccc3c2OCCO3)n1 ZINC000739421392 575914689 /nfs/dbraw/zinc/91/46/89/575914689.db2.gz IJDCWZMHXLJHFM-UHFFFAOYSA-N 0 1 323.274 3.318 20 30 DGEDMN CN(C)c1ccc(C=[NH+]Nc2cccc(C(=O)[O-])c2)cc1F ZINC000727650025 576136209 /nfs/dbraw/zinc/13/62/09/576136209.db2.gz FQHYUVQETVHQLP-UHFFFAOYSA-N 0 1 301.321 3.036 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)nc1 ZINC000728865167 576223849 /nfs/dbraw/zinc/22/38/49/576223849.db2.gz ZECBLPKKPZBNQY-UHFFFAOYSA-N 0 1 316.364 3.459 20 30 DGEDMN CCC(=NN1CC[NH+](C)CC1)c1cc(Cl)cc(Cl)c1[O-] ZINC000916581189 620637765 /nfs/dbraw/zinc/63/77/65/620637765.db2.gz QQXVZCDNCOLPIQ-UHFFFAOYSA-N 0 1 316.232 3.061 20 30 DGEDMN C=CCc1cc(OC)ccc1OC(=O)c1[nH]nc2c1CCCC2 ZINC000916860481 620648209 /nfs/dbraw/zinc/64/82/09/620648209.db2.gz SXTXLHHHBLFMMJ-UHFFFAOYSA-N 0 1 312.369 3.245 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H](C)N2CC3CC2(C)C3)cc1 ZINC000852786624 620648699 /nfs/dbraw/zinc/64/86/99/620648699.db2.gz YQMUHVCBXMBSKF-LYGPFTKASA-N 0 1 311.429 3.115 20 30 DGEDMN N#CC(C(=O)CC1CC1)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000916886281 620648881 /nfs/dbraw/zinc/64/88/81/620648881.db2.gz DYZJZYOWDATNIZ-GFCCVEGCSA-N 0 1 324.352 3.449 20 30 DGEDMN CC(C)=C[C@@H]1[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C1(C)C ZINC000916894121 620649209 /nfs/dbraw/zinc/64/92/09/620649209.db2.gz PCPNPWRXHDCQOT-ARFHVFGLSA-N 0 1 316.445 3.383 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(C2CCCCC2)CC1 ZINC000917134498 620658018 /nfs/dbraw/zinc/65/80/18/620658018.db2.gz VLAOQHYTGYKQCK-MRXNPFEDSA-N 0 1 319.493 3.287 20 30 DGEDMN COCCOc1cccc(CN=Nc2nc3ccccc3n2C)c1 ZINC000917544820 620673132 /nfs/dbraw/zinc/67/31/32/620673132.db2.gz IHGCZTFWZQVFJE-UHFFFAOYSA-N 0 1 324.384 3.045 20 30 DGEDMN Clc1cc(Cl)cc(C=NNc2ncnc3nc[nH]c32)c1 ZINC000917555505 620675553 /nfs/dbraw/zinc/67/55/53/620675553.db2.gz LVENHZOQXKZTIQ-UHFFFAOYSA-N 0 1 307.144 3.106 20 30 DGEDMN C=CC1CCN(C[C@@H](O)c2cccc(Br)c2)CC1 ZINC000111258523 620689452 /nfs/dbraw/zinc/68/94/52/620689452.db2.gz ARTJJMXYSNHNRG-OAHLLOKOSA-N 0 1 310.235 3.381 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](C)N1CCc2ccccc2C1)C(C)C ZINC000918071305 620695077 /nfs/dbraw/zinc/69/50/77/620695077.db2.gz UBHKDEWECZGHLE-MRXNPFEDSA-N 0 1 315.461 3.039 20 30 DGEDMN C=C1CCN(C(=O)NC[C@@H](c2ccco2)N2CCCCC2)CC1 ZINC000891497688 617816773 /nfs/dbraw/zinc/81/67/73/617816773.db2.gz GBUQLZJECYJAEY-INIZCTEOSA-N 0 1 317.433 3.168 20 30 DGEDMN CCCCNC(=O)[C@H]1CC[C@@H](C)N(Cc2csc(C#N)c2)C1 ZINC000891782562 617896585 /nfs/dbraw/zinc/89/65/85/617896585.db2.gz UVDFBOWAGDBFQT-HIFRSBDPSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CCOCCNCc1c(C)nn(-c2ccccc2)c1Cl ZINC000779998405 617957997 /nfs/dbraw/zinc/95/79/97/617957997.db2.gz ABXYFEBALNJJHU-UHFFFAOYSA-N 0 1 305.809 3.126 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC2(CCC2)O1)c1nc2ccccc2[nH]1 ZINC000892419827 618062200 /nfs/dbraw/zinc/06/22/00/618062200.db2.gz IGFQMYRONJPJES-CHWSQXEVSA-N 0 1 309.369 3.231 20 30 DGEDMN C=C1CCN(C(=O)NC[C@@H](c2ccc(C)o2)N2CCCC2)CC1 ZINC000892625722 618121371 /nfs/dbraw/zinc/12/13/71/618121371.db2.gz GLBKUXGDLMIWCJ-INIZCTEOSA-N 0 1 317.433 3.087 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H]3CCOC4(CCC4)C3)[nH]c21 ZINC000892705729 618143351 /nfs/dbraw/zinc/14/33/51/618143351.db2.gz QURGGTZHXJFMLI-KBPBESRZSA-N 0 1 323.396 3.397 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)OCC#Cc3ccccc3)[nH]n2)o1 ZINC000920118150 620784336 /nfs/dbraw/zinc/78/43/36/620784336.db2.gz USPLSPFQGDQJTQ-UHFFFAOYSA-N 0 1 306.321 3.187 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cccn3nccc23)n1 ZINC000892802719 618312896 /nfs/dbraw/zinc/31/28/96/618312896.db2.gz PDCNZTJOSINYKN-GFCCVEGCSA-N 0 1 310.382 3.404 20 30 DGEDMN CC(C)c1csc([C@H](C#N)C(=O)c2cccn3nccc23)n1 ZINC000892802719 618312904 /nfs/dbraw/zinc/31/29/04/618312904.db2.gz PDCNZTJOSINYKN-GFCCVEGCSA-N 0 1 310.382 3.404 20 30 DGEDMN COCCOc1ccc(CN(C)[C@@H](C)c2cccc(C#N)c2)o1 ZINC000895018314 618514406 /nfs/dbraw/zinc/51/44/06/618514406.db2.gz NDBNHXBFDPTIGW-AWEZNQCLSA-N 0 1 314.385 3.369 20 30 DGEDMN CCN(CC)C(=O)c1ccc(CNCC#Cc2ccccc2)cc1 ZINC000893330059 618517487 /nfs/dbraw/zinc/51/74/87/618517487.db2.gz GFOIFBZJYJOKKJ-UHFFFAOYSA-N 0 1 320.436 3.310 20 30 DGEDMN N#CCC1CCN(Cc2cnc(CC(F)(F)F)s2)CC1 ZINC000893487425 618570100 /nfs/dbraw/zinc/57/01/00/618570100.db2.gz MKJBJYAKADNCDW-UHFFFAOYSA-N 0 1 303.353 3.374 20 30 DGEDMN N#CCC(=O)Nc1ccc(CN2CCCC2)c(C(F)(F)F)c1 ZINC000924888129 618722672 /nfs/dbraw/zinc/72/26/72/618722672.db2.gz DPQGHVQDGIYRCW-UHFFFAOYSA-N 0 1 311.307 3.153 20 30 DGEDMN C=CC[C@@H](NCc1csc(C(=O)OC)c1)c1ccncc1 ZINC000894274235 618748987 /nfs/dbraw/zinc/74/89/87/618748987.db2.gz WMOCPUMUBKYPJL-CQSZACIVSA-N 0 1 302.399 3.337 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)CC1 ZINC000895462515 618787955 /nfs/dbraw/zinc/78/79/55/618787955.db2.gz XUQYQMRDGGJRLS-QGZVFWFLSA-N 0 1 304.409 3.291 20 30 DGEDMN N#C[C@H]1CCC[C@H](NCC(=O)Nc2cccc3ccccc32)C1 ZINC000895488637 618790756 /nfs/dbraw/zinc/79/07/56/618790756.db2.gz RSXGFRCGECXAKU-HOCLYGCPSA-N 0 1 307.397 3.450 20 30 DGEDMN CO[C@]1(C(F)(F)F)CCN(Cc2ccc(C3(C#N)CC3)cc2)C1 ZINC000895573316 618800054 /nfs/dbraw/zinc/80/00/54/618800054.db2.gz LCDKNLPGLNHMQF-MRXNPFEDSA-N 0 1 324.346 3.395 20 30 DGEDMN C#Cc1cccc(NC(=O)[C@H](NC(C)C)c2ccc(OC)cc2)c1 ZINC000895566551 618800973 /nfs/dbraw/zinc/80/09/73/618800973.db2.gz AHRYOEDUAGROOM-LJQANCHMSA-N 0 1 322.408 3.354 20 30 DGEDMN CC(C)(NCc1cc(C#N)cnc1Cl)[C@@H](O)c1ccccc1 ZINC000895662080 618813978 /nfs/dbraw/zinc/81/39/78/618813978.db2.gz LTEYDHKDBNRAHC-HNNXBMFYSA-N 0 1 315.804 3.208 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](c2ccc(F)cc2)N(C)C)CC1 ZINC000895798019 618832734 /nfs/dbraw/zinc/83/27/34/618832734.db2.gz WEPTZTLFODPZFM-KRWDZBQOSA-N 0 1 304.409 3.291 20 30 DGEDMN C#CCN1CCC(Nc2ncc(C(F)(F)F)cc2Cl)CC1 ZINC000895803281 618833614 /nfs/dbraw/zinc/83/36/14/618833614.db2.gz FLJXGGBBJVTRLM-UHFFFAOYSA-N 0 1 317.742 3.263 20 30 DGEDMN N#Cc1csc(CN2CC[C@@]3(CC(F)(F)C3(F)F)C2)c1 ZINC000896226117 618888592 /nfs/dbraw/zinc/88/85/92/618888592.db2.gz ARDBZCSRZYKQOC-LLVKDONJSA-N 0 1 304.312 3.486 20 30 DGEDMN CC(C)OC(=O)[C@H](C)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000840710065 618989576 /nfs/dbraw/zinc/98/95/76/618989576.db2.gz OPQDQHRWICCMDP-RWZDPZJWSA-N 0 1 304.173 3.458 20 30 DGEDMN CC[C@@H]1C[C@H](CN2CCO[C@@H](c3ccc(C#N)cc3)C2)CCO1 ZINC000897596120 619164592 /nfs/dbraw/zinc/16/45/92/619164592.db2.gz MAAFPOBDTQWZRG-BHIYHBOVSA-N 0 1 314.429 3.137 20 30 DGEDMN Cc1cccc(O)c1CN1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000897597081 619164659 /nfs/dbraw/zinc/16/46/59/619164659.db2.gz ZTKRSQJZOJGNHL-IBGZPJMESA-N 0 1 308.381 3.146 20 30 DGEDMN COc1ccccc1/C=C\CN1CCC2(CC1)CC(=O)C=CO2 ZINC000897602200 619165615 /nfs/dbraw/zinc/16/56/15/619165615.db2.gz ISXPKGBMLNEYAK-XQRVVYSFSA-N 0 1 313.397 3.046 20 30 DGEDMN CC(C)CCn1cccc1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000897601940 619165639 /nfs/dbraw/zinc/16/56/39/619165639.db2.gz BUPXRZWMAARRPK-UHFFFAOYSA-N 0 1 316.445 3.372 20 30 DGEDMN C=CCOc1ccc(CN2CCC3(CC2)CC(=O)C=CO3)cc1 ZINC000897602455 619165691 /nfs/dbraw/zinc/16/56/91/619165691.db2.gz PVBYHBQBVWWOGF-UHFFFAOYSA-N 0 1 313.397 3.089 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2cncs2)cc(OC)c1O ZINC000897935684 619197018 /nfs/dbraw/zinc/19/70/18/619197018.db2.gz YZUUQHPDXVIPGQ-LLVKDONJSA-N 0 1 304.415 3.437 20 30 DGEDMN Cn1nc2c(c1/C=C\C(=O)c1ccc(O)cc1Cl)CCC2 ZINC000899391267 619336351 /nfs/dbraw/zinc/33/63/51/619336351.db2.gz IMCILSWYYQONLG-FPLPWBNLSA-N 0 1 302.761 3.164 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)c1 ZINC000900562021 619436604 /nfs/dbraw/zinc/43/66/04/619436604.db2.gz KJTCNWLZFYGCEZ-SCLBCKFNSA-N 0 1 307.397 3.110 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000901040606 619468516 /nfs/dbraw/zinc/46/85/16/619468516.db2.gz SAZYGQKQXWBCSE-BXUZGUMPSA-N 0 1 323.400 3.018 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2cnn(C3CCC3)c2)n1 ZINC000901196527 619477179 /nfs/dbraw/zinc/47/71/79/619477179.db2.gz QNLLYQFKXRGRGX-UHFFFAOYSA-N 0 1 309.295 3.468 20 30 DGEDMN CCOCc1nc(Cl)cc(NN=Cc2cc(C)oc2C)n1 ZINC000901312837 619484780 /nfs/dbraw/zinc/48/47/80/619484780.db2.gz CWFXMIYPDYYUKM-UHFFFAOYSA-N 0 1 308.769 3.322 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])C[C@@H](C)c1cccc(Br)c1 ZINC000901586035 619519093 /nfs/dbraw/zinc/51/90/93/619519093.db2.gz JLEHJJYEAJTXGK-LLVKDONJSA-N 0 1 312.207 3.125 20 30 DGEDMN C=CC[N@H+](CC(=O)[O-])Cc1ccc(OC(F)F)c(Cl)c1 ZINC000901585816 619522539 /nfs/dbraw/zinc/52/25/39/619522539.db2.gz IWCHAVMULZBWMO-UHFFFAOYSA-N 0 1 305.708 3.014 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@@H+](CCC(=O)[O-])CC1CC1 ZINC000901609415 619524121 /nfs/dbraw/zinc/52/41/21/619524121.db2.gz CPPHIBFLWBHGGT-UHFFFAOYSA-N 0 1 321.804 3.039 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCCC[C@@H]2CCC(=O)[O-])c1 ZINC000901995610 619572129 /nfs/dbraw/zinc/57/21/29/619572129.db2.gz BGUWNCWEARHEID-MRXNPFEDSA-N 0 1 303.402 3.471 20 30 DGEDMN N#Cc1ccc(Br)c(CNc2nccn2C2CC2)c1 ZINC000902114058 619591493 /nfs/dbraw/zinc/59/14/93/619591493.db2.gz KDIUQUQXJLZMKL-UHFFFAOYSA-N 0 1 317.190 3.464 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccc2c(c1)OCCO2 ZINC000902727474 619666365 /nfs/dbraw/zinc/66/63/65/619666365.db2.gz ZKVNJUXRVQANOO-OLZOCXBDSA-N 0 1 309.369 3.136 20 30 DGEDMN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1cc(C#N)ccn1 ZINC000902848833 619683714 /nfs/dbraw/zinc/68/37/14/619683714.db2.gz BBXGUDJRRDAURL-UCLAIMLFSA-N 0 1 307.397 3.382 20 30 DGEDMN CC[C@H](N[C@@H](CO)c1ccccc1OC)c1cccc(C#N)c1 ZINC000902869215 619684489 /nfs/dbraw/zinc/68/44/89/619684489.db2.gz ULWUYSINJDJPTC-ROUUACIJSA-N 0 1 310.397 3.341 20 30 DGEDMN C[C@H](NC[C@H](O)c1ccc(Cl)c(F)c1)c1cc(C#N)ccn1 ZINC000902890122 619689195 /nfs/dbraw/zinc/68/91/95/619689195.db2.gz XCFFJOWVQHSTFU-QFYYESIMSA-N 0 1 319.767 3.130 20 30 DGEDMN C[C@@H](NC[C@H]1COC2(CCCCCC2)O1)c1cc(C#N)ccn1 ZINC000902926629 619694185 /nfs/dbraw/zinc/69/41/85/619694185.db2.gz MSKMJPUZSUFXRV-ZBFHGGJFSA-N 0 1 315.417 3.070 20 30 DGEDMN CC[C@H](NCc1ccc(OC)nc1OC)c1cccc(C#N)c1 ZINC000902996026 619702742 /nfs/dbraw/zinc/70/27/42/619702742.db2.gz HUVSUHLZGLGWIO-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cc(C#N)ccn1)[C@H]1OCCc2sccc21 ZINC000902999873 619703017 /nfs/dbraw/zinc/70/30/17/619703017.db2.gz QYCCBJGTRYBLDW-XWCIJXRUSA-N 0 1 313.426 3.368 20 30 DGEDMN CCOC(=O)[C@H]1CC[C@H](NCC2(C#N)CCC2)c2ccccc21 ZINC000903035467 619705365 /nfs/dbraw/zinc/70/53/65/619705365.db2.gz QACDFQFZDRBBMB-IRXDYDNUSA-N 0 1 312.413 3.452 20 30 DGEDMN CC(C)[C@@H](O)[C@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccccc1 ZINC000903055095 619706981 /nfs/dbraw/zinc/70/69/81/619706981.db2.gz XJCRJJDPCRZUPV-GDIGMMSISA-N 0 1 309.413 3.362 20 30 DGEDMN COc1cccc([C@H](CN[C@H](C)c2cc(C#N)ccn2)OC)c1 ZINC000903065890 619709525 /nfs/dbraw/zinc/70/95/25/619709525.db2.gz ZFVKNUQHSLGPSF-ACJLOTCBSA-N 0 1 311.385 3.000 20 30 DGEDMN C[C@H](NCC(C)(C)C#N)c1ccc(OCc2nccn2C)cc1 ZINC000903100035 619716508 /nfs/dbraw/zinc/71/65/08/619716508.db2.gz GYAROXBJVPJGMW-AWEZNQCLSA-N 0 1 312.417 3.199 20 30 DGEDMN N#CC1(CN[C@@H](Cc2ccccc2Cl)[C@@H]2CCCO2)CC1 ZINC000903105417 619717450 /nfs/dbraw/zinc/71/74/50/619717450.db2.gz CGSXBWYISFKZKS-HOTGVXAUSA-N 0 1 304.821 3.323 20 30 DGEDMN C[C@H](Cc1ccc(F)cc1[N+](=O)[O-])NCC1(C#N)CCCC1 ZINC000903109020 619718305 /nfs/dbraw/zinc/71/83/05/619718305.db2.gz KKFUUQNYGHXZBE-GFCCVEGCSA-N 0 1 305.353 3.338 20 30 DGEDMN CCN(C(=O)CN[C@H]1CCc2ccc(C#N)cc21)c1ccccc1 ZINC000903195495 619734031 /nfs/dbraw/zinc/73/40/31/619734031.db2.gz UKMJQGZHGKDSLY-IBGZPJMESA-N 0 1 319.408 3.188 20 30 DGEDMN C=CCC[C@H](N[C@@H](CO)c1ccnn1C)c1cccc(Cl)c1 ZINC000903207395 619738470 /nfs/dbraw/zinc/73/84/70/619738470.db2.gz XTOJYFAJMJRQBW-HOTGVXAUSA-N 0 1 319.836 3.404 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@H](CCC(=O)[O-])C2)c1 ZINC000903405028 619771461 /nfs/dbraw/zinc/77/14/61/619771461.db2.gz NBQJPEFJCQVOLI-LBPRGKRZSA-N 0 1 306.793 3.288 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2cn(C)nc2C(F)F)c1 ZINC000905077920 619967959 /nfs/dbraw/zinc/96/79/59/619967959.db2.gz JDEZYHNPSUZABD-BQYQJAHWSA-N 0 1 319.355 3.315 20 30 DGEDMN O=C1N/C(=N/C2CCCC2)SC1=Cc1ccc2nc[nH]c2c1 ZINC000905069386 619970131 /nfs/dbraw/zinc/97/01/31/619970131.db2.gz DLYXNCWVBRIECI-RIYZIHGNSA-N 0 1 312.398 3.065 20 30 DGEDMN C#CCN1CCC(NC(=S)Nc2ccc3ccccc3c2)CC1 ZINC000905656205 620014171 /nfs/dbraw/zinc/01/41/71/620014171.db2.gz IDETXIGLJBBRRP-UHFFFAOYSA-N 0 1 323.465 3.224 20 30 DGEDMN N#CC1(C[C@H](O)CN2CC3(CCC3)[C@@H]2c2ccncc2)CCC1 ZINC000905967089 620045210 /nfs/dbraw/zinc/04/52/10/620045210.db2.gz SEXTVUKXWIELSB-IRXDYDNUSA-N 0 1 311.429 3.053 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)N(CC#N)c1ccc(Cl)cc1 ZINC000905972711 620045784 /nfs/dbraw/zinc/04/57/84/620045784.db2.gz GLMGSTKGUPKLKT-OAHLLOKOSA-N 0 1 305.809 3.069 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1 ZINC000906482153 620101309 /nfs/dbraw/zinc/10/13/09/620101309.db2.gz NCEJBYIFJYAVNZ-UHFFFAOYSA-N 0 1 321.384 3.322 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1cc(C)cc2c[nH]nc21 ZINC000908648354 620215141 /nfs/dbraw/zinc/21/51/41/620215141.db2.gz AXYVHUJSLDSNRY-OAHLLOKOSA-N 0 1 311.385 3.011 20 30 DGEDMN N#CC1(CCCCOC(=O)c2cccc(-c3nnc[nH]3)c2)CCC1 ZINC000909131115 620242006 /nfs/dbraw/zinc/24/20/06/620242006.db2.gz BEFDRNDITCTVAX-UHFFFAOYSA-N 0 1 324.384 3.493 20 30 DGEDMN CCC(CC)CC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000151626885 620466794 /nfs/dbraw/zinc/46/67/94/620466794.db2.gz APYWOXXQBPAZMC-HNNXBMFYSA-N 0 1 302.374 3.169 20 30 DGEDMN CCCCCCCCCCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913743514 620476263 /nfs/dbraw/zinc/47/62/63/620476263.db2.gz YVDIDHRMWHUHQV-UHFFFAOYSA-N 0 1 321.469 3.437 20 30 DGEDMN C#CCCN(CC#C)[C@@H]1CCOc2ccc(Br)cc21 ZINC000760839265 620502271 /nfs/dbraw/zinc/50/22/71/620502271.db2.gz BWOIGSUUIMPIKU-OAHLLOKOSA-N 0 1 318.214 3.231 20 30 DGEDMN C=CCCC[C@@H](C)NS(=O)(=O)c1occc1Br ZINC000914332669 620521055 /nfs/dbraw/zinc/52/10/55/620521055.db2.gz BVERTAQPYLZVSE-SECBINFHSA-N 0 1 322.224 3.065 20 30 DGEDMN COc1cccc(O)c1/C=N\C[C@H](c1ccsc1)N(C)C ZINC000914557488 620541600 /nfs/dbraw/zinc/54/16/00/620541600.db2.gz GRGOGONCQGWYHR-KDVQLTRESA-N 0 1 304.415 3.184 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000153323627 620550804 /nfs/dbraw/zinc/55/08/04/620550804.db2.gz FMRJEAZIMRSACJ-KGLIPLIRSA-N 0 1 316.401 3.415 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\C[C@H](O)c1ccco1 ZINC000915112672 620568440 /nfs/dbraw/zinc/56/84/40/620568440.db2.gz BTABGDXYSXRBGO-JHJXWGGOSA-N 0 1 300.141 3.445 20 30 DGEDMN CC(N=Nc1ccccc1Cl)c1cccc(-n2cnnn2)c1 ZINC000916262729 620622945 /nfs/dbraw/zinc/62/29/45/620622945.db2.gz VKWXZKKKDYTLPQ-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN O=[N+]([O-])c1cc(C=NNc2ccc(Cl)nn2)ccc1Cl ZINC000916288251 620624405 /nfs/dbraw/zinc/62/44/05/620624405.db2.gz QYEPMOMLHLDPNL-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN COc1ccc(OC)c(CNCc2c(C)cc(C#N)cc2C)c1 ZINC000921503652 620875977 /nfs/dbraw/zinc/87/59/77/620875977.db2.gz OAZRMRUPLZXOBR-UHFFFAOYSA-N 0 1 310.397 3.482 20 30 DGEDMN C[C@@H](NCc1csc(C#N)c1)c1ccc2c(c1)CCC(=O)N2 ZINC000921546664 620906847 /nfs/dbraw/zinc/90/68/47/620906847.db2.gz OHRBGQGNQMMPBL-LLVKDONJSA-N 0 1 311.410 3.355 20 30 DGEDMN COC(=O)CC[C@@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000921702858 620937182 /nfs/dbraw/zinc/93/71/82/620937182.db2.gz PBIADLKALIWINX-MRXNPFEDSA-N 0 1 314.410 3.404 20 30 DGEDMN C=CCCONC(=O)C(C)(C)c1cccc(Br)c1 ZINC000856031647 620955343 /nfs/dbraw/zinc/95/53/43/620955343.db2.gz YMABBQXBFMKMMX-UHFFFAOYSA-N 0 1 312.207 3.351 20 30 DGEDMN C[C@@H](NCc1csc(C#N)c1)c1ccc([S@@](C)=O)cc1 ZINC000921931290 620970044 /nfs/dbraw/zinc/97/00/44/620970044.db2.gz FDZLTSAZXVKAEI-BIBXISHDSA-N 0 1 304.440 3.208 20 30 DGEDMN CC(C)[C@@H](O)[C@@H](NCc1csc(C#N)c1)c1ccccc1 ZINC000922260781 621013878 /nfs/dbraw/zinc/01/38/78/621013878.db2.gz MQOJFILTYVEYCN-DLBZAZTESA-N 0 1 300.427 3.468 20 30 DGEDMN C[C@@H](NCCOCCC#N)c1ccc(C(F)(F)F)nc1Cl ZINC000856777146 621020267 /nfs/dbraw/zinc/02/02/67/621020267.db2.gz QOUYJBJBQQVEPX-SECBINFHSA-N 0 1 321.730 3.335 20 30 DGEDMN Cc1csc(C(C#N)C(=O)COCc2ccc(C)cc2)n1 ZINC000857009371 621043405 /nfs/dbraw/zinc/04/34/05/621043405.db2.gz AHMVSFBNNWWGHA-AWEZNQCLSA-N 0 1 300.383 3.153 20 30 DGEDMN CCCC[C@@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000857010456 621044064 /nfs/dbraw/zinc/04/40/64/621044064.db2.gz OJSKKXGEMPIWNG-SJORKVTESA-N 0 1 314.429 3.474 20 30 DGEDMN CCN(CC)c1ncc(CNCc2ccc(C)c(C#N)c2)s1 ZINC000922759565 621095352 /nfs/dbraw/zinc/09/53/52/621095352.db2.gz QVNZPIOIPZCLPD-UHFFFAOYSA-N 0 1 314.458 3.459 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1ccnn1CC1CCC1 ZINC000925366492 621118642 /nfs/dbraw/zinc/11/86/42/621118642.db2.gz GCIHEOCNNCAPRC-HNNXBMFYSA-N 0 1 324.428 3.436 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3ccc(Cl)nc32)cc1C#N ZINC000857907846 621130462 /nfs/dbraw/zinc/13/04/62/621130462.db2.gz UKNKYRHRJMHIIK-CQSZACIVSA-N 0 1 313.788 3.392 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CNCc2cccc3c2OCC3)cc1 ZINC000922944457 621134576 /nfs/dbraw/zinc/13/45/76/621134576.db2.gz MSTJVZIQBVSGQX-AWEZNQCLSA-N 0 1 308.381 3.202 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2ccc(Cl)c([N+](=O)[O-])c2)C1 ZINC000859445985 621278537 /nfs/dbraw/zinc/27/85/37/621278537.db2.gz XSLDQROJWZACIP-NSHDSACASA-N 0 1 323.780 3.085 20 30 DGEDMN C#CC[N@H+](CC)Cc1cc(Br)c(O)c(OCC)c1 ZINC000838805523 621278630 /nfs/dbraw/zinc/27/86/30/621278630.db2.gz IXFVTPIBUDRQRR-UHFFFAOYSA-N 0 1 312.207 3.009 20 30 DGEDMN C#CCN(CC)Cc1cc(Br)c(O)c(OCC)c1 ZINC000838805523 621278631 /nfs/dbraw/zinc/27/86/31/621278631.db2.gz IXFVTPIBUDRQRR-UHFFFAOYSA-N 0 1 312.207 3.009 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2ccccc2O)c(OC)c1OC ZINC000201224330 621352081 /nfs/dbraw/zinc/35/20/81/621352081.db2.gz QZHCWJDVWAMRRI-NTMALXAHSA-N 0 1 314.337 3.314 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)C12CC(C1)C2 ZINC000860472706 621408441 /nfs/dbraw/zinc/40/84/41/621408441.db2.gz DFXRDMLLDRZUSF-UEMDCWBHSA-N 0 1 323.179 3.441 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)C12CC(C1)C2 ZINC000860473584 621408996 /nfs/dbraw/zinc/40/89/96/621408996.db2.gz NNYWWTRLXDCUDC-IXZKOCCXSA-N 0 1 323.179 3.441 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NCc2ccc(OC)c(C#N)c2)n[nH]1 ZINC000752939537 621416552 /nfs/dbraw/zinc/41/65/52/621416552.db2.gz ILZPUZOTWPLZQT-RYUDHWBXSA-N 0 1 313.405 3.049 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NCc2ccc(OC)c(C#N)c2)n[nH]1 ZINC000752939528 621416572 /nfs/dbraw/zinc/41/65/72/621416572.db2.gz ILZPUZOTWPLZQT-NEPJUHHUSA-N 0 1 313.405 3.049 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cnc3ccsc3c2)c1 ZINC000753067988 621422868 /nfs/dbraw/zinc/42/28/68/621422868.db2.gz JXSBXPRWBAQQSZ-UHFFFAOYSA-N 0 1 314.391 3.078 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)c2nc(Cl)cs2)c1 ZINC000789403958 625489982 /nfs/dbraw/zinc/48/99/82/625489982.db2.gz OUYUTJXDRGIKMS-SNVBAGLBSA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cccc(NC(=O)[C@H](C#N)C(=O)c2nc(Cl)cs2)c1 ZINC000789403958 625489986 /nfs/dbraw/zinc/48/99/86/625489986.db2.gz OUYUTJXDRGIKMS-SNVBAGLBSA-N 0 1 319.773 3.066 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(F)c(Cl)c1 ZINC000753938744 621472550 /nfs/dbraw/zinc/47/25/50/621472550.db2.gz MGYOOMZJYNXVMQ-KBPBESRZSA-N 0 1 308.788 3.063 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc(Cl)s1 ZINC000753935728 621472645 /nfs/dbraw/zinc/47/26/45/621472645.db2.gz PFYOHULFOVTIPA-PHIMTYICSA-N 0 1 310.854 3.376 20 30 DGEDMN CCSc1ccccc1C(C)=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000753941113 621473192 /nfs/dbraw/zinc/47/31/92/621473192.db2.gz WYUQWPSMDSESBZ-GJZGRUSLSA-N 0 1 316.474 3.383 20 30 DGEDMN CSc1ccc(C(C)=NNC2=N[C@@H]3CCCC[C@H]3N2)cc1F ZINC000753939463 621473216 /nfs/dbraw/zinc/47/32/16/621473216.db2.gz OWCJJPUORFFKFR-ZIAGYGMSSA-N 0 1 320.437 3.132 20 30 DGEDMN CN(C)c1cc(CN2CC=C(c3ccc(C#N)cc3)CC2)ccn1 ZINC000754097214 621487094 /nfs/dbraw/zinc/48/70/94/621487094.db2.gz MNGXTXZSFIUTOS-UHFFFAOYSA-N 0 1 318.424 3.309 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@@H]1C[C@@H]1c1ccccc1 ZINC000755616698 621581197 /nfs/dbraw/zinc/58/11/97/621581197.db2.gz FUZJPHVSGOEMFQ-DZGCQCFKSA-N 0 1 321.405 3.323 20 30 DGEDMN Cc1cc(NN=Cc2c[nH]nc2-c2ccccc2F)nc(C)n1 ZINC000755647886 621583385 /nfs/dbraw/zinc/58/33/85/621583385.db2.gz FWXKNFAWSQLCSO-UHFFFAOYSA-N 0 1 310.336 3.069 20 30 DGEDMN FC(F)(F)c1cccc(NN=Cc2cnc3ccccn23)n1 ZINC000755738056 621589523 /nfs/dbraw/zinc/58/95/23/621589523.db2.gz DWLMTGANXHQKDI-UHFFFAOYSA-N 0 1 305.263 3.194 20 30 DGEDMN CSc1cc(Br)ccc1CN=Nc1cnccn1 ZINC000755893109 621600661 /nfs/dbraw/zinc/60/06/61/621600661.db2.gz OQUKKJLZWACGSQ-UHFFFAOYSA-N 0 1 323.219 3.407 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)c1ccc(N2CCOCC2)cc1 ZINC000756017848 621610484 /nfs/dbraw/zinc/61/04/84/621610484.db2.gz KMSXLBKHKDAOHL-MRXNPFEDSA-N 0 1 321.424 3.246 20 30 DGEDMN CCOc1c(OC)cc(CN=Nc2cccc(C)n2)cc1OC ZINC000863159851 621643521 /nfs/dbraw/zinc/64/35/21/621643521.db2.gz GVCBRHNXHQWBMJ-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN C#CCN(CC(=O)N[C@@H]1CCCc2ccccc21)C1CCCC1 ZINC000756911433 621672262 /nfs/dbraw/zinc/67/22/62/621672262.db2.gz SRVWHSWRZQUNMH-LJQANCHMSA-N 0 1 310.441 3.058 20 30 DGEDMN C#CCN(CC(=O)N[C@H](c1ccccc1)C1CC1)C1CCCC1 ZINC000756911797 621672534 /nfs/dbraw/zinc/67/25/34/621672534.db2.gz GRBPRBXSSCSFSY-HXUWFJFHSA-N 0 1 310.441 3.132 20 30 DGEDMN CC(C)C[C@@H](C#N)NC(=O)C(F)(F)c1c(F)cccc1F ZINC000756964117 621676372 /nfs/dbraw/zinc/67/63/72/621676372.db2.gz AJJAXTCEPGTVKA-VIFPVBQESA-N 0 1 302.271 3.111 20 30 DGEDMN C#CC[C@H](NCc1ccc(OC)c([N+](=O)[O-])c1)c1ccccc1 ZINC000756995986 621677960 /nfs/dbraw/zinc/67/79/60/621677960.db2.gz BUVPDIGNSUXGQY-INIZCTEOSA-N 0 1 310.353 3.458 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2ccc(C)cc2)[nH]n1)C1CCCC1 ZINC000757177156 621692154 /nfs/dbraw/zinc/69/21/54/621692154.db2.gz SPPDWHYHQQTQRG-UHFFFAOYSA-N 0 1 307.397 3.403 20 30 DGEDMN CC[C@@H]1CC[C@@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000757297748 621699000 /nfs/dbraw/zinc/69/90/00/621699000.db2.gz UJSOADXKCLEALJ-MDZLAQPJSA-N 0 1 300.383 3.430 20 30 DGEDMN C=CC[C@H](C)NC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000805128235 621701996 /nfs/dbraw/zinc/70/19/96/621701996.db2.gz LMDVHTNWCARASK-QMMMGPOBSA-N 0 1 303.189 3.463 20 30 DGEDMN CC(=NNc1cc(Cl)nc(C)n1)c1cc(F)cc(F)c1O ZINC000757465446 621714021 /nfs/dbraw/zinc/71/40/21/621714021.db2.gz PQGCHXJNSOLLJQ-UHFFFAOYSA-N 0 1 312.707 3.258 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(-n3cccn3)cc2)c(O)c1 ZINC000757472571 621715339 /nfs/dbraw/zinc/71/53/39/621715339.db2.gz OSPFNUPQWAEMEQ-YHYXMXQVSA-N 0 1 320.348 3.483 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2ccc(-n3cncn3)c(F)c2)c(O)c1 ZINC000757476846 621715857 /nfs/dbraw/zinc/71/58/57/621715857.db2.gz UULGKRBVLQTETI-QPJJXVBHSA-N 0 1 323.327 3.317 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2cn(-c3ccccc3)nn2)c(O)c1 ZINC000757475419 621716143 /nfs/dbraw/zinc/71/61/43/621716143.db2.gz JXCUOGVGFJQVFE-NTMALXAHSA-N 0 1 305.337 3.177 20 30 DGEDMN CCCCCCCNC(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000863933421 621719608 /nfs/dbraw/zinc/71/96/08/621719608.db2.gz CQUWFMDTUXIIPK-UHFFFAOYSA-N 0 1 319.430 3.324 20 30 DGEDMN C=CCOCC[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000757636480 621730120 /nfs/dbraw/zinc/73/01/20/621730120.db2.gz BMDFJIGQFMCPNT-SNVBAGLBSA-N 0 1 300.196 3.008 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ncc(C)c(OC)c2C)cc1 ZINC000757902562 621745917 /nfs/dbraw/zinc/74/59/17/621745917.db2.gz CWUIUWBLQDDBSK-UHFFFAOYSA-N 0 1 324.424 3.351 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1c(Cl)cccc1[N+](=O)[O-] ZINC000758113682 621758643 /nfs/dbraw/zinc/75/86/43/621758643.db2.gz RWSGTWSWJGLPEK-UHFFFAOYSA-N 0 1 324.812 3.048 20 30 DGEDMN COC(=O)c1ccc(N=NC2CCSc3ccccc32)nc1 ZINC000758116738 621758671 /nfs/dbraw/zinc/75/86/71/621758671.db2.gz CFYJMNIKUYSJEK-UHFFFAOYSA-N 0 1 313.382 3.180 20 30 DGEDMN CCCC[C@H](ON=C(C)C)C(=O)Nc1cc(N(C)C)ccn1 ZINC000758638703 621793234 /nfs/dbraw/zinc/79/32/34/621793234.db2.gz NAKXWYAKBQAGGO-AWEZNQCLSA-N 0 1 306.410 3.057 20 30 DGEDMN CC(=NOCC(F)F)c1cc(Br)c(F)cc1O ZINC000758903993 621809892 /nfs/dbraw/zinc/80/98/92/621809892.db2.gz QUMDNKCORJMFNF-UHFFFAOYSA-N 0 1 312.085 3.300 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1c(Cl)[nH]nc1C1CC1 ZINC000864793425 621814440 /nfs/dbraw/zinc/81/44/40/621814440.db2.gz YRCSUKWWWYFQBA-UHFFFAOYSA-N 0 1 314.776 3.084 20 30 DGEDMN COc1cc(C=NNc2cc(C)ccc2F)cc([N+](=O)[O-])c1O ZINC000759663512 621880589 /nfs/dbraw/zinc/88/05/89/621880589.db2.gz DORNPYZFAHRSFZ-UHFFFAOYSA-N 0 1 319.292 3.203 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)cn1 ZINC000759748137 621887121 /nfs/dbraw/zinc/88/71/21/621887121.db2.gz WNGOIVLAHYVYNK-UHFFFAOYSA-N 0 1 312.279 3.129 20 30 DGEDMN C#CCOC(=O)c1[nH]c(-c2cccc(C(F)(F)F)c2)nc1C ZINC000759835812 621894412 /nfs/dbraw/zinc/89/44/12/621894412.db2.gz HBGZTIYWZKBUAD-UHFFFAOYSA-N 0 1 308.259 3.194 20 30 DGEDMN COc1cc(F)c(C(C)=NNc2cc(C)nc(C)n2)cc1OC ZINC000760243334 621923724 /nfs/dbraw/zinc/92/37/24/621923724.db2.gz OQDKGORUUWSTDJ-UHFFFAOYSA-N 0 1 318.352 3.086 20 30 DGEDMN N#CC(C(=O)CCCOc1ccc(Cl)cc1)c1ccncn1 ZINC000760337828 621933251 /nfs/dbraw/zinc/93/32/51/621933251.db2.gz BXBKBQCZPVGFRC-AWEZNQCLSA-N 0 1 315.760 3.165 20 30 DGEDMN CC(C)(Oc1ccc(Cl)cc1)C(=O)C(C#N)c1ccncn1 ZINC000760342895 621933818 /nfs/dbraw/zinc/93/38/18/621933818.db2.gz YSVJKQZXQYBDJX-CYBMUJFWSA-N 0 1 315.760 3.164 20 30 DGEDMN CCN(c1ccc(C(O)=C(C#N)c2ccncn2)cc1)C(C)C ZINC000760345692 621933887 /nfs/dbraw/zinc/93/38/87/621933887.db2.gz MLVGPHGPGBUHAP-INIZCTEOSA-N 0 1 308.385 3.201 20 30 DGEDMN CCOc1cc(F)cc(CNCc2ccc(OC)c(C#N)c2)c1 ZINC000865505753 621938092 /nfs/dbraw/zinc/93/80/92/621938092.db2.gz IRAJVCUMWNXNAF-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(CC(F)(F)F)cc2)nc1 ZINC000865538148 621946279 /nfs/dbraw/zinc/94/62/79/621946279.db2.gz PZQZFVVOUWRCQF-UHFFFAOYSA-N 0 1 305.303 3.348 20 30 DGEDMN CCCCCCCCOCC(=O)Nc1ccc2[nH]nnc2c1 ZINC000760711536 621960660 /nfs/dbraw/zinc/96/06/60/621960660.db2.gz QYXTYFSLOOIADY-UHFFFAOYSA-N 0 1 304.394 3.274 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)c1cccc(-c2ccoc2)c1 ZINC000760986874 621977972 /nfs/dbraw/zinc/97/79/72/621977972.db2.gz VMQABFHLPIBDRE-SFHVURJKSA-N 0 1 309.365 3.201 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)N2CC[C@H]3C[C@H]32)c1 ZINC000761082484 621985147 /nfs/dbraw/zinc/98/51/47/621985147.db2.gz HWSZHGJXOMBEPY-XYJFISCASA-N 0 1 311.429 3.033 20 30 DGEDMN C[C@@](CO)(Cc1ccc(Cl)cc1)NCc1ccccc1C#N ZINC000865772367 621992310 /nfs/dbraw/zinc/99/23/10/621992310.db2.gz ICWAUFQJJDDVKR-SFHVURJKSA-N 0 1 314.816 3.295 20 30 DGEDMN O=C(C=Cc1cc(F)c(O)c(F)c1)c1c[nH]c2ncccc12 ZINC000761813932 622030970 /nfs/dbraw/zinc/03/09/70/622030970.db2.gz XUMWQQNQCNXCER-ARJAWSKDSA-N 0 1 300.264 3.443 20 30 DGEDMN CCCOc1ccc(CN=Nc2cnn(C)c2)c(OCCC)c1 ZINC000761861057 622035241 /nfs/dbraw/zinc/03/52/41/622035241.db2.gz UHDFMCPEGKFIPW-UHFFFAOYSA-N 0 1 316.405 3.444 20 30 DGEDMN CCOc1ccc(C(C)=NNc2cnn(C)c2)cc1OCC ZINC000761866873 622035560 /nfs/dbraw/zinc/03/55/60/622035560.db2.gz MGIPKGYDMDXPLD-UHFFFAOYSA-N 0 1 302.378 3.054 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000762027013 622046830 /nfs/dbraw/zinc/04/68/30/622046830.db2.gz UUIYRYXPUTTYRW-LRDDRELGSA-N 0 1 316.401 3.415 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc2c(ccnc2Cl)o1)[C@@H]1CCCO1 ZINC000866341565 622098149 /nfs/dbraw/zinc/09/81/49/622098149.db2.gz OFTWIZDUHZPMJP-JKOKRWQUSA-N 0 1 304.777 3.313 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCC(=O)C[C@H]3C)[nH]c21 ZINC000763013970 622116294 /nfs/dbraw/zinc/11/62/94/622116294.db2.gz PTXJCXCQHJRJJS-KWCYVHTRSA-N 0 1 309.369 3.053 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cccc(Cl)c1F ZINC000763405873 622143188 /nfs/dbraw/zinc/14/31/88/622143188.db2.gz HJWASADLBHGPGV-ZIAGYGMSSA-N 0 1 322.815 3.453 20 30 DGEDMN N#CC(C(=O)Cc1csc(-c2ccoc2)n1)c1ccccn1 ZINC000121652730 622170426 /nfs/dbraw/zinc/17/04/26/622170426.db2.gz WRJXXVARDXQVQP-ZDUSSCGKSA-N 0 1 309.350 3.217 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C3Cc4ccccc4C3)[nH]c21 ZINC000763985390 622173112 /nfs/dbraw/zinc/17/31/12/622173112.db2.gz FXHNWQDKCGHUHT-MRXNPFEDSA-N 0 1 315.376 3.463 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C3Cc4ccccc4C3)[nH]c21 ZINC000763985387 622173119 /nfs/dbraw/zinc/17/31/19/622173119.db2.gz FXHNWQDKCGHUHT-INIZCTEOSA-N 0 1 315.376 3.463 20 30 DGEDMN CCN(C(=O)[C@@H]1NCCc2cc(C#N)ccc21)c1ccc(C)cc1 ZINC000867955832 622197112 /nfs/dbraw/zinc/19/71/12/622197112.db2.gz HVNRHPHYROLVKK-LJQANCHMSA-N 0 1 319.408 3.107 20 30 DGEDMN Cc1cccc(CNN=C2CC[N@H+](Cc3ccccn3)C[C@@H]2C)c1 ZINC000764715549 622215306 /nfs/dbraw/zinc/21/53/06/622215306.db2.gz QFHUCGWDZDPBNY-KRWDZBQOSA-N 0 1 322.456 3.378 20 30 DGEDMN Cc1cccc(CNN=C2CCN(Cc3ccccn3)C[C@@H]2C)c1 ZINC000764715549 622215307 /nfs/dbraw/zinc/21/53/07/622215307.db2.gz QFHUCGWDZDPBNY-KRWDZBQOSA-N 0 1 322.456 3.378 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNCc1ccc(Cl)cc1 ZINC000764717875 622215893 /nfs/dbraw/zinc/21/58/93/622215893.db2.gz JBHXWZCFALXLJW-UHFFFAOYSA-N 0 1 305.721 3.078 20 30 DGEDMN C=C(Cl)COc1ccc([C@@H](C)NCC(=O)N(CC)CC)cc1 ZINC000765335168 622259974 /nfs/dbraw/zinc/25/99/74/622259974.db2.gz RKHZGRJXTFLRSV-CQSZACIVSA-N 0 1 324.852 3.337 20 30 DGEDMN CC(C)[C@@H]1CC(=NNc2ncnc3[nH]cnc32)c2ccccc21 ZINC000766451043 622330495 /nfs/dbraw/zinc/33/04/95/622330495.db2.gz SIPDAXZGKBPSAF-ZDUSSCGKSA-N 0 1 306.373 3.312 20 30 DGEDMN Cc1cc(=NN=Cc2ccc(Sc3ccccn3)o2)nc[nH]1 ZINC000766451856 622331245 /nfs/dbraw/zinc/33/12/45/622331245.db2.gz YROVUVTWKVBVCC-UHFFFAOYSA-N 0 1 311.370 3.370 20 30 DGEDMN C=C(Cl)CNC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000766532511 622337142 /nfs/dbraw/zinc/33/71/42/622337142.db2.gz AOJJBFGTNDZEAW-HUUCEWRRSA-N 0 1 321.852 3.043 20 30 DGEDMN CCCCN(Cn1cc2c(c(C#N)c1=O)CCC2)[C@@H](C)CC ZINC000766612270 622342448 /nfs/dbraw/zinc/34/24/48/622342448.db2.gz NCIMJOQLSNIJAJ-AWEZNQCLSA-N 0 1 301.434 3.067 20 30 DGEDMN C#CCCCN1CCN([C@H](C)c2nc3ccccc3s2)CC1 ZINC000766897064 622359817 /nfs/dbraw/zinc/35/98/17/622359817.db2.gz XJFXXTWCWSJMDN-OAHLLOKOSA-N 0 1 313.470 3.388 20 30 DGEDMN C#CCC(C)(C)C(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000767113633 622376140 /nfs/dbraw/zinc/37/61/40/622376140.db2.gz ATYQERFPTAZSGU-SJORKVTESA-N 0 1 312.457 3.157 20 30 DGEDMN CC(=NN=c1cc(C)[nH]cn1)c1nc(C(F)(F)F)cs1 ZINC000767380682 622394654 /nfs/dbraw/zinc/39/46/54/622394654.db2.gz YCIPMLWVXARNIY-UHFFFAOYSA-N 0 1 301.297 3.096 20 30 DGEDMN Cc1ccc(N2CC[C@H](NCc3ccc(C#N)c(C)c3)C2=O)cc1 ZINC000767690302 622415847 /nfs/dbraw/zinc/41/58/47/622415847.db2.gz KXJXJMQANIMYNB-IBGZPJMESA-N 0 1 319.408 3.070 20 30 DGEDMN Cc1cc(NN=Cc2ccc(F)cc2Br)nc(C)n1 ZINC000767772980 622421436 /nfs/dbraw/zinc/42/14/36/622421436.db2.gz UNZVIQFMMKBXNZ-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN COc1ccc(N=NCc2csc(C3CC3)n2)c([N+](=O)[O-])c1 ZINC000767801684 622422319 /nfs/dbraw/zinc/42/23/19/622422319.db2.gz RGADLZAPHMVUHQ-UHFFFAOYSA-N 0 1 318.358 3.383 20 30 DGEDMN CCOc1ccccc1C=NNc1ccc(OC)cc1[N+](=O)[O-] ZINC000767795310 622422347 /nfs/dbraw/zinc/42/23/47/622422347.db2.gz ABBRWLMSQWVMJW-UHFFFAOYSA-N 0 1 315.329 3.448 20 30 DGEDMN COC[C@H]1CCCC[N@@H+](Cc2cc(Cl)ccc2OCC#N)C1 ZINC000767950416 622430116 /nfs/dbraw/zinc/43/01/16/622430116.db2.gz UYMBDUZJRBLLLG-AWEZNQCLSA-N 0 1 322.836 3.491 20 30 DGEDMN COc1ccc(C(=O)Nc2cc(C#N)ccc2O)cc1C1CC1 ZINC000768122272 622439576 /nfs/dbraw/zinc/43/95/76/622439576.db2.gz VJOUPZLOYFDKGW-UHFFFAOYSA-N 0 1 308.337 3.402 20 30 DGEDMN CC(=NNc1cc(C#N)cc(Cl)n1)c1ccccc1[N+](=O)[O-] ZINC000768381130 622453767 /nfs/dbraw/zinc/45/37/67/622453767.db2.gz VQUCSFMEPIOQFR-UHFFFAOYSA-N 0 1 315.720 3.351 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@]23CCCc2ccccc23)c1 ZINC000870692869 622497048 /nfs/dbraw/zinc/49/70/48/622497048.db2.gz PVEUINCQSUVJKA-ICSRJNTNSA-N 0 1 318.376 3.262 20 30 DGEDMN Cc1c(CN2CCC(C#N)CC2)sc2nc(C)nc(C)c12 ZINC000769192699 622506778 /nfs/dbraw/zinc/50/67/78/622506778.db2.gz UIAKBJULHRUQGT-UHFFFAOYSA-N 0 1 300.431 3.352 20 30 DGEDMN N#Cc1ccc2ncc(C=NNc3c(F)cccc3Cl)n2c1 ZINC000769826829 622566041 /nfs/dbraw/zinc/56/60/41/622566041.db2.gz JQVKVYYZYZJEQD-UHFFFAOYSA-N 0 1 313.723 3.444 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N[C@@H](CO)c2ccsc2)cc1 ZINC000769991603 622587024 /nfs/dbraw/zinc/58/70/24/622587024.db2.gz YXYDUNXQQSCUMC-DYVFJYSZSA-N 0 1 301.411 3.144 20 30 DGEDMN CN1CCN(c2ccc(/C=C\C(=O)c3ccsc3)cc2)CC1 ZINC000771527548 622772667 /nfs/dbraw/zinc/77/26/67/622772667.db2.gz RVKXWPXTQOGOKZ-DAXSKMNVSA-N 0 1 312.438 3.396 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(Cl)ccc2O)cc1Cl ZINC000790056560 625599054 /nfs/dbraw/zinc/59/90/54/625599054.db2.gz OXSMZWRZRJICKJ-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN Cc1c(C(=O)Nc2cc(C#N)ccc2O)cnn1CCC(C)C ZINC000124003903 622871615 /nfs/dbraw/zinc/87/16/15/622871615.db2.gz XUUHWAHPVNGZBC-UHFFFAOYSA-N 0 1 312.373 3.067 20 30 DGEDMN COc1cccc2c1OCCCC2N=Nc1ccnc(F)c1 ZINC000872363828 622896727 /nfs/dbraw/zinc/89/67/27/622896727.db2.gz SNCOFVMDRVBOKG-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN CN(CC(=O)C(C#N)c1nc2ccccc2o1)c1ccccc1 ZINC000772651581 622923530 /nfs/dbraw/zinc/92/35/30/622923530.db2.gz RMYAOQSIBQPQFH-CQSZACIVSA-N 0 1 305.337 3.140 20 30 DGEDMN O=C(OCC#Cc1cccc(Cl)c1)[C@@H]1CCCc2[nH]ncc21 ZINC000773169752 622995569 /nfs/dbraw/zinc/99/55/69/622995569.db2.gz CNZJSINHNSXEEZ-CQSZACIVSA-N 0 1 314.772 3.078 20 30 DGEDMN C=CC[C@@H](C)NC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872894793 622995678 /nfs/dbraw/zinc/99/56/78/622995678.db2.gz DYFAGVDYSYAWLQ-ZIAGYGMSSA-N 0 1 304.438 3.387 20 30 DGEDMN C=CC[C@H](C)NC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872894790 622995917 /nfs/dbraw/zinc/99/59/17/622995917.db2.gz DYFAGVDYSYAWLQ-KBPBESRZSA-N 0 1 304.438 3.387 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000773317033 623011769 /nfs/dbraw/zinc/01/17/69/623011769.db2.gz SVKQSGCYCFDVQV-INIZCTEOSA-N 0 1 306.837 3.253 20 30 DGEDMN CC[C@@H](C)NN=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000790186675 625614142 /nfs/dbraw/zinc/61/41/42/625614142.db2.gz BZWPFLJETYOQLC-CQSZACIVSA-N 0 1 303.450 3.490 20 30 DGEDMN N#Cc1c(F)cccc1CNCCCSc1nccs1 ZINC000873054001 623026352 /nfs/dbraw/zinc/02/63/52/623026352.db2.gz JMDZLIBEEGHKDA-UHFFFAOYSA-N 0 1 307.419 3.426 20 30 DGEDMN CC(=NN=c1[nH]cccc1C)c1ncc(Br)s1 ZINC000790191333 625615580 /nfs/dbraw/zinc/61/55/80/625615580.db2.gz SYFLMVKXXYUXRZ-UHFFFAOYSA-N 0 1 311.208 3.445 20 30 DGEDMN CN(C)[C@@H]1CCOc2c(NC(=O)C#Cc3ccccc3)cccc21 ZINC000790236748 625619900 /nfs/dbraw/zinc/61/99/00/625619900.db2.gz IPVSNOPCHOAUGZ-GOSISDBHSA-N 0 1 320.392 3.062 20 30 DGEDMN CC(C)[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000773980681 623095900 /nfs/dbraw/zinc/09/59/00/623095900.db2.gz UJRFOOMSYVBDIT-QJPTWQEYSA-N 0 1 304.777 3.279 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000790238083 625621235 /nfs/dbraw/zinc/62/12/35/625621235.db2.gz OKUFKLOZISFISV-OAHLLOKOSA-N 0 1 300.402 3.060 20 30 DGEDMN C[C@H](Oc1cccc2ccccc21)C(=O)C(C#N)c1ccncn1 ZINC000774206184 623120058 /nfs/dbraw/zinc/12/00/58/623120058.db2.gz QGAKLIIWQCJNQZ-XJKSGUPXSA-N 0 1 317.348 3.274 20 30 DGEDMN C[C@@H](Oc1cccc2ccccc21)C(=O)C(C#N)c1ccncn1 ZINC000774206181 623120099 /nfs/dbraw/zinc/12/00/99/623120099.db2.gz QGAKLIIWQCJNQZ-CJNGLKHVSA-N 0 1 317.348 3.274 20 30 DGEDMN Cc1cccnc1NN=C1c2cc(C(F)(F)F)ccc2NC1=O ZINC000790192642 625615546 /nfs/dbraw/zinc/61/55/46/625615546.db2.gz AHDRTKVHZMMTFZ-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN COc1c(Br)cccc1C=NNc1ccccn1 ZINC000774336822 623134331 /nfs/dbraw/zinc/13/43/31/623134331.db2.gz QJAUICLMGCGHRS-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN CCO[C@H]1CC(=Nn2cnc3ccccc32)[C@@H]1Oc1ccccc1 ZINC000774363566 623137180 /nfs/dbraw/zinc/13/71/80/623137180.db2.gz KQVNBFHAXFHWQY-OALUTQOASA-N 0 1 321.380 3.497 20 30 DGEDMN CCOCCON=C(C)c1cc(Br)cc(F)c1O ZINC000774436427 623144010 /nfs/dbraw/zinc/14/40/10/623144010.db2.gz RMQMMVXTJVGFKC-UHFFFAOYSA-N 0 1 320.158 3.071 20 30 DGEDMN COC(=O)[C@@H](C)n1cccc1CN=Nc1cc(C)ccc1F ZINC000774587886 623163706 /nfs/dbraw/zinc/16/37/06/623163706.db2.gz WXVDSXGMRHIPGJ-GFCCVEGCSA-N 0 1 303.337 3.116 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000873523351 623166750 /nfs/dbraw/zinc/16/67/50/623166750.db2.gz QHLMBDURGUDZPJ-BXUZGUMPSA-N 0 1 322.355 3.116 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCc1ccc(Cl)cc1C#N ZINC000774762795 623187922 /nfs/dbraw/zinc/18/79/22/623187922.db2.gz TWPHMRZBHWOJCY-LBPRGKRZSA-N 0 1 320.824 3.085 20 30 DGEDMN C=CC[C@@H](Sc1nc(C=Cc2ccc(C)o2)n[nH]1)C(=O)OC ZINC000882091118 625631414 /nfs/dbraw/zinc/63/14/14/625631414.db2.gz MDECJEONWGLEGX-JLVNDZCYSA-N 0 1 319.386 3.086 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC000775177972 623238199 /nfs/dbraw/zinc/23/81/99/623238199.db2.gz DXMYFMIYLZUIPJ-HNNXBMFYSA-N 0 1 322.408 3.401 20 30 DGEDMN C#C[C@H](NCc1ccc(Oc2cccnc2)c(C)c1)[C@@H]1CCCO1 ZINC000775340259 623256293 /nfs/dbraw/zinc/25/62/93/623256293.db2.gz GJSCSBQSGXGHOS-ICSRJNTNSA-N 0 1 322.408 3.453 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)cc2cccnc21)[C@H]1CCCO1 ZINC000775342647 623257198 /nfs/dbraw/zinc/25/71/98/623257198.db2.gz RWEFOXTUMRCUNZ-JKSUJKDBSA-N 0 1 300.789 3.159 20 30 DGEDMN C#C[C@H](NCc1ccc(Br)cc1C)[C@H]1CCCO1 ZINC000775345892 623257485 /nfs/dbraw/zinc/25/74/85/623257485.db2.gz RFGFZYCAFIFHDD-LSDHHAIUSA-N 0 1 308.219 3.028 20 30 DGEDMN COc1ccc(CNCc2ccccc2OC(F)F)cc1C#N ZINC000124931735 623258056 /nfs/dbraw/zinc/25/80/56/623258056.db2.gz XDLOUJDRDQHRDR-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN Cc1cc(N)cc(C)c1CN=Nc1cccc(F)c1[N+](=O)[O-] ZINC000790309196 625634290 /nfs/dbraw/zinc/63/42/90/625634290.db2.gz YSFGVPAWCPXNTJ-UHFFFAOYSA-N 0 1 302.309 3.379 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(C(C)=O)cc1)c1ccccc1 ZINC000775403769 623269718 /nfs/dbraw/zinc/26/97/18/623269718.db2.gz IVULKWSLPRFVAQ-IBGZPJMESA-N 0 1 320.392 3.182 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1cccnc1Cl)c1ccccc1 ZINC000775405458 623270633 /nfs/dbraw/zinc/27/06/33/623270633.db2.gz XBRVCNRBCDKRQR-AWEZNQCLSA-N 0 1 313.788 3.028 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cnn(-c2ccccc2)c1C)[C@@H]1CCCO1 ZINC000775572061 623291682 /nfs/dbraw/zinc/29/16/82/623291682.db2.gz OPKFQRQVRCOLRX-CCKFTAQKSA-N 0 1 309.413 3.012 20 30 DGEDMN C=C(Br)CN[C@@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000125136540 623336723 /nfs/dbraw/zinc/33/67/23/623336723.db2.gz GNGTZDJBFRIKQH-LBPRGKRZSA-N 0 1 323.234 3.373 20 30 DGEDMN Cc1nc(-c2ccccc2C)sc1C(=O)NC1=NO[C@H](C)C1 ZINC000775887012 623345796 /nfs/dbraw/zinc/34/57/96/623345796.db2.gz UUNZNZKCLHMUIW-SNVBAGLBSA-N 0 1 315.398 3.279 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@H]2[C@H]1CCC[N@@H+]2C)c1ccccc1 ZINC000874834617 623365880 /nfs/dbraw/zinc/36/58/80/623365880.db2.gz UGEYTGACLBUBRZ-GBESFXJTSA-N 0 1 312.457 3.432 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2ccc3[nH]ccc3c2)nc(C)n1 ZINC000776076932 623373026 /nfs/dbraw/zinc/37/30/26/623373026.db2.gz PGYRDPPOXGWQFY-INIZCTEOSA-N 0 1 318.380 3.384 20 30 DGEDMN Cc1cc(N=NC2CN(Cc3ccccc3)CC[C@H]2C)nc(C)n1 ZINC000776184965 623391402 /nfs/dbraw/zinc/39/14/02/623391402.db2.gz BUVBABZXBTYKHR-CQSZACIVSA-N 0 1 323.444 3.403 20 30 DGEDMN CN(C)c1ccncc1C=[NH+][N-]c1ccc(Cl)cc1[N+](=O)[O-] ZINC000776185270 623391590 /nfs/dbraw/zinc/39/15/90/623391590.db2.gz FSRWOQOCVMEUJH-UHFFFAOYSA-N 0 1 319.752 3.155 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1ccc(-n2ccnc2)cc1 ZINC000776187500 623392414 /nfs/dbraw/zinc/39/24/14/623392414.db2.gz QHFWFSKUNBXUFM-UHFFFAOYSA-N 0 1 320.352 3.105 20 30 DGEDMN CC[C@H]1CC[N@H+]([C@@H](C)C(=O)N(CCC#N)c2ccc(C)cc2)C1 ZINC000125353457 623431645 /nfs/dbraw/zinc/43/16/45/623431645.db2.gz BPCOOAAPUSGGTQ-IRXDYDNUSA-N 0 1 313.445 3.362 20 30 DGEDMN CC1CCC2(CC1)OC[C@H](CNCc1ccc(C#N)cc1F)O2 ZINC000776956167 623479253 /nfs/dbraw/zinc/47/92/53/623479253.db2.gz WHJUXXDSGGITQC-MNQCNDSGSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1nc2ccc(NC(=O)Cc3ccccc3CC#N)cc2[nH]1 ZINC000776977632 623481398 /nfs/dbraw/zinc/48/13/98/623481398.db2.gz JXVFMOKTGYAAKA-UHFFFAOYSA-N 0 1 304.353 3.119 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NOCCC(F)(F)F ZINC000777455548 623527848 /nfs/dbraw/zinc/52/78/48/623527848.db2.gz HNSHOVKEWHCINK-LBPRGKRZSA-N 0 1 315.339 3.248 20 30 DGEDMN C[C@H](Nc1cnn(-c2ccncc2)c1)c1ccc(OCC#N)cc1 ZINC000777566308 623542714 /nfs/dbraw/zinc/54/27/14/623542714.db2.gz MGSYVDVGSAZDAZ-AWEZNQCLSA-N 0 1 319.368 3.343 20 30 DGEDMN O=C(/C=C/c1cccc(Br)n1)c1ccc(O)cc1O ZINC000777917590 623585385 /nfs/dbraw/zinc/58/53/85/623585385.db2.gz DLHSGEUJKHAZJN-QPJJXVBHSA-N 0 1 320.142 3.151 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2ccc(Cl)cc2Cl)n1 ZINC000778545349 623666877 /nfs/dbraw/zinc/66/68/77/623666877.db2.gz RHAFXYGRRQXLSI-UHFFFAOYSA-N 0 1 316.579 3.465 20 30 DGEDMN Cc1cc(CN[C@H]2CCCN(c3ccc(C#N)cc3)C2=O)c(C)o1 ZINC000778549716 623667415 /nfs/dbraw/zinc/66/74/15/623667415.db2.gz BGEQHLOBAXLJCO-SFHVURJKSA-N 0 1 323.396 3.053 20 30 DGEDMN CC(=NNCCOC(C)C)c1[nH]c(-c2ccccc2)nc1C ZINC000778548152 623667798 /nfs/dbraw/zinc/66/77/98/623667798.db2.gz QVOUJIDBCVEMCX-UHFFFAOYSA-N 0 1 300.406 3.124 20 30 DGEDMN CSC(C)(C)CCN1CCO[C@H](c2cccc(C#N)c2)C1 ZINC000876816108 623715239 /nfs/dbraw/zinc/71/52/39/623715239.db2.gz HKAUXBGVXWESBI-INIZCTEOSA-N 0 1 304.459 3.463 20 30 DGEDMN C#C[C@H](N[C@H]1CCCc2ncc(C(F)(F)F)cc21)[C@H]1CCCO1 ZINC000779053324 623725730 /nfs/dbraw/zinc/72/57/30/623725730.db2.gz ZZDPQLBIWUGYIZ-CWRNSKLLSA-N 0 1 324.346 3.248 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)Nc1ccc(CN(C)C)c(F)c1 ZINC000876870196 623733608 /nfs/dbraw/zinc/73/36/08/623733608.db2.gz ZUYJIMWISHXLSL-CQSZACIVSA-N 0 1 319.424 3.398 20 30 DGEDMN Cc1cccc(OCCNCc2cc(C#N)cnc2Cl)c1 ZINC000876885075 623736340 /nfs/dbraw/zinc/73/63/40/623736340.db2.gz UIQVKMQVMCGIIO-UHFFFAOYSA-N 0 1 301.777 3.084 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H](OC)CC1 ZINC000779165702 623737458 /nfs/dbraw/zinc/73/74/58/623737458.db2.gz LFCBGTKZVDARRG-AWEZNQCLSA-N 0 1 307.821 3.353 20 30 DGEDMN CC(C)CCCCN[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000779348224 623762014 /nfs/dbraw/zinc/76/20/14/623762014.db2.gz QPHASJUVPSJVJK-CABCVRRESA-N 0 1 309.454 3.161 20 30 DGEDMN Cc1nnc(C/N=C/c2cc(Cl)cc(Cl)c2O)s1 ZINC000779708778 623788330 /nfs/dbraw/zinc/78/83/30/623788330.db2.gz YTPMEFRTESMXFA-LNKIKWGQSA-N 0 1 302.186 3.478 20 30 DGEDMN COc1cccc(N=NCc2ccc(Br)s2)n1 ZINC000779817037 623812503 /nfs/dbraw/zinc/81/25/03/623812503.db2.gz MSALBMKBESQIJZ-UHFFFAOYSA-N 0 1 312.192 3.360 20 30 DGEDMN CC(C)O[C@@H]1C[C@@H](NCc2cc(C#N)cnc2Cl)C1(C)C ZINC000877378862 623863309 /nfs/dbraw/zinc/86/33/09/623863309.db2.gz CJLYVTJPBLESKB-ZIAGYGMSSA-N 0 1 307.825 3.288 20 30 DGEDMN CCc1nc2c(s1)C(N=Nc1ccc(OC)cn1)CCC2 ZINC000780265425 623899974 /nfs/dbraw/zinc/89/99/74/623899974.db2.gz HLVBUBQTDSQOSC-UHFFFAOYSA-N 0 1 302.403 3.262 20 30 DGEDMN COc1ccc(N=NC2CN(Cc3ccccc3)C[C@H]2C)nc1 ZINC000780266844 623900470 /nfs/dbraw/zinc/90/04/70/623900470.db2.gz DFZYYHPSGSYVKO-CQSZACIVSA-N 0 1 310.401 3.010 20 30 DGEDMN COc1ccc(N=NCc2ccc(Br)cc2)nc1 ZINC000780268238 623900548 /nfs/dbraw/zinc/90/05/48/623900548.db2.gz GXEQHLUEAYCUSJ-UHFFFAOYSA-N 0 1 306.163 3.299 20 30 DGEDMN COc1ccc(N=NC2CCOc3c(OC)cc(C)cc32)nc1 ZINC000780270421 623901779 /nfs/dbraw/zinc/90/17/79/623901779.db2.gz ITBRSAFUSKGWRD-UHFFFAOYSA-N 0 1 313.357 3.006 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000780320904 623910305 /nfs/dbraw/zinc/91/03/05/623910305.db2.gz MGDVWJXYSLOBJL-QGZVFWFLSA-N 0 1 304.409 3.128 20 30 DGEDMN Cc1ccccc1[C@H](O)C1CCN(Cc2cccc(C#N)n2)CC1 ZINC000877519711 623920418 /nfs/dbraw/zinc/92/04/18/623920418.db2.gz YSNBDDKLZDDEQH-HXUWFJFHSA-N 0 1 321.424 3.207 20 30 DGEDMN CN(C)c1ccc(C#N)cc1C[N@H+]1CCC[C@@H]1c1ccncc1 ZINC000877524209 623922809 /nfs/dbraw/zinc/92/28/09/623922809.db2.gz UATVPPAEPUUUIJ-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@@H]1c1ccncc1 ZINC000877524209 623922812 /nfs/dbraw/zinc/92/28/12/623922812.db2.gz UATVPPAEPUUUIJ-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN CCN(Cc1cc(C#N)cnc1Cl)[C@@H]1CCOC(C)(C)C1 ZINC000877538562 623931342 /nfs/dbraw/zinc/93/13/42/623931342.db2.gz DQGHXVBYYZEJJX-CQSZACIVSA-N 0 1 307.825 3.386 20 30 DGEDMN Cc1cccc2c1OC[C@H](NCc1cc(C#N)cnc1Cl)C2 ZINC000877541946 623934016 /nfs/dbraw/zinc/93/40/16/623934016.db2.gz KNFUVCNJQJRJOX-OAHLLOKOSA-N 0 1 313.788 3.008 20 30 DGEDMN C=C(CC)CNCc1cc(C2CN(C(=O)OC(C)(C)C)C2)no1 ZINC000877575053 623949655 /nfs/dbraw/zinc/94/96/55/623949655.db2.gz FHSHUIZCJZQMGW-UHFFFAOYSA-N 0 1 321.421 3.065 20 30 DGEDMN Cc1ccc(C(F)(F)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000780603019 623959257 /nfs/dbraw/zinc/95/92/57/623959257.db2.gz CYLFUJCPHLACDR-UHFFFAOYSA-N 0 1 302.280 3.303 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@@H](CN2CC[C@](C)(C#N)C2)C1 ZINC000877637198 623972612 /nfs/dbraw/zinc/97/26/12/623972612.db2.gz QEVSGJBWXHFMKD-MAUKXSAKSA-N 0 1 321.465 3.259 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CC[C@H](c3ccc(O)cc3)C2)c1 ZINC000877659855 623980743 /nfs/dbraw/zinc/98/07/43/623980743.db2.gz FIKHBBXCDOQUQC-AWEZNQCLSA-N 0 1 313.788 3.302 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1noc2ccc(F)cc12 ZINC000877709527 624001078 /nfs/dbraw/zinc/00/10/78/624001078.db2.gz BGPIZIJDBKLXRG-GFCCVEGCSA-N 0 1 317.364 3.155 20 30 DGEDMN CCN1CCN(c2ccc(NC[C@@H](C)CCC#N)cc2F)CC1 ZINC000877766748 624027906 /nfs/dbraw/zinc/02/79/06/624027906.db2.gz KOEZHIFLQIEZIJ-HNNXBMFYSA-N 0 1 318.440 3.319 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3nc(C#N)cs3)C2)[nH]n1 ZINC000877784469 624035214 /nfs/dbraw/zinc/03/52/14/624035214.db2.gz RDANNANEHMPIKQ-GFCCVEGCSA-N 0 1 315.446 3.241 20 30 DGEDMN N#Cc1cc(NC(=O)[C@@H]2CCCc3[nH]ncc32)ccc1C1CC1 ZINC000882312463 625695503 /nfs/dbraw/zinc/69/55/03/625695503.db2.gz PVGFPUWHTMDMIK-OAHLLOKOSA-N 0 1 306.369 3.217 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H]1CCC2(CCOCC2)O1 ZINC000877907408 624087071 /nfs/dbraw/zinc/08/70/71/624087071.db2.gz ZLWJIOSQVMWCKX-OAHLLOKOSA-N 0 1 307.459 3.464 20 30 DGEDMN C=CC[N@H+](Cc1ccccc1)C[C@H]1CCC2(CCOCC2)O1 ZINC000877916944 624091447 /nfs/dbraw/zinc/09/14/47/624091447.db2.gz MNCPHZUDBKZMDN-GOSISDBHSA-N 0 1 301.430 3.403 20 30 DGEDMN FC(F)Sc1ccc(C=NNCCN2CCCCC2)cc1 ZINC000781590172 624099429 /nfs/dbraw/zinc/09/94/29/624099429.db2.gz TXJIVLODDNNSNM-UHFFFAOYSA-N 0 1 313.417 3.411 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000877973410 624118386 /nfs/dbraw/zinc/11/83/86/624118386.db2.gz YZVGNZLIUGTXGX-WBMJQRKESA-N 0 1 315.442 3.352 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000877973408 624118530 /nfs/dbraw/zinc/11/85/30/624118530.db2.gz YZVGNZLIUGTXGX-LRDDRELGSA-N 0 1 315.442 3.352 20 30 DGEDMN CC(C)(C)OC(=O)NC[C@@H]1CCCCN1CC1(CC#N)CC1 ZINC000878033330 624142274 /nfs/dbraw/zinc/14/22/74/624142274.db2.gz VCKNXIPWLLEMGV-AWEZNQCLSA-N 0 1 307.438 3.059 20 30 DGEDMN CN(C(=O)OC(C)(C)C)[C@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000878032267 624142322 /nfs/dbraw/zinc/14/23/22/624142322.db2.gz FGJIEVBAVGPLLO-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC(C)(C)C2)cc1 ZINC000781769834 624143421 /nfs/dbraw/zinc/14/34/21/624143421.db2.gz XDUCAYITLSHDQH-DOMZBBRYSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(C)[C@@H]1C[C@@H](CC(=O)Nc2cc(C#N)ccc2O)CCO1 ZINC000782023524 624189111 /nfs/dbraw/zinc/18/91/11/624189111.db2.gz GRSWCNRDDVUEBW-LRDDRELGSA-N 0 1 302.374 3.044 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2cccc(C(F)F)c2)c1 ZINC000790841372 625706308 /nfs/dbraw/zinc/70/63/08/625706308.db2.gz PLOVEPSMAUESGV-UHFFFAOYSA-N 0 1 302.280 3.383 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCC[C@H](N2CCCCC2=O)C1 ZINC000782182571 624215818 /nfs/dbraw/zinc/21/58/18/624215818.db2.gz RALCJVMLIMTVAG-YJBOKZPZSA-N 0 1 311.429 3.096 20 30 DGEDMN Cc1nc(F)ccc1CN=Nc1ccc(Br)cn1 ZINC000782221774 624223209 /nfs/dbraw/zinc/22/32/09/624223209.db2.gz OQZGELWSTVXHCM-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN O=[N+]([O-])c1ccc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)s1 ZINC000782225127 624223297 /nfs/dbraw/zinc/22/32/97/624223297.db2.gz JFZPFKYDPVGYHJ-UHFFFAOYSA-N 0 1 323.284 3.257 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2nc3cc(F)c(F)cc3[nH]2)s1 ZINC000782225127 624223298 /nfs/dbraw/zinc/22/32/98/624223298.db2.gz JFZPFKYDPVGYHJ-UHFFFAOYSA-N 0 1 323.284 3.257 20 30 DGEDMN COc1cc(C(C)=NN[C@H]2CCCC2(C)C)cc([N+](=O)[O-])c1O ZINC000782301022 624235900 /nfs/dbraw/zinc/23/59/00/624235900.db2.gz NRROFPZXJSPKFZ-AWEZNQCLSA-N 0 1 321.377 3.201 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NN[C@@H]1CCCC1(C)C ZINC000782299721 624236002 /nfs/dbraw/zinc/23/60/02/624236002.db2.gz JCXBFZBNFDLNSA-MAUKXSAKSA-N 0 1 314.477 3.448 20 30 DGEDMN C#CC[C@H](NCc1cc(C(=O)OC)ccc1OC)c1ccccc1 ZINC000878363131 624256846 /nfs/dbraw/zinc/25/68/46/624256846.db2.gz RTFCMULWBHDPKJ-SFHVURJKSA-N 0 1 323.392 3.336 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCC(=O)[C@@H](C)C3)[nH]c21 ZINC000783385496 624342683 /nfs/dbraw/zinc/34/26/83/624342683.db2.gz JDKNIMFAZFZFLM-RWMBFGLXSA-N 0 1 309.369 3.053 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](c1cc2ccccc2o1)C(C)C ZINC000783533374 624362319 /nfs/dbraw/zinc/36/23/19/624362319.db2.gz ZASVBNBCKXFLDX-KRWDZBQOSA-N 0 1 321.442 3.320 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](C)c1ccc(CCCC)cc1 ZINC000783531116 624362733 /nfs/dbraw/zinc/36/27/33/624362733.db2.gz GNIMYHPFQPSPOB-OAHLLOKOSA-N 0 1 309.475 3.281 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc(SCC)cc1 ZINC000783532943 624362757 /nfs/dbraw/zinc/36/27/57/624362757.db2.gz WJQUXXQXZYXCBV-ZDUSSCGKSA-N 0 1 313.488 3.050 20 30 DGEDMN CC(=NNCC(C)C)c1cc(Br)cc(F)c1O ZINC000783863913 624402047 /nfs/dbraw/zinc/40/20/47/624402047.db2.gz NWNCPZDOARTBEG-UHFFFAOYSA-N 0 1 303.175 3.263 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC000879223703 624454369 /nfs/dbraw/zinc/45/43/69/624454369.db2.gz VXOFNQPCQYWDSZ-BBRMVZONSA-N 0 1 315.417 3.046 20 30 DGEDMN C[C@H](OC(=O)c1cccc(-c2nnc[nH]2)c1)c1ccc(C#N)cc1 ZINC000784473747 624529902 /nfs/dbraw/zinc/52/99/02/624529902.db2.gz WIOUIPXXNBGQLF-LBPRGKRZSA-N 0 1 318.336 3.261 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H](F)C(C)C ZINC000784490777 624533445 /nfs/dbraw/zinc/53/34/45/624533445.db2.gz KIFKKJBKVZEGQI-CABCVRRESA-N 0 1 318.392 3.453 20 30 DGEDMN CC(C)[C@H](F)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000784491125 624533491 /nfs/dbraw/zinc/53/34/91/624533491.db2.gz ORKBZRZPGBYMIO-CABZTGNLSA-N 0 1 314.719 3.121 20 30 DGEDMN Cc1cccn2cc(CN(C)CCc3cccc(C#N)c3)nc12 ZINC000879434084 624546829 /nfs/dbraw/zinc/54/68/29/624546829.db2.gz MWKFBENXEWVMTM-UHFFFAOYSA-N 0 1 304.397 3.189 20 30 DGEDMN CCCNC(=O)OC[C@@H]1CCCC[N@H+]1Cc1ccc(C#N)cc1 ZINC000805774108 624640418 /nfs/dbraw/zinc/64/04/18/624640418.db2.gz AQMNSPCUWICKPG-KRWDZBQOSA-N 0 1 315.417 3.049 20 30 DGEDMN CCCNC(=O)OC[C@@H]1CCCCN1Cc1ccc(C#N)cc1 ZINC000805774108 624640421 /nfs/dbraw/zinc/64/04/21/624640421.db2.gz AQMNSPCUWICKPG-KRWDZBQOSA-N 0 1 315.417 3.049 20 30 DGEDMN Cc1ccc(CN2Cc3cccnc3N3CCC[C@H]3C2)cc1C#N ZINC000879693533 624642864 /nfs/dbraw/zinc/64/28/64/624642864.db2.gz RGJOTDDXQAEZHS-IBGZPJMESA-N 0 1 318.424 3.246 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1ccc2cc[nH]c2c1 ZINC000879728800 624653126 /nfs/dbraw/zinc/65/31/26/624653126.db2.gz WWYLJDTYCKZROW-HNNXBMFYSA-N 0 1 311.429 3.189 20 30 DGEDMN Cc1nc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc2s1 ZINC000785627783 624703235 /nfs/dbraw/zinc/70/32/35/624703235.db2.gz IPMBOYHOHSTVHI-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)OCC#Cc1ccc(Cl)cc1 ZINC000785744479 624722386 /nfs/dbraw/zinc/72/23/86/624722386.db2.gz VSJVRAKYMJEGDT-OKILXGFUSA-N 0 1 305.805 3.108 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccc(COC)o2)c1 ZINC000128112806 624732470 /nfs/dbraw/zinc/73/24/70/624732470.db2.gz JULIXFYERXGTTK-OAHLLOKOSA-N 0 1 314.385 3.397 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880062950 624772255 /nfs/dbraw/zinc/77/22/55/624772255.db2.gz SPWGZQQEPRRHTH-SWLSCSKDSA-N 0 1 312.335 3.408 20 30 DGEDMN COc1ccc2c(c1)C(N=Nc1ccc(Cl)nn1)CCS2 ZINC000786038621 624782944 /nfs/dbraw/zinc/78/29/44/624782944.db2.gz XHJDLIZXBLFLDD-UHFFFAOYSA-N 0 1 320.805 3.451 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1ncncc1Cl)CCS2 ZINC000786041756 624784946 /nfs/dbraw/zinc/78/49/46/624784946.db2.gz CADAGGZRGAVTRL-UHFFFAOYSA-N 0 1 320.805 3.451 20 30 DGEDMN N#CCOc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CN3c2ccccc2)cc1 ZINC000880189719 624828005 /nfs/dbraw/zinc/82/80/05/624828005.db2.gz QLRVUNAJVWVQHF-OALUTQOASA-N 0 1 319.408 3.052 20 30 DGEDMN C=CCN(C(C)C)[C@H](C)C(=O)Nc1c(C)csc1C(=O)OC ZINC000880220607 624848370 /nfs/dbraw/zinc/84/83/70/624848370.db2.gz YUHASTPBTRSLNW-GFCCVEGCSA-N 0 1 324.446 3.067 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)C[C@@H](C)c1c[nH]c2ccccc21 ZINC000786400011 624872107 /nfs/dbraw/zinc/87/21/07/624872107.db2.gz DSHWZUKKDGKMBD-CVEARBPZSA-N 0 1 324.424 3.302 20 30 DGEDMN N#Cc1c(CN2CC[C@@H](c3nccs3)C2)cn2ccccc12 ZINC000880316710 624914292 /nfs/dbraw/zinc/91/42/92/624914292.db2.gz KBRLLKGPIWQTPV-CYBMUJFWSA-N 0 1 308.410 3.257 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCCC2(C)C)c1 ZINC000786540998 624915205 /nfs/dbraw/zinc/91/52/05/624915205.db2.gz NTBFGIJZLRZRRT-HZPDHXFCSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000786571811 624922315 /nfs/dbraw/zinc/92/23/15/624922315.db2.gz SAJCLILFIUCYFK-WCQYABFASA-N 0 1 322.355 3.116 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786561982 624922753 /nfs/dbraw/zinc/92/27/53/624922753.db2.gz QVQQATGQRHNFMB-BXUZGUMPSA-N 0 1 322.355 3.116 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1OC)[C@@H](CO)c1ccccc1 ZINC000880461568 624960878 /nfs/dbraw/zinc/96/08/78/624960878.db2.gz IRUKIPSVNWQCNP-SFHVURJKSA-N 0 1 310.397 3.122 20 30 DGEDMN C#CCC1(O)CCN(CCc2c(Cl)cccc2Cl)CC1 ZINC000880483762 624965734 /nfs/dbraw/zinc/96/57/34/624965734.db2.gz KIFIWRAGSCPLIO-UHFFFAOYSA-N 0 1 312.240 3.386 20 30 DGEDMN N#CCCCCCC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000805853964 625057188 /nfs/dbraw/zinc/05/71/88/625057188.db2.gz OABYOCUTAHVLRU-CQSZACIVSA-N 0 1 317.776 3.461 20 30 DGEDMN Cc1ccc(NN=C2CC(C)(C)c3ccc([N+](=O)[O-])cc32)nn1 ZINC000788429291 625168821 /nfs/dbraw/zinc/16/88/21/625168821.db2.gz HJEUUCGBFBBCHX-UHFFFAOYSA-N 0 1 311.345 3.191 20 30 DGEDMN C[C@@H](NC(=O)Nc1ccc(C2CC2)c(C#N)c1)C1=CC[N@@H+](C)CC1 ZINC000881123299 625250417 /nfs/dbraw/zinc/25/04/17/625250417.db2.gz XXVAAYFDQXLGKK-CYBMUJFWSA-N 0 1 324.428 3.208 20 30 DGEDMN CCc1cc(CNC(=O)Nc2ccc(C3CC3)c(C#N)c2)n[nH]1 ZINC000881124406 625251379 /nfs/dbraw/zinc/25/13/79/625251379.db2.gz MSZDGXNJLVWJEQ-UHFFFAOYSA-N 0 1 309.373 3.043 20 30 DGEDMN CC(C)(C)N1CC[C@@H](Nc2ncc(C#N)cc2Br)C1 ZINC000882578488 625759591 /nfs/dbraw/zinc/75/95/91/625759591.db2.gz MXXGKDUBOIHCOP-LLVKDONJSA-N 0 1 323.238 3.000 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)C(C#N)c1cnc2ccccc2n1 ZINC000792111289 625910572 /nfs/dbraw/zinc/91/05/72/625910572.db2.gz ZOXXZTXTAIFWHP-TYILLQQXSA-N 0 1 323.396 3.401 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccn(C3CCCCC3)n2)c1 ZINC000792276900 625932640 /nfs/dbraw/zinc/93/26/40/625932640.db2.gz MFSBPOIOHOEEFJ-UHFFFAOYSA-N 0 1 310.357 3.218 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCc3cc(Cl)ccc32)C1=O ZINC000796057419 626019953 /nfs/dbraw/zinc/01/99/53/626019953.db2.gz OIQVOFSMJWPHKF-JKSUJKDBSA-N 0 1 304.821 3.046 20 30 DGEDMN CCC[C@](C)(CC)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000796326667 626038914 /nfs/dbraw/zinc/03/89/14/626038914.db2.gz RDBQYGYLXWUQIK-LRDDRELGSA-N 0 1 322.430 3.387 20 30 DGEDMN CCC[C@](C)(CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000796326667 626038916 /nfs/dbraw/zinc/03/89/16/626038916.db2.gz RDBQYGYLXWUQIK-LRDDRELGSA-N 0 1 322.430 3.387 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)c1ccccc1C ZINC000796383901 626044911 /nfs/dbraw/zinc/04/49/11/626044911.db2.gz KXEUCYMFOHWBRO-LJQANCHMSA-N 0 1 315.413 3.192 20 30 DGEDMN C[C@@H]1CCCN(C(=O)OC[C@H]2CCCCN2CCCC#N)C1 ZINC000796554132 626061814 /nfs/dbraw/zinc/06/18/14/626061814.db2.gz MPQSBCXAGYGPRI-HZPDHXFCSA-N 0 1 307.438 3.013 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCC1)C(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC000796805455 626085117 /nfs/dbraw/zinc/08/51/17/626085117.db2.gz ZKMNJHCSCFDMRT-LLLHUVSDSA-N 0 1 316.445 3.361 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC1C[C@@H](C)N(C2CC2)C1 ZINC000797403928 626140194 /nfs/dbraw/zinc/14/01/94/626140194.db2.gz CNAYSTPLQSTMNM-SNVBAGLBSA-N 0 1 307.829 3.278 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)C[C@H]2CCCOC2)s1 ZINC000797568184 626153810 /nfs/dbraw/zinc/15/38/10/626153810.db2.gz GEFZVIMPDOWKIF-VXGBXAGGSA-N 0 1 306.431 3.434 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)CCc2ccncn2)s1 ZINC000797570020 626153913 /nfs/dbraw/zinc/15/39/13/626153913.db2.gz BTDLAOVZJASTTJ-GFCCVEGCSA-N 0 1 314.414 3.040 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CCc2ccncn2)s1 ZINC000797570024 626153971 /nfs/dbraw/zinc/15/39/71/626153971.db2.gz BTDLAOVZJASTTJ-LBPRGKRZSA-N 0 1 314.414 3.040 20 30 DGEDMN FC(F)(F)c1cc(C=NNCc2ccc(Cl)cc2)[nH]n1 ZINC000799484008 626304431 /nfs/dbraw/zinc/30/44/31/626304431.db2.gz QCIDENBLEUXLHN-UHFFFAOYSA-N 0 1 302.687 3.206 20 30 DGEDMN COc1cc(F)ccc1NN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000799485963 626304712 /nfs/dbraw/zinc/30/47/12/626304712.db2.gz QYHABGSATJEQRT-UHFFFAOYSA-N 0 1 302.231 3.022 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1ncccc1OC(F)F ZINC000799637815 626316217 /nfs/dbraw/zinc/31/62/17/626316217.db2.gz UGQLKMPNOOIYFN-UHFFFAOYSA-N 0 1 322.377 3.395 20 30 DGEDMN COC(=O)c1[nH]c(C=NN=c2ccc3ccccc3[nH]2)cc1C ZINC000799865862 626331806 /nfs/dbraw/zinc/33/18/06/626331806.db2.gz ZMXBNTWFMCDALD-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN COc1cc(/C=C/C(=O)c2cc(C)c(C)o2)cc([N+](=O)[O-])c1O ZINC000799896678 626334447 /nfs/dbraw/zinc/33/44/47/626334447.db2.gz KADLSQLILRHLSQ-SNAWJCMRSA-N 0 1 317.297 3.415 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000800387929 626355441 /nfs/dbraw/zinc/35/54/41/626355441.db2.gz XIBCMTFUGKJENH-NEPJUHHUSA-N 0 1 322.359 3.006 20 30 DGEDMN C[C@@H](C#N)OCCN[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000801947037 626427752 /nfs/dbraw/zinc/42/77/52/626427752.db2.gz QSDDYHGQHFIGFU-QWRGUYRKSA-N 0 1 308.332 3.011 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1nc(-c2ccncc2)cs1 ZINC000802053783 626429851 /nfs/dbraw/zinc/42/98/51/626429851.db2.gz AJWFADVQGPLMGV-RDFMZFSFSA-N 0 1 320.377 3.423 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H]2CCc3c2cccc3Cl)CC1 ZINC000803352484 626605799 /nfs/dbraw/zinc/60/57/99/626605799.db2.gz KPAOPTLKYCEKLH-QGZVFWFLSA-N 0 1 317.816 3.216 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)cc1 ZINC000883235136 626618622 /nfs/dbraw/zinc/61/86/22/626618622.db2.gz VOMLNKWQHCFEHG-ZTNFWEORSA-N 0 1 322.408 3.117 20 30 DGEDMN N#C[C@H]1CC[C@H](NCc2ccc(Br)cc2[N+](=O)[O-])C1 ZINC000883295591 626639473 /nfs/dbraw/zinc/63/94/73/626639473.db2.gz MUJZMEPJGRJDGI-CABZTGNLSA-N 0 1 324.178 3.139 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1csc(-c2ccc(F)cc2)n1 ZINC000883401297 626673704 /nfs/dbraw/zinc/67/37/04/626673704.db2.gz JIVUJZJFESBRRQ-CQSZACIVSA-N 0 1 306.406 3.366 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1cccc(-n2ccnn2)c1 ZINC000806147569 626702586 /nfs/dbraw/zinc/70/25/86/626702586.db2.gz KSCMSKMZPHWGCC-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN CCCCCCC[C@@H](C)CNC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000806499861 626717889 /nfs/dbraw/zinc/71/78/89/626717889.db2.gz UXQDNXAXOAGCKZ-OLZOCXBDSA-N 0 1 309.458 3.470 20 30 DGEDMN Cc1ccccc1-n1cc(/C=C/C(=O)c2cc(F)ccc2O)nn1 ZINC000806573809 626723142 /nfs/dbraw/zinc/72/31/42/626723142.db2.gz TUGLZKGOINKHCF-VQHVLOKHSA-N 0 1 323.327 3.317 20 30 DGEDMN CC(C)[C@H]1CN(Cn2ccc(-c3ccc(C#N)cc3)n2)CCCO1 ZINC000840095898 626757860 /nfs/dbraw/zinc/75/78/60/626757860.db2.gz OJDWMUAIZCHECX-LJQANCHMSA-N 0 1 324.428 3.126 20 30 DGEDMN C=CCCO[C@H](C)C(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807839699 626771291 /nfs/dbraw/zinc/77/12/91/626771291.db2.gz KAXAPDKFJVLNBI-SECBINFHSA-N 0 1 318.200 3.296 20 30 DGEDMN CC(C)(C#N)CC(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000807841173 626772018 /nfs/dbraw/zinc/77/20/18/626772018.db2.gz UFVDPGFFHVTCJP-UHFFFAOYSA-N 0 1 301.173 3.255 20 30 DGEDMN COc1ccc2c(c1F)CCC2=NN=c1[nH]c2ccccc2n1C ZINC000807963933 626780220 /nfs/dbraw/zinc/78/02/20/626780220.db2.gz PUMQVWXFVIUSIJ-UHFFFAOYSA-N 0 1 324.359 3.483 20 30 DGEDMN CC(N=Nc1ccnc2ccccc12)c1cn2c(n1)CCCC2 ZINC000807970105 626780958 /nfs/dbraw/zinc/78/09/58/626780958.db2.gz WMNGYDFQIGYTSF-UHFFFAOYSA-N 0 1 305.385 3.026 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1c(F)cccc1Cl ZINC000807979246 626782103 /nfs/dbraw/zinc/78/21/03/626782103.db2.gz OQLPIAODVIZLGG-BETUJISGSA-N 0 1 308.788 3.063 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NNCC1CCCC1 ZINC000808005415 626785890 /nfs/dbraw/zinc/78/58/90/626785890.db2.gz CTVVBXAAYNGBMW-OAHLLOKOSA-N 0 1 300.450 3.059 20 30 DGEDMN C=CCCCN(C)CN1CCN(c2ccc(Cl)cc2)C1=O ZINC000808160002 626800460 /nfs/dbraw/zinc/80/04/60/626800460.db2.gz LMMXSNDIMYPEOO-UHFFFAOYSA-N 0 1 307.825 3.438 20 30 DGEDMN N#CC(C(=O)CCSc1cccc(Cl)c1)c1ccncn1 ZINC000808999563 626875087 /nfs/dbraw/zinc/87/50/87/626875087.db2.gz LBOYJMGXEQVXIZ-ZDUSSCGKSA-N 0 1 317.801 3.489 20 30 DGEDMN C=CC[C@H]1CCCN(C(=O)[C@H](N)Cc2cc3ccccc3o2)C1 ZINC000884401753 626876182 /nfs/dbraw/zinc/87/61/82/626876182.db2.gz ZYJLEZCSJVOHMV-WMLDXEAASA-N 0 1 312.413 3.117 20 30 DGEDMN COCCOCc1ccc(/C=C/C(=O)c2ccccc2O)cc1 ZINC000809156671 626887335 /nfs/dbraw/zinc/88/73/35/626887335.db2.gz DRDJFTLFIZAMBF-ZHACJKMWSA-N 0 1 312.365 3.451 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@@H](C3CCC3)C2)c1O ZINC000840175607 626912421 /nfs/dbraw/zinc/91/24/21/626912421.db2.gz LRRZKJIDCJRVGL-GOSISDBHSA-N 0 1 317.429 3.130 20 30 DGEDMN C#Cc1ccc(CN[C@H](c2nnc3ccccn32)C(C)C)cc1 ZINC000809633124 626929629 /nfs/dbraw/zinc/92/96/29/626929629.db2.gz FBXYYCLKPSIJAL-SFHVURJKSA-N 0 1 304.397 3.198 20 30 DGEDMN C#C[C@H](C)NCc1c(C)nn(Cc2ccccc2Cl)c1C ZINC000809683625 626935246 /nfs/dbraw/zinc/93/52/46/626935246.db2.gz GICJOMWJVVXDSJ-LBPRGKRZSA-N 0 1 301.821 3.313 20 30 DGEDMN C[C@H](OC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C)c1ccc(C#N)cc1 ZINC000885258338 626994790 /nfs/dbraw/zinc/99/47/90/626994790.db2.gz GZDQAMNUSDPFFG-BWACUDIHSA-N 0 1 309.369 3.252 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)OCCCCC(C)(C)C#N ZINC000885261118 626995658 /nfs/dbraw/zinc/99/56/58/626995658.db2.gz SXPKPKCUZQBISH-IUODEOHRSA-N 0 1 303.406 3.339 20 30 DGEDMN CC(C)(C)N1CC[C@@](F)(C(=O)O[C@@H](C#N)C2CCCCC2)C1 ZINC000810711374 627039618 /nfs/dbraw/zinc/03/96/18/627039618.db2.gz DNAKXULNNRUYKJ-YOEHRIQHSA-N 0 1 310.413 3.215 20 30 DGEDMN Cc1n[nH]c(CNCC#Cc2ccc(C(F)(F)F)cc2)c1C ZINC000886008415 627082537 /nfs/dbraw/zinc/08/25/37/627082537.db2.gz MCKLITFTBZAVMF-UHFFFAOYSA-N 0 1 307.319 3.187 20 30 DGEDMN Cc1[nH]nc(CNCC#Cc2ccc(C(F)(F)F)cc2)c1C ZINC000886008415 627082540 /nfs/dbraw/zinc/08/25/40/627082540.db2.gz MCKLITFTBZAVMF-UHFFFAOYSA-N 0 1 307.319 3.187 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCc3cccc(Cl)c3C2)CCC1 ZINC000886242976 627126687 /nfs/dbraw/zinc/12/66/87/627126687.db2.gz KSMCBVZYVGTVFA-CQSZACIVSA-N 0 1 304.821 3.143 20 30 DGEDMN CSc1ccc(CNCc2ccc3c(n2)CCC3)cc1C#N ZINC000886276962 627129351 /nfs/dbraw/zinc/12/93/51/627129351.db2.gz BJNPRWZGVKORST-UHFFFAOYSA-N 0 1 309.438 3.454 20 30 DGEDMN CSc1ccc(CNCc2cnc([C@H](C)O)s2)cc1C#N ZINC000886277675 627129778 /nfs/dbraw/zinc/12/97/78/627129778.db2.gz YWAGYNOBOUPDCH-JTQLQIEISA-N 0 1 319.455 3.080 20 30 DGEDMN CCOc1cncc(CNCc2ccc(SC)c(C#N)c2)c1 ZINC000886277506 627130094 /nfs/dbraw/zinc/13/00/94/627130094.db2.gz SRENVSPZIXMFEH-UHFFFAOYSA-N 0 1 313.426 3.364 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)C1 ZINC000886361366 627143102 /nfs/dbraw/zinc/14/31/02/627143102.db2.gz DNTQGYHWNCURPR-ZIAGYGMSSA-N 0 1 304.821 3.321 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccnc(CN[C@H]2CC[C@@H](C#N)C2)c1 ZINC000886681313 627188759 /nfs/dbraw/zinc/18/87/59/627188759.db2.gz WYELFCKDJSXVJX-OLZOCXBDSA-N 0 1 316.405 3.210 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC(C(=O)OC(C)C)CC1 ZINC000886728904 627198033 /nfs/dbraw/zinc/19/80/33/627198033.db2.gz PKZUPRCERZNXLE-UHFFFAOYSA-N 0 1 300.402 3.030 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H]([C@H]3CCCO3)C2)c(OC(F)F)c1 ZINC000886829602 627209845 /nfs/dbraw/zinc/20/98/45/627209845.db2.gz DCWLUFPGEUHXAH-LSDHHAIUSA-N 0 1 322.355 3.161 20 30 DGEDMN C[C@@H](N[C@@H]1CCc2c1cccc2F)C(=O)Nc1cccc(C#N)c1 ZINC000886926400 627214878 /nfs/dbraw/zinc/21/48/78/627214878.db2.gz LZPHIRSRGUQJGO-KZULUSFZSA-N 0 1 323.371 3.301 20 30 DGEDMN C#CCC1(NCc2nc(-c3cccs3)oc2C)CCOCC1 ZINC000887126178 627227014 /nfs/dbraw/zinc/22/70/14/627227014.db2.gz ZIGUJVRBKCVHMD-UHFFFAOYSA-N 0 1 316.426 3.374 20 30 DGEDMN C#CCOc1ccccc1CN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000811519581 627286998 /nfs/dbraw/zinc/28/69/98/627286998.db2.gz YQHQVBDTWHWFPS-OALUTQOASA-N 0 1 311.425 3.232 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccc2[nH]ccc2c1 ZINC000811637279 627297163 /nfs/dbraw/zinc/29/71/63/627297163.db2.gz YXEKIAULXRIXQI-UHFFFAOYSA-N 0 1 320.377 3.049 20 30 DGEDMN FC(F)(F)c1cscc1C=NNCCN1CCCCC1 ZINC000811638985 627297775 /nfs/dbraw/zinc/29/77/75/627297775.db2.gz KJTZYCULWLOPML-UHFFFAOYSA-N 0 1 305.369 3.176 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC1CCc2ccc(C#N)cc21 ZINC000811639863 627297990 /nfs/dbraw/zinc/29/79/90/627297990.db2.gz IXFQCNPQAVPGPL-UHFFFAOYSA-N 0 1 322.324 3.237 20 30 DGEDMN O=[N+]([O-])c1c(Cl)cc(Cl)cc1CN=Nc1cccnn1 ZINC000811643593 627298200 /nfs/dbraw/zinc/29/82/00/627298200.db2.gz HPDIOQHRJRVKOI-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN COC[C@@H](C)N=NC([C@@H](C)OCc1ccccc1)C(F)(F)F ZINC000811647001 627298842 /nfs/dbraw/zinc/29/88/42/627298842.db2.gz TWMOIABLPPNUQD-VXGBXAGGSA-N 0 1 318.339 3.135 20 30 DGEDMN C[C@@H]1C(N=N[C@@H]2CCOC(C)(C)C2)CCN1Cc1ccccc1 ZINC000811648380 627299483 /nfs/dbraw/zinc/29/94/83/627299483.db2.gz JHHDYMBNWXNXMO-NVXWUHKLSA-N 0 1 315.461 3.184 20 30 DGEDMN C=CCOCC[NH2+][C@H](CC)c1cc(Br)ccc1[O-] ZINC000301888251 627305278 /nfs/dbraw/zinc/30/52/78/627305278.db2.gz WMINGGMKVWAGTM-CYBMUJFWSA-N 0 1 314.223 3.398 20 30 DGEDMN Cc1sc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)c(C#N)c1C ZINC000812826903 627426458 /nfs/dbraw/zinc/42/64/58/627426458.db2.gz SZZZMECHAUUWGU-INIZCTEOSA-N 0 1 323.437 3.388 20 30 DGEDMN CCCCCCCC[C@H](CO)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000889695205 627458769 /nfs/dbraw/zinc/45/87/69/627458769.db2.gz FNACJJAMPCZRIK-CABCVRRESA-N 0 1 321.465 3.057 20 30 DGEDMN N#CC1(CCOC(=O)[C@H]2CCCc3[nH]ncc32)CCCCC1 ZINC000840288191 627480995 /nfs/dbraw/zinc/48/09/95/627480995.db2.gz HUNHSUYWPJATCT-ZDUSSCGKSA-N 0 1 301.390 3.237 20 30 DGEDMN FC(F)Cn1cc(CN=Nc2ccc3ccccc3n2)cn1 ZINC000814212830 627551949 /nfs/dbraw/zinc/55/19/49/627551949.db2.gz LNOSNCVWLDJLFF-UHFFFAOYSA-N 0 1 301.300 3.142 20 30 DGEDMN FC(F)Cn1cc(C=NN=c2ccc3ccccc3[nH]2)cn1 ZINC000814212830 627551951 /nfs/dbraw/zinc/55/19/51/627551951.db2.gz LNOSNCVWLDJLFF-UHFFFAOYSA-N 0 1 301.300 3.142 20 30 DGEDMN Fc1cc(F)c(N=NCc2cnn(CC(F)F)c2)cc1F ZINC000814222769 627552606 /nfs/dbraw/zinc/55/26/06/627552606.db2.gz FVEPBXOTMIUIEQ-UHFFFAOYSA-N 0 1 304.222 3.012 20 30 DGEDMN Brc1ccc2c(c1)C(N=Nc1ccccn1)CO2 ZINC000814732143 627587588 /nfs/dbraw/zinc/58/75/88/627587588.db2.gz ZOTFISLFCPQLGH-UHFFFAOYSA-N 0 1 304.147 3.053 20 30 DGEDMN Brc1ccc2c(c1)C(=N[N-]c1cccc[nH+]1)CO2 ZINC000814732143 627587589 /nfs/dbraw/zinc/58/75/89/627587589.db2.gz ZOTFISLFCPQLGH-UHFFFAOYSA-N 0 1 304.147 3.053 20 30 DGEDMN CC(N=Nc1ncccc1Cl)c1cc([N+](=O)[O-])ccc1N ZINC000814810310 627594035 /nfs/dbraw/zinc/59/40/35/627594035.db2.gz HBXMVRBGCZUGRO-UHFFFAOYSA-N 0 1 305.725 3.062 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC1COc2c1cccc2C ZINC000814823698 627595666 /nfs/dbraw/zinc/59/56/66/627595666.db2.gz RQVRDRGKAOXOOR-UHFFFAOYSA-N 0 1 313.313 3.120 20 30 DGEDMN CCc1cnc(C=NNc2ccc(Br)cn2)s1 ZINC000814832790 627596792 /nfs/dbraw/zinc/59/67/92/627596792.db2.gz WFTRXDXUCIHSHG-UHFFFAOYSA-N 0 1 311.208 3.309 20 30 DGEDMN COc1ccc2c(c1)C(N=Nc1ccc(C)nn1)CCCS2 ZINC000814840938 627597337 /nfs/dbraw/zinc/59/73/37/627597337.db2.gz VDHQMMRYRQLVSI-UHFFFAOYSA-N 0 1 314.414 3.496 20 30 DGEDMN Cc1cc(N=NC2CCCN(Cc3ccccc3)[C@@H]2C)ncn1 ZINC000814846057 627597850 /nfs/dbraw/zinc/59/78/50/627597850.db2.gz FBHPRONRHVPUOM-OAHLLOKOSA-N 0 1 309.417 3.237 20 30 DGEDMN COCc1cnc(CN=Nc2cc(F)c(F)cc2F)s1 ZINC000814851748 627598465 /nfs/dbraw/zinc/59/84/65/627598465.db2.gz SSNPHFGACNKAHH-UHFFFAOYSA-N 0 1 301.293 3.153 20 30 DGEDMN CC(N=Nc1ccc(Cl)nc1)c1cccc(-n2cnnc2)c1 ZINC000814859015 627599530 /nfs/dbraw/zinc/59/95/30/627599530.db2.gz LVHUGOQTUOBSGE-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN COc1cccc(N=NC2CCOc3c(Cl)cccc32)n1 ZINC000814900521 627604674 /nfs/dbraw/zinc/60/46/74/627604674.db2.gz XAUNSAITOLOCPH-UHFFFAOYSA-N 0 1 303.749 3.342 20 30 DGEDMN COc1cccc(NN=Cc2ccc3ccc(F)c(F)c3n2)n1 ZINC000814900324 627604729 /nfs/dbraw/zinc/60/47/29/627604729.db2.gz NSDFULLDUVGGCI-UHFFFAOYSA-N 0 1 314.295 3.363 20 30 DGEDMN CC(C)(C)c1ncc(C=NNc2nccnc2C2CCC2)cn1 ZINC000814931207 627609724 /nfs/dbraw/zinc/60/97/24/627609724.db2.gz NGLDGNRDQHNJQA-UHFFFAOYSA-N 0 1 310.405 3.278 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNc1ccc(F)c(F)c1F ZINC000814945139 627612101 /nfs/dbraw/zinc/61/21/01/627612101.db2.gz NIDRNMHWSFNDPU-UHFFFAOYSA-N 0 1 311.219 3.164 20 30 DGEDMN CN(C)c1ncc(C=NNc2ccc(F)c(F)c2F)s1 ZINC000814946721 627612812 /nfs/dbraw/zinc/61/28/12/627612812.db2.gz VNFXKQNUUIPZPB-UHFFFAOYSA-N 0 1 300.309 3.072 20 30 DGEDMN COCc1nc(Cl)cc(NN=Cc2ccc(F)cc2F)n1 ZINC000814977981 627615415 /nfs/dbraw/zinc/61/54/15/627615415.db2.gz JXRCHZHBEPVGSV-UHFFFAOYSA-N 0 1 312.707 3.001 20 30 DGEDMN C=CCC(F)(F)C(=O)N(CCN1CCCC1)c1ccccc1 ZINC000815673715 627697885 /nfs/dbraw/zinc/69/78/85/627697885.db2.gz YHQPPOKMOHIMSS-UHFFFAOYSA-N 0 1 308.372 3.327 20 30 DGEDMN C=CCC(F)(F)C(=O)N(C)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000815739063 627701945 /nfs/dbraw/zinc/70/19/45/627701945.db2.gz NRLMEAJGYQJJNP-MRXNPFEDSA-N 0 1 322.399 3.321 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CC3CCC2CC3)c1 ZINC000815907510 627711361 /nfs/dbraw/zinc/71/13/61/627711361.db2.gz CDPKUDQPVRXPSN-GMGZGELVSA-N 0 1 310.397 3.469 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F ZINC000815909018 627712143 /nfs/dbraw/zinc/71/21/43/627712143.db2.gz PFNFGWFXXWOUCS-GHMZBOCLSA-N 0 1 324.327 3.024 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC2CCC1CC2)c1nnc2n1CCCCC2 ZINC000815914495 627712295 /nfs/dbraw/zinc/71/22/95/627712295.db2.gz DQTPJHUSVWCGFT-CVSAEHQPSA-N 0 1 312.417 3.007 20 30 DGEDMN CO[C@@H]1CCC[C@@H](C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000815954501 627717466 /nfs/dbraw/zinc/71/74/66/627717466.db2.gz DPTCWKDOGBEXSN-MCIONIFRSA-N 0 1 311.385 3.253 20 30 DGEDMN N#C[C@H](C(=O)Cc1c[nH]c2cccc(Cl)c12)c1ccncn1 ZINC000816018861 627726461 /nfs/dbraw/zinc/72/64/61/627726461.db2.gz SAFLYLAXHPWLER-NSHDSACASA-N 0 1 310.744 3.030 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F)c1ccccc1 ZINC000816039314 627729333 /nfs/dbraw/zinc/72/93/33/627729333.db2.gz TUMGGDUMTULTDW-YUTCNCBUSA-N 0 1 322.355 3.254 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC(C)(C)C2CC2)c1 ZINC000816037422 627729406 /nfs/dbraw/zinc/72/94/06/627729406.db2.gz NFFFVKVUQAUIPM-MRXNPFEDSA-N 0 1 312.413 3.146 20 30 DGEDMN COc1ccc(CN[C@H](C)c2ccnn2C2CCC2)cc1C#N ZINC000925596737 627760461 /nfs/dbraw/zinc/76/04/61/627760461.db2.gz WEBQMMDRCMVIPW-CYBMUJFWSA-N 0 1 310.401 3.339 20 30 DGEDMN C#CCOc1ccc([C@@H](C)N2CCOc3ccc(N)cc3C2)cc1 ZINC000925751606 627787172 /nfs/dbraw/zinc/78/71/72/627787172.db2.gz BYADOOZZBHCQJA-OAHLLOKOSA-N 0 1 322.408 3.236 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@H]1c2sccc2OC(C)(C)[C@@H]1O ZINC000926569394 627915651 /nfs/dbraw/zinc/91/56/51/627915651.db2.gz GTTFENCWJMRIAW-VHRBIJSZSA-N 0 1 308.447 3.239 20 30 DGEDMN C[C@H](N[C@H]1c2ccccc2CC[C@H]1O)c1ccc(C#N)c(F)c1 ZINC000927141004 628025132 /nfs/dbraw/zinc/02/51/32/628025132.db2.gz VGQINYIJQHJIDQ-RQUSPXKASA-N 0 1 310.372 3.396 20 30 DGEDMN C=C(Br)CNC[C@H](O)Cc1ccc2ccccc2c1 ZINC000818979079 628071789 /nfs/dbraw/zinc/07/17/89/628071789.db2.gz GRHJKZYIZHJWNY-MRXNPFEDSA-N 0 1 320.230 3.241 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)Nc1ccc(CCC#N)cc1 ZINC000928398710 628147676 /nfs/dbraw/zinc/14/76/76/628147676.db2.gz AQUDVFUWNAYHGU-PXAZEXFGSA-N 0 1 308.385 3.170 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)Nc1ccc(CCC#N)cc1 ZINC000928398715 628148390 /nfs/dbraw/zinc/14/83/90/628148390.db2.gz AQUDVFUWNAYHGU-YVEFUNNKSA-N 0 1 308.385 3.170 20 30 DGEDMN C#CCN1CCC(NC(=O)c2cc3cc(C)ccc3s2)CC1 ZINC000928651008 628172904 /nfs/dbraw/zinc/17/29/04/628172904.db2.gz JQZHEQNGBUDEBW-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC[C@@H]2C)c1 ZINC000819946284 628202288 /nfs/dbraw/zinc/20/22/88/628202288.db2.gz ZAHWAIRMCLLINP-VBNZEHGJSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(F)(F)CCCN1CCN(c2cccc(F)c2C#N)CC1 ZINC000929107747 628209911 /nfs/dbraw/zinc/20/99/11/628209911.db2.gz MOROEAUIIQPPQQ-UHFFFAOYSA-N 0 1 311.351 3.255 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCCC[C@@H]2C)c1 ZINC000820761763 628295504 /nfs/dbraw/zinc/29/55/04/628295504.db2.gz SECZLRARMHIGOB-USXIJHARSA-N 0 1 312.413 3.146 20 30 DGEDMN N#C[C@@H](OC(=O)c1cc2[nH]cnc2cc1F)C1CCCCC1 ZINC000820778606 628297481 /nfs/dbraw/zinc/29/74/81/628297481.db2.gz IHZDERNNNUGIRO-OAHLLOKOSA-N 0 1 301.321 3.331 20 30 DGEDMN CC(C)(C)c1cnc([C@H](C#N)C(=O)C=C[C@H]2CCCO2)s1 ZINC000820961084 628319746 /nfs/dbraw/zinc/31/97/46/628319746.db2.gz FEASEXHOIFQKIL-BYAJROORSA-N 0 1 304.415 3.352 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@H]2CCCO2)s1 ZINC000820961084 628319747 /nfs/dbraw/zinc/31/97/47/628319747.db2.gz FEASEXHOIFQKIL-BYAJROORSA-N 0 1 304.415 3.352 20 30 DGEDMN CC1(C)CCc2onc(C(=O)Nc3cc(C#N)ccc3O)c2C1 ZINC000821349701 628359938 /nfs/dbraw/zinc/35/99/38/628359938.db2.gz VRRLXAVFVQOOKK-UHFFFAOYSA-N 0 1 311.341 3.019 20 30 DGEDMN C=CCSc1ccccc1C(=O)O[C@@H](C)c1n[nH]c(C)n1 ZINC000840465594 628379137 /nfs/dbraw/zinc/37/91/37/628379137.db2.gz ZTOJQRMFDVDATL-JTQLQIEISA-N 0 1 303.387 3.309 20 30 DGEDMN C=CCSc1ccccc1C(=O)O[C@H](C)c1n[nH]c(C)n1 ZINC000840465596 628379391 /nfs/dbraw/zinc/37/93/91/628379391.db2.gz ZTOJQRMFDVDATL-SNVBAGLBSA-N 0 1 303.387 3.309 20 30 DGEDMN CCCCCCC[C@@H](C)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000823064364 628522058 /nfs/dbraw/zinc/52/20/58/628522058.db2.gz YUQQDIFVOUEEGX-ZIAGYGMSSA-N 0 1 306.454 3.337 20 30 DGEDMN C=CCC[C@@H]([NH2+]Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000823894283 628607994 /nfs/dbraw/zinc/60/79/94/628607994.db2.gz MGWYABLNFDCSRX-MRXNPFEDSA-N 0 1 320.400 3.059 20 30 DGEDMN C=CCC[C@@H]([NH2+]Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000823894283 628607998 /nfs/dbraw/zinc/60/79/98/628607998.db2.gz MGWYABLNFDCSRX-MRXNPFEDSA-N 0 1 320.400 3.059 20 30 DGEDMN N#CC[C@H]1CCCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)C1 ZINC000824747100 628689588 /nfs/dbraw/zinc/68/95/88/628689588.db2.gz QOGKAKUSBBKFNB-SNVBAGLBSA-N 0 1 318.327 3.266 20 30 DGEDMN C#CCCN1CCN(c2ccc3cc(F)cc(Cl)c3n2)CC1 ZINC000825699060 628787103 /nfs/dbraw/zinc/78/71/03/628787103.db2.gz UZGRWLYJCBCSHC-UHFFFAOYSA-N 0 1 317.795 3.173 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2Cc3ccccc3C[C@H]2C(=O)[O-])c1 ZINC000825702679 628787562 /nfs/dbraw/zinc/78/75/62/628787562.db2.gz UNOMUHRQOTVGIZ-IBGZPJMESA-N 0 1 323.392 3.263 20 30 DGEDMN CC(C)C[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000826831765 628892496 /nfs/dbraw/zinc/89/24/96/628892496.db2.gz GUBHHHDGDMFRAF-DOTOQJQBSA-N 0 1 300.402 3.442 20 30 DGEDMN C#C[C@H](NCc1cccc(OC)c1OC(C)C)C1CCOCC1 ZINC000827570262 628972040 /nfs/dbraw/zinc/97/20/40/628972040.db2.gz KJPUVRBGDRYSLP-KRWDZBQOSA-N 0 1 317.429 3.000 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000827972331 629009960 /nfs/dbraw/zinc/00/99/60/629009960.db2.gz ZGPFOZVGAFUEPB-AUUYWEPGSA-N 0 1 309.413 3.486 20 30 DGEDMN C[C@]1(c2ccccc2)CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000828128726 629025883 /nfs/dbraw/zinc/02/58/83/629025883.db2.gz WPXQSEVNDVSNCO-ICSRJNTNSA-N 0 1 320.392 3.348 20 30 DGEDMN C[N@@H+](Cc1ccccc1C(C)(C)C#N)C1CCN(C(=O)[O-])CC1 ZINC000828387046 629050003 /nfs/dbraw/zinc/05/00/03/629050003.db2.gz FPRFWDAVFOMCTB-UHFFFAOYSA-N 0 1 315.417 3.062 20 30 DGEDMN C[C@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)Cc2ccccc21 ZINC000828411137 629051743 /nfs/dbraw/zinc/05/17/43/629051743.db2.gz FEUZQXJAPCOTAU-SCLBCKFNSA-N 0 1 306.365 3.303 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)[C@@H]1c1ccccc1 ZINC000828411117 629051898 /nfs/dbraw/zinc/05/18/98/629051898.db2.gz DPYRCAWFWRWXTM-JEBQAFNWSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)[C@@H]1c1ccccc1 ZINC000828411117 629051901 /nfs/dbraw/zinc/05/19/01/629051901.db2.gz DPYRCAWFWRWXTM-JEBQAFNWSA-N 0 1 306.365 3.377 20 30 DGEDMN COc1ccc(CNC2(c3ccccc3OC)CC2)cc1C#N ZINC000829702133 629183555 /nfs/dbraw/zinc/18/35/55/629183555.db2.gz CCGANLYXNWYRGI-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000829773364 629190251 /nfs/dbraw/zinc/19/02/51/629190251.db2.gz DKQANIQBMQCBOI-GJZGRUSLSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(F)c(F)c2)C1 ZINC000829778881 629191441 /nfs/dbraw/zinc/19/14/41/629191441.db2.gz JKIXHKHHEIOZAB-CHWSQXEVSA-N 0 1 306.356 3.027 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(OC)c(Cl)c2)C1 ZINC000829788696 629192854 /nfs/dbraw/zinc/19/28/54/629192854.db2.gz DPFBQLUHKQQJBB-CYBMUJFWSA-N 0 1 320.820 3.022 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nc(-c3ccc(F)c(C)c3)no2)C1 ZINC000829788824 629192898 /nfs/dbraw/zinc/19/28/98/629192898.db2.gz HQPGOVGZKYPQPZ-AWEZNQCLSA-N 0 1 313.376 3.419 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(OCC)cc2)C1 ZINC000829794382 629194273 /nfs/dbraw/zinc/19/42/73/629194273.db2.gz XDCWHVWKODGLNX-HZPDHXFCSA-N 0 1 314.429 3.148 20 30 DGEDMN C=C1CCC(CNC(=O)c2c(C)n[nH]c2C(F)(F)F)CC1 ZINC000830997868 629328972 /nfs/dbraw/zinc/32/89/72/629328972.db2.gz DFCWLKANZXSAIG-UHFFFAOYSA-N 0 1 301.312 3.213 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](NCC2(C#N)CC2)C12CCCC2 ZINC000831021559 629332197 /nfs/dbraw/zinc/33/21/97/629332197.db2.gz ICXCVSUCFYKJLI-AWEZNQCLSA-N 0 1 319.449 3.202 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)c3ccc(C#N)o3)C2)n[nH]1 ZINC000831886006 629423240 /nfs/dbraw/zinc/42/32/40/629423240.db2.gz XQOMQWRCTDNECL-GFCCVEGCSA-N 0 1 312.373 3.018 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831910624 629427531 /nfs/dbraw/zinc/42/75/31/629427531.db2.gz LBRCMFMZVLWYQJ-HUUCEWRRSA-N 0 1 319.449 3.220 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)c3ccc(C#N)cc3)C2)n[nH]1 ZINC000831925946 629428591 /nfs/dbraw/zinc/42/85/91/629428591.db2.gz ODEYRSVTHSFKCZ-MRXNPFEDSA-N 0 1 322.412 3.425 20 30 DGEDMN COc1cccc2c1CN(Cc1ccc(OCC#N)cc1)CC2 ZINC000831939702 629430187 /nfs/dbraw/zinc/43/01/87/629430187.db2.gz DEWUGFUVLFNQDD-UHFFFAOYSA-N 0 1 308.381 3.156 20 30 DGEDMN C#CCCOc1ccc(C[N@H+](C)Cc2ccc(C(=O)[O-])cc2)cc1 ZINC000833364754 629592420 /nfs/dbraw/zinc/59/24/20/629592420.db2.gz CTIKXXGQXIQMGT-UHFFFAOYSA-N 0 1 323.392 3.419 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)Cc1cccc(C(=O)[O-])c1C#N ZINC000833374596 629594429 /nfs/dbraw/zinc/59/44/29/629594429.db2.gz SKYHGHWYLVMFEU-UHFFFAOYSA-N 0 1 320.392 3.487 20 30 DGEDMN CC(C)(C(=O)[O-])[C@@H]1CCC[N@@H+](CC#Cc2ccc(F)cc2)C1 ZINC000833417114 629601357 /nfs/dbraw/zinc/60/13/57/629601357.db2.gz MODZAOSJFHEEMD-OAHLLOKOSA-N 0 1 303.377 3.000 20 30 DGEDMN C[C@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@H]1c1ccccc1 ZINC000833630375 629632566 /nfs/dbraw/zinc/63/25/66/629632566.db2.gz SASWJXQHOSLZAE-SCLBCKFNSA-N 0 1 306.365 3.449 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@H]1c1ccccc1 ZINC000833630375 629632568 /nfs/dbraw/zinc/63/25/68/629632568.db2.gz SASWJXQHOSLZAE-SCLBCKFNSA-N 0 1 306.365 3.449 20 30 DGEDMN C[C@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@@H]1c1ccccc1 ZINC000833630377 629632908 /nfs/dbraw/zinc/63/29/08/629632908.db2.gz SASWJXQHOSLZAE-UGSOOPFHSA-N 0 1 306.365 3.449 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@@H]1c1ccccc1 ZINC000833630377 629632911 /nfs/dbraw/zinc/63/29/11/629632911.db2.gz SASWJXQHOSLZAE-UGSOOPFHSA-N 0 1 306.365 3.449 20 30 DGEDMN CCS[C@@H]1CC[C@H]([N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC000833667865 629638549 /nfs/dbraw/zinc/63/85/49/629638549.db2.gz NAVBRFHGRJEKMQ-UONOGXRCSA-N 0 1 318.442 3.362 20 30 DGEDMN N#CCCCOc1cccc(C[N@H+](CC(=O)[O-])C2CCCC2)c1 ZINC000833760167 629647542 /nfs/dbraw/zinc/64/75/42/629647542.db2.gz IJDYMAVXKQUURO-UHFFFAOYSA-N 0 1 316.401 3.198 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(NC(=O)[O-])nc1)Cc1cccc(C#N)c1 ZINC000833788031 629650263 /nfs/dbraw/zinc/65/02/63/629650263.db2.gz LKVGTRYPFFIMPD-UHFFFAOYSA-N 0 1 322.368 3.231 20 30 DGEDMN C=CC[N@H+](Cc1ccc(NC(=O)[O-])nc1)Cc1cccc(C#N)c1 ZINC000833788031 629650268 /nfs/dbraw/zinc/65/02/68/629650268.db2.gz LKVGTRYPFFIMPD-UHFFFAOYSA-N 0 1 322.368 3.231 20 30 DGEDMN C[N@@H+](CC#Cc1cccc(Cl)c1)C1CCC(NC(=O)[O-])CC1 ZINC000833869508 629657252 /nfs/dbraw/zinc/65/72/52/629657252.db2.gz BKPAKRFVVWCVNV-UHFFFAOYSA-N 0 1 320.820 3.202 20 30 DGEDMN C=CCC[C@H](CO)[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000834903863 629803839 /nfs/dbraw/zinc/80/38/39/629803839.db2.gz KPJFUXQWLLJCAH-CMPLNLGQSA-N 0 1 314.223 3.132 20 30 DGEDMN C=CCC[C@@H](CO)[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000834904290 629804679 /nfs/dbraw/zinc/80/46/79/629804679.db2.gz OFXHNBNTFPJXLB-PWSUYJOCSA-N 0 1 314.223 3.132 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1c(C)oc2ccccc21 ZINC000834965877 629813411 /nfs/dbraw/zinc/81/34/11/629813411.db2.gz IMYRFEQDPLSHJN-HUUCEWRRSA-N 0 1 310.401 3.325 20 30 DGEDMN COc1ccc2c(c1)C(N=Nc1ccncn1)CCCS2 ZINC000835001855 629819158 /nfs/dbraw/zinc/81/91/58/629819158.db2.gz QMBCUOXVRIAEAZ-UHFFFAOYSA-N 0 1 300.387 3.187 20 30 DGEDMN CCOC(=O)C(C)(C)ON=Cc1cc(Cl)c(O)cc1Cl ZINC000835005269 629820093 /nfs/dbraw/zinc/82/00/93/629820093.db2.gz VRILXKGDKNTHKC-UHFFFAOYSA-N 0 1 320.172 3.391 20 30 DGEDMN Fc1ccccc1CNN=C1CCN(CCC(F)(F)F)CC1 ZINC000835011730 629823020 /nfs/dbraw/zinc/82/30/20/629823020.db2.gz PSDICRHXYAXLFW-UHFFFAOYSA-N 0 1 317.330 3.320 20 30 DGEDMN Fc1cccc2c1CC[C@H]2NN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000835020021 629825113 /nfs/dbraw/zinc/82/51/13/629825113.db2.gz IUSDSWDNXUDCHR-GFCCVEGCSA-N 0 1 312.270 3.179 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C[C@@H]1C ZINC000835061453 629841282 /nfs/dbraw/zinc/84/12/82/629841282.db2.gz LEUNSZHCYQHXLJ-YQFWSFKMSA-N 0 1 312.413 3.084 20 30 DGEDMN CC(C)CC(CC(C)C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000835076007 629844991 /nfs/dbraw/zinc/84/49/91/629844991.db2.gz CKHNDIVAQQNQRA-HNNXBMFYSA-N 0 1 315.417 3.437 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CCCC(=O)C3CC3)[nH]c21 ZINC000835173547 629869494 /nfs/dbraw/zinc/86/94/94/629869494.db2.gz PRPNPLAINZKRJR-CYBMUJFWSA-N 0 1 309.369 3.197 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)C#CC1CC1)c1ccccc1Cl ZINC000837325062 630240179 /nfs/dbraw/zinc/24/01/79/630240179.db2.gz IDQXLWUDERFQSG-KRWDZBQOSA-N 0 1 318.848 3.253 20 30 DGEDMN CC(C)c1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C(C)C)c1 ZINC000841032288 630399291 /nfs/dbraw/zinc/39/92/91/630399291.db2.gz BZTGTANUCIPWMR-WMLDXEAASA-N 0 1 314.433 3.166 20 30 DGEDMN CCn1nncc1C=NN=c1cc(C)c2ccc(C)c(C)c2[nH]1 ZINC000841613546 630448324 /nfs/dbraw/zinc/44/83/24/630448324.db2.gz BXBSESSMXGNIIB-UHFFFAOYSA-N 0 1 308.389 3.217 20 30 DGEDMN Cc1cc(N(C)C)ccc1C=[NH+][N-]c1ccc(C(F)(F)F)nn1 ZINC000841633592 630449903 /nfs/dbraw/zinc/44/99/03/630449903.db2.gz QGLUZIPGLJVUNF-UHFFFAOYSA-N 0 1 323.322 3.316 20 30 DGEDMN Cn1nc(C2CC2)cc1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000841894870 630473912 /nfs/dbraw/zinc/47/39/12/630473912.db2.gz YXAVLMVUINMHBL-SFHVURJKSA-N 0 1 306.413 3.336 20 30 DGEDMN CC[C@H](CC1CCCCC1)C(=O)C(C#N)C(=O)N1CCCC1 ZINC000842285002 630510789 /nfs/dbraw/zinc/51/07/89/630510789.db2.gz MGCCHWYURKWNAV-HZPDHXFCSA-N 0 1 304.434 3.314 20 30 DGEDMN CC[C@@H](CC1CCCCC1)C(=O)C(C#N)C(=O)N1CCCC1 ZINC000842285000 630510823 /nfs/dbraw/zinc/51/08/23/630510823.db2.gz MGCCHWYURKWNAV-HOTGVXAUSA-N 0 1 304.434 3.314 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccnn2CC(C)C)c(O)c1 ZINC000843269479 630639430 /nfs/dbraw/zinc/63/94/30/630639430.db2.gz NOYIUTAIRKCEFO-QPJJXVBHSA-N 0 1 300.358 3.149 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H]([C@@H]3CCCC3(C)C)C2)CC1 ZINC000843699405 630689746 /nfs/dbraw/zinc/68/97/46/630689746.db2.gz HTXUKPGULDLNSK-MSOLQXFVSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Br)CNCc1ccc(N(C)CCC#N)cc1 ZINC000105453983 630712282 /nfs/dbraw/zinc/71/22/82/630712282.db2.gz UEYPIDACCWQZBO-UHFFFAOYSA-N 0 1 308.223 3.035 20 30 DGEDMN C[C@@H](c1nc2ccccc2o1)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844366819 630721505 /nfs/dbraw/zinc/72/15/05/630721505.db2.gz OSWGYYIPLRBCSE-SUMWQHHRSA-N 0 1 321.380 3.426 20 30 DGEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C[C@]1(C)CC1(Cl)Cl ZINC000844370470 630722016 /nfs/dbraw/zinc/72/20/16/630722016.db2.gz HIDOUCJLICKWNW-KGLIPLIRSA-N 0 1 313.228 3.107 20 30 DGEDMN N#Cc1c(=O)[nH]cc2c1CCC(=Cc1scnc1C1CC1)C2=O ZINC000844554200 630738891 /nfs/dbraw/zinc/73/88/91/630738891.db2.gz FHWSEVVDKJMLLK-BJMVGYQFSA-N 0 1 323.377 3.205 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCc3ccccc3C2)nc(C)n1 ZINC000845444157 631175410 /nfs/dbraw/zinc/17/54/10/631175410.db2.gz LMECOPOPUUTNHS-IAGOWNOFSA-N 0 1 305.381 3.075 20 30 DGEDMN C=C[C@@H](C)ONC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000845634104 631184451 /nfs/dbraw/zinc/18/44/51/631184451.db2.gz JNDDTDXTBJGTMN-IUODEOHRSA-N 0 1 314.389 3.224 20 30 DGEDMN N#CC(C(=O)CCc1nccs1)=C(O)C1(c2ccccc2)CC1 ZINC000845840011 631198529 /nfs/dbraw/zinc/19/85/29/631198529.db2.gz YHLSKUVQVPLQIC-AWEZNQCLSA-N 0 1 324.405 3.085 20 30 DGEDMN N#CC(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)=C(O)C1(c2ccccc2)CC1 ZINC000845842563 631198578 /nfs/dbraw/zinc/19/85/78/631198578.db2.gz VIEZHHQXKHQCBS-VVLHAWIVSA-N 0 1 307.393 3.432 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)=C(O)C1(c2ccccc2)CC1 ZINC000845845151 631198778 /nfs/dbraw/zinc/19/87/78/631198778.db2.gz VNWCDZRHSZEBKM-YQFWSFKMSA-N 0 1 307.393 3.432 20 30 DGEDMN COCCC[C@H](C)C(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845845046 631198867 /nfs/dbraw/zinc/19/88/67/631198867.db2.gz LWPUMZFFCSVJFF-HOCLYGCPSA-N 0 1 313.397 3.059 20 30 DGEDMN COCC(C)(C)CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845843427 631198890 /nfs/dbraw/zinc/19/88/90/631198890.db2.gz LGTMNRYILAQSEB-HNNXBMFYSA-N 0 1 313.397 3.059 20 30 DGEDMN C[C@@H]1C(=O)CC[C@H]1CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845844065 631198918 /nfs/dbraw/zinc/19/89/18/631198918.db2.gz FKSPDMNOXKTTHN-DZKIICNBSA-N 0 1 323.392 3.001 20 30 DGEDMN C[C@H]1CC[C@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC000846011311 631205708 /nfs/dbraw/zinc/20/57/08/631205708.db2.gz RWSKQTOPAKVUCV-GJZGRUSLSA-N 0 1 321.465 3.448 20 30 DGEDMN C[C@@H](CC(=O)Nc1cccc(F)c1)NCC1(C#N)CCCC1 ZINC000846010720 631205754 /nfs/dbraw/zinc/20/57/54/631205754.db2.gz NKECSQJUWZWIBN-ZDUSSCGKSA-N 0 1 303.381 3.216 20 30 DGEDMN N#CC(C(=O)Cc1coc(-c2cccs2)n1)c1ccccn1 ZINC000106353743 631246925 /nfs/dbraw/zinc/24/69/25/631246925.db2.gz NBOWOLMUUVXXFZ-LBPRGKRZSA-N 0 1 309.350 3.217 20 30 DGEDMN C=C[C@@H](C)ONC(=O)C(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000846554057 631260125 /nfs/dbraw/zinc/26/01/25/631260125.db2.gz HBDOFAYNHWVWNE-SNVBAGLBSA-N 0 1 317.307 3.485 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N2CCC[C@@H]2c2cccs2)C1 ZINC000847031398 631385944 /nfs/dbraw/zinc/38/59/44/631385944.db2.gz QAWXXUNOVCXTJP-ARFHVFGLSA-N 0 1 316.470 3.145 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccc(C#N)cc2)nc(C)n1 ZINC000847622066 631498137 /nfs/dbraw/zinc/49/81/37/631498137.db2.gz AGNFXBCMSKGKLV-SJKOYZFVSA-N 0 1 318.380 3.020 20 30 DGEDMN N#Cc1ccc(N=NC2CCCn3ccnc32)cc1C(F)(F)F ZINC000848404752 631654756 /nfs/dbraw/zinc/65/47/56/631654756.db2.gz ZTWIBTBLRZNAEH-UHFFFAOYSA-N 0 1 319.290 3.384 20 30 DGEDMN C[C@H]1CN(C)CC1N=Nc1ccc(-c2ccc(Cl)s2)nn1 ZINC000848415408 631657324 /nfs/dbraw/zinc/65/73/24/631657324.db2.gz UGOVYAKHLSUYJI-VIFPVBQESA-N 0 1 321.837 3.208 20 30 DGEDMN C[C@@H](O)c1ncc(CNC2(c3ccc(C#N)cc3)CCC2)s1 ZINC000848567509 631695976 /nfs/dbraw/zinc/69/59/76/631695976.db2.gz HZPGFBPNMPLMOM-GFCCVEGCSA-N 0 1 313.426 3.237 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(Br)cc(OC)c2O)C1 ZINC000848924573 631789675 /nfs/dbraw/zinc/78/96/75/631789675.db2.gz VQFZQQOTDVXGLI-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN C[C@@H]1C[C@@H](/N=C/c2cc(Cl)cc(Cl)c2O)c2ncnn21 ZINC000849170342 631852389 /nfs/dbraw/zinc/85/23/89/631852389.db2.gz OGKXWCTVNVRCJC-JTXDSUMDSA-N 0 1 311.172 3.415 20 30 DGEDMN COC[C@@H](CC/N=C\c1cc(Cl)cc(Cl)c1O)OC ZINC000849170734 631852760 /nfs/dbraw/zinc/85/27/60/631852760.db2.gz UEJYPZOUPSWOFL-CRZGJZTJSA-N 0 1 306.189 3.169 20 30 DGEDMN C=CCOCCC[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000850531056 632182123 /nfs/dbraw/zinc/18/21/23/632182123.db2.gz GUQQRTCWNXRPIR-NSHDSACASA-N 0 1 314.223 3.398 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2CCc3cc(F)ccc32)nc(C)n1 ZINC000850754930 632215894 /nfs/dbraw/zinc/21/58/94/632215894.db2.gz AMWRMTVHEWQAFS-CVEARBPZSA-N 0 1 309.344 3.139 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCCC1)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000850757501 632216828 /nfs/dbraw/zinc/21/68/28/632216828.db2.gz LJXJDLWGRGOJHC-VVLHAWIVSA-N 0 1 316.445 3.361 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850759598 632217036 /nfs/dbraw/zinc/21/70/36/632217036.db2.gz QFEQVOJFUOWDOZ-XNDJQWLSSA-N 0 1 320.751 3.173 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C1CCC1 ZINC000850829185 632233041 /nfs/dbraw/zinc/23/30/41/632233041.db2.gz FXZWGVHRGCVIJT-ABAIWWIYSA-N 0 1 318.373 3.427 20 30 DGEDMN C#CCOCCN1CCc2sccc2[C@@H]1c1cccs1 ZINC000851710909 632471522 /nfs/dbraw/zinc/47/15/22/632471522.db2.gz SXDMCIGRYXVPAJ-MRXNPFEDSA-N 0 1 303.452 3.407 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000852102629 632555278 /nfs/dbraw/zinc/55/52/78/632555278.db2.gz ZXAQSSGKADFCIU-SJCJKPOMSA-N 0 1 322.836 3.376 20 30 DGEDMN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CCOCCC#N ZINC000852126564 632559771 /nfs/dbraw/zinc/55/97/71/632559771.db2.gz DEKRICXCQJXGAE-LLVKDONJSA-N 0 1 306.797 3.139 20 30 DGEDMN N#CC[C@@H]1CCCN(C[C@@H]2CC(c3ccc(Cl)cc3)=NO2)C1 ZINC000852319579 632586606 /nfs/dbraw/zinc/58/66/06/632586606.db2.gz PREXWCJRYOYNTH-BBRMVZONSA-N 0 1 317.820 3.459 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001032168382 660210235 /nfs/dbraw/zinc/21/02/35/660210235.db2.gz ATJRSQWRHPHPJS-CYBMUJFWSA-N 0 1 318.486 3.111 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(F)(F)F)s2)C1 ZINC001032219959 660232822 /nfs/dbraw/zinc/23/28/22/660232822.db2.gz VRJIFDIVORCBBE-UHFFFAOYSA-N 0 1 318.364 3.005 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)c(C)o2)CC1(C)C ZINC000995670244 660241428 /nfs/dbraw/zinc/24/14/28/660241428.db2.gz QWCRYUPYHNOLOO-AWEZNQCLSA-N 0 1 310.825 3.089 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCC[C@@H](C)C2)cc1C ZINC000073141000 660247182 /nfs/dbraw/zinc/24/71/82/660247182.db2.gz ACGMUJRSVRKPHF-OAHLLOKOSA-N 0 1 313.445 3.282 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2F)CC1(C)C ZINC000995764334 660270865 /nfs/dbraw/zinc/27/08/65/660270865.db2.gz CETQFFCZMARLHZ-NSHDSACASA-N 0 1 316.829 3.080 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@]2(C)CC=CCC2)CC1(C)C ZINC000995845505 660271622 /nfs/dbraw/zinc/27/16/22/660271622.db2.gz CELLFOPNMODACN-YOEHRIQHSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC000996233644 660278090 /nfs/dbraw/zinc/27/80/90/660278090.db2.gz KXCSSLDPLOIPJK-WMLDXEAASA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC2CC(C)(C)C2)CC1(C)C ZINC000996192433 660277355 /nfs/dbraw/zinc/27/73/55/660277355.db2.gz QWFYYVIOADPIOY-AWEZNQCLSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2ccccc2C)CC1(C)C ZINC000996587276 660287110 /nfs/dbraw/zinc/28/71/10/660287110.db2.gz DOCMUONMXYUGQU-MRXNPFEDSA-N 0 1 320.864 3.117 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2ccccc2s1 ZINC001032503026 660332316 /nfs/dbraw/zinc/33/23/16/660332316.db2.gz ADVCVHKOWIZLIN-GJZGRUSLSA-N 0 1 312.438 3.376 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1occc1-c1ccccc1 ZINC001032508153 660333693 /nfs/dbraw/zinc/33/36/93/660333693.db2.gz WXKZMANYNPJPMS-IRXDYDNUSA-N 0 1 322.408 3.421 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCC1CCCCCC1 ZINC001032524996 660337364 /nfs/dbraw/zinc/33/73/64/660337364.db2.gz YLXJNDIRMFCRKZ-ROUUACIJSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(-c3ccccc3)co2)[C@@H](C)C1 ZINC000946314636 660341922 /nfs/dbraw/zinc/34/19/22/660341922.db2.gz TWUSCNZOCODWSF-MAUKXSAKSA-N 0 1 322.408 3.020 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001016069373 660357252 /nfs/dbraw/zinc/35/72/52/660357252.db2.gz SAEAYAOTAYIQSQ-CQSZACIVSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3CCCc2ccccc2)C1 ZINC001032614083 660378795 /nfs/dbraw/zinc/37/87/95/660378795.db2.gz HAIBCEDMJZEYQD-OALUTQOASA-N 0 1 324.468 3.261 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3CCc2ccc(C)cc2)C1 ZINC001032617432 660379957 /nfs/dbraw/zinc/37/99/57/660379957.db2.gz BPZIIAZTEZLEAX-OALUTQOASA-N 0 1 324.468 3.179 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)CC1CCCC1 ZINC001032660848 660408357 /nfs/dbraw/zinc/40/83/57/660408357.db2.gz LJNODOJIGXARPK-SQNIBIBYSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCc2ccccc2C1 ZINC001032752058 660438589 /nfs/dbraw/zinc/43/85/89/660438589.db2.gz ZKRMTJISBPKNOQ-VDGAXYAQSA-N 0 1 324.468 3.043 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(C2CCCCC2)CC1 ZINC001032755891 660439245 /nfs/dbraw/zinc/43/92/45/660439245.db2.gz RMTHJRLCSTUIJG-IRXDYDNUSA-N 0 1 302.462 3.208 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2ccccc21 ZINC001032764391 660441006 /nfs/dbraw/zinc/44/10/06/660441006.db2.gz RLSAQVHOTWXXDK-IRXDYDNUSA-N 0 1 306.409 3.315 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c(CC)s1 ZINC001032769892 660442228 /nfs/dbraw/zinc/44/22/28/660442228.db2.gz CQMFFEBIKRELOL-GJZGRUSLSA-N 0 1 318.486 3.484 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(CC)cc1 ZINC001032778764 660443828 /nfs/dbraw/zinc/44/38/28/660443828.db2.gz JNFFNBUNIRWFFU-OALUTQOASA-N 0 1 312.457 3.043 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(CC)cc1 ZINC001032778764 660443830 /nfs/dbraw/zinc/44/38/30/660443830.db2.gz JNFFNBUNIRWFFU-OALUTQOASA-N 0 1 312.457 3.043 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2ccc(C)o2)C1 ZINC001000401643 660445688 /nfs/dbraw/zinc/44/56/88/660445688.db2.gz FWTFXTSJBQOIPW-KGLIPLIRSA-N 0 1 310.825 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2ccccc2)C1 ZINC001000712094 660448673 /nfs/dbraw/zinc/44/86/73/660448673.db2.gz BVTOMNBXGUGTTQ-GOEBONIOSA-N 0 1 306.837 3.123 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1cc(F)cc(F)c1 ZINC000255553556 660484089 /nfs/dbraw/zinc/48/40/89/660484089.db2.gz KYKYNMIOPJTXMP-RJRFIUFISA-N 0 1 305.236 3.475 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC001003431736 660493156 /nfs/dbraw/zinc/49/31/56/660493156.db2.gz LZCBVEZZKCHNJR-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C1CCC(C(=O)NC2CCN(CCC(F)(F)F)CC2)CC1 ZINC001003561805 660497531 /nfs/dbraw/zinc/49/75/31/660497531.db2.gz LMXQYRBRYGTDCZ-UHFFFAOYSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(OC(C)C)cc2)C1 ZINC001044262152 660504743 /nfs/dbraw/zinc/50/47/43/660504743.db2.gz LRXYORGHADHYGL-UHFFFAOYSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2CC(c3ccccc3)C2)C1 ZINC001044362967 660522868 /nfs/dbraw/zinc/52/28/68/660522868.db2.gz ZBZQYMLELRQSBQ-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C3CC3)[nH]c2C2CC2)C1 ZINC001033196481 660583699 /nfs/dbraw/zinc/58/36/99/660583699.db2.gz MCYWFOZAWCSRBC-HNNXBMFYSA-N 0 1 313.445 3.102 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C(C)(C)C2CCCCC2)C1 ZINC001033484354 660626720 /nfs/dbraw/zinc/62/67/20/660626720.db2.gz RFEFCPCMZBETOI-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(F)ccc2Cl)C1 ZINC001033443864 660621938 /nfs/dbraw/zinc/62/19/38/660621938.db2.gz CPWVSAQKPMXKOW-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001033465730 660625502 /nfs/dbraw/zinc/62/55/02/660625502.db2.gz KMTLKAZIJOOFPF-CVEARBPZSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(-c3ccccc3)ccn2)C1 ZINC001033529687 660630566 /nfs/dbraw/zinc/63/05/66/660630566.db2.gz POYMQVIUCJLQDT-SFHVURJKSA-N 0 1 321.424 3.081 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(C(C)C)oc2C)C1 ZINC001033545359 660631689 /nfs/dbraw/zinc/63/16/89/660631689.db2.gz RHSYDAPQWMQTTO-HNNXBMFYSA-N 0 1 304.434 3.434 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001033564708 660632990 /nfs/dbraw/zinc/63/29/90/660632990.db2.gz HSPDWFKBOWMMCM-RYUDHWBXSA-N 0 1 312.866 3.224 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)c2cccc(C3CCCC3)c2)C1 ZINC001033593832 660635569 /nfs/dbraw/zinc/63/55/69/660635569.db2.gz JPCLAMABLHPWQD-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ccsc2C(F)F)C1 ZINC001033620498 660640542 /nfs/dbraw/zinc/64/05/42/660640542.db2.gz SASLRLSHDZIWLL-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2sccc2C(F)F)C1 ZINC001033624597 660640910 /nfs/dbraw/zinc/64/09/10/660640910.db2.gz OEUCJFIYFWFPRJ-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001033645806 660645817 /nfs/dbraw/zinc/64/58/17/660645817.db2.gz HOSQTEVDCNYQJN-GOSISDBHSA-N 0 1 300.446 3.217 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033699880 660651940 /nfs/dbraw/zinc/65/19/40/660651940.db2.gz QUUFWQMFOAWCFD-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001033720274 660653279 /nfs/dbraw/zinc/65/32/79/660653279.db2.gz SJBZLIPUHKVNJV-SFHVURJKSA-N 0 1 322.408 3.116 20 30 DGEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001033720273 660653368 /nfs/dbraw/zinc/65/33/68/660653368.db2.gz SJBZLIPUHKVNJV-GOSISDBHSA-N 0 1 322.408 3.116 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001033834814 660667781 /nfs/dbraw/zinc/66/77/81/660667781.db2.gz YCCXKCBBSDKWID-IAGOWNOFSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cscc2C(F)F)C1 ZINC001033830926 660667914 /nfs/dbraw/zinc/66/79/14/660667914.db2.gz PPYRXDJWSJSKMQ-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cscc2C(F)F)C1 ZINC001033830926 660667915 /nfs/dbraw/zinc/66/79/15/660667915.db2.gz PPYRXDJWSJSKMQ-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001033830822 660667917 /nfs/dbraw/zinc/66/79/17/660667917.db2.gz PFPBUGBAPGILRN-VSZNYVQBSA-N 0 1 302.462 3.088 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)cc2F)C1 ZINC001033894357 660676526 /nfs/dbraw/zinc/67/65/26/660676526.db2.gz WWLCGGGJJWSBEC-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2c(C)oc3ccccc32)C1 ZINC001033849483 660670640 /nfs/dbraw/zinc/67/06/40/660670640.db2.gz GBXURKRTVYREFE-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)CC1 ZINC001005415711 660672357 /nfs/dbraw/zinc/67/23/57/660672357.db2.gz NLWMMKJZGQYIEL-DOTOQJQBSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C)c3ccccc3n2)C1 ZINC001033876846 660672992 /nfs/dbraw/zinc/67/29/92/660672992.db2.gz YNYMVHXXWRXMRE-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCc3ccccc32)C1 ZINC001033875358 660673210 /nfs/dbraw/zinc/67/32/10/660673210.db2.gz RJHNHCCPUYCYET-MJGOQNOKSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033890500 660674744 /nfs/dbraw/zinc/67/47/44/660674744.db2.gz PVRMBSCZPFMWTR-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033890500 660674746 /nfs/dbraw/zinc/67/47/46/660674746.db2.gz PVRMBSCZPFMWTR-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001033915719 660677531 /nfs/dbraw/zinc/67/75/31/660677531.db2.gz KKYKAWWBVOBMAL-QGZVFWFLSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001033918799 660679816 /nfs/dbraw/zinc/67/98/16/660679816.db2.gz OFHRVKQIUXCTKD-KRWDZBQOSA-N 0 1 323.440 3.036 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C3CCC3)cc2)CC1 ZINC001005478010 660681354 /nfs/dbraw/zinc/68/13/54/660681354.db2.gz SQJXYGIAZNFHTF-UHFFFAOYSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ccoc2C2CC2)C1 ZINC001033934346 660682667 /nfs/dbraw/zinc/68/26/67/660682667.db2.gz RVNHSFZPUSIVSI-OAHLLOKOSA-N 0 1 302.418 3.270 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(F)cc(Cl)c2)C1 ZINC001033969181 660686577 /nfs/dbraw/zinc/68/65/77/660686577.db2.gz NRDMZXHAZCGTJX-OAHLLOKOSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001033971555 660687114 /nfs/dbraw/zinc/68/71/14/660687114.db2.gz HCCCZAUOTYZWLH-BZSNNMDCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001033964318 660688823 /nfs/dbraw/zinc/68/88/23/660688823.db2.gz BOTRHWHNDNFSBB-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2sccc2COC)C1 ZINC001034019664 660694490 /nfs/dbraw/zinc/69/44/90/660694490.db2.gz WJRZLECGKPYPFY-OAHLLOKOSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001034056744 660700289 /nfs/dbraw/zinc/70/02/89/660700289.db2.gz OALDZUIQVGSDCW-QGZVFWFLSA-N 0 1 323.440 3.404 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(F)cc2Cl)C1 ZINC001034034203 660697082 /nfs/dbraw/zinc/69/70/82/660697082.db2.gz KYAYJZKJACGOIZ-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001034211496 660724045 /nfs/dbraw/zinc/72/40/45/660724045.db2.gz NKMZUYHXPVZKRM-MRXNPFEDSA-N 0 1 324.424 3.213 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc3occc3c2)C1 ZINC001034225262 660727036 /nfs/dbraw/zinc/72/70/36/660727036.db2.gz GZFCBPQWOYJJKW-KRWDZBQOSA-N 0 1 310.397 3.040 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC1 ZINC001005529393 660728227 /nfs/dbraw/zinc/72/82/27/660728227.db2.gz KWOCHXNQZNUEJF-IYARVYRRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001034298583 660733683 /nfs/dbraw/zinc/73/36/83/660733683.db2.gz DGLYMLKNZJFHFJ-QGZVFWFLSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2c(F)cc(C)cc2F)C1 ZINC001034302325 660734801 /nfs/dbraw/zinc/73/48/01/660734801.db2.gz XHKNYMAQABABCS-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001034371138 660742479 /nfs/dbraw/zinc/74/24/79/660742479.db2.gz FMEACSVENFCHQG-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC001034376408 660744768 /nfs/dbraw/zinc/74/47/68/660744768.db2.gz NOSHWIBBZPONKA-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001034377889 660745110 /nfs/dbraw/zinc/74/51/10/660745110.db2.gz NGMVAMZGWGADLV-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001034377889 660745111 /nfs/dbraw/zinc/74/51/11/660745111.db2.gz NGMVAMZGWGADLV-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001034442078 660754492 /nfs/dbraw/zinc/75/44/92/660754492.db2.gz MHZSFQOEMQSYMS-HSALFYBXSA-N 0 1 324.468 3.093 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034506646 660763598 /nfs/dbraw/zinc/76/35/98/660763598.db2.gz LKLVGVXPKHSFNJ-IBGZPJMESA-N 0 1 306.409 3.057 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034506646 660763600 /nfs/dbraw/zinc/76/36/00/660763600.db2.gz LKLVGVXPKHSFNJ-IBGZPJMESA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001034469200 660758548 /nfs/dbraw/zinc/75/85/48/660758548.db2.gz UQVHBIRGZJCSDU-OAHLLOKOSA-N 0 1 304.434 3.482 20 30 DGEDMN CC(C)(C)C(=O)N[C@H]1CCCCN(Cc2ccc(C#N)cc2)C1 ZINC001034496848 660760124 /nfs/dbraw/zinc/76/01/24/660760124.db2.gz XWFIKIWKHLAAFA-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC001034498948 660760805 /nfs/dbraw/zinc/76/08/05/660760805.db2.gz RBFOZZXJJODPLJ-INIZCTEOSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC001034483746 660761082 /nfs/dbraw/zinc/76/10/82/660761082.db2.gz VBTYGWYNAAKWPU-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001034483123 660761161 /nfs/dbraw/zinc/76/11/61/660761161.db2.gz ACGYBCWNEZJJES-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2sccc2C2CC2)C1 ZINC001034514752 660765556 /nfs/dbraw/zinc/76/55/56/660765556.db2.gz NBBYBZPOQOSJKD-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2ccccc2C)C1 ZINC001034537202 660769393 /nfs/dbraw/zinc/76/93/93/660769393.db2.gz LLGWFZUHBFZYHW-QZTJIDSGSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC001034543023 660770808 /nfs/dbraw/zinc/77/08/08/660770808.db2.gz FFXFCNPZHRKGSF-QGZVFWFLSA-N 0 1 324.468 3.091 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2c(Cl)cccc2OC)C1 ZINC001034542843 660770937 /nfs/dbraw/zinc/77/09/37/660770937.db2.gz WZOOKMSYZAJOAD-ZDUSSCGKSA-N 0 1 322.836 3.119 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC001034544788 660771520 /nfs/dbraw/zinc/77/15/20/660771520.db2.gz RBTBXYZMHODYLK-MRXNPFEDSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C2CC(c3ccccc3)C2)C1 ZINC001034548527 660772688 /nfs/dbraw/zinc/77/26/88/660772688.db2.gz OVFLAUFHDDQLOA-SOAGJPPSSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1ccoc1C)CC2 ZINC001035157780 660820184 /nfs/dbraw/zinc/82/01/84/660820184.db2.gz VHQHLVCNISFWCO-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@]1(C)CC)CC2 ZINC001035245465 660833126 /nfs/dbraw/zinc/83/31/26/660833126.db2.gz RANMTQPEVBJGPX-RDJZCZTQSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1CC13CCC3)CC2 ZINC001035231575 660835183 /nfs/dbraw/zinc/83/51/83/660835183.db2.gz OSIBBQLVFQMZRK-OAHLLOKOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc([C@H]3C[C@H]3C)o1)CC2 ZINC001035707538 660846118 /nfs/dbraw/zinc/84/61/18/660846118.db2.gz OTZOQLRPDSLJKQ-CABCVRRESA-N 0 1 314.429 3.127 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1csc(C)c1C)CC2 ZINC001035713688 660846452 /nfs/dbraw/zinc/84/64/52/660846452.db2.gz JLLYWEOPTDUOFG-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(C)=C(C)C1)CC2 ZINC001035711419 660846542 /nfs/dbraw/zinc/84/65/42/660846542.db2.gz CVPVRXRPYMTSJQ-GOSISDBHSA-N 0 1 314.473 3.071 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(Cc3ccccc3)CC1)CC2 ZINC001035724070 660847299 /nfs/dbraw/zinc/84/72/99/660847299.db2.gz WYFIOYIAPDSGJY-UHFFFAOYSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(CC)s1)CC2 ZINC001035743902 660847302 /nfs/dbraw/zinc/84/73/02/660847302.db2.gz UQBOBIUDGQSLNN-UHFFFAOYSA-N 0 1 304.459 3.035 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cscc1Cl)CC2 ZINC001035729660 660847598 /nfs/dbraw/zinc/84/75/98/660847598.db2.gz BLCWQRJNLHAKNM-UHFFFAOYSA-N 0 1 310.850 3.126 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3ccc(C)cc3[nH]1)CC2 ZINC001035761144 660849587 /nfs/dbraw/zinc/84/95/87/660849587.db2.gz LPXPCNZYZJLCLH-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3cc(C)ccc3[nH]1)CC2 ZINC001035762675 660849595 /nfs/dbraw/zinc/84/95/95/660849595.db2.gz RXTJTVUWTNSJHA-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1[C@H](C=C(C)C)C1(C)C)CC2 ZINC001035746954 660850566 /nfs/dbraw/zinc/85/05/66/660850566.db2.gz FQPIVHPMZWOBAE-DLBZAZTESA-N 0 1 316.489 3.335 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(F)c(Cl)c1)CC2 ZINC001035779002 660853861 /nfs/dbraw/zinc/85/38/61/660853861.db2.gz IJSBHMYHNGOCNN-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(F)c1Cl)CC2 ZINC001035803164 660854188 /nfs/dbraw/zinc/85/41/88/660854188.db2.gz BHPFQAPKBLEDSS-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccsc1Cl)CC2 ZINC001035811526 660855067 /nfs/dbraw/zinc/85/50/67/660855067.db2.gz HGLPHVOWDVKBBD-UHFFFAOYSA-N 0 1 310.850 3.126 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)CC1CCCCC1)CC2 ZINC001035807911 660855141 /nfs/dbraw/zinc/85/51/41/660855141.db2.gz WQYDZIJFJICCLW-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1ccc(Cl)s1)CC2 ZINC001035815228 660855508 /nfs/dbraw/zinc/85/55/08/660855508.db2.gz YYSYPFVDVJSBPK-UHFFFAOYSA-N 0 1 324.877 3.054 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccccc1Cl)CC2 ZINC001035840780 660857825 /nfs/dbraw/zinc/85/78/25/660857825.db2.gz SRNNLRBLOJHNEW-UHFFFAOYSA-N 0 1 304.821 3.064 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccc3ccccc31)CC2 ZINC001035845581 660858454 /nfs/dbraw/zinc/85/84/54/660858454.db2.gz LXXUCMVSEIZLTH-UHFFFAOYSA-N 0 1 318.420 3.011 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc3c(cccc3C)o1)CC2 ZINC001035860000 660860652 /nfs/dbraw/zinc/86/06/52/660860652.db2.gz GZGRPVAQTPWGLB-UHFFFAOYSA-N 0 1 324.424 3.465 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC(C)(C)C1)CC2 ZINC001035860482 660860765 /nfs/dbraw/zinc/86/07/65/660860765.db2.gz OWBBVVHSOGXLHU-MRXNPFEDSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC3CCCC3)CC[C@H]21 ZINC001036631906 660933981 /nfs/dbraw/zinc/93/39/81/660933981.db2.gz DGIDEVRPLPQOSK-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN C[C@H]1C[C@@H]1CC(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005929618 660950279 /nfs/dbraw/zinc/95/02/79/660950279.db2.gz KGKCLLCXGWAPST-PKOBYXMFSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C3CC3)s2)CC1 ZINC001006294162 660973214 /nfs/dbraw/zinc/97/32/14/660973214.db2.gz LWNBCWKFLULPBZ-UHFFFAOYSA-N 0 1 316.470 3.185 20 30 DGEDMN CN(C(=O)C(C)(C)C)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001006327455 660974992 /nfs/dbraw/zinc/97/49/92/660974992.db2.gz RVNIIIWYZKCSIF-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C#CCCOc1ccc(CNc2ccnc(C)c2Cl)cc1 ZINC000792688197 660979867 /nfs/dbraw/zinc/97/98/67/660979867.db2.gz CDGYVQABALJRQV-UHFFFAOYSA-N 0 1 300.789 3.480 20 30 DGEDMN C=CCCCN(C)CN1CC[C@@H](CCc2ccccc2)C1=O ZINC000793498325 661039189 /nfs/dbraw/zinc/03/91/89/661039189.db2.gz MEKAREAMJHIRDM-GOSISDBHSA-N 0 1 300.446 3.323 20 30 DGEDMN C[C@@H]1CCC[C@@H](C)N(Cn2cc3c(c(C#N)c2=O)CCCC3)C1 ZINC000793505296 661039659 /nfs/dbraw/zinc/03/96/59/661039659.db2.gz QDMXHEUJWBQSRJ-HUUCEWRRSA-N 0 1 313.445 3.067 20 30 DGEDMN CN(CC1CCCCC1)Cn1cc2c(c(C#N)c1=O)CCCC2 ZINC000793482980 661038119 /nfs/dbraw/zinc/03/81/19/661038119.db2.gz JJEZDZWGMBRGBL-UHFFFAOYSA-N 0 1 313.445 3.068 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2ccc3nc(-c4ccccc4)oc3c2)=NO1 ZINC000793739778 661055320 /nfs/dbraw/zinc/05/53/20/661055320.db2.gz MRQDNYZEIZNQSV-LLVKDONJSA-N 0 1 321.336 3.347 20 30 DGEDMN COc1ccc(O)c([C@@H](C)NCc2cccc(F)c2C#N)c1 ZINC000794461453 661085783 /nfs/dbraw/zinc/08/57/83/661085783.db2.gz JVYAENGAOLYSGO-LLVKDONJSA-N 0 1 300.333 3.262 20 30 DGEDMN Fc1cccc(F)c1-c1ccc(CN=Nc2cncnc2)o1 ZINC000794979075 661118603 /nfs/dbraw/zinc/11/86/03/661118603.db2.gz BBGLICCJGHXBJT-UHFFFAOYSA-N 0 1 300.268 3.461 20 30 DGEDMN COc1cc(F)c(C(C)=NNc2cccc(F)n2)cc1OC ZINC000795006860 661121006 /nfs/dbraw/zinc/12/10/06/661121006.db2.gz NTHUCZGISCDKLG-UHFFFAOYSA-N 0 1 307.300 3.213 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1oc(CC)cc1C ZINC000969114264 655523896 /nfs/dbraw/zinc/52/38/96/655523896.db2.gz DTTANUTVHUKVFR-MRXNPFEDSA-N 0 1 316.445 3.148 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c(C)cc(C)cc1C ZINC000969139925 655527342 /nfs/dbraw/zinc/52/73/42/655527342.db2.gz VEWFYTFBTHZFED-SFHVURJKSA-N 0 1 312.457 3.219 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)[nH]c3ccccc32)C1 ZINC000969256119 655536107 /nfs/dbraw/zinc/53/61/07/655536107.db2.gz VZOUMQMGQCQASW-CYBMUJFWSA-N 0 1 317.820 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(-c3ccco3)o2)C1 ZINC000969294854 655538077 /nfs/dbraw/zinc/53/80/77/655538077.db2.gz GEUNOCQELQTJES-GFCCVEGCSA-N 0 1 320.776 3.096 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](c2ccccc2)C2CC2)CC1 ZINC000949289892 655549862 /nfs/dbraw/zinc/54/98/62/655549862.db2.gz RETMDVOAXFLXMI-LJQANCHMSA-N 0 1 312.457 3.291 20 30 DGEDMN COCCOc1cccc(/C=C\C(=O)c2ccc(O)c(F)c2)c1 ZINC000254981991 655554078 /nfs/dbraw/zinc/55/40/78/655554078.db2.gz KOXMCCTZWCWGCH-ALCCZGGFSA-N 0 1 316.328 3.453 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC000969844564 655560223 /nfs/dbraw/zinc/56/02/23/655560223.db2.gz ILKNTBTXMVXIMV-GFCCVEGCSA-N 0 1 316.376 3.116 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccccc2C(C)(C)C)C1 ZINC000969921534 655563631 /nfs/dbraw/zinc/56/36/31/655563631.db2.gz CFQJZDSEDAVSRT-OAHLLOKOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3ccccc3s2)C1 ZINC000970073172 655572034 /nfs/dbraw/zinc/57/20/34/655572034.db2.gz ZVNLZXMFUWHRPV-LBPRGKRZSA-N 0 1 300.427 3.137 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(CCCC)cc2)C1 ZINC000970099193 655574989 /nfs/dbraw/zinc/57/49/89/655574989.db2.gz BOFLNOKLAYPCIC-MRXNPFEDSA-N 0 1 312.457 3.103 20 30 DGEDMN CSCC1CCN(Cc2ccc(C#N)cc2[N+](=O)[O-])CC1 ZINC000932587149 655585129 /nfs/dbraw/zinc/58/51/29/655585129.db2.gz XACKGKGWEKDPFZ-UHFFFAOYSA-N 0 1 305.403 3.041 20 30 DGEDMN COc1ccccc1/C(C)=C\C(=O)Nc1cc(C#N)ccc1O ZINC000255069996 655591240 /nfs/dbraw/zinc/59/12/40/655591240.db2.gz OHTNKGYOTACDLI-XFXZXTDPSA-N 0 1 308.337 3.314 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC000970323280 655594120 /nfs/dbraw/zinc/59/41/20/655594120.db2.gz JVRBJAAVOHEGOC-RAIGVLPGSA-N 0 1 324.877 3.128 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)Cc2ccccc2)C1 ZINC000970425622 655598643 /nfs/dbraw/zinc/59/86/43/655598643.db2.gz LJDXSALWFFSNNN-MRXNPFEDSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cc(C)cc(C)c2)C1 ZINC000970419888 655598697 /nfs/dbraw/zinc/59/86/97/655598697.db2.gz XGMMXMILBKUTKD-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000970498570 655603203 /nfs/dbraw/zinc/60/32/03/655603203.db2.gz FHFDGAFXKRLYGP-QGZVFWFLSA-N 0 1 316.832 3.203 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc3ccc(F)cc23)C1 ZINC000970590953 655608051 /nfs/dbraw/zinc/60/80/51/655608051.db2.gz KJRYINUCSHPZJW-GFCCVEGCSA-N 0 1 322.767 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3ccccc32)C1 ZINC000970627127 655610093 /nfs/dbraw/zinc/61/00/93/655610093.db2.gz UXQZZLLHLCWVST-OAHLLOKOSA-N 0 1 314.816 3.396 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC000970913266 655636968 /nfs/dbraw/zinc/63/69/68/655636968.db2.gz MKUZYGRONUSCOY-LBPRGKRZSA-N 0 1 306.475 3.282 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2c(CC)oc3ccccc32)C1 ZINC000970931294 655638788 /nfs/dbraw/zinc/63/87/88/655638788.db2.gz LCRSHFDOQKZQMO-ZDUSSCGKSA-N 0 1 312.413 3.231 20 30 DGEDMN C#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)C2CCCCCCC2)C1 ZINC001021631765 655645894 /nfs/dbraw/zinc/64/58/94/655645894.db2.gz ZXJDPRAHSGIOJO-OALUTQOASA-N 0 1 316.489 3.293 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H]3CN(CCF)CC[C@H]32)CC1 ZINC001021666722 655652479 /nfs/dbraw/zinc/65/24/79/655652479.db2.gz WLXADXGWQJTMPZ-IAGOWNOFSA-N 0 1 308.441 3.015 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC000971113161 655664379 /nfs/dbraw/zinc/66/43/79/655664379.db2.gz JPQDGTQNTJUTDN-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C)nc2C(C)C)CC1 ZINC000949515611 655693798 /nfs/dbraw/zinc/69/37/98/655693798.db2.gz AFDARQBGTICKDG-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3sccc3s2)C[C@H]1C ZINC000947449462 655697702 /nfs/dbraw/zinc/69/77/02/655697702.db2.gz CYTJQHVPSYWHRT-VXGBXAGGSA-N 0 1 318.467 3.179 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC000971755970 655724690 /nfs/dbraw/zinc/72/46/90/655724690.db2.gz GPXBKZAPYYZZHC-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000933770068 655732126 /nfs/dbraw/zinc/73/21/26/655732126.db2.gz JKKMXPPFRSQIDT-ZYHUDNBSSA-N 0 1 304.337 3.161 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2coc3cc(F)ccc23)C[C@H]1C ZINC000947473588 655736535 /nfs/dbraw/zinc/73/65/35/655736535.db2.gz NNVIGGQXUOWXKR-TZMCWYRMSA-N 0 1 316.376 3.341 20 30 DGEDMN COCCOc1ccc(/C=C\C(=O)c2ccc(O)cc2O)cc1 ZINC000255442894 655752967 /nfs/dbraw/zinc/75/29/67/655752967.db2.gz VATFOZJKFLCNRL-WTKPLQERSA-N 0 1 314.337 3.019 20 30 DGEDMN CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC000972210683 655779559 /nfs/dbraw/zinc/77/95/59/655779559.db2.gz WVYPSTPNCAPXER-ZDUSSCGKSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC000972244834 655782631 /nfs/dbraw/zinc/78/26/31/655782631.db2.gz IBDWLJBOWMQCIT-RDJZCZTQSA-N 0 1 306.475 3.383 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC000972473464 655804069 /nfs/dbraw/zinc/80/40/69/655804069.db2.gz URMMPCRELHGURG-MSOLQXFVSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC000972473485 655804107 /nfs/dbraw/zinc/80/41/07/655804107.db2.gz URMMPCRELHGURG-ROUUACIJSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cscc1C(F)F ZINC001038253685 655811379 /nfs/dbraw/zinc/81/13/79/655811379.db2.gz QAQJLGNGUABRBQ-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ccccc3cc2F)C[C@@H]1C ZINC000947538122 655811450 /nfs/dbraw/zinc/81/14/50/655811450.db2.gz VKAAPPZOKPILRK-WMLDXEAASA-N 0 1 324.399 3.195 20 30 DGEDMN Cc1cc(OCC(=O)Nc2cc(C#N)ccc2O)ccc1Cl ZINC000176814146 655847351 /nfs/dbraw/zinc/84/73/51/655847351.db2.gz RNDSLRUOFYJTDX-UHFFFAOYSA-N 0 1 316.744 3.243 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2cc(F)ccc2F)c1 ZINC000176820113 655847846 /nfs/dbraw/zinc/84/78/46/655847846.db2.gz CZZISDCFBRFJNC-UHFFFAOYSA-N 0 1 302.280 3.113 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2ccc(C(C)C)cc2)C1 ZINC001023718404 655857267 /nfs/dbraw/zinc/85/72/67/655857267.db2.gz SLVRRBSRRMXIML-KRWDZBQOSA-N 0 1 312.457 3.275 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc(C3CCCC3)c2)C1 ZINC000972728951 655874659 /nfs/dbraw/zinc/87/46/59/655874659.db2.gz LYNZVHYWVIBUJH-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN CC(=Cc1ccc(Cl)cc1)C(=O)[C@H](C#N)C(=O)N1CCCC1 ZINC000179602840 655909407 /nfs/dbraw/zinc/90/94/07/655909407.db2.gz WVNLPYCYGWPHFD-PABFRNLHSA-N 0 1 316.788 3.075 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001024480391 655909664 /nfs/dbraw/zinc/90/96/64/655909664.db2.gz IUGNSERWQCFFPF-AWEZNQCLSA-N 0 1 308.372 3.125 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc(F)ccc1F ZINC001024480391 655909665 /nfs/dbraw/zinc/90/96/65/655909665.db2.gz IUGNSERWQCFFPF-AWEZNQCLSA-N 0 1 308.372 3.125 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccnc2SC(F)F)c1 ZINC000179733701 655912475 /nfs/dbraw/zinc/91/24/75/655912475.db2.gz NDUMJAXPLDCLFI-UHFFFAOYSA-N 0 1 321.308 3.226 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccccc1CCC ZINC001024545884 655915505 /nfs/dbraw/zinc/91/55/05/655915505.db2.gz PJEGZMFWWTVVSJ-GOSISDBHSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(CCC)cc1 ZINC001024625396 655925391 /nfs/dbraw/zinc/92/53/91/655925391.db2.gz ODNOKXDVXHELQY-LJQANCHMSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(F)c(Cl)c1 ZINC001024628849 655925688 /nfs/dbraw/zinc/92/56/88/655925688.db2.gz UHLJJPJSEOGCIC-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1cc[nH]c1C1CCC1 ZINC001024650876 655927874 /nfs/dbraw/zinc/92/78/74/655927874.db2.gz PEYHYIZGOJULLL-HNNXBMFYSA-N 0 1 301.434 3.053 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc[nH]c1C1CCC1 ZINC001024650876 655927877 /nfs/dbraw/zinc/92/78/77/655927877.db2.gz PEYHYIZGOJULLL-HNNXBMFYSA-N 0 1 301.434 3.053 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1sc(C)cc1OC ZINC001024689305 655930532 /nfs/dbraw/zinc/93/05/32/655930532.db2.gz CYMXLBOLANIYMP-CQSZACIVSA-N 0 1 322.474 3.226 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001024705492 655932350 /nfs/dbraw/zinc/93/23/50/655932350.db2.gz CYHQOKQNEASHKD-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001024729402 655933469 /nfs/dbraw/zinc/93/34/69/655933469.db2.gz NQCRZVXWFIXQKR-GUDVDZBRSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001024791997 655938909 /nfs/dbraw/zinc/93/89/09/655938909.db2.gz GUKAOPXGLHHEHS-AWEZNQCLSA-N 0 1 306.475 3.389 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001024793724 655939535 /nfs/dbraw/zinc/93/95/35/655939535.db2.gz ABROXWOCIBWOLC-KRWDZBQOSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)CCCC2 ZINC001024790590 655939779 /nfs/dbraw/zinc/93/97/79/655939779.db2.gz MWZULMUAAROETB-HXUWFJFHSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024805764 655940773 /nfs/dbraw/zinc/94/07/73/655940773.db2.gz CRHDALJVGRLFBY-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024805764 655940774 /nfs/dbraw/zinc/94/07/74/655940774.db2.gz CRHDALJVGRLFBY-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(C)CC[N@H+]1CCCC[C@@H]1CNC(=O)c1nc(C)c(C)s1 ZINC001024806152 655940865 /nfs/dbraw/zinc/94/08/65/655940865.db2.gz GIGSEQHNOZUZMB-OAHLLOKOSA-N 0 1 321.490 3.310 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1Cc2c1cccc2Cl ZINC001024821277 655942177 /nfs/dbraw/zinc/94/21/77/655942177.db2.gz JUEZTULUYCURID-XJKSGUPXSA-N 0 1 318.848 3.136 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc(C2CC2)c1 ZINC001024816075 655942259 /nfs/dbraw/zinc/94/22/59/655942259.db2.gz ATFFGYLHRNWJPX-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001024830028 655943698 /nfs/dbraw/zinc/94/36/98/655943698.db2.gz KDFGAVPOKHULOJ-AWEZNQCLSA-N 0 1 321.490 3.427 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1cnoc1C ZINC001025039263 655958108 /nfs/dbraw/zinc/95/81/08/655958108.db2.gz KVHOCHQIFSCMEB-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001025037720 655958122 /nfs/dbraw/zinc/95/81/22/655958122.db2.gz MQGXNHJOIZSNLF-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001025037958 655958151 /nfs/dbraw/zinc/95/81/51/655958151.db2.gz PGDBJBJXKPVUHO-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001025070240 655963672 /nfs/dbraw/zinc/96/36/72/655963672.db2.gz VTKULKZGNMPFHT-FUHWJXTLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001025069364 655963793 /nfs/dbraw/zinc/96/37/93/655963793.db2.gz IVPUCOPRVMRENU-YOEHRIQHSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@H]1CCCCN1C(=O)CC1CC1 ZINC001025188924 655974540 /nfs/dbraw/zinc/97/45/40/655974540.db2.gz LZOBVYPQJDADOE-JKSUJKDBSA-N 0 1 310.869 3.385 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001025202125 655978326 /nfs/dbraw/zinc/97/83/26/655978326.db2.gz VMGFQARLNKIDCG-CVEARBPZSA-N 0 1 321.852 3.226 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)C1CCCCCC1 ZINC001025207958 655978736 /nfs/dbraw/zinc/97/87/36/655978736.db2.gz BWCOOCSVVGLGIA-RTBURBONSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001025234579 655983079 /nfs/dbraw/zinc/98/30/79/655983079.db2.gz JAPKIHMFWZCDHZ-HZPDHXFCSA-N 0 1 321.852 3.226 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2ccc(F)cc2)C1 ZINC001025323614 655993738 /nfs/dbraw/zinc/99/37/38/655993738.db2.gz QYCHEOYBCFIHCX-ZFWWWQNUSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)c2cc(C)oc2C)C1 ZINC001025339545 655996492 /nfs/dbraw/zinc/99/64/92/655996492.db2.gz IHEIDRPNULMWNO-DZGCQCFKSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2CC(F)(F)C2)C1 ZINC001025376080 656001817 /nfs/dbraw/zinc/00/18/17/656001817.db2.gz VBHOOFLTXYLPBQ-NEPJUHHUSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2cc(C)[nH]c2C)C1 ZINC001025547019 656034226 /nfs/dbraw/zinc/03/42/26/656034226.db2.gz WVCYFGRPRNKYNK-HIFRSBDPSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C3CC3)C3CC3)CC[C@H]21 ZINC001036864213 661215861 /nfs/dbraw/zinc/21/58/61/661215861.db2.gz TXJKLEKMDZPYRZ-HZPDHXFCSA-N 0 1 322.880 3.098 20 30 DGEDMN NC(=O)c1ccc(C/N=C/c2cc(Cl)cc(Cl)c2O)o1 ZINC000178298728 656037225 /nfs/dbraw/zinc/03/72/25/656037225.db2.gz PTHPVMRVXYVVQX-YAXRCOADSA-N 0 1 313.140 3.010 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1conc1C1CCCC1 ZINC001038336896 656060536 /nfs/dbraw/zinc/06/05/36/656060536.db2.gz BNZZGYJHWYSMNR-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN N#CC1(CCCCN2CCN(c3cccc(F)n3)CC2)CCC1 ZINC000930632878 656108589 /nfs/dbraw/zinc/10/85/89/656108589.db2.gz IKMVVFHKWRLQJH-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CCC=CCC3)CC[C@H]21 ZINC001036899200 661225465 /nfs/dbraw/zinc/22/54/65/661225465.db2.gz JNQAMAVTYVKULG-IAGOWNOFSA-N 0 1 322.880 3.408 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(CCC)s2)C(C)(C)C1 ZINC000974648380 656218675 /nfs/dbraw/zinc/21/86/75/656218675.db2.gz RXAGNUZXVJMLNC-INIZCTEOSA-N 0 1 318.486 3.164 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cscc2Cl)C(C)(C)C1 ZINC000974684859 656222360 /nfs/dbraw/zinc/22/23/60/656222360.db2.gz GVQNBNWRQOEGHH-CYBMUJFWSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(Cl)cc2F)C(C)(C)C1 ZINC000974790384 656230128 /nfs/dbraw/zinc/23/01/28/656230128.db2.gz NRCBKSFXRJFUMB-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c3cccnc23)C(C)(C)C1 ZINC000974840233 656234036 /nfs/dbraw/zinc/23/40/36/656234036.db2.gz ZAYDWKGJHQHYFN-QGZVFWFLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001026989008 656234814 /nfs/dbraw/zinc/23/48/14/656234814.db2.gz VKTLOJWDNCUUKE-GJZGRUSLSA-N 0 1 319.449 3.084 20 30 DGEDMN C=CC[N@H+]1C[C@@H](NC(=O)c2ccccc2-n2cccc2)C(C)(C)C1 ZINC000974988873 656248814 /nfs/dbraw/zinc/24/88/14/656248814.db2.gz RJTOWBAULUGENI-GOSISDBHSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2-n2cccc2)C(C)(C)C1 ZINC000974988873 656248815 /nfs/dbraw/zinc/24/88/15/656248815.db2.gz RJTOWBAULUGENI-GOSISDBHSA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)[C@H](F)c2ccccc2)C(C)(C)C1 ZINC000975083005 656254878 /nfs/dbraw/zinc/25/48/78/656254878.db2.gz KQVIQBVDZFWZRW-JKSUJKDBSA-N 0 1 304.409 3.100 20 30 DGEDMN N#CC(C(=O)CCCC1CCCCC1)C(=O)Nc1ccccn1 ZINC000125165612 656268097 /nfs/dbraw/zinc/26/80/97/656268097.db2.gz SLLKOAMZYHHTGO-OAHLLOKOSA-N 0 1 313.401 3.480 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001009249494 656274314 /nfs/dbraw/zinc/27/43/14/656274314.db2.gz XIHMDVGOWYHXIJ-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000977317202 656321031 /nfs/dbraw/zinc/32/10/31/656321031.db2.gz HWFDEYLULZXIET-OAHLLOKOSA-N 0 1 320.383 3.088 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000977317202 656321034 /nfs/dbraw/zinc/32/10/34/656321034.db2.gz HWFDEYLULZXIET-OAHLLOKOSA-N 0 1 320.383 3.088 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(C(C)C)n2)C(C)(C)C1 ZINC000977319704 656322400 /nfs/dbraw/zinc/32/24/00/656322400.db2.gz MYFPZOPIWAZUJL-AWEZNQCLSA-N 0 1 321.490 3.283 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc(C(C)(C)C)n2)C(C)(C)C1 ZINC000977353598 656324031 /nfs/dbraw/zinc/32/40/31/656324031.db2.gz XIVQWQQQADFHSV-ZDUSSCGKSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2cc(C)oc2C)C(C)(C)C1 ZINC000977347612 656324112 /nfs/dbraw/zinc/32/41/12/656324112.db2.gz IVGKPNHTZADMDP-CZUORRHYSA-N 0 1 304.434 3.013 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977455165 656331539 /nfs/dbraw/zinc/33/15/39/656331539.db2.gz RKJPNXMPLPZOAF-CVEARBPZSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977461548 656333161 /nfs/dbraw/zinc/33/31/61/656333161.db2.gz KFXLMWPWVZOOQV-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)C(C)(C)C1 ZINC000977500869 656336902 /nfs/dbraw/zinc/33/69/02/656336902.db2.gz RUUWZVLLEMXVSD-QGZVFWFLSA-N 0 1 318.461 3.421 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc3ccccc32)C(C)(C)C1 ZINC000977553769 656341846 /nfs/dbraw/zinc/34/18/46/656341846.db2.gz PUPQFAMWOPSCEH-SFHVURJKSA-N 0 1 308.425 3.466 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2CCC(CCCC)CC2)C(C)(C)C1 ZINC000977554343 656342159 /nfs/dbraw/zinc/34/21/59/656342159.db2.gz UOBUODDJWYCZMI-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)c(C)s2)C(C)(C)C1 ZINC000977568393 656345411 /nfs/dbraw/zinc/34/54/11/656345411.db2.gz RZNKUVWPPKIOIT-OAHLLOKOSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3cccc(C)c3)CC2)C(C)(C)C1 ZINC000977630514 656349099 /nfs/dbraw/zinc/34/90/99/656349099.db2.gz SUQPNSHWFAAMFL-KRWDZBQOSA-N 0 1 312.457 3.039 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C(C)(C)C1 ZINC000977622142 656350214 /nfs/dbraw/zinc/35/02/14/656350214.db2.gz PGRMIQQQZNZUHS-GOSISDBHSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)CC2CC(F)(F)C2)CC1 ZINC001052502489 656440313 /nfs/dbraw/zinc/44/03/13/656440313.db2.gz KMYPBMMREKCUHK-ZDUSSCGKSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)oc(C)c1C ZINC001039402726 656494172 /nfs/dbraw/zinc/49/41/72/656494172.db2.gz NTBZDGZKAFPXMV-CVEARBPZSA-N 0 1 302.418 3.070 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc2ccccc2o1 ZINC001039414445 656496123 /nfs/dbraw/zinc/49/61/23/656496123.db2.gz AUOKMLALEKADNQ-SJORKVTESA-N 0 1 324.424 3.227 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]ccc2c1 ZINC001039426496 656499093 /nfs/dbraw/zinc/49/90/93/656499093.db2.gz GIIXWNVMFYVIIK-SJORKVTESA-N 0 1 309.413 3.033 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc([C@H](C)CC)no1 ZINC001039449458 656504879 /nfs/dbraw/zinc/50/48/79/656504879.db2.gz UYCIYBDQKFYTQX-KFWWJZLASA-N 0 1 317.433 3.053 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncsc1C(C)C ZINC001039459907 656506606 /nfs/dbraw/zinc/50/66/06/656506606.db2.gz PFDARUWYCFRAHZ-KGLIPLIRSA-N 0 1 319.474 3.131 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1ccccc1 ZINC001039467509 656509042 /nfs/dbraw/zinc/50/90/42/656509042.db2.gz NNENVOCITDAKFG-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)CC2 ZINC001039473545 656510656 /nfs/dbraw/zinc/51/06/56/656510656.db2.gz RIBFWOJNPIVHSO-ISXWCOSWSA-N 0 1 302.462 3.208 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC2CCC1CC2 ZINC001039475103 656510911 /nfs/dbraw/zinc/51/09/11/656510911.db2.gz DXJLIQQEDBJNIR-KWXGJIJISA-N 0 1 302.462 3.064 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001039476235 656511697 /nfs/dbraw/zinc/51/16/97/656511697.db2.gz IGDOBGFXNCMUPK-ZNVHBHFFSA-N 0 1 316.489 3.454 20 30 DGEDMN CCCC(=O)N1CC[C@@]2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC001040037079 656553765 /nfs/dbraw/zinc/55/37/65/656553765.db2.gz PDUJXNIJUNLEQH-NRFANRHFSA-N 0 1 324.468 3.153 20 30 DGEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)C[C@H]3CCCC(C)(C)C3)C[C@H]2C1 ZINC001049171744 656568065 /nfs/dbraw/zinc/56/80/65/656568065.db2.gz QHVIACVNPQQVNS-KURKYZTESA-N 0 1 316.489 3.006 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049292448 656592589 /nfs/dbraw/zinc/59/25/89/656592589.db2.gz NZBXCXOQRTWPNK-VQTJNVASSA-N 0 1 322.452 3.070 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H](C)C3CC3)C2)CC1 ZINC001040656249 656601308 /nfs/dbraw/zinc/60/13/08/656601308.db2.gz QYWPQVAMPXZIRS-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C(F)=C(C)C)C2)CC1 ZINC001040663637 656602141 /nfs/dbraw/zinc/60/21/41/656602141.db2.gz PBPVYTDFZJPDRZ-UHFFFAOYSA-N 0 1 314.832 3.317 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@]3(C)C=CCC3)C2)CC1 ZINC001040808466 656607556 /nfs/dbraw/zinc/60/75/56/656607556.db2.gz JICVABSZTFFRRQ-KRWDZBQOSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C2CCC2)CCC1 ZINC001049622262 656651060 /nfs/dbraw/zinc/65/10/60/656651060.db2.gz SVFVGGSPHZYABB-ZWKOTPCHSA-N 0 1 314.473 3.045 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C[C@H](F)CC ZINC001049632770 656652643 /nfs/dbraw/zinc/65/26/43/656652643.db2.gz BAVAEOLCICPMAN-BZUAXINKSA-N 0 1 310.457 3.402 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCCN2Cc2ccsc2)cc1 ZINC001028066513 656659678 /nfs/dbraw/zinc/65/96/78/656659678.db2.gz CCSMXWNTSZTMHU-SFHVURJKSA-N 0 1 324.449 3.124 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662266 656659745 /nfs/dbraw/zinc/65/97/45/656659745.db2.gz FTTBAYLCXYJHSE-JKSUJKDBSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662266 656659748 /nfs/dbraw/zinc/65/97/48/656659748.db2.gz FTTBAYLCXYJHSE-JKSUJKDBSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@@H]2CCN3C/C=C\Cl)C1 ZINC001049704614 656671250 /nfs/dbraw/zinc/67/12/50/656671250.db2.gz YRZAKPOFTLMKIZ-JBLNNTITSA-N 0 1 308.853 3.161 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761518 656681760 /nfs/dbraw/zinc/68/17/60/656681760.db2.gz JDDSHOZZIZUUNH-CHWSQXEVSA-N 0 1 310.850 3.266 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CC[C@H](C3CCC3)CC2)CC1 ZINC000981369389 656687542 /nfs/dbraw/zinc/68/75/42/656687542.db2.gz JSJFHTQUVJLGTB-IYARVYRRSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc2ccsc21 ZINC001049824279 656692679 /nfs/dbraw/zinc/69/26/79/656692679.db2.gz GBFGRBLEHSRKQM-SJORKVTESA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(CC)o1 ZINC001049844988 656696405 /nfs/dbraw/zinc/69/64/05/656696405.db2.gz UPTGPPXLEPTJBZ-GJZGRUSLSA-N 0 1 302.418 3.015 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(C(C)C)cc1 ZINC001049866130 656705678 /nfs/dbraw/zinc/70/56/78/656705678.db2.gz ZATDHXJYEVXCNR-OALUTQOASA-N 0 1 310.441 3.122 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(C)c(CC)s1 ZINC001049882287 656712183 /nfs/dbraw/zinc/71/21/83/656712183.db2.gz WHKMSHIMVDOMEP-HUUCEWRRSA-N 0 1 318.486 3.484 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049882760 656713012 /nfs/dbraw/zinc/71/30/12/656713012.db2.gz DVWDITNJYOLBDM-JKSUJKDBSA-N 0 1 316.470 3.067 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049882758 656713420 /nfs/dbraw/zinc/71/34/20/656713420.db2.gz DVWDITNJYOLBDM-HOTGVXAUSA-N 0 1 316.470 3.067 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001028103992 656722438 /nfs/dbraw/zinc/72/24/38/656722438.db2.gz AJEBSPCLFLMJKQ-YTQUADARSA-N 0 1 312.457 3.119 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(CCCC)cc3)C[C@H]21 ZINC001042141445 656725297 /nfs/dbraw/zinc/72/52/97/656725297.db2.gz FUOQWVGYXHUTMG-AZUAARDMSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccccc1CC(C)C ZINC001049925507 656725617 /nfs/dbraw/zinc/72/56/17/656725617.db2.gz ZCDQLALFEPTTHM-PMACEKPBSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)ccc3C3CC3)C[C@@H]21 ZINC001042163442 656729057 /nfs/dbraw/zinc/72/90/57/656729057.db2.gz UBRPKWBLYOIPPX-PXNSSMCTSA-N 0 1 322.452 3.042 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(F)c(C)c2)CC1 ZINC001052672116 656745699 /nfs/dbraw/zinc/74/56/99/656745699.db2.gz STCVHRJYZVPKFK-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3ccc(C4CC4)s3)C[C@@H]21 ZINC001042314229 656750839 /nfs/dbraw/zinc/75/08/39/656750839.db2.gz YTFLWTNNWLNAAA-HIFRSBDPSA-N 0 1 316.470 3.348 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCCN2CCC(F)(F)F)CC1 ZINC001028117852 656752309 /nfs/dbraw/zinc/75/23/09/656752309.db2.gz UKJVMVSOBLCJBO-CQSZACIVSA-N 0 1 318.383 3.266 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2cc3cc(F)ccc3[nH]2)CC1 ZINC000981726991 656755296 /nfs/dbraw/zinc/75/52/96/656755296.db2.gz GHDUROVHPLTMNP-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3cc(F)ccc3[nH]2)CC1 ZINC000981726991 656755298 /nfs/dbraw/zinc/75/52/98/656755298.db2.gz GHDUROVHPLTMNP-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(Cl)s3)C[C@H]21 ZINC001042334845 656756141 /nfs/dbraw/zinc/75/61/41/656756141.db2.gz WQZIMAKXMIDTGR-NWDGAFQWSA-N 0 1 310.850 3.124 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2ccc(CC)cc2)CC1 ZINC000981754978 656759318 /nfs/dbraw/zinc/75/93/18/656759318.db2.gz YLIVRNZQPYMFAO-UHFFFAOYSA-N 0 1 314.473 3.496 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(C)c(CC)s3)C[C@@H]21 ZINC001042340961 656760344 /nfs/dbraw/zinc/76/03/44/656760344.db2.gz INSDHRVEAYPXOL-CABCVRRESA-N 0 1 318.486 3.341 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](F)c2ccccc2)CC1 ZINC001052751930 656761284 /nfs/dbraw/zinc/76/12/84/656761284.db2.gz JMUREVASSSUMIW-HZPDHXFCSA-N 0 1 324.827 3.420 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(CC)c(C)s3)C[C@@H]21 ZINC001042345452 656761743 /nfs/dbraw/zinc/76/17/43/656761743.db2.gz LMIOGTCQHHPMHC-CVEARBPZSA-N 0 1 318.486 3.341 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C(C)C)s3)C[C@@H]21 ZINC001042371063 656765934 /nfs/dbraw/zinc/76/59/34/656765934.db2.gz KQYZRSCBDDWSNX-CABCVRRESA-N 0 1 316.470 3.041 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052886930 656783067 /nfs/dbraw/zinc/78/30/67/656783067.db2.gz UVSROQHZXSJGGD-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2ccc(F)cc2)CC1 ZINC001052906741 656786978 /nfs/dbraw/zinc/78/69/78/656786978.db2.gz DCLQUGSLTIEAPP-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)C1 ZINC001043280961 656822060 /nfs/dbraw/zinc/82/20/60/656822060.db2.gz SISKSDXNISZHAZ-DLBZAZTESA-N 0 1 304.478 3.334 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC001038918318 656848102 /nfs/dbraw/zinc/84/81/02/656848102.db2.gz ALPYXIJRVRDZQF-HNNXBMFYSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCCN(C[C@@H](F)CC)C3)C1 ZINC000982001028 656856440 /nfs/dbraw/zinc/85/64/40/656856440.db2.gz PWXMKSPQXYTQJL-HNNXBMFYSA-N 0 1 308.441 3.015 20 30 DGEDMN C=CC[N@H+]1CCCC2(CN(C(=O)c3ccc4c(c3)C=CCC4)C2)C1 ZINC000982061270 656879112 /nfs/dbraw/zinc/87/91/12/656879112.db2.gz OEQIOUQDUCFGJL-UHFFFAOYSA-N 0 1 322.452 3.370 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001044204018 656895825 /nfs/dbraw/zinc/89/58/25/656895825.db2.gz PTFNRZOOFVKCHZ-UHFFFAOYSA-N 0 1 318.436 3.212 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001044215309 656897742 /nfs/dbraw/zinc/89/77/42/656897742.db2.gz RIZCSSQBRRCJBD-QGZVFWFLSA-N 0 1 312.457 3.215 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3ccc(C4CC4)cc3)C2)C1 ZINC000982159517 656908728 /nfs/dbraw/zinc/90/87/28/656908728.db2.gz HVSSBTGYVOLUNJ-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H](F)C(C)C)C2 ZINC001054180202 656926855 /nfs/dbraw/zinc/92/68/55/656926855.db2.gz OMVMFHYSLIBSNV-ZBFHGGJFSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(Cl)ccc2C)CC1 ZINC000982266390 656929441 /nfs/dbraw/zinc/92/94/41/656929441.db2.gz YJUQKWAJLUUJEM-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@]3(CCN(CCF)C3)C2)CC1 ZINC001054189351 656930993 /nfs/dbraw/zinc/93/09/93/656930993.db2.gz HMAYUDRSJICQAC-SFHVURJKSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CC(C)(F)F)C2 ZINC001054191543 656932319 /nfs/dbraw/zinc/93/23/19/656932319.db2.gz IMRATMLDCCISAW-HNNXBMFYSA-N 0 1 320.811 3.099 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001028250214 656943810 /nfs/dbraw/zinc/94/38/10/656943810.db2.gz UEQIQYZAFCDMPH-LJQANCHMSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccc(F)cc3)cc2C1 ZINC001054250802 656949435 /nfs/dbraw/zinc/94/94/35/656949435.db2.gz DUOHRXZIRNYYGD-UHFFFAOYSA-N 0 1 322.383 3.095 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc(C2CCCC2)c1 ZINC001028264784 656952817 /nfs/dbraw/zinc/95/28/17/656952817.db2.gz NPYCILOEWKANRQ-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3C[C@H]3C(C)(C)C)cc2C1 ZINC001054274141 656958294 /nfs/dbraw/zinc/95/82/94/656958294.db2.gz URFFVGOKCFFNGN-RTBURBONSA-N 0 1 324.468 3.324 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@]34C[C@H]3CCCC4)cc2C1 ZINC001054280189 656959934 /nfs/dbraw/zinc/95/99/34/656959934.db2.gz CFNHZTXLIGNKRT-TZIWHRDSSA-N 0 1 322.452 3.222 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CC[C@H](C)C3)cc2C1 ZINC001054285106 656962438 /nfs/dbraw/zinc/96/24/38/656962438.db2.gz SIABYVREONACPD-DOTOQJQBSA-N 0 1 310.441 3.078 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc2ccccc21 ZINC001028298327 656983974 /nfs/dbraw/zinc/98/39/74/656983974.db2.gz SDRCAEUBLSQUAS-QGZVFWFLSA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2c(s1)CCCCC2 ZINC001028303475 656986910 /nfs/dbraw/zinc/98/69/10/656986910.db2.gz OLADHYXNGCHMMX-HNNXBMFYSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1sc(CCC)nc1C ZINC001028315808 656990977 /nfs/dbraw/zinc/99/09/77/656990977.db2.gz VWSOQWQNYUKWFA-CQSZACIVSA-N 0 1 321.490 3.174 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccccc1CC(C)C ZINC001028341277 656999424 /nfs/dbraw/zinc/99/94/24/656999424.db2.gz HLQOSYFHHCVWCW-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccccc1CC(C)C ZINC001028341277 656999428 /nfs/dbraw/zinc/99/94/28/656999428.db2.gz HLQOSYFHHCVWCW-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2Cl)C[C@@H]1C ZINC001054684987 657000753 /nfs/dbraw/zinc/00/07/53/657000753.db2.gz AOEGSAYNRADSKY-GZMMTYOYSA-N 0 1 319.257 3.204 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(Cl)cs2)CC1 ZINC001045580101 657036431 /nfs/dbraw/zinc/03/64/31/657036431.db2.gz QNCRSLLYDQFRDE-UHFFFAOYSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C)c3ccccc23)CC1 ZINC001045571764 657038263 /nfs/dbraw/zinc/03/82/63/657038263.db2.gz KBAHPAKASLEOMX-UHFFFAOYSA-N 0 1 320.436 3.366 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cccc3ccsc32)CC1 ZINC001045611461 657042573 /nfs/dbraw/zinc/04/25/73/657042573.db2.gz MKVJLYSNGNLLNC-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc3ccccc3o2)CC1 ZINC001045634475 657045885 /nfs/dbraw/zinc/04/58/85/657045885.db2.gz DWQJMRVDIMCSQZ-UHFFFAOYSA-N 0 1 310.397 3.040 20 30 DGEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)c3cccc(C(C)C)c3)C[C@@]2(C)C1 ZINC000982712534 657083896 /nfs/dbraw/zinc/08/38/96/657083896.db2.gz FHVMWXQSKFJVGM-OYRHEFFESA-N 0 1 324.468 3.227 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H]2CCCC2(F)F)C1 ZINC001000017146 657085471 /nfs/dbraw/zinc/08/54/71/657085471.db2.gz ZHFQRPQHZRVUBX-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(F)c(C)c2)C1 ZINC001000040506 657087252 /nfs/dbraw/zinc/08/72/52/657087252.db2.gz GYPTYZXDEHABNB-AWEZNQCLSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(F)c(C)c2)C1 ZINC001000040527 657087496 /nfs/dbraw/zinc/08/74/96/657087496.db2.gz GYPTYZXDEHABNB-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3occc3s2)C1 ZINC001000186682 657102521 /nfs/dbraw/zinc/10/25/21/657102521.db2.gz IFFVEGLZUDPNRU-LLVKDONJSA-N 0 1 324.833 3.441 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001045964459 657107844 /nfs/dbraw/zinc/10/78/44/657107844.db2.gz IKWFNOXQYSHCJK-ROUUACIJSA-N 0 1 310.457 3.263 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H]1CC13CC3)C2 ZINC001045969404 657109546 /nfs/dbraw/zinc/10/95/46/657109546.db2.gz PUXJPKXYMARJHX-DOTOQJQBSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](F)c2ccccc2)C1 ZINC001000328940 657114362 /nfs/dbraw/zinc/11/43/62/657114362.db2.gz LZMAAXBAUXVKDX-HUUCEWRRSA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2cc(C)oc2C)C1 ZINC001000471559 657128983 /nfs/dbraw/zinc/12/89/83/657128983.db2.gz FEPHRPQCCQIEBF-UKRRQHHQSA-N 0 1 324.852 3.333 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H](C)c2ccco2)C1 ZINC001000705578 657143631 /nfs/dbraw/zinc/14/36/31/657143631.db2.gz QSGLEZAWFUVMPJ-GXTWGEPZSA-N 0 1 310.825 3.106 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001046317299 657145109 /nfs/dbraw/zinc/14/51/09/657145109.db2.gz GMAVTPRHVCNFHA-INIZCTEOSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC001000724257 657146045 /nfs/dbraw/zinc/14/60/45/657146045.db2.gz JOXIETWNRGRWHG-NVXWUHKLSA-N 0 1 320.864 3.432 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2csc3cc(C)ccc23)C1 ZINC001046347075 657150716 /nfs/dbraw/zinc/15/07/16/657150716.db2.gz NLCQLZJZAIWKBZ-GOSISDBHSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)ccc2C)C1 ZINC001000785582 657153017 /nfs/dbraw/zinc/15/30/17/657153017.db2.gz PGCZMHIEXVXJDG-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(C)ccc2C)C1 ZINC001000785581 657153172 /nfs/dbraw/zinc/15/31/72/657153172.db2.gz PGCZMHIEXVXJDG-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2coc3c2cccc3C)CC1 ZINC001000786322 657153328 /nfs/dbraw/zinc/15/33/28/657153328.db2.gz XMIBRIZOCOBQCR-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(OCC)cc2)C1 ZINC001000821420 657154990 /nfs/dbraw/zinc/15/49/90/657154990.db2.gz AYNNPKXNEHDAFG-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(OCC)cc2)C1 ZINC001000821427 657155232 /nfs/dbraw/zinc/15/52/32/657155232.db2.gz AYNNPKXNEHDAFG-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C2CCCCCCC2)CC1 ZINC001000940585 657166932 /nfs/dbraw/zinc/16/69/32/657166932.db2.gz LZBMUZDMFCLGOE-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C#CCCN1CC[C@](C)(NC(=O)c2cc3cscc3s2)C1 ZINC001046493666 657169415 /nfs/dbraw/zinc/16/94/15/657169415.db2.gz FHJCQGOWWTXRMY-INIZCTEOSA-N 0 1 318.467 3.180 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001046505925 657170860 /nfs/dbraw/zinc/17/08/60/657170860.db2.gz MSHYTNIFIVVFGG-GOSISDBHSA-N 0 1 316.376 3.261 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2ccccc2C)C1 ZINC001000981054 657171462 /nfs/dbraw/zinc/17/14/62/657171462.db2.gz MYWKOMDQOHRPQL-CVEARBPZSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3ncccc3s2)C1 ZINC001046528142 657172831 /nfs/dbraw/zinc/17/28/31/657172831.db2.gz RVOIOJMUJGHHPT-KRWDZBQOSA-N 0 1 315.442 3.067 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc(Cl)ccc2C)CC1 ZINC001001271341 657203559 /nfs/dbraw/zinc/20/35/59/657203559.db2.gz OBJQIHIYGSRAHS-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001046740795 657210546 /nfs/dbraw/zinc/21/05/46/657210546.db2.gz YBVLHOAJUMRYOK-GOSISDBHSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046757004 657212890 /nfs/dbraw/zinc/21/28/90/657212890.db2.gz CWRQFEKXOHXWDM-HXUWFJFHSA-N 0 1 322.408 3.164 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046757004 657212895 /nfs/dbraw/zinc/21/28/95/657212895.db2.gz CWRQFEKXOHXWDM-HXUWFJFHSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001046757451 657213053 /nfs/dbraw/zinc/21/30/53/657213053.db2.gz HHEARPWZSOCPEY-HXUWFJFHSA-N 0 1 322.408 3.164 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C2CCC(CCCC)CC2)CC1 ZINC001001431814 657220243 /nfs/dbraw/zinc/22/02/43/657220243.db2.gz CWANTPMOFGOBMB-UHFFFAOYSA-N 0 1 316.489 3.365 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc(CC)c(C)s2)CC1 ZINC001001432755 657220908 /nfs/dbraw/zinc/22/09/08/657220908.db2.gz IJOLXWBFCDXYME-UHFFFAOYSA-N 0 1 316.470 3.004 20 30 DGEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001046813836 657226049 /nfs/dbraw/zinc/22/60/49/657226049.db2.gz GLQMXBBDQVIQOD-OAQYLSRUSA-N 0 1 318.420 3.181 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001046813836 657226053 /nfs/dbraw/zinc/22/60/53/657226053.db2.gz GLQMXBBDQVIQOD-OAQYLSRUSA-N 0 1 318.420 3.181 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001046816201 657227505 /nfs/dbraw/zinc/22/75/05/657227505.db2.gz IVPNJAWQRINUOT-FZKQIMNGSA-N 0 1 318.486 3.253 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001046818538 657229392 /nfs/dbraw/zinc/22/93/92/657229392.db2.gz URNPUSKBDCOETC-RJYAGPCLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3ccccc3n2CC)C1 ZINC001046849161 657235584 /nfs/dbraw/zinc/23/55/84/657235584.db2.gz BRHCLGMTSWPMQS-LJQANCHMSA-N 0 1 311.429 3.041 20 30 DGEDMN C#CCCN1CC[C@@](C)(NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001046851076 657236315 /nfs/dbraw/zinc/23/63/15/657236315.db2.gz CDXSLORFVROGBM-GOSISDBHSA-N 0 1 318.486 3.263 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]3CN(C[C@H](F)CC)C[C@H]32)CC1 ZINC001050131504 657255545 /nfs/dbraw/zinc/25/55/45/657255545.db2.gz XUZLIUQUXOMHPM-RCCFBDPRSA-N 0 1 322.468 3.404 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3ccc(Cl)s3)[C@@H]2C1 ZINC001050195266 657267728 /nfs/dbraw/zinc/26/77/28/657267728.db2.gz OXEVNYZNBIXREA-NWDGAFQWSA-N 0 1 310.850 3.124 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H](C3CCN(CCF)CC3)C2)C1 ZINC001047253538 657287383 /nfs/dbraw/zinc/28/73/83/657287383.db2.gz BAOKXVJRFSXJNW-QGZVFWFLSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)c3ccc(C)s3)C2)CC1 ZINC001050412428 657302257 /nfs/dbraw/zinc/30/22/57/657302257.db2.gz GLQQSKLGAOCZIT-UHFFFAOYSA-N 0 1 324.877 3.347 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCCC34CC4)C2)CC1 ZINC001050561777 657324600 /nfs/dbraw/zinc/32/46/00/657324600.db2.gz DSSUYXMUXZPCQH-OAHLLOKOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(C4CC4)CCC3)C2)CC1 ZINC001050608214 657330120 /nfs/dbraw/zinc/33/01/20/657330120.db2.gz NVLXAFQHCFRPCJ-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCC[C@@H](C)C3)C2)CC1 ZINC001050638567 657335766 /nfs/dbraw/zinc/33/57/66/657335766.db2.gz DTSUAGLJKGXEKQ-ZBFHGGJFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@]3(C)CCC[C@H]3C)C2)CC1 ZINC001050652545 657338890 /nfs/dbraw/zinc/33/88/90/657338890.db2.gz VIFGFAZVJHLTGN-RHSMWYFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3CCC=CCC3)C2)CC1 ZINC001050758308 657356831 /nfs/dbraw/zinc/35/68/31/657356831.db2.gz GAKOBYIVKNKMPA-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3CCCCCC3)cccc2C1 ZINC001051282798 657380331 /nfs/dbraw/zinc/38/03/31/657380331.db2.gz WLEWIGBAKQRGJS-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)cccc2C1 ZINC001051283644 657381383 /nfs/dbraw/zinc/38/13/83/657381383.db2.gz KJQZEMPHHLCKHH-PMOLBWCYSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)cccc2C1 ZINC001051292758 657381997 /nfs/dbraw/zinc/38/19/97/657381997.db2.gz JJAHJQATXNEYNF-GPMSIDNRSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cccc(Cl)c2F)C1 ZINC000968354666 657392665 /nfs/dbraw/zinc/39/26/65/657392665.db2.gz VEUIXYJYLKKKTP-DOMZBBRYSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)ccc2F)C1 ZINC000968357331 657394001 /nfs/dbraw/zinc/39/40/01/657394001.db2.gz LNKWFCCSPDXWBE-RHSMWYFYSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC000968378125 657400036 /nfs/dbraw/zinc/40/00/36/657400036.db2.gz NWCVEUFSJRVPOI-DOMZBBRYSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](CC)Cc2ccccc2C)CC1 ZINC000957330832 657401564 /nfs/dbraw/zinc/40/15/64/657401564.db2.gz LXIMMGUHCOQVAY-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(Cl)cs2)C1 ZINC000968386830 657401897 /nfs/dbraw/zinc/40/18/97/657401897.db2.gz QADUKWCHWLRXNC-YPMHNXCESA-N 0 1 312.866 3.418 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)C2CCCCC2)C1 ZINC000968405529 657407036 /nfs/dbraw/zinc/40/70/36/657407036.db2.gz NKCDWUFBHQIEJV-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413744 657408446 /nfs/dbraw/zinc/40/84/46/657408446.db2.gz MQPIAVMMOHUBJL-SWLSCSKDSA-N 0 1 308.372 3.250 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431060 657411349 /nfs/dbraw/zinc/41/13/49/657411349.db2.gz HTVFTSRFIDOIIO-IUODEOHRSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431060 657411350 /nfs/dbraw/zinc/41/13/50/657411350.db2.gz HTVFTSRFIDOIIO-IUODEOHRSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968439616 657412430 /nfs/dbraw/zinc/41/24/30/657412430.db2.gz ISTOGHOSLHTIET-CZUORRHYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454318 657413546 /nfs/dbraw/zinc/41/35/46/657413546.db2.gz KVZXPLPOXYPLCV-LIRRHRJNSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454318 657413553 /nfs/dbraw/zinc/41/35/53/657413553.db2.gz KVZXPLPOXYPLCV-LIRRHRJNSA-N 0 1 323.440 3.169 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968464861 657414536 /nfs/dbraw/zinc/41/45/36/657414536.db2.gz NEGJTBGRKOSJLD-CADBVGFASA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC000968535378 657422771 /nfs/dbraw/zinc/42/27/71/657422771.db2.gz YFNZFQICTBINEP-MAUKXSAKSA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548030 657424916 /nfs/dbraw/zinc/42/49/16/657424916.db2.gz CHRWFRALWAGGNZ-ZFWWWQNUSA-N 0 1 304.434 3.031 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968571394 657429089 /nfs/dbraw/zinc/42/90/89/657429089.db2.gz YOENDWSYFNAPKL-SMDDNHRTSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(OC(C)C)cc2)CC1 ZINC000957547430 657430151 /nfs/dbraw/zinc/43/01/51/657430151.db2.gz CIPWCOQGRAXNBV-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccccc2Cl)C1 ZINC000968575090 657430719 /nfs/dbraw/zinc/43/07/19/657430719.db2.gz RYTGUGSPDQCGQG-CJNGLKHVSA-N 0 1 306.837 3.356 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968591765 657435221 /nfs/dbraw/zinc/43/52/21/657435221.db2.gz FJXPQNHXLMCFKA-OCCSQVGLSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(F)F)o2)C1 ZINC000968598490 657437750 /nfs/dbraw/zinc/43/77/50/657437750.db2.gz GOHZQBCSSNJBFP-NWDGAFQWSA-N 0 1 312.360 3.234 20 30 DGEDMN CCCCCCCC(=O)N1CCC[C@@H]1CN1C[C@H](C)O[C@@H](C)C1 ZINC000250036989 657444884 /nfs/dbraw/zinc/44/48/84/657444884.db2.gz BHAIYIFAASBNPH-OKZBNKHCSA-N 0 1 324.509 3.447 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622962 657446390 /nfs/dbraw/zinc/44/63/90/657446390.db2.gz DAKUQVVDRKZAFY-WFASDCNBSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622962 657446394 /nfs/dbraw/zinc/44/63/94/657446394.db2.gz DAKUQVVDRKZAFY-WFASDCNBSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC000968677742 657454751 /nfs/dbraw/zinc/45/47/51/657454751.db2.gz IEGHKFHARBCRLU-WFASDCNBSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC000968706374 657465581 /nfs/dbraw/zinc/46/55/81/657465581.db2.gz OJMBVDZOKYSOBF-FUHWJXTLSA-N 0 1 312.457 3.039 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](CC)c2ccc(F)cc2)CC1 ZINC000957823213 657465920 /nfs/dbraw/zinc/46/59/20/657465920.db2.gz DCYAIAMCQLRBSI-KRWDZBQOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)C1 ZINC001029466235 657467216 /nfs/dbraw/zinc/46/72/16/657467216.db2.gz HOZYUQCXGHYOHL-HDICACEKSA-N 0 1 310.441 3.218 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccccc2CC(C)C)CC1 ZINC000957843367 657468650 /nfs/dbraw/zinc/46/86/50/657468650.db2.gz ZVFQLMBUSWYMPR-UHFFFAOYSA-N 0 1 300.446 3.219 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCc2ccc(C)s2)CC1 ZINC000957939224 657473038 /nfs/dbraw/zinc/47/30/38/657473038.db2.gz ANQDOALEYOZGIJ-UHFFFAOYSA-N 0 1 306.475 3.100 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc2c1CCCC2 ZINC000968871375 657506265 /nfs/dbraw/zinc/50/62/65/657506265.db2.gz SREXURSQLXDSIW-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN(CCOc1cccc(C#N)c1)Cc1ccc(F)cc1 ZINC000303040546 657512011 /nfs/dbraw/zinc/51/20/11/657512011.db2.gz ZUROXVOBAITXCZ-UHFFFAOYSA-N 0 1 308.356 3.212 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC=CCCC1 ZINC000968913474 657514060 /nfs/dbraw/zinc/51/40/60/657514060.db2.gz HBGBACOQVZRQJU-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1cc[nH]c1C(C)C ZINC000968998399 657529738 /nfs/dbraw/zinc/52/97/38/657529738.db2.gz VCJKSQSBDIYVPQ-MRXNPFEDSA-N 0 1 315.461 3.136 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC001007347099 657596429 /nfs/dbraw/zinc/59/64/29/657596429.db2.gz QGHHOHQDRXDGSB-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(c3ccc(F)cc3)CC2)C1 ZINC001007457348 657609673 /nfs/dbraw/zinc/60/96/73/657609673.db2.gz LQXQFIDQQPLIKU-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001007466745 657611411 /nfs/dbraw/zinc/61/14/11/657611411.db2.gz XIYKPKWLBABOSZ-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2conc2C2CCCC2)C1 ZINC001007479859 657611499 /nfs/dbraw/zinc/61/14/99/657611499.db2.gz AVSXVYSOBLMRLI-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@@H]1CCCN(CC(=C)C)C1 ZINC001007671044 657627865 /nfs/dbraw/zinc/62/78/65/657627865.db2.gz BONMCWXILSZWBD-MRXNPFEDSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccccc2CCC)C1 ZINC001007884958 657644767 /nfs/dbraw/zinc/64/47/67/657644767.db2.gz YAQDTPKYMRYODP-QGZVFWFLSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001007942818 657648416 /nfs/dbraw/zinc/64/84/16/657648416.db2.gz IDJFUMPVMOALMN-MRXNPFEDSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(Cl)cc2)C1 ZINC001008370211 657687770 /nfs/dbraw/zinc/68/77/70/657687770.db2.gz ILHOGDUWTOTMBN-INIZCTEOSA-N 0 1 320.864 3.384 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001008591470 657707893 /nfs/dbraw/zinc/70/78/93/657707893.db2.gz PLLQKJXKKMBUCH-KRWDZBQOSA-N 0 1 322.408 3.164 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001008804551 657732560 /nfs/dbraw/zinc/73/25/60/657732560.db2.gz HJBTYEPGXRBRDW-GBESFXJTSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC000985547570 657743822 /nfs/dbraw/zinc/74/38/22/657743822.db2.gz HKOAGZBKJOPFLP-MUJYYYPQSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC000985547577 657744794 /nfs/dbraw/zinc/74/47/94/657744794.db2.gz HKOAGZBKJOPFLP-PHZGNYQRSA-N 0 1 324.896 3.488 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001009308516 657766910 /nfs/dbraw/zinc/76/69/10/657766910.db2.gz OADUJHAAINGSOY-AWEZNQCLSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001009425090 657783900 /nfs/dbraw/zinc/78/39/00/657783900.db2.gz NCEGQLXSPHLYAJ-LJQANCHMSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001009586426 657796517 /nfs/dbraw/zinc/79/65/17/657796517.db2.gz KHSVACABITVBHD-DZGCQCFKSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001009584293 657796671 /nfs/dbraw/zinc/79/66/71/657796671.db2.gz CSLJSNLIZGKDEG-XJKSGUPXSA-N 0 1 306.837 3.210 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c3c2cccc3CC)C1 ZINC001009631382 657802424 /nfs/dbraw/zinc/80/24/24/657802424.db2.gz BSGYJNRVIOMBKU-HNNXBMFYSA-N 0 1 311.429 3.111 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@]2(C)CCc3ccccc32)C1 ZINC001009692086 657809582 /nfs/dbraw/zinc/80/95/82/657809582.db2.gz PCIYHOOIQMNQEO-XLIONFOSSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001009715828 657810988 /nfs/dbraw/zinc/81/09/88/657810988.db2.gz JODSYUOIFYSMCM-KRWDZBQOSA-N 0 1 323.440 3.452 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(CC(C)C)n2)C1 ZINC001009719751 657812240 /nfs/dbraw/zinc/81/22/40/657812240.db2.gz XJDMOADCIJTNOI-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC001009747601 657814461 /nfs/dbraw/zinc/81/44/61/657814461.db2.gz ZNOCSMGTBJDERQ-HTWSVDAQSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001009780246 657817655 /nfs/dbraw/zinc/81/76/55/657817655.db2.gz MBUSXQMZOGPAOH-GOSISDBHSA-N 0 1 300.446 3.192 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@@H]2C2CCCC2)CC1 ZINC000985736060 657827351 /nfs/dbraw/zinc/82/73/51/657827351.db2.gz QUAAJMKIVNSMBK-IAGOWNOFSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C2CC2)C2CC2)CC1 ZINC000985746880 657830635 /nfs/dbraw/zinc/83/06/35/657830635.db2.gz YOJQXDXCEDSQCT-UHFFFAOYSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2CCC=CCC2)CC1 ZINC000985793001 657855313 /nfs/dbraw/zinc/85/53/13/657855313.db2.gz PYBSORWKLWGKMZ-UHFFFAOYSA-N 0 1 310.869 3.408 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccsc1 ZINC000960792717 657876172 /nfs/dbraw/zinc/87/61/72/657876172.db2.gz VRQZJTIMRSEOIE-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)c1ccco1 ZINC000013889186 657940164 /nfs/dbraw/zinc/94/01/64/657940164.db2.gz JBWATVQJGAMVOS-VIFPVBQESA-N 0 1 306.680 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3occc3c2)[C@@H]1C ZINC000986311527 658036642 /nfs/dbraw/zinc/03/66/42/658036642.db2.gz IBNGELWAIRQZTA-IUODEOHRSA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001014658815 658039563 /nfs/dbraw/zinc/03/95/63/658039563.db2.gz NJUAICMWZDYXMX-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cccc(CC)c2)[C@@H]1C ZINC000986440440 658052684 /nfs/dbraw/zinc/05/26/84/658052684.db2.gz KTYDEJSNEWADLE-PBHICJAKSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001014864314 658056815 /nfs/dbraw/zinc/05/68/15/658056815.db2.gz QTIRORLRZKLXSD-HNNXBMFYSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)c(F)c2)[C@@H]1C ZINC000986520328 658059343 /nfs/dbraw/zinc/05/93/43/658059343.db2.gz OPYDDELAJIMVAO-IUODEOHRSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CCN(CC=C(C)C)C1 ZINC001014976741 658072664 /nfs/dbraw/zinc/07/26/64/658072664.db2.gz RDILEBTXYRMBGF-INIZCTEOSA-N 0 1 314.429 3.022 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sc(C)nc2CC(C)C)C1 ZINC001015128425 658087634 /nfs/dbraw/zinc/08/76/34/658087634.db2.gz RNWKWUTYDMVYRA-AWEZNQCLSA-N 0 1 321.490 3.030 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3ccc(F)cc3s2)C1 ZINC001015141201 658089721 /nfs/dbraw/zinc/08/97/21/658089721.db2.gz YKSHTRGLLOWUGG-CQSZACIVSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccn(C(C)C)c2C)[C@H]1C ZINC000986694427 658089763 /nfs/dbraw/zinc/08/97/63/658089763.db2.gz PDWKZRRNVQFXRW-HOCLYGCPSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001015371338 658114077 /nfs/dbraw/zinc/11/40/77/658114077.db2.gz CCPPNVLTBUWZSJ-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001015404024 658116455 /nfs/dbraw/zinc/11/64/55/658116455.db2.gz OQJZTAYCAGTNIJ-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3ccc(F)cc3)CCC2)C1 ZINC001015467974 658123294 /nfs/dbraw/zinc/12/32/94/658123294.db2.gz RQXAHUWOYQVMGT-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3[nH]ccc32)[C@@H]1C ZINC000987033397 658142241 /nfs/dbraw/zinc/14/22/41/658142241.db2.gz AFAXICQCHQJQKT-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)ccc2F)[C@@H]1C ZINC000987059819 658150003 /nfs/dbraw/zinc/15/00/03/658150003.db2.gz SUIPERMSVZBKQU-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001015776074 658185373 /nfs/dbraw/zinc/18/53/73/658185373.db2.gz QUDPEVXRTXKMJK-HOTGVXAUSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(Cl)c2)[C@@H]1C ZINC000987386723 658196887 /nfs/dbraw/zinc/19/68/87/658196887.db2.gz METXJSLQPZYWNG-RISCZKNCSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(Cl)cc2)[C@@H]1C ZINC000987395658 658201895 /nfs/dbraw/zinc/20/18/95/658201895.db2.gz ZRGOIOIQJJTNDN-BXUZGUMPSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)cc2C)[C@@H]1C ZINC000987443703 658207596 /nfs/dbraw/zinc/20/75/96/658207596.db2.gz JEDLEVQSFUPXJF-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oc3ccccc3c2Cl)C1 ZINC001015977891 658212745 /nfs/dbraw/zinc/21/27/45/658212745.db2.gz XTSZNNCKQBMAAS-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)c(C)c2)[C@@H]1C ZINC000987453397 658213141 /nfs/dbraw/zinc/21/31/41/658213141.db2.gz DZEJBILVUHARRF-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001016019000 658220477 /nfs/dbraw/zinc/22/04/77/658220477.db2.gz NCPMNTVAGMRROU-KRWDZBQOSA-N 0 1 300.446 3.039 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001016168577 658247045 /nfs/dbraw/zinc/24/70/45/658247045.db2.gz OWEAEIWMONARMX-KBPBESRZSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001016168594 658247221 /nfs/dbraw/zinc/24/72/21/658247221.db2.gz OWEAEIWMONARMX-ZIAGYGMSSA-N 0 1 318.486 3.253 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccccc2-c2ccccc2)C1 ZINC001016175072 658249013 /nfs/dbraw/zinc/24/90/13/658249013.db2.gz PEXZFFQWEZTKDM-GOSISDBHSA-N 0 1 318.420 3.181 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2cc(CC)c(CCC)s2)C1 ZINC001016224628 658257488 /nfs/dbraw/zinc/25/74/88/658257488.db2.gz DEKKROIUGNNZIR-HNNXBMFYSA-N 0 1 318.486 3.090 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sc(C(C)(C)C)nc2C)C1 ZINC001016416094 658280621 /nfs/dbraw/zinc/28/06/21/658280621.db2.gz KNYJYIWOEDQNDB-ZDUSSCGKSA-N 0 1 321.490 3.129 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001016445712 658282744 /nfs/dbraw/zinc/28/27/44/658282744.db2.gz GCLYKUIDHYCNDK-HNNXBMFYSA-N 0 1 308.372 3.179 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1scnc1C1CCCC1 ZINC001038476287 658333933 /nfs/dbraw/zinc/33/39/33/658333933.db2.gz JUPGLBBBMZGPQA-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC000966165636 658451581 /nfs/dbraw/zinc/45/15/81/658451581.db2.gz GRSYEKBNTUVDGH-YOEHRIQHSA-N 0 1 315.461 3.005 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cscc2C(F)F)C1 ZINC000966166849 658451730 /nfs/dbraw/zinc/45/17/30/658451730.db2.gz KULXKRUQIMGLTN-GWCFXTLKSA-N 0 1 314.401 3.312 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966326487 658467146 /nfs/dbraw/zinc/46/71/46/658467146.db2.gz OZRAIZJVCSREOF-YOEHRIQHSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2coc(C(F)F)c2)C1 ZINC000989365578 658468922 /nfs/dbraw/zinc/46/89/22/658468922.db2.gz RJZJIWNUPYOZLY-MWLCHTKSSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2cc(C)cc(C)c2)C1 ZINC000989394893 658472558 /nfs/dbraw/zinc/47/25/58/658472558.db2.gz ARTVSXAZAIONJD-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)cc(C)c1 ZINC001017886032 658472786 /nfs/dbraw/zinc/47/27/86/658472786.db2.gz MRNNLAUTRPMKHD-KDURUIRLSA-N 0 1 312.457 3.097 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2cc(C)ccc2C)C1 ZINC000989408345 658474920 /nfs/dbraw/zinc/47/49/20/658474920.db2.gz QATLGENVGORDFO-NVXWUHKLSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(F)F)nc2)C1 ZINC000966558577 658481603 /nfs/dbraw/zinc/48/16/03/658481603.db2.gz DZFUTJYRIAFMDI-IUODEOHRSA-N 0 1 323.387 3.036 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000989447870 658488271 /nfs/dbraw/zinc/48/82/71/658488271.db2.gz VRKGGDBVKHZXFU-INIZCTEOSA-N 0 1 324.424 3.094 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(CC)cc1 ZINC001018005540 658490326 /nfs/dbraw/zinc/49/03/26/658490326.db2.gz UJLGWWIKUONMGA-KDURUIRLSA-N 0 1 312.457 3.043 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(C(C)C)n1 ZINC001018064143 658498527 /nfs/dbraw/zinc/49/85/27/658498527.db2.gz AGOMAICDHLVXLT-OKILXGFUSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](CC)Cc2ccccc2C)CC1 ZINC000989483178 658499168 /nfs/dbraw/zinc/49/91/68/658499168.db2.gz OPMRXHUXTOWYRB-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC000966874306 658503004 /nfs/dbraw/zinc/50/30/04/658503004.db2.gz GELUTZYPFXREOV-PWSUYJOCSA-N 0 1 312.360 3.152 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnoc1C(C)C ZINC001018135009 658506359 /nfs/dbraw/zinc/50/63/59/658506359.db2.gz NVTPNWGRZFYPQK-GASCZTMLSA-N 0 1 317.433 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccsc2Cl)C1 ZINC000989520036 658507991 /nfs/dbraw/zinc/50/79/91/658507991.db2.gz AYVVQQFLKKWJEQ-UWVGGRQHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCN1CCCN(C(=O)CC23CC4CC(CC(C4)C2)C3)CC1 ZINC000989552385 658514293 /nfs/dbraw/zinc/51/42/93/658514293.db2.gz VDJQXKVVIXPXLR-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cccc1Cl ZINC001018203582 658516083 /nfs/dbraw/zinc/51/60/83/658516083.db2.gz UARMKZXBPJQGAC-BETUJISGSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC000967008766 658529338 /nfs/dbraw/zinc/52/93/38/658529338.db2.gz RAZCFDIUPKPPRM-XHDPSFHLSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc3[nH]c(C)c(C)c3c2)CC1 ZINC000989635942 658532338 /nfs/dbraw/zinc/53/23/38/658532338.db2.gz AICRIAHCJUFISU-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC000967269221 658556902 /nfs/dbraw/zinc/55/69/02/658556902.db2.gz UFRNILLNHFGGEF-QFBILLFUSA-N 0 1 323.440 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C)cc2C)C1 ZINC000989744580 658558474 /nfs/dbraw/zinc/55/84/74/658558474.db2.gz HZEAZZBVZNHHOY-GJZGRUSLSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C)cc2C)C1 ZINC000989744581 658558624 /nfs/dbraw/zinc/55/86/24/658558624.db2.gz HZEAZZBVZNHHOY-HUUCEWRRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(Cl)ccc2F)C1 ZINC000967280404 658561209 /nfs/dbraw/zinc/56/12/09/658561209.db2.gz XXNBSZAPCZSCAW-WBMJQRKESA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(CC)cc2)C1 ZINC000989741799 658563891 /nfs/dbraw/zinc/56/38/91/658563891.db2.gz ILTITUNZKFQRRG-BBRMVZONSA-N 0 1 306.837 3.194 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC000989765261 658566880 /nfs/dbraw/zinc/56/68/80/658566880.db2.gz BDPNXMGHHBNZHW-IBGZPJMESA-N 0 1 302.462 3.071 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC000989765261 658566887 /nfs/dbraw/zinc/56/68/87/658566887.db2.gz BDPNXMGHHBNZHW-IBGZPJMESA-N 0 1 302.462 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2ccc(C)c(C)c2)C1 ZINC000989763919 658567219 /nfs/dbraw/zinc/56/72/19/658567219.db2.gz VWXOPRQPSZQDAZ-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC000967406302 658576810 /nfs/dbraw/zinc/57/68/10/658576810.db2.gz AFLYOZJCNSUPOQ-IFXJQAMLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(-c3ccccc3)[nH]2)CC1 ZINC000989784101 658577958 /nfs/dbraw/zinc/57/79/58/658577958.db2.gz VHWOSUDWQWLAGS-UHFFFAOYSA-N 0 1 309.413 3.016 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2nc3ccccc3cc2C)C1 ZINC000967413575 658578595 /nfs/dbraw/zinc/57/85/95/658578595.db2.gz BZBOUDPQAHUPJM-KBXCAEBGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CC(C2CC2)C2CC2)C1 ZINC000989832528 658585784 /nfs/dbraw/zinc/58/57/84/658585784.db2.gz SVUQUZXLTBPRBQ-DOMZBBRYSA-N 0 1 310.869 3.144 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC000967470917 658591253 /nfs/dbraw/zinc/59/12/53/658591253.db2.gz VEDFEPICYZMCSW-RDTXWAMCSA-N 0 1 311.429 3.103 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C(C)(C)c2cccs2)C1 ZINC000967778992 658631607 /nfs/dbraw/zinc/63/16/07/658631607.db2.gz NFCAWDODECKWAW-KGLIPLIRSA-N 0 1 306.475 3.038 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)c2ccccc2C)C1 ZINC001019463976 658648570 /nfs/dbraw/zinc/64/85/70/658648570.db2.gz WKSQWISUGRPRAA-HOCLYGCPSA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2occc2C(C)C)C1 ZINC000967997039 658653293 /nfs/dbraw/zinc/65/32/93/658653293.db2.gz UEAXJFFFYUETMJ-HOCLYGCPSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc3sccc3c2)C1 ZINC001019591425 658655949 /nfs/dbraw/zinc/65/59/49/658655949.db2.gz GAVIBHGOXWCVDA-AWEZNQCLSA-N 0 1 320.845 3.458 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CCC(C3CC3)CC2)C1 ZINC001019718906 658667160 /nfs/dbraw/zinc/66/71/60/658667160.db2.gz DBDZCEDGHFOJLJ-GPANFISMSA-N 0 1 310.869 3.146 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968102881 658667545 /nfs/dbraw/zinc/66/75/45/658667545.db2.gz BAHQPRCYBMZPGV-MAUKXSAKSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)n(C(C)C)c2C)C1 ZINC001019754423 658672592 /nfs/dbraw/zinc/67/25/92/658672592.db2.gz BTOGLBIKYNCPON-HNNXBMFYSA-N 0 1 323.868 3.242 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968174268 658677212 /nfs/dbraw/zinc/67/72/12/658677212.db2.gz KENVKDRFIASHRH-DYVFJYSZSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001019842629 658678127 /nfs/dbraw/zinc/67/81/27/658678127.db2.gz DRDHRQZXJYWGJK-PBHICJAKSA-N 0 1 320.864 3.117 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191885 658680884 /nfs/dbraw/zinc/68/08/84/658680884.db2.gz PQGRTSHSQSLCBC-CJNGLKHVSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CN(CCC(F)(F)F)CC[C@H]2C)C1 ZINC000968274445 658689308 /nfs/dbraw/zinc/68/93/08/658689308.db2.gz LYQPJRVNJOTIHX-OLZOCXBDSA-N 0 1 318.383 3.122 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)CC2=CCCCC2)C1 ZINC001020026909 658693900 /nfs/dbraw/zinc/69/39/00/658693900.db2.gz FARWAJRBRHYSQG-WGSAOQKQSA-N 0 1 323.440 3.186 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC000968350485 658706666 /nfs/dbraw/zinc/70/66/66/658706666.db2.gz ZBIZDRCSZLXKPJ-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353063 658706968 /nfs/dbraw/zinc/70/69/68/658706968.db2.gz CJIWWVKFLDKRES-WFASDCNBSA-N 0 1 324.827 3.495 20 30 DGEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3cscc3C(F)F)CCC[C@H]12 ZINC000990712778 658731232 /nfs/dbraw/zinc/73/12/32/658731232.db2.gz PHNNHDOJBJGYLU-XJKSGUPXSA-N 0 1 324.396 3.046 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cscc3C(F)F)CCC[C@H]12 ZINC000990712778 658731234 /nfs/dbraw/zinc/73/12/34/658731234.db2.gz PHNNHDOJBJGYLU-XJKSGUPXSA-N 0 1 324.396 3.046 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001038390736 658748764 /nfs/dbraw/zinc/74/87/64/658748764.db2.gz SVQAJXKEOVKKKT-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(C1)CCCN(C/C=C\Cl)C2 ZINC001040333382 658752133 /nfs/dbraw/zinc/75/21/33/658752133.db2.gz RFTMILNCXOICLX-SKHCZCESSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001038532574 658762399 /nfs/dbraw/zinc/76/23/99/658762399.db2.gz OQLMBGUVCASSFR-OAHLLOKOSA-N 0 1 314.429 3.415 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3scc(C)c3Cl)CCC[C@H]12 ZINC000990875576 658774081 /nfs/dbraw/zinc/77/40/81/658774081.db2.gz ZJGARSVTDFONGG-LRDDRELGSA-N 0 1 322.861 3.070 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001038667847 658778710 /nfs/dbraw/zinc/77/87/10/658778710.db2.gz QAMXLCFGRHGDSI-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3sc(C)cc3C)CCC[C@@H]12 ZINC000990937537 658782549 /nfs/dbraw/zinc/78/25/49/658782549.db2.gz UNIFXEOMAVNJKH-CRAIPNDOSA-N 0 1 316.470 3.115 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3coc4c3cccc4C)CCC[C@@H]12 ZINC000991045612 658801929 /nfs/dbraw/zinc/80/19/29/658801929.db2.gz TZNLPRHTMFRGRQ-YLJYHZDGSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccccc1OCC(C)C ZINC001038818876 658812148 /nfs/dbraw/zinc/81/21/48/658812148.db2.gz UTKDITUCKISPHL-MRXNPFEDSA-N 0 1 316.445 3.102 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cscc3Cl)CCC[C@@H]12 ZINC000991250101 658845933 /nfs/dbraw/zinc/84/59/33/658845933.db2.gz YJUMAAGUPIRWFB-GDBMZVCRSA-N 0 1 322.861 3.152 20 30 DGEDMN COc1cccc(/C=C/C(=O)c2cc(F)ccc2O)c1OC ZINC000156676966 658933140 /nfs/dbraw/zinc/93/31/40/658933140.db2.gz VFLNVEMSAAFZIM-SOFGYWHQSA-N 0 1 302.301 3.445 20 30 DGEDMN COc1ccc(O)c(C(=O)/C=C/c2ccc(OC)c(C#N)c2)c1 ZINC000156685921 658933735 /nfs/dbraw/zinc/93/37/35/658933735.db2.gz PFSCRDWKFIXRJT-ZZXKWVIFSA-N 0 1 309.321 3.177 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001038921151 658957068 /nfs/dbraw/zinc/95/70/68/658957068.db2.gz QOIHGIFIESHPAC-MRXNPFEDSA-N 0 1 312.413 3.430 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccsc1C(F)F ZINC001038976565 658970185 /nfs/dbraw/zinc/97/01/85/658970185.db2.gz QZHUKACTXMHXQL-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1csc2c1CC[C@H](C)C2 ZINC001038993375 658974160 /nfs/dbraw/zinc/97/41/60/658974160.db2.gz ZBSYGQOVXYIYJL-UONOGXRCSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CCCC2 ZINC001038992325 658974298 /nfs/dbraw/zinc/97/42/98/658974298.db2.gz SIWMBRMUXPZCPV-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(CC(C)C)cc1 ZINC001039005420 658979354 /nfs/dbraw/zinc/97/93/54/658979354.db2.gz KFFYILGNDCYKGM-SFHVURJKSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001039032032 658984163 /nfs/dbraw/zinc/98/41/63/658984163.db2.gz HRQSCDRWAGLBRU-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCOc1ccc(C(=O)NC2CN(CC(CC)CC)C2)cc1 ZINC001030541788 659221174 /nfs/dbraw/zinc/22/11/74/659221174.db2.gz YSTFCCUWPUGRRD-UHFFFAOYSA-N 0 1 316.445 3.102 20 30 DGEDMN CCS[C@H](C(=O)C(C#N)C(=O)Nc1cccc(C)c1)C(C)C ZINC000171365220 659277283 /nfs/dbraw/zinc/27/72/83/659277283.db2.gz PWMNERSAZUBBNI-ZBFHGGJFSA-N 0 1 318.442 3.420 20 30 DGEDMN CCS[C@@H](C(=O)C(C#N)C(=O)Nc1ccccc1)C(C)C ZINC000171365438 659277343 /nfs/dbraw/zinc/27/73/43/659277343.db2.gz JUVWKXNEPJJAKW-UKRRQHHQSA-N 0 1 304.415 3.112 20 30 DGEDMN N#CCOc1ccc(C[NH2+]Cc2cc(Cl)ccc2[O-])cc1 ZINC000171769171 659297450 /nfs/dbraw/zinc/29/74/50/659297450.db2.gz BTXQFBSXTQZLFM-UHFFFAOYSA-N 0 1 302.761 3.238 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCN(C[C@@H](C)CC)CC2)cc1 ZINC000948919009 659415502 /nfs/dbraw/zinc/41/55/02/659415502.db2.gz OQDBIPBXTWITDR-INIZCTEOSA-N 0 1 316.445 3.055 20 30 DGEDMN Cc1ccc(N2CC[C@H](N[C@H](C)c3cccc(C#N)c3)C2=O)cc1 ZINC000174358069 659431669 /nfs/dbraw/zinc/43/16/69/659431669.db2.gz QESNQXSAJUHFBS-BEFAXECRSA-N 0 1 319.408 3.323 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@]2(NC(=O)c3ccoc3C3CC3)CCC[C@H]12 ZINC000992137794 659471424 /nfs/dbraw/zinc/47/14/24/659471424.db2.gz PZZRNYUOPFONJR-QFBILLFUSA-N 0 1 314.429 3.460 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cccc(Cl)c3F)CCC[C@@H]12 ZINC000992153318 659474668 /nfs/dbraw/zinc/47/46/68/659474668.db2.gz LQJGPTDCAGMLSA-RHSMWYFYSA-N 0 1 322.811 3.392 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(Cl)cc3C)CCC[C@@H]12 ZINC000992158221 659475921 /nfs/dbraw/zinc/47/59/21/659475921.db2.gz BBDZBEUMODEZNS-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN CCC(=O)N[C@@H]1CCN(CC#Cc2ccc(Cl)cc2)[C@H](C)C1 ZINC000946938187 659499280 /nfs/dbraw/zinc/49/92/80/659499280.db2.gz YDWSUSPFHHAADS-RHSMWYFYSA-N 0 1 318.848 3.071 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)C[C@H]1C ZINC000948055660 659505043 /nfs/dbraw/zinc/50/50/43/659505043.db2.gz DHWMIWBKNSVEHI-ZIAGYGMSSA-N 0 1 318.486 3.262 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C(C)C)cc3)CCC[C@H]12 ZINC000992420832 659546040 /nfs/dbraw/zinc/54/60/40/659546040.db2.gz ITIJHJDSNFWEQU-AZUAARDMSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C(C)(C)C)cc3)CCC[C@H]12 ZINC000992438010 659550112 /nfs/dbraw/zinc/55/01/12/659550112.db2.gz NMQQGCAOYHMRMY-RXVVDRJESA-N 0 1 324.468 3.344 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(C(C)(C)C)cc3)CCC[C@@H]12 ZINC000992438007 659550215 /nfs/dbraw/zinc/55/02/15/659550215.db2.gz NMQQGCAOYHMRMY-NQIIRXRSSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cc4c(s3)CCC4)CCC[C@@H]12 ZINC000992455516 659553729 /nfs/dbraw/zinc/55/37/29/659553729.db2.gz OVMSXOPFZRABBQ-AEFFLSMTSA-N 0 1 316.470 3.150 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2sccc2C(C)C)C[C@H]1C ZINC000948060738 659679967 /nfs/dbraw/zinc/67/99/67/659679967.db2.gz IYNORQUEUXFXQU-KGLIPLIRSA-N 0 1 304.459 3.087 20 30 DGEDMN C[C@H]1[C@H](NC(=O)C2=CCCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993225245 659702201 /nfs/dbraw/zinc/70/22/01/659702201.db2.gz DUDGQKDCSPHWAC-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2scc(C)c2Cl)[C@@H]1C ZINC000993366354 659722213 /nfs/dbraw/zinc/72/22/13/659722213.db2.gz JYPWDYYXMFJPMH-STQMWFEESA-N 0 1 324.877 3.316 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@@H]1C ZINC000993475860 659746637 /nfs/dbraw/zinc/74/66/37/659746637.db2.gz KUJJMGUAILCYCF-WNHJNPCNSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)c(C)cc2F)[C@H]1C ZINC000993478978 659746953 /nfs/dbraw/zinc/74/69/53/659746953.db2.gz QHZUGCUDBUMPTO-CXAGYDPISA-N 0 1 322.399 3.432 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1C ZINC000993462391 659749231 /nfs/dbraw/zinc/74/92/31/659749231.db2.gz FDFRJONZIAPAQG-VXGBXAGGSA-N 0 1 312.360 3.294 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3c2CCCCC3)[C@@H]1C ZINC000993486254 659753212 /nfs/dbraw/zinc/75/32/12/659753212.db2.gz JOGRUSZYQIGFDY-JXFKEZNVSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3cccnc32)[C@H]1C ZINC000993531358 659757234 /nfs/dbraw/zinc/75/72/34/659757234.db2.gz CJYFAEYWYNMVLD-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2F)[C@H]1C ZINC000993554282 659761245 /nfs/dbraw/zinc/76/12/45/659761245.db2.gz CIFVXTBXQLWNPJ-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)[C@H]1C ZINC000993684748 659775985 /nfs/dbraw/zinc/77/59/85/659775985.db2.gz PAEKOBXXKKMPEI-NVXWUHKLSA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccs2)[C@H]1C ZINC000993707686 659778381 /nfs/dbraw/zinc/77/83/81/659778381.db2.gz XYZUUJYZSNJCPA-HUUCEWRRSA-N 0 1 318.486 3.018 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccoc2C2CC2)[C@@H]1C ZINC000993699770 659781229 /nfs/dbraw/zinc/78/12/29/659781229.db2.gz AKYDGNRXHWGYOZ-BBRMVZONSA-N 0 1 302.418 3.316 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@H]1C ZINC000993725029 659781406 /nfs/dbraw/zinc/78/14/06/659781406.db2.gz HJWNMDQBUQCQHX-MLGOLLRUSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)cccc2Cl)[C@H]1C ZINC000993770713 659789339 /nfs/dbraw/zinc/78/93/39/659789339.db2.gz FLKNFQAHKWEECV-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(CCC(F)(F)F)[C@H]2C)C1 ZINC000993788801 659790471 /nfs/dbraw/zinc/79/04/71/659790471.db2.gz LJIZYLHJHIGFBO-STQMWFEESA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)ccc2Cl)[C@H]1C ZINC000993859258 659793393 /nfs/dbraw/zinc/79/33/93/659793393.db2.gz JKZMFGRRCNFBPX-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(F)c2Cl)[C@H]1C ZINC000993880369 659797093 /nfs/dbraw/zinc/79/70/93/659797093.db2.gz SZNSOBJDOHYCLX-RISCZKNCSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(CC)CC)no2)[C@@H]1C ZINC000993933895 659799859 /nfs/dbraw/zinc/79/98/59/659799859.db2.gz LBTMCFYPWWOKFJ-DZGCQCFKSA-N 0 1 319.449 3.347 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(C(C)C)nc2C)[C@@H]1C ZINC000993966316 659803434 /nfs/dbraw/zinc/80/34/34/659803434.db2.gz VZBIYKJXXNJBAO-LSDHHAIUSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(C(C)C)n2)[C@H]1C ZINC000994028862 659809295 /nfs/dbraw/zinc/80/92/95/659809295.db2.gz YGOXDQVCMVVHKB-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C(F)F)c2)[C@@H]1C ZINC000994251603 659825316 /nfs/dbraw/zinc/82/53/16/659825316.db2.gz NGEHBLNIFRMERR-XJKSGUPXSA-N 0 1 320.383 3.230 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3ccc(F)cc23)[C@@H]1C ZINC000994297201 659833601 /nfs/dbraw/zinc/83/36/01/659833601.db2.gz DNQCMQTXQVOMNI-BLLLJJGKSA-N 0 1 316.376 3.341 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2occ3c2CCCC3)[C@H]1C ZINC000994296360 659833864 /nfs/dbraw/zinc/83/38/64/659833864.db2.gz RWCIGODUNDCFIP-RHSMWYFYSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)csc2Cl)[C@@H]1C ZINC000994294093 659834818 /nfs/dbraw/zinc/83/48/18/659834818.db2.gz CRQLRDWMLIOHLA-NWDGAFQWSA-N 0 1 312.866 3.479 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3ccccc3c2)[C@@H]1C ZINC000994300796 659836127 /nfs/dbraw/zinc/83/61/27/659836127.db2.gz MPNHFXWOIZFKJO-HNAYVOBHSA-N 0 1 306.409 3.056 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCCC3)[C@@H]1C ZINC000994344728 659840862 /nfs/dbraw/zinc/84/08/62/659840862.db2.gz GFWUKCUMCHUIBI-JXFKEZNVSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCCC3)[C@H]1C ZINC000994347455 659840912 /nfs/dbraw/zinc/84/09/12/659840912.db2.gz JXTDFAUWBMDMOW-BEFAXECRSA-N 0 1 312.457 3.334 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCCC2)[C@H]1C ZINC000994360429 659842515 /nfs/dbraw/zinc/84/25/15/659842515.db2.gz AQUYPRQGFKPMTH-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccccc2C2CCC2)[C@@H]1C ZINC000994354175 659843913 /nfs/dbraw/zinc/84/39/13/659843913.db2.gz SXGXOODFWXBMEP-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)[C@H]1C ZINC000994367597 659845796 /nfs/dbraw/zinc/84/57/96/659845796.db2.gz UJOIGAOKDMGMJZ-IUODEOHRSA-N 0 1 322.836 3.117 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@@H]1C ZINC000994367988 659845897 /nfs/dbraw/zinc/84/58/97/659845897.db2.gz VAXZLVTXNUONQZ-GJZGRUSLSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@@H]1C ZINC000994367586 659846182 /nfs/dbraw/zinc/84/61/82/659846182.db2.gz UHXXVOODXQYNMA-UONOGXRCSA-N 0 1 306.475 3.388 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)cc(C)cc2C)[C@H]1C ZINC000994374224 659846733 /nfs/dbraw/zinc/84/67/33/659846733.db2.gz IGDAKGYTRAUCQS-MSOLQXFVSA-N 0 1 312.457 3.218 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(CC(C)C)cc2)[C@@H]1C ZINC000994374689 659846917 /nfs/dbraw/zinc/84/69/17/659846917.db2.gz LGSWIXSFQZZEEJ-LPHOPBHVSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)c3occc32)[C@H]1C ZINC000994460044 659857003 /nfs/dbraw/zinc/85/70/03/659857003.db2.gz IDLKTHRPWQRFKZ-WBMJQRKESA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3c(cccc3C)o2)[C@@H]1C ZINC000994471664 659858780 /nfs/dbraw/zinc/85/87/80/659858780.db2.gz MDXSQIAPIDSDPD-DOTOQJQBSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)[C@@H]1C ZINC000994481801 659859698 /nfs/dbraw/zinc/85/96/98/659859698.db2.gz CQYHKPUKYSGQKB-MAUKXSAKSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C(C)C)s2)[C@@H]1C ZINC000994490453 659860842 /nfs/dbraw/zinc/86/08/42/659860842.db2.gz VIYOVQYNVSYASZ-GJZGRUSLSA-N 0 1 318.486 3.478 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2C(C)C)[C@H]1C ZINC000994486970 659860945 /nfs/dbraw/zinc/86/09/45/659860945.db2.gz NPHOVTRGIHBAQK-GDBMZVCRSA-N 0 1 318.486 3.478 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(Cl)cccc2OC)[C@@H]1C ZINC000994504425 659864314 /nfs/dbraw/zinc/86/43/14/659864314.db2.gz WXDNERJBHLPBMA-GXTWGEPZSA-N 0 1 322.836 3.117 20 30 DGEDMN Cc1cnc(N2CCN(CCCCC3(C#N)CCC3)CC2)s1 ZINC000929989822 659874049 /nfs/dbraw/zinc/87/40/49/659874049.db2.gz ZWTMXMTVPLTNJL-UHFFFAOYSA-N 0 1 318.490 3.438 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001031421435 659875152 /nfs/dbraw/zinc/87/51/52/659875152.db2.gz QDPDUNCIQLYEJE-UHFFFAOYSA-N 0 1 316.489 3.215 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2[C@@H](C)c2ccccc2)cc1 ZINC001038581234 659879388 /nfs/dbraw/zinc/87/93/88/659879388.db2.gz HAHWJSAKABNYQW-OXJNMPFZSA-N 0 1 318.420 3.233 20 30 DGEDMN N#CCOc1ccc(C(=O)/C=C/c2cc(F)c(O)c(F)c2)cc1 ZINC000175320036 659918814 /nfs/dbraw/zinc/91/88/14/659918814.db2.gz ATZHKMYICDQMLB-LZCJLJQNSA-N 0 1 315.275 3.469 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2ccccc2[N+](=O)[O-])cc1 ZINC000237579164 659930564 /nfs/dbraw/zinc/93/05/64/659930564.db2.gz JZVRMWQUQWUNSK-CYBMUJFWSA-N 0 1 311.341 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C(C)C)cn2)C[C@H]1C ZINC000939142099 659986159 /nfs/dbraw/zinc/98/61/59/659986159.db2.gz NJNLAIGQNNODLX-MLGOLLRUSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C3CC3)s2)C[C@H]1C ZINC000939530420 660002798 /nfs/dbraw/zinc/00/27/98/660002798.db2.gz QWWPSVAOIBPWBM-ZWNOBZJWSA-N 0 1 324.877 3.428 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000940880828 660070532 /nfs/dbraw/zinc/07/05/32/660070532.db2.gz BYIAINKRIKWWHC-RTBURBONSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001031916578 660074485 /nfs/dbraw/zinc/07/44/85/660074485.db2.gz BBJQVJPMYSWULF-UHFFFAOYSA-N 0 1 318.804 3.324 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc3[nH]ccc3c2)C(C)(C)C1 ZINC000940993216 660078664 /nfs/dbraw/zinc/07/86/64/660078664.db2.gz IWYIMLHRFPLNCD-KRWDZBQOSA-N 0 1 311.429 3.184 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C)c(CC)o2)C(C)(C)C1 ZINC000941158926 660089396 /nfs/dbraw/zinc/08/93/96/660089396.db2.gz ZOCUTFQTTBFYON-QGZVFWFLSA-N 0 1 316.445 3.004 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C3CCC3)c2)C(C)(C)C1 ZINC000941163091 660089806 /nfs/dbraw/zinc/08/98/06/660089806.db2.gz GXRYJKWIMFAHSR-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000941173760 660091580 /nfs/dbraw/zinc/09/15/80/660091580.db2.gz BNBNFXPYELVJBQ-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000941173760 660091581 /nfs/dbraw/zinc/09/15/81/660091581.db2.gz BNBNFXPYELVJBQ-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2ccccc2Cl)C(C)(C)C1 ZINC000941177634 660092076 /nfs/dbraw/zinc/09/20/76/660092076.db2.gz MONSOAZMKSXCDW-MRXNPFEDSA-N 0 1 318.848 3.194 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000941181429 660092286 /nfs/dbraw/zinc/09/22/86/660092286.db2.gz SZJMRTCUSLVIDI-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000941181429 660092288 /nfs/dbraw/zinc/09/22/88/660092288.db2.gz SZJMRTCUSLVIDI-GOSISDBHSA-N 0 1 312.457 3.274 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C)c(C)s2)C(C)(C)C1 ZINC000941204940 660094758 /nfs/dbraw/zinc/09/47/58/660094758.db2.gz YVCHNHRWPIYUGR-MRXNPFEDSA-N 0 1 318.486 3.219 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@H](C)c2cccc(F)c2)C(C)(C)C1 ZINC000941229460 660096723 /nfs/dbraw/zinc/09/67/23/660096723.db2.gz AMGIFKCMYFFLOY-PBHICJAKSA-N 0 1 318.436 3.332 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941242609 660097142 /nfs/dbraw/zinc/09/71/42/660097142.db2.gz JMHILXZDTDDSEC-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C3CC3)c2)C(C)(C)C1 ZINC000941242484 660097290 /nfs/dbraw/zinc/09/72/90/660097290.db2.gz GZGYJSDMZJRPCW-SFHVURJKSA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000941243580 660097738 /nfs/dbraw/zinc/09/77/38/660097738.db2.gz AJWDFFOBSVWGFW-PXNSSMCTSA-N 0 1 316.489 3.363 20 30 DGEDMN C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@@H]1NC(=O)C1CCCC1 ZINC000941719057 660112579 /nfs/dbraw/zinc/11/25/79/660112579.db2.gz GJCHWFGZUBFSNG-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)C1CCCC1 ZINC000941719057 660112580 /nfs/dbraw/zinc/11/25/80/660112580.db2.gz GJCHWFGZUBFSNG-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Br)CN[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000183587010 660124644 /nfs/dbraw/zinc/12/46/44/660124644.db2.gz PAHJVYGTRWDSIL-LBPRGKRZSA-N 0 1 306.207 3.432 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@@H](C)C1 ZINC000942099145 660137102 /nfs/dbraw/zinc/13/71/02/660137102.db2.gz HCPXBOOOHPERPA-KBXCAEBGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2cccc3ccccc32)C1 ZINC001032058924 660174797 /nfs/dbraw/zinc/17/47/97/660174797.db2.gz YBUSYDWCVIEUIA-VQTJNVASSA-N 0 1 320.436 3.177 20 30 DGEDMN CCN(Cc1cnn(CCF)c1)[C@H](C)c1ccc(C#N)cc1 ZINC000929331829 661298005 /nfs/dbraw/zinc/29/80/05/661298005.db2.gz XHYKIQSTQOKYFI-CQSZACIVSA-N 0 1 300.381 3.307 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000929347242 661299544 /nfs/dbraw/zinc/29/95/44/661299544.db2.gz DTPNAULYGVHZQU-MRXNPFEDSA-N 0 1 315.461 3.288 20 30 DGEDMN C=CCN(CCOC1CCSCC1)Cc1cccc(C#N)c1 ZINC000929362948 661301082 /nfs/dbraw/zinc/30/10/82/661301082.db2.gz CLGQXUKMZIHXGR-UHFFFAOYSA-N 0 1 316.470 3.458 20 30 DGEDMN N#CC1CCN(C[C@@H]2Cc3ccccc3O[C@H]2C(F)(F)F)CC1 ZINC000929415909 661305067 /nfs/dbraw/zinc/30/50/67/661305067.db2.gz CSDHOZGJGDMYAA-GOEBONIOSA-N 0 1 324.346 3.404 20 30 DGEDMN COC(=O)CCC1CCN(Cc2cc(Cl)cc(C#N)c2)CC1 ZINC000929816310 661341072 /nfs/dbraw/zinc/34/10/72/661341072.db2.gz IEJQJQVEMWUYJM-UHFFFAOYSA-N 0 1 320.820 3.377 20 30 DGEDMN N#Cc1cccc(CNC(=O)c2ccc(CN3CCCCC3)o2)c1 ZINC000929941669 661352370 /nfs/dbraw/zinc/35/23/70/661352370.db2.gz NCQKITAJYAESOH-UHFFFAOYSA-N 0 1 323.396 3.067 20 30 DGEDMN N#Cc1cccc([C@@H]2CN(CC3CCC(C#N)CC3)CCO2)c1 ZINC000930136476 661369851 /nfs/dbraw/zinc/36/98/51/661369851.db2.gz VROKDIXWWVEAHH-RJYAGPCLSA-N 0 1 309.413 3.262 20 30 DGEDMN COc1cc([C@@H](C)NC[C@H](O)CC#N)ccc1OCCC(C)C ZINC000930208593 661378056 /nfs/dbraw/zinc/37/80/56/661378056.db2.gz UEEUSUFBVAYVEV-GDBMZVCRSA-N 0 1 320.433 3.045 20 30 DGEDMN CC[C@](C)(O)CN(Cc1ccccc1)Cc1cncc(C#N)c1 ZINC000930248851 661382393 /nfs/dbraw/zinc/38/23/93/661382393.db2.gz ROQUKDOLMVBELY-IBGZPJMESA-N 0 1 309.413 3.116 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN[C@@H](c1cccnc1)C(C)(C)CO ZINC000930578485 661412585 /nfs/dbraw/zinc/41/25/85/661412585.db2.gz VDQQRJALLJOYKJ-IBGZPJMESA-N 0 1 323.440 3.420 20 30 DGEDMN CC[C@@](O)(CN(C)[C@H](C)c1cccc(C#N)c1)C(F)(F)F ZINC000930764961 661428279 /nfs/dbraw/zinc/42/82/79/661428279.db2.gz RFIURPJITZKFRL-BXUZGUMPSA-N 0 1 300.324 3.254 20 30 DGEDMN CC(C)(C)OC(=O)C[N@@H+](CC1CC1)CC1CCC(C#N)CC1 ZINC000930854604 661434628 /nfs/dbraw/zinc/43/46/28/661434628.db2.gz VGKFEWIJAMUXAB-UHFFFAOYSA-N 0 1 306.450 3.370 20 30 DGEDMN C[C@@](O)(CN1CCC[C@]2(CC2(F)F)C1)C(F)(F)C(F)(F)F ZINC000930864281 661435868 /nfs/dbraw/zinc/43/58/68/661435868.db2.gz UWLMBMNKGIEXDR-BDAKNGLRSA-N 0 1 323.252 3.056 20 30 DGEDMN FC(F)(F)c1ccc(C#CCN2CCC[C@H]2c2nnc[nH]2)cc1 ZINC000931135023 661455796 /nfs/dbraw/zinc/45/57/96/661455796.db2.gz SFVQNFKHKDOANE-AWEZNQCLSA-N 0 1 320.318 3.012 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CC[C@H](O)[C@H]3CCCC[C@H]32)c1 ZINC000931503817 661483307 /nfs/dbraw/zinc/48/33/07/661483307.db2.gz CDIGPKVMWJBJSX-BBWFWOEESA-N 0 1 304.821 3.337 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CC[C@H](O)[C@H]3CCCC[C@@H]32)c1 ZINC000931503825 661483401 /nfs/dbraw/zinc/48/34/01/661483401.db2.gz CDIGPKVMWJBJSX-ULQDDVLXSA-N 0 1 304.821 3.337 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CC[C@H](O)[C@@H]3CCCC[C@@H]32)c1 ZINC000931503819 661483439 /nfs/dbraw/zinc/48/34/39/661483439.db2.gz CDIGPKVMWJBJSX-IKGGRYGDSA-N 0 1 304.821 3.337 20 30 DGEDMN N#CC1CCC(CN2Cc3cccnc3N3CCC[C@@H]3C2)CC1 ZINC000931534102 661485848 /nfs/dbraw/zinc/48/58/48/661485848.db2.gz BIXGIVJTRQVRJZ-LEOMRAHMSA-N 0 1 310.445 3.196 20 30 DGEDMN Cc1nc([C@H]2CC[N@@H+](Cc3ccccc3C(C)(C)C#N)C2)no1 ZINC000931943619 661524853 /nfs/dbraw/zinc/52/48/53/661524853.db2.gz FABGJPYLSCGCHZ-HNNXBMFYSA-N 0 1 310.401 3.169 20 30 DGEDMN C[C@@H](N[C@H](c1ccccn1)C1CC1)C(=O)Nc1ccccc1C#N ZINC000932381246 661564419 /nfs/dbraw/zinc/56/44/19/661564419.db2.gz PSDKCCNZMKRYPQ-ACJLOTCBSA-N 0 1 320.396 3.021 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000932705377 661593201 /nfs/dbraw/zinc/59/32/01/661593201.db2.gz ZVKAKRYSTOMMAH-AAEUAGOBSA-N 0 1 302.846 3.222 20 30 DGEDMN C#CCC1(NCc2coc(-c3ccc(C(=O)OC)cc3)n2)CCC1 ZINC000933297155 661628149 /nfs/dbraw/zinc/62/81/49/661628149.db2.gz YMEJBWLXQGFSBH-UHFFFAOYSA-N 0 1 324.380 3.164 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)[C@@H]1CCCN1C(C)C ZINC000933461199 661635027 /nfs/dbraw/zinc/63/50/27/661635027.db2.gz FNSPTGAAUYRWSL-KRWDZBQOSA-N 0 1 314.433 3.191 20 30 DGEDMN N#Cc1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)cc1F ZINC000933999703 661672315 /nfs/dbraw/zinc/67/23/15/661672315.db2.gz VMWMNKRMXILSRL-UHFFFAOYSA-N 0 1 324.355 3.247 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)N[C@@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000935120232 661761708 /nfs/dbraw/zinc/76/17/08/661761708.db2.gz VMVIIVIDGPRGNX-RTBURBONSA-N 0 1 319.408 3.042 20 30 DGEDMN C[C@]1(C#N)CCCN(C(=O)c2cccc3[nH]c(C(F)F)nc32)C1 ZINC000935350045 661779384 /nfs/dbraw/zinc/77/93/84/661779384.db2.gz GHVOCHSEQPEAIR-MRXNPFEDSA-N 0 1 318.327 3.266 20 30 DGEDMN N#CC(C(=O)[C@H]1COc2ccc(Cl)cc2C1)c1ccccn1 ZINC000041492669 661834609 /nfs/dbraw/zinc/83/46/09/661834609.db2.gz INALRKNIEIRFSK-OCCSQVGLSA-N 0 1 312.756 3.163 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2c(Cl)nc3ccccn32)n1 ZINC000048786862 661895866 /nfs/dbraw/zinc/89/58/66/661895866.db2.gz LEPSBMXUVLMDMZ-SECBINFHSA-N 0 1 316.773 3.243 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2cccnc2C)CC1 ZINC000948729867 661993636 /nfs/dbraw/zinc/99/36/36/661993636.db2.gz PTCROSUKPCZMDW-OAHLLOKOSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(Cc3ccccc3)CC2)CC1 ZINC000948812779 662000133 /nfs/dbraw/zinc/00/01/33/662000133.db2.gz RRQDMKHGDWNASQ-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@]12C[C@H]1CCC2 ZINC000948940161 662008267 /nfs/dbraw/zinc/00/82/67/662008267.db2.gz FESDETGFQMDLCL-YFVAEKQCSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1CC2(CC2)C1 ZINC000948958503 662009614 /nfs/dbraw/zinc/00/96/14/662009614.db2.gz NUAILAKXFJHQNG-IBGZPJMESA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc([C@H]3C[C@H]3C)o2)CC1 ZINC000949190828 662012524 /nfs/dbraw/zinc/01/25/24/662012524.db2.gz ZOZKSHDOLLPAII-WBVHZDCISA-N 0 1 316.445 3.056 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cccc(C)c2Cl)CC1 ZINC000949262847 662013092 /nfs/dbraw/zinc/01/30/92/662013092.db2.gz GHRQPFVWLSNPOF-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCC3CCCC3)CC2)cc1 ZINC000949597325 662020973 /nfs/dbraw/zinc/02/09/73/662020973.db2.gz UZPFTWFNSYEMED-UHFFFAOYSA-N 0 1 310.441 3.006 20 30 DGEDMN N#CC(C(=O)CCCc1ccccc1)c1nnc2n1CCCCC2 ZINC000077479494 662028754 /nfs/dbraw/zinc/02/87/54/662028754.db2.gz IOVWHVFNZUQUFN-INIZCTEOSA-N 0 1 322.412 3.204 20 30 DGEDMN C=CCc1cc(CN2CCO[C@@](C)(CC)C2)c(O)c(OC)c1 ZINC000190350341 662029088 /nfs/dbraw/zinc/02/90/88/662029088.db2.gz UBRKTERUDNMSOU-SFHVURJKSA-N 0 1 305.418 3.130 20 30 DGEDMN C#CCCCC(=O)N1CCN(Cc2ccccc2)[C@@H](CCC)C1 ZINC000192169033 662089737 /nfs/dbraw/zinc/08/97/37/662089737.db2.gz DMPCRWOICIMZQP-IBGZPJMESA-N 0 1 312.457 3.303 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2CC(c3ccccc3)C2)CC1 ZINC001006465292 662095806 /nfs/dbraw/zinc/09/58/06/662095806.db2.gz KUYMKCITKJZZMM-UHFFFAOYSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CCC(N(CC2CC2)C(=O)OC(C)(C)C)CC1 ZINC000079498451 662111786 /nfs/dbraw/zinc/11/17/86/662111786.db2.gz NXUJVHSLTXNVTO-UHFFFAOYSA-N 0 1 306.450 3.121 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)O[C@@H](CC)C2)c(O)c(OC)c1 ZINC000246839340 662285825 /nfs/dbraw/zinc/28/58/25/662285825.db2.gz QJJHTWRQVDDBLV-CJNGLKHVSA-N 0 1 305.418 3.129 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCCN1Cc1ccc(F)cc1)c1ccccn1 ZINC000091811467 662318648 /nfs/dbraw/zinc/31/86/48/662318648.db2.gz CRIUYLLJSJINOE-FUHWJXTLSA-N 0 1 323.371 3.062 20 30 DGEDMN CC(C)C[C@H]1COCCN1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000093340114 662353381 /nfs/dbraw/zinc/35/33/81/662353381.db2.gz MZHHZNGPCVDGCF-RDJZCZTQSA-N 0 1 302.418 3.224 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(CC(C)C)s2)C1 ZINC001046384263 685399623 /nfs/dbraw/zinc/39/96/23/685399623.db2.gz BSGZIEZEPDCRAK-KRWDZBQOSA-N 0 1 306.475 3.327 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cscc2C(F)F)CC[C@H]1C ZINC001071510495 686671825 /nfs/dbraw/zinc/67/18/25/686671825.db2.gz CPLGHHCQYVVMCG-GHMZBOCLSA-N 0 1 314.401 3.454 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc3c2CCCC3)CC[C@H]1C ZINC001071530779 686681348 /nfs/dbraw/zinc/68/13/48/686681348.db2.gz AABWSIVAAXWOOU-AEFFLSMTSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C3CCC3)cc2)CC[C@H]1C ZINC001071548628 686686992 /nfs/dbraw/zinc/68/69/92/686686992.db2.gz IQLFTBJQGLYCJH-DNVCBOLYSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nccc3ccsc32)CC[C@H]1C ZINC001071579338 686693946 /nfs/dbraw/zinc/69/39/46/686693946.db2.gz CGQNZNMSGABUSO-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c3ccccc3n2)CC[C@@H]1C ZINC001071612222 686701751 /nfs/dbraw/zinc/70/17/51/686701751.db2.gz DOVRIXVVMSVNJC-JKSUJKDBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@@H]1C ZINC001071663376 686717403 /nfs/dbraw/zinc/71/74/03/686717403.db2.gz MIPYGSVYHCNEPJ-FUHWJXTLSA-N 0 1 312.457 3.263 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@H]1C ZINC001071663836 686717503 /nfs/dbraw/zinc/71/75/03/686717503.db2.gz SOQFJYDSNZOWOI-IEBWSBKVSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nc3ccccc3cc2C)CC[C@@H]1C ZINC001071694935 686724489 /nfs/dbraw/zinc/72/44/89/686724489.db2.gz LBDVIOJQWYVGOA-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)CC[C@H]1C ZINC001071701395 686726978 /nfs/dbraw/zinc/72/69/78/686726978.db2.gz IEZVGPNKEYNFLL-AEFFLSMTSA-N 0 1 324.468 3.478 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)CC[C@H]1C ZINC001071725075 686734697 /nfs/dbraw/zinc/73/46/97/686734697.db2.gz QADLNUHOXBZIOA-CABCVRRESA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccn(C(C)C)c2C)CC[C@H]1C ZINC001071761735 686743121 /nfs/dbraw/zinc/74/31/21/686743121.db2.gz BVNDWJOJOJVCKK-GDBMZVCRSA-N 0 1 303.450 3.146 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2C)CC[C@@H]1C ZINC001071789601 686754890 /nfs/dbraw/zinc/75/48/90/686754890.db2.gz WFHXQJHEJABKEL-HOCLYGCPSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3occc3s2)CC[C@H]1C ZINC001071821788 686760944 /nfs/dbraw/zinc/76/09/44/686760944.db2.gz SKMHMMOXKMHCLT-OLZOCXBDSA-N 0 1 316.426 3.100 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3occc3s2)CC[C@@H]1C ZINC001071821790 686761510 /nfs/dbraw/zinc/76/15/10/686761510.db2.gz SKMHMMOXKMHCLT-STQMWFEESA-N 0 1 316.426 3.100 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(F)c2Cl)CC[C@@H]1C ZINC001071839508 686767841 /nfs/dbraw/zinc/76/78/41/686767841.db2.gz FQBPIQQWBLVMTC-STQMWFEESA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)ccc2Cl)CC[C@H]1C ZINC001071845254 686770147 /nfs/dbraw/zinc/77/01/47/686770147.db2.gz ZDRQRSAGYGWALJ-YPMHNXCESA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@H]1C ZINC001071846994 686770870 /nfs/dbraw/zinc/77/08/70/686770870.db2.gz HREAHGIKYJYTRO-YPMHNXCESA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3cccc(F)c3)CC2)CC[C@H]1C ZINC001071854716 686771869 /nfs/dbraw/zinc/77/18/69/686771869.db2.gz FCOMXIWULNXDFR-RHSMWYFYSA-N 0 1 316.420 3.012 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@@H]1C ZINC001071880047 686779341 /nfs/dbraw/zinc/77/93/41/686779341.db2.gz OIPJWUCQBYOIPB-HMFCVHIJSA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sc(CC)nc2C)CC[C@@H]1C ZINC001071890228 686783687 /nfs/dbraw/zinc/78/36/87/686783687.db2.gz IOSXNSDDOCDCBY-JSGCOSHPSA-N 0 1 321.490 3.173 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(Cl)ccc2C)CC[C@H]1C ZINC001071901057 686786564 /nfs/dbraw/zinc/78/65/64/686786564.db2.gz NADRCUYMHOEBSW-GDBMZVCRSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccccc2OC(C)C)CC[C@H]1C ZINC001072008173 686813417 /nfs/dbraw/zinc/81/34/17/686813417.db2.gz BHOODUWNGJOSEU-HZPDHXFCSA-N 0 1 316.445 3.243 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2coc3ccc(F)cc23)CC[C@@H]1C ZINC001072027259 686830924 /nfs/dbraw/zinc/83/09/24/686830924.db2.gz WGICJARKMKXARR-GXTWGEPZSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(Cl)ccc2OC)CC[C@@H]1C ZINC001072046220 686841689 /nfs/dbraw/zinc/84/16/89/686841689.db2.gz WHLXUMRFCXRXEC-GXTWGEPZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)nc3ccccc32)CC[C@@H]1C ZINC001072049409 686844521 /nfs/dbraw/zinc/84/45/21/686844521.db2.gz PFRHRQWXTFPRGD-HOTGVXAUSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)nc3ccccc32)CC[C@H]1C ZINC001072049402 686844981 /nfs/dbraw/zinc/84/49/81/686844981.db2.gz PFRHRQWXTFPRGD-CVEARBPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(CC)s2)CC[C@@H]1C ZINC001072054421 686847965 /nfs/dbraw/zinc/84/79/65/686847965.db2.gz CSQIRLBUIKWREL-UONOGXRCSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)c3occc32)CC[C@H]1C ZINC001072088736 686859397 /nfs/dbraw/zinc/85/93/97/686859397.db2.gz PCGUVYAMLMUSPJ-OLZOCXBDSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@H]1C ZINC001072088918 686859991 /nfs/dbraw/zinc/85/99/91/686859991.db2.gz SCYMHSPEDLVBBP-ZBFHGGJFSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)CC[C@@H]1C ZINC001072098613 686863836 /nfs/dbraw/zinc/86/38/36/686863836.db2.gz CWJWZWOBYNWBQZ-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@H]1C ZINC001072104666 686867360 /nfs/dbraw/zinc/86/73/60/686867360.db2.gz CPODEHHMDCWRLC-SJORKVTESA-N 0 1 324.468 3.090 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@@H]1C ZINC001072109271 686870175 /nfs/dbraw/zinc/87/01/75/686870175.db2.gz DRIASYCMYRTEBF-GKVPXEHWSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC(c4ccccc4)(C2)C3)CC[C@@H]1C ZINC001072109229 686870359 /nfs/dbraw/zinc/87/03/59/686870359.db2.gz DCQGVKKKOUJTLD-QVKFIVLNSA-N 0 1 324.468 3.263 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@H]1C ZINC001072109272 686871003 /nfs/dbraw/zinc/87/10/03/686871003.db2.gz DRIASYCMYRTEBF-OMGLFLRBSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)C3CCC(C(C)(C)C)CC3)C2)C1 ZINC001073400215 687187610 /nfs/dbraw/zinc/18/76/10/687187610.db2.gz RMHDQOBHJYIGPN-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(C)=C(C)C2)[C@H]1C ZINC001074666191 687289752 /nfs/dbraw/zinc/28/97/52/687289752.db2.gz QWSOPZQMKUZKAD-XHSDSOJGSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccccc2)[C@H]1C ZINC001075047240 687328554 /nfs/dbraw/zinc/32/85/54/687328554.db2.gz PWOKTTVOAZPIRE-DZKIICNBSA-N 0 1 306.837 3.122 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(CCC)s3)[C@@H]2C1 ZINC001075837356 687448263 /nfs/dbraw/zinc/44/82/63/687448263.db2.gz DNSNUMCLQGFCGS-DZGCQCFKSA-N 0 1 304.459 3.033 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc4cc(C)ccc4o3)[C@@H]2C1 ZINC001076605475 687542149 /nfs/dbraw/zinc/54/21/49/687542149.db2.gz BTNNODQHHYJNOD-DOTOQJQBSA-N 0 1 324.424 3.464 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(Cl)c2Cl)C1 ZINC001080839008 688017534 /nfs/dbraw/zinc/01/75/34/688017534.db2.gz RGJVEKXSZUXJMB-ZWNOBZJWSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC2CC2)C[C@H]1c1ccccc1 ZINC001081078555 688048820 /nfs/dbraw/zinc/04/88/20/688048820.db2.gz RUOSCRAYXBZULO-DLBZAZTESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](F)CC)C[C@H]1c1ccccc1 ZINC001081162551 688057351 /nfs/dbraw/zinc/05/73/51/688057351.db2.gz QDTFWAGRBCCPMU-HRCADAONSA-N 0 1 324.827 3.071 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H]1COC2(CCCCC2)O1 ZINC001660372672 1196476222 /nfs/dbraw/zinc/47/62/22/1196476222.db2.gz DHLIYFAXAYEHIT-AWEZNQCLSA-N 0 1 306.431 3.127 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)[C@H](N)Cc2cc3ccccc3o2)c1 ZINC001579553955 1191979681 /nfs/dbraw/zinc/97/96/81/1191979681.db2.gz FQAYNPAJOXDCQD-QGZVFWFLSA-N 0 1 319.364 3.121 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](N(C)C/C=C/Cl)C2)CCC1 ZINC001662862503 1196763515 /nfs/dbraw/zinc/76/35/15/1196763515.db2.gz NZUPEYWWFAWIKB-VQCVXAJWSA-N 0 1 310.869 3.408 20 30 DGEDMN CSCCc1ccccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001586899260 1192357069 /nfs/dbraw/zinc/35/70/69/1192357069.db2.gz YEMMFEUBDXPVRC-UHFFFAOYSA-N 0 1 312.394 3.422 20 30 DGEDMN Cc1cc(C)cc(OCCC(=O)NOc2cccc(C#N)c2)c1 ZINC001587205510 1192379719 /nfs/dbraw/zinc/37/97/19/1192379719.db2.gz WUOPLZYELRMTIL-UHFFFAOYSA-N 0 1 310.353 3.054 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc(C(F)(F)F)cc2)c1 ZINC001587205151 1192380059 /nfs/dbraw/zinc/38/00/59/1192380059.db2.gz KSUFLUDIMVGRHQ-UHFFFAOYSA-N 0 1 320.270 3.230 20 30 DGEDMN N#CCc1ccc(NC(=O)c2ccc(CN3CCCC3)o2)cc1 ZINC001587321515 1192392155 /nfs/dbraw/zinc/39/21/55/1192392155.db2.gz XCIANXJAZQDWTA-UHFFFAOYSA-N 0 1 309.369 3.194 20 30 DGEDMN CSc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(C)o1 ZINC001587347521 1192394429 /nfs/dbraw/zinc/39/44/29/1192394429.db2.gz OWKVJSSMJFGMIN-UHFFFAOYSA-N 0 1 312.354 3.310 20 30 DGEDMN CC(C)(C)c1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)nc1 ZINC001587347738 1192394543 /nfs/dbraw/zinc/39/45/43/1192394543.db2.gz YTIYQVCSINHBPM-UHFFFAOYSA-N 0 1 319.368 3.379 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC(C)(C)C[C@@H]2C)o1 ZINC001590191482 1192493195 /nfs/dbraw/zinc/49/31/95/1192493195.db2.gz JERZIIBMJPUIEC-VIFPVBQESA-N 0 1 317.397 3.202 20 30 DGEDMN N#CC1(CCCCN2Cc3cccc(-c4nn[nH]n4)c3C2)CCC1 ZINC001592668907 1192625852 /nfs/dbraw/zinc/62/58/52/1192625852.db2.gz REQNTVINWASKCA-UHFFFAOYSA-N 0 1 322.416 3.046 20 30 DGEDMN CCCN1CCCC[C@H]1C(=O)Nc1cc(C#N)c(F)cc1C ZINC001594629844 1192646434 /nfs/dbraw/zinc/64/64/34/1192646434.db2.gz LZAGVCDTPFDOGK-INIZCTEOSA-N 0 1 303.381 3.209 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3scnc3C(F)F)[nH]c2c1 ZINC001595740205 1192668397 /nfs/dbraw/zinc/66/83/97/1192668397.db2.gz OMOKKXSXRLLBEL-UHFFFAOYSA-N 0 1 319.296 3.081 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001690970758 1176051328 /nfs/dbraw/zinc/05/13/28/1176051328.db2.gz KCNJUMGXIOVQDV-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1ccsc1)C1CC1 ZINC001670517426 1176158740 /nfs/dbraw/zinc/15/87/40/1176158740.db2.gz OGOLIVDWPLQIOL-FZMZJTMJSA-N 0 1 312.866 3.089 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1cc(C)on1 ZINC001691987849 1176165196 /nfs/dbraw/zinc/16/51/96/1176165196.db2.gz RXAIGBMNEANJEV-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1sccc1CC)C1CC1 ZINC001670557145 1176172543 /nfs/dbraw/zinc/17/25/43/1176172543.db2.gz RSTYGWQXOXXDKF-CYBMUJFWSA-N 0 1 312.866 3.161 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CC[N@H+]1Cc1ccc(CF)cc1 ZINC001670646643 1176201126 /nfs/dbraw/zinc/20/11/26/1176201126.db2.gz YGJQWUFUWZCUOF-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001670646643 1176201132 /nfs/dbraw/zinc/20/11/32/1176201132.db2.gz YGJQWUFUWZCUOF-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCc1ccc(Cl)cc1 ZINC001670660869 1176205527 /nfs/dbraw/zinc/20/55/27/1176205527.db2.gz CLJJCWAHUCOCEL-KRWDZBQOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccc(Cl)cc1 ZINC001670671464 1176210562 /nfs/dbraw/zinc/21/05/62/1176210562.db2.gz BCGBQNDRXCCESE-INIZCTEOSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccccc1CC ZINC001670682924 1176216072 /nfs/dbraw/zinc/21/60/72/1176216072.db2.gz XQKFRUGDHKJGIJ-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN CCN(CC#N)C(=O)c1cc(-c2ccc3ccccc3c2)[nH]n1 ZINC001597334240 1192728427 /nfs/dbraw/zinc/72/84/27/1192728427.db2.gz NHISHVUVJVJLGC-UHFFFAOYSA-N 0 1 304.353 3.216 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001691056023 1176281289 /nfs/dbraw/zinc/28/12/89/1176281289.db2.gz UCLGOBODQJWPJQ-IRXDYDNUSA-N 0 1 315.461 3.074 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](CC)c1ccccc1 ZINC001691068710 1176292127 /nfs/dbraw/zinc/29/21/27/1176292127.db2.gz PRPGSCIEZVMNGS-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001691075233 1176297180 /nfs/dbraw/zinc/29/71/80/1176297180.db2.gz QJFCNWGXHLMLSF-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001691075233 1176297184 /nfs/dbraw/zinc/29/71/84/1176297184.db2.gz QJFCNWGXHLMLSF-PKOBYXMFSA-N 0 1 314.473 3.282 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001691099704 1176311419 /nfs/dbraw/zinc/31/14/19/1176311419.db2.gz FRCWBIKASIHBKZ-RBUKOAKNSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCCC2CC2)C1 ZINC001691197319 1176376849 /nfs/dbraw/zinc/37/68/49/1176376849.db2.gz ALVXDESRHOYZHK-RBUKOAKNSA-N 0 1 312.457 3.337 20 30 DGEDMN C#CC[N@H+](CCNC(=O)[C@H](CC)C1CCC(C)CC1)C1CC1 ZINC001691212992 1176395201 /nfs/dbraw/zinc/39/52/01/1176395201.db2.gz GQWNTGQWZPXNEZ-LEOMRAHMSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(CCNC(=O)[C@H](CC)C1CCC(C)CC1)C1CC1 ZINC001691212992 1176395210 /nfs/dbraw/zinc/39/52/10/1176395210.db2.gz GQWNTGQWZPXNEZ-LEOMRAHMSA-N 0 1 304.478 3.053 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001691273424 1176420041 /nfs/dbraw/zinc/42/00/41/1176420041.db2.gz MXHIJUVBBCLDKE-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001691331094 1176442042 /nfs/dbraw/zinc/44/20/42/1176442042.db2.gz QZIGCDCRAKOFTD-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001691415904 1176475532 /nfs/dbraw/zinc/47/55/32/1176475532.db2.gz ABVVMESAWFZVDU-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001691415904 1176475536 /nfs/dbraw/zinc/47/55/36/1176475536.db2.gz ABVVMESAWFZVDU-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1ccccc1C ZINC001691416362 1176476015 /nfs/dbraw/zinc/47/60/15/1176476015.db2.gz ILABGFOJKNFMNR-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCc1ccc(C)s1 ZINC001691420155 1176477976 /nfs/dbraw/zinc/47/79/76/1176477976.db2.gz RRGMGROORPKTQT-OAHLLOKOSA-N 0 1 306.475 3.098 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccoc1CCC ZINC001671369553 1176548065 /nfs/dbraw/zinc/54/80/65/1176548065.db2.gz YBPVNATVHAVSRK-ZDUSSCGKSA-N 0 1 310.825 3.179 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001754567152 1176608840 /nfs/dbraw/zinc/60/88/40/1176608840.db2.gz HAYVOJKBCWUUSX-HFTQHKIXSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@@H](NCc2ncc(C)s2)C1 ZINC001691458277 1176624361 /nfs/dbraw/zinc/62/43/61/1176624361.db2.gz PGDJBQFEBCLFRN-SOUVJXGZSA-N 0 1 321.490 3.038 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H]1CC ZINC001691468323 1176629824 /nfs/dbraw/zinc/62/98/24/1176629824.db2.gz BBLIMRHBJZFMPM-HDFNPJSBSA-N 0 1 317.458 3.055 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NCc1nc(C)c(C)s1 ZINC001665855938 1197207152 /nfs/dbraw/zinc/20/71/52/1197207152.db2.gz FYCBRSNOXMPGEJ-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN N#Cc1ccc(Oc2ccc(NC(=O)Cc3cnc[nH]3)cc2)cc1 ZINC001598034259 1192759130 /nfs/dbraw/zinc/75/91/30/1192759130.db2.gz DXVPXEXKWIPSOG-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN N#CCSc1ccccc1C(=O)Nc1ccc2cncn2c1 ZINC001598076241 1192760984 /nfs/dbraw/zinc/76/09/84/1192760984.db2.gz QDGKXKHLFSIBOD-UHFFFAOYSA-N 0 1 308.366 3.202 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccccc2C)CC1 ZINC001752431516 1176782361 /nfs/dbraw/zinc/78/23/61/1176782361.db2.gz JLHRLUMKICFVCR-UHFFFAOYSA-N 0 1 312.457 3.335 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2C(C)(C)C2(C)C)C1 ZINC001732069864 1176818237 /nfs/dbraw/zinc/81/82/37/1176818237.db2.gz ROHBAUKTSRZNFE-CYBMUJFWSA-N 0 1 312.885 3.201 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C(C)(C)c1ccccc1)C1CC1 ZINC001752494028 1176858479 /nfs/dbraw/zinc/85/84/79/1176858479.db2.gz MMCUYGCTMDDRKV-INIZCTEOSA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2c(o1)CCCC2 ZINC001755237595 1176863302 /nfs/dbraw/zinc/86/33/02/1176863302.db2.gz NUDGYFWPINDKFA-VXGBXAGGSA-N 0 1 310.825 3.007 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CN(C)Cc2cc(C)no2)C1 ZINC001752508276 1176874039 /nfs/dbraw/zinc/87/40/39/1176874039.db2.gz PPJKKLOUMJDJPN-MRXNPFEDSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@H](C)C(CC)CC ZINC001752882404 1176995056 /nfs/dbraw/zinc/99/50/56/1176995056.db2.gz UMLFNJKJUZBJKW-NWDGAFQWSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@H](C)C(CC)CC ZINC001752882406 1176995389 /nfs/dbraw/zinc/99/53/89/1176995389.db2.gz UMLFNJKJUZBJKW-VXGBXAGGSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@](C)(F)CCCC)CC1(C)C ZINC001700920557 1177018298 /nfs/dbraw/zinc/01/82/98/1177018298.db2.gz BXZRLMHOTDNONF-XJKSGUPXSA-N 0 1 318.864 3.484 20 30 DGEDMN CC(C)CC(C)(C)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001691545604 1177305174 /nfs/dbraw/zinc/30/51/74/1177305174.db2.gz HPOUFKQXEYFJEX-UHFFFAOYSA-N 0 1 314.473 3.158 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001670880889 1177454644 /nfs/dbraw/zinc/45/46/44/1177454644.db2.gz UKFICTNSOMGZJG-QWHCGFSZSA-N 0 1 319.474 3.040 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](CC)C(C)(C)C)CC1 ZINC001733263614 1177466529 /nfs/dbraw/zinc/46/65/29/1177466529.db2.gz ZYJXMHQEXMJIAT-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(C)(C)CC(F)F)C[C@H]1C ZINC001673893586 1177571327 /nfs/dbraw/zinc/57/13/27/1177571327.db2.gz XZBKHJUXQGMEEV-PWSUYJOCSA-N 0 1 322.827 3.247 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1F ZINC001691666539 1177619806 /nfs/dbraw/zinc/61/98/06/1177619806.db2.gz ZDXBAPKICWBBMV-CVEARBPZSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001691682252 1177683957 /nfs/dbraw/zinc/68/39/57/1177683957.db2.gz JFXOXRJVYJLUDS-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001691682252 1177683966 /nfs/dbraw/zinc/68/39/66/1177683966.db2.gz JFXOXRJVYJLUDS-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001691867345 1177785174 /nfs/dbraw/zinc/78/51/74/1177785174.db2.gz RXOZYZZWXFMKGZ-WZOJCFFYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1coc(C)n1 ZINC001691718017 1177882878 /nfs/dbraw/zinc/88/28/78/1177882878.db2.gz PYQHXYMZVLNXGQ-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2c(C)nsc2C)[C@@H]1C ZINC001691720379 1177886058 /nfs/dbraw/zinc/88/60/58/1177886058.db2.gz YRWMEEWYDCYITH-CJNGLKHVSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(Cc1nc(C)cs1)C(C)C ZINC001691743994 1177993577 /nfs/dbraw/zinc/99/35/77/1177993577.db2.gz GPYGAKJEZPRADQ-UHFFFAOYSA-N 0 1 323.506 3.380 20 30 DGEDMN C[C@H]1C(N=Nc2ccc(C#N)cn2)CCCN1Cc1ccccc1 ZINC001330911030 1178090611 /nfs/dbraw/zinc/09/06/11/1178090611.db2.gz OSVXCMHCSJGLFD-HNNXBMFYSA-N 0 1 319.412 3.406 20 30 DGEDMN C#CCN1CCC[C@H](Nc2nc(C(F)F)nc3ccccc32)C1 ZINC001331178969 1178150216 /nfs/dbraw/zinc/15/02/16/1178150216.db2.gz XPODJBUGNQFDGQ-LBPRGKRZSA-N 0 1 316.355 3.077 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1occc1Cl ZINC001331327376 1178185412 /nfs/dbraw/zinc/18/54/12/1178185412.db2.gz ZGMKTJNJHVZBIA-LBPRGKRZSA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cccc(C)c1C ZINC001331355265 1178193286 /nfs/dbraw/zinc/19/32/86/1178193286.db2.gz NDOXBABMKQAUGA-QGZVFWFLSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccn(C(C)C)c1C ZINC001331530096 1178236104 /nfs/dbraw/zinc/23/61/04/1178236104.db2.gz NEGUVMZPSIBEDJ-CYBMUJFWSA-N 0 1 311.857 3.180 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H](C)NCc2cccc(F)c2F)C1 ZINC001331544976 1178241668 /nfs/dbraw/zinc/24/16/68/1178241668.db2.gz CEBRSKKBIMHNOY-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001332200486 1178390673 /nfs/dbraw/zinc/39/06/73/1178390673.db2.gz UDLGNFRMPPKZNE-DOTOQJQBSA-N 0 1 318.486 3.411 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC/C=C\c1ccccc1)C(C)C ZINC001332731686 1178484566 /nfs/dbraw/zinc/48/45/66/1178484566.db2.gz DIESAPCDTXRFFB-LCYFTJDESA-N 0 1 312.457 3.282 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc2cnccc2c1)C(C)C ZINC001332734695 1178486118 /nfs/dbraw/zinc/48/61/18/1178486118.db2.gz DWSOLHWUHDYDPT-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001332940012 1178524791 /nfs/dbraw/zinc/52/47/91/1178524791.db2.gz JNAIZUNVURRVES-GOSISDBHSA-N 0 1 324.468 3.221 20 30 DGEDMN N#CC1(C(=O)[O-])CCN(c2cc[nH+]c3cc(Cl)ccc32)CC1 ZINC001601053214 1192914484 /nfs/dbraw/zinc/91/44/84/1192914484.db2.gz CEYNKUWIHIIUBI-UHFFFAOYSA-N 0 1 315.760 3.083 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN[C@H]2C[C@@]2(F)c2ccccc2)c1 ZINC001335413195 1178908020 /nfs/dbraw/zinc/90/80/20/1178908020.db2.gz UIZWTBRFZNHSRC-PKOBYXMFSA-N 0 1 323.371 3.114 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)c(F)c1 ZINC001601100194 1192918466 /nfs/dbraw/zinc/91/84/66/1192918466.db2.gz HZPGYHRHONFRFE-ZOBUZTSGSA-N 0 1 316.376 3.163 20 30 DGEDMN N#Cc1ccc(C[NH2+]Cc2cc(C(=O)[O-])ccc2Cl)c(F)c1 ZINC001601101922 1192918574 /nfs/dbraw/zinc/91/85/74/1192918574.db2.gz QPJZOLGGFHIRGI-UHFFFAOYSA-N 0 1 318.735 3.339 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCCCCCCC1 ZINC001342070816 1179098975 /nfs/dbraw/zinc/09/89/75/1179098975.db2.gz HTQVWNOSVIJLKB-UHFFFAOYSA-N 0 1 314.437 3.323 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC=CCCC1)c1nnc2n1CCCCC2 ZINC001342259769 1179123303 /nfs/dbraw/zinc/12/33/03/1179123303.db2.gz QIBNMXFMTUOCGV-CABCVRRESA-N 0 1 312.417 3.317 20 30 DGEDMN Cc1c(C(=O)OCc2cc(F)ccc2C#N)ccc2cncn21 ZINC001342479754 1179144890 /nfs/dbraw/zinc/14/48/90/1179144890.db2.gz BJAMERPEWJKDEW-UHFFFAOYSA-N 0 1 309.300 3.011 20 30 DGEDMN N#CC(C(=O)c1ccc(C(F)(F)F)cn1)c1cccc(F)n1 ZINC001342561546 1179153543 /nfs/dbraw/zinc/15/35/43/1179153543.db2.gz KADPHJDRFUBZLA-SECBINFHSA-N 0 1 309.222 3.125 20 30 DGEDMN N#C[C@H](C(=O)C[C@H]1C=CCCC1)c1nc(N)c2ccccc2n1 ZINC001342595213 1179158041 /nfs/dbraw/zinc/15/80/41/1179158041.db2.gz IRSBDKNILLIBJP-GXTWGEPZSA-N 0 1 306.369 3.135 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1CCCN1Cc1ccccc1)c1cccc(F)n1 ZINC001343330685 1179256553 /nfs/dbraw/zinc/25/65/53/1179256553.db2.gz JFERZTYPRQNGGI-DOTOQJQBSA-N 0 1 323.371 3.062 20 30 DGEDMN N#CC(C(=O)C1=Cc2cc(F)ccc2OC1)c1cccc(F)n1 ZINC001343330298 1179257098 /nfs/dbraw/zinc/25/70/98/1179257098.db2.gz HXVICKHJSJXNGE-CYBMUJFWSA-N 0 1 312.275 3.012 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2ccc(F)cc2)[C@@H]2CCCC[C@H]12 ZINC001601826667 1192947715 /nfs/dbraw/zinc/94/77/15/1192947715.db2.gz LXKPNNVMZALWGJ-KZNAEPCWSA-N 0 1 315.388 3.143 20 30 DGEDMN O=C([O-])[C@@H]1CC[N@@H+](CC#Cc2cccc(Cl)c2)[C@H]2CCC[C@@H]21 ZINC001601827774 1192947745 /nfs/dbraw/zinc/94/77/45/1192947745.db2.gz ZQCLADLZSRZLQQ-ZACQAIPSSA-N 0 1 317.816 3.267 20 30 DGEDMN N#C[C@H](C(=O)CC(C1CC1)C1CC1)c1nc(N)c2ccccc2n1 ZINC001343990477 1179361744 /nfs/dbraw/zinc/36/17/44/1179361744.db2.gz KTSWUFBWJQHHIN-OAHLLOKOSA-N 0 1 320.396 3.215 20 30 DGEDMN N#CC(C(=O)CSC(F)F)c1nc2c(cccc2Cl)[nH]1 ZINC001344367527 1179420545 /nfs/dbraw/zinc/42/05/45/1179420545.db2.gz HQMOBRDYHNBWIA-LURJTMIESA-N 0 1 315.732 3.348 20 30 DGEDMN N#CC(C(=O)c1cccc(Cl)c1)c1nc(N)c2ccccc2n1 ZINC001344540198 1179448066 /nfs/dbraw/zinc/44/80/66/1179448066.db2.gz JHOJIGHIAVCRHA-CYBMUJFWSA-N 0 1 322.755 3.355 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@]1(C)CCc2ccccc21 ZINC001344864120 1179488424 /nfs/dbraw/zinc/48/84/24/1179488424.db2.gz MIPICYVMYLXGOM-SJCJKPOMSA-N 0 1 313.788 3.154 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CCSc2ccccc21 ZINC001344875715 1179491110 /nfs/dbraw/zinc/49/11/10/1179491110.db2.gz XUTUBBZTHKSCBI-ZIAGYGMSSA-N 0 1 311.410 3.359 20 30 DGEDMN C=CCCCN(CC)C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC001345603983 1179577681 /nfs/dbraw/zinc/57/76/81/1179577681.db2.gz YLSSJQHQVSLYOC-MRXNPFEDSA-N 0 1 300.402 3.117 20 30 DGEDMN COCC1(C(=O)C(C#N)c2ncc(F)cc2F)CCCCC1 ZINC001345622308 1179581826 /nfs/dbraw/zinc/58/18/26/1179581826.db2.gz XYOBLCUYQZKSTO-LBPRGKRZSA-N 0 1 308.328 3.133 20 30 DGEDMN C[C@H]1[C@@H](OC(=O)c2ccc(C#N)[nH]2)CCC[N@H+]1Cc1ccccc1 ZINC001345776082 1179604500 /nfs/dbraw/zinc/60/45/00/1179604500.db2.gz MRLIGFQKWLBZPQ-KSSFIOAISA-N 0 1 323.396 3.096 20 30 DGEDMN C#CC[C@H]([NH2+]Cc1cc(C(=O)[O-])ccc1[N+](=O)[O-])c1ccccc1 ZINC001602694157 1192994334 /nfs/dbraw/zinc/99/43/34/1192994334.db2.gz IKLZRZOJELQDKX-INIZCTEOSA-N 0 1 324.336 3.147 20 30 DGEDMN C#CCN1CCC(OC(=O)c2ccc(C(F)F)cc2F)CC1 ZINC001349770354 1179947626 /nfs/dbraw/zinc/94/76/26/1179947626.db2.gz NDHKGYVKBNDXHE-UHFFFAOYSA-N 0 1 311.303 3.018 20 30 DGEDMN C#CC[N@H+](Cc1ccccc1)Cc1cc(C(=O)[O-])ccc1OC ZINC001602720864 1192994861 /nfs/dbraw/zinc/99/48/61/1192994861.db2.gz JYGCVRNNKOCLIU-UHFFFAOYSA-N 0 1 309.365 3.029 20 30 DGEDMN C#CC[N@@H+](Cc1ccccc1)Cc1cc(C(=O)[O-])ccc1OC ZINC001602720864 1192994864 /nfs/dbraw/zinc/99/48/64/1192994864.db2.gz JYGCVRNNKOCLIU-UHFFFAOYSA-N 0 1 309.365 3.029 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(Br)n[nH]2)c(Cl)c1 ZINC001645997746 1195210635 /nfs/dbraw/zinc/21/06/35/1195210635.db2.gz MTYXAVDAFMRRNN-UHFFFAOYSA-N 0 1 324.565 3.059 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])cc1 ZINC001603230523 1193011863 /nfs/dbraw/zinc/01/18/63/1193011863.db2.gz OKSSFIJYEZULAY-BHYGNILZSA-N 0 1 312.413 3.173 20 30 DGEDMN Cc1cc(CNCc2ccnc(OCC3CC3)c2)ccc1C#N ZINC001200105716 1180380979 /nfs/dbraw/zinc/38/09/79/1180380979.db2.gz NKFOTLCMARDAPT-UHFFFAOYSA-N 0 1 307.397 3.340 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1cccc(F)c1O ZINC001353046054 1180452565 /nfs/dbraw/zinc/45/25/65/1180452565.db2.gz LGXLLMLCLNNIJW-UHFFFAOYSA-N 0 1 312.344 3.455 20 30 DGEDMN CC(C)c1ccc(NS(=O)(=O)c2ccc(CC#N)cc2)cn1 ZINC001353932054 1180687066 /nfs/dbraw/zinc/68/70/66/1180687066.db2.gz DPLKXVRACVHTAI-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN C[C@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1cc2cnccc2o1 ZINC001604346324 1193067327 /nfs/dbraw/zinc/06/73/27/1193067327.db2.gz CYAOEWACQAQBRJ-NSHDSACASA-N 0 1 321.336 3.248 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@H+]1C[C@@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC001604468845 1193074308 /nfs/dbraw/zinc/07/43/08/1193074308.db2.gz ZYBYRHBJICGVBQ-GDIGMMSISA-N 0 1 320.392 3.419 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@@H](F)CC)cc1 ZINC001356264408 1181166992 /nfs/dbraw/zinc/16/69/92/1181166992.db2.gz BDQPBMRARYLJCM-CABCVRRESA-N 0 1 304.365 3.425 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@H](N(C)C[C@@H](F)CC)C1 ZINC001480726778 1181689480 /nfs/dbraw/zinc/68/94/80/1181689480.db2.gz JBYUNMURUFSCAV-IRXDYDNUSA-N 0 1 310.457 3.426 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3C[C@@H]3c3ccc(F)cc3)[nH]c2c1 ZINC001438137458 1181959315 /nfs/dbraw/zinc/95/93/15/1181959315.db2.gz OOXPAKIOJWCGEC-ZIAGYGMSSA-N 0 1 320.327 3.316 20 30 DGEDMN CCN(C)[C@@H](C(=O)NCCC#C[Si](C)(C)C)c1ccccc1 ZINC001453121847 1182310026 /nfs/dbraw/zinc/31/00/26/1182310026.db2.gz KOVPXWIGXXIJKJ-QGZVFWFLSA-N 0 1 316.521 3.067 20 30 DGEDMN C/C=C/COc1cccc(CC(=O)NOc2cccc(C#N)c2)c1 ZINC001454681835 1182350564 /nfs/dbraw/zinc/35/05/64/1182350564.db2.gz ITVUAMMSGLFDAU-NSCUHMNNSA-N 0 1 322.364 3.166 20 30 DGEDMN CC[N@@H+](CCc1cccs1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001605453133 1193152281 /nfs/dbraw/zinc/15/22/81/1193152281.db2.gz DRKVKCQVVYNYOH-INIZCTEOSA-N 0 1 314.410 3.310 20 30 DGEDMN Cc1ccc(C(=O)/C=C\C(=O)Nc2ccc3cncn3c2)cc1 ZINC001456443691 1182416175 /nfs/dbraw/zinc/41/61/75/1182416175.db2.gz PVJIGYURSPGGDC-HJWRWDBZSA-N 0 1 305.337 3.020 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC001456974616 1182438492 /nfs/dbraw/zinc/43/84/92/1182438492.db2.gz CKULKOIYMSYGMQ-QGZVFWFLSA-N 0 1 313.445 3.222 20 30 DGEDMN N#Cc1cc(NCCc2ccc3cn[nH]c3c2)c([N+](=O)[O-])s1 ZINC001458365907 1182518006 /nfs/dbraw/zinc/51/80/06/1182518006.db2.gz FMBZWKMMYCWYSM-UHFFFAOYSA-N 0 1 313.342 3.059 20 30 DGEDMN Cc1ccc(CSc2nc(-c3cccnc3)n[nH]2)cc1C#N ZINC001458915962 1182558761 /nfs/dbraw/zinc/55/87/61/1182558761.db2.gz IGJRKGYJASRSLS-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cnc2ccc(C)cc2c1 ZINC001458991550 1182562585 /nfs/dbraw/zinc/56/25/85/1182562585.db2.gz IHWLDRLMNAIXFC-UHFFFAOYSA-N 0 1 312.398 3.319 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccccc2CC(C)C)C1=O ZINC001460020601 1182641498 /nfs/dbraw/zinc/64/14/98/1182641498.db2.gz RCDOGQXUCFJKHS-GOSISDBHSA-N 0 1 300.446 3.104 20 30 DGEDMN N#CC[C@@H](CC(=O)NCc1ccc2cncn2c1)c1ccccc1 ZINC001460759637 1182718196 /nfs/dbraw/zinc/71/81/96/1182718196.db2.gz SAPSBCDPMKTXDT-KRWDZBQOSA-N 0 1 318.380 3.038 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H]2CCCC[C@@H]2CC)n1 ZINC001462187209 1182920649 /nfs/dbraw/zinc/92/06/49/1182920649.db2.gz DZEGXJVHHPREET-ZWKOTPCHSA-N 0 1 317.477 3.181 20 30 DGEDMN C=C(C)CCC(=O)Nc1ccc(N2CCN(CC)CC2)c(F)c1 ZINC001462358836 1182942736 /nfs/dbraw/zinc/94/27/36/1182942736.db2.gz CDYDGPSCZUKZBA-UHFFFAOYSA-N 0 1 319.424 3.262 20 30 DGEDMN Cc1cc(C(=O)NCc2nc3ccc(F)cc3[nH]2)cc(C)c1C#N ZINC001465426853 1183148392 /nfs/dbraw/zinc/14/83/92/1183148392.db2.gz XGOXCEMZKZPFNS-UHFFFAOYSA-N 0 1 322.343 3.121 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccc(F)cc1 ZINC001468327648 1183325821 /nfs/dbraw/zinc/32/58/21/1183325821.db2.gz DYYXXPIFWGHEPQ-CQSZACIVSA-N 0 1 303.720 3.289 20 30 DGEDMN COc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1SC ZINC001468864080 1183364237 /nfs/dbraw/zinc/36/42/37/1183364237.db2.gz NCZGDCAMJAKGIJ-UHFFFAOYSA-N 0 1 314.366 3.247 20 30 DGEDMN CCCCCCCCOCC(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1 ZINC001469607582 1183420197 /nfs/dbraw/zinc/42/01/97/1183420197.db2.gz FACJRLLPPFXVCD-CALCHBBNSA-N 0 1 312.498 3.305 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cc(-c2ccccc2OCC)[nH]n1 ZINC001472290328 1183632116 /nfs/dbraw/zinc/63/21/16/1183632116.db2.gz JDUGSVCTAJQKHI-AWEZNQCLSA-N 0 1 323.396 3.103 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)c1n[nH]c2ccccc21 ZINC001472324447 1183635236 /nfs/dbraw/zinc/63/52/36/1183635236.db2.gz MISDPCFDFSZRNU-AWEZNQCLSA-N 0 1 317.433 3.404 20 30 DGEDMN C#CC[N@@H+](C[C@@H]1COc2ccccc2O1)[C@@H]1CCc2ccccc21 ZINC001473122206 1183718144 /nfs/dbraw/zinc/71/81/44/1183718144.db2.gz SUENDKWAXCWXSE-IEBWSBKVSA-N 0 1 319.404 3.449 20 30 DGEDMN C#CCN(C[C@@H]1COc2ccccc2O1)[C@@H]1CCc2ccccc21 ZINC001473122206 1183718148 /nfs/dbraw/zinc/71/81/48/1183718148.db2.gz SUENDKWAXCWXSE-IEBWSBKVSA-N 0 1 319.404 3.449 20 30 DGEDMN C#CCN(C[C@H]1CCC[C@@H](c2ccccc2)O1)C1CSC1 ZINC001473629235 1183783601 /nfs/dbraw/zinc/78/36/01/1183783601.db2.gz CQFZMIZPUSKCAE-MSOLQXFVSA-N 0 1 301.455 3.347 20 30 DGEDMN Cc1cc(C)cc([C@@H]([NH2+]CC#Cc2ccc(F)cc2)C(=O)[O-])c1 ZINC001607625917 1193242286 /nfs/dbraw/zinc/24/22/86/1193242286.db2.gz BSTDUTDCUSSJRW-GOSISDBHSA-N 0 1 311.356 3.210 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C=C(C)C)C1 ZINC001474177602 1183876888 /nfs/dbraw/zinc/87/68/88/1183876888.db2.gz SYQMWQYLLGWDEX-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C=C(C)C)C1 ZINC001474177600 1183877962 /nfs/dbraw/zinc/87/79/62/1183877962.db2.gz SYQMWQYLLGWDEX-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C#N)s2)cc1C ZINC001474185041 1183878816 /nfs/dbraw/zinc/87/88/16/1183878816.db2.gz LEZUPRBKJXRMHV-UHFFFAOYSA-N 0 1 300.383 3.005 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](C)[C@H](OC)C1 ZINC001474449110 1183923148 /nfs/dbraw/zinc/92/31/48/1183923148.db2.gz RMTKNNRNDHWECD-CXAGYDPISA-N 0 1 307.821 3.209 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H](C)[C@H](OC)C1 ZINC001474449116 1183924923 /nfs/dbraw/zinc/92/49/23/1183924923.db2.gz RMTKNNRNDHWECD-SUMWQHHRSA-N 0 1 307.821 3.209 20 30 DGEDMN C#Cc1ccc(CN(C)[C@H](c2nnc[nH]2)c2ccccc2)cc1 ZINC001474570683 1183942181 /nfs/dbraw/zinc/94/21/81/1183942181.db2.gz HKPYUCKVYVOQPH-SFHVURJKSA-N 0 1 302.381 3.007 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(CC#Cc2ccccc2)CC1 ZINC001474684790 1183961703 /nfs/dbraw/zinc/96/17/03/1183961703.db2.gz JLWQUYRGOXWMBP-LJQANCHMSA-N 0 1 304.437 3.417 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)OCc2ccccc2)[nH]1)C(C)C ZINC001474734432 1183970129 /nfs/dbraw/zinc/97/01/29/1183970129.db2.gz WHWMYJRCNXHSIL-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC001478965942 1184190781 /nfs/dbraw/zinc/19/07/81/1184190781.db2.gz RGAODYCGMXFFNA-GVDBMIGSSA-N 0 1 307.482 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](CC)CC(F)(F)F ZINC001479260204 1184222127 /nfs/dbraw/zinc/22/21/27/1184222127.db2.gz HVRYZMQICNLYDJ-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1ccon1 ZINC001479518525 1184264760 /nfs/dbraw/zinc/26/47/60/1184264760.db2.gz BVNCJFUSRALUET-WBVHZDCISA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccc(F)cc1 ZINC001479549116 1184267777 /nfs/dbraw/zinc/26/77/77/1184267777.db2.gz QGHZINPYEKRFTD-WBMJQRKESA-N 0 1 324.827 3.409 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](C)CC(C)(C)C ZINC001479737996 1184307070 /nfs/dbraw/zinc/30/70/70/1184307070.db2.gz RVEPIMUFAJQEFC-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001479736099 1184307857 /nfs/dbraw/zinc/30/78/57/1184307857.db2.gz RDHGTPQAXHDWQV-DUIUGDAFSA-N 0 1 324.468 3.122 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C1(C)CCCC1 ZINC001479787512 1184323775 /nfs/dbraw/zinc/32/37/75/1184323775.db2.gz GAAXRVIHOJYNQA-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CC(C)CC(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001479863035 1184357263 /nfs/dbraw/zinc/35/72/63/1184357263.db2.gz KAYRYKWWTNBLCB-UHFFFAOYSA-N 0 1 319.474 3.137 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2sc(C)nc2C)C1 ZINC001479908193 1184375860 /nfs/dbraw/zinc/37/58/60/1184375860.db2.gz PCIBKZAKTLWGLZ-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)OC2CCCCCC2)C1 ZINC001479910030 1184377844 /nfs/dbraw/zinc/37/78/44/1184377844.db2.gz CCKVJKLKEAIRDV-SJORKVTESA-N 0 1 322.493 3.271 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc(/C=C/C(=O)[O-])o2)C2CC2)cc1 ZINC001608205305 1193283054 /nfs/dbraw/zinc/28/30/54/1193283054.db2.gz ZUYQHHAJNTXMCM-MDZDMXLPSA-N 0 1 322.364 3.414 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001479916763 1184384247 /nfs/dbraw/zinc/38/42/47/1184384247.db2.gz RQJSLTRHVZDPLW-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CCCC2CC2)CC1 ZINC001479994494 1184407374 /nfs/dbraw/zinc/40/73/74/1184407374.db2.gz RBGOMKDHXZXVMY-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1conc1C ZINC001480098258 1184434157 /nfs/dbraw/zinc/43/41/57/1184434157.db2.gz NOANVCDMFNGVEF-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NCC=C(Cl)Cl)C1 ZINC001480114843 1184438340 /nfs/dbraw/zinc/43/83/40/1184438340.db2.gz QTDMWRFOGAMIHB-NSHDSACASA-N 0 1 305.249 3.098 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001480156507 1184447898 /nfs/dbraw/zinc/44/78/98/1184447898.db2.gz LNPFZKHYEDYZPM-PBFPGSCMSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NCc2cc(O)ccc2Cl)C1 ZINC001480220470 1184462713 /nfs/dbraw/zinc/46/27/13/1184462713.db2.gz LDNXXVXHQUPIRU-OKILXGFUSA-N 0 1 322.836 3.139 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1cnccc1C ZINC001480316749 1184489824 /nfs/dbraw/zinc/48/98/24/1184489824.db2.gz PZMWSOXDIFAJLA-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001480333699 1184495202 /nfs/dbraw/zinc/49/52/02/1184495202.db2.gz VEIKSCDAZPUBPN-NVXWUHKLSA-N 0 1 324.424 3.140 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)[C@H](F)Cc1ccccc1 ZINC001480331982 1184495227 /nfs/dbraw/zinc/49/52/27/1184495227.db2.gz OZYZUUBHEDRAHU-QZTJIDSGSA-N 0 1 318.436 3.114 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001480333702 1184497378 /nfs/dbraw/zinc/49/73/78/1184497378.db2.gz VEIKSCDAZPUBPN-WBVHZDCISA-N 0 1 324.424 3.140 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1c(C)cc(C)cc1C ZINC001480528324 1184543185 /nfs/dbraw/zinc/54/31/85/1184543185.db2.gz FJRLKPSZONSPOR-OAHLLOKOSA-N 0 1 308.853 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001480543696 1184545933 /nfs/dbraw/zinc/54/59/33/1184545933.db2.gz MHXMNWGJGRBJMD-LLVKDONJSA-N 0 1 312.841 3.346 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](CC)c1ccccc1 ZINC001480569086 1184550771 /nfs/dbraw/zinc/55/07/71/1184550771.db2.gz DTRIUHXURYXMLP-GJZGRUSLSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2ccccc2n1CC ZINC001480598293 1184556848 /nfs/dbraw/zinc/55/68/48/1184556848.db2.gz LVCCGHKDWJSROW-ZDUSSCGKSA-N 0 1 319.836 3.122 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@@H](N(C)C/C=C/Cl)C1 ZINC001480729143 1184590797 /nfs/dbraw/zinc/59/07/97/1184590797.db2.gz FFPUHDXJETUSJO-ONOODXEBSA-N 0 1 310.869 3.245 20 30 DGEDMN C=C(Br)CN1CCC[C@H]1CCCNC(=O)CCC ZINC001480814922 1184615418 /nfs/dbraw/zinc/61/54/18/1184615418.db2.gz NYNWIFDLWNHAIS-CYBMUJFWSA-N 0 1 317.271 3.056 20 30 DGEDMN C#CCN(CC)CCNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001493028376 1184635538 /nfs/dbraw/zinc/63/55/38/1184635538.db2.gz CTHOYKWTZYBYNH-GOSISDBHSA-N 0 1 306.494 3.300 20 30 DGEDMN CCCC[C@H](CC)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001493483425 1184713010 /nfs/dbraw/zinc/71/30/10/1184713010.db2.gz JBHAXPCBTOAHCG-IBGZPJMESA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cccc(OC)c1Cl ZINC001493561300 1184717285 /nfs/dbraw/zinc/71/72/85/1184717285.db2.gz WDQFJQBUSODDLJ-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)C1(C)CCCCC1 ZINC001493682262 1184724716 /nfs/dbraw/zinc/72/47/16/1184724716.db2.gz KCFHKZWTCPAESE-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001493677243 1184724823 /nfs/dbraw/zinc/72/48/23/1184724823.db2.gz CWWFAVIFXKWJGE-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CCC1(C(=O)N(C)CCNCC#Cc2ccccc2)CCCC1 ZINC001493746912 1184734004 /nfs/dbraw/zinc/73/40/04/1184734004.db2.gz VQQBJPDLJOFBHK-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(C)(F)F)cc1 ZINC001493816516 1184741969 /nfs/dbraw/zinc/74/19/69/1184741969.db2.gz VQCZUFUKAUJSKV-UHFFFAOYSA-N 0 1 316.779 3.212 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)Cc1ccccc1)C(C)C ZINC001493863779 1184751988 /nfs/dbraw/zinc/75/19/88/1184751988.db2.gz GDTSSNZNBMRKGJ-UHFFFAOYSA-N 0 1 308.853 3.198 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CCC[C@H]2C[C@H]21)C(C)C ZINC001493865249 1184752193 /nfs/dbraw/zinc/75/21/93/1184752193.db2.gz SEZUHOSLMONXQC-ARFHVFGLSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccnc(C3CC3)c2)C1 ZINC001493957338 1184775653 /nfs/dbraw/zinc/77/56/53/1184775653.db2.gz ROQVCAGRFICSLO-QGZVFWFLSA-N 0 1 313.445 3.072 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(C)n2)C1 ZINC001493965452 1184777731 /nfs/dbraw/zinc/77/77/31/1184777731.db2.gz SVSHSABDIAOVKS-KRWDZBQOSA-N 0 1 315.461 3.201 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](CCC)C(C)C)C1 ZINC001493968409 1184778367 /nfs/dbraw/zinc/77/83/67/1184778367.db2.gz RCTOHEHPNKYHSF-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)CC2CCC(OC)CC2)C1 ZINC001493978064 1184781448 /nfs/dbraw/zinc/78/14/48/1184781448.db2.gz JEOOLGVFLFFYNW-ADKAHSJRSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC2CCC(OC)CC2)C1 ZINC001493978064 1184781453 /nfs/dbraw/zinc/78/14/53/1184781453.db2.gz JEOOLGVFLFFYNW-ADKAHSJRSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(C)c2)C1 ZINC001493973625 1184781837 /nfs/dbraw/zinc/78/18/37/1184781837.db2.gz LUNHTWZAQOXJCS-LJQANCHMSA-N 0 1 312.457 3.253 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001493978359 1184782368 /nfs/dbraw/zinc/78/23/68/1184782368.db2.gz SIVFAYQPRPIERN-YJBOKZPZSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001493978359 1184782374 /nfs/dbraw/zinc/78/23/74/1184782374.db2.gz SIVFAYQPRPIERN-YJBOKZPZSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC[C@H](C)CC)C1 ZINC001494168126 1184821894 /nfs/dbraw/zinc/82/18/94/1184821894.db2.gz YXNPQSDAQPNGAM-HIFRSBDPSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cccc(C)c2C)C1 ZINC001494173537 1184824183 /nfs/dbraw/zinc/82/41/83/1184824183.db2.gz DNAKHUULGRCIOT-MRXNPFEDSA-N 0 1 320.864 3.450 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C)c3c2CCC3)C1 ZINC001494508866 1184877460 /nfs/dbraw/zinc/87/74/60/1184877460.db2.gz OKARNWRJYCGSCV-HNNXBMFYSA-N 0 1 312.457 3.252 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CCC)CC1CCCC1 ZINC001494674986 1184903598 /nfs/dbraw/zinc/90/35/98/1184903598.db2.gz VJOPYTRUYXQDOE-FHWLQOOXSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C[C@@H](CC(=O)N1C[C@@H]2C[C@H]1CN2CC(=C)C)c1ccccc1 ZINC001494686325 1184906121 /nfs/dbraw/zinc/90/61/21/1184906121.db2.gz SXGYSWSCYRITBD-WDSOQIARSA-N 0 1 310.441 3.208 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001494723141 1184911966 /nfs/dbraw/zinc/91/19/66/1184911966.db2.gz DCGITZBPEQDVEB-GBOJCQPWSA-N 0 1 312.457 3.493 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001494897525 1184957613 /nfs/dbraw/zinc/95/76/13/1184957613.db2.gz PELRZGSYJFMOGL-SJLPKXTDSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001495067991 1184991992 /nfs/dbraw/zinc/99/19/92/1184991992.db2.gz ZZHHKEXPJHNGNJ-HOCLYGCPSA-N 0 1 308.853 3.335 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(Cl)c[nH]1 ZINC001496254598 1185155086 /nfs/dbraw/zinc/15/50/86/1185155086.db2.gz NBTONEIMBVBDIR-GFCCVEGCSA-N 0 1 318.248 3.155 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(CC)ccn1 ZINC001496272451 1185157767 /nfs/dbraw/zinc/15/77/67/1185157767.db2.gz PTOPSSQBLADCJX-HNNXBMFYSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)CC)C1CCCCC1 ZINC001496309127 1185164326 /nfs/dbraw/zinc/16/43/26/1185164326.db2.gz QPTXGSYKRZMJHK-DOMZBBRYSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccc(C)o1 ZINC001496466501 1185192918 /nfs/dbraw/zinc/19/29/18/1185192918.db2.gz OCIRPTXLAMHZLL-FZMZJTMJSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1ocnc1C ZINC001496465989 1185193137 /nfs/dbraw/zinc/19/31/37/1185193137.db2.gz NDGWSGDXIYAPCP-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1ocnc1C ZINC001496465992 1185193246 /nfs/dbraw/zinc/19/32/46/1185193246.db2.gz NDGWSGDXIYAPCP-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001496791957 1185247948 /nfs/dbraw/zinc/24/79/48/1185247948.db2.gz LOWCRTLFJQCBIF-UHFFFAOYSA-N 0 1 306.425 3.318 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C(C)(C)C)cc1 ZINC001496826068 1185254988 /nfs/dbraw/zinc/25/49/88/1185254988.db2.gz VMZOYGOOJYMGRB-UHFFFAOYSA-N 0 1 300.446 3.011 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1oc2ccc(F)cc2c1C ZINC001497828012 1185397642 /nfs/dbraw/zinc/39/76/42/1185397642.db2.gz RSHLJWRKEKTGOF-SNVBAGLBSA-N 0 1 324.783 3.341 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(Cl)ccc1Cl ZINC001497970336 1185416695 /nfs/dbraw/zinc/41/66/95/1185416695.db2.gz UJWGWKXBOKVJFV-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001498093269 1185434862 /nfs/dbraw/zinc/43/48/62/1185434862.db2.gz UYXGEPCQSZWQCI-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)C[C@H](CC)C(C)C ZINC001498166919 1185443190 /nfs/dbraw/zinc/44/31/90/1185443190.db2.gz JBPGNCUJOJHEJD-STQMWFEESA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001499073584 1185604929 /nfs/dbraw/zinc/60/49/29/1185604929.db2.gz CTOWNNNFHRIVAF-QZTJIDSGSA-N 0 1 300.446 3.022 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001499403547 1185646560 /nfs/dbraw/zinc/64/65/60/1185646560.db2.gz XCXLTLIGRIDKLB-CYBMUJFWSA-N 0 1 319.474 3.184 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H]1CC[N@H+](C/C=C\Cl)C1 ZINC001499480081 1185655286 /nfs/dbraw/zinc/65/52/86/1185655286.db2.gz CDXYERHSOBOJAL-ASQNPNNHSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]([C@H]2CCCCN2C(=O)CC(C)=C(C)C)C1 ZINC001499480492 1185655345 /nfs/dbraw/zinc/65/53/45/1185655345.db2.gz HHEWTCLIFFTRJF-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN CC[C@@H](F)CN1CCC[C@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001499569648 1185670192 /nfs/dbraw/zinc/67/01/92/1185670192.db2.gz FSAUSQAWHPAPMO-APWZRJJASA-N 0 1 322.468 3.099 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccccc2CC)C1 ZINC001499691081 1185685495 /nfs/dbraw/zinc/68/54/95/1185685495.db2.gz STCLOVIEAZZEHO-MRXNPFEDSA-N 0 1 314.473 3.289 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC001499712050 1185686509 /nfs/dbraw/zinc/68/65/09/1185686509.db2.gz OORSWQKPPZALFB-OAGGEKHMSA-N 0 1 304.478 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccccc2C)C1 ZINC001499752394 1185692599 /nfs/dbraw/zinc/69/25/99/1185692599.db2.gz UDWNJCJJEJSYQQ-QGZVFWFLSA-N 0 1 314.473 3.425 20 30 DGEDMN C=C[C@H](C(=O)N(C)C1CN(CC2CCCC2)C1)c1ccccc1 ZINC001499795493 1185695962 /nfs/dbraw/zinc/69/59/62/1185695962.db2.gz PDZXQXYEBAFCCJ-IBGZPJMESA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3cnccc32)C1 ZINC001499792712 1185696679 /nfs/dbraw/zinc/69/66/79/1185696679.db2.gz UBWBXMQQPXKHFH-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C#CCN(C(=O)[C@@H]1C[C@H]1CCC)C1CCN(C/C=C\Cl)CC1 ZINC001500047552 1185733752 /nfs/dbraw/zinc/73/37/52/1185733752.db2.gz SMJYLQLMTVVTPF-CTHFIRIDSA-N 0 1 322.880 3.101 20 30 DGEDMN CCC(CC)C(=O)N[C@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001500184742 1185753328 /nfs/dbraw/zinc/75/33/28/1185753328.db2.gz SKZJKKOTZWHNNJ-LJQANCHMSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001500213354 1185757503 /nfs/dbraw/zinc/75/75/03/1185757503.db2.gz UMSKEWFIJKDLJT-KXBFYZLASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2scnc2C)C1 ZINC001500250965 1185764169 /nfs/dbraw/zinc/76/41/69/1185764169.db2.gz HRPAQYIKHHLXDD-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001500444132 1185793724 /nfs/dbraw/zinc/79/37/24/1185793724.db2.gz FJPWHHCJZGJJQF-UONOGXRCSA-N 0 1 316.848 3.095 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001500537348 1185818403 /nfs/dbraw/zinc/81/84/03/1185818403.db2.gz CUHXCQSSHFWFJA-YLJYHZDGSA-N 0 1 318.505 3.444 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C=C(CC)CC)C1 ZINC001500610665 1185827562 /nfs/dbraw/zinc/82/75/62/1185827562.db2.gz DRQZRVXRJXDTAV-OAHLLOKOSA-N 0 1 314.420 3.382 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C2(CCCC)CC2)C1 ZINC001500613561 1185828827 /nfs/dbraw/zinc/82/88/27/1185828827.db2.gz KEQIYMUBSKDUKG-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccccc2F)CCC1 ZINC001501957889 1185941025 /nfs/dbraw/zinc/94/10/25/1185941025.db2.gz HCWKNRDEQHOQGD-UHFFFAOYSA-N 0 1 304.409 3.311 20 30 DGEDMN CC1(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)CCCC1 ZINC001501987056 1185943511 /nfs/dbraw/zinc/94/35/11/1185943511.db2.gz NLLQSVIDLQINEC-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cccc(F)c2F)CCC1 ZINC001501994992 1185944930 /nfs/dbraw/zinc/94/49/30/1185944930.db2.gz RWMDYZFMKONBIJ-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C[C@@H]1C=CCC1 ZINC001502158056 1185964152 /nfs/dbraw/zinc/96/41/52/1185964152.db2.gz GACWWOPFJOFCHU-HXUWFJFHSA-N 0 1 324.468 3.175 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1c(C)onc1CC ZINC001502359644 1185973747 /nfs/dbraw/zinc/97/37/47/1185973747.db2.gz BWPJFJWYYVEEBM-UHFFFAOYSA-N 0 1 321.465 3.038 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@]12C[C@H]1CCC2 ZINC001502355404 1185973810 /nfs/dbraw/zinc/97/38/10/1185973810.db2.gz UKWMOIQEQISDGO-TZIWHRDSSA-N 0 1 324.468 3.009 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN(CCF)C1 ZINC001502406869 1185982404 /nfs/dbraw/zinc/98/24/04/1185982404.db2.gz VHVNAIKTONQZAY-QZTJIDSGSA-N 0 1 322.468 3.243 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@@H](CNC(=O)/C(C)=C/C)O2 ZINC001502431983 1185983243 /nfs/dbraw/zinc/98/32/43/1185983243.db2.gz WJUGAEZKHYXIRM-DNIVAWAASA-N 0 1 320.477 3.049 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)CC(C)(C)C)O2 ZINC001502427542 1185983430 /nfs/dbraw/zinc/98/34/30/1185983430.db2.gz HOHZQJPOPHHSEY-HOTGVXAUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)CC(C)(C)CC)CO2 ZINC001502458007 1185984849 /nfs/dbraw/zinc/98/48/49/1185984849.db2.gz PCPPDVYOEDDEOP-CVEARBPZSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CCC(F)F ZINC001502532895 1185991844 /nfs/dbraw/zinc/99/18/44/1185991844.db2.gz ZXQZMJPEFMXMOO-MRXNPFEDSA-N 0 1 322.399 3.151 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cnoc2C)[C@@H](C)C1 ZINC001502986132 1186034407 /nfs/dbraw/zinc/03/44/07/1186034407.db2.gz JHYSXZLEJHXWCG-XJKSGUPXSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)C(F)F ZINC001503668459 1186049480 /nfs/dbraw/zinc/04/94/80/1186049480.db2.gz XQCQDGOYHMUFKJ-BBRMVZONSA-N 0 1 322.399 3.322 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cc2cc(C)ccc2o1 ZINC001505466426 1186090908 /nfs/dbraw/zinc/09/09/08/1186090908.db2.gz YQIXEYPBCCKNDE-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CC(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001505512318 1186097101 /nfs/dbraw/zinc/09/71/01/1186097101.db2.gz PUMCVMJLJPXLAH-NFOMZHRRSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1oc(C(C)C)nc1C ZINC001505573387 1186106509 /nfs/dbraw/zinc/10/65/09/1186106509.db2.gz BSCCKBKHJQHBPW-STQMWFEESA-N 0 1 307.438 3.055 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001505573384 1186106704 /nfs/dbraw/zinc/10/67/04/1186106704.db2.gz BSCCKBKHJQHBPW-CHWSQXEVSA-N 0 1 307.438 3.055 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001505599639 1186110473 /nfs/dbraw/zinc/11/04/73/1186110473.db2.gz OZTOPCLWXIVDOO-MIWOTCCTSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC001505671951 1186122068 /nfs/dbraw/zinc/12/20/68/1186122068.db2.gz YPGIMQPWFVZTME-CHWSQXEVSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(F)c(Cl)c1 ZINC001505678131 1186123134 /nfs/dbraw/zinc/12/31/34/1186123134.db2.gz LGVXVQYXYDXXJY-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(C)cccc1Cl ZINC001505686687 1186124559 /nfs/dbraw/zinc/12/45/59/1186124559.db2.gz STSXQYXKALOHGQ-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2oc(C)nc2C)CCC1 ZINC001505685037 1186124725 /nfs/dbraw/zinc/12/47/25/1186124725.db2.gz DORPRTLSRYQCAC-STQMWFEESA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c(F)ccc(C)c1F ZINC001505686982 1186125238 /nfs/dbraw/zinc/12/52/38/1186125238.db2.gz XKZJKRAWZWFIPW-WDEREUQCSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1ccccc1C ZINC001505697743 1186126370 /nfs/dbraw/zinc/12/63/70/1186126370.db2.gz KXVZHPJUVHYTFY-HUUCEWRRSA-N 0 1 308.853 3.163 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2cccnc2c1 ZINC001505713695 1186128094 /nfs/dbraw/zinc/12/80/94/1186128094.db2.gz QJZRKVOPWDDVSU-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2cccnc2c1 ZINC001505713693 1186128505 /nfs/dbraw/zinc/12/85/05/1186128505.db2.gz QJZRKVOPWDDVSU-CHWSQXEVSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2ccn(C)c2c1 ZINC001505723686 1186129257 /nfs/dbraw/zinc/12/92/57/1186129257.db2.gz CIMLBTURCIBRDY-STQMWFEESA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c(C)cc(C)cc1C ZINC001505745065 1186133622 /nfs/dbraw/zinc/13/36/22/1186133622.db2.gz BIWRCQLOHCBVBQ-CABCVRRESA-N 0 1 308.853 3.461 20 30 DGEDMN N#CCc1ccc(C[N@H+]2CCc3cccc(C(=O)[O-])c3C2)cc1 ZINC001610624102 1193401533 /nfs/dbraw/zinc/40/15/33/1193401533.db2.gz CZLCXBHSAKAHRB-UHFFFAOYSA-N 0 1 306.365 3.009 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001505983707 1186175171 /nfs/dbraw/zinc/17/51/71/1186175171.db2.gz HFFAMGCDWDCNQN-IUODEOHRSA-N 0 1 323.506 3.299 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001505991582 1186176964 /nfs/dbraw/zinc/17/69/64/1186176964.db2.gz WCVZGTJDOOWRNT-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN N#Cc1ccccc1C[N@H+]1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC001610633091 1193402243 /nfs/dbraw/zinc/40/22/43/1193402243.db2.gz XNVFNMUYMPJRQM-ROUUACIJSA-N 0 1 306.365 3.349 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001506004127 1186179261 /nfs/dbraw/zinc/17/92/61/1186179261.db2.gz SYAUOEATHNGLEQ-BHIYHBOVSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C)c(C)c1Cl ZINC001506038655 1186187485 /nfs/dbraw/zinc/18/74/85/1186187485.db2.gz MQCSOQOHHBDTKN-CYBMUJFWSA-N 0 1 306.837 3.030 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(Cl)c1Cl ZINC001506045304 1186188266 /nfs/dbraw/zinc/18/82/66/1186188266.db2.gz SXVSCBQGADSTJM-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001506641936 1186266521 /nfs/dbraw/zinc/26/65/21/1186266521.db2.gz PYNGLOVSMGLNDL-MUYFXNHWSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001506740574 1186284138 /nfs/dbraw/zinc/28/41/38/1186284138.db2.gz VYAMWLNZDZQKSW-LBPRGKRZSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](CC)CCCC)[C@@H]2C1 ZINC001506761503 1186290609 /nfs/dbraw/zinc/29/06/09/1186290609.db2.gz HTOQWKNIKBHIHW-FPCVCCKLSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)cc(C)c1 ZINC001507032805 1186344039 /nfs/dbraw/zinc/34/40/39/1186344039.db2.gz AJMDOLIUPCPVOE-KRWDZBQOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H](C)c1ccccc1 ZINC001507033105 1186344185 /nfs/dbraw/zinc/34/41/85/1186344185.db2.gz CTNYDCPZHXWZJM-WMLDXEAASA-N 0 1 320.864 3.369 20 30 DGEDMN C/C=C(\C)C(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001507058556 1186350946 /nfs/dbraw/zinc/35/09/46/1186350946.db2.gz CSRCRMIHZHUBEL-ZXZGFYSFSA-N 0 1 318.848 3.094 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001507130339 1186367939 /nfs/dbraw/zinc/36/79/39/1186367939.db2.gz SMXTYICIGGWHPX-NSHDSACASA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc(Cl)c1 ZINC001507146429 1186372868 /nfs/dbraw/zinc/37/28/68/1186372868.db2.gz GMXDTPRBPJCMES-NSHDSACASA-N 0 1 301.217 3.143 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2cccc(Cl)n2)C[C@H]1C ZINC001507309108 1186386756 /nfs/dbraw/zinc/38/67/56/1186386756.db2.gz GOOGRTAMESYHMI-HIFRSBDPSA-N 0 1 321.852 3.170 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2scnc2C)[C@@H]1C ZINC001507374639 1186407315 /nfs/dbraw/zinc/40/73/15/1186407315.db2.gz KAWGKCWPYPWLRD-HUUCEWRRSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H](NCc2ccns2)C(C)(C)C1 ZINC001507444816 1186430305 /nfs/dbraw/zinc/43/03/05/1186430305.db2.gz SJTBRZOPWJINNJ-DZGCQCFKSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C(C)(C)C1 ZINC001507443270 1186430826 /nfs/dbraw/zinc/43/08/26/1186430826.db2.gz NOUICCJHPLOMGI-GFCCVEGCSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccsc1)C(C)(C)C ZINC001507891917 1186455305 /nfs/dbraw/zinc/45/53/05/1186455305.db2.gz YETPTEYGFRJKAK-LBPRGKRZSA-N 0 1 300.855 3.235 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001507908302 1186457983 /nfs/dbraw/zinc/45/79/83/1186457983.db2.gz UWDMZRKWKCJTNX-VNOAQLAMSA-N 0 1 313.445 3.145 20 30 DGEDMN CCC(CC)n1nc(C(=O)C(C#N)c2nccn2CC)cc1C ZINC001517046629 1186800095 /nfs/dbraw/zinc/80/00/95/1186800095.db2.gz ORMBOZSSVIPKTC-AWEZNQCLSA-N 0 1 313.405 3.259 20 30 DGEDMN CCC(CC)n1nc(C(=O)[C@H](C#N)c2nccn2CC)cc1C ZINC001517046629 1186800099 /nfs/dbraw/zinc/80/00/99/1186800099.db2.gz ORMBOZSSVIPKTC-AWEZNQCLSA-N 0 1 313.405 3.259 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1ncc(F)cc1F)[C@@H]1CCCCO1 ZINC001518065752 1186831185 /nfs/dbraw/zinc/83/11/85/1186831185.db2.gz QKOZSYVMOCLXNY-YPMHNXCESA-N 0 1 308.328 3.131 20 30 DGEDMN C#CCSCC(=O)Nc1ccc2nc(CCCC)[nH]c2c1 ZINC001518885675 1186856261 /nfs/dbraw/zinc/85/62/61/1186856261.db2.gz DXVPHKQELCILIN-UHFFFAOYSA-N 0 1 301.415 3.210 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CC(=O)OCc1cc(C2CC2)[nH]n1 ZINC001519592533 1186884125 /nfs/dbraw/zinc/88/41/25/1186884125.db2.gz PYKQTWNCRNANNE-UHFFFAOYSA-N 0 1 309.369 3.062 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(Cc2ccc(F)cc2)CC1 ZINC001520037238 1186913091 /nfs/dbraw/zinc/91/30/91/1186913091.db2.gz VSSQPPFZZMKMRG-OAHLLOKOSA-N 0 1 311.360 3.241 20 30 DGEDMN CN1CCN(c2c(Br)cccc2C#N)CCC1(C)C ZINC001612667226 1193459249 /nfs/dbraw/zinc/45/92/49/1193459249.db2.gz MXKDCRKEDJTPQU-UHFFFAOYSA-N 0 1 322.250 3.241 20 30 DGEDMN Cc1sc(NC(=O)c2cnc3ccccc3c2O)c(C#N)c1C ZINC001522969714 1187116204 /nfs/dbraw/zinc/11/62/04/1187116204.db2.gz QUBJJYBLOKCETI-UHFFFAOYSA-N 0 1 323.377 3.330 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C(C)C)C1 ZINC001524338628 1187235974 /nfs/dbraw/zinc/23/59/74/1187235974.db2.gz YRLDRHOISOSVEP-AWEZNQCLSA-N 0 1 314.437 3.035 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001525462853 1187315985 /nfs/dbraw/zinc/31/59/85/1187315985.db2.gz VAJHXXDFFPCONE-XMTFNYHQSA-N 0 1 324.424 3.146 20 30 DGEDMN N#CC(C(=O)c1ccnn1C1CCC1)c1nc(C2CC2)cs1 ZINC001525872384 1187337422 /nfs/dbraw/zinc/33/74/22/1187337422.db2.gz DKALSSAXLGKGIW-GFCCVEGCSA-N 0 1 312.398 3.432 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2cccc(F)c2O)c1 ZINC001525993083 1187345780 /nfs/dbraw/zinc/34/57/80/1187345780.db2.gz XUEKLBMQXGCUMF-UHFFFAOYSA-N 0 1 314.316 3.466 20 30 DGEDMN C=C(C)CONC(=O)Cc1csc(NC2(C)CCCC2)n1 ZINC001528518720 1187495239 /nfs/dbraw/zinc/49/52/39/1187495239.db2.gz ZTCDCGHAVTZYNC-UHFFFAOYSA-N 0 1 309.435 3.054 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCc3ccc(F)cc3)[nH]c2c1 ZINC001534359118 1187892944 /nfs/dbraw/zinc/89/29/44/1187892944.db2.gz TVROUXRKRBTPAY-UHFFFAOYSA-N 0 1 308.316 3.145 20 30 DGEDMN C=C[C@@H](CC(=O)NCc1ccc2cncn2c1)c1ccccc1 ZINC001535354020 1187953800 /nfs/dbraw/zinc/95/38/00/1187953800.db2.gz RJTHGSGJMBYTOS-INIZCTEOSA-N 0 1 305.381 3.310 20 30 DGEDMN N#CCc1ccc(NC(=O)c2ncc3ccccc3c2O)cc1 ZINC001539050808 1188175547 /nfs/dbraw/zinc/17/55/47/1188175547.db2.gz YNNZYHKAJUJJJY-UHFFFAOYSA-N 0 1 303.321 3.259 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001544648671 1188403949 /nfs/dbraw/zinc/40/39/49/1188403949.db2.gz KNXDYXHUWQJSGR-SJORKVTESA-N 0 1 300.446 3.464 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCC2CCC2)C1 ZINC001566735550 1188965205 /nfs/dbraw/zinc/96/52/05/1188965205.db2.gz MPAYSYXWQWRFIA-MRXNPFEDSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)C(C)(C)c1ccccc1C ZINC001556466465 1189002173 /nfs/dbraw/zinc/00/21/73/1189002173.db2.gz ZILRNTFQFQLMTB-UHFFFAOYSA-N 0 1 302.462 3.239 20 30 DGEDMN CC(C)(C(=O)Nc1n[nH]c2cc(O)ccc21)c1ccc(C#N)cc1 ZINC001556722946 1189015857 /nfs/dbraw/zinc/01/58/57/1189015857.db2.gz KAWVPACCZJGYQK-UHFFFAOYSA-N 0 1 320.352 3.056 20 30 DGEDMN C#CCOc1ccc(C(=O)N2CCCC[C@H]2c2cc(C)[nH]n2)cc1 ZINC001558569322 1189135384 /nfs/dbraw/zinc/13/53/84/1189135384.db2.gz IMVRXUQLRMGKTD-SFHVURJKSA-N 0 1 323.396 3.098 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC001558943555 1189157847 /nfs/dbraw/zinc/15/78/47/1189157847.db2.gz NFKILNWSIUPOJC-UHFFFAOYSA-N 0 1 322.343 3.121 20 30 DGEDMN CC(C)[N@@H+]1CCC[C@H]1C(=O)N([O-])Cc1cccc2ccccc21 ZINC001559036715 1189164894 /nfs/dbraw/zinc/16/48/94/1189164894.db2.gz UNFIGXXOFKPDTM-SFHVURJKSA-N 0 1 312.413 3.430 20 30 DGEDMN CC(C)[N@H+]1CCC[C@H]1C(=O)N([O-])Cc1cccc2ccccc21 ZINC001559036715 1189164895 /nfs/dbraw/zinc/16/48/95/1189164895.db2.gz UNFIGXXOFKPDTM-SFHVURJKSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)[C@H](NC[C@@H](O)c1ccccn1)c1ccc(F)c(F)c1 ZINC001559766190 1189239355 /nfs/dbraw/zinc/23/93/55/1189239355.db2.gz BHAJCLKERHVLGW-SJORKVTESA-N 0 1 304.340 3.300 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC001559939437 1189259056 /nfs/dbraw/zinc/25/90/56/1189259056.db2.gz PYWISOKJDSTLKP-GFCCVEGCSA-N 0 1 308.784 3.153 20 30 DGEDMN C[C@H](NC[C@H](O)c1cccc(C#N)c1)c1c(F)cccc1F ZINC001560160466 1189285944 /nfs/dbraw/zinc/28/59/44/1189285944.db2.gz XJYDCDLAWHZMEW-ZBEGNZNMSA-N 0 1 302.324 3.221 20 30 DGEDMN C=C(Br)CNCc1ccc(OC)c(COCC)c1 ZINC001560320814 1189310645 /nfs/dbraw/zinc/31/06/45/1189310645.db2.gz OUVQSCJOIZFEJP-UHFFFAOYSA-N 0 1 314.223 3.230 20 30 DGEDMN N#Cc1ccc(CNc2ccc(-n3ccc(=O)[nH]3)cc2)c(F)c1 ZINC001560551892 1189339465 /nfs/dbraw/zinc/33/94/65/1189339465.db2.gz KGICWZVCRQPZJN-UHFFFAOYSA-N 0 1 308.316 3.201 20 30 DGEDMN Cc1ccc(CNCc2ccnn2-c2ccccc2)cc1C#N ZINC001560585612 1189344976 /nfs/dbraw/zinc/34/49/76/1189344976.db2.gz CMZWQGAPIKZHCW-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C(C)(C)CCCCC)CC2 ZINC001568253949 1189476993 /nfs/dbraw/zinc/47/69/93/1189476993.db2.gz VASYPDUQJCDOEQ-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001565824550 1189677694 /nfs/dbraw/zinc/67/76/94/1189677694.db2.gz JIMBZSFHPFLZBK-IRXDYDNUSA-N 0 1 315.461 3.074 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H]1CCN1Cc1ccccc1 ZINC001565825810 1189678890 /nfs/dbraw/zinc/67/88/90/1189678890.db2.gz ZMAKDTIABSLVMF-WMZOPIPTSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001565825808 1189679286 /nfs/dbraw/zinc/67/92/86/1189679286.db2.gz ZMAKDTIABSLVMF-FUHWJXTLSA-N 0 1 300.446 3.322 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC(C1CCC1)C1CCC1 ZINC001565825954 1189679459 /nfs/dbraw/zinc/67/94/59/1189679459.db2.gz CDCFQXKXLLITLT-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCN(C/C=C/Cl)C[C@H]2C1 ZINC001566050107 1189722324 /nfs/dbraw/zinc/72/23/24/1189722324.db2.gz DIKDTGCBLGZRRT-ZRJDUIELSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1ncc(C)s1 ZINC001566525935 1189791125 /nfs/dbraw/zinc/79/11/25/1189791125.db2.gz MUALKHQBDQPSRJ-GJZGRUSLSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1csc(C)n1 ZINC001566526761 1189791899 /nfs/dbraw/zinc/79/18/99/1189791899.db2.gz XPUMQWFSNXESHZ-XJKSGUPXSA-N 0 1 321.490 3.277 20 30 DGEDMN CC/C=C(\C)C(=O)NCCCN(CC)Cc1ccccc1C#N ZINC001566542585 1189796186 /nfs/dbraw/zinc/79/61/86/1189796186.db2.gz NMZZBAHVEBDWLI-CXUHLZMHSA-N 0 1 313.445 3.243 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001566631076 1189836351 /nfs/dbraw/zinc/83/63/51/1189836351.db2.gz HXGMVQYXYIIZNH-LSDHHAIUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001566633843 1189840577 /nfs/dbraw/zinc/84/05/77/1189840577.db2.gz ZSZKQRTYUZGIEB-WMLDXEAASA-N 0 1 304.409 3.086 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001566664128 1189846159 /nfs/dbraw/zinc/84/61/59/1189846159.db2.gz CPYFKHGOSNAUHP-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCN(C[C@H](F)CC)C2)CCCCC1 ZINC001566674768 1189853216 /nfs/dbraw/zinc/85/32/16/1189853216.db2.gz BWFAHXNABBWCQI-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CC2CCCCC2)C(C)C)C1 ZINC001566675426 1189855113 /nfs/dbraw/zinc/85/51/13/1189855113.db2.gz XNMYFCUHTQHEAY-RBUKOAKNSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CC2CCCCC2)CC1 ZINC001566696488 1189861260 /nfs/dbraw/zinc/86/12/60/1189861260.db2.gz VJESFTMLNQWMGW-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)OC ZINC001566749349 1189889787 /nfs/dbraw/zinc/88/97/87/1189889787.db2.gz XOQNRHFVRHLBHN-IXDOHACOSA-N 0 1 310.482 3.057 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCCN1Cc1cccnc1 ZINC001566921084 1189951507 /nfs/dbraw/zinc/95/15/07/1189951507.db2.gz GLNFRLMDUJHBAT-QGZVFWFLSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)CC1CC(c2ccccc2)C1 ZINC001566923095 1189952966 /nfs/dbraw/zinc/95/29/66/1189952966.db2.gz LLKOIZOWLWPTJE-UUKMXZOPSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC1CC(c2ccccc2)C1 ZINC001566923094 1189953840 /nfs/dbraw/zinc/95/38/40/1189953840.db2.gz LLKOIZOWLWPTJE-LYBXBRPPSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)Cc1cccc(F)c1 ZINC001566929668 1189958984 /nfs/dbraw/zinc/95/89/84/1189958984.db2.gz GOXMRBJSOODDIN-QAPCUYQASA-N 0 1 318.436 3.161 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001566945635 1189962724 /nfs/dbraw/zinc/96/27/24/1189962724.db2.gz QBAYOYYYVTUYAI-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCC(NCc2cc(C)on2)CC1 ZINC001566987335 1189981981 /nfs/dbraw/zinc/98/19/81/1189981981.db2.gz QZEYBZBKDSUNBY-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)N[C@@H](C)c1ccc(F)cc1F ZINC001567014975 1189995251 /nfs/dbraw/zinc/99/52/51/1189995251.db2.gz HOHSGYOWFHTXQE-STQMWFEESA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001567034914 1190002316 /nfs/dbraw/zinc/00/23/16/1190002316.db2.gz GDUWGAAVIFSDIA-CJNGLKHVSA-N 0 1 306.837 3.027 20 30 DGEDMN CCC[C@H](CC)C(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001567066156 1190017730 /nfs/dbraw/zinc/01/77/30/1190017730.db2.gz TYPVCLZBUKVOKW-HIFRSBDPSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001567070456 1190019202 /nfs/dbraw/zinc/01/92/02/1190019202.db2.gz XOPKBKYELCDCAZ-JKSUJKDBSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001567078643 1190023486 /nfs/dbraw/zinc/02/34/86/1190023486.db2.gz DUSCBWSXQGZZKC-ZDUSSCGKSA-N 0 1 317.820 3.142 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC001567187442 1190056316 /nfs/dbraw/zinc/05/63/16/1190056316.db2.gz BPZPCQUZGNWHSK-QGZVFWFLSA-N 0 1 322.399 3.354 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@H](N(C)Cc2ccccc2F)C1 ZINC001567189372 1190058145 /nfs/dbraw/zinc/05/81/45/1190058145.db2.gz GNPVVCDCOIAQTI-KRWDZBQOSA-N 0 1 316.420 3.052 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2cnc(C)s2)C1 ZINC001567193567 1190061127 /nfs/dbraw/zinc/06/11/27/1190061127.db2.gz WQQXREXZSJQCFX-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H](C)c1ccc(F)cc1F ZINC001567422899 1190182203 /nfs/dbraw/zinc/18/22/03/1190182203.db2.gz DFJVVCLLPSSHSD-OLZOCXBDSA-N 0 1 323.387 3.014 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@@H]1CC12CCC2 ZINC001567435031 1190190846 /nfs/dbraw/zinc/19/08/46/1190190846.db2.gz YKAHRTUBIPMCAI-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001567587411 1190222047 /nfs/dbraw/zinc/22/20/47/1190222047.db2.gz NWXCGILMLJWCRO-LJQANCHMSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001567590885 1190223139 /nfs/dbraw/zinc/22/31/39/1190223139.db2.gz OXVVRVFFBBUMOW-UHFFFAOYSA-N 0 1 304.821 3.060 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(C)(C)C1CC1)c1ccccc1 ZINC001567656837 1190239725 /nfs/dbraw/zinc/23/97/25/1190239725.db2.gz CZFMNTOMGWVHSY-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC[C@@H](C)CC)c1ccccc1 ZINC001567663139 1190241632 /nfs/dbraw/zinc/24/16/32/1190241632.db2.gz GGYDCHJTFBAHFQ-WMZOPIPTSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2c[nH]c3ccccc23)C1 ZINC001567685008 1190251582 /nfs/dbraw/zinc/25/15/82/1190251582.db2.gz RYXSZNCFZAMCHR-INIZCTEOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2cccc(Cl)n2)C1 ZINC001567694126 1190257691 /nfs/dbraw/zinc/25/76/91/1190257691.db2.gz DKJUSQCQQKCMCW-AWEZNQCLSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](F)c2ccccc2)C1 ZINC001567739487 1190281142 /nfs/dbraw/zinc/28/11/42/1190281142.db2.gz ZFQATSMVSQGLGG-GDBMZVCRSA-N 0 1 324.827 3.230 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001567876904 1190330183 /nfs/dbraw/zinc/33/01/83/1190330183.db2.gz WEJVDFPBLPODMV-HOCLYGCPSA-N 0 1 316.445 3.159 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001567912796 1190347152 /nfs/dbraw/zinc/34/71/52/1190347152.db2.gz YOAFXRNESJAOKG-CRAIPNDOSA-N 0 1 316.420 3.079 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NCc1nc(C)c(C)s1 ZINC001567975245 1190383155 /nfs/dbraw/zinc/38/31/55/1190383155.db2.gz MOFUGJALHNRJKR-WMLDXEAASA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@@H](C)SC)CC1 ZINC001568124721 1190429604 /nfs/dbraw/zinc/42/96/04/1190429604.db2.gz SJBSNACTDYRHOE-CYBMUJFWSA-N 0 1 318.914 3.099 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)[C@H](C)C(C)(C)C)CC2 ZINC001568252061 1190463136 /nfs/dbraw/zinc/46/31/36/1190463136.db2.gz APCFPANPQBQUKU-CVEARBPZSA-N 0 1 306.494 3.415 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCCC1CCCC1)CC2 ZINC001568253935 1190463417 /nfs/dbraw/zinc/46/34/17/1190463417.db2.gz UTLVQNNRXVOBQV-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](c1ccccc1)C1CC1 ZINC001568287785 1190473300 /nfs/dbraw/zinc/47/33/00/1190473300.db2.gz SMAHKXZIALYIOO-RHSMWYFYSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001568296701 1190476915 /nfs/dbraw/zinc/47/69/15/1190476915.db2.gz GCKKBSWNPUSBFQ-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1oc(CC)nc1C ZINC001568298808 1190478447 /nfs/dbraw/zinc/47/84/47/1190478447.db2.gz XLUYOXKUYKKDEI-SCLBCKFNSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccccc1F ZINC001568416536 1190515237 /nfs/dbraw/zinc/51/52/37/1190515237.db2.gz KLVORLZNSPCVMQ-LRDDRELGSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CSCCC ZINC001568419301 1190515733 /nfs/dbraw/zinc/51/57/33/1190515733.db2.gz NRGMLBBVSOVBFF-OCCSQVGLSA-N 0 1 318.914 3.099 20 30 DGEDMN Cc1ccc(C(=O)N(C)CCN(C)Cc2cccc(C#N)c2)cc1 ZINC001568503054 1190552092 /nfs/dbraw/zinc/55/20/92/1190552092.db2.gz ZOVNYDQRIUCUJY-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001568609914 1190567904 /nfs/dbraw/zinc/56/79/04/1190567904.db2.gz ZMEDFZJSCQRVDD-BRRGFWCPSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1ccc(F)cc1F ZINC001568765339 1190586420 /nfs/dbraw/zinc/58/64/20/1190586420.db2.gz MDGGOJVQMQHNBA-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1scc(C)c1Cl ZINC001568856299 1190595038 /nfs/dbraw/zinc/59/50/38/1190595038.db2.gz XLXUFXKBBXCICH-ONEGZZNKSA-N 0 1 319.257 3.338 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001568934422 1190601423 /nfs/dbraw/zinc/60/14/23/1190601423.db2.gz RADXIGMTTQDXOL-GODNBWANSA-N 0 1 300.874 3.319 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC001568996753 1190606417 /nfs/dbraw/zinc/60/64/17/1190606417.db2.gz RERLQXQFSYPVTD-WBMJQRKESA-N 0 1 322.399 3.403 20 30 DGEDMN CCc1ccccc1C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001569077297 1190617498 /nfs/dbraw/zinc/61/74/98/1190617498.db2.gz PFCADSDYVUCQFB-HNNXBMFYSA-N 0 1 321.424 3.029 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001569085847 1190619131 /nfs/dbraw/zinc/61/91/31/1190619131.db2.gz ZPCPMOAPYDSUQJ-LXZKKBNFSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1sc(C(C)C)nc1C ZINC001569096934 1190621020 /nfs/dbraw/zinc/62/10/20/1190621020.db2.gz DIGIIXKIHQGPQM-JTQLQIEISA-N 0 1 315.870 3.035 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(Cl)c2occc21 ZINC001569146810 1190641592 /nfs/dbraw/zinc/64/15/92/1190641592.db2.gz LPOLTVWHPLTBOD-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCC[C@@H]1CNCc1ccon1 ZINC001569164932 1190647805 /nfs/dbraw/zinc/64/78/05/1190647805.db2.gz QQIWFRXGSQOHOM-ZBFHGGJFSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1)C1CC1 ZINC001569327323 1190667847 /nfs/dbraw/zinc/66/78/47/1190667847.db2.gz JKMZFFBYYGTKRF-GVDBMIGSSA-N 0 1 318.848 3.027 20 30 DGEDMN C#CCC1(C(=O)N[C@H](CNCC(=C)Cl)C2CC2)CCCCC1 ZINC001569331319 1190668472 /nfs/dbraw/zinc/66/84/72/1190668472.db2.gz LJWJYDYKSGLCMS-MRXNPFEDSA-N 0 1 322.880 3.197 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1CCCc1ccsc1 ZINC001569367804 1190678589 /nfs/dbraw/zinc/67/85/89/1190678589.db2.gz OHYQXZTYXJVVGZ-MRXNPFEDSA-N 0 1 320.502 3.474 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CC[C@@H]1CCCC1(F)F ZINC001569368117 1190678829 /nfs/dbraw/zinc/67/88/29/1190678829.db2.gz VHQZGBLUHLTBRR-KBPBESRZSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1ccc(C)cc1C ZINC001569367912 1190678937 /nfs/dbraw/zinc/67/89/37/1190678937.db2.gz QNPJEUUKSATCSU-SFHVURJKSA-N 0 1 300.446 3.003 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cnc(CC(C)C)s1 ZINC001569386029 1190688744 /nfs/dbraw/zinc/68/87/44/1190688744.db2.gz IHTHGDYJIZZDFE-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(C)CCC(=O)NCC1(N[C@H](C)c2ccccc2F)CC1 ZINC001569401681 1190691576 /nfs/dbraw/zinc/69/15/76/1190691576.db2.gz AESXFACABZPLKI-CQSZACIVSA-N 0 1 304.409 3.481 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CN(CC2CC(C)(C)C2)C1 ZINC001569492077 1190707390 /nfs/dbraw/zinc/70/73/90/1190707390.db2.gz KUAJMWQRQRFNAM-UHFFFAOYSA-N 0 1 304.478 3.334 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc(-n3cccc3)c2)C1 ZINC001569494497 1190707880 /nfs/dbraw/zinc/70/78/80/1190707880.db2.gz CWDHFIGMGAZIAW-UHFFFAOYSA-N 0 1 323.440 3.200 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)C1 ZINC001569494848 1190708025 /nfs/dbraw/zinc/70/80/25/1190708025.db2.gz JWMBZIXAYIJTRO-DLBZAZTESA-N 0 1 304.478 3.334 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C1CN(CCCCCCC)C1 ZINC001569502797 1190710125 /nfs/dbraw/zinc/71/01/25/1190710125.db2.gz QEUPTKWXCIUBMF-ROUUACIJSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccccc2Cl)C1 ZINC001569505490 1190710357 /nfs/dbraw/zinc/71/03/57/1190710357.db2.gz AZJDMZGCXYLOEH-AWEZNQCLSA-N 0 1 320.864 3.380 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCCc2cccs2)C1 ZINC001569505981 1190710534 /nfs/dbraw/zinc/71/05/34/1190710534.db2.gz MBTXOCBTHJLFRK-UHFFFAOYSA-N 0 1 306.475 3.180 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C=C)c2ccccc2)C1 ZINC001569507102 1190710543 /nfs/dbraw/zinc/71/05/43/1190710543.db2.gz HDVFEIIHJGQSQH-APWZRJJASA-N 0 1 312.457 3.454 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2coc(C)n2)C[C@@H]1C ZINC001569516904 1190711979 /nfs/dbraw/zinc/71/19/79/1190711979.db2.gz MFPUSWPPRIIZKF-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN CC1(NC(=O)C=C2CCC2)CCN(CC#Cc2ccccc2)CC1 ZINC001569570759 1190718298 /nfs/dbraw/zinc/71/82/98/1190718298.db2.gz DBGHICAMUGVKOT-UHFFFAOYSA-N 0 1 322.452 3.119 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1CC)c1ccccc1CC ZINC001569802152 1190756239 /nfs/dbraw/zinc/75/62/39/1190756239.db2.gz PELMYALRTISHKV-QRQLOZEOSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C#CC(C)C ZINC001569869481 1190766878 /nfs/dbraw/zinc/76/68/78/1190766878.db2.gz UVUQWANITUOHIN-UHFFFAOYSA-N 0 1 318.848 3.017 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC/C=C/c3ccccc3)[C@@H]2C1 ZINC001569922024 1190775016 /nfs/dbraw/zinc/77/50/16/1190775016.db2.gz YYTMWDXHTUMCCF-CHUTXNTCSA-N 0 1 322.452 3.036 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(CC)CCC3)cccc2C1 ZINC001570078386 1190791867 /nfs/dbraw/zinc/79/18/67/1190791867.db2.gz WCMIFAZJMFUGBW-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001570182079 1190810260 /nfs/dbraw/zinc/81/02/60/1190810260.db2.gz WIZSOVYDFWORKD-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCCC(=O)N(C)CCCN(C)Cc1c(C)nsc1C ZINC001570192528 1190814893 /nfs/dbraw/zinc/81/48/93/1190814893.db2.gz JSJOCLVOPYRHJK-UHFFFAOYSA-N 0 1 309.479 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCc2ccccc2)C[C@@H]1C ZINC001570351106 1190842199 /nfs/dbraw/zinc/84/21/99/1190842199.db2.gz ALYRDQDILMKYHK-WMLDXEAASA-N 0 1 320.864 3.198 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C2CCC2)c1 ZINC001570543490 1190883520 /nfs/dbraw/zinc/88/35/20/1190883520.db2.gz JHZOQBVKADRLDA-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N ZINC001570591324 1190895950 /nfs/dbraw/zinc/89/59/50/1190895950.db2.gz XLPVDTNZKNLVMK-GJZGRUSLSA-N 0 1 301.434 3.121 20 30 DGEDMN CCCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2ccccc2C#N)CC1 ZINC001570633020 1190906429 /nfs/dbraw/zinc/90/64/29/1190906429.db2.gz BLDAWRYQDXRBGL-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001570855549 1190967125 /nfs/dbraw/zinc/96/71/25/1190967125.db2.gz DIUYVHSOEXDGHU-ZDUSSCGKSA-N 0 1 306.475 3.287 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)C(CC)CC)C2)C1 ZINC001571038751 1190980660 /nfs/dbraw/zinc/98/06/60/1190980660.db2.gz IYOVHVCCJBEHFC-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1c(C)onc1CC ZINC001571389529 1191011453 /nfs/dbraw/zinc/01/14/53/1191011453.db2.gz OGJFAJYUFZMMTD-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc2ncccc21 ZINC001571399800 1191013761 /nfs/dbraw/zinc/01/37/61/1191013761.db2.gz YXGPSTKVKSKBKB-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(F)c(Cl)c1 ZINC001571403508 1191015615 /nfs/dbraw/zinc/01/56/15/1191015615.db2.gz TZJYDJBIVYUCNK-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl)CC1 ZINC001571404006 1191016047 /nfs/dbraw/zinc/01/60/47/1191016047.db2.gz CQJQPBTUPJBRPI-LBPRGKRZSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001571408742 1191017654 /nfs/dbraw/zinc/01/76/54/1191017654.db2.gz XLVRKCLTRPJJRF-NVXWUHKLSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](c1cccnc1)C(C)C ZINC001571411083 1191018080 /nfs/dbraw/zinc/01/80/80/1191018080.db2.gz WXVCSVOQNUPMAD-HOCLYGCPSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)c(CC)s1 ZINC001571416729 1191019890 /nfs/dbraw/zinc/01/98/90/1191019890.db2.gz OFWLCVQPPQFXOE-GFCCVEGCSA-N 0 1 314.882 3.422 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2nc(C)cs2)[C@@H]1C ZINC001571457258 1191023190 /nfs/dbraw/zinc/02/31/90/1191023190.db2.gz RKVCWQKAOKHIKO-UONOGXRCSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)Cc2ccccc2)[C@H]1C ZINC001571525368 1191043657 /nfs/dbraw/zinc/04/36/57/1191043657.db2.gz RECAFRRAEXHMTH-AEFFLSMTSA-N 0 1 314.473 3.410 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc2cccnc21)C(C)C ZINC001571613289 1191059169 /nfs/dbraw/zinc/05/91/69/1191059169.db2.gz PXZLPPPUCFDUOH-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@](C)(F)CCCC)c(F)c1 ZINC001571702688 1191086232 /nfs/dbraw/zinc/08/62/32/1191086232.db2.gz DJUUOCNMUCPDTF-GOSISDBHSA-N 0 1 322.399 3.083 20 30 DGEDMN C=CC[C@@]1(C(=O)OCc2ccc3nc(C)sc3c2)CCCN1 ZINC001574896973 1191456796 /nfs/dbraw/zinc/45/67/96/1191456796.db2.gz CUTRMRQPVRTKMO-KRWDZBQOSA-N 0 1 316.426 3.346 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(N2CCCCC2)c(Cl)c1 ZINC001574970371 1191460508 /nfs/dbraw/zinc/46/05/08/1191460508.db2.gz UTSMOETYKYZREU-OAHLLOKOSA-N 0 1 319.836 3.010 20 30 DGEDMN C#CCCCCCCN1Cc2ccccc2C[C@@H]1C(=O)NC1CC1 ZINC001619850762 1193762649 /nfs/dbraw/zinc/76/26/49/1193762649.db2.gz JSAXOGMPDPDRKP-HXUWFJFHSA-N 0 1 324.468 3.276 20 30 DGEDMN C=CC[C@@H]1CCCN([C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC001620214860 1193765601 /nfs/dbraw/zinc/76/56/01/1193765601.db2.gz NPPDUAGAKXSBPL-WBVHZDCISA-N 0 1 314.429 3.089 20 30 DGEDMN Cc1cc(CN(C)[C@@H](c2nnc[nH]2)c2ccccc2)ccc1C#N ZINC001621382891 1193836102 /nfs/dbraw/zinc/83/61/02/1193836102.db2.gz ZOYIGSZAOKATLE-GOSISDBHSA-N 0 1 317.396 3.206 20 30 DGEDMN C[C@H]1CN(Cc2csc(C#N)c2)CCN1Cc1ccccc1 ZINC001621391175 1193836510 /nfs/dbraw/zinc/83/65/10/1193836510.db2.gz PTODZRGMWYMKQP-HNNXBMFYSA-N 0 1 311.454 3.326 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Br)nc2)cc1F ZINC001621393511 1193837022 /nfs/dbraw/zinc/83/70/22/1193837022.db2.gz YWLYKEVFTQOAGZ-UHFFFAOYSA-N 0 1 320.165 3.145 20 30 DGEDMN C#CCN(Cc1c[nH]c(C(=O)OCc2ccccc2)c1)C(C)C ZINC001621550132 1193856727 /nfs/dbraw/zinc/85/67/27/1193856727.db2.gz RPOSMPVPGDANPL-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN C[C@]1(c2ccccc2)C[C@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001623608840 1193940818 /nfs/dbraw/zinc/94/08/18/1193940818.db2.gz DRINYRISCHHPDA-IFXJQAMLSA-N 0 1 316.364 3.351 20 30 DGEDMN CC(=O)c1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c(F)c1 ZINC001623604316 1193941226 /nfs/dbraw/zinc/94/12/26/1193941226.db2.gz FDIOHOYXTPJVFZ-UHFFFAOYSA-N 0 1 322.299 3.029 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC001627302190 1194122227 /nfs/dbraw/zinc/12/22/27/1194122227.db2.gz SWKZAOOAQIXZCI-UHFFFAOYSA-N 0 1 300.446 3.466 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)c1ccc(CN2CCCC2)o1 ZINC001630544287 1194291020 /nfs/dbraw/zinc/29/10/20/1194291020.db2.gz RNMZSFRRZSELEZ-UHFFFAOYSA-N 0 1 309.369 3.308 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CCC(=O)c3cccs3)[nH]c2c1 ZINC001631012537 1194314422 /nfs/dbraw/zinc/31/44/22/1194314422.db2.gz YCHZSOAIZXNCEU-UHFFFAOYSA-N 0 1 324.365 3.098 20 30 DGEDMN Cc1ccc(Nc2ccccc2C(=O)NCC#CCN(C)C)cc1 ZINC001631849901 1194358753 /nfs/dbraw/zinc/35/87/53/1194358753.db2.gz VFYACIIWVUDPAI-UHFFFAOYSA-N 0 1 321.424 3.033 20 30 DGEDMN C=CCC1(NC(=O)c2ccc(Cc3nc[nH]n3)cc2)CCCC1 ZINC001632958176 1194414112 /nfs/dbraw/zinc/41/41/12/1194414112.db2.gz RXIPNOMTBKGEON-UHFFFAOYSA-N 0 1 310.401 3.014 20 30 DGEDMN C=CCCCCCCCNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC001635937073 1194580462 /nfs/dbraw/zinc/58/04/62/1194580462.db2.gz DSJRKOVWYZFDBU-UHFFFAOYSA-N 0 1 321.469 3.428 20 30 DGEDMN CC#CC(=O)Nc1ccc2nc(Cc3ccc(OC)cc3)[nH]c2c1 ZINC001636728353 1194630237 /nfs/dbraw/zinc/63/02/37/1194630237.db2.gz IPZWHPCAEYHRTE-UHFFFAOYSA-N 0 1 319.364 3.124 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(Cl)c(OC)c2)C1 ZINC001671175343 1194638822 /nfs/dbraw/zinc/63/88/22/1194638822.db2.gz COXZNUQMHFMXGE-UHFFFAOYSA-N 0 1 322.836 3.071 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(-c3ccc(F)cc3)n[nH]2)cc1 ZINC001637030797 1194646663 /nfs/dbraw/zinc/64/66/63/1194646663.db2.gz YOYUPWCXTPYLSR-UHFFFAOYSA-N 0 1 305.312 3.449 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)c1ncc(Br)cc1O ZINC001637229094 1194657961 /nfs/dbraw/zinc/65/79/61/1194657961.db2.gz HRKPIBGDWPXQGI-VIFPVBQESA-N 0 1 313.195 3.024 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1 ZINC001637296999 1194661988 /nfs/dbraw/zinc/66/19/88/1194661988.db2.gz PHMBHYWLKQDYKO-CABCVRRESA-N 0 1 304.821 3.003 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)c1ccc(C#N)c(Cl)c1 ZINC001637390579 1194667696 /nfs/dbraw/zinc/66/76/96/1194667696.db2.gz DVVBRPSADPJXLW-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001671183175 1194718606 /nfs/dbraw/zinc/71/86/06/1194718606.db2.gz LWMBFPOGKNEGHV-CQSZACIVSA-N 0 1 315.461 3.004 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC001639223980 1194756007 /nfs/dbraw/zinc/75/60/07/1194756007.db2.gz GQCNSWZUWZBPHA-OKILXGFUSA-N 0 1 319.424 3.306 20 30 DGEDMN C=C1CCC(C(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)CC1 ZINC001639784420 1194781722 /nfs/dbraw/zinc/78/17/22/1194781722.db2.gz PIEFKBLVPIKLCL-UHFFFAOYSA-N 0 1 305.378 3.050 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1ccccc1Nc1ccncc1 ZINC001639893101 1194786607 /nfs/dbraw/zinc/78/66/07/1194786607.db2.gz SLIJALUYWLRVNO-UHFFFAOYSA-N 0 1 318.336 3.273 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc(C)nc3ccccc32)C1 ZINC001671193374 1194812637 /nfs/dbraw/zinc/81/26/37/1194812637.db2.gz PWVOPNZPIKXOGM-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C3CC3)cn2)C1 ZINC001671193411 1194813407 /nfs/dbraw/zinc/81/34/07/1194813407.db2.gz RKGSTKBRYGTXFK-AWEZNQCLSA-N 0 1 313.445 3.070 20 30 DGEDMN CC(C)(C(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1cccc(O)c1 ZINC001641796468 1194871487 /nfs/dbraw/zinc/87/14/87/1194871487.db2.gz CZUINQWWEWSZMJ-UHFFFAOYSA-N 0 1 320.352 3.056 20 30 DGEDMN CC1(C)CC=C(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001641930545 1194879018 /nfs/dbraw/zinc/87/90/18/1194879018.db2.gz YBFXKNJBTHOKQA-UHFFFAOYSA-N 0 1 321.384 3.418 20 30 DGEDMN CCc1nc([C@@H](C)Nc2cc(Br)ccc2C#N)n[nH]1 ZINC001642525551 1194916885 /nfs/dbraw/zinc/91/68/85/1194916885.db2.gz VSCCTCKROSAYQN-MRVPVSSYSA-N 0 1 320.194 3.174 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)CN1CCC(C)CC1 ZINC001642540807 1194918567 /nfs/dbraw/zinc/91/85/67/1194918567.db2.gz MTENYUHWWARJBM-GOSISDBHSA-N 0 1 300.446 3.022 20 30 DGEDMN Cc1cc(NCCc2ccc3cn[nH]c3c2)c(C#N)cc1[N+](=O)[O-] ZINC001642680750 1194928851 /nfs/dbraw/zinc/92/88/51/1194928851.db2.gz NBYQSHSSKBBYMK-UHFFFAOYSA-N 0 1 321.340 3.306 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)CC1 ZINC001642872526 1194950361 /nfs/dbraw/zinc/95/03/61/1194950361.db2.gz WCJHNEIGSBDPHC-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN Cc1nsc(N[C@@H]2CCN(Cc3cccc(C)c3)C2)c1C#N ZINC001643002258 1194959264 /nfs/dbraw/zinc/95/92/64/1194959264.db2.gz FSSPGTABNNLWHR-OAHLLOKOSA-N 0 1 312.442 3.318 20 30 DGEDMN N#Cc1cnc(CSc2nc3ccc(Cl)cc3[nH]2)nc1 ZINC001643349723 1195017969 /nfs/dbraw/zinc/01/79/69/1195017969.db2.gz HFHAEEXXELPHJJ-UHFFFAOYSA-N 0 1 301.762 3.170 20 30 DGEDMN C#CCN(CC(=O)N(C)[C@H]1CCCC[C@@H]1C)C1CCCCC1 ZINC001644482990 1195112504 /nfs/dbraw/zinc/11/25/04/1195112504.db2.gz VFOBMKQPBMYWNR-WMZOPIPTSA-N 0 1 304.478 3.291 20 30 DGEDMN CCC#C[C@H](C)N1CCN([C@@H](C)c2cccc(OC)c2)CC1 ZINC001644578829 1195117903 /nfs/dbraw/zinc/11/79/03/1195117903.db2.gz FXEPJSHLTDPNQJ-IRXDYDNUSA-N 0 1 300.446 3.176 20 30 DGEDMN Cc1cc(C=O)c(O)c(C(=O)Nc2cc(C#N)c(F)cc2C)c1 ZINC001644880019 1195139639 /nfs/dbraw/zinc/13/96/39/1195139639.db2.gz BSOQLOMBGPQIAD-UHFFFAOYSA-N 0 1 312.300 3.085 20 30 DGEDMN C[C@H]1CN([C@H](C#N)c2ccccc2)CCN1Cc1ccccc1 ZINC001646164948 1195216088 /nfs/dbraw/zinc/21/60/88/1195216088.db2.gz MYGPVYXEQMSRJW-FXAWDEMLSA-N 0 1 305.425 3.458 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)C(F)(F)F)C2(CCCCC2)C1 ZINC001646515840 1195232193 /nfs/dbraw/zinc/23/21/93/1195232193.db2.gz KKESPTYNLTURTO-ZDUSSCGKSA-N 0 1 318.383 3.360 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2nc(-c3ccc(Cl)cc3)n[nH]2)C1 ZINC001648507145 1195305794 /nfs/dbraw/zinc/30/57/94/1195305794.db2.gz KFUJRFXBWIJVQJ-UHFFFAOYSA-N 0 1 302.765 3.420 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1cccc(C(C)=O)c1 ZINC001648526079 1195306468 /nfs/dbraw/zinc/30/64/68/1195306468.db2.gz LYBOYJKJHYRWRR-QGZVFWFLSA-N 0 1 300.402 3.258 20 30 DGEDMN N#CCCCOc1cccc(CNc2cccc3[nH]nnc32)c1 ZINC001651097584 1195413192 /nfs/dbraw/zinc/41/31/92/1195413192.db2.gz ZOLOTFFOYNYZRK-UHFFFAOYSA-N 0 1 307.357 3.253 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@@H]3CCCC4(CC4)C3)n2)c1 ZINC001651271005 1195435271 /nfs/dbraw/zinc/43/52/71/1195435271.db2.gz NXMFUTYZXLBHBM-CQSZACIVSA-N 0 1 321.384 3.252 20 30 DGEDMN CC1(C)CCN(CCNc2c(Br)cccc2C#N)C1 ZINC001651507414 1195473119 /nfs/dbraw/zinc/47/31/19/1195473119.db2.gz UIIYJGYUGUQNTM-UHFFFAOYSA-N 0 1 322.250 3.465 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1oc(C)cc1C ZINC001673095000 1195492916 /nfs/dbraw/zinc/49/29/16/1195492916.db2.gz JDJZADJTEMVYKB-CQSZACIVSA-N 0 1 310.825 3.233 20 30 DGEDMN COc1ccc(CNCC2(C#N)CCOCC2)c2ccccc12 ZINC001651674177 1195494936 /nfs/dbraw/zinc/49/49/36/1195494936.db2.gz UQQHRTRWVAHXHH-UHFFFAOYSA-N 0 1 310.397 3.258 20 30 DGEDMN Cc1cccc2c1CCN(Cc1cc(C#N)ccc1N(C)C)C2 ZINC001651926856 1195523807 /nfs/dbraw/zinc/52/38/07/1195523807.db2.gz JFFSUXIZTPVIRU-UHFFFAOYSA-N 0 1 305.425 3.491 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)Cc1cc(C#N)ccn1 ZINC001652373057 1195589886 /nfs/dbraw/zinc/58/98/86/1195589886.db2.gz LPRJQXZNKVVYIR-UHFFFAOYSA-N 0 1 304.397 3.483 20 30 DGEDMN COc1cc(Cl)c(F)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC001652669570 1195634254 /nfs/dbraw/zinc/63/42/54/1195634254.db2.gz JRCVXMQRZXDNRQ-UHFFFAOYSA-N 0 1 320.707 3.317 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccc(C)c(OC)c2)CC1 ZINC001653169188 1195694484 /nfs/dbraw/zinc/69/44/84/1195694484.db2.gz HWKQRMATOQEVCZ-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN C#CCN(Cc1cnc2c(C)cc(Br)cn12)C(C)C ZINC001653194484 1195697142 /nfs/dbraw/zinc/69/71/42/1195697142.db2.gz HPYQKXIKUYZSRR-UHFFFAOYSA-N 0 1 320.234 3.249 20 30 DGEDMN Cc1ccc2[nH]nnc2c1NC(=O)C[C@H](CC#N)c1ccccc1 ZINC001653682026 1195744117 /nfs/dbraw/zinc/74/41/17/1195744117.db2.gz GCPPWEAVKLKNGP-AWEZNQCLSA-N 0 1 319.368 3.292 20 30 DGEDMN CN(C)c1cccc(CN2CC=C(c3ccc(C#N)cc3)CC2)n1 ZINC001654015157 1195766762 /nfs/dbraw/zinc/76/67/62/1195766762.db2.gz HHQVNEFTFMJDQH-UHFFFAOYSA-N 0 1 318.424 3.309 20 30 DGEDMN C=CC[C@H]1CCCN([C@H](C)C(=O)Nc2nc(C)c(C)s2)C1 ZINC001654177531 1195781105 /nfs/dbraw/zinc/78/11/05/1195781105.db2.gz DGZSZMDMTWEMDJ-OCCSQVGLSA-N 0 1 307.463 3.375 20 30 DGEDMN C[C@@H]1COC2(CCCC2)CN1CCCOc1cccc(C#N)c1 ZINC001654335485 1195794402 /nfs/dbraw/zinc/79/44/02/1195794402.db2.gz RVAQGIQKPPYDLA-MRXNPFEDSA-N 0 1 314.429 3.361 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)c1c[nH]c2cc(OC)ccc2c1=O ZINC001654448093 1195804644 /nfs/dbraw/zinc/80/46/44/1195804644.db2.gz WZAPXNDJGNERIZ-GFCCVEGCSA-N 0 1 314.385 3.011 20 30 DGEDMN C#CCN([C@@H]1CCN(c2ccc(Cl)c(F)c2)C1=O)C(C)(C)C ZINC001654840885 1195846541 /nfs/dbraw/zinc/84/65/41/1195846541.db2.gz PXRISXUNMAQUJP-OAHLLOKOSA-N 0 1 322.811 3.318 20 30 DGEDMN C#CCN([C@H]1CCN(c2ccc(Cl)c(F)c2)C1=O)C(C)(C)C ZINC001654840884 1195846970 /nfs/dbraw/zinc/84/69/70/1195846970.db2.gz PXRISXUNMAQUJP-HNNXBMFYSA-N 0 1 322.811 3.318 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2ccc(C(F)(F)F)cc2)C1 ZINC001654841578 1195847014 /nfs/dbraw/zinc/84/70/14/1195847014.db2.gz YPSPYWPTNYOGHT-CYBMUJFWSA-N 0 1 324.346 3.379 20 30 DGEDMN C=CCC[C@@H]1CCCN1CCS(=O)(=O)c1ccc(F)cc1 ZINC001654999075 1195863164 /nfs/dbraw/zinc/86/31/64/1195863164.db2.gz OELYYGKHFDYTAQ-OAHLLOKOSA-N 0 1 311.422 3.030 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(c2ncc(C#N)cc2F)CC1 ZINC001655189012 1195887743 /nfs/dbraw/zinc/88/77/43/1195887743.db2.gz WYJHLIPKGHHIOX-GOSISDBHSA-N 0 1 324.403 3.366 20 30 DGEDMN C#CC[C@H]1CCN(CC(=O)Nc2ccc(Cl)c(Cl)c2)C1 ZINC001655562425 1195931672 /nfs/dbraw/zinc/93/16/72/1195931672.db2.gz FLRPOCPJEOPRQV-NSHDSACASA-N 0 1 311.212 3.277 20 30 DGEDMN CC(C)C[C@@H]1CN(C)CCN1c1ncc(C#N)c2ccsc21 ZINC001655884102 1195973525 /nfs/dbraw/zinc/97/35/25/1195973525.db2.gz JEXQZXJLRXKBJC-CQSZACIVSA-N 0 1 314.458 3.334 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1c(F)cccc1F ZINC001656080338 1195995888 /nfs/dbraw/zinc/99/58/88/1195995888.db2.gz DHIJGKGHRQQRGJ-KGLIPLIRSA-N 0 1 322.399 3.177 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@@]1(CO)c1ccccc1 ZINC001656540249 1196048796 /nfs/dbraw/zinc/04/87/96/1196048796.db2.gz GUJPUFDGMONHOV-HXUWFJFHSA-N 0 1 322.408 3.050 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H]1CC(C)(C)CO1 ZINC001656906520 1196082413 /nfs/dbraw/zinc/08/24/13/1196082413.db2.gz PZYKTUVXDBUKPS-ZDUSSCGKSA-N 0 1 307.821 3.257 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001656991864 1196090806 /nfs/dbraw/zinc/09/08/06/1196090806.db2.gz UYSZEBFMIDYJPS-AGIUHOORSA-N 0 1 306.372 3.120 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[NH2+]CCc1c(F)cc([O-])cc1F ZINC001657033740 1196095467 /nfs/dbraw/zinc/09/54/67/1196095467.db2.gz DRTMFHOKKCLJHL-UHFFFAOYSA-N 0 1 316.351 3.491 20 30 DGEDMN C=CCOc1cccc(CN[C@H](C)C(=O)N2CCCC[C@H]2C)c1 ZINC001657061352 1196099023 /nfs/dbraw/zinc/09/90/23/1196099023.db2.gz YMSYQFRIXOMZLV-HZPDHXFCSA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNc1cccc2[nH]nnc21 ZINC001657392585 1196136492 /nfs/dbraw/zinc/13/64/92/1196136492.db2.gz ZCXASIMAJJMJSJ-UHFFFAOYSA-N 0 1 312.760 3.235 20 30 DGEDMN C=C[C@H](CO)NCc1ccccc1Oc1ccc(C)cc1OC ZINC001657717986 1196172104 /nfs/dbraw/zinc/17/21/04/1196172104.db2.gz URGCCJSDAQETSY-MRXNPFEDSA-N 0 1 313.397 3.432 20 30 DGEDMN C=C[C@H](COC)NCc1cc(Cl)cc(Br)c1 ZINC001657828938 1196181475 /nfs/dbraw/zinc/18/14/75/1196181475.db2.gz GSLTZIQKAQIRRY-GFCCVEGCSA-N 0 1 304.615 3.393 20 30 DGEDMN C#CC1(NCc2cn3ccc(Br)cc3n2)CCCC1 ZINC001657877638 1196189702 /nfs/dbraw/zinc/18/97/02/1196189702.db2.gz XHZSGYIJMJJULX-UHFFFAOYSA-N 0 1 318.218 3.132 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(F)c3ccccc23)C1=O ZINC001657917793 1196193134 /nfs/dbraw/zinc/19/31/34/1196193134.db2.gz GLGWVOSEAHGHPC-SFHVURJKSA-N 0 1 312.388 3.198 20 30 DGEDMN C#Cc1ccc(CNCc2c(C)nn(-c3ccccn3)c2C)cc1 ZINC001657989117 1196199038 /nfs/dbraw/zinc/19/90/38/1196199038.db2.gz CZIGBACJHRAXCV-UHFFFAOYSA-N 0 1 316.408 3.155 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H]1CCO[C@H]1C1CC1 ZINC001657984049 1196199185 /nfs/dbraw/zinc/19/91/85/1196199185.db2.gz FUUWJXLPJLIXAD-WBVHZDCISA-N 0 1 305.805 3.009 20 30 DGEDMN C#CCOc1ccccc1CNCc1c[nH]c(=O)c2ccccc12 ZINC001658018095 1196203006 /nfs/dbraw/zinc/20/30/06/1196203006.db2.gz TZOCCFIXRYHQJV-UHFFFAOYSA-N 0 1 318.376 3.242 20 30 DGEDMN C[C@H]1C[C@H](C(=O)OC(C)(C)C)CN(CC#Cc2ccccc2)C1 ZINC001658375207 1196247894 /nfs/dbraw/zinc/24/78/94/1196247894.db2.gz OYGPPGLYKJONCP-WMZOPIPTSA-N 0 1 313.441 3.338 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)C[C@@H]1CCCC[C@@H]1O ZINC001658604852 1196280058 /nfs/dbraw/zinc/28/00/58/1196280058.db2.gz ANEPFFPVHPYCQO-YOEHRIQHSA-N 0 1 321.848 3.335 20 30 DGEDMN C#C[C@H](N[C@@H](C)[C@@H]1CCCO1)c1ccc(Br)cc1 ZINC001659303997 1196369336 /nfs/dbraw/zinc/36/93/36/1196369336.db2.gz DLYQRCWIMFOSNZ-CQDKDKBSSA-N 0 1 308.219 3.281 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)N(CCC)CC(F)(F)F)C1 ZINC001659352377 1196374402 /nfs/dbraw/zinc/37/44/02/1196374402.db2.gz LGZLTABGXREZSL-ZDUSSCGKSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCN(Cc1cc(O)cc(C(F)(F)F)c1)[C@H](C)COC ZINC001659398712 1196379615 /nfs/dbraw/zinc/37/96/15/1196379615.db2.gz ROUVJDBAYFBWCW-LLVKDONJSA-N 0 1 303.324 3.434 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCO[C@@H](C(C)(C)C)CC1 ZINC001660243493 1196461033 /nfs/dbraw/zinc/46/10/33/1196461033.db2.gz GWALAHFKDXPSOL-GOSISDBHSA-N 0 1 315.461 3.261 20 30 DGEDMN N#Cc1c(N)sc2c1CCN([C@H]1CCc3c1cccc3F)C2 ZINC001660489346 1196489918 /nfs/dbraw/zinc/48/99/18/1196489918.db2.gz GMDPODKESIIUAW-HNNXBMFYSA-N 0 1 313.401 3.387 20 30 DGEDMN CC1(C)[C@@H](NCC2(C#N)CCOCC2)C[C@@H]1Oc1ccccc1 ZINC001660886525 1196539275 /nfs/dbraw/zinc/53/92/75/1196539275.db2.gz AQNQZTGYLHFLIO-IRXDYDNUSA-N 0 1 314.429 3.142 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001661065675 1196560421 /nfs/dbraw/zinc/56/04/21/1196560421.db2.gz CRJPRYCVLRNWAP-MRXNPFEDSA-N 0 1 322.880 3.478 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc(C)c2C)CC1 ZINC001661097890 1196566827 /nfs/dbraw/zinc/56/68/27/1196566827.db2.gz ZXADDJXFVCKEQY-UHFFFAOYSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1cccc(C)c1)C1CC1 ZINC001661171594 1196575401 /nfs/dbraw/zinc/57/54/01/1196575401.db2.gz BBQZNWCABFYYEM-PBHICJAKSA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H]2CC=CCC2)C1 ZINC001661201379 1196579782 /nfs/dbraw/zinc/57/97/82/1196579782.db2.gz AJYIGXXKEYYGLJ-HOTGVXAUSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2CC=CCC2)C1 ZINC001661201381 1196580255 /nfs/dbraw/zinc/58/02/55/1196580255.db2.gz AJYIGXXKEYYGLJ-JKSUJKDBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccs1 ZINC001661239815 1196586746 /nfs/dbraw/zinc/58/67/46/1196586746.db2.gz ODMYDYVGHIFJGD-KFWWJZLASA-N 0 1 304.459 3.392 20 30 DGEDMN Cc1ccccc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)(C)C ZINC001661249442 1196588908 /nfs/dbraw/zinc/58/89/08/1196588908.db2.gz NQGDIWAUPSVKPM-KDURUIRLSA-N 0 1 324.468 3.220 20 30 DGEDMN C=C(Br)CNCc1ccc(Oc2cnccn2)cc1 ZINC001661346528 1196597267 /nfs/dbraw/zinc/59/72/67/1196597267.db2.gz HCDFIIRBIVKHHA-UHFFFAOYSA-N 0 1 320.190 3.267 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001661431323 1196604920 /nfs/dbraw/zinc/60/49/20/1196604920.db2.gz GIVMPEZLARITQO-JOCQHMNTSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001661640601 1196626708 /nfs/dbraw/zinc/62/67/08/1196626708.db2.gz KONFSELGJPUDJK-MELADBBJSA-N 0 1 321.490 3.045 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)/C=C(\C)C2CC2)C1 ZINC001661982279 1196670184 /nfs/dbraw/zinc/67/01/84/1196670184.db2.gz FXGZUBWJMAQSFL-QVRYCZTOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(C(F)F)CC2)C1 ZINC001661990455 1196672318 /nfs/dbraw/zinc/67/23/18/1196672318.db2.gz VMXIPJOYQXKGOI-RYUDHWBXSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)CC1CCCCC1 ZINC001662351089 1196711413 /nfs/dbraw/zinc/71/14/13/1196711413.db2.gz QCIHSQYXYYMGIU-GXTWGEPZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001662366569 1196712615 /nfs/dbraw/zinc/71/26/15/1196712615.db2.gz GUUPZBXEYDGSKZ-ZIAGYGMSSA-N 0 1 308.853 3.199 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001662371979 1196713462 /nfs/dbraw/zinc/71/34/62/1196713462.db2.gz DJVNWNSRZUURRS-CYBMUJFWSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(c2ccccc2C)CCC1 ZINC001662399188 1196716956 /nfs/dbraw/zinc/71/69/56/1196716956.db2.gz JEAQBHRHZMGTMN-OAHLLOKOSA-N 0 1 320.864 3.264 20 30 DGEDMN CC/C(C)=C\C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001662914456 1196771761 /nfs/dbraw/zinc/77/17/61/1196771761.db2.gz MWMXSYGQVIJTSB-XXYUJHKVSA-N 0 1 317.408 3.038 20 30 DGEDMN CCCCCCCOc1cccc(CN[C@]2(C)CCNC2=O)c1 ZINC001663349766 1196841377 /nfs/dbraw/zinc/84/13/77/1196841377.db2.gz SWCKHMRXRVXZMO-LJQANCHMSA-N 0 1 318.461 3.404 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001663442753 1196868670 /nfs/dbraw/zinc/86/86/70/1196868670.db2.gz FAQAVPUOAHJKHF-UHFFFAOYSA-N 0 1 320.864 3.368 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2cccc(Cl)c2o1 ZINC001663461811 1196875412 /nfs/dbraw/zinc/87/54/12/1196875412.db2.gz PZAKMXNFKKTWNF-UHFFFAOYSA-N 0 1 318.804 3.161 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1[C@H](C)c1cccc(F)c1 ZINC001663493621 1196885806 /nfs/dbraw/zinc/88/58/06/1196885806.db2.gz RZRGKUSWEVNADS-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCN1[C@H](C)c1cccc(F)c1 ZINC001663493621 1196885810 /nfs/dbraw/zinc/88/58/10/1196885810.db2.gz RZRGKUSWEVNADS-CRAIPNDOSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001663524268 1196895357 /nfs/dbraw/zinc/89/53/57/1196895357.db2.gz WQNBEGQRSDTUGY-GXTWGEPZSA-N 0 1 306.372 3.122 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001663911273 1196956333 /nfs/dbraw/zinc/95/63/33/1196956333.db2.gz VSEWHAVFNUTHKH-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN[C@@H]1CCc2c1c(F)ccc2F ZINC001664116299 1196972810 /nfs/dbraw/zinc/97/28/10/1196972810.db2.gz SRTBQJWYVNKWBH-OAHLLOKOSA-N 0 1 322.399 3.212 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1ccc(F)cc1F ZINC001664165321 1196976508 /nfs/dbraw/zinc/97/65/08/1196976508.db2.gz QCVPDWNPBLXFOM-QGZVFWFLSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc(-c2ccoc2)c1 ZINC001664294661 1196988097 /nfs/dbraw/zinc/98/80/97/1196988097.db2.gz XRWIKVJGQPQLFL-UHFFFAOYSA-N 0 1 318.804 3.361 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@H]1C=CCCC1)c1ccccc1 ZINC001664582841 1197016922 /nfs/dbraw/zinc/01/69/22/1197016922.db2.gz AAFPZIXCBTXCAR-PKOBYXMFSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@@H]1C=CCCC1)c1ccccc1 ZINC001664582840 1197017388 /nfs/dbraw/zinc/01/73/88/1197017388.db2.gz AAFPZIXCBTXCAR-MJGOQNOKSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC2(C(F)(F)F)CC2)C1 ZINC001664668366 1197031466 /nfs/dbraw/zinc/03/14/66/1197031466.db2.gz XAJQAWIMUHDSHH-ZDUSSCGKSA-N 0 1 318.383 3.218 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)COC2CCC(C)CC2)C1 ZINC001664689859 1197036336 /nfs/dbraw/zinc/03/63/36/1197036336.db2.gz GSHJUMNWBGGZEG-LXPRWKDFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](F)c2ccccc2)C1 ZINC001664745025 1197048539 /nfs/dbraw/zinc/04/85/39/1197048539.db2.gz DEEQBUJOIUYMQH-GDBMZVCRSA-N 0 1 324.827 3.278 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(C)CCCCC2)C1 ZINC001664835395 1197065646 /nfs/dbraw/zinc/06/56/46/1197065646.db2.gz GNAZSAZYLLVHNV-HNNXBMFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C[C@H](C)C2CC2)CC1 ZINC001664898571 1197078384 /nfs/dbraw/zinc/07/83/84/1197078384.db2.gz UBMHUMGOXQUGKI-ZDUSSCGKSA-N 0 1 312.885 3.346 20 30 DGEDMN CN(Cc1ccccc1)C1CN(Cc2ccc(C#N)cc2F)C1 ZINC001664948665 1197080570 /nfs/dbraw/zinc/08/05/70/1197080570.db2.gz WGGCOWBUPRPRPG-UHFFFAOYSA-N 0 1 309.388 3.014 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC001665161819 1197104296 /nfs/dbraw/zinc/10/42/96/1197104296.db2.gz VGICFRBHRMCWFP-ZDUSSCGKSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001665232152 1197112365 /nfs/dbraw/zinc/11/23/65/1197112365.db2.gz OKZVCWCLZWBTKY-AWEZNQCLSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CCOC2CCCCCC2)C1 ZINC001665481626 1197141451 /nfs/dbraw/zinc/14/14/51/1197141451.db2.gz KTVXMQBAVGIMOS-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CC[N@@H+](CCCCC(F)(F)F)C1 ZINC001665627893 1197161456 /nfs/dbraw/zinc/16/14/56/1197161456.db2.gz ZHQNZMFYZQCTMI-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CC[C@H](C)c2ccccc2)C1 ZINC001665670230 1197168394 /nfs/dbraw/zinc/16/83/94/1197168394.db2.gz DBQMLZAKNUTYNT-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001665708854 1197179095 /nfs/dbraw/zinc/17/90/95/1197179095.db2.gz DMHOFXVVXXHIKJ-XEDBTPMOSA-N 0 1 324.468 3.426 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2[nH]ccc2c1 ZINC001665839636 1197205169 /nfs/dbraw/zinc/20/51/69/1197205169.db2.gz QOCKSXKZBQIHMO-AWEZNQCLSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1oc(C(C)C)nc1C ZINC001666913531 1197297652 /nfs/dbraw/zinc/29/76/52/1197297652.db2.gz DMEZRYRQCPUEBU-AWEZNQCLSA-N 0 1 321.465 3.399 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)[C@@H](C)C(C)(C)C ZINC001666932358 1197299191 /nfs/dbraw/zinc/29/91/91/1197299191.db2.gz DNKMFOPKGCRWHB-HUUCEWRRSA-N 0 1 315.461 3.177 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001666972324 1197303227 /nfs/dbraw/zinc/30/32/27/1197303227.db2.gz MZZKIMFIMKDDKC-HOTGVXAUSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)CSC(C)C ZINC001667358744 1197340719 /nfs/dbraw/zinc/34/07/19/1197340719.db2.gz IYXJUXPNSASFLN-OCCSQVGLSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1c(C)coc1C ZINC001667374687 1197341889 /nfs/dbraw/zinc/34/18/89/1197341889.db2.gz KCHLYXFTACSJCR-IAQYHMDHSA-N 0 1 324.852 3.479 20 30 DGEDMN Cc1cc(CN(C)CCN(C)C(=O)C#CC(C)(C)C)c(C)s1 ZINC001667566126 1197362866 /nfs/dbraw/zinc/36/28/66/1197362866.db2.gz NRZVRPCADGWTGE-UHFFFAOYSA-N 0 1 320.502 3.305 20 30 DGEDMN C#CCCOC(=O)N1CCN(Cc2ccccc2)[C@H](CCC)C1 ZINC001668253185 1197406215 /nfs/dbraw/zinc/40/62/15/1197406215.db2.gz WCGUQMCANXIFEP-GOSISDBHSA-N 0 1 314.429 3.133 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccn(C(C)C)c1C ZINC001668528719 1197418475 /nfs/dbraw/zinc/41/84/75/1197418475.db2.gz OCUQPRDXCVMSGW-UHFFFAOYSA-N 0 1 311.857 3.182 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CCc1cc(C)cc(C)c1 ZINC001668609739 1197422060 /nfs/dbraw/zinc/42/20/60/1197422060.db2.gz SULQPFFYKOFWSA-UHFFFAOYSA-N 0 1 322.880 3.427 20 30 DGEDMN C=CCC1(C(=O)NC/C=C\CNCc2ccccc2F)CCC1 ZINC001669021185 1197437700 /nfs/dbraw/zinc/43/77/00/1197437700.db2.gz RVIVFEYVDMBPFV-WAYWQWQTSA-N 0 1 316.420 3.334 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001669368765 1197450683 /nfs/dbraw/zinc/45/06/83/1197450683.db2.gz KLMVRXNBCONCRD-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN CCC[C@H](C(=O)N[C@H](C)CNCc1ccccc1C#N)C(C)C ZINC001669411739 1197453808 /nfs/dbraw/zinc/45/38/08/1197453808.db2.gz UQNAHFQGIYNAMW-QAPCUYQASA-N 0 1 315.461 3.225 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CNCc1cc(F)ccc1F ZINC001669644335 1197467203 /nfs/dbraw/zinc/46/72/03/1197467203.db2.gz APWLJXFXMWJWCY-STQMWFEESA-N 0 1 310.388 3.161 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccccc1-c1ccccc1 ZINC001669920848 1197504094 /nfs/dbraw/zinc/50/40/94/1197504094.db2.gz NWSUFGRZXDZZJZ-KRWDZBQOSA-N 0 1 320.436 3.427 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@H]1CNCc1ncc(C)o1 ZINC001669956691 1197511291 /nfs/dbraw/zinc/51/12/91/1197511291.db2.gz WLSFVZRJEOSVKQ-HOTGVXAUSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1CNCc1nocc1C ZINC001669967499 1197512576 /nfs/dbraw/zinc/51/25/76/1197512576.db2.gz LXSRGGXJWDLRCA-JKSUJKDBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2c(C)noc2C)CC1 ZINC001671423410 1197539399 /nfs/dbraw/zinc/53/93/99/1197539399.db2.gz PKFRPFXLWDLJPI-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(CC(=C)Cl)C3)CCC1 ZINC001672166370 1197594213 /nfs/dbraw/zinc/59/42/13/1197594213.db2.gz IVCRPMNSTHOSFI-JYJNAYRXSA-N 0 1 322.880 3.454 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCC(F)F)c1ccccc1CC ZINC001672177588 1197595011 /nfs/dbraw/zinc/59/50/11/1197595011.db2.gz YQKLQWDBPVWZSN-MRXNPFEDSA-N 0 1 322.399 3.065 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001672348177 1197606789 /nfs/dbraw/zinc/60/67/89/1197606789.db2.gz KJKLLDDXNDLWRF-MUJYYYPQSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](C)CCCCC)[C@@H]2C1 ZINC001672562777 1197617965 /nfs/dbraw/zinc/61/79/65/1197617965.db2.gz HNBDTRYZBRFBOX-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(F)c(C)c1 ZINC001672929650 1197629155 /nfs/dbraw/zinc/62/91/55/1197629155.db2.gz ONVCXGZSRANRGO-UHFFFAOYSA-N 0 1 324.399 3.129 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C3(CC)CCC3)cccc2C1 ZINC001673079484 1197636673 /nfs/dbraw/zinc/63/66/73/1197636673.db2.gz BQNPICJSKWNYPE-UHFFFAOYSA-N 0 1 324.468 3.265 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001673392263 1197655387 /nfs/dbraw/zinc/65/53/87/1197655387.db2.gz XMQRAOJACVQKEN-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001673392264 1197655457 /nfs/dbraw/zinc/65/54/57/1197655457.db2.gz XMQRAOJACVQKEN-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2(C(C)(F)F)CC2)CC1 ZINC001673396224 1197655770 /nfs/dbraw/zinc/65/57/70/1197655770.db2.gz CYQUQKHDSJYSRQ-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@H]1[C@@H]1CCCN(CCF)C1 ZINC001673495651 1197669094 /nfs/dbraw/zinc/66/90/94/1197669094.db2.gz BGGQWNPQHDEKAC-MSOLQXFVSA-N 0 1 322.468 3.243 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)CCCC)cc2C1 ZINC001673760057 1197690798 /nfs/dbraw/zinc/69/07/98/1197690798.db2.gz FCTFQQHSAIPMPT-INIZCTEOSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN(CCCF)C2 ZINC001673765097 1197691172 /nfs/dbraw/zinc/69/11/72/1197691172.db2.gz JUGJHORVSQIBEE-UHFFFAOYSA-N 0 1 304.409 3.334 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)Cc3ccccc3)cc2C1 ZINC001673765601 1197692097 /nfs/dbraw/zinc/69/20/97/1197692097.db2.gz TYLWOSRARXGODR-UHFFFAOYSA-N 0 1 320.436 3.437 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C)(C)CC(F)F)C[C@@H]1C ZINC001673893584 1197702972 /nfs/dbraw/zinc/70/29/72/1197702972.db2.gz XZBKHJUXQGMEEV-CMPLNLGQSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@H](C)C1 ZINC001674140142 1197738035 /nfs/dbraw/zinc/73/80/35/1197738035.db2.gz DWCHUKSYTMGJBG-CABCVRRESA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NCc1ncoc1C(C)C ZINC001674220692 1197747879 /nfs/dbraw/zinc/74/78/79/1197747879.db2.gz CEBUQSQLCNSWEU-AWEZNQCLSA-N 0 1 321.465 3.385 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001674486342 1197785079 /nfs/dbraw/zinc/78/50/79/1197785079.db2.gz IUJIFBCQNKWNDE-YRXWBPOGSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@@H](C)NCc1c(F)cccc1Cl ZINC001674659907 1197823201 /nfs/dbraw/zinc/82/32/01/1197823201.db2.gz BBMJATOPNTVNOJ-CHWSQXEVSA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cn(C)c2ccccc12 ZINC001674694213 1197836444 /nfs/dbraw/zinc/83/64/44/1197836444.db2.gz RCADVNDJDUNOJG-QWHCGFSZSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1c(C)onc1CC ZINC001674716980 1197845240 /nfs/dbraw/zinc/84/52/40/1197845240.db2.gz QXADSBGZNZXHDE-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN C#CCN1CCC(NC(=O)NCCCCCCCCC)CC1 ZINC001675088715 1197984215 /nfs/dbraw/zinc/98/42/15/1197984215.db2.gz YUNZVBBBIKLLOQ-UHFFFAOYSA-N 0 1 307.482 3.134 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001675343861 1198048986 /nfs/dbraw/zinc/04/89/86/1198048986.db2.gz WUSKVCIAWOSSNK-OAHLLOKOSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001675349673 1198050592 /nfs/dbraw/zinc/05/05/92/1198050592.db2.gz XTQXVWSXBDSDKX-SJORKVTESA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001675363159 1198053576 /nfs/dbraw/zinc/05/35/76/1198053576.db2.gz FNZAPJFSULZGLP-QWHCGFSZSA-N 0 1 306.837 3.066 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CN(C[C@H](F)CC)CC1(C)C ZINC001675467171 1198074819 /nfs/dbraw/zinc/07/48/19/1198074819.db2.gz AAOMUSLAXUCUKS-CVEARBPZSA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(F)cc1 ZINC001676194038 1198246061 /nfs/dbraw/zinc/24/60/61/1198246061.db2.gz SDOVTPOFPQNTMV-OAHLLOKOSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@]1(C)CC=CCC1 ZINC001676221640 1198252418 /nfs/dbraw/zinc/25/24/18/1198252418.db2.gz GKXYHAAMWHMBFD-WBVHZDCISA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@@H]1C=CCCC1 ZINC001676227809 1198254086 /nfs/dbraw/zinc/25/40/86/1198254086.db2.gz GUIFJPSHFNRWSX-GDBMZVCRSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001677253678 1198501623 /nfs/dbraw/zinc/50/16/23/1198501623.db2.gz ZGEUVTDRRFKICM-ZDUSSCGKSA-N 0 1 323.506 3.366 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CCN(Cc2cc(C)ns2)CC1 ZINC001677328326 1198516255 /nfs/dbraw/zinc/51/62/55/1198516255.db2.gz MVZHUAQECOTCBC-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2conc2C)CC1 ZINC001677332921 1198516733 /nfs/dbraw/zinc/51/67/33/1198516733.db2.gz QALYRKJOOZYREI-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN N#Cc1cc(F)c(O[C@@H]2CCN(Cc3ccccc3)C2)c(F)c1 ZINC000303377010 1199594236 /nfs/dbraw/zinc/59/42/36/1199594236.db2.gz PNQXCUUFYSDTCN-OAHLLOKOSA-N 0 1 314.335 3.490 20 30 DGEDMN N#CCOc1cccc(CNCc2cnn(-c3ccccc3)c2)c1 ZINC000113346996 1199667064 /nfs/dbraw/zinc/66/70/64/1199667064.db2.gz YZNPQJVOBAAXLJ-UHFFFAOYSA-N 0 1 318.380 3.064 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1ccccc1 ZINC001688184073 1199711253 /nfs/dbraw/zinc/71/12/53/1199711253.db2.gz OQOIGHQFWXVSJH-FQEVSTJZSA-N 0 1 318.420 3.039 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cscc2C(F)F)CC1 ZINC001688695702 1199834911 /nfs/dbraw/zinc/83/49/11/1199834911.db2.gz GLNFNGJLRVSCNM-UHFFFAOYSA-N 0 1 314.401 3.410 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001753853485 1199999680 /nfs/dbraw/zinc/99/96/80/1199999680.db2.gz APHWSRBPWYEOHE-UHFFFAOYSA-N 0 1 324.415 3.457 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccsc1 ZINC001689079571 1200021518 /nfs/dbraw/zinc/02/15/18/1200021518.db2.gz QTTKLWOEZRCPCT-RISCZKNCSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC(F)(F)F)CC[C@@H]21 ZINC001753872071 1200024940 /nfs/dbraw/zinc/02/49/40/1200024940.db2.gz NHPYRYDCZVDNCU-NEPJUHHUSA-N 0 1 324.774 3.004 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cc(C)co1 ZINC001689096871 1200026792 /nfs/dbraw/zinc/02/67/92/1200026792.db2.gz ICYWXUPKDKVAFF-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001753963088 1200051997 /nfs/dbraw/zinc/05/19/97/1200051997.db2.gz DYQIQFZMNVUFBI-RNQWEJQRSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001689312696 1200090234 /nfs/dbraw/zinc/09/02/34/1200090234.db2.gz HXEWAFGSCSKPRS-IKGGRYGDSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1ccccc1Cl ZINC001754080465 1200098235 /nfs/dbraw/zinc/09/82/35/1200098235.db2.gz YWMHHVMQAFXKBG-UHFFFAOYSA-N 0 1 315.244 3.073 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC1(C=C)CCCCC1 ZINC001689352487 1200104906 /nfs/dbraw/zinc/10/49/06/1200104906.db2.gz GACJPEOAYLYJEZ-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CN[C@H](C)c1ccc(F)cc1F ZINC001754115995 1200108890 /nfs/dbraw/zinc/10/88/90/1200108890.db2.gz MFTHRFBQTWNVCU-LMVHVUTASA-N 0 1 320.383 3.091 20 30 DGEDMN CC(C)(C(=O)NC/C=C/CNCC#Cc1ccccc1)C1CCC1 ZINC001754153453 1200115327 /nfs/dbraw/zinc/11/53/27/1200115327.db2.gz GXQWLFKAXSZWEK-VOTSOKGWSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1cc(C)ccc1F ZINC001754156231 1200116433 /nfs/dbraw/zinc/11/64/33/1200116433.db2.gz IZDORLFOPHOJAS-VOTSOKGWSA-N 0 1 304.409 3.108 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c(C)cccc1Cl ZINC001754162970 1200119475 /nfs/dbraw/zinc/11/94/75/1200119475.db2.gz GSWKRAUVWIYYEC-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CNCc1cc(F)ccc1F ZINC001754238982 1200146239 /nfs/dbraw/zinc/14/62/39/1200146239.db2.gz APWLJXFXMWJWCY-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccsc1C(F)F ZINC001754252886 1200155563 /nfs/dbraw/zinc/15/55/63/1200155563.db2.gz NYPSPWOXWBKHAQ-MRVPVSSYSA-N 0 1 308.781 3.146 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001754280632 1200169878 /nfs/dbraw/zinc/16/98/78/1200169878.db2.gz DBFNNPYHTXXFTH-BLLLJJGKSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1ccc(CCCC)cc1 ZINC001754488770 1200298575 /nfs/dbraw/zinc/29/85/75/1200298575.db2.gz JZAWBTIEVQNOFQ-LJQANCHMSA-N 0 1 314.473 3.338 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001689834478 1200300759 /nfs/dbraw/zinc/30/07/59/1200300759.db2.gz LXRQVKSWCONBAL-IBGZPJMESA-N 0 1 313.445 3.099 20 30 DGEDMN CCCC[C@H](C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001754513339 1200330453 /nfs/dbraw/zinc/33/04/53/1200330453.db2.gz PIDFVFLIXBMTKC-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CCC)s2)CC1 ZINC001754514839 1200330714 /nfs/dbraw/zinc/33/07/14/1200330714.db2.gz KCVFLTVRSLEKHJ-UHFFFAOYSA-N 0 1 312.866 3.305 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H]2CCC[C@@H](C1)N2[C@H](C)c1ccccc1 ZINC001754552302 1200359171 /nfs/dbraw/zinc/35/91/71/1200359171.db2.gz FSPDYEHFEIQKCL-RLLQIKCJSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001754552458 1200359272 /nfs/dbraw/zinc/35/92/72/1200359272.db2.gz ILRGFHNQBHAXBJ-KURKYZTESA-N 0 1 322.468 3.384 20 30 DGEDMN C#CCCCC(=O)N(C)C1CCN(Cc2ccccc2F)CC1 ZINC001690020852 1200369383 /nfs/dbraw/zinc/36/93/83/1200369383.db2.gz YLQNVCRXRWPFCQ-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CN(CC2CCC3(CC3)CC2)C1 ZINC001754580205 1200375745 /nfs/dbraw/zinc/37/57/45/1200375745.db2.gz QSUCSIPCFPAUKD-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN([C@@H]2CCc3c2cccc3F)C1 ZINC001690093419 1200394774 /nfs/dbraw/zinc/39/47/74/1200394774.db2.gz XOHZRAHEEOOQNC-KBXCAEBGSA-N 0 1 316.420 3.360 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@@H]2CCc3c2cccc3F)C1 ZINC001690093422 1200398036 /nfs/dbraw/zinc/39/80/36/1200398036.db2.gz XOHZRAHEEOOQNC-RDTXWAMCSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCCC(=O)N(CCC)C1CCN(Cc2cnoc2C)CC1 ZINC001690132609 1200412515 /nfs/dbraw/zinc/41/25/15/1200412515.db2.gz IPIYNVHJOIFTCH-UHFFFAOYSA-N 0 1 319.449 3.152 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1c(F)cccc1F)C1CC1 ZINC001690153137 1200423916 /nfs/dbraw/zinc/42/39/16/1200423916.db2.gz GMUKHLFDMAZVHX-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1c(C)cccc1C)C1CC1 ZINC001690169110 1200430741 /nfs/dbraw/zinc/43/07/41/1200430741.db2.gz UJTJLOIOCKNVPY-OAHLLOKOSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C12CC(C(C)(C)C)(C1)C2)C1CC1 ZINC001690180831 1200437237 /nfs/dbraw/zinc/43/72/37/1200437237.db2.gz WKJLXGVSJVOROU-RWBZWWBESA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)Cc2ccc(C)o2)C1 ZINC001690187553 1200444742 /nfs/dbraw/zinc/44/47/42/1200444742.db2.gz UWDWPKOBIPRFNW-HNNXBMFYSA-N 0 1 324.852 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001690288995 1200480557 /nfs/dbraw/zinc/48/05/57/1200480557.db2.gz PXWJAWCZOZXQRM-XMZVZTNKSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C2CCC2)C1 ZINC001754744026 1200484196 /nfs/dbraw/zinc/48/41/96/1200484196.db2.gz VGKMQDRDSNFJHL-ZFWWWQNUSA-N 0 1 314.420 3.072 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(C)C)c1ccccc1CC ZINC001754785133 1200487111 /nfs/dbraw/zinc/48/71/11/1200487111.db2.gz IPANNAAPJBXLKT-GOSISDBHSA-N 0 1 300.446 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(C)CCC1)c1ccccc1CC ZINC001754784480 1200487711 /nfs/dbraw/zinc/48/77/11/1200487711.db2.gz DBMMQZHUAGZACT-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN CC#CCN[C@H](CNC(=O)/C=C(/C)C1CC1)c1ccccc1CC ZINC001754784653 1200488132 /nfs/dbraw/zinc/48/81/32/1200488132.db2.gz DSNYSONVCOUVEO-FZWACIFYSA-N 0 1 324.468 3.376 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CCNCc2ncc(C(C)C)o2)C1 ZINC001754906778 1200533459 /nfs/dbraw/zinc/53/34/59/1200533459.db2.gz HYLOAPNDQLSJLW-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN CCCCC(=O)NC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001690438755 1200555473 /nfs/dbraw/zinc/55/54/73/1200555473.db2.gz OPTHYDHSOVOJSU-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccc(C)c(Cl)c1 ZINC001690592429 1200638916 /nfs/dbraw/zinc/63/89/16/1200638916.db2.gz YMQKCYBUWDBDFL-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001690591142 1200639250 /nfs/dbraw/zinc/63/92/50/1200639250.db2.gz JQWBJJQKEZJYHQ-NSHDSACASA-N 0 1 321.852 3.230 20 30 DGEDMN C=CCCC[N@H+]1CC[C@H](NC(=O)c2ccccc2O)C(C)(C)C1 ZINC001755136615 1200654061 /nfs/dbraw/zinc/65/40/61/1200654061.db2.gz CLUSOTOXGMAGCQ-KRWDZBQOSA-N 0 1 316.445 3.189 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001755142059 1200659694 /nfs/dbraw/zinc/65/96/94/1200659694.db2.gz MXWPLCOJBMJGSK-ROUUACIJSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2conc2C)[C@H](C)C1 ZINC001755160524 1200666375 /nfs/dbraw/zinc/66/63/75/1200666375.db2.gz PMJMRKJZFCIGQY-CJNGLKHVSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1sccc1C(C)C ZINC001690649938 1200670702 /nfs/dbraw/zinc/67/07/02/1200670702.db2.gz BNECVOZTEHDDIB-LLVKDONJSA-N 0 1 300.855 3.332 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2c3ccccc3CCN2C(C)C)C1 ZINC001755171868 1200678214 /nfs/dbraw/zinc/67/82/14/1200678214.db2.gz FNYGHWRZPVPKID-GOSISDBHSA-N 0 1 312.457 3.467 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1CN(C)Cc1ncccc1C ZINC001755197586 1200694457 /nfs/dbraw/zinc/69/44/57/1200694457.db2.gz KYRAYRWGWBJTHM-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)C(C)(C)C ZINC001755200897 1200698008 /nfs/dbraw/zinc/69/80/08/1200698008.db2.gz LBHGIUOBXKSXHE-SFHVURJKSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C[C@H](CC(=O)N1CCC[C@@H]1CN(C)CC#CC)c1ccccc1 ZINC001755201721 1200699003 /nfs/dbraw/zinc/69/90/03/1200699003.db2.gz WHKUIEPJCCXNTE-UYAOXDASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1Cl ZINC001755228479 1200716695 /nfs/dbraw/zinc/71/66/95/1200716695.db2.gz PDUMZICCRYOMDN-VXGBXAGGSA-N 0 1 312.816 3.428 20 30 DGEDMN CCC(=CC(=O)N[C@@H](C)[C@H](C)NCc1ccccc1C#N)CC ZINC001755229389 1200718098 /nfs/dbraw/zinc/71/80/98/1200718098.db2.gz BJCKRXNDNMOSLB-GJZGRUSLSA-N 0 1 313.445 3.287 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2oc(C)nc2C)C1 ZINC001690819267 1200733257 /nfs/dbraw/zinc/73/32/57/1200733257.db2.gz XPQKIRPGFKYLKV-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2scnc21 ZINC001755252270 1200738124 /nfs/dbraw/zinc/73/81/24/1200738124.db2.gz IWICPBHKGREMCL-QWRGUYRKSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2ccncc2Cl)C1 ZINC001755252439 1200738132 /nfs/dbraw/zinc/73/81/32/1200738132.db2.gz HWVRMJQPPATEPB-STQMWFEESA-N 0 1 321.852 3.074 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C)cc1Cl ZINC001755256402 1200740955 /nfs/dbraw/zinc/74/09/55/1200740955.db2.gz JMYHOWQLUFJQFY-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2ocnc2C)CCCC1 ZINC001755268819 1200751021 /nfs/dbraw/zinc/75/10/21/1200751021.db2.gz LBWZNZQJSDGQQG-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1sccc1C1CC1 ZINC001755270583 1200752217 /nfs/dbraw/zinc/75/22/17/1200752217.db2.gz YHFPXZHHMPAYHG-WDEREUQCSA-N 0 1 312.866 3.474 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CC(C)(C)CNCc1cc(C)on1 ZINC001755285932 1200763238 /nfs/dbraw/zinc/76/32/38/1200763238.db2.gz OKOCPPREZWIRHR-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)Cc2ccc(F)c(F)c2)C1 ZINC001755313946 1200798547 /nfs/dbraw/zinc/79/85/47/1200798547.db2.gz BIXDCPNCOAITNA-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCC(C2CC2)CC1)c1ccccc1 ZINC001691799455 1200812594 /nfs/dbraw/zinc/81/25/94/1200812594.db2.gz BUSZHZUYDFDMBC-UUKMXZOPSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C2CCCCC2)CC1)c1ccccc1 ZINC001691801090 1200814524 /nfs/dbraw/zinc/81/45/24/1200814524.db2.gz OKFKWHJVVNGGGX-IBGZPJMESA-N 0 1 324.468 3.427 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)CCC)C1 ZINC001691836224 1200829359 /nfs/dbraw/zinc/82/93/59/1200829359.db2.gz KMJLMGDCNUAOCK-YTQUADARSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H](F)C(C)C)C1 ZINC001691841551 1200830476 /nfs/dbraw/zinc/83/04/76/1200830476.db2.gz DJKXSRPTLBROGS-RCCFBDPRSA-N 0 1 318.436 3.141 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2C)CC1(C)C ZINC001755408899 1200882579 /nfs/dbraw/zinc/88/25/79/1200882579.db2.gz VXNVHHPFQYYRLT-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)Cc2ccccc2F)C(C)(C)C1 ZINC001755411195 1200885233 /nfs/dbraw/zinc/88/52/33/1200885233.db2.gz IFCNNNYUAWSDHQ-YOEHRIQHSA-N 0 1 318.436 3.017 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)CCCF)C2)CC1 ZINC001691960019 1200886882 /nfs/dbraw/zinc/88/68/82/1200886882.db2.gz IYUNYGLMWXXIQO-AWEZNQCLSA-N 0 1 316.848 3.192 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)OC2CCCCCC2)C(C)(C)C1 ZINC001755413684 1200888799 /nfs/dbraw/zinc/88/87/99/1200888799.db2.gz HLUPFEWZWBFURZ-RDJZCZTQSA-N 0 1 322.493 3.127 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)[C@@H](C)C(C)(C)C)C2)C1 ZINC001755457926 1200905405 /nfs/dbraw/zinc/90/54/05/1200905405.db2.gz XJNITWZBQAVWEU-IAGOWNOFSA-N 0 1 318.505 3.252 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001755566496 1200957059 /nfs/dbraw/zinc/95/70/59/1200957059.db2.gz VGZOOOSMNZINIU-UXLLHSPISA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@@H](F)c1ccccc1 ZINC001755567834 1200958196 /nfs/dbraw/zinc/95/81/96/1200958196.db2.gz XZNSNYTYVHYDBS-CVEARBPZSA-N 0 1 324.827 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@@H](C)c1ccco1 ZINC001755568168 1200958436 /nfs/dbraw/zinc/95/84/36/1200958436.db2.gz FUNQXHBLAREVKH-DOMZBBRYSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1(F)CCCCC1 ZINC001755568215 1200958702 /nfs/dbraw/zinc/95/87/02/1200958702.db2.gz GOWNERDDZCIVPO-CQSZACIVSA-N 0 1 316.848 3.238 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1nsc2ccccc12 ZINC001755580108 1200967498 /nfs/dbraw/zinc/96/74/98/1200967498.db2.gz QOIQMOUPGPPGLF-ZDUSSCGKSA-N 0 1 317.458 3.199 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)C(C)(C)C(C)C ZINC001755589169 1200973311 /nfs/dbraw/zinc/97/33/11/1200973311.db2.gz NVGKJVWCKLULLK-GFCCVEGCSA-N 0 1 319.287 3.014 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2cccc(Cl)c2)C1 ZINC001692216930 1200973571 /nfs/dbraw/zinc/97/35/71/1200973571.db2.gz BMXGJASADMZSHT-CQSZACIVSA-N 0 1 320.864 3.428 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CN(CC2CCC3(CC3)CC2)C1 ZINC001692228181 1200976154 /nfs/dbraw/zinc/97/61/54/1200976154.db2.gz WECHAORUOQDBKQ-SFHVURJKSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001755605436 1200983651 /nfs/dbraw/zinc/98/36/51/1200983651.db2.gz DRRRLTCZQCYGRY-OAHLLOKOSA-N 0 1 320.481 3.003 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(F)cc(Cl)c1 ZINC001755606040 1200984743 /nfs/dbraw/zinc/98/47/43/1200984743.db2.gz JSFLAHRHTSWZQZ-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(Cl)cc1F ZINC001692254581 1200989571 /nfs/dbraw/zinc/98/95/71/1200989571.db2.gz KIGOPUXSVHTBHS-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2Cc3ccc(Cl)cc32)C1 ZINC001692258654 1200991662 /nfs/dbraw/zinc/99/16/62/1200991662.db2.gz YOEIGMLXHDOWDE-PXAZEXFGSA-N 0 1 318.848 3.135 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)Cc2ccccc2)C1 ZINC001692267248 1200995170 /nfs/dbraw/zinc/99/51/70/1200995170.db2.gz VVZHOPCBNXPUQK-HZPDHXFCSA-N 0 1 300.446 3.020 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)Cc1ccccc1 ZINC001755618575 1200995387 /nfs/dbraw/zinc/99/53/87/1200995387.db2.gz WTVUZTURVADWTP-HIFRSBDPSA-N 0 1 308.853 3.054 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@@H]2CCc3ccc(Cl)cc32)C1 ZINC001692287953 1201007425 /nfs/dbraw/zinc/00/74/25/1201007425.db2.gz DPSNTXCWXWXSEM-QGZVFWFLSA-N 0 1 318.848 3.342 20 30 DGEDMN C#CCCCC(=O)NCC1CN(Cc2ccc(C3CCC3)cc2)C1 ZINC001692297690 1201009881 /nfs/dbraw/zinc/00/98/81/1201009881.db2.gz RYKAIKHRDJHRBJ-UHFFFAOYSA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C[C@@H]1C ZINC001755683578 1201012686 /nfs/dbraw/zinc/01/26/86/1201012686.db2.gz YHPJORHODHWNHJ-XJKSGUPXSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCC(=O)N[C@@]12CCC[C@H]1N(Cc1ccccc1F)CC2 ZINC001755696060 1201022489 /nfs/dbraw/zinc/02/24/89/1201022489.db2.gz JSXGJTKNTZFKBU-IEBWSBKVSA-N 0 1 316.420 3.405 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001755714683 1201034086 /nfs/dbraw/zinc/03/40/86/1201034086.db2.gz OKHYBEAILPKGOE-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C1CC1 ZINC001692357924 1201035604 /nfs/dbraw/zinc/03/56/04/1201035604.db2.gz JAVCDSLIKADDBM-ROUUACIJSA-N 0 1 310.441 3.315 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001755728059 1201049663 /nfs/dbraw/zinc/04/96/63/1201049663.db2.gz KVSLXNBLOSKQCG-GOEBONIOSA-N 0 1 304.459 3.021 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001755728058 1201050123 /nfs/dbraw/zinc/05/01/23/1201050123.db2.gz KVSLXNBLOSKQCG-GDBMZVCRSA-N 0 1 304.459 3.021 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCC(C)C)CC1(C)C ZINC001755762086 1201066406 /nfs/dbraw/zinc/06/64/06/1201066406.db2.gz VJZVAUXXFSUUBE-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCCC(F)(F)C1)C(C)C ZINC001755812493 1201076735 /nfs/dbraw/zinc/07/67/35/1201076735.db2.gz QWGZNWSMLAKPOJ-OAHLLOKOSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCCC(=O)N(C)C1CC(NCc2cc(O)ccc2Cl)C1 ZINC001755854603 1201108483 /nfs/dbraw/zinc/10/84/83/1201108483.db2.gz NPSZZHHUSWMDNI-UHFFFAOYSA-N 0 1 322.836 3.091 20 30 DGEDMN CC#CCCCC(=O)NC1(C2CCN(C/C=C/Cl)CC2)CC1 ZINC001755868898 1201115125 /nfs/dbraw/zinc/11/51/25/1201115125.db2.gz LJGYNZKLUYPVIE-WUXMJOGZSA-N 0 1 322.880 3.293 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001698560597 1201230084 /nfs/dbraw/zinc/23/00/84/1201230084.db2.gz MHWNNXOISAZVAL-CRAIPNDOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)[C@H](F)Cc2ccccc2)C1 ZINC001698587142 1201261771 /nfs/dbraw/zinc/26/17/71/1201261771.db2.gz NFYRCEMVCTZMBL-QZTJIDSGSA-N 0 1 318.436 3.066 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](CC)CC(C)C ZINC001698732946 1201371676 /nfs/dbraw/zinc/37/16/76/1201371676.db2.gz AELKIEAGBJXAPX-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001698731284 1201371876 /nfs/dbraw/zinc/37/18/76/1201371876.db2.gz LWXZGHLTMQZPKA-JATZPVMKSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001698787932 1201417148 /nfs/dbraw/zinc/41/71/48/1201417148.db2.gz BJTZPDVYKVDBPZ-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001698821428 1201471877 /nfs/dbraw/zinc/47/18/77/1201471877.db2.gz GFTQETKIKZOKBD-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1(C)CCCC1 ZINC001698871278 1201530123 /nfs/dbraw/zinc/53/01/23/1201530123.db2.gz LXRGXBNCMFACLZ-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1ccc(C)cc1 ZINC001698876179 1201537593 /nfs/dbraw/zinc/53/75/93/1201537593.db2.gz ZCMOTLVOYFBRNM-QGZVFWFLSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)Cc2ccc(F)cc2C)C1 ZINC001698996930 1201664737 /nfs/dbraw/zinc/66/47/37/1201664737.db2.gz PPKCNJZODWUEHA-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)c1ccccc1C ZINC001750928493 1201723569 /nfs/dbraw/zinc/72/35/69/1201723569.db2.gz IRGUJUVFNSYSPL-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(Cl)c(C)c1 ZINC001699200581 1201750784 /nfs/dbraw/zinc/75/07/84/1201750784.db2.gz MHQXTAVRBRGIBN-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN CN(CCCNC(=O)/C=C\C(C)(C)C)Cc1ccc(C#N)s1 ZINC001751951969 1201755103 /nfs/dbraw/zinc/75/51/03/1201755103.db2.gz BVSBBGZRCCZYCH-HJWRWDBZSA-N 0 1 319.474 3.160 20 30 DGEDMN CN(CCCNC(=O)/C=C/C(C)(C)C)Cc1ccc(C#N)s1 ZINC001751951967 1201756102 /nfs/dbraw/zinc/75/61/02/1201756102.db2.gz BVSBBGZRCCZYCH-CMDGGOBGSA-N 0 1 319.474 3.160 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1cc(F)cc(F)c1 ZINC001699303292 1201783481 /nfs/dbraw/zinc/78/34/81/1201783481.db2.gz IBMNYCGUCCRXIU-MJRGOJFPSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(Cl)c(F)c1 ZINC001699312425 1201787485 /nfs/dbraw/zinc/78/74/85/1201787485.db2.gz VYWCNWJFMHLZBS-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C)c2c1CCC2 ZINC001699345161 1201796537 /nfs/dbraw/zinc/79/65/37/1201796537.db2.gz MSECIAAGGGUVTH-ONEGZZNKSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C)c2cccnc12 ZINC001699371404 1201816649 /nfs/dbraw/zinc/81/66/49/1201816649.db2.gz JVHLBSSKCOBWSC-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@]1(C)CC=C(C)CC1)C1CC1 ZINC001752498907 1201856014 /nfs/dbraw/zinc/85/60/14/1201856014.db2.gz GSHFUDAZQVBLKN-WBVHZDCISA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(Cl)ccc1Cl ZINC001699428869 1201860438 /nfs/dbraw/zinc/86/04/38/1201860438.db2.gz UJWGWKXBOKVJFV-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)c1ccc(C)cc1 ZINC001699427781 1201861299 /nfs/dbraw/zinc/86/12/99/1201861299.db2.gz LYPHIEJHUWCWIB-AWEZNQCLSA-N 0 1 308.853 3.119 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2cc(C)ccc2s1 ZINC001699469887 1201912086 /nfs/dbraw/zinc/91/20/86/1201912086.db2.gz ACYWRCUKDAIDLN-CQSZACIVSA-N 0 1 314.454 3.283 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001752830574 1201956827 /nfs/dbraw/zinc/95/68/27/1201956827.db2.gz NHWLDAXZPXJGGX-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001699589941 1201961129 /nfs/dbraw/zinc/96/11/29/1201961129.db2.gz YGUCSPPWJGCFNN-FSBNRTBOSA-N 0 1 319.276 3.392 20 30 DGEDMN C[C@H](CNC(=O)CC(C)(C)C)NCC#Cc1ccc(Cl)cc1 ZINC001752861905 1201981439 /nfs/dbraw/zinc/98/14/39/1201981439.db2.gz XIWBJEKSNINJBG-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2CC/C=C\CCC)cc1 ZINC001699625445 1201987252 /nfs/dbraw/zinc/98/72/52/1201987252.db2.gz DEKKGEUGFGDPCR-LIXSYLKWSA-N 0 1 310.441 3.218 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001752874193 1201987579 /nfs/dbraw/zinc/98/75/79/1201987579.db2.gz CAGGDORQBIDQJI-CYBMUJFWSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1csc2ccccc21 ZINC001752890646 1201996034 /nfs/dbraw/zinc/99/60/34/1201996034.db2.gz RPZRHNAEHSKFOV-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C[C@H](CNC(=O)C1(C2CCC2)CCC1)NCC#Cc1ccccc1 ZINC001752889830 1201997192 /nfs/dbraw/zinc/99/71/92/1201997192.db2.gz ATVXSRWMHNVRHK-QGZVFWFLSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001699636037 1202001080 /nfs/dbraw/zinc/00/10/80/1202001080.db2.gz VGPRGQLLERNLDK-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001752915483 1202010726 /nfs/dbraw/zinc/01/07/26/1202010726.db2.gz XPNGLCWSNYWXNJ-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CNCc2ncoc2C(C)C)C1 ZINC001752949391 1202020028 /nfs/dbraw/zinc/02/00/28/1202020028.db2.gz GAHXIXQIUXMFAL-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CC(C)(C)C ZINC001699682271 1202030938 /nfs/dbraw/zinc/03/09/38/1202030938.db2.gz LQGXMWSKPIMAGR-BBWFWOEESA-N 0 1 304.478 3.147 20 30 DGEDMN C=CC[C@@H](C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC)c1ccccc1 ZINC001699698742 1202038244 /nfs/dbraw/zinc/03/82/44/1202038244.db2.gz VFQFGSPBLIPASN-CEXWTWQISA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2oc(C)nc2C)C1 ZINC001752992408 1202043064 /nfs/dbraw/zinc/04/30/64/1202043064.db2.gz LMMFCAQAZJQSDB-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CC[C@H](C(=O)N1CCC[C@H](N(C)CCF)C1)c1ccccc1 ZINC001753001178 1202051373 /nfs/dbraw/zinc/05/13/73/1202051373.db2.gz YBIPSWQWQIGCTO-ROUUACIJSA-N 0 1 318.436 3.239 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001699723027 1202052309 /nfs/dbraw/zinc/05/23/09/1202052309.db2.gz LSXBZQSYDGLTLH-QUCCMNQESA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](CCC)C(C)C ZINC001699760843 1202068594 /nfs/dbraw/zinc/06/85/94/1202068594.db2.gz SIHSKKLAHPERSL-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN(C(=O)C(CC)CC)C1CCN(C/C=C/Cl)CC1 ZINC001699778179 1202076445 /nfs/dbraw/zinc/07/64/45/1202076445.db2.gz HPFPZTAMUUZRHS-JXMROGBWSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C(=O)C(C)=C1CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001699783076 1202077414 /nfs/dbraw/zinc/07/74/14/1202077414.db2.gz SGEADEVBPSIJPP-QGZVFWFLSA-N 0 1 320.452 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)CCC)C1CCCC1 ZINC001699793392 1202081040 /nfs/dbraw/zinc/08/10/40/1202081040.db2.gz SXOMZVHJYBMYGO-WFASDCNBSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C(\C)C1CC1)C1CCCC1 ZINC001699793578 1202081194 /nfs/dbraw/zinc/08/11/94/1202081194.db2.gz TYYPAGNYMWWSTL-YHVDPYDOSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCCCC(=O)NCCN(C)[C@H]1CCc2c1cc(F)cc2F ZINC001753060945 1202090601 /nfs/dbraw/zinc/09/06/01/1202090601.db2.gz HYBRQBOHTZITJN-KRWDZBQOSA-N 0 1 322.399 3.356 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001753061339 1202091039 /nfs/dbraw/zinc/09/10/39/1202091039.db2.gz GQHZWPMXKZQHQR-AWEZNQCLSA-N 0 1 308.853 3.490 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001753068724 1202096769 /nfs/dbraw/zinc/09/67/69/1202096769.db2.gz PWMYWUISMYMIEQ-OAHLLOKOSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C(CC(C)C)CC(C)C)C1 ZINC001699823145 1202097710 /nfs/dbraw/zinc/09/77/10/1202097710.db2.gz DVHSQYLMSSXVJX-LJQANCHMSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2sccc2s1 ZINC001753085466 1202114642 /nfs/dbraw/zinc/11/46/42/1202114642.db2.gz YJOXAGZNTFJEDF-UHFFFAOYSA-N 0 1 306.456 3.038 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@]1(C)CCCN(C/C=C\Cl)C1 ZINC001699872454 1202128513 /nfs/dbraw/zinc/12/85/13/1202128513.db2.gz SFAAJXFVQMDSBT-IVEQORNPSA-N 0 1 310.869 3.007 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)Cc1ccc(CCCC)cc1 ZINC001753105083 1202137047 /nfs/dbraw/zinc/13/70/47/1202137047.db2.gz ZKIUYRWWZBWDRD-IBGZPJMESA-N 0 1 314.473 3.338 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC[N@H+]1Cc1ccc2cc[nH]c2c1 ZINC001753106367 1202138134 /nfs/dbraw/zinc/13/81/34/1202138134.db2.gz WWRHBESETQVEOU-SFHVURJKSA-N 0 1 323.440 3.052 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(C)oc1C)c1ccccc1CC ZINC001699934468 1202141195 /nfs/dbraw/zinc/14/11/95/1202141195.db2.gz OYHSRIDYVHFOGU-IBGZPJMESA-N 0 1 324.424 3.153 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ncccc1C ZINC001753112750 1202142033 /nfs/dbraw/zinc/14/20/33/1202142033.db2.gz GTSZGANXHGENOK-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CC[C@H](C)C1 ZINC001700089675 1202176043 /nfs/dbraw/zinc/17/60/43/1202176043.db2.gz BGPZULVZNCGFQT-DLBZAZTESA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(CCNC(=O)c1ccc(C(C)C)c(Cl)c1)C1CC1 ZINC001753165322 1202179312 /nfs/dbraw/zinc/17/93/12/1202179312.db2.gz SMBUYJAGCAEBSP-UHFFFAOYSA-N 0 1 318.848 3.291 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccccc2F)CCC1 ZINC001700140715 1202190683 /nfs/dbraw/zinc/19/06/83/1202190683.db2.gz STUGYVCXRKHSBS-UHFFFAOYSA-N 0 1 324.827 3.139 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2conc2C)CCCCC1 ZINC001753264675 1202191085 /nfs/dbraw/zinc/19/10/85/1202191085.db2.gz ZNACBSJYZXGJFS-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001753320322 1202208831 /nfs/dbraw/zinc/20/88/31/1202208831.db2.gz YVDWBZFNZFFJHB-CEXWTWQISA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@H](CNC(=O)CCC(C)C)O2 ZINC001700204609 1202210439 /nfs/dbraw/zinc/21/04/39/1202210439.db2.gz FXZVZXDJEXIBLM-IAGOWNOFSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@@H](CNC(=O)CCC(C)C)O2 ZINC001700204611 1202210689 /nfs/dbraw/zinc/21/06/89/1202210689.db2.gz FXZVZXDJEXIBLM-SJORKVTESA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCC2(CN(CCC(C)(C)C)C2)O1 ZINC001700205965 1202211554 /nfs/dbraw/zinc/21/15/54/1202211554.db2.gz IKMJGDBJQLOPIM-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)N(Cc1ccccc1)[C@@H]1CCCN(CC#CC)C1 ZINC001753361382 1202228965 /nfs/dbraw/zinc/22/89/65/1202228965.db2.gz FAZUQVMMKZZQCC-HXUWFJFHSA-N 0 1 324.468 3.469 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC[C@H]2CCC[C@@H](C)C2)C1 ZINC001753374984 1202233760 /nfs/dbraw/zinc/23/37/60/1202233760.db2.gz WECAYROFXQRYNB-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C/C=C\Cl)[C@@H](C)C1 ZINC001700316415 1202234078 /nfs/dbraw/zinc/23/40/78/1202234078.db2.gz UPZPNWNXPNRKTG-RBYQPQOCSA-N 0 1 310.869 3.292 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C/Cl ZINC001700348196 1202243407 /nfs/dbraw/zinc/24/34/07/1202243407.db2.gz JXZDRFPOQMWTNG-RUZKMCDWSA-N 0 1 318.848 3.467 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](c2ccccc2)[C@H](C)CC)C1 ZINC001753415105 1202246024 /nfs/dbraw/zinc/24/60/24/1202246024.db2.gz NDGKICKAHPEGTJ-VQIMIIECSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C)c(C)cc1Cl ZINC001700356131 1202247449 /nfs/dbraw/zinc/24/74/49/1202247449.db2.gz NIVULKNCCZBZJL-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001753433863 1202252454 /nfs/dbraw/zinc/25/24/54/1202252454.db2.gz OMLBBMRKOVEIQO-MRXNPFEDSA-N 0 1 312.413 3.432 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001700376274 1202254527 /nfs/dbraw/zinc/25/45/27/1202254527.db2.gz HDMWQGFITKKCLU-GHXNOFRVSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001753443622 1202256334 /nfs/dbraw/zinc/25/63/34/1202256334.db2.gz AFPKIHKFXNNHSL-HKUYNNGSSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001753443624 1202256956 /nfs/dbraw/zinc/25/69/56/1202256956.db2.gz AFPKIHKFXNNHSL-MJGOQNOKSA-N 0 1 315.461 3.025 20 30 DGEDMN Cc1ccccc1C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001700386556 1202257835 /nfs/dbraw/zinc/25/78/35/1202257835.db2.gz STBWPBSPUVSCEE-JKSUJKDBSA-N 0 1 321.424 3.163 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H]2CCN(CC#CC)C2)CCCCC1 ZINC001753449775 1202258744 /nfs/dbraw/zinc/25/87/44/1202258744.db2.gz MUUUHOYNNGEVJW-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCC1(C(=O)N(C)[C@@H]2CCN(C[C@H](F)CC)C2)CCCCC1 ZINC001753449329 1202259385 /nfs/dbraw/zinc/25/93/85/1202259385.db2.gz FDKPSTKGEYKXNK-IAGOWNOFSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2c1CCCC2 ZINC001700401266 1202262949 /nfs/dbraw/zinc/26/29/49/1202262949.db2.gz NXEIOBUMOXRIBD-KGLIPLIRSA-N 0 1 320.864 3.414 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(F)F)o1 ZINC001700425549 1202269451 /nfs/dbraw/zinc/26/94/51/1202269451.db2.gz PNCQGDGBKIPICY-IUCAKERBSA-N 0 1 306.740 3.066 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(C2CCC2)CCC1 ZINC001700528019 1202306413 /nfs/dbraw/zinc/30/64/13/1202306413.db2.gz AFUIAUNNEHOUNB-SFHVURJKSA-N 0 1 316.489 3.341 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC001753651316 1202306930 /nfs/dbraw/zinc/30/69/30/1202306930.db2.gz KYGARIREYNHIKZ-IRXDYDNUSA-N 0 1 324.509 3.088 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001700532035 1202307154 /nfs/dbraw/zinc/30/71/54/1202307154.db2.gz XSEBFNMWNCARCX-AWEZNQCLSA-N 0 1 312.413 3.336 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)C1CN(C/C=C/c2ccccc2)C1 ZINC001700539564 1202309154 /nfs/dbraw/zinc/30/91/54/1202309154.db2.gz UGECQRAMEBEBLK-YRYLYKBFSA-N 0 1 324.468 3.186 20 30 DGEDMN C[C@@H](NC(=O)C#CC(C)(C)C)C1CN(C/C=C/c2ccccc2)C1 ZINC001700539563 1202309216 /nfs/dbraw/zinc/30/92/16/1202309216.db2.gz UGECQRAMEBEBLK-VGMNTSGFSA-N 0 1 324.468 3.186 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1c(F)cccc1F ZINC001753692678 1202315261 /nfs/dbraw/zinc/31/52/61/1202315261.db2.gz GOTAENCOOGPAIG-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2ccsc2)CC1(C)C ZINC001700575702 1202317788 /nfs/dbraw/zinc/31/77/88/1202317788.db2.gz YLSINBSUWAMHKF-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1CCC(C2CC2)CC1 ZINC001753722152 1202321111 /nfs/dbraw/zinc/32/11/11/1202321111.db2.gz JWVCQSIKAUWYRK-JEYLPNPQSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(F)c2occc21 ZINC001753729073 1202322459 /nfs/dbraw/zinc/32/24/59/1202322459.db2.gz GBJKVCACZDPGAT-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1ccc(OC)cc1 ZINC001753741381 1202326688 /nfs/dbraw/zinc/32/66/88/1202326688.db2.gz VTWLBFYJSLTDFA-OAHLLOKOSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1c(C)cccc1C ZINC001700730828 1202344117 /nfs/dbraw/zinc/34/41/17/1202344117.db2.gz PHGBOHVTARNPMF-INIZCTEOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1cc(C2CC2)no1 ZINC001700751511 1202348299 /nfs/dbraw/zinc/34/82/99/1202348299.db2.gz RATKPSOLFNSOIK-ZDUSSCGKSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001700757420 1202349172 /nfs/dbraw/zinc/34/91/72/1202349172.db2.gz JRXXXGUVCBUWRK-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1conc1C)C(C)(C)C ZINC001700999641 1202379173 /nfs/dbraw/zinc/37/91/73/1202379173.db2.gz ZJONKHTUMADKJN-CRAIPNDOSA-N 0 1 321.465 3.206 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001701004989 1202380017 /nfs/dbraw/zinc/38/00/17/1202380017.db2.gz LLLGSAQZFBHBAF-XAISSLSSSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCCC(=O)NCC1=CCN(C/C=C/c2ccccc2)CC1 ZINC001701046333 1202384551 /nfs/dbraw/zinc/38/45/51/1202384551.db2.gz WCUMXHXODBHVMH-JXMROGBWSA-N 0 1 310.441 3.414 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001707053295 1202569931 /nfs/dbraw/zinc/56/99/31/1202569931.db2.gz XGSJUTKTTYVNHR-GOSISDBHSA-N 0 1 314.473 3.494 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001707081201 1202572272 /nfs/dbraw/zinc/57/22/72/1202572272.db2.gz GUFTXRLHJMKAFN-OPSIHOIKSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001707081148 1202572312 /nfs/dbraw/zinc/57/23/12/1202572312.db2.gz FADZXHKLLDDFJY-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001707086378 1202572548 /nfs/dbraw/zinc/57/25/48/1202572548.db2.gz LYMXQSZQKZWMFR-CKJIXREFSA-N 0 1 305.249 3.002 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC[C@H]2CCc3ccccc32)[C@H]1C ZINC001707267459 1202579088 /nfs/dbraw/zinc/57/90/88/1202579088.db2.gz BZOWGASWDGOKAH-POAQFYNOSA-N 0 1 324.468 3.099 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(C)cc(F)c1 ZINC001707276438 1202580010 /nfs/dbraw/zinc/58/00/10/1202580010.db2.gz YJSKGYJZYWWXNA-SFHVURJKSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)cc1C ZINC001707277193 1202580304 /nfs/dbraw/zinc/58/03/04/1202580304.db2.gz WSBKMHFSZLNMFB-SFHVURJKSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)c(OC)c1 ZINC001713017743 1202610604 /nfs/dbraw/zinc/61/06/04/1202610604.db2.gz PMPJUQTXXHBCRR-UHFFFAOYSA-N 0 1 324.852 3.198 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001713085219 1202615595 /nfs/dbraw/zinc/61/55/95/1202615595.db2.gz CIBBQFCCHTUUKV-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCC(CC=C)C(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001713101586 1202617430 /nfs/dbraw/zinc/61/74/30/1202617430.db2.gz WMHPAXMEJDHOBM-UHFFFAOYSA-N 0 1 316.489 3.481 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1c(C)oc(C)c1C)C1CC1 ZINC001713116106 1202618569 /nfs/dbraw/zinc/61/85/69/1202618569.db2.gz XAFFVMMCELXSNG-CQSZACIVSA-N 0 1 310.825 3.055 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCCCC1(C)C)C1CC1 ZINC001713126119 1202619510 /nfs/dbraw/zinc/61/95/10/1202619510.db2.gz AVRPWRQOKKNFSO-HUUCEWRRSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC001713147177 1202621930 /nfs/dbraw/zinc/62/19/30/1202621930.db2.gz WNFBRRNWNZVGFH-HIFRSBDPSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CSCCC)C1 ZINC001713272202 1202634195 /nfs/dbraw/zinc/63/41/95/1202634195.db2.gz SPGUGZKNBHUFRV-KBPBESRZSA-N 0 1 318.914 3.099 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2conc2C)C1 ZINC001713277681 1202634592 /nfs/dbraw/zinc/63/45/92/1202634592.db2.gz LHOVEAARUSLWAS-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2ccns2)C1 ZINC001713277629 1202634681 /nfs/dbraw/zinc/63/46/81/1202634681.db2.gz KYYUECZILLJHEU-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2cnoc2C)CCCCC1 ZINC001713338840 1202640714 /nfs/dbraw/zinc/64/07/14/1202640714.db2.gz WHIKIZOUMXSNCY-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001713519926 1202664146 /nfs/dbraw/zinc/66/41/46/1202664146.db2.gz KHFNXXHIHPPESA-ABHNRTSZSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(-c2ccccc2)o1)C1CC1 ZINC001713562782 1202670931 /nfs/dbraw/zinc/67/09/31/1202670931.db2.gz MQELEDAUOIVNNS-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2cscc2s1 ZINC001713616297 1202673552 /nfs/dbraw/zinc/67/35/52/1202673552.db2.gz VGZSAFSEPCOFHL-UHFFFAOYSA-N 0 1 314.863 3.377 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)c1ccccc1C)c1ccccc1 ZINC001713704201 1202678993 /nfs/dbraw/zinc/67/89/93/1202678993.db2.gz SRHJGSJDMSVAFW-XLIONFOSSA-N 0 1 320.436 3.179 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2cnccc2C)C1 ZINC001713712274 1202679363 /nfs/dbraw/zinc/67/93/63/1202679363.db2.gz FRFVTDFSMVTVTE-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CCCC(=O)N(CCC)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001713714406 1202680003 /nfs/dbraw/zinc/68/00/03/1202680003.db2.gz XAZUQMSUFDYUFV-GOSISDBHSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2oc(CC)nc2C)C1 ZINC001713720904 1202681231 /nfs/dbraw/zinc/68/12/31/1202681231.db2.gz FWPXYCZEWQGAON-HNNXBMFYSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001713720071 1202681443 /nfs/dbraw/zinc/68/14/43/1202681443.db2.gz NHEUAVVIJHHQPA-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001713723034 1202682081 /nfs/dbraw/zinc/68/20/81/1202682081.db2.gz ZJCJCPAHBZFZLO-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@H]2C=CCCC2)C1 ZINC001713729815 1202683307 /nfs/dbraw/zinc/68/33/07/1202683307.db2.gz CNJPQVOYXZRXLR-JKSUJKDBSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)Cc2ccc(C)o2)CC1 ZINC001713763020 1202687911 /nfs/dbraw/zinc/68/79/11/1202687911.db2.gz AKVOBIQJQXSDDV-UHFFFAOYSA-N 0 1 324.852 3.053 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCc2ccc(C)cc2)C1 ZINC001713788494 1202690719 /nfs/dbraw/zinc/69/07/19/1202690719.db2.gz VOXZDKJTCDCVMA-GOSISDBHSA-N 0 1 300.446 3.084 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCCc2ccccc2)C1 ZINC001713836765 1202694483 /nfs/dbraw/zinc/69/44/83/1202694483.db2.gz RDYFQYPMNGCTLC-UHFFFAOYSA-N 0 1 300.446 3.166 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001713839035 1202694770 /nfs/dbraw/zinc/69/47/70/1202694770.db2.gz LOZDECMOCZSEPD-LJQANCHMSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001713878395 1202698220 /nfs/dbraw/zinc/69/82/20/1202698220.db2.gz ACSDTTIFVGODDA-LSDHHAIUSA-N 0 1 318.436 3.159 20 30 DGEDMN CC(C)C#CC(=O)NCC1CN(Cc2ccc(C(C)C)cc2)C1 ZINC001713900756 1202700776 /nfs/dbraw/zinc/70/07/76/1202700776.db2.gz DUDBVYUUDCHQIT-UHFFFAOYSA-N 0 1 312.457 3.017 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001713949692 1202704686 /nfs/dbraw/zinc/70/46/86/1202704686.db2.gz AKJBFVWSWNJRGJ-NSCCEZDJSA-N 0 1 317.260 3.311 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001713996865 1202720672 /nfs/dbraw/zinc/72/06/72/1202720672.db2.gz AEHIHJPCYFLRGD-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001714011642 1202726428 /nfs/dbraw/zinc/72/64/28/1202726428.db2.gz OMFYNRLEDUFKFC-PEKVBPLLSA-N 0 1 312.457 3.445 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)Cc2ccc(Cl)s2)C1 ZINC001714047288 1202750765 /nfs/dbraw/zinc/75/07/65/1202750765.db2.gz WCAZUGPSRQUPEU-LBPRGKRZSA-N 0 1 312.866 3.053 20 30 DGEDMN Cc1ccc(C[N@H+]2CCCC[C@H]2CNC(=O)C#CC2CC2)c(C)c1 ZINC001714105199 1202778366 /nfs/dbraw/zinc/77/83/66/1202778366.db2.gz YYZNXWXPBZZZDC-FQEVSTJZSA-N 0 1 324.468 3.188 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1oc(C)nc1C ZINC001714108498 1202780943 /nfs/dbraw/zinc/78/09/43/1202780943.db2.gz QHMRNVCBHMZQTQ-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1F ZINC001714113787 1202784132 /nfs/dbraw/zinc/78/41/32/1202784132.db2.gz COSPVFBMYMMFEK-LSDHHAIUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2ccncc21 ZINC001714115870 1202786450 /nfs/dbraw/zinc/78/64/50/1202786450.db2.gz ONVRYEPTIBHINZ-AWEZNQCLSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2nc(C)cs2)CCCC1 ZINC001714134034 1202795788 /nfs/dbraw/zinc/79/57/88/1202795788.db2.gz OHTUVTJEUXAQAU-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN CCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC001714145940 1202801763 /nfs/dbraw/zinc/80/17/63/1202801763.db2.gz SJVGSDANMAQDLC-DOTOQJQBSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CSC(C)C)C1 ZINC001714148127 1202802969 /nfs/dbraw/zinc/80/29/69/1202802969.db2.gz QEIAWZZHYPTWAG-KBPBESRZSA-N 0 1 318.914 3.097 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2nocc2C)CCCC[C@@H]1C ZINC001714180332 1202819764 /nfs/dbraw/zinc/81/97/64/1202819764.db2.gz NEVOWHUVPYKLOM-YJBOKZPZSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001714270119 1202856954 /nfs/dbraw/zinc/85/69/54/1202856954.db2.gz WIXVHJGHQXBHOB-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN Cc1cccc(C)c1C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001714273001 1202858226 /nfs/dbraw/zinc/85/82/26/1202858226.db2.gz CYAOIGYSMIBOKO-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=Cc1ccc(C(=O)NC[C@H](C)NCC#Cc2ccccc2)cc1 ZINC001714275496 1202859233 /nfs/dbraw/zinc/85/92/33/1202859233.db2.gz SIMQMYXAXPEACW-KRWDZBQOSA-N 0 1 318.420 3.089 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc2ccccc21 ZINC001714298702 1202870222 /nfs/dbraw/zinc/87/02/22/1202870222.db2.gz CYCYSIQYODJERE-ZDUSSCGKSA-N 0 1 302.805 3.300 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001714306871 1202873790 /nfs/dbraw/zinc/87/37/90/1202873790.db2.gz LEBCDKRXDREBHY-BZUAXINKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)NCc1ccc(CNCc2ccns2)cc1 ZINC001714317421 1202879449 /nfs/dbraw/zinc/87/94/49/1202879449.db2.gz ACKQTRAYTDGKQD-UHFFFAOYSA-N 0 1 315.442 3.015 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001714330152 1202885719 /nfs/dbraw/zinc/88/57/19/1202885719.db2.gz CTYMZVQEDQTLFU-JKSUJKDBSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@@H](C)NCc1ncoc1C(C)C ZINC001714484111 1202956171 /nfs/dbraw/zinc/95/61/71/1202956171.db2.gz CEBUQSQLCNSWEU-CQSZACIVSA-N 0 1 321.465 3.385 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@@H]1CCCN1Cc1cscn1 ZINC001714516419 1202975745 /nfs/dbraw/zinc/97/57/45/1202975745.db2.gz DXSRXUMRJYXJMA-MRXNPFEDSA-N 0 1 321.490 3.360 20 30 DGEDMN CC(C)C#CC(=O)NCCN(C)C/C=C\c1ccc(Cl)cc1 ZINC001714538201 1202986110 /nfs/dbraw/zinc/98/61/10/1202986110.db2.gz PAWGMYSQAGRVRC-PLNGDYQASA-N 0 1 318.848 3.061 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001714567736 1203007605 /nfs/dbraw/zinc/00/76/05/1203007605.db2.gz YUINUUUVDKLFRI-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc2scnc21 ZINC001714609481 1203046188 /nfs/dbraw/zinc/04/61/88/1203046188.db2.gz QKTNMMGOZQJZOW-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1Cc1ccccc1Cl ZINC001714607764 1203046473 /nfs/dbraw/zinc/04/64/73/1203046473.db2.gz VEYTWEHAYITKTJ-OAHLLOKOSA-N 0 1 306.837 3.387 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1cnc(Cl)s1 ZINC001714744394 1203114520 /nfs/dbraw/zinc/11/45/20/1203114520.db2.gz SCYXOTHZDZZDKY-NSHDSACASA-N 0 1 315.870 3.089 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(F)ccc1Cl ZINC001714774205 1203122591 /nfs/dbraw/zinc/12/25/91/1203122591.db2.gz CIOJHNIQNUYMKR-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCC=CCCC1)c1ccccc1 ZINC001714848180 1203149997 /nfs/dbraw/zinc/14/99/97/1203149997.db2.gz PXQKZKDIOMBRKQ-OALUTQOASA-N 0 1 310.441 3.203 20 30 DGEDMN CCCC(=O)N(CCC)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001714852974 1203152520 /nfs/dbraw/zinc/15/25/20/1203152520.db2.gz NADYALUQZBQFSC-SFHVURJKSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccoc2CC)C1 ZINC001714856343 1203156205 /nfs/dbraw/zinc/15/62/05/1203156205.db2.gz PDQUVDFGTOQPQC-HNNXBMFYSA-N 0 1 304.434 3.345 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2sccc2CC)C1 ZINC001714859527 1203160034 /nfs/dbraw/zinc/16/00/34/1203160034.db2.gz DSZHMSJPWUOGDZ-OAHLLOKOSA-N 0 1 306.475 3.423 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cccc(C)c2C)C1 ZINC001714860571 1203161533 /nfs/dbraw/zinc/16/15/33/1203161533.db2.gz MQLQLGKFGPKYDB-GOSISDBHSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2occc2Cl)C1 ZINC001714866686 1203165437 /nfs/dbraw/zinc/16/54/37/1203165437.db2.gz ALKYLTLDEQRKSB-ZDUSSCGKSA-N 0 1 310.825 3.436 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccsc2)C1 ZINC001714869397 1203165784 /nfs/dbraw/zinc/16/57/84/1203165784.db2.gz FRANSZPRGLMFIB-NVXWUHKLSA-N 0 1 318.486 3.188 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(F)cc2)C1 ZINC001714869282 1203166495 /nfs/dbraw/zinc/16/64/95/1203166495.db2.gz ZHLXSDJYSQIFFO-CYBMUJFWSA-N 0 1 310.800 3.020 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@@H](F)CC)C1 ZINC001714904230 1203189438 /nfs/dbraw/zinc/18/94/38/1203189438.db2.gz FFOUTYZSJAVJKT-ROUUACIJSA-N 0 1 318.436 3.414 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cccc(F)c2)CC1 ZINC001714905536 1203190883 /nfs/dbraw/zinc/19/08/83/1203190883.db2.gz KITYAOGEWPOGDN-UHFFFAOYSA-N 0 1 324.827 3.362 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(C)cc2F)C1 ZINC001714909932 1203193790 /nfs/dbraw/zinc/19/37/90/1203193790.db2.gz ITMQBOHVUFROBZ-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1CC12CCCC2 ZINC001714931487 1203205629 /nfs/dbraw/zinc/20/56/29/1203205629.db2.gz AIBQZNCOWZNPTO-LSDHHAIUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@@H](C)c2cccc(OC)c2)C1 ZINC001714970659 1203221354 /nfs/dbraw/zinc/22/13/54/1203221354.db2.gz PCDCMZWOXMUWPK-AWEZNQCLSA-N 0 1 316.445 3.016 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@H](C)c2cccc(OC)c2)C1 ZINC001714970663 1203221816 /nfs/dbraw/zinc/22/18/16/1203221816.db2.gz PCDCMZWOXMUWPK-CQSZACIVSA-N 0 1 316.445 3.016 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001714975141 1203223115 /nfs/dbraw/zinc/22/31/15/1203223115.db2.gz AGSMYNFZBMGSOS-UHFFFAOYSA-N 0 1 316.489 3.217 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(CC)cc1 ZINC001714985997 1203227504 /nfs/dbraw/zinc/22/75/04/1203227504.db2.gz RYRCZDOLXIOZRZ-OALUTQOASA-N 0 1 312.457 3.043 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1 ZINC001714989115 1203227663 /nfs/dbraw/zinc/22/76/63/1203227663.db2.gz VJBUXBZOFZZTQJ-ROUUACIJSA-N 0 1 312.457 3.117 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCc2ccccc21 ZINC001714990787 1203228775 /nfs/dbraw/zinc/22/87/75/1203228775.db2.gz UDZIOSOEUQDDAD-BJLQDIEVSA-N 0 1 322.452 3.153 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001715004499 1203233215 /nfs/dbraw/zinc/23/32/15/1203233215.db2.gz ANGCOGVOQSGYMN-RHSMWYFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H](CC)c2ccc(C)cc2)C1 ZINC001715018804 1203239609 /nfs/dbraw/zinc/23/96/09/1203239609.db2.gz IVGCJYOEGKPSPC-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@@H]2CCN(C[C@@H](F)CC)C2)CCCC1 ZINC001715033769 1203246431 /nfs/dbraw/zinc/24/64/31/1203246431.db2.gz ZGURIKKXTCDZBG-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)Cc2cc3ccccc3o2)C1 ZINC001715039270 1203249424 /nfs/dbraw/zinc/24/94/24/1203249424.db2.gz KMPBYVPRXHOGNC-MRXNPFEDSA-N 0 1 312.413 3.084 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC2(C=C)CCCCC2)C1 ZINC001715045467 1203252768 /nfs/dbraw/zinc/25/27/68/1203252768.db2.gz PBUQJVFEUGSRTB-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001715052183 1203256215 /nfs/dbraw/zinc/25/62/15/1203256215.db2.gz VQUVLBFZRDJAPN-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(CNCc2nccs2)CCCC1 ZINC001715098814 1203272346 /nfs/dbraw/zinc/27/23/46/1203272346.db2.gz QIADRIBVBKMGOV-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl ZINC001715341274 1203319447 /nfs/dbraw/zinc/31/94/47/1203319447.db2.gz XHTUTLPETBPUTG-OYGDSYQHSA-N 0 1 307.265 3.344 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNC/C(Cl)=C/Cl ZINC001715341270 1203319606 /nfs/dbraw/zinc/31/96/06/1203319606.db2.gz XHTUTLPETBPUTG-HQQGHWSLSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccccc1 ZINC001715353780 1203322462 /nfs/dbraw/zinc/32/24/62/1203322462.db2.gz KBYCFPGWCUPZIW-CZUORRHYSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(CC(C)C)c[nH]1 ZINC001715370416 1203326925 /nfs/dbraw/zinc/32/69/25/1203326925.db2.gz ADKYCHHDGYTDIX-ZDUSSCGKSA-N 0 1 311.857 3.016 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1ccsc1 ZINC001715379394 1203328998 /nfs/dbraw/zinc/32/89/98/1203328998.db2.gz HYYIYEFNNONJTR-CQSZACIVSA-N 0 1 314.882 3.164 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CCNCc1ncc(C)o1 ZINC001715443849 1203342224 /nfs/dbraw/zinc/34/22/24/1203342224.db2.gz IKESONXCWJIZGD-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1[nH]c(C)cc1C ZINC001715475651 1203347548 /nfs/dbraw/zinc/34/75/48/1203347548.db2.gz JYMIALXGGCEVJC-IAQYHMDHSA-N 0 1 323.868 3.214 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C1(CC)CCC(F)(F)CC1 ZINC001715524696 1203360689 /nfs/dbraw/zinc/36/06/89/1203360689.db2.gz KXYKCMGGFARVRT-UHFFFAOYSA-N 0 1 314.420 3.006 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715535549 1203365327 /nfs/dbraw/zinc/36/53/27/1203365327.db2.gz UEJBJZIXURDXDW-HOTGVXAUSA-N 0 1 315.461 3.131 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001715543594 1203368370 /nfs/dbraw/zinc/36/83/70/1203368370.db2.gz IJIURJALDONDKD-IAGOWNOFSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCc1c(F)cccc1F)C(C)C ZINC001715726357 1203384506 /nfs/dbraw/zinc/38/45/06/1203384506.db2.gz LKIIMVLWNRNRIU-INIZCTEOSA-N 0 1 324.415 3.407 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1nc(C)sc1C)C(C)C ZINC001715726403 1203384587 /nfs/dbraw/zinc/38/45/87/1203384587.db2.gz LMNXLDIXGWIAQR-CQSZACIVSA-N 0 1 323.506 3.203 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(F)c(Cl)c1 ZINC001715864581 1203398562 /nfs/dbraw/zinc/39/85/62/1203398562.db2.gz PJUMQVZEICKDPR-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001715863847 1203398793 /nfs/dbraw/zinc/39/87/93/1203398793.db2.gz OGKLMSGRLRMRQA-IELZWVCUSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1ccccc1F ZINC001715865709 1203399161 /nfs/dbraw/zinc/39/91/61/1203399161.db2.gz ROGRVSGZPCFHRZ-GIFJBRJJSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCc1cccc(Cl)n1 ZINC001715866405 1203399246 /nfs/dbraw/zinc/39/92/46/1203399246.db2.gz SDHMGFCFVTXZHP-LXXRFIIISA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001715910879 1203402773 /nfs/dbraw/zinc/40/27/73/1203402773.db2.gz DOEJVPKFEKWQKQ-DWTRPJMMSA-N 0 1 324.827 3.334 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](CCC)CC1CCCC1 ZINC001715959113 1203411522 /nfs/dbraw/zinc/41/15/22/1203411522.db2.gz STHJGDSUXNNMHY-SFHVURJKSA-N 0 1 306.494 3.397 20 30 DGEDMN C[C@@H](CN(C)Cc1ccc(C#N)c(F)c1)NC(=O)CC(C)(C)C ZINC001716014675 1203423312 /nfs/dbraw/zinc/42/33/12/1203423312.db2.gz LHVVONLVCMSXRA-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1sccc1Cl ZINC001716208732 1203449692 /nfs/dbraw/zinc/44/96/92/1203449692.db2.gz HVNFZWPRZHDDNE-UHFFFAOYSA-N 0 1 300.855 3.306 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001716244607 1203453010 /nfs/dbraw/zinc/45/30/10/1203453010.db2.gz ZVDPGMNHJXJJNU-AEFFLSMTSA-N 0 1 320.864 3.371 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1coc2ccc(CC)cc21 ZINC001716395382 1203466228 /nfs/dbraw/zinc/46/62/28/1203466228.db2.gz SFKWDUBJAJRMSY-GFCCVEGCSA-N 0 1 320.820 3.456 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001716449702 1203475785 /nfs/dbraw/zinc/47/57/85/1203475785.db2.gz WWDIOHCLQPGFFK-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2cccc(F)c2Cl)C1 ZINC001716451746 1203476298 /nfs/dbraw/zinc/47/62/98/1203476298.db2.gz BDQSFXMDRXYKKT-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001716481401 1203486263 /nfs/dbraw/zinc/48/62/63/1203486263.db2.gz PRLARNRGMBUMCW-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccc(C)cc1)C1CC1 ZINC001716614852 1203506516 /nfs/dbraw/zinc/50/65/16/1203506516.db2.gz FKTHSYQCFRGVTI-QGZVFWFLSA-N 0 1 320.864 3.165 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1cc(C)ccc1C ZINC001716659660 1203516404 /nfs/dbraw/zinc/51/64/04/1203516404.db2.gz DQYCUYNFDAVGJP-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H](C)c1ccccc1F ZINC001716665197 1203518350 /nfs/dbraw/zinc/51/83/50/1203518350.db2.gz IHWLSEBWPCJJQT-CABCVRRESA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001716668312 1203519905 /nfs/dbraw/zinc/51/99/05/1203519905.db2.gz RXYXDJVYRUFTSM-VXGBXAGGSA-N 0 1 312.866 3.272 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2c(C)cccc2Cl)CC1 ZINC001716676698 1203522805 /nfs/dbraw/zinc/52/28/05/1203522805.db2.gz AURBLQONHKFNFG-UHFFFAOYSA-N 0 1 306.837 3.353 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001716694560 1203526625 /nfs/dbraw/zinc/52/66/25/1203526625.db2.gz PEUFFCAVNSORKA-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001716749044 1203536646 /nfs/dbraw/zinc/53/66/46/1203536646.db2.gz JXJAAQJMSSZYOG-AWEZNQCLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001716749742 1203536694 /nfs/dbraw/zinc/53/66/94/1203536694.db2.gz LHWNBCMZHTVFBK-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ncc(CC)o2)C[C@@H]1C ZINC001716765184 1203540076 /nfs/dbraw/zinc/54/00/76/1203540076.db2.gz UIAOKRCEKSDHJD-GJZGRUSLSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C(F)=C1CCCC1 ZINC001716775416 1203541051 /nfs/dbraw/zinc/54/10/51/1203541051.db2.gz SYTPQYIOCFKRJY-CYBMUJFWSA-N 0 1 300.805 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC001716847652 1203554393 /nfs/dbraw/zinc/55/43/93/1203554393.db2.gz OMAGTTXICRUYOF-SFHVURJKSA-N 0 1 304.409 3.263 20 30 DGEDMN CC(C)=CC(=O)NC[C@@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001716892110 1203563353 /nfs/dbraw/zinc/56/33/53/1203563353.db2.gz VZFQYJGYKMBQPY-OAQYLSRUSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCC1(C(=O)NC[C@]2(C)CCCN(CCF)C2)CCCC1 ZINC001716902627 1203566848 /nfs/dbraw/zinc/56/68/48/1203566848.db2.gz KDEXGMHGXIZUQK-KRWDZBQOSA-N 0 1 310.457 3.311 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H](C)CCCC)cccc2C1 ZINC001717143477 1203590145 /nfs/dbraw/zinc/59/01/45/1203590145.db2.gz KQCPHCGLITZVNI-MRXNPFEDSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2cc(C)ccc2C)CCC1 ZINC001717158288 1203592954 /nfs/dbraw/zinc/59/29/54/1203592954.db2.gz QHMSGMBWSOLISK-UHFFFAOYSA-N 0 1 320.864 3.227 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(Cl)cs2)CCC1 ZINC001717159323 1203593325 /nfs/dbraw/zinc/59/33/25/1203593325.db2.gz YELGMQYBUKHEIA-UHFFFAOYSA-N 0 1 319.257 3.396 20 30 DGEDMN O=C(CCC1CC1)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001717192847 1203596236 /nfs/dbraw/zinc/59/62/36/1203596236.db2.gz NIMAQNCZAAIXOB-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@]2(CCN(CC(=C)Cl)C2)C1 ZINC001717246397 1203609752 /nfs/dbraw/zinc/60/97/52/1203609752.db2.gz LOSVTXDHFZDGSZ-GOSISDBHSA-N 0 1 322.880 3.247 20 30 DGEDMN C=CCCC(=O)NCc1ccc2c(c1)CN(CC[C@H](C)F)C2 ZINC001717269087 1203610372 /nfs/dbraw/zinc/61/03/72/1203610372.db2.gz ABMLNNAIURBKKH-AWEZNQCLSA-N 0 1 304.409 3.333 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCc3ccoc3)cc2C1 ZINC001717270208 1203610976 /nfs/dbraw/zinc/61/09/76/1203610976.db2.gz PWQVYRYSDWGIDZ-UHFFFAOYSA-N 0 1 324.424 3.420 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC(C)(C)C3CC3)cc2C1 ZINC001717270180 1203611241 /nfs/dbraw/zinc/61/12/41/1203611241.db2.gz OGXGQRSCBNFDLF-UHFFFAOYSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC001717338090 1203620808 /nfs/dbraw/zinc/62/08/08/1203620808.db2.gz PZHBNGBOWKIQGU-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1c2ccccc2CCN1CC(=C)C ZINC001717343879 1203622661 /nfs/dbraw/zinc/62/26/61/1203622661.db2.gz CVNDYCUTCKJJSO-LJQANCHMSA-N 0 1 310.441 3.082 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C=C(\C)CC ZINC001717344766 1203622679 /nfs/dbraw/zinc/62/26/79/1203622679.db2.gz XWKQKCBMLDMVST-IDCODDLRSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CNCc1ncc(C)s1 ZINC001717358837 1203624726 /nfs/dbraw/zinc/62/47/26/1203624726.db2.gz JDNNVFZRCCVTJF-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC[C@H]1CCc2ccccc21 ZINC001717376370 1203631031 /nfs/dbraw/zinc/63/10/31/1203631031.db2.gz PKVAQFSFCUKRCD-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(Cc2ccccc2)CC1 ZINC001717412929 1203638818 /nfs/dbraw/zinc/63/88/18/1203638818.db2.gz MPUMXPKHGINTFN-HUUCEWRRSA-N 0 1 320.864 3.245 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](C)CCCc1ccccc1 ZINC001717498489 1203666452 /nfs/dbraw/zinc/66/64/52/1203666452.db2.gz BUBUVUAUZLCEGK-MSOLQXFVSA-N 0 1 314.473 3.105 20 30 DGEDMN CCCC[C@H](CNCc1ccc(C#N)cc1F)NC(=O)C(C)C ZINC001717528794 1203672593 /nfs/dbraw/zinc/67/25/93/1203672593.db2.gz GSNZPZNLSYJIKK-MRXNPFEDSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCC[C@H](CNC/C(Cl)=C/Cl)NC(=O)C#CC(C)C ZINC001717530220 1203673474 /nfs/dbraw/zinc/67/34/74/1203673474.db2.gz KYTUTFVBHCDQKK-RNQWEJQRSA-N 0 1 319.276 3.229 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@@H](C)c2ccc(F)cc2)C1 ZINC001717543970 1203678885 /nfs/dbraw/zinc/67/88/85/1203678885.db2.gz GOESVQHHEPLADJ-KGLIPLIRSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccc(CF)cc2)C1 ZINC001717555437 1203681158 /nfs/dbraw/zinc/68/11/58/1203681158.db2.gz VRGSZVRCKROBGV-CQSZACIVSA-N 0 1 318.436 3.305 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CC(CC)CC)C2)C1 ZINC001717574225 1203683810 /nfs/dbraw/zinc/68/38/10/1203683810.db2.gz HIAYCNMPXPYCNG-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@@H](CC)c2ccccc2)C(C)(C)C1 ZINC001717587676 1203687381 /nfs/dbraw/zinc/68/73/81/1203687381.db2.gz JOOPRNXAWQATHR-AEFFLSMTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ccncc2Cl)[C@H]1C ZINC001717792817 1203712524 /nfs/dbraw/zinc/71/25/24/1203712524.db2.gz AYTXFFIXMUPQED-CJNGLKHVSA-N 0 1 321.852 3.170 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCc2ccccc2)[C@@H]1C ZINC001717797028 1203713470 /nfs/dbraw/zinc/71/34/70/1203713470.db2.gz BFTNRDLCFNOKML-WBVHZDCISA-N 0 1 320.864 3.341 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@H]1N(Cc1cc(C)ns1)CC2 ZINC001717833786 1203717902 /nfs/dbraw/zinc/71/79/02/1203717902.db2.gz YJQDFKYYQMNGRI-WBVHZDCISA-N 0 1 319.474 3.031 20 30 DGEDMN C=CCCC(=O)N[C@]12CCC[C@@H]1N(Cc1cc(C)ns1)CC2 ZINC001717833785 1203717996 /nfs/dbraw/zinc/71/79/96/1203717996.db2.gz YJQDFKYYQMNGRI-RDJZCZTQSA-N 0 1 319.474 3.031 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001717965053 1203737099 /nfs/dbraw/zinc/73/70/99/1203737099.db2.gz IPMKDUYMHXIZOK-ZIAGYGMSSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1cccc(C)c1)C(C)(C)C ZINC001717966811 1203737420 /nfs/dbraw/zinc/73/74/20/1203737420.db2.gz RIMHZBJMPGWOOU-MRXNPFEDSA-N 0 1 322.880 3.411 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](CCCCC)C(C)C)CC1 ZINC001718031072 1203744884 /nfs/dbraw/zinc/74/48/84/1203744884.db2.gz KSSWWFDTFBBDOB-SFHVURJKSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC001718035633 1203745649 /nfs/dbraw/zinc/74/56/49/1203745649.db2.gz JWZXBDJJDJIGER-FQEVSTJZSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H]1CCC(F)(F)C1)C1CC1 ZINC001723302691 1203962436 /nfs/dbraw/zinc/96/24/36/1203962436.db2.gz UWBGPESONICPBR-YPMHNXCESA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H]1CCC(F)(F)C1)C1CC1 ZINC001723302689 1203962545 /nfs/dbraw/zinc/96/25/45/1203962545.db2.gz UWBGPESONICPBR-DGCLKSJQSA-N 0 1 320.811 3.049 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001723329411 1203963990 /nfs/dbraw/zinc/96/39/90/1203963990.db2.gz RWTSKAQNCKJPAU-MKGJVGOLSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001723329412 1203964040 /nfs/dbraw/zinc/96/40/40/1203964040.db2.gz RWTSKAQNCKJPAU-OSEPDQNXSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1[C@H](CC)c1ccc(F)cc1 ZINC001723405364 1203968086 /nfs/dbraw/zinc/96/80/86/1203968086.db2.gz DFWCRQXXIIBISF-IAGOWNOFSA-N 0 1 304.409 3.434 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1[C@H](C)c1cccc(C)c1 ZINC001723446294 1203972726 /nfs/dbraw/zinc/97/27/26/1203972726.db2.gz JRHATHVLZIKBLU-MJGOQNOKSA-N 0 1 312.457 3.440 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccc(C)cc2C)CC1 ZINC001723543558 1203980990 /nfs/dbraw/zinc/98/09/90/1203980990.db2.gz BSONDKVEWPMCFN-UHFFFAOYSA-N 0 1 320.864 3.227 20 30 DGEDMN CCCC(C)(C)C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001723554091 1203982178 /nfs/dbraw/zinc/98/21/78/1203982178.db2.gz DRMFWXNLXOUZKS-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001723766825 1203992271 /nfs/dbraw/zinc/99/22/71/1203992271.db2.gz YXSANVTWGPVSCI-AWEZNQCLSA-N 0 1 316.445 3.269 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2cccc(F)c2F)C1 ZINC001723799667 1203993729 /nfs/dbraw/zinc/99/37/29/1203993729.db2.gz ONCALXBEJRZIRF-ZDUSSCGKSA-N 0 1 322.399 3.005 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccc(C)c(C)c2)C1 ZINC001723815428 1203994689 /nfs/dbraw/zinc/99/46/89/1203994689.db2.gz RVWQKNOIOSCBLI-KRWDZBQOSA-N 0 1 314.473 3.343 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C(C)C)nc1 ZINC001723906815 1203998475 /nfs/dbraw/zinc/99/84/75/1203998475.db2.gz XHBDLWZIAIFHMK-OAHLLOKOSA-N 0 1 321.852 3.152 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001723931184 1203998965 /nfs/dbraw/zinc/99/89/65/1203998965.db2.gz LIZCXTTZZYVKBG-NXIIHZOPSA-N 0 1 323.440 3.161 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2cnc(C)s2)C1 ZINC001724153326 1204009535 /nfs/dbraw/zinc/00/95/35/1204009535.db2.gz JGAXRAVKOKKGCD-KRWDZBQOSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H]1CC=CCC1)c1ccccc1CC ZINC001724512403 1204026831 /nfs/dbraw/zinc/02/68/31/1204026831.db2.gz SQIOUQPCGDSSPI-YLJYHZDGSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CC(F)(F)F)C2 ZINC001724597187 1204031189 /nfs/dbraw/zinc/03/11/89/1204031189.db2.gz QSGCSDPFWJLZGF-ZSBIGDGJSA-N 0 1 324.774 3.051 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)CC(C)(C)C)cccc2C1 ZINC001724776151 1204045618 /nfs/dbraw/zinc/04/56/18/1204045618.db2.gz IUMIZTJCANJYFI-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C[C@@H](C(=O)NCC1(NCC(=C)Cl)CCC1)c1ccccc1 ZINC001724800044 1204049076 /nfs/dbraw/zinc/04/90/76/1204049076.db2.gz JWYOTMXQBGCTIS-MRXNPFEDSA-N 0 1 318.848 3.337 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccncc2Cl)CC1 ZINC001724837104 1204051918 /nfs/dbraw/zinc/05/19/18/1204051918.db2.gz ZMPGOZSYCJKYBB-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C1(C)CC=CC1 ZINC001724856857 1204059181 /nfs/dbraw/zinc/05/91/81/1204059181.db2.gz XJBKMOMOYWUXAQ-UHFFFAOYSA-N 0 1 324.468 3.175 20 30 DGEDMN CC/C=C(/C)C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001725034771 1204083284 /nfs/dbraw/zinc/08/32/84/1204083284.db2.gz HGCHBPSFELVXSH-JYWRCUDFSA-N 0 1 324.468 3.317 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)C1(C)CCC1 ZINC001725043169 1204084794 /nfs/dbraw/zinc/08/47/94/1204084794.db2.gz SSIGKKJJDXEBFK-IBGZPJMESA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc2c(c1)CCC=C2 ZINC001725054569 1204086569 /nfs/dbraw/zinc/08/65/69/1204086569.db2.gz XLGSFYWYMGZNTG-HXUWFJFHSA-N 0 1 322.452 3.206 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1nccc2ccccc21 ZINC001725118262 1204098136 /nfs/dbraw/zinc/09/81/36/1204098136.db2.gz UVIRKXPYWPGWTQ-OLZOCXBDSA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001725177001 1204112186 /nfs/dbraw/zinc/11/21/86/1204112186.db2.gz XNTPVNWDFSELHY-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@@H](F)c1ccccc1 ZINC001725240974 1204125203 /nfs/dbraw/zinc/12/52/03/1204125203.db2.gz JKQCCDMMGPWBGB-ROUUACIJSA-N 0 1 316.420 3.081 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@H](F)c1ccccc1 ZINC001725240976 1204125651 /nfs/dbraw/zinc/12/56/51/1204125651.db2.gz JKQCCDMMGPWBGB-ZWKOTPCHSA-N 0 1 316.420 3.081 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001725242378 1204126179 /nfs/dbraw/zinc/12/61/79/1204126179.db2.gz VXDJPTOEGFAGHL-HFCFLWKCSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001725256763 1204128910 /nfs/dbraw/zinc/12/89/10/1204128910.db2.gz PZTCRJHILCWXPQ-MRXNPFEDSA-N 0 1 314.473 3.050 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)[C@H](CC)CCC)C2)C1 ZINC001725287925 1204133676 /nfs/dbraw/zinc/13/36/76/1204133676.db2.gz WHKFBYLFDAGGBT-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001725363858 1204140939 /nfs/dbraw/zinc/14/09/39/1204140939.db2.gz PYMBZVQXQIBVEI-CKEIUWERSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCNCc1nc(C)c(C)o1 ZINC001725396373 1204144998 /nfs/dbraw/zinc/14/49/98/1204144998.db2.gz FEDUCQBDAQQMEI-CYBMUJFWSA-N 0 1 321.465 3.268 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1F ZINC001725482419 1204156900 /nfs/dbraw/zinc/15/69/00/1204156900.db2.gz MTDAFYQCNOXEBV-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](CC)c1ccccc1)C(C)C ZINC001725731727 1204204330 /nfs/dbraw/zinc/20/43/30/1204204330.db2.gz BIRZXSAXEXXUGD-GOSISDBHSA-N 0 1 314.473 3.372 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)c(C)o1)C(C)(C)C ZINC001725738377 1204207971 /nfs/dbraw/zinc/20/79/71/1204207971.db2.gz MRYFLDVKNJNORK-CQSZACIVSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C)cc1)C(C)(C)C ZINC001725753571 1204212604 /nfs/dbraw/zinc/21/26/04/1204212604.db2.gz VLTDTKCWUSGPMF-OAHLLOKOSA-N 0 1 308.853 3.482 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001731238586 1204350563 /nfs/dbraw/zinc/35/05/63/1204350563.db2.gz RKOHQTUVGDHJSW-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CC[C@H](C)CC(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001731238585 1204350734 /nfs/dbraw/zinc/35/07/34/1204350734.db2.gz RKOHQTUVGDHJSW-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1conc1C ZINC001731293694 1204365347 /nfs/dbraw/zinc/36/53/47/1204365347.db2.gz CLEGBVPQPIUCNT-NVXWUHKLSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1csc(C)n1)C1CC1 ZINC001731404993 1204399311 /nfs/dbraw/zinc/39/93/11/1204399311.db2.gz HWEPUBRRZRTTEZ-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)c2cc[nH]c2CC)C1 ZINC001731420536 1204407564 /nfs/dbraw/zinc/40/75/64/1204407564.db2.gz UMMGFWUFAFYNCG-AWEZNQCLSA-N 0 1 323.868 3.114 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CN(C)Cc2cncs2)C1 ZINC001731422199 1204407925 /nfs/dbraw/zinc/40/79/25/1204407925.db2.gz SNXLSYJADIVBEM-HNNXBMFYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001731544507 1204453859 /nfs/dbraw/zinc/45/38/59/1204453859.db2.gz VUKVMRCOXOZPLQ-WMZOPIPTSA-N 0 1 300.446 3.255 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001731613866 1204482962 /nfs/dbraw/zinc/48/29/62/1204482962.db2.gz DDOXAVDJPQUSRL-MLGOLLRUSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(OC)c(C2CC2)c1 ZINC001731628441 1204489294 /nfs/dbraw/zinc/48/92/94/1204489294.db2.gz KONDQTHSCBGENZ-LBPRGKRZSA-N 0 1 322.836 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c(Cl)cccc1Cl ZINC001731632748 1204491488 /nfs/dbraw/zinc/49/14/88/1204491488.db2.gz CTNZWVKKVNHEMA-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2ccnc(Cl)c2)C1 ZINC001731707099 1204512945 /nfs/dbraw/zinc/51/29/45/1204512945.db2.gz CGXWSPYTEVIGAT-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN CCC[C@@H](C)C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001731726703 1204525681 /nfs/dbraw/zinc/52/56/81/1204525681.db2.gz WSWYQOXRZWJUJE-ZIAGYGMSSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)Cc1ccc(F)cc1C ZINC001731783013 1204554804 /nfs/dbraw/zinc/55/48/04/1204554804.db2.gz AZRAFGMFOHARIB-GOSISDBHSA-N 0 1 306.425 3.284 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@H](C)c1ccccc1F ZINC001731819480 1204584126 /nfs/dbraw/zinc/58/41/26/1204584126.db2.gz RAUKKQNYZKSCQV-CABCVRRESA-N 0 1 304.409 3.434 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)CCCCCc1cccs1 ZINC001731832622 1204591949 /nfs/dbraw/zinc/59/19/49/1204591949.db2.gz FMDHAKQWLUSBRM-INIZCTEOSA-N 0 1 318.486 3.065 20 30 DGEDMN C#CCN(CCNC(=O)C1CCC(CCCC)CC1)C1CC1 ZINC001731885615 1204625236 /nfs/dbraw/zinc/62/52/36/1204625236.db2.gz SAOCSDOZALMPIE-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)CCC)c1ccccc1 ZINC001732022235 1204652217 /nfs/dbraw/zinc/65/22/17/1204652217.db2.gz NAAKJGQPVAQUIX-QGZVFWFLSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)c1ccc(C)o1)c1ccccc1 ZINC001732026210 1204654146 /nfs/dbraw/zinc/65/41/46/1204654146.db2.gz UGYDOZLIPCHIKP-FUHWJXTLSA-N 0 1 324.424 3.162 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H](CC)C(C)C)c1ccccc1 ZINC001732025838 1204654556 /nfs/dbraw/zinc/65/45/56/1204654556.db2.gz RULBFCNIVMGGRY-FUHWJXTLSA-N 0 1 300.446 3.139 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C=C)c1ccccc1)c1ccccc1 ZINC001732027837 1204654941 /nfs/dbraw/zinc/65/49/41/1204654941.db2.gz ZMHPDIUZUKCVDQ-VQTJNVASSA-N 0 1 318.420 3.037 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)c2ccncc2)C1 ZINC001732040248 1204661478 /nfs/dbraw/zinc/66/14/78/1204661478.db2.gz XMDSISDKRMBQEE-SJLPKXTDSA-N 0 1 315.461 3.074 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001732073799 1204680784 /nfs/dbraw/zinc/68/07/84/1204680784.db2.gz SKKCDWZUJIYUFZ-RRFJBIMHSA-N 0 1 312.885 3.201 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCCc2ccc(F)cc2)C1 ZINC001732111422 1204697920 /nfs/dbraw/zinc/69/79/20/1204697920.db2.gz AODKRMVMCFFGIP-HNNXBMFYSA-N 0 1 318.436 3.304 20 30 DGEDMN C=CCC(CC=C)C(=O)NCC1CN(Cc2ccsc2)C1 ZINC001732127728 1204704283 /nfs/dbraw/zinc/70/42/83/1204704283.db2.gz SNTCEEAUHNFVOE-UHFFFAOYSA-N 0 1 304.459 3.065 20 30 DGEDMN C=CCCCC(=O)NCC1CN([C@@H](C)c2cccc(OC)c2)C1 ZINC001732130948 1204706311 /nfs/dbraw/zinc/70/63/11/1204706311.db2.gz FNQOWHJFBMVZFT-HNNXBMFYSA-N 0 1 316.445 3.161 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C2CC2)cc1 ZINC001732145964 1204713354 /nfs/dbraw/zinc/71/33/54/1204713354.db2.gz OPBQETAQRBTUQB-OALUTQOASA-N 0 1 310.441 3.315 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001732170110 1204726233 /nfs/dbraw/zinc/72/62/33/1204726233.db2.gz TXXIAUYVKSJNMU-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1C2CC3CC(C2)CC1C3 ZINC001732201890 1204747164 /nfs/dbraw/zinc/74/71/64/1204747164.db2.gz RZOORMMAPOMFOK-IKXAHOJESA-N 0 1 324.896 3.296 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CCNCc2nccs2)C1 ZINC001732280618 1204778536 /nfs/dbraw/zinc/77/85/36/1204778536.db2.gz DIVFEBBDFYEFMJ-HNNXBMFYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2(C3CC3)CC2)cc1 ZINC001732316005 1204788737 /nfs/dbraw/zinc/78/87/37/1204788737.db2.gz ANDNJOFTRODQJF-UHFFFAOYSA-N 0 1 318.848 3.335 20 30 DGEDMN C=C(C)CCC(=O)NCCC1CCN(Cc2cncs2)CC1 ZINC001732321251 1204791182 /nfs/dbraw/zinc/79/11/82/1204791182.db2.gz FHRNVTURZAKOEP-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccccc1CCC ZINC001732430101 1204835831 /nfs/dbraw/zinc/83/58/31/1204835831.db2.gz ZBJWQHSSLDEPLV-AWEZNQCLSA-N 0 1 308.853 3.442 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C)c(F)c1F ZINC001732440405 1204841876 /nfs/dbraw/zinc/84/18/76/1204841876.db2.gz OJTCEZSPLUSIRE-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H](C)C(C)(F)F ZINC001732471330 1204860330 /nfs/dbraw/zinc/86/03/30/1204860330.db2.gz SKPVEPVWSDZEOD-VXGBXAGGSA-N 0 1 310.816 3.151 20 30 DGEDMN C=CCCC(=O)N(CC)CCN[C@H](C)c1cc(F)ccc1F ZINC001732766200 1204940533 /nfs/dbraw/zinc/94/05/33/1204940533.db2.gz ZMNVIICQJIIKDC-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C1CCCCC1 ZINC001732763803 1204940591 /nfs/dbraw/zinc/94/05/91/1204940591.db2.gz JVNRTUJPHKKPLK-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCCC(=O)N(CC)CCN[C@@H](C)c1cc(F)ccc1F ZINC001732766201 1204940826 /nfs/dbraw/zinc/94/08/26/1204940826.db2.gz ZMNVIICQJIIKDC-ZDUSSCGKSA-N 0 1 310.388 3.430 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1sccc1Cl ZINC001732854501 1204951706 /nfs/dbraw/zinc/95/17/06/1204951706.db2.gz KYXLRHAFMSWVHN-IHWYPQMZSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1sccc1Cl ZINC001732854502 1204951852 /nfs/dbraw/zinc/95/18/52/1204951852.db2.gz KYXLRHAFMSWVHN-NSCUHMNNSA-N 0 1 305.230 3.030 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001732907293 1204958234 /nfs/dbraw/zinc/95/82/34/1204958234.db2.gz TXTZCEQDUMLAPW-SREVYHEPSA-N 0 1 318.436 3.498 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1cccc(F)c1Cl ZINC001732957117 1204965275 /nfs/dbraw/zinc/96/52/75/1204965275.db2.gz GGNGVUXIVPNDLF-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001732968403 1204968057 /nfs/dbraw/zinc/96/80/57/1204968057.db2.gz NHGYKMBJSAWOHY-KGLIPLIRSA-N 0 1 315.417 3.339 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1cc(F)ccc1Cl ZINC001732968604 1204968145 /nfs/dbraw/zinc/96/81/45/1204968145.db2.gz RWQJUSBXDTZEIQ-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001733008556 1204979839 /nfs/dbraw/zinc/97/98/39/1204979839.db2.gz RELSBXPSUSVVEK-RYUDHWBXSA-N 0 1 310.388 3.332 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNCc1c(F)cccc1F ZINC001733007999 1204979916 /nfs/dbraw/zinc/97/99/16/1204979916.db2.gz SGEFYMUNMVBFHR-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1oc2ccccc2c1C ZINC001733022102 1204984202 /nfs/dbraw/zinc/98/42/02/1204984202.db2.gz AYKBKBHKCGJASH-NSHDSACASA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)COc1ccccc1C(C)C ZINC001733023172 1204984260 /nfs/dbraw/zinc/98/42/60/1204984260.db2.gz IQRNLKJXZBMIQB-AWEZNQCLSA-N 0 1 324.852 3.036 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc2c1CCCCC2 ZINC001733040643 1204995526 /nfs/dbraw/zinc/99/55/26/1204995526.db2.gz FVZYOOVGYZVKMD-INIZCTEOSA-N 0 1 312.457 3.029 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)CCC(F)(F)F)CC1 ZINC001733056986 1205008017 /nfs/dbraw/zinc/00/80/17/1205008017.db2.gz WSLYKCAXTBDYDP-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)CN(C)C[C@H](F)CC)CCCCC1 ZINC001733064899 1205013845 /nfs/dbraw/zinc/01/38/45/1205013845.db2.gz CVBBYGZGGQXTQT-HZPDHXFCSA-N 0 1 310.457 3.145 20 30 DGEDMN Cc1ccc(C)c([C@@H](C)N2CC[C@H]2CNC(=O)C#CC(C)C)c1 ZINC001733212458 1205046792 /nfs/dbraw/zinc/04/67/92/1205046792.db2.gz OUTREZXNQXKNMF-MSOLQXFVSA-N 0 1 312.457 3.214 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001733215623 1205048185 /nfs/dbraw/zinc/04/81/85/1205048185.db2.gz HYOUMUPQFMWEKF-KRWDZBQOSA-N 0 1 317.477 3.473 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H](CC)c1ccccc1 ZINC001733231190 1205059367 /nfs/dbraw/zinc/05/93/67/1205059367.db2.gz SEJCHHMIVKHHTJ-WMZOPIPTSA-N 0 1 300.446 3.337 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001733238524 1205064750 /nfs/dbraw/zinc/06/47/50/1205064750.db2.gz UUWJSNJNEWVWDB-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCc3cncs3)CC2)CCCC1 ZINC001733264016 1205074143 /nfs/dbraw/zinc/07/41/43/1205074143.db2.gz IRRXBXBQNXDEGJ-UHFFFAOYSA-N 0 1 319.474 3.018 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1cccc(C)c1 ZINC001733281642 1205080940 /nfs/dbraw/zinc/08/09/40/1205080940.db2.gz RYRCFZXBAJSQTD-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC001733313012 1205092552 /nfs/dbraw/zinc/09/25/52/1205092552.db2.gz MIJSMPJABZIVIG-OWCLPIDISA-N 0 1 304.478 3.168 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2cc(C)on2)C[C@H]1C ZINC001733330949 1205097574 /nfs/dbraw/zinc/09/75/74/1205097574.db2.gz UZYIRALWIVRJBJ-UKRRQHHQSA-N 0 1 319.449 3.054 20 30 DGEDMN CC[C@H](C)C(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001733358067 1205105355 /nfs/dbraw/zinc/10/53/55/1205105355.db2.gz HWGNJEUACAIIMA-ZDUSSCGKSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2cc(C)no2)CC1 ZINC001733360088 1205105567 /nfs/dbraw/zinc/10/55/67/1205105567.db2.gz MKAUKBCYOCQPMA-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN(C(=O)/C=C(\C)C1CC1)C1CCN(C[C@H](F)CC)CC1 ZINC001733365561 1205107321 /nfs/dbraw/zinc/10/73/21/1205107321.db2.gz UIFBYVLXDPWKEU-LCJXNMAOSA-N 0 1 320.452 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)C1CCCC1 ZINC001733385456 1205112399 /nfs/dbraw/zinc/11/23/99/1205112399.db2.gz OYHQHFFUMVBJBD-GVDBMIGSSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2cncs2)C1 ZINC001733462515 1205144492 /nfs/dbraw/zinc/14/44/92/1205144492.db2.gz XRWSHEUCQNBTLW-KRWDZBQOSA-N 0 1 321.490 3.218 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1CC=CCC1 ZINC001733680121 1205182780 /nfs/dbraw/zinc/18/27/80/1205182780.db2.gz KTVDLPCEDHJHEF-KRWDZBQOSA-N 0 1 310.441 3.114 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1(C)CCCC1 ZINC001733680117 1205182798 /nfs/dbraw/zinc/18/27/98/1205182798.db2.gz KRWMTAAAWIEHKS-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)C(C)(C)F)cc1 ZINC001733681208 1205183489 /nfs/dbraw/zinc/18/34/89/1205183489.db2.gz UVPYVYDWZMUJFC-LBPRGKRZSA-N 0 1 312.816 3.454 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)[C@H]2C[C@@H]2C)cc1 ZINC001733685066 1205184764 /nfs/dbraw/zinc/18/47/64/1205184764.db2.gz UCRICMFXXSORES-GHJWDPDVSA-N 0 1 306.837 3.362 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C[C@H]3CCC[C@H]3C)cccc2C1 ZINC001733709583 1205189637 /nfs/dbraw/zinc/18/96/37/1205189637.db2.gz YIFADQLNXWJLIL-IAGOWNOFSA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2ccccc2Cl)CCC1 ZINC001733717234 1205192675 /nfs/dbraw/zinc/19/26/75/1205192675.db2.gz KWWFWUJIYCFZSG-UHFFFAOYSA-N 0 1 318.848 3.272 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(OCC)c2)CCC1 ZINC001733723801 1205195280 /nfs/dbraw/zinc/19/52/80/1205195280.db2.gz SCBHPJWGJMANED-UHFFFAOYSA-N 0 1 322.836 3.080 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)C(C)(C)CCC)CO2 ZINC001733802193 1205220874 /nfs/dbraw/zinc/22/08/74/1205220874.db2.gz NFGKRRCHIPMOTP-JKSUJKDBSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@]2(CCN(CC(=C)Cl)C2)C1 ZINC001733814132 1205225204 /nfs/dbraw/zinc/22/52/04/1205225204.db2.gz OMWNHBAZFSLZHM-QGZVFWFLSA-N 0 1 310.869 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H](C)CCC)C2 ZINC001733815603 1205225233 /nfs/dbraw/zinc/22/52/33/1205225233.db2.gz PWEJALRKIYJUTP-YOEHRIQHSA-N 0 1 312.885 3.490 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H]3C=CCCC3)cc2C1 ZINC001733836613 1205227810 /nfs/dbraw/zinc/22/78/10/1205227810.db2.gz YLBFEYNVWVLFPN-KRWDZBQOSA-N 0 1 322.452 3.388 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2conc2CC)[C@@H](C)C1 ZINC001733902494 1205248447 /nfs/dbraw/zinc/24/84/47/1205248447.db2.gz UJTHDPGFZJDMAL-HOCLYGCPSA-N 0 1 319.449 3.062 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccccc1F ZINC001733929033 1205259121 /nfs/dbraw/zinc/25/91/21/1205259121.db2.gz OBRXFAMATCSRPY-QGZVFWFLSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@@H]2CN(C)CC#CC)CCCCC1 ZINC001733936541 1205264884 /nfs/dbraw/zinc/26/48/84/1205264884.db2.gz IQXMDDZWBAMMLR-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c[nH]c2ccc(C)cc12 ZINC001733944548 1205268528 /nfs/dbraw/zinc/26/85/28/1205268528.db2.gz VPGFGZDSMJPCTE-INIZCTEOSA-N 0 1 323.440 3.036 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001733960485 1205271589 /nfs/dbraw/zinc/27/15/89/1205271589.db2.gz PBBPTYMKFBMVJJ-KGLIPLIRSA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001733998564 1205284081 /nfs/dbraw/zinc/28/40/81/1205284081.db2.gz YTQYYCYMAWCWNR-LSDHHAIUSA-N 0 1 313.445 3.143 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC001734032000 1205295949 /nfs/dbraw/zinc/29/59/49/1205295949.db2.gz KJPIXLKKKGEALS-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc2occc2[nH]1 ZINC001734093349 1205319982 /nfs/dbraw/zinc/31/99/82/1205319982.db2.gz JNJUDUFURSMRCB-LBPRGKRZSA-N 0 1 323.824 3.392 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001734104285 1205325298 /nfs/dbraw/zinc/32/52/98/1205325298.db2.gz RQWYFMSCBHFVTK-PMACEKPBSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001734117929 1205328796 /nfs/dbraw/zinc/32/87/96/1205328796.db2.gz VHQJKZVFROWUHI-GJZGRUSLSA-N 0 1 318.436 3.017 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734152152 1205336963 /nfs/dbraw/zinc/33/69/63/1205336963.db2.gz JTESVHCUTFLPGL-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](CCCC)C(C)C)C(C)(C)C1 ZINC001734155304 1205337729 /nfs/dbraw/zinc/33/77/29/1205337729.db2.gz NWLBAVPBLICMAR-DLBZAZTESA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](CCCC)C(C)C)C(C)(C)C1 ZINC001734155307 1205337895 /nfs/dbraw/zinc/33/78/95/1205337895.db2.gz NWLBAVPBLICMAR-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C12CCC(CC1)C2 ZINC001734296615 1205358954 /nfs/dbraw/zinc/35/89/54/1205358954.db2.gz FIUNCGGPYJMRBM-GULBITTBSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)NCc1c(F)cccc1Cl ZINC001734322043 1205364460 /nfs/dbraw/zinc/36/44/60/1205364460.db2.gz YUAGECZSJZUYII-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001734336625 1205366736 /nfs/dbraw/zinc/36/67/36/1205366736.db2.gz IMDFGIXLBHVJOV-CYBMUJFWSA-N 0 1 319.836 3.279 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001734457060 1205380819 /nfs/dbraw/zinc/38/08/19/1205380819.db2.gz IHOOHVYQRYJXLF-UKRRQHHQSA-N 0 1 306.475 3.429 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C/c1ccco1)C(C)(C)C ZINC001734556647 1205393711 /nfs/dbraw/zinc/39/37/11/1205393711.db2.gz CBNMBKGESRIDRR-HSBSLETESA-N 0 1 310.825 3.166 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001734632113 1205407663 /nfs/dbraw/zinc/40/76/63/1205407663.db2.gz BLYBSAMERZISJO-HOTGVXAUSA-N 0 1 304.478 3.076 20 30 DGEDMN C=CCCCCNS(=O)(=O)c1cc(C(F)(F)F)ccc1O ZINC001668764113 1197428363 /nfs/dbraw/zinc/42/83/63/1197428363.db2.gz BZTZFGOSYXVNBJ-UHFFFAOYSA-N 0 1 323.336 3.046 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001669478366 1197457024 /nfs/dbraw/zinc/45/70/24/1197457024.db2.gz JTHIQXJCAFHPQN-DGCLKSJQSA-N 0 1 312.816 3.166 20 30 DGEDMN O=C([O-])CC[C@@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC001595019328 951312529 /nfs/dbraw/zinc/31/25/29/951312529.db2.gz SNUXJIQCTKCWIW-HNNXBMFYSA-N 0 1 305.805 3.268 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)C[C@@H]1C(=O)[O-] ZINC001589355879 954140993 /nfs/dbraw/zinc/14/09/93/954140993.db2.gz YXWXQGHQBVKXMA-ZFWWWQNUSA-N 0 1 300.402 3.030 20 30 DGEDMN C[C@@]([NH2+]Cc1ccc(Cl)cc1C#N)(C(=O)[O-])c1ccccc1 ZINC001573631047 959553378 /nfs/dbraw/zinc/55/33/78/959553378.db2.gz NNFBLCDZTNCXMC-KRWDZBQOSA-N 0 1 314.772 3.301 20 30 DGEDMN CC(C)(C)C[N@@H+](CC(=O)[O-])Cc1ccccc1C(C)(C)C#N ZINC001588655625 960409952 /nfs/dbraw/zinc/40/99/52/960409952.db2.gz GBPUYIVPJFRBBZ-UHFFFAOYSA-N 0 1 302.418 3.420 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CCC1(C(=O)[O-])CCC1 ZINC001574474594 961641495 /nfs/dbraw/zinc/64/14/95/961641495.db2.gz PUJWIHFRQSJTDH-SJORKVTESA-N 0 1 312.413 3.403 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(/C=C/C(=O)[O-])o1)Cc1cccc(C#N)c1 ZINC001573368176 963075329 /nfs/dbraw/zinc/07/53/29/963075329.db2.gz JPFHUNYCLNKIDT-CMDGGOBGSA-N 0 1 322.364 3.437 20 30 DGEDMN C=CC[N@H+](Cc1ccc(/C=C/C(=O)[O-])o1)Cc1cccc(C#N)c1 ZINC001573368176 963075341 /nfs/dbraw/zinc/07/53/41/963075341.db2.gz JPFHUNYCLNKIDT-CMDGGOBGSA-N 0 1 322.364 3.437 20 30 DGEDMN C[C@H](C[N@@H+](Cc1ccccc1)Cc1ccc(C#N)cc1)C(=O)[O-] ZINC001592605040 978549223 /nfs/dbraw/zinc/54/92/23/978549223.db2.gz SARLMMLNGAZABH-OAHLLOKOSA-N 0 1 308.381 3.281 20 30 DGEDMN C#CC[C@@H]1CC[N@H+](Cc2ccc(-c3ccccc3C(=O)[O-])o2)C1 ZINC001573303734 983040098 /nfs/dbraw/zinc/04/00/98/983040098.db2.gz RHOHAZWMMROGQX-CQSZACIVSA-N 0 1 309.365 3.490 20 30 DGEDMN C#C[C@H]([NH2+][C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1)C(C)C ZINC001588377976 983360118 /nfs/dbraw/zinc/36/01/18/983360118.db2.gz SXWGCBDUJULZKW-QWHCGFSZSA-N 0 1 300.185 3.367 20 30 DGEDMN CC[N@H+](CCC#N)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001596299731 983726263 /nfs/dbraw/zinc/72/62/63/983726263.db2.gz XZUPTJNKPZIONQ-GFCCVEGCSA-N 0 1 301.173 3.355 20 30 DGEDMN CC[N@@H+](CCC#N)[C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001596299731 983726264 /nfs/dbraw/zinc/72/62/64/983726264.db2.gz XZUPTJNKPZIONQ-GFCCVEGCSA-N 0 1 301.173 3.355 20 30 DGEDMN C=C(CCC(=O)[O-])C(=O)Nc1cccc2c1C[N@@H+](CC(C)C)C2 ZINC001588633474 983749533 /nfs/dbraw/zinc/74/95/33/983749533.db2.gz IROLKXFFNWNRPY-UHFFFAOYSA-N 0 1 316.401 3.018 20 30 DGEDMN CC[N@@H+](CCc1cccs1)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001596352817 983813423 /nfs/dbraw/zinc/81/34/23/983813423.db2.gz VWEKAUCYMCZYHC-MRXNPFEDSA-N 0 1 314.410 3.310 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](c2ccccc2OC)CC1 ZINC001588729401 983990005 /nfs/dbraw/zinc/99/00/05/983990005.db2.gz KAOKKHFBRMMRLZ-GOEBONIOSA-N 0 1 303.402 3.294 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCc2ccc(Cl)c(Cl)c2C1 ZINC001588731683 984011059 /nfs/dbraw/zinc/01/10/59/984011059.db2.gz MUOHGCMZIWOVGF-GFCCVEGCSA-N 0 1 300.185 3.381 20 30 DGEDMN C=CC[C@H]([NH2+][C@H](C)c1ncc(C(=O)[O-])s1)c1ccncc1 ZINC001588745714 984054270 /nfs/dbraw/zinc/05/42/70/984054270.db2.gz MITHMNNKQIRWSX-PWSUYJOCSA-N 0 1 303.387 3.204 20 30 DGEDMN C=CC[C@@H](S[C@H]1CCC[N@@H+](Cc2ccccc2)C1)C(=O)[O-] ZINC001588757615 984103558 /nfs/dbraw/zinc/10/35/58/984103558.db2.gz BLUYEPPKKNMBEF-JKSUJKDBSA-N 0 1 305.443 3.414 20 30 DGEDMN C=CC[N@@H+](CC(=O)c1cc(C(=O)[O-])[nH]c1C)CC1CCCCC1 ZINC001588824262 984298243 /nfs/dbraw/zinc/29/82/43/984298243.db2.gz ZVKPUODDDQDCOT-UHFFFAOYSA-N 0 1 318.417 3.272 20 30 DGEDMN C=CC[N@H+](CC(=O)c1cc(C(=O)[O-])[nH]c1C)CC1CCCCC1 ZINC001588824262 984298250 /nfs/dbraw/zinc/29/82/50/984298250.db2.gz ZVKPUODDDQDCOT-UHFFFAOYSA-N 0 1 318.417 3.272 20 30 DGEDMN C[C@H](C#N)C[N@H+](Cc1ccnc2c(C(=O)[O-])cccc12)C1CC1 ZINC001589330706 986177140 /nfs/dbraw/zinc/17/71/40/986177140.db2.gz NWJLRUYCTVEPDT-GFCCVEGCSA-N 0 1 309.369 3.057 20 30 DGEDMN C[C@H](C#N)C[N@@H+](Cc1ccnc2c(C(=O)[O-])cccc12)C1CC1 ZINC001589330706 986177142 /nfs/dbraw/zinc/17/71/42/986177142.db2.gz NWJLRUYCTVEPDT-GFCCVEGCSA-N 0 1 309.369 3.057 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-] ZINC001589560706 987316422 /nfs/dbraw/zinc/31/64/22/987316422.db2.gz NNZMIDLDJONJQG-JLJPHGGASA-N 0 1 312.413 3.173 20 30 DGEDMN C[N@@H+](CC(C)(C)C#N)[C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001598419239 991751403 /nfs/dbraw/zinc/75/14/03/991751403.db2.gz GVPPMXLGLMEFLP-GFCCVEGCSA-N 0 1 314.307 3.313 20 30 DGEDMN C[N@@H+](CC(C)(C)C#N)[C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001598419242 991752093 /nfs/dbraw/zinc/75/20/93/991752093.db2.gz GVPPMXLGLMEFLP-LBPRGKRZSA-N 0 1 314.307 3.313 20 30 DGEDMN CC(C)C[N@@H+](CC#Cc1ccc(F)cc1)CC(C)(C)C(=O)[O-] ZINC001591289694 992234135 /nfs/dbraw/zinc/23/41/35/992234135.db2.gz IITMAQNPFXKOHS-UHFFFAOYSA-N 0 1 305.393 3.246 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001593698071 996160510 /nfs/dbraw/zinc/16/05/10/996160510.db2.gz DTTBWLOBXSTPCH-CYBMUJFWSA-N 0 1 319.364 3.321 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001593698071 996160513 /nfs/dbraw/zinc/16/05/13/996160513.db2.gz DTTBWLOBXSTPCH-CYBMUJFWSA-N 0 1 319.364 3.321 20 30 DGEDMN C[C@@]1(C#N)CCC[N@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC001593742993 996364252 /nfs/dbraw/zinc/36/42/52/996364252.db2.gz LOACBNPXMCMYNM-SFHVURJKSA-N 0 1 309.369 3.059 20 30 DGEDMN C[C@@]1(C#N)CCC[N@@H+](Cc2ccc(C(=O)[O-])c3cccnc23)C1 ZINC001593742993 996364256 /nfs/dbraw/zinc/36/42/56/996364256.db2.gz LOACBNPXMCMYNM-SFHVURJKSA-N 0 1 309.369 3.059 20 30 DGEDMN COc1ccc([C@H](C)[NH2+]Cc2cccc(C(=O)[O-])c2C#N)cc1 ZINC001599508251 997250584 /nfs/dbraw/zinc/25/05/84/997250584.db2.gz MYQKNEZPFMVTAQ-LBPRGKRZSA-N 0 1 310.353 3.116 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001266252467 1081580882 /nfs/dbraw/zinc/58/08/82/1081580882.db2.gz URYRBRVOEJUGSR-WMZOPIPTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CCOC(C)C)C2)CCCC1 ZINC001266254601 1081584000 /nfs/dbraw/zinc/58/40/00/1081584000.db2.gz OUHXIOPKPPNIKR-QGZVFWFLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCCC(=O)NCCN(C)CCc1c(F)cccc1Cl ZINC001266262161 1081592960 /nfs/dbraw/zinc/59/29/60/1081592960.db2.gz IQOHETDAGBXOQJ-UHFFFAOYSA-N 0 1 312.816 3.036 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC001282384894 1081620315 /nfs/dbraw/zinc/62/03/15/1081620315.db2.gz RKOUIQPPSUAXDA-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCNCc1ncc(C2CC2)o1 ZINC001169706976 1081634919 /nfs/dbraw/zinc/63/49/19/1081634919.db2.gz WTFLYJRMBBTSPQ-AWEZNQCLSA-N 0 1 319.449 3.283 20 30 DGEDMN CCCC[C@](C)(F)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001266290534 1081635833 /nfs/dbraw/zinc/63/58/33/1081635833.db2.gz CRQTZLRDXVHRBI-SFHVURJKSA-N 0 1 319.424 3.025 20 30 DGEDMN COC(=O)c1cc2[nH]cnc2c(NC23CCC(C#N)(CC2)CC3)c1 ZINC001169750509 1081642445 /nfs/dbraw/zinc/64/24/45/1081642445.db2.gz DAYKXHFRRTVERF-UHFFFAOYSA-N 0 1 324.384 3.378 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001282451722 1081669925 /nfs/dbraw/zinc/66/99/25/1081669925.db2.gz YYNFWZMQUHDWAY-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001266336321 1081676841 /nfs/dbraw/zinc/67/68/41/1081676841.db2.gz NFWMELIUHAFUMD-NVXWUHKLSA-N 0 1 316.420 3.216 20 30 DGEDMN CC(C)C1(O)CN(Cc2ccc(-c3ccccc3C#N)cc2)C1 ZINC001169933322 1081679360 /nfs/dbraw/zinc/67/93/60/1081679360.db2.gz LAFSGMVLAZRNMP-UHFFFAOYSA-N 0 1 306.409 3.428 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CC[N@H+](C[C@H](CC)OC)C2)CCCCC1 ZINC001266361430 1081701395 /nfs/dbraw/zinc/70/13/95/1081701395.db2.gz MVTBHWJENRXKCY-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001266362054 1081702503 /nfs/dbraw/zinc/70/25/03/1081702503.db2.gz XKQXEEDHSZKBTB-FUHWJXTLSA-N 0 1 300.446 3.022 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)CCC(C)(F)F)CC1 ZINC001266388688 1081732828 /nfs/dbraw/zinc/73/28/28/1081732828.db2.gz MYPDEWSKPKHAAS-UHFFFAOYSA-N 0 1 314.420 3.148 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(C)(C)C)C2 ZINC001109327946 1081806084 /nfs/dbraw/zinc/80/60/84/1081806084.db2.gz DCXNEOGVRNBMJQ-IKGGRYGDSA-N 0 1 304.478 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C\c1cccc(F)c1)C2 ZINC001109417465 1081829155 /nfs/dbraw/zinc/82/91/55/1081829155.db2.gz CSASITRHDMSGTN-DYRKBPKUSA-N 0 1 314.404 3.137 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1 ZINC001109656508 1081892987 /nfs/dbraw/zinc/89/29/87/1081892987.db2.gz XXZQWFZNFINQNC-SQNIBIBYSA-N 0 1 316.420 3.404 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)c1ccc(CC)cc1)C2 ZINC001109716034 1081902012 /nfs/dbraw/zinc/90/20/12/1081902012.db2.gz OHVMRLKFUMRDHA-LDTOTXGLSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](c1ccccc1)C(C)C)C2 ZINC001109762695 1081909859 /nfs/dbraw/zinc/90/98/59/1081909859.db2.gz BGNWXOMEZYHVRB-XWSJACJDSA-N 0 1 312.457 3.334 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)C2 ZINC001109759411 1081910011 /nfs/dbraw/zinc/91/00/11/1081910011.db2.gz XVUVGODWONSILC-NCOADZHNSA-N 0 1 304.478 3.194 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)OC1CCCC1)C2 ZINC001109780353 1081915284 /nfs/dbraw/zinc/91/52/84/1081915284.db2.gz QOINPUCERLKGSK-LAVFITLUSA-N 0 1 320.477 3.022 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](F)CCCCCC)C2 ZINC001109978587 1081941612 /nfs/dbraw/zinc/94/16/12/1081941612.db2.gz DKHPGUVUAKFZHN-QZWWFDLISA-N 0 1 308.441 3.040 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001266525101 1081945591 /nfs/dbraw/zinc/94/55/91/1081945591.db2.gz CQTPVDMEFDXTGT-OZLDOMPNSA-N 0 1 323.440 3.019 20 30 DGEDMN CCCCCCCN1CCN(C(=O)/C=C/c2ccco2)CC1 ZINC001112597963 1081969399 /nfs/dbraw/zinc/96/93/99/1081969399.db2.gz MSNIHAHFEODVDN-MDZDMXLPSA-N 0 1 304.434 3.407 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)/C=C\c2ccco2)CC1 ZINC001112597513 1081969816 /nfs/dbraw/zinc/96/98/16/1081969816.db2.gz DTFUAAPVORUWMR-KTKRTIGZSA-N 0 1 302.418 3.183 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCc2ccco2)CC1 ZINC001112609704 1081973385 /nfs/dbraw/zinc/97/33/85/1081973385.db2.gz UEHAUVLDGFKBED-UHFFFAOYSA-N 0 1 304.434 3.103 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)OCC(C)C)CC1 ZINC001112659879 1081982099 /nfs/dbraw/zinc/98/20/99/1081982099.db2.gz QYJIESGGEQEWJZ-QGZVFWFLSA-N 0 1 312.498 3.162 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN(CCC2CCCCC2)CC1 ZINC001112837069 1082019622 /nfs/dbraw/zinc/01/96/22/1082019622.db2.gz QDKRUERMGCFASM-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H]2C[C@H]2c2ccccc2)CC1 ZINC001112858400 1082025714 /nfs/dbraw/zinc/02/57/14/1082025714.db2.gz AQHAZNDQEDINPV-OALUTQOASA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C(C)(C)C)cn2)CC1 ZINC001112862541 1082027515 /nfs/dbraw/zinc/02/75/15/1082027515.db2.gz PMYSFHIAOLKATP-UHFFFAOYSA-N 0 1 315.461 3.103 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(C)c2F)CC1 ZINC001112869619 1082030356 /nfs/dbraw/zinc/03/03/56/1082030356.db2.gz MTFWBSGKBDZQBV-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCN(CCCc2ccccc2)CC1 ZINC001112888255 1082036272 /nfs/dbraw/zinc/03/62/72/1082036272.db2.gz TWGRICJYPFGFPE-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1cccc(Cl)c1)C1CC1 ZINC001266585919 1082037541 /nfs/dbraw/zinc/03/75/41/1082037541.db2.gz GRUNKMUPOYONNX-UHFFFAOYSA-N 0 1 306.837 3.387 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001112896287 1082042466 /nfs/dbraw/zinc/04/24/66/1082042466.db2.gz POGAFHIZYQALED-CQSZACIVSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](CC)CC(F)F)CC1 ZINC001112954959 1082060879 /nfs/dbraw/zinc/06/08/79/1082060879.db2.gz MYODPJQKGSWLQP-KGLIPLIRSA-N 0 1 302.409 3.167 20 30 DGEDMN CC(C)(C)c1nc2c(ncnc2NCc2ccc(C#N)c(F)c2)[nH]1 ZINC001170090776 1082066992 /nfs/dbraw/zinc/06/69/92/1082066992.db2.gz ORWYHQJWFRDUBM-UHFFFAOYSA-N 0 1 324.363 3.273 20 30 DGEDMN C=CCCCN1CCN(C(=O)COCCCCCCCC)CC1 ZINC001112969415 1082070487 /nfs/dbraw/zinc/07/04/87/1082070487.db2.gz FAOMBIDAQCEKTO-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2cnccc2C)CC1 ZINC001112977190 1082076370 /nfs/dbraw/zinc/07/63/70/1082076370.db2.gz QSQAENZHMBPGOH-UHFFFAOYSA-N 0 1 317.477 3.047 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C/c2ccccc2F)CC1 ZINC001113012301 1082084184 /nfs/dbraw/zinc/08/41/84/1082084184.db2.gz ONNMDQCWPYTKGE-FNORWQNLSA-N 0 1 316.420 3.339 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccc(CC)cc1)C2 ZINC001110087946 1082089601 /nfs/dbraw/zinc/08/96/01/1082089601.db2.gz JPBFVRPNSJSESC-QYZOEREBSA-N 0 1 312.457 3.089 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCC(C)(F)F)CC1 ZINC001113032160 1082095240 /nfs/dbraw/zinc/09/52/40/1082095240.db2.gz RLPKCPBEQSQIKL-UHFFFAOYSA-N 0 1 302.409 3.312 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CC1 ZINC001113040258 1082098489 /nfs/dbraw/zinc/09/84/89/1082098489.db2.gz KHHWIFBLFNPZTO-KSZLIROESA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@]2(C)[C@@H]3Cc4ccccc4[C@@H]32)CC1 ZINC001113047241 1082100955 /nfs/dbraw/zinc/10/09/55/1082100955.db2.gz YJUJJLQKWSGAHE-SVFBPWRDSA-N 0 1 324.468 3.073 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2c(C)cccc2Cl)CC1 ZINC001113068928 1082106589 /nfs/dbraw/zinc/10/65/89/1082106589.db2.gz CFNQPSJKFRGDFF-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccnc(C3CC3)c2)CC1 ZINC001113071690 1082107278 /nfs/dbraw/zinc/10/72/78/1082107278.db2.gz NSGDJCIYTLMAEO-HNNXBMFYSA-N 0 1 313.445 3.072 20 30 DGEDMN CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C#N)s1 ZINC001266643368 1082109089 /nfs/dbraw/zinc/10/90/89/1082109089.db2.gz AERKQXMNYJZNHL-AGUYFDCRSA-N 0 1 317.458 3.031 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cncc(CC)c2)CC1 ZINC001113102344 1082114551 /nfs/dbraw/zinc/11/45/51/1082114551.db2.gz DTKCKGWVDRPMAX-UHFFFAOYSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCCC(=O)N(C)CCNCc1nc2cc(C)ccc2s1 ZINC001266654400 1082118737 /nfs/dbraw/zinc/11/87/37/1082118737.db2.gz CDFYNXDFXWJOMP-UHFFFAOYSA-N 0 1 317.458 3.119 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC001113133866 1082122139 /nfs/dbraw/zinc/12/21/39/1082122139.db2.gz FGDDBTNJXRYYCW-TVFCKZIOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CC(C)(C)CC(F)F)CC1 ZINC001113130713 1082123113 /nfs/dbraw/zinc/12/31/13/1082123113.db2.gz GNYHDTPPTQBPED-UHFFFAOYSA-N 0 1 302.409 3.168 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(C[C@@H](C)CC(C)C)CC1 ZINC001113164568 1082129683 /nfs/dbraw/zinc/12/96/83/1082129683.db2.gz SJNQZKZRNMUAKA-HKUYNNGSSA-N 0 1 324.509 3.042 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](OCC)C2CCCC2)CC1 ZINC001113167010 1082129984 /nfs/dbraw/zinc/12/99/84/1082129984.db2.gz WJQSUKLPBXXKMM-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCCC(C)(C)C)CC2)cc1 ZINC001113179755 1082134832 /nfs/dbraw/zinc/13/48/32/1082134832.db2.gz MBSXTDMCTWKQPT-UHFFFAOYSA-N 0 1 312.457 3.252 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1ccc(Cl)cc1F ZINC001266680444 1082141414 /nfs/dbraw/zinc/14/14/14/1082141414.db2.gz HMCDPXCIMPHISZ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN CC#CCCCC(=O)N1CCN(CCCC2CCCC2)CC1 ZINC001113226046 1082142242 /nfs/dbraw/zinc/14/22/42/1082142242.db2.gz HWWRYZKRRKVJNY-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@@H](C)c2ccccc2C)CC1 ZINC001113226322 1082142922 /nfs/dbraw/zinc/14/29/22/1082142922.db2.gz XAJNHKZZVPWTEU-SFHVURJKSA-N 0 1 312.457 3.394 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001113244143 1082149363 /nfs/dbraw/zinc/14/93/63/1082149363.db2.gz UTXSGBBQFFJMNP-LSDHHAIUSA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3[nH]ccc3c2)CC1 ZINC001113275805 1082166509 /nfs/dbraw/zinc/16/65/09/1082166509.db2.gz ZSRBCVVAHDIBGP-OAHLLOKOSA-N 0 1 311.429 3.280 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](CC(C)C)OC)CC1 ZINC001113323621 1082172262 /nfs/dbraw/zinc/17/22/62/1082172262.db2.gz YARGTNDBJZYYMW-KRWDZBQOSA-N 0 1 312.498 3.162 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)C(C)(F)F)CC1 ZINC001113353539 1082182082 /nfs/dbraw/zinc/18/20/82/1082182082.db2.gz JMQNDTHCCPJFOE-AWEZNQCLSA-N 0 1 304.425 3.392 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ncsc2C2CC2)CC1 ZINC001113343576 1082182578 /nfs/dbraw/zinc/18/25/78/1082182578.db2.gz SJJVUPOMHROZLE-ZDUSSCGKSA-N 0 1 319.474 3.133 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2cncs2)CC1 ZINC001113348309 1082182729 /nfs/dbraw/zinc/18/27/29/1082182729.db2.gz IEVNZGRJOSJOOZ-UHFFFAOYSA-N 0 1 323.506 3.190 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](CC)Cc2ccccc2)CC1 ZINC001113392583 1082194809 /nfs/dbraw/zinc/19/48/09/1082194809.db2.gz KKUKDNCGWCFLEK-LJQANCHMSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(CCOCC)CCCC2)CC1 ZINC001113458397 1082219239 /nfs/dbraw/zinc/21/92/39/1082219239.db2.gz DXWZDLDAMSEEKV-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@@H]2CCCOC2)CC1 ZINC001113473238 1082227560 /nfs/dbraw/zinc/22/75/60/1082227560.db2.gz QNFXRXDYZSVDOO-SFHVURJKSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2cc(C)cc(C)c2)CC1 ZINC001113494504 1082230993 /nfs/dbraw/zinc/23/09/93/1082230993.db2.gz ZUIDWNVRVIYURP-UHFFFAOYSA-N 0 1 314.473 3.346 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCO[C@@H](CC)C2)CC1 ZINC001113502727 1082235249 /nfs/dbraw/zinc/23/52/49/1082235249.db2.gz NXDBCTZOBDFWHU-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)c2cccnc2)CC1 ZINC001113550934 1082247447 /nfs/dbraw/zinc/24/74/47/1082247447.db2.gz VESKZCSVZHTAMV-KRWDZBQOSA-N 0 1 317.477 3.300 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](CC)CC(F)(F)F)CC1 ZINC001113550440 1082247583 /nfs/dbraw/zinc/24/75/83/1082247583.db2.gz CEDUKZGGLWBZJB-CYBMUJFWSA-N 0 1 306.372 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2oc(C(C)C)nc2C)C1 ZINC001266832039 1082252058 /nfs/dbraw/zinc/25/20/58/1082252058.db2.gz UOUVIKNFOVIKNT-UHFFFAOYSA-N 0 1 319.449 3.011 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H](C)[C@H]2CCCO2)CC1 ZINC001113580750 1082254108 /nfs/dbraw/zinc/25/41/08/1082254108.db2.gz ZRGZRLKDAKKFOK-ZWKOTPCHSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001113573827 1082254512 /nfs/dbraw/zinc/25/45/12/1082254512.db2.gz IPEHSWTWFAZYDQ-CQSZACIVSA-N 0 1 306.837 3.453 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC[C@H]2CCCO2)CC1 ZINC001113575831 1082254711 /nfs/dbraw/zinc/25/47/11/1082254711.db2.gz RGFBNCNKGVQBFS-GOSISDBHSA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)COCCCCCC)CC1 ZINC001113581702 1082257729 /nfs/dbraw/zinc/25/77/29/1082257729.db2.gz BEMYTMCUOZDCAF-UHFFFAOYSA-N 0 1 324.509 3.474 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(OC)c(Cl)c2)CC1 ZINC001113615927 1082265459 /nfs/dbraw/zinc/26/54/59/1082265459.db2.gz FFERDZGYGIKBJK-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC[C@@H]2CC[C@H](C)O2)CC1 ZINC001113660044 1082272643 /nfs/dbraw/zinc/27/26/43/1082272643.db2.gz STRXZEKJLOXLOB-ROUUACIJSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](F)Cc2ccccc2)CC1 ZINC001113667119 1082273212 /nfs/dbraw/zinc/27/32/12/1082273212.db2.gz OZISTXBLCOTMMG-SJLPKXTDSA-N 0 1 318.436 3.066 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccccc2C)CC1 ZINC001113669865 1082274795 /nfs/dbraw/zinc/27/47/95/1082274795.db2.gz FKQUPXLFJZGBRG-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccc(C)nc2C)CC1 ZINC001113668356 1082274889 /nfs/dbraw/zinc/27/48/89/1082274889.db2.gz CUVOKRQFMNZQHJ-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](CCC=C)c1ccccc1 ZINC001266859234 1082276743 /nfs/dbraw/zinc/27/67/43/1082276743.db2.gz KCLMPPYDDVDUSE-PMACEKPBSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(Cl)cccc2OC)CC1 ZINC001113677765 1082276990 /nfs/dbraw/zinc/27/69/90/1082276990.db2.gz OBBUTCCJMYMLNZ-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)C2CC2)C1 ZINC001086604684 1082279617 /nfs/dbraw/zinc/27/96/17/1082279617.db2.gz PZRJMFLQQHDTDF-ZWKOTPCHSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CC3CCC2CC3)CC1 ZINC001113689363 1082282767 /nfs/dbraw/zinc/28/27/67/1082282767.db2.gz MUBBMYHLSLPUDQ-BFWZDYSYSA-N 0 1 304.478 3.312 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)C[C@H]2CCCO2)CC1 ZINC001113699977 1082287944 /nfs/dbraw/zinc/28/79/44/1082287944.db2.gz FCQMEDRUTWVNKQ-QZTJIDSGSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCN1CCN(C(=O)CCCCc2ccc(C)cc2)CC1 ZINC001113708880 1082288048 /nfs/dbraw/zinc/28/80/48/1082288048.db2.gz BDKZAXQCSGYAHR-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCC(=C)C)CC2)CCCCC1 ZINC001113705190 1082289655 /nfs/dbraw/zinc/28/96/55/1082289655.db2.gz SXDXZLNGPZGCRO-UHFFFAOYSA-N 0 1 302.462 3.071 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)OCCC(C)C)CC1 ZINC001113716611 1082289715 /nfs/dbraw/zinc/28/97/15/1082289715.db2.gz FMCTYJXLCIBPDD-GOSISDBHSA-N 0 1 324.509 3.328 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2(C(F)(F)F)CCCC2)CC1 ZINC001113727186 1082293066 /nfs/dbraw/zinc/29/30/66/1082293066.db2.gz GVJXFJXADJDUHT-UHFFFAOYSA-N 0 1 318.383 3.220 20 30 DGEDMN N#CCc1cc(NCc2nc(-c3ccccc3)cs2)ccn1 ZINC001170247064 1082333480 /nfs/dbraw/zinc/33/34/80/1082333480.db2.gz HWOKSXIGMOAXPN-UHFFFAOYSA-N 0 1 306.394 3.305 20 30 DGEDMN COc1ccc(C[C@@H](C)NC2(CC#N)CCCC2)c([N+](=O)[O-])c1 ZINC001170328367 1082347670 /nfs/dbraw/zinc/34/76/70/1082347670.db2.gz KAVFHMZSANEELW-CYBMUJFWSA-N 0 1 317.389 3.350 20 30 DGEDMN COc1ccc(C[C@H](C)N2CCC[C@@H](CC#N)C2)c([N+](=O)[O-])c1 ZINC001170348244 1082357108 /nfs/dbraw/zinc/35/71/08/1082357108.db2.gz WHJOQGCCCQWJIB-KBPBESRZSA-N 0 1 317.389 3.160 20 30 DGEDMN CO[C@H]1CN(C2CCC(C#N)(c3ccccc3)CC2)C[C@@H]1F ZINC001170378689 1082363048 /nfs/dbraw/zinc/36/30/48/1082363048.db2.gz IFDHTTMHXKGNNM-SAGYGOJTSA-N 0 1 302.393 3.059 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2ccc(F)cc2Cl)C1 ZINC001266970880 1082389475 /nfs/dbraw/zinc/38/94/75/1082389475.db2.gz OVFYGKMJQONKKG-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN CN(CCNC(=O)C1(C)CCCCC1)Cc1cccc(C#N)c1 ZINC001480908277 1082399613 /nfs/dbraw/zinc/39/96/13/1082399613.db2.gz SBTLDQHVKTUTQU-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1sc(C(C)C)nc1C ZINC001266994152 1082416134 /nfs/dbraw/zinc/41/61/34/1082416134.db2.gz SBCPEUBPOUUEHZ-SNVBAGLBSA-N 0 1 315.870 3.035 20 30 DGEDMN O=C(/C=C\c1cncc(Br)c1)c1ccc(O)cc1O ZINC000588476171 1082420333 /nfs/dbraw/zinc/42/03/33/1082420333.db2.gz DCZGZKOLZVFYKQ-RJRFIUFISA-N 0 1 320.142 3.151 20 30 DGEDMN CCCCCCC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001267025671 1082443711 /nfs/dbraw/zinc/44/37/11/1082443711.db2.gz KSKFFPABULAGCU-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN CCC(=CC(=O)NCCNCC#Cc1cccc(Cl)c1)CC ZINC001125571885 1082466278 /nfs/dbraw/zinc/46/62/78/1082466278.db2.gz OHCKMYQVJHMIJG-UHFFFAOYSA-N 0 1 318.848 3.144 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H]1CCCN(c2cc(C)ccc2F)C1=O ZINC001170450726 1082475399 /nfs/dbraw/zinc/47/53/99/1082475399.db2.gz FZVLETXJBNATFS-YJBOKZPZSA-N 0 1 302.393 3.021 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2nc(C)oc2C)CCC1 ZINC001267142348 1082559787 /nfs/dbraw/zinc/55/97/87/1082559787.db2.gz BTOSRWZLGAFOOP-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H](C)NC/C(Cl)=C\Cl ZINC001267146463 1082564124 /nfs/dbraw/zinc/56/41/24/1082564124.db2.gz PKCCWTXHVFYGTJ-UTEVDWOZSA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001157965140 1082583032 /nfs/dbraw/zinc/58/30/32/1082583032.db2.gz POCCXDQPKZINSC-QZTJIDSGSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CCCC(C)(C)C)C[C@H](C)O2 ZINC001131642332 1082697508 /nfs/dbraw/zinc/69/75/08/1082697508.db2.gz OIORFQOMPMEUPP-LPHOPBHVSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)(C)/C=C\C(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001267287391 1082750752 /nfs/dbraw/zinc/75/07/52/1082750752.db2.gz IIDXSZOWCKWQBV-NJBZPOFQSA-N 0 1 324.468 3.079 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cccc(F)c2)C1 ZINC001131995496 1082789018 /nfs/dbraw/zinc/78/90/18/1082789018.db2.gz LAHLBRVOJUZRRT-YJBOKZPZSA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(C/C=C/c2ccccc2)C1 ZINC001132001528 1082790909 /nfs/dbraw/zinc/79/09/09/1082790909.db2.gz ANGUUOVEQYPUJN-CQOFWLJFSA-N 0 1 324.468 3.472 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccccn2)C1 ZINC001132068061 1082807507 /nfs/dbraw/zinc/80/75/07/1082807507.db2.gz CKOKJHYJYHDYRM-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@@H]1C ZINC001132110220 1082820836 /nfs/dbraw/zinc/82/08/36/1082820836.db2.gz FSMLHTOSUWCYTC-FUHWJXTLSA-N 0 1 312.457 3.164 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@H]1C ZINC001132110221 1082820963 /nfs/dbraw/zinc/82/09/63/1082820963.db2.gz FSMLHTOSUWCYTC-SJLPKXTDSA-N 0 1 312.457 3.164 20 30 DGEDMN CCCC(=O)NC[C@@H](NCc1ccc(C#N)cc1)c1ccccc1 ZINC001267346132 1082822916 /nfs/dbraw/zinc/82/29/16/1082822916.db2.gz LQTMIFCSGDIHAE-LJQANCHMSA-N 0 1 321.424 3.305 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(CC(C)C)CCC2)CC[C@H]1C ZINC001132168440 1082829278 /nfs/dbraw/zinc/82/92/78/1082829278.db2.gz DDEZTHISAJOXEA-IAGOWNOFSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C)CCCCCC1)c1ccccc1 ZINC001267361687 1082832953 /nfs/dbraw/zinc/83/29/53/1082832953.db2.gz FVCFTKUTIJRXRQ-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H](CC)CC(F)F)c1ccccc1 ZINC001267361601 1082833526 /nfs/dbraw/zinc/83/35/26/1082833526.db2.gz DCWOBPCPZBZBSG-ZBFHGGJFSA-N 0 1 322.399 3.138 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC1CC(C)(C)C1)c1ccccc1 ZINC001267371022 1082839992 /nfs/dbraw/zinc/83/99/92/1082839992.db2.gz VPOAODHIEAIOGP-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2ncccc2C)C1 ZINC001132217614 1082844275 /nfs/dbraw/zinc/84/42/75/1082844275.db2.gz DXJYIBORNASEHQ-HOTGVXAUSA-N 0 1 315.461 3.071 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC001132227407 1082848949 /nfs/dbraw/zinc/84/89/49/1082848949.db2.gz CYYKFKTXXVQUHU-DZGCQCFKSA-N 0 1 306.475 3.429 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCCCc2ccccc2)CC[C@@H]1C ZINC001132252680 1082854097 /nfs/dbraw/zinc/85/40/97/1082854097.db2.gz ILAAWRSMOYSXDO-HKUYNNGSSA-N 0 1 312.457 3.002 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CC/C=C\c2ccccc2)CC[C@@H]1C ZINC001132294492 1082875104 /nfs/dbraw/zinc/87/51/04/1082875104.db2.gz DHEABBPJGHYYOA-TYLQSNEBSA-N 0 1 324.468 3.472 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001132304566 1082875789 /nfs/dbraw/zinc/87/57/89/1082875789.db2.gz VCLBZVNYTNSFEN-HNNXBMFYSA-N 0 1 322.399 3.260 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC(C2CCC2)C2CCC2)CC[C@H]1C ZINC001132311507 1082878529 /nfs/dbraw/zinc/87/85/29/1082878529.db2.gz VCYMYFKAVRULAK-CRAIPNDOSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1cccc(Cl)c1F ZINC001132398959 1082900729 /nfs/dbraw/zinc/90/07/29/1082900729.db2.gz WFMHZQVXJJAJCG-UHFFFAOYSA-N 0 1 324.827 3.269 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccsc2)C1 ZINC001267482823 1082939160 /nfs/dbraw/zinc/93/91/60/1082939160.db2.gz WZUFDFSQJXYGNJ-GOEBONIOSA-N 0 1 306.475 3.351 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132568907 1082947495 /nfs/dbraw/zinc/94/74/95/1082947495.db2.gz HFPUXFTZQQIXGD-VXGBXAGGSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132569859 1082948566 /nfs/dbraw/zinc/94/85/66/1082948566.db2.gz ZTTNJZACSPJCNV-RYUDHWBXSA-N 0 1 312.816 3.143 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C=C)c2ccccc2)C1 ZINC001267496587 1082955033 /nfs/dbraw/zinc/95/50/33/1082955033.db2.gz WEOAIIBSVKZCOV-UYAOXDASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccc(F)s1)C(C)C ZINC001267512115 1082971597 /nfs/dbraw/zinc/97/15/97/1082971597.db2.gz ARLVYZPGZUZYPZ-UHFFFAOYSA-N 0 1 318.845 3.470 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001132653563 1082967835 /nfs/dbraw/zinc/96/78/35/1082967835.db2.gz ONTJOZUBCYBGQU-WBMJQRKESA-N 0 1 322.399 3.260 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2[C@H]3CCCN(CCF)[C@@H]3C2(C)C)CC1 ZINC001087301801 1082971468 /nfs/dbraw/zinc/97/14/68/1082971468.db2.gz IZBXFUKAZLEGKY-ZACQAIPSSA-N 0 1 322.468 3.308 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001132667416 1082976267 /nfs/dbraw/zinc/97/62/67/1082976267.db2.gz KYBUBNYUIKIQQO-CHWSQXEVSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@@H]1CC=CCC1)c1ccccc1 ZINC001267521552 1082981057 /nfs/dbraw/zinc/98/10/57/1082981057.db2.gz ZYQFOGJJBPOMDO-MJGOQNOKSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C)c(C)s1)c1ccccc1 ZINC001267524923 1082986509 /nfs/dbraw/zinc/98/65/09/1082986509.db2.gz HRCWNJRCQUTMPG-MRXNPFEDSA-N 0 1 312.438 3.059 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C12CC(C(C)(C)C)(C1)C2)c1ccccc1 ZINC001267527193 1082990516 /nfs/dbraw/zinc/99/05/16/1082990516.db2.gz YTSKOJIQTNVETM-RPCJCACASA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCCCC(=O)N(CCC)[C@H]1CCN(Cc2cccnc2)C1 ZINC001267546415 1083015852 /nfs/dbraw/zinc/01/58/52/1083015852.db2.gz NVMVDBSXTXZLQO-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCCCC(=O)N(CCC)[C@@H]1CCN(Cc2cccnc2)C1 ZINC001267546414 1083016210 /nfs/dbraw/zinc/01/62/10/1083016210.db2.gz NVMVDBSXTXZLQO-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3cc[nH]c3c2)C1 ZINC001267546786 1083016871 /nfs/dbraw/zinc/01/68/71/1083016871.db2.gz DXNUJTCBDJCIPN-KRWDZBQOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccccc2CC)C1 ZINC001267547640 1083018028 /nfs/dbraw/zinc/01/80/28/1083018028.db2.gz YZHBXZFVUHKJKQ-KRWDZBQOSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001267563499 1083043496 /nfs/dbraw/zinc/04/34/96/1083043496.db2.gz ZQZNCUAESRERCP-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN CCCc1ccc(C(=O)NCCNCC#Cc2ccccc2)cc1 ZINC001133089305 1083048339 /nfs/dbraw/zinc/04/83/39/1083048339.db2.gz CJGVHAWOVJRINT-UHFFFAOYSA-N 0 1 320.436 3.010 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001267571060 1083062166 /nfs/dbraw/zinc/06/21/66/1083062166.db2.gz VNTCKOUNGQHPFB-CABCVRRESA-N 0 1 312.885 3.393 20 30 DGEDMN C=C1CCC(C(=O)NCCN[C@H](C)c2ccccc2F)CC1 ZINC001133375864 1083106696 /nfs/dbraw/zinc/10/66/96/1083106696.db2.gz MGJBCHUESLRQHD-CQSZACIVSA-N 0 1 304.409 3.339 20 30 DGEDMN C=CCCC1(C(=O)NCCN[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001133378751 1083110057 /nfs/dbraw/zinc/11/00/57/1083110057.db2.gz MBSWBQXHWHWIDA-ZDUSSCGKSA-N 0 1 322.399 3.478 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCC[N@@H+]2C[C@H](F)CC)CCCCC1 ZINC001481070225 1083148769 /nfs/dbraw/zinc/14/87/69/1083148769.db2.gz HNTLWLRLZYQFAH-SJORKVTESA-N 0 1 322.468 3.289 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H]2CCCN2C[C@H](F)CC)CCCCC1 ZINC001481070225 1083148771 /nfs/dbraw/zinc/14/87/71/1083148771.db2.gz HNTLWLRLZYQFAH-SJORKVTESA-N 0 1 322.468 3.289 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN(Cc2cc(F)ccc2Cl)C1 ZINC001481084005 1083155117 /nfs/dbraw/zinc/15/51/17/1083155117.db2.gz QVVHQBJLJDARHB-ZDUSSCGKSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC001481108741 1083181744 /nfs/dbraw/zinc/18/17/44/1083181744.db2.gz CZVIIADPQHSUQN-CTNGQTDRSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nnc(C(C)C)s1 ZINC001133883952 1083205522 /nfs/dbraw/zinc/20/55/22/1083205522.db2.gz ITVVZVQIFHWDCQ-OLZOCXBDSA-N 0 1 324.494 3.001 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1ncc(Cl)s1 ZINC001133883987 1083205779 /nfs/dbraw/zinc/20/57/79/1083205779.db2.gz JMNQCKHCSXLPDU-GHMZBOCLSA-N 0 1 315.870 3.136 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001283715312 1083228692 /nfs/dbraw/zinc/22/86/92/1083228692.db2.gz UHSJSVXGHLBPEQ-OLZOCXBDSA-N 0 1 312.816 3.118 20 30 DGEDMN CC#CCN(CCNC(=O)CC/C=C/c1ccccc1)C1CC1 ZINC001481186635 1083231230 /nfs/dbraw/zinc/23/12/30/1083231230.db2.gz XOOBTQMQUZTDGJ-YRNVUSSQSA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc2[nH]c(C)c(C)c2c1)C1CC1 ZINC001481196431 1083238550 /nfs/dbraw/zinc/23/85/50/1083238550.db2.gz FQVACGSRECPJQB-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001267654295 1083247894 /nfs/dbraw/zinc/24/78/94/1083247894.db2.gz SBESBERINULBQT-ZAAXVRCTSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2c(C)cc(C)cc2C)C1 ZINC001267656989 1083249807 /nfs/dbraw/zinc/24/98/07/1083249807.db2.gz CKAKAWYVPPILRU-QGZVFWFLSA-N 0 1 314.473 3.309 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001267658091 1083250375 /nfs/dbraw/zinc/25/03/75/1083250375.db2.gz BAPZOTCNWUIBQA-HUUCEWRRSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C(F)F)cc2)C1 ZINC001267661718 1083251632 /nfs/dbraw/zinc/25/16/32/1083251632.db2.gz HPXPFLPMGOMMDZ-GFCCVEGCSA-N 0 1 308.372 3.393 20 30 DGEDMN CC#CCCCC(=O)NCC1CN(Cc2cc3ccccc3o2)C1 ZINC001267689017 1083264348 /nfs/dbraw/zinc/26/43/48/1083264348.db2.gz CKLXVMMGVIPSPG-UHFFFAOYSA-N 0 1 324.424 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(CCc2ccccc2Cl)C1 ZINC001267687758 1083264851 /nfs/dbraw/zinc/26/48/51/1083264851.db2.gz MXZICLUGMCSBCO-UHFFFAOYSA-N 0 1 320.864 3.143 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@@H](C)NCc1cc(C)on1 ZINC001134419632 1083287462 /nfs/dbraw/zinc/28/74/62/1083287462.db2.gz YQMTWICXISAVIW-ZIAGYGMSSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@@H](C)NCc1cscn1 ZINC001134457093 1083295858 /nfs/dbraw/zinc/29/58/58/1083295858.db2.gz JQOBSCVSXPOWDG-OLZOCXBDSA-N 0 1 309.479 3.118 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001481226480 1083306752 /nfs/dbraw/zinc/30/67/52/1083306752.db2.gz FKJJPHFRRKFXNU-FYWRMAATSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001267768644 1083331383 /nfs/dbraw/zinc/33/13/83/1083331383.db2.gz PXDWAHQCKYRILU-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1cc(O)ccc1Cl ZINC001267814864 1083368588 /nfs/dbraw/zinc/36/85/88/1083368588.db2.gz GFABKVUWHIWBKA-CYBMUJFWSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2ccc(F)cc2F)C1 ZINC001267818896 1083378162 /nfs/dbraw/zinc/37/81/62/1083378162.db2.gz PYHFCTKWHUJBSH-HNNXBMFYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ccc(Cl)cc2F)C1 ZINC001181512006 1083481508 /nfs/dbraw/zinc/48/15/08/1083481508.db2.gz XIUNFDGOLPTUGK-CQSZACIVSA-N 0 1 310.800 3.136 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001181542984 1083487901 /nfs/dbraw/zinc/48/79/01/1083487901.db2.gz JHMLZBYDWGDFNI-GXTWGEPZSA-N 0 1 308.372 3.183 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C1c2ccccc2-c2ccccc21 ZINC001181911069 1083580166 /nfs/dbraw/zinc/58/01/66/1083580166.db2.gz VXTCSEBZJLXVHU-UHFFFAOYSA-N 0 1 300.321 3.032 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2ccc(C)cc2C)C1 ZINC001267957218 1083649840 /nfs/dbraw/zinc/64/98/40/1083649840.db2.gz ZXDTVKANNHRYFW-GOSISDBHSA-N 0 1 300.446 3.003 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2ocnc2C)CCCCC1 ZINC001481290644 1083680137 /nfs/dbraw/zinc/68/01/37/1083680137.db2.gz LCONCVVFFFVQAG-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)C(C)(C)CC(C)C ZINC001481300801 1083723467 /nfs/dbraw/zinc/72/34/67/1083723467.db2.gz BOZWXAQQEIHWPT-UHFFFAOYSA-N 0 1 319.287 3.015 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C=C2CCCCC2)C1 ZINC001268017684 1083746351 /nfs/dbraw/zinc/74/63/51/1083746351.db2.gz LZDSIBNFDCGEDQ-FUHWJXTLSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CC2(C)CCCCC2)C1 ZINC001268022974 1083757545 /nfs/dbraw/zinc/75/75/45/1083757545.db2.gz AZGROIWYFXRCFJ-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)C)c1ccc(C(C)C)cc1 ZINC001481357757 1083818457 /nfs/dbraw/zinc/81/84/57/1083818457.db2.gz JVSQPYZZOQGFAN-GOSISDBHSA-N 0 1 300.446 3.236 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@@H](C)CC(C)C)c1ccccc1 ZINC001481373101 1083834820 /nfs/dbraw/zinc/83/48/20/1083834820.db2.gz NIVBZTORQHCVKK-FUHWJXTLSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001182898274 1083835557 /nfs/dbraw/zinc/83/55/57/1083835557.db2.gz ULVVLJIBACKVTG-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCCC1CCCC1)c1ccccc1 ZINC001481374519 1083835861 /nfs/dbraw/zinc/83/58/61/1083835861.db2.gz RIUHZQBEKGBXBC-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3c2CCC3)C1 ZINC001481411940 1083884965 /nfs/dbraw/zinc/88/49/65/1083884965.db2.gz MNHVECAMKXXATA-QGZVFWFLSA-N 0 1 312.457 3.288 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(CF)cc2)C1 ZINC001481462986 1083967336 /nfs/dbraw/zinc/96/73/36/1083967336.db2.gz WRCNPSNJRGINTH-OAHLLOKOSA-N 0 1 324.827 3.350 20 30 DGEDMN C[C@H](c1ccccc1)N1CCN(Cc2csc(C#N)c2)CC1 ZINC001183533317 1083973309 /nfs/dbraw/zinc/97/33/09/1083973309.db2.gz JXOBCBLDNHMANC-OAHLLOKOSA-N 0 1 311.454 3.499 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2=CCCC2)C1 ZINC001087425439 1083973463 /nfs/dbraw/zinc/97/34/63/1083973463.db2.gz VWJPNLQBXOBTFD-RTBURBONSA-N 0 1 310.441 3.257 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)C[C@H](C)CCC ZINC001268052673 1084040723 /nfs/dbraw/zinc/04/07/23/1084040723.db2.gz AEOIJLJJFDFHRO-DGCLKSJQSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)C[C@@H](C)CCC ZINC001268052674 1084040757 /nfs/dbraw/zinc/04/07/57/1084040757.db2.gz AEOIJLJJFDFHRO-WCQYABFASA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC23CCCC3)CC1 ZINC001481520671 1084064442 /nfs/dbraw/zinc/06/44/42/1084064442.db2.gz ZIMMDNFIIGOHOG-MRXNPFEDSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CC(C)(C)CC(F)F ZINC001268075267 1084104397 /nfs/dbraw/zinc/10/43/97/1084104397.db2.gz QWGLISCEAYSHES-LLVKDONJSA-N 0 1 310.816 3.247 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c(C)cc(F)cc1C ZINC001268078397 1084112585 /nfs/dbraw/zinc/11/25/85/1084112585.db2.gz YXABFJMNOONERY-CYBMUJFWSA-N 0 1 312.816 3.245 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1nccc2ccccc21 ZINC001268080639 1084117783 /nfs/dbraw/zinc/11/77/83/1084117783.db2.gz KPBDKWDNTKUWLC-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1cccs1 ZINC001268100928 1084169549 /nfs/dbraw/zinc/16/95/49/1084169549.db2.gz ALDOSOWXXQOQBG-CYBMUJFWSA-N 0 1 314.882 3.164 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(CCCCc2ccccc2)CC1 ZINC001207617213 1084268065 /nfs/dbraw/zinc/26/80/65/1084268065.db2.gz WOJDQOPUARWHRY-UHFFFAOYSA-N 0 1 314.429 3.178 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1CN(Cc2cc3ccccc3o2)C1 ZINC001481624436 1084271730 /nfs/dbraw/zinc/27/17/30/1084271730.db2.gz XUMGSYDDDKGFBC-UHFFFAOYSA-N 0 1 324.424 3.030 20 30 DGEDMN CCCc1ccc(CN2CCC[C@H](NC(=O)C#CC3CC3)C2)cc1 ZINC001268195793 1084307459 /nfs/dbraw/zinc/30/74/59/1084307459.db2.gz ZDGONKKPBXRKIV-FQEVSTJZSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC001185051122 1084321746 /nfs/dbraw/zinc/32/17/46/1084321746.db2.gz YQEBXFMQEJMMCX-DZGCQCFKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CNCc2cscn2)[C@@H](C)C1 ZINC001185060131 1084325544 /nfs/dbraw/zinc/32/55/44/1084325544.db2.gz DRIZXLKJLFEQIX-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001185048516 1084333669 /nfs/dbraw/zinc/33/36/69/1084333669.db2.gz FDGQCWZCBRCVEJ-CZUORRHYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](NCc2nccs2)C(C)C)CC1 ZINC001268217489 1084344822 /nfs/dbraw/zinc/34/48/22/1084344822.db2.gz XSALUDSRQUTXRH-OAHLLOKOSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001481642999 1084348230 /nfs/dbraw/zinc/34/82/30/1084348230.db2.gz SCQVOHOLNPNKDP-HXUWFJFHSA-N 0 1 314.473 3.399 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001481643020 1084349493 /nfs/dbraw/zinc/34/94/93/1084349493.db2.gz TVLRTAQWLCEGKU-IBGZPJMESA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C)C(=O)CCOc1cccc(C)c1C ZINC001268240259 1084388620 /nfs/dbraw/zinc/38/86/20/1084388620.db2.gz VUFLMRQCMAJVJB-UHFFFAOYSA-N 0 1 318.461 3.039 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001185297002 1084408588 /nfs/dbraw/zinc/40/85/88/1084408588.db2.gz XBZWAJKXAULJJN-OAHLLOKOSA-N 0 1 308.372 3.012 20 30 DGEDMN CC(C)(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCc2ccccc21 ZINC001481669641 1084463895 /nfs/dbraw/zinc/46/38/95/1084463895.db2.gz BTBVXAFDTDQVMI-JENIJYKNSA-N 0 1 322.452 3.009 20 30 DGEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](c1ccccc1)C(C)C ZINC001481681488 1084469882 /nfs/dbraw/zinc/46/98/82/1084469882.db2.gz HITRLLQJSPOXHC-SLFFLAALSA-N 0 1 324.468 3.125 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](c1ccccc1)C(C)C ZINC001481681488 1084469885 /nfs/dbraw/zinc/46/98/85/1084469885.db2.gz HITRLLQJSPOXHC-SLFFLAALSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC001268343288 1084511156 /nfs/dbraw/zinc/51/11/56/1084511156.db2.gz OQSMKHKMWOMYBI-WMLDXEAASA-N 0 1 316.445 3.102 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1cccc(Cc2ccc(F)cc2)c1 ZINC001185925420 1084556515 /nfs/dbraw/zinc/55/65/15/1084556515.db2.gz VVYBILHFVWHEGC-UHFFFAOYSA-N 0 1 320.327 3.264 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCNCc1csc(C)n1 ZINC001268404996 1084570923 /nfs/dbraw/zinc/57/09/23/1084570923.db2.gz PFUMLFMPELZTCQ-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2ccc(CC)cc2)C1 ZINC001481777475 1084628747 /nfs/dbraw/zinc/62/87/47/1084628747.db2.gz OAVPSFOLOSWRMR-APWZRJJASA-N 0 1 314.473 3.461 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001481773731 1084631738 /nfs/dbraw/zinc/63/17/38/1084631738.db2.gz BPWZEEIFCQETNB-NVXWUHKLSA-N 0 1 318.436 3.113 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001481809907 1084646654 /nfs/dbraw/zinc/64/66/54/1084646654.db2.gz NIZRUWJVYKELPX-KMGMULKOSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NCc1ncc(C)s1 ZINC001481814640 1084648683 /nfs/dbraw/zinc/64/86/83/1084648683.db2.gz GPZZTAKCCOONTP-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)[C@@H](C)C(C)(C)C ZINC001268462667 1084658465 /nfs/dbraw/zinc/65/84/65/1084658465.db2.gz UHCLUBYBXXUEOZ-GFCCVEGCSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCc2ccccc2C1 ZINC001481855327 1084671379 /nfs/dbraw/zinc/67/13/79/1084671379.db2.gz AMCDCJBKPPUNGQ-IRXDYDNUSA-N 0 1 320.864 3.028 20 30 DGEDMN CCC(CC)CC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001186621851 1084675849 /nfs/dbraw/zinc/67/58/49/1084675849.db2.gz CJRLFVMONDVKEE-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCN1CC(NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001268482923 1084705129 /nfs/dbraw/zinc/70/51/29/1084705129.db2.gz BBDUEEDIJIMPTO-QGZVFWFLSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC1(C(=O)NC2CN(CC3CC(C)(C)C3)C2)CCCC1 ZINC001268495749 1084722618 /nfs/dbraw/zinc/72/26/18/1084722618.db2.gz CJHQXLQOPDZWGH-UHFFFAOYSA-N 0 1 304.478 3.360 20 30 DGEDMN O=C(CCC1CCCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001268507560 1084757927 /nfs/dbraw/zinc/75/79/27/1084757927.db2.gz SOOVYHIYUCYWMQ-VOTSOKGWSA-N 0 1 324.468 3.271 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](NCc2coc(C3CC3)n2)CC1 ZINC001482012344 1084769559 /nfs/dbraw/zinc/76/95/59/1084769559.db2.gz HEJMVXPNTNAFST-SHTZXODSSA-N 0 1 317.433 3.035 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2nocc2C)CC1 ZINC001482022993 1084770876 /nfs/dbraw/zinc/77/08/76/1084770876.db2.gz OLIYRJYLKQHSET-MPGHIAIKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2ccoc2)cc1 ZINC001482029823 1084779604 /nfs/dbraw/zinc/77/96/04/1084779604.db2.gz NEDBJCKRIHKZQM-UHFFFAOYSA-N 0 1 304.777 3.052 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCCc1ccc(C)cc1 ZINC001268700266 1084955632 /nfs/dbraw/zinc/95/56/32/1084955632.db2.gz ICDCQSYMPWLWTH-HNNXBMFYSA-N 0 1 308.853 3.165 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(COC(C)C)cc1 ZINC001268716162 1084967049 /nfs/dbraw/zinc/96/70/49/1084967049.db2.gz YTAVFZZRJMBSOI-AWEZNQCLSA-N 0 1 324.852 3.072 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1nc(C)sc1C ZINC001268796298 1085055226 /nfs/dbraw/zinc/05/52/26/1085055226.db2.gz TXUZCLDPMNNCSN-UHFFFAOYSA-N 0 1 309.479 3.054 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H](C)c1ccccc1 ZINC001268934790 1085084498 /nfs/dbraw/zinc/08/44/98/1085084498.db2.gz KAXXFFSZHXZHIL-WDSOQIARSA-N 0 1 310.441 3.226 20 30 DGEDMN C=C(Cc1ccccc1)C(=O)N1CC2(CCN2C2CCCC2)C1 ZINC001269092994 1085122572 /nfs/dbraw/zinc/12/25/72/1085122572.db2.gz LRDRPNVWBZKMBA-UHFFFAOYSA-N 0 1 310.441 3.015 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN([C@@H]2CCc3c2cccc3Cl)C1 ZINC001269118382 1085135115 /nfs/dbraw/zinc/13/51/15/1085135115.db2.gz TZOKQAQIOWPONY-CXAGYDPISA-N 0 1 318.848 3.484 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001269240106 1085228328 /nfs/dbraw/zinc/22/83/28/1085228328.db2.gz HGAFPVWNMLPRCB-IBGZPJMESA-N 0 1 312.457 3.222 20 30 DGEDMN C[C@H](c1ccccc1)[N@H+]1CC[C@@H](N(C)C(=O)C#CC(C)(C)C)C1 ZINC001269241200 1085231476 /nfs/dbraw/zinc/23/14/76/1085231476.db2.gz SCDXKCIUEKYWQF-SJLPKXTDSA-N 0 1 312.457 3.330 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001269269428 1085252250 /nfs/dbraw/zinc/25/22/50/1085252250.db2.gz ZTXUQFYXSQVNNN-QAPCUYQASA-N 0 1 318.436 3.461 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001269273803 1085256825 /nfs/dbraw/zinc/25/68/25/1085256825.db2.gz BWRJJWQOTQTFSF-QFBILLFUSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC(C)(C)c1ccccc1 ZINC001269350652 1085330706 /nfs/dbraw/zinc/33/07/06/1085330706.db2.gz JGIICJCGSRKRCE-KRWDZBQOSA-N 0 1 300.446 3.121 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCCc2cccs2)C1 ZINC001269356241 1085332004 /nfs/dbraw/zinc/33/20/04/1085332004.db2.gz PDMQNKVTYCLKJO-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2cccc(F)c2)C1 ZINC001269356602 1085333283 /nfs/dbraw/zinc/33/32/83/1085333283.db2.gz SAVYRAAUAVXQHO-WMLDXEAASA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](CC)N[C@@H](C)c1cc(F)ccc1F ZINC001269399231 1085366823 /nfs/dbraw/zinc/36/68/23/1085366823.db2.gz YDWOBKLLIIRYHH-DZGCQCFKSA-N 0 1 322.399 3.314 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269509240 1085436657 /nfs/dbraw/zinc/43/66/57/1085436657.db2.gz WOWJZNWPDSDPRB-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC001269812808 1085574093 /nfs/dbraw/zinc/57/40/93/1085574093.db2.gz UGOBDTGVKISTFY-BBWFWOEESA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001269820861 1085575617 /nfs/dbraw/zinc/57/56/17/1085575617.db2.gz SIMIUIFFUANVNE-KRWDZBQOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C1CC1)C1CC1)C(C)(C)C ZINC001284643851 1085576739 /nfs/dbraw/zinc/57/67/39/1085576739.db2.gz VZTNLQAJZCWPQA-AWEZNQCLSA-N 0 1 312.885 3.296 20 30 DGEDMN C=CC[C@@H](C(=O)N1CCC2(CN(CC=C)C2)CC1)c1ccccc1 ZINC001482246479 1085586616 /nfs/dbraw/zinc/58/66/16/1085586616.db2.gz LMJMEMPYGUAOHS-LJQANCHMSA-N 0 1 324.468 3.457 20 30 DGEDMN C#CCC1(C(=O)N(C)C2CN(CC3CC(C)C3)C2)CCCCC1 ZINC001269898868 1085603745 /nfs/dbraw/zinc/60/37/45/1085603745.db2.gz BWIWSWQFCBNHEG-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001270059792 1085675355 /nfs/dbraw/zinc/67/53/55/1085675355.db2.gz ZLUHWGGXEVAEPX-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC[C@@H](C)C1)C1CCN(C/C=C\Cl)CC1 ZINC001270351314 1085781416 /nfs/dbraw/zinc/78/14/16/1085781416.db2.gz LCHIIWMFYNDRDO-JKUHXPQUSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C/c1ccc[nH]1)C1CCCC1 ZINC001270395961 1085798286 /nfs/dbraw/zinc/79/82/86/1085798286.db2.gz FSMFEAHNANPRBL-FDMDGMSGSA-N 0 1 321.852 3.045 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC1(C)C)C1CCCC1 ZINC001270423661 1085809594 /nfs/dbraw/zinc/80/95/94/1085809594.db2.gz VYNDKFLSGDFXPO-GJZGRUSLSA-N 0 1 312.885 3.440 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C2CCCC2)CCN1CC#Cc1ccccc1 ZINC001087484638 1086012539 /nfs/dbraw/zinc/01/25/39/1086012539.db2.gz PQRHHAIITGMFLJ-VQTJNVASSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc2ccncc21 ZINC001482348065 1086057721 /nfs/dbraw/zinc/05/77/21/1086057721.db2.gz SJJRRYRMLJXZKE-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H](CNCc1ccccc1C#N)CC(C)C ZINC001482377348 1086098375 /nfs/dbraw/zinc/09/83/75/1086098375.db2.gz FDRGGGYLWNXWSA-YJBOKZPZSA-N 0 1 315.461 3.225 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1cccs1 ZINC001280314675 1086108814 /nfs/dbraw/zinc/10/88/14/1086108814.db2.gz ACDHCMXVTXQZER-UHFFFAOYSA-N 0 1 312.438 3.133 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)c1cc(C)c(C)cn1 ZINC001482389112 1086116331 /nfs/dbraw/zinc/11/63/31/1086116331.db2.gz JSQIIWSOQFPRFF-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C(C)(C)C(=C)C ZINC001482391802 1086121231 /nfs/dbraw/zinc/12/12/31/1086121231.db2.gz USWZUUDDAJDHSP-CQSZACIVSA-N 0 1 300.874 3.462 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C=C2CCC2)CC[N@H+]1Cc1ccccc1C#N ZINC001087538335 1086248649 /nfs/dbraw/zinc/24/86/49/1086248649.db2.gz NVYIRARFVTWVFV-RBUKOAKNSA-N 0 1 323.440 3.138 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C=C2CCC2)CCN1Cc1ccccc1C#N ZINC001087538335 1086248656 /nfs/dbraw/zinc/24/86/56/1086248656.db2.gz NVYIRARFVTWVFV-RBUKOAKNSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc3cc(C)ccc3o2)C1 ZINC001271017675 1086254178 /nfs/dbraw/zinc/25/41/78/1086254178.db2.gz UOQKJSZQWXENEK-FQEVSTJZSA-N 0 1 324.424 3.206 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CCNCc1nccs1 ZINC001482454996 1086279832 /nfs/dbraw/zinc/27/98/32/1086279832.db2.gz JZDWPSWLQZISMR-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001271099147 1086289766 /nfs/dbraw/zinc/28/97/66/1086289766.db2.gz AZNHYTQOXFTYOW-GJZGRUSLSA-N 0 1 316.436 3.318 20 30 DGEDMN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)C1(C#N)CCC1 ZINC001271111631 1086296047 /nfs/dbraw/zinc/29/60/47/1086296047.db2.gz FUKWGDGBSQYUJM-YESZJQIVSA-N 0 1 317.477 3.182 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101337777 1086300338 /nfs/dbraw/zinc/30/03/38/1086300338.db2.gz KWYGSJDYFORCLL-WDGGBUDMSA-N 0 1 310.869 3.122 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1CC ZINC001087671002 1086349171 /nfs/dbraw/zinc/34/91/71/1086349171.db2.gz NZVWYCYQUGCURM-RBUKOAKNSA-N 0 1 310.441 3.088 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H]1CC ZINC001087754223 1086398177 /nfs/dbraw/zinc/39/81/77/1086398177.db2.gz UGXJCUAGOXHSQL-ZEVUXNIQSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)[C@H]1CC ZINC001087757839 1086401288 /nfs/dbraw/zinc/40/12/88/1086401288.db2.gz OJYHYIHYCOKBRR-ZGXWSNOMSA-N 0 1 324.468 3.091 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc3cnccc3c2)[C@H]1CC ZINC001087783896 1086409712 /nfs/dbraw/zinc/40/97/12/1086409712.db2.gz ADOADZHVBFCJHF-ZWKOTPCHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc3cccnc3s2)[C@H]1CC ZINC001087783352 1086409878 /nfs/dbraw/zinc/40/98/78/1086409878.db2.gz WPALFQDCEAJUEQ-UONOGXRCSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cccnc3s2)[C@H]1CC ZINC001087783352 1086409882 /nfs/dbraw/zinc/40/98/82/1086409882.db2.gz WPALFQDCEAJUEQ-UONOGXRCSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)c(CC)o2)[C@H]1CC ZINC001087797798 1086412305 /nfs/dbraw/zinc/41/23/05/1086412305.db2.gz JJAVCVRFGKMDHZ-LSDHHAIUSA-N 0 1 304.434 3.173 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(C(F)F)c2)[C@H]1CC ZINC001087804247 1086416441 /nfs/dbraw/zinc/41/64/41/1086416441.db2.gz QGKPTTAIKWLOOB-JKSUJKDBSA-N 0 1 320.383 3.230 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCc3ccccc32)[C@H]1CC ZINC001087805813 1086416652 /nfs/dbraw/zinc/41/66/52/1086416652.db2.gz XTDRULXPXIGOCG-YZGWKJHDSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1nc(C)cs1)CC(C)C ZINC001271286152 1086564882 /nfs/dbraw/zinc/56/48/82/1086564882.db2.gz QKMXFZDFUOYVQC-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C(CC)CC ZINC001482483959 1086597204 /nfs/dbraw/zinc/59/72/04/1086597204.db2.gz FEKSYEXFNAZYII-WFASDCNBSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccc(F)cc1 ZINC001482484881 1086604953 /nfs/dbraw/zinc/60/49/53/1086604953.db2.gz YBKIBBOMBOIEQA-BLLLJJGKSA-N 0 1 324.827 3.409 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C/C=C(/C)C=C)c1ccccc1CC ZINC001271445747 1086634391 /nfs/dbraw/zinc/63/43/91/1086634391.db2.gz IOYVHYOBEFXMMW-UIRWJGRMSA-N 0 1 310.441 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@H](NCc2conc2C)C1 ZINC001088360199 1086859526 /nfs/dbraw/zinc/85/95/26/1086859526.db2.gz SUQRFSSPGDJDNV-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@H](NCc2nccs2)C1 ZINC001088360127 1086859786 /nfs/dbraw/zinc/85/97/86/1086859786.db2.gz RBOAHCDCLTZONK-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3csc(C)c3)[C@@H]2C)C1 ZINC001088844199 1086952911 /nfs/dbraw/zinc/95/29/11/1086952911.db2.gz HOLPAKPPUFSBMY-ZBFHGGJFSA-N 0 1 318.486 3.492 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CCCC)C(C)C ZINC001272052585 1087031717 /nfs/dbraw/zinc/03/17/17/1087031717.db2.gz CQHVYXMGTUUONR-RCCFBDPRSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCC(C)(F)F)CC[C@H]21 ZINC001482658325 1087104453 /nfs/dbraw/zinc/10/44/53/1087104453.db2.gz GQLXLVLMYAXODE-CHWSQXEVSA-N 0 1 320.811 3.097 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001482660285 1087104570 /nfs/dbraw/zinc/10/45/70/1087104570.db2.gz XCXQLNBWNRIFDO-SJORKVTESA-N 0 1 322.880 3.430 20 30 DGEDMN CCN(CCNCC#Cc1ccc(Cl)cc1)C(=O)C=C(C)C ZINC001482907842 1087183784 /nfs/dbraw/zinc/18/37/84/1087183784.db2.gz AHFSDUNDOHBQAQ-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)CC(C)=C(C)C ZINC001482943255 1087192899 /nfs/dbraw/zinc/19/28/99/1087192899.db2.gz FCZRSGHGCNGUCM-UHFFFAOYSA-N 0 1 317.271 3.080 20 30 DGEDMN C=C(C)C[N@H+](C)CCN(C)C(=O)[C@H](C)OC1CCCCCC1 ZINC001272105759 1087203825 /nfs/dbraw/zinc/20/38/25/1087203825.db2.gz PIQWMWDKUKHZQO-INIZCTEOSA-N 0 1 310.482 3.081 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1conc1C ZINC001280449087 1087214155 /nfs/dbraw/zinc/21/41/55/1087214155.db2.gz IMXUIAZBTAPQFE-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC/C=C/CN[C@@H](C)c1cc(F)ccc1F ZINC001482989102 1087222095 /nfs/dbraw/zinc/22/20/95/1087222095.db2.gz SMBMKEKXWIGVOK-GFUIURDCSA-N 0 1 308.372 3.254 20 30 DGEDMN C=CCCC(=O)NC/C=C\CN[C@@H](C)c1cc(F)ccc1F ZINC001482989103 1087222328 /nfs/dbraw/zinc/22/23/28/1087222328.db2.gz SMBMKEKXWIGVOK-SZZPACECSA-N 0 1 308.372 3.254 20 30 DGEDMN C[C@H](NC/C=C\CNC(=O)C#CC1CC1)c1ccccc1Cl ZINC001483008313 1087233359 /nfs/dbraw/zinc/23/33/59/1087233359.db2.gz UYCRNJWWJGZXMH-WSNITJDQSA-N 0 1 316.832 3.076 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1cc(F)ccc1F ZINC001483012126 1087234018 /nfs/dbraw/zinc/23/40/18/1087234018.db2.gz MBDZPICQFNCIME-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN N#Cc1ccc(CCC(=O)Nc2ccc(F)c(F)c2O)cc1 ZINC001625987810 1087270255 /nfs/dbraw/zinc/27/02/55/1087270255.db2.gz DUWYOGBKMXQAJP-UHFFFAOYSA-N 0 1 302.280 3.113 20 30 DGEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168336255 1087298387 /nfs/dbraw/zinc/29/83/87/1087298387.db2.gz XHOOLCVGWCYASU-WIRQWFGLSA-N 0 1 322.836 3.192 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C/CNCC=C(Cl)Cl ZINC001483058211 1087309551 /nfs/dbraw/zinc/30/95/51/1087309551.db2.gz UQAUHEVIEMPLSI-UZYOAWRESA-N 0 1 305.249 3.170 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001483070224 1087329902 /nfs/dbraw/zinc/32/99/02/1087329902.db2.gz XGZIJIXIGZDCAE-OAZXXZECSA-N 0 1 318.848 3.113 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001483094459 1087339590 /nfs/dbraw/zinc/33/95/90/1087339590.db2.gz ZPNRIECKOTXTDJ-SREVYHEPSA-N 0 1 323.868 3.314 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)Cc1cccc(F)c1 ZINC001483100153 1087342716 /nfs/dbraw/zinc/34/27/16/1087342716.db2.gz AQPVEDPFNJAYAJ-DHCBQETCSA-N 0 1 324.827 3.019 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)[C@H]1C ZINC001088785859 1087354127 /nfs/dbraw/zinc/35/41/27/1087354127.db2.gz CEVCNOWEUWYRFK-RISCZKNCSA-N 0 1 317.820 3.200 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001483127245 1087387841 /nfs/dbraw/zinc/38/78/41/1087387841.db2.gz MCIMVKIHMYCTLF-JHJVBQTASA-N 0 1 312.866 3.007 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001483132073 1087395825 /nfs/dbraw/zinc/39/58/25/1087395825.db2.gz XUZRFXATCAKPAW-CYBMUJFWSA-N 0 1 307.438 3.057 20 30 DGEDMN C[C@H](NCCNC(=O)C#CC(C)(C)C)c1cccc(Cl)c1F ZINC001126915971 1087398832 /nfs/dbraw/zinc/39/88/32/1087398832.db2.gz LFDDCKIMJKGLCU-LBPRGKRZSA-N 0 1 324.827 3.295 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)[C@@H](C)CCC)CC2)C1 ZINC001105699753 1087447471 /nfs/dbraw/zinc/44/74/71/1087447471.db2.gz GAGARKBFMIPHLU-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1nsc2ccc(C)cc21 ZINC001483255993 1087450963 /nfs/dbraw/zinc/45/09/63/1087450963.db2.gz YYTHDVSXDRFYNW-NSHDSACASA-N 0 1 323.849 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(C)CCCc2ccccc21 ZINC001483275192 1087455284 /nfs/dbraw/zinc/45/52/84/1087455284.db2.gz XBEPINSFFBQIAI-KSSFIOAISA-N 0 1 320.864 3.127 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001483307305 1087464679 /nfs/dbraw/zinc/46/46/79/1087464679.db2.gz HQMMUHYNJOIEJY-AWEZNQCLSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc2ccccc2cc1F ZINC001483361102 1087480289 /nfs/dbraw/zinc/48/02/89/1087480289.db2.gz ALYJCPWJYDZIQW-CQSZACIVSA-N 0 1 312.388 3.052 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001105721259 1087542899 /nfs/dbraw/zinc/54/28/99/1087542899.db2.gz WHGIJHZNFWNXPK-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2ccc(C)cc2)CC1 ZINC001168392704 1087551131 /nfs/dbraw/zinc/55/11/31/1087551131.db2.gz IVVPUWJHPPLXKH-GOSISDBHSA-N 0 1 301.430 3.367 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC001483648868 1087603391 /nfs/dbraw/zinc/60/33/91/1087603391.db2.gz VXOWNQRZLJCIOX-SFHVURJKSA-N 0 1 310.441 3.058 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1C ZINC001088941319 1087607726 /nfs/dbraw/zinc/60/77/26/1087607726.db2.gz RLWGDANJDFJELQ-TVFCKZIOSA-N 0 1 304.478 3.051 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCc3ccccc32)[C@H]1C ZINC001088995728 1087617345 /nfs/dbraw/zinc/61/73/45/1087617345.db2.gz HXIASZVUCAUOAL-KPFFTGBYSA-N 0 1 324.468 3.099 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@H]1C ZINC001089008390 1087620844 /nfs/dbraw/zinc/62/08/44/1087620844.db2.gz HVMKPTCQLLUBOT-WBMJQRKESA-N 0 1 322.811 3.003 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCCCc3ccccc32)[C@H]1C ZINC001089063766 1087629820 /nfs/dbraw/zinc/62/98/20/1087629820.db2.gz RMBHNCUXRXBKRZ-MNEFBYGVSA-N 0 1 312.457 3.262 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)[C@H]1C ZINC001089070754 1087631104 /nfs/dbraw/zinc/63/11/04/1087631104.db2.gz WSCJSQKVJLRJTH-DYVFJYSZSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)[C@H]1C ZINC001089089531 1087634826 /nfs/dbraw/zinc/63/48/26/1087634826.db2.gz YCTARYIWGLIUKX-DOMZBBRYSA-N 0 1 308.372 3.177 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(CC)c(CC)c2)[C@H]1C ZINC001089094664 1087637137 /nfs/dbraw/zinc/63/71/37/1087637137.db2.gz AZBQZCYEYZBECY-BEFAXECRSA-N 0 1 312.457 3.027 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)CCc1ccccc1F ZINC001483680079 1087641319 /nfs/dbraw/zinc/64/13/19/1087641319.db2.gz VGMYBWGMIDXQDD-NVXWUHKLSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001483683046 1087647700 /nfs/dbraw/zinc/64/77/00/1087647700.db2.gz GKTMGTYEFVDFAA-AWEZNQCLSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001483698652 1087675375 /nfs/dbraw/zinc/67/53/75/1087675375.db2.gz UBWCBFOALIVRJY-MOPGFXCFSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cccc(Cl)c2F)CC1 ZINC001483721009 1087700010 /nfs/dbraw/zinc/70/00/10/1087700010.db2.gz LMLVCHRNMPQEEY-UHFFFAOYSA-N 0 1 310.800 3.184 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])cc1F ZINC000176380222 1087716929 /nfs/dbraw/zinc/71/69/29/1087716929.db2.gz DBBMPDVNXSLHBI-QHHAFSJGSA-N 0 1 317.272 3.344 20 30 DGEDMN CN(C)c1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])cc1 ZINC000176380179 1087716966 /nfs/dbraw/zinc/71/69/66/1087716966.db2.gz INTLLBWJCALYBU-BJMVGYQFSA-N 0 1 312.325 3.263 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCc2nc3ccccc3[nH]2)c1 ZINC000176396827 1087722523 /nfs/dbraw/zinc/72/25/23/1087722523.db2.gz OHZXMXAUNDMUBQ-UHFFFAOYSA-N 0 1 320.352 3.102 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COc2ccc(F)cc2Cl)c1 ZINC000176399375 1087724648 /nfs/dbraw/zinc/72/46/48/1087724648.db2.gz BDZLHTKWWMNJJY-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN CCCCCCCCN1CC2(C1)COCC(=O)N2[C@H](C)CC ZINC001272478686 1087726070 /nfs/dbraw/zinc/72/60/70/1087726070.db2.gz NVFQZMUOIPELRB-MRXNPFEDSA-N 0 1 310.482 3.059 20 30 DGEDMN C[C@H](CCOc1ccccc1)C(=O)Nc1cc(C#N)ccc1O ZINC000176407405 1087727321 /nfs/dbraw/zinc/72/73/21/1087727321.db2.gz MYSPLDCDFXRIBW-CYBMUJFWSA-N 0 1 310.353 3.308 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)CC(C)(C)CC(F)F ZINC001158261975 1087746509 /nfs/dbraw/zinc/74/65/09/1087746509.db2.gz LQMOLTXVXRPBOX-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC(C)(C)CC(F)F ZINC001158261975 1087746520 /nfs/dbraw/zinc/74/65/20/1087746520.db2.gz LQMOLTXVXRPBOX-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCCCNC(=O)c1nc2ccc(Br)cc2[nH]1 ZINC001151829454 1087786794 /nfs/dbraw/zinc/78/67/94/1087786794.db2.gz KBVNEZXLPTWNJK-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN Cc1nc(N2CCN(C)CC23CCCC3)c(C#N)c(C)c1Cl ZINC001158405767 1087815267 /nfs/dbraw/zinc/81/52/67/1087815267.db2.gz UNGDWOJZLMLNCI-UHFFFAOYSA-N 0 1 318.852 3.288 20 30 DGEDMN N#Cc1cc(F)c(NC(=O)c2ccn3cncc3c2)c(Cl)c1 ZINC001151901743 1087820131 /nfs/dbraw/zinc/82/01/31/1087820131.db2.gz DHNLUDBEIUSYKL-UHFFFAOYSA-N 0 1 314.707 3.251 20 30 DGEDMN CCCCC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001151924451 1087827060 /nfs/dbraw/zinc/82/70/60/1087827060.db2.gz BTSLSOSOJAMLOA-QGZVFWFLSA-N 0 1 300.446 3.055 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(F)c(C)c1 ZINC001158426127 1087827845 /nfs/dbraw/zinc/82/78/45/1087827845.db2.gz IQLRXBRNSAKHRE-QGZVFWFLSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C[C@@H](C(=O)NCCNCc1ccccc1F)c1ccccc1 ZINC001151991312 1087858394 /nfs/dbraw/zinc/85/83/94/1087858394.db2.gz YFFJOANFGARSPE-QGZVFWFLSA-N 0 1 312.388 3.001 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C)CCCCC1 ZINC001099207458 1087993229 /nfs/dbraw/zinc/99/32/29/1087993229.db2.gz CSOSPNQLLJCNKB-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C)CC(C)C ZINC001158739202 1087998382 /nfs/dbraw/zinc/99/83/82/1087998382.db2.gz BSNAHHBJIVOYOU-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2c(c1)CCC=C2 ZINC001158750248 1088007190 /nfs/dbraw/zinc/00/71/90/1088007190.db2.gz HROZWSIKPWWDEE-HXUWFJFHSA-N 0 1 322.452 3.206 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNCc1ncc(C(C)C)o1 ZINC001158755477 1088009146 /nfs/dbraw/zinc/00/91/46/1088009146.db2.gz XJEDSTIREYGWNW-UHFFFAOYSA-N 0 1 319.449 3.162 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccccc1Cl ZINC001158773951 1088024424 /nfs/dbraw/zinc/02/44/24/1088024424.db2.gz XQLDVVIJLOBIIH-OAHLLOKOSA-N 0 1 318.848 3.290 20 30 DGEDMN CCC(C)(C)CC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001158810464 1088040326 /nfs/dbraw/zinc/04/03/26/1088040326.db2.gz NTEPQNUFSXSQDQ-UHFFFAOYSA-N 0 1 319.424 3.120 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)c(C)c1 ZINC001158825397 1088054895 /nfs/dbraw/zinc/05/48/95/1088054895.db2.gz UBFYQAXGGFYJIS-LJQANCHMSA-N 0 1 312.457 3.253 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CCc1cccs1 ZINC001158842152 1088066927 /nfs/dbraw/zinc/06/69/27/1088066927.db2.gz TWOVFUZIJOLNTR-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCC(C)(C)C1 ZINC001158942526 1088110649 /nfs/dbraw/zinc/11/06/49/1088110649.db2.gz KHHWPCJPJBWBEE-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCOCc1nn([C@@H](C)Cc2cccc(F)c2)c2c1CNC2 ZINC001168487188 1088119275 /nfs/dbraw/zinc/11/92/75/1088119275.db2.gz OEFVCFQNESKMNZ-ZDUSSCGKSA-N 0 1 315.392 3.132 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C/C=C\c1ccc(C)cc1 ZINC001158977230 1088120275 /nfs/dbraw/zinc/12/02/75/1088120275.db2.gz ZOXVHCQGLIWQAW-WHXUGTBJSA-N 0 1 324.468 3.344 20 30 DGEDMN N#Cc1c2ccccc2sc1NC(=O)c1cc2c[nH]cnc-2n1 ZINC001152989749 1088131125 /nfs/dbraw/zinc/13/11/25/1088131125.db2.gz KDPXXGOKRMSGKK-UHFFFAOYSA-N 0 1 319.349 3.248 20 30 DGEDMN CC(C)CC(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159111556 1088184291 /nfs/dbraw/zinc/18/42/91/1088184291.db2.gz RCEMRGJZLQGVMY-UHFFFAOYSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)c1ccco1 ZINC001099236456 1088228175 /nfs/dbraw/zinc/22/81/75/1088228175.db2.gz ZNOFHSUVYOSHKF-CABCVRRESA-N 0 1 304.434 3.176 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H]2CC2(F)F)CC(C)(C)C1 ZINC001089381766 1088554132 /nfs/dbraw/zinc/55/41/32/1088554132.db2.gz NMKFHSYLJLEWGB-RYUDHWBXSA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCCCC(=O)NCC1(N[C@@H](C)c2ccccc2F)CC1 ZINC001483760811 1088557694 /nfs/dbraw/zinc/55/76/94/1088557694.db2.gz ZRIZXOVQNOVBFR-HNNXBMFYSA-N 0 1 316.420 3.319 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001154584710 1088663329 /nfs/dbraw/zinc/66/33/29/1088663329.db2.gz UXYMANZRLCBJPY-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1sc(C)nc1C ZINC001154621017 1088679873 /nfs/dbraw/zinc/67/98/73/1088679873.db2.gz ZYCKBDBYSLRJJD-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1cc2oc(-c3ccccc3)cc2[nH]1 ZINC001154673067 1088705943 /nfs/dbraw/zinc/70/59/43/1088705943.db2.gz YMVQSEARPCRLNC-UHFFFAOYSA-N 0 1 317.308 3.275 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCC[C@H](C)CC)CC1 ZINC001160227991 1088711441 /nfs/dbraw/zinc/71/14/41/1088711441.db2.gz HVLXCSRYNBPKLA-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1sccc1C ZINC001089599967 1088791086 /nfs/dbraw/zinc/79/10/86/1088791086.db2.gz GRABSLQRUGBANC-CQSZACIVSA-N 0 1 306.475 3.463 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CCCCC1 ZINC001089601976 1088797746 /nfs/dbraw/zinc/79/77/46/1088797746.db2.gz UCWPCJHKCVRYSF-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccccc2C(C)(C)C)CC1 ZINC001160437691 1088800994 /nfs/dbraw/zinc/80/09/94/1088800994.db2.gz IKEATNYECDFODH-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN CC#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)oc1C ZINC001089606067 1088811937 /nfs/dbraw/zinc/81/19/37/1088811937.db2.gz UXVKHKYRKXUVPA-INIZCTEOSA-N 0 1 316.445 3.140 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)oc1C ZINC001089606067 1088811960 /nfs/dbraw/zinc/81/19/60/1088811960.db2.gz UXVKHKYRKXUVPA-INIZCTEOSA-N 0 1 316.445 3.140 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1cnc(C)s1 ZINC001154926769 1088830271 /nfs/dbraw/zinc/83/02/71/1088830271.db2.gz BPBUYOMUZZJNKX-CYBMUJFWSA-N 0 1 309.479 3.134 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001089645932 1088876199 /nfs/dbraw/zinc/87/61/99/1088876199.db2.gz DOHSCQSXROZHBC-ROUUACIJSA-N 0 1 316.489 3.363 20 30 DGEDMN CC[N@@H+](Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C1(CC)CC1 ZINC001155056002 1088920780 /nfs/dbraw/zinc/92/07/80/1088920780.db2.gz DSLILOFIVSKHEX-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)[C@H](C)CNC(=O)C1(CC)CC1 ZINC001155056002 1088920795 /nfs/dbraw/zinc/92/07/95/1088920795.db2.gz DSLILOFIVSKHEX-CYBMUJFWSA-N 0 1 319.474 3.137 20 30 DGEDMN CC[N@@H+](Cc1cccc(C#N)c1)[C@H](C)CNC(=O)C1(CC)CC1 ZINC001155056420 1088922014 /nfs/dbraw/zinc/92/20/14/1088922014.db2.gz OUSMTKCCMBIMJD-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001155066886 1088928368 /nfs/dbraw/zinc/92/83/68/1088928368.db2.gz QDDFQANEYZWKSR-KDOFPFPSSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C(C)CCC(=O)N1CCC2(C1)CCN(CC(=C)Cl)CC2 ZINC001483836135 1088951122 /nfs/dbraw/zinc/95/11/22/1088951122.db2.gz XBXUQSKEDNOMNU-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccccc2C2(C)CC2)C1 ZINC001483879570 1088979282 /nfs/dbraw/zinc/97/92/82/1088979282.db2.gz KETLJLBZIBEEQE-UHFFFAOYSA-N 0 1 312.457 3.461 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2cccc(Cl)c2F)C1 ZINC001483882220 1088981835 /nfs/dbraw/zinc/98/18/35/1088981835.db2.gz ORNRUWWSZWIBGT-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC=CCCC1 ZINC001089657085 1089020057 /nfs/dbraw/zinc/02/00/57/1089020057.db2.gz QXCRAUVRPLTSIO-ZWKOTPCHSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1cccc(C)c1 ZINC001483947329 1089032416 /nfs/dbraw/zinc/03/24/16/1089032416.db2.gz YTPINCZPKKWCRM-WBVHZDCISA-N 0 1 320.864 3.432 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160968383 1089049102 /nfs/dbraw/zinc/04/91/02/1089049102.db2.gz UOHPLTPTQCTQTE-DOTOQJQBSA-N 0 1 310.457 3.475 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160991601 1089061206 /nfs/dbraw/zinc/06/12/06/1089061206.db2.gz REFNLJDQMYHLIJ-WBVHZDCISA-N 0 1 310.457 3.475 20 30 DGEDMN C#CCN(C(=O)CCC(=C)C)C1CCN(C/C=C\Cl)CC1 ZINC001483984864 1089069115 /nfs/dbraw/zinc/06/91/15/1089069115.db2.gz BNUTXLQIRRFBEG-YHYXMXQVSA-N 0 1 308.853 3.021 20 30 DGEDMN C#CCN(C(=O)CCC(=C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001483984984 1089070174 /nfs/dbraw/zinc/07/01/74/1089070174.db2.gz HHEZCVGMMKSPJJ-INIZCTEOSA-N 0 1 308.441 3.017 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001484022843 1089106901 /nfs/dbraw/zinc/10/69/01/1089106901.db2.gz PXZZIOHHXPHJQK-SFHVURJKSA-N 0 1 310.457 3.263 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CCCCc2ccccc2)CC1 ZINC001161083577 1089109564 /nfs/dbraw/zinc/10/95/64/1089109564.db2.gz BIUDACZJEOMNCZ-UHFFFAOYSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C)c(Cl)c2)CC1 ZINC001161121248 1089127247 /nfs/dbraw/zinc/12/72/47/1089127247.db2.gz DPEYHPCEBKXRMA-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@H](C)c2ccccc2F)C1 ZINC001484041347 1089129071 /nfs/dbraw/zinc/12/90/71/1089129071.db2.gz GHDDZJZNISFANK-BEFAXECRSA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001484078267 1089171577 /nfs/dbraw/zinc/17/15/77/1089171577.db2.gz ZEUXDMJGXYHYII-QFBILLFUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1occc1CC ZINC001089690416 1089178535 /nfs/dbraw/zinc/17/85/35/1089178535.db2.gz PBTSSQOIXHEQFI-OAHLLOKOSA-N 0 1 304.434 3.248 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)CC2CCCCC2)CC1 ZINC001161375786 1089216862 /nfs/dbraw/zinc/21/68/62/1089216862.db2.gz AFRYKUQPAZMURL-QGZVFWFLSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001161390399 1089222368 /nfs/dbraw/zinc/22/23/68/1089222368.db2.gz FIMKVNILHHLYBG-GOSISDBHSA-N 0 1 324.468 3.342 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)[C@H](C)C(C)(C)C)CC1 ZINC001161444943 1089248543 /nfs/dbraw/zinc/24/85/43/1089248543.db2.gz NDYYHRLBEDEWNB-CVEARBPZSA-N 0 1 304.478 3.076 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001089708782 1089277372 /nfs/dbraw/zinc/27/73/72/1089277372.db2.gz MFIZZYLRWVGGQG-FGTMMUONSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1csc(C)c1 ZINC001089711458 1089283151 /nfs/dbraw/zinc/28/31/51/1089283151.db2.gz UXVYNPWCVRMUNS-INIZCTEOSA-N 0 1 318.486 3.300 20 30 DGEDMN CC(=O)CC(C)=Nc1ncccc1C(=O)NC1CCCCC1 ZINC001155887827 1089288794 /nfs/dbraw/zinc/28/87/94/1089288794.db2.gz PZSSLVKNVGTCLQ-QXMHVHEDSA-N 0 1 301.390 3.049 20 30 DGEDMN COc1ccc(Oc2cc(N=C(C)CC(C)=O)nc(C)n2)cc1 ZINC001155892484 1089291667 /nfs/dbraw/zinc/29/16/67/1089291667.db2.gz OKDHSTYXLVNRQN-LUAWRHEFSA-N 0 1 313.357 3.491 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(CC)cc1 ZINC001089728811 1089306776 /nfs/dbraw/zinc/30/67/76/1089306776.db2.gz DDWYQHFEBUWKBX-SFHVURJKSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc2c1CCC2 ZINC001089734213 1089314546 /nfs/dbraw/zinc/31/45/46/1089314546.db2.gz LZLGPJDKBMMLHO-KRWDZBQOSA-N 0 1 324.468 3.029 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cccc2c1CCC2 ZINC001089734214 1089314600 /nfs/dbraw/zinc/31/46/00/1089314600.db2.gz LZLGPJDKBMMLHO-QGZVFWFLSA-N 0 1 324.468 3.029 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1CC(C(C)(C)C)C1 ZINC001089734466 1089315484 /nfs/dbraw/zinc/31/54/84/1089315484.db2.gz QXNGZZPBBCJKNT-JCYILVPMSA-N 0 1 318.505 3.299 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)c2ccc(C)cc2)CC1 ZINC001161729639 1089374303 /nfs/dbraw/zinc/37/43/03/1089374303.db2.gz YOAZXALJVBCRBF-UHFFFAOYSA-N 0 1 324.468 3.044 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2C/C=C/Cl ZINC001484465721 1089435848 /nfs/dbraw/zinc/43/58/48/1089435848.db2.gz TZDYAMHMHLSJHU-PHGPQXGHSA-N 0 1 310.869 3.407 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C/Cl ZINC001484465721 1089435856 /nfs/dbraw/zinc/43/58/56/1089435856.db2.gz TZDYAMHMHLSJHU-PHGPQXGHSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C2(CC=C)CCCCC2)CC1 ZINC001161925024 1089475175 /nfs/dbraw/zinc/47/51/75/1089475175.db2.gz UHEFGHQJLKWDBR-UHFFFAOYSA-N 0 1 314.473 3.285 20 30 DGEDMN CCCN1CCN(c2cc(C(F)(F)F)c(F)cc2C#N)CC1 ZINC001161951705 1089487927 /nfs/dbraw/zinc/48/79/27/1089487927.db2.gz COZIUEYLMKWZHG-UHFFFAOYSA-N 0 1 315.314 3.248 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC(C1CC1)C1CC1 ZINC001484557689 1089492349 /nfs/dbraw/zinc/49/23/49/1089492349.db2.gz WMKPIKCVPZIFGR-UHFFFAOYSA-N 0 1 324.468 3.194 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(CC(C)C)CC(C)C)CC1 ZINC001161963917 1089495259 /nfs/dbraw/zinc/49/52/59/1089495259.db2.gz XZKLNXZZSVFHBB-UHFFFAOYSA-N 0 1 318.505 3.466 20 30 DGEDMN N#Cc1cnc(Cl)cc1NCC1CN(Cc2ccccc2)C1 ZINC001156401418 1089508523 /nfs/dbraw/zinc/50/85/23/1089508523.db2.gz YSZSERXNFWYNKZ-UHFFFAOYSA-N 0 1 312.804 3.151 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)[C@H](F)CC1CCCCC1 ZINC001156409487 1089509346 /nfs/dbraw/zinc/50/93/46/1089509346.db2.gz NUVHYOHGMKLJBN-NVXWUHKLSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001484582200 1089515348 /nfs/dbraw/zinc/51/53/48/1089515348.db2.gz DIPRICIZFMSCOS-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN CCC[C@H](C)C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001484628509 1089553877 /nfs/dbraw/zinc/55/38/77/1089553877.db2.gz WAQHTZADFVOJEA-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001484649283 1089566433 /nfs/dbraw/zinc/56/64/33/1089566433.db2.gz FZTUPZXTQHRWTK-HXUWFJFHSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C(C)C)cn2)CCC1 ZINC001484653417 1089570066 /nfs/dbraw/zinc/57/00/66/1089570066.db2.gz RSTNIBXQNSPIJD-UHFFFAOYSA-N 0 1 321.852 3.200 20 30 DGEDMN Cc1cc2c(nc(Cl)nc2NC2(C#N)CCN(C)CC2)s1 ZINC001162226811 1089619247 /nfs/dbraw/zinc/61/92/47/1089619247.db2.gz AQYZJINAWRAJOD-UHFFFAOYSA-N 0 1 321.837 3.053 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)/C=C(/C)C1CC1 ZINC001484822195 1089705273 /nfs/dbraw/zinc/70/52/73/1089705273.db2.gz NWKNROSFFUKXIJ-IKXXZMEWSA-N 0 1 324.468 3.462 20 30 DGEDMN CCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)CC1(C)C ZINC001484932024 1089771773 /nfs/dbraw/zinc/77/17/73/1089771773.db2.gz WJDQWVAQMJGHRV-HNNXBMFYSA-N 0 1 319.474 3.137 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC=C)c2ccccc2)C(C)(C)C1 ZINC001484943119 1089776780 /nfs/dbraw/zinc/77/67/80/1089776780.db2.gz VDQQDLHLFDXPKG-MOPGFXCFSA-N 0 1 324.468 3.196 20 30 DGEDMN CC[C@H](F)CN(CC)[C@H](C)CNC(=O)CC#Cc1ccccc1 ZINC001157075017 1089811911 /nfs/dbraw/zinc/81/19/11/1089811911.db2.gz OBKKROOCBMBHRM-AEFFLSMTSA-N 0 1 318.436 3.003 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001485061962 1089914461 /nfs/dbraw/zinc/91/44/61/1089914461.db2.gz YHNOBDDQCPWKHQ-FHWLQOOXSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc(CCC)c(C)s1 ZINC001485076068 1089927722 /nfs/dbraw/zinc/92/77/22/1089927722.db2.gz KIZKIAIZZNKQHX-MRXNPFEDSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C(Cl)CNCCN(CCc1ccccc1)C(=O)/C(C)=C\C ZINC001162770073 1089944360 /nfs/dbraw/zinc/94/43/60/1089944360.db2.gz UBNULUSXQYIDHX-TVPGTPATSA-N 0 1 320.864 3.366 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001157458880 1089975408 /nfs/dbraw/zinc/97/54/08/1089975408.db2.gz NREPNVKIIVDPIT-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2ncccc2c1 ZINC001485187897 1090018395 /nfs/dbraw/zinc/01/83/95/1090018395.db2.gz LLQKIKPFGCPNBN-QWHCGFSZSA-N 0 1 317.820 3.084 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001485189543 1090020535 /nfs/dbraw/zinc/02/05/35/1090020535.db2.gz NPODMBKHUQGFSO-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C/Cl)C1 ZINC001485191226 1090021700 /nfs/dbraw/zinc/02/17/00/1090021700.db2.gz UFLWGFGXKUUQGA-URRBYVIQSA-N 0 1 305.249 3.145 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001485373751 1090134862 /nfs/dbraw/zinc/13/48/62/1090134862.db2.gz VXVQEGOEUWLGSI-ROUUACIJSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001485373749 1090134955 /nfs/dbraw/zinc/13/49/55/1090134955.db2.gz VXVQEGOEUWLGSI-MSOLQXFVSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(F)c1C ZINC001157981279 1090162817 /nfs/dbraw/zinc/16/28/17/1090162817.db2.gz DZXBCZXVQDZIGC-MRXNPFEDSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001157994869 1090166862 /nfs/dbraw/zinc/16/68/62/1090166862.db2.gz WVQFJPYSOFKEKC-RTBURBONSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001485695270 1090245123 /nfs/dbraw/zinc/24/51/23/1090245123.db2.gz JCMVRIINCLNTFA-HRPOAOECSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(=O)C=C(C)Nc1ncnc2c(Br)cccc12 ZINC001163739722 1090247186 /nfs/dbraw/zinc/24/71/86/1090247186.db2.gz XMOFZZRSWPCNBD-VURMDHGXSA-N 0 1 306.163 3.297 20 30 DGEDMN CCCCC(=O)N(C)[C@H](CNCc1ccccc1C#N)C1CC1 ZINC001485800735 1090282524 /nfs/dbraw/zinc/28/25/24/1090282524.db2.gz VVTUHMBTENRHSW-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)[C@H](C)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001124687366 1090319555 /nfs/dbraw/zinc/31/95/55/1090319555.db2.gz UOYYENUENSOTKB-OAHLLOKOSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CCN1CC[C@@]2(C1)C[C@@H](NC(=O)CCC)c1ccccc1O2 ZINC001111529111 1090358878 /nfs/dbraw/zinc/35/88/78/1090358878.db2.gz DXNNVYLKSNOWTD-APWZRJJASA-N 0 1 314.429 3.057 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCc4cc(C#N)ccc43)[nH]n2)o1 ZINC001626272146 1090362127 /nfs/dbraw/zinc/36/21/27/1090362127.db2.gz SFMONZCECSYNQX-UHFFFAOYSA-N 0 1 318.336 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CC(F)(F)F)CCC[C@@H]12 ZINC001107314201 1090417327 /nfs/dbraw/zinc/41/73/27/1090417327.db2.gz SEFQTIXXSAFEEB-DGCLKSJQSA-N 0 1 324.774 3.052 20 30 DGEDMN CN(Cc1ccc(N(CC#N)Cc2ccccc2)nc1)C1CC1 ZINC001165133897 1090469770 /nfs/dbraw/zinc/46/97/70/1090469770.db2.gz MARDXKYTHSZNQA-UHFFFAOYSA-N 0 1 306.413 3.206 20 30 DGEDMN C[C@H]1CN(c2ncc(F)cc2C#N)[C@@H](C)CN1Cc1ccccc1 ZINC001165202642 1090479942 /nfs/dbraw/zinc/47/99/42/1090479942.db2.gz XGOHVYQGAWLOCP-GJZGRUSLSA-N 0 1 324.403 3.192 20 30 DGEDMN Cc1csc([C@H](NC[C@@H](O)c2cccc(C#N)c2)C2CC2)n1 ZINC001168543901 1090524912 /nfs/dbraw/zinc/52/49/12/1090524912.db2.gz XBTHSEHYRBTSDO-HZPDHXFCSA-N 0 1 313.426 3.098 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001165921960 1090561742 /nfs/dbraw/zinc/56/17/42/1090561742.db2.gz WSOCLFFSLQEIEY-GOSISDBHSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1csc(CCC)n1 ZINC001165929345 1090562776 /nfs/dbraw/zinc/56/27/76/1090562776.db2.gz KUIWJANMTKPCJC-QGZVFWFLSA-N 0 1 323.506 3.294 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2csc(CC)n2)CCC1 ZINC001165977596 1090566324 /nfs/dbraw/zinc/56/63/24/1090566324.db2.gz AFFYSCMAIOVWGU-UHFFFAOYSA-N 0 1 321.490 3.048 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCC[C@@H](C)CCC)C1 ZINC001107968862 1090589737 /nfs/dbraw/zinc/58/97/37/1090589737.db2.gz IOHLQJVLSWXOQY-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCCC[C@@H](C)CC)C1 ZINC001107969969 1090591458 /nfs/dbraw/zinc/59/14/58/1090591458.db2.gz YUKKMZJHDQFLMF-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCNCc2csc(CCC)n2)C1 ZINC001166249008 1090592704 /nfs/dbraw/zinc/59/27/04/1090592704.db2.gz MGLSDINXDXKTTK-UHFFFAOYSA-N 0 1 321.490 3.048 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001107970599 1090592891 /nfs/dbraw/zinc/59/28/91/1090592891.db2.gz DEJUDPYAJDMKNK-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(C)cc1 ZINC001485831434 1090612626 /nfs/dbraw/zinc/61/26/26/1090612626.db2.gz BPXFRUKLDVYLSF-MRXNPFEDSA-N 0 1 306.837 3.188 20 30 DGEDMN CC(C)(C)CCCCC(=O)NCCNCC#Cc1ccccc1 ZINC001128044601 1090634093 /nfs/dbraw/zinc/63/40/93/1090634093.db2.gz VTQCKEPBQSZTRH-UHFFFAOYSA-N 0 1 314.473 3.350 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1cc(C(C)(C)C)on1 ZINC001272815708 1090636105 /nfs/dbraw/zinc/63/61/05/1090636105.db2.gz ZBEPNDJBQOLHEV-CQSZACIVSA-N 0 1 321.465 3.313 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2cccc(C(F)F)c2)C1=O ZINC001272824921 1090644751 /nfs/dbraw/zinc/64/47/51/1090644751.db2.gz ZICXTHCMRFTQAG-GOSISDBHSA-N 0 1 320.383 3.377 20 30 DGEDMN CCC(CC)C(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001485848308 1090708071 /nfs/dbraw/zinc/70/80/71/1090708071.db2.gz QEYUNOJGIPNDMB-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)C[C@@H](C)CCC ZINC001485854007 1090709019 /nfs/dbraw/zinc/70/90/19/1090709019.db2.gz OVFDVENTUUOHKT-AAEUAGOBSA-N 0 1 319.287 3.158 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1Cl ZINC001485873529 1090717888 /nfs/dbraw/zinc/71/78/88/1090717888.db2.gz YLVLLMWDBQQNTF-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1ccccc1F)C2 ZINC001203352561 1090724163 /nfs/dbraw/zinc/72/41/63/1090724163.db2.gz HOACQRMWSKKDRE-AEFFLSMTSA-N 0 1 305.393 3.152 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCc2nc(C)cs2)C[C@@H]1C ZINC001486112354 1090752222 /nfs/dbraw/zinc/75/22/22/1090752222.db2.gz OOZLEPOYTGNPAY-KBPBESRZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)C[C@@H]1C ZINC001486122792 1090755479 /nfs/dbraw/zinc/75/54/79/1090755479.db2.gz UEZWVYRDIMNDKR-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(C)nc2C)[C@H]1C ZINC001486172921 1090773876 /nfs/dbraw/zinc/77/38/76/1090773876.db2.gz ZTTXHQCCMJISBT-WMZOPIPTSA-N 0 1 315.461 3.134 20 30 DGEDMN COc1cccnc1O[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001203764029 1090799571 /nfs/dbraw/zinc/79/95/71/1090799571.db2.gz UQZPSMTUBPAZFQ-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN C/C=C(/C)C(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001486367194 1090809167 /nfs/dbraw/zinc/80/91/67/1090809167.db2.gz TXJAKNJDOKBAIG-SOFYXZRVSA-N 0 1 313.445 3.193 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)c1cccnc1)C(C)(C)C ZINC001486419400 1090829188 /nfs/dbraw/zinc/82/91/88/1090829188.db2.gz AGGVLESNYRHAOY-ZFWWWQNUSA-N 0 1 323.868 3.058 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cc(Cl)ccc1F ZINC001128242931 1090922396 /nfs/dbraw/zinc/92/23/96/1090922396.db2.gz YVKTVRAEVHDJEF-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1ccc(F)cc1Cl ZINC001128242028 1090924378 /nfs/dbraw/zinc/92/43/78/1090924378.db2.gz JGQLOOMEDFSPIL-UHFFFAOYSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001276454709 1090938249 /nfs/dbraw/zinc/93/82/49/1090938249.db2.gz ZAQLVIVXSFKTIY-RDJZCZTQSA-N 0 1 312.457 3.119 20 30 DGEDMN N#Cc1ccccc1CN1CCC2(CC1)c1ccccc1NC2=O ZINC001204367592 1090957606 /nfs/dbraw/zinc/95/76/06/1090957606.db2.gz FOKMFTLWKOXAQH-UHFFFAOYSA-N 0 1 317.392 3.044 20 30 DGEDMN N#Cc1ccccc1CN1CCC(COc2cccnc2)CC1 ZINC001204368499 1090958087 /nfs/dbraw/zinc/95/80/87/1090958087.db2.gz PBXVOFZKZBSGQF-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN Cc1oc(Nc2cccc(CN3CCN(C)CC3)c2)c(C#N)c1C ZINC001204503777 1090984378 /nfs/dbraw/zinc/98/43/78/1090984378.db2.gz VHJQCAMDULPPJF-UHFFFAOYSA-N 0 1 324.428 3.259 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C2CCCCCCC2)C1 ZINC001108066895 1091006465 /nfs/dbraw/zinc/00/64/65/1091006465.db2.gz QGDUXGRHMIXHME-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN CC(C)(C)CC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001273041128 1091012973 /nfs/dbraw/zinc/01/29/73/1091012973.db2.gz YVJVOPNQVMEYCC-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108115915 1091094458 /nfs/dbraw/zinc/09/44/58/1091094458.db2.gz WUMPLZLMXNFMSX-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C(C)(C)C(C)(C)C)C1 ZINC001108129868 1091117099 /nfs/dbraw/zinc/11/70/99/1091117099.db2.gz PILQTBCQSWDCEO-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN N#Cc1ccc2c(c1)CN(Cc1cccc3nccnc13)CC2 ZINC001138300569 1091134836 /nfs/dbraw/zinc/13/48/36/1091134836.db2.gz WIBRJMRBRZRWKO-UHFFFAOYSA-N 0 1 300.365 3.060 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC(=Nc2cc(F)cnc2C#N)C1 ZINC001205241030 1091144856 /nfs/dbraw/zinc/14/48/56/1091144856.db2.gz GMUSCGIEPWPJCR-UHFFFAOYSA-N 0 1 318.352 3.029 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108149385 1091154245 /nfs/dbraw/zinc/15/42/45/1091154245.db2.gz VCTFNWBSECBBJN-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108150475 1091154377 /nfs/dbraw/zinc/15/43/77/1091154377.db2.gz DCMDHMAQEFAPAZ-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCc1cccc(CN2CC(N3CCC(F)(F)CC3)C2)c1O ZINC001138627606 1091260180 /nfs/dbraw/zinc/26/01/80/1091260180.db2.gz BUABXMFYLQFTQD-UHFFFAOYSA-N 0 1 322.399 3.036 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108172038 1091272944 /nfs/dbraw/zinc/27/29/44/1091272944.db2.gz YGMVGUVNPPDWMZ-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2Cc1cnn(-c2ccc(F)cc2)c1 ZINC001138652809 1091274803 /nfs/dbraw/zinc/27/48/03/1091274803.db2.gz GYFPYUWJIPUAEZ-WVJCCSTMSA-N 0 1 310.376 3.278 20 30 DGEDMN CNc1ncccc1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001138695414 1091291762 /nfs/dbraw/zinc/29/17/62/1091291762.db2.gz AKGKUNWWBZUITO-QGZVFWFLSA-N 0 1 322.412 3.038 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108188764 1091374625 /nfs/dbraw/zinc/37/46/25/1091374625.db2.gz YJDJRAVLFPDYLL-COQIJWMOSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCOc1ccccc1CN1CCC[C@H](c2noc(C)n2)C1 ZINC001139029468 1091423274 /nfs/dbraw/zinc/42/32/74/1091423274.db2.gz ZBTHXRZJOWQVSW-INIZCTEOSA-N 0 1 313.401 3.322 20 30 DGEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1ccc(C)c(C#N)c1 ZINC001273214603 1091439176 /nfs/dbraw/zinc/43/91/76/1091439176.db2.gz ZPWLOFANVXVGLV-HXUWFJFHSA-N 0 1 323.440 3.010 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(CCC)s3)[C@@H]2C1 ZINC001084376818 1091484618 /nfs/dbraw/zinc/48/46/18/1091484618.db2.gz LKLYVWSGPROHAI-GDBMZVCRSA-N 0 1 318.486 3.423 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C4CCC4)cc3)[C@@H]2C1 ZINC001084389788 1091486725 /nfs/dbraw/zinc/48/67/25/1091486725.db2.gz QURAODLYQKMECY-RTBURBONSA-N 0 1 310.441 3.286 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCC3CCCCCC3)[C@@H]2C1 ZINC001084525470 1091497010 /nfs/dbraw/zinc/49/70/10/1091497010.db2.gz KIFOTWJIAIQVRC-RTBURBONSA-N 0 1 316.489 3.293 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C(=O)C=Cc1ccc(F)cc1 ZINC001295100046 1091503341 /nfs/dbraw/zinc/50/33/41/1091503341.db2.gz JSCCBEUPGIUEFL-QPJJXVBHSA-N 0 1 323.274 3.016 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C)c3ccc(Cl)cc3)[C@@H]2C1 ZINC001084628492 1091520037 /nfs/dbraw/zinc/52/00/37/1091520037.db2.gz KDPIQJRTJOEZLW-FRFSOERESA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)c3ccc(Cl)cc3)[C@@H]2C1 ZINC001084628492 1091520043 /nfs/dbraw/zinc/52/00/43/1091520043.db2.gz KDPIQJRTJOEZLW-FRFSOERESA-N 0 1 318.848 3.162 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C(C)(C)C)oc3C)[C@@H]2C1 ZINC001084686559 1091536918 /nfs/dbraw/zinc/53/69/18/1091536918.db2.gz KNDAJVCCBDDNIQ-GDBMZVCRSA-N 0 1 316.445 3.218 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H](C)C3CCCCC3)[C@@H]2C1 ZINC001084718567 1091546589 /nfs/dbraw/zinc/54/65/89/1091546589.db2.gz YVVIMZYDWUFKTL-CGTJXYLNSA-N 0 1 304.478 3.312 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)C[C@@H](C)O1 ZINC001139400567 1091548381 /nfs/dbraw/zinc/54/83/81/1091548381.db2.gz XJJQSPHXGOYJAJ-HUUCEWRRSA-N 0 1 307.397 3.229 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(Cl)ccc3C)[C@@H]2C1 ZINC001084727450 1091548771 /nfs/dbraw/zinc/54/87/71/1091548771.db2.gz KBVITVVGILVHLY-RHSMWYFYSA-N 0 1 318.848 3.371 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(c4ccccc4)CC3(C)C)[C@@H]2C1 ZINC001084735960 1091550378 /nfs/dbraw/zinc/55/03/78/1091550378.db2.gz NWSGKJAUYKIXET-BLIXFSHQSA-N 0 1 324.468 3.073 20 30 DGEDMN C=CCC[C@H](NC(=O)CCN1CC[C@@H](F)C1)c1ccccc1 ZINC001295328738 1091551387 /nfs/dbraw/zinc/55/13/87/1091551387.db2.gz OHCMDRKFMSBIKN-SJORKVTESA-N 0 1 304.409 3.244 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc4ccsc43)[C@@H]2C1 ZINC001084756528 1091555759 /nfs/dbraw/zinc/55/57/59/1091555759.db2.gz ASZHNFREXPIBLS-GDBMZVCRSA-N 0 1 312.438 3.234 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C(C)(C)c3ccccc3)[C@@H]2C1 ZINC001084819716 1091583221 /nfs/dbraw/zinc/58/32/21/1091583221.db2.gz NRRSSJRMMYQFHX-SJLPKXTDSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c[nH]cc3-c3ccccc3)[C@@H]2C1 ZINC001084831772 1091584371 /nfs/dbraw/zinc/58/43/71/1091584371.db2.gz ZAAXSRXTZXYRDM-VQIMIIECSA-N 0 1 321.424 3.014 20 30 DGEDMN N#Cc1ccc(CN2CCC3(CCC(=O)CC3)CC2)cc1F ZINC001139530561 1091589186 /nfs/dbraw/zinc/58/91/86/1091589186.db2.gz PLGPZPWRLXZEOH-UHFFFAOYSA-N 0 1 300.377 3.423 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2cccc(C)c2F)C1=O ZINC001273238052 1091601493 /nfs/dbraw/zinc/60/14/93/1091601493.db2.gz ZQSGILORQJDRPX-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001084872225 1091601700 /nfs/dbraw/zinc/60/17/00/1091601700.db2.gz AYHKYLLAYYPKMR-IXDOHACOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1cncs1 ZINC001098932112 1091628911 /nfs/dbraw/zinc/62/89/11/1091628911.db2.gz BRPCWUKFHCADSG-AWEZNQCLSA-N 0 1 321.490 3.330 20 30 DGEDMN N#Cc1cccc2c(CN3CCc4cc(CO)ccc4C3)c[nH]c21 ZINC001139701513 1091646859 /nfs/dbraw/zinc/64/68/59/1091646859.db2.gz IAGWPTLTJGWCIM-UHFFFAOYSA-N 0 1 317.392 3.090 20 30 DGEDMN CN(Cc1c[nH]c2c1cccc2C#N)Cc1cccc2c1OCO2 ZINC001139707006 1091648055 /nfs/dbraw/zinc/64/80/55/1091648055.db2.gz DMQCKNQWGXKNNA-UHFFFAOYSA-N 0 1 319.364 3.400 20 30 DGEDMN Cc1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)cc1C#N ZINC001139722543 1091652404 /nfs/dbraw/zinc/65/24/04/1091652404.db2.gz AINJFBGVBRDUFG-ZIAGYGMSSA-N 0 1 300.299 3.447 20 30 DGEDMN Cc1cccnc1N1CCCN(Cc2cc(F)cc(C#N)c2)CC1 ZINC001139875267 1091698422 /nfs/dbraw/zinc/69/84/22/1091698422.db2.gz XUZVCYBUIGONAJ-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN Cn1ncc2ccc(CN3CCc4cc(C#N)ccc4C3)cc21 ZINC001139904482 1091709266 /nfs/dbraw/zinc/70/92/66/1091709266.db2.gz POBOBKRLHXLJRG-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001154742387 1091744616 /nfs/dbraw/zinc/74/46/16/1091744616.db2.gz FNHDEWIRGDXXHA-UONOGXRCSA-N 0 1 323.506 3.380 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CC4(C3)C[C@@H](F)CS4)c2c1 ZINC001140115580 1091772718 /nfs/dbraw/zinc/77/27/18/1091772718.db2.gz HUIVNDJWHRTPME-CYBMUJFWSA-N 0 1 301.390 3.069 20 30 DGEDMN COc1cncc(CN2CCC(C#Cc3ccccc3)CC2)c1 ZINC001206998115 1091815917 /nfs/dbraw/zinc/81/59/17/1091815917.db2.gz KARWWQAIHJLQQV-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN Cn1cc(CN2CCC3(CC(=O)c4ccccc43)CC2)cc1C#N ZINC001207107877 1091845328 /nfs/dbraw/zinc/84/53/28/1091845328.db2.gz DVNGUJNKKKKZPE-UHFFFAOYSA-N 0 1 319.408 3.017 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCN2Cc2cc(C#N)n(C)c2)cc1 ZINC001207109449 1091847952 /nfs/dbraw/zinc/84/79/52/1091847952.db2.gz ZIDMGCKMUPYHKL-GOSISDBHSA-N 0 1 323.396 3.020 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001085611176 1091852452 /nfs/dbraw/zinc/85/24/52/1091852452.db2.gz KZEUVOQENWDVMG-KRWDZBQOSA-N 0 1 316.445 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(F)cc2ccoc21 ZINC001085684421 1091881910 /nfs/dbraw/zinc/88/19/10/1091881910.db2.gz SIONXMFJCHWNDI-HNNXBMFYSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN(CCN(C)C1CC(F)(F)C1)C(=O)OC(C)(C)C ZINC001207200084 1091887483 /nfs/dbraw/zinc/88/74/83/1091887483.db2.gz YKEFTBYEEDPINK-UHFFFAOYSA-N 0 1 304.381 3.139 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(-c2ccco2)o1 ZINC001085715756 1091898514 /nfs/dbraw/zinc/89/85/14/1091898514.db2.gz TYRVCFIGTSAEJL-CQSZACIVSA-N 0 1 314.385 3.262 20 30 DGEDMN CCCN(CCC#N)C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001207244383 1091899654 /nfs/dbraw/zinc/89/96/54/1091899654.db2.gz GDSCJWBIQRCRAC-OAHLLOKOSA-N 0 1 309.454 3.259 20 30 DGEDMN COc1cccc(O[C@H]2CCCN(Cc3ccc(C#N)nc3)C2)c1 ZINC001140542676 1091902131 /nfs/dbraw/zinc/90/21/31/1091902131.db2.gz DPMDWYALWZCKTL-IBGZPJMESA-N 0 1 323.396 3.005 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1coc2cc(C)c(C)cc21 ZINC001085743558 1091909824 /nfs/dbraw/zinc/90/98/24/1091909824.db2.gz QJNWFAKKNXZULU-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CCC3(C[C@@H]3CCO)CC2)c1 ZINC001140600891 1091927818 /nfs/dbraw/zinc/92/78/18/1091927818.db2.gz ZNDPEAOHIQNHQX-HNNXBMFYSA-N 0 1 304.821 3.196 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccn(C(C)C)c1C ZINC001085779833 1091930075 /nfs/dbraw/zinc/93/00/75/1091930075.db2.gz DPCCSLNCBKLTBI-MRXNPFEDSA-N 0 1 303.450 3.100 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001085797816 1091939788 /nfs/dbraw/zinc/93/97/88/1091939788.db2.gz AZCFBFBAJOMQPC-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc[nH]c1C1CCC1 ZINC001085816838 1091952767 /nfs/dbraw/zinc/95/27/67/1091952767.db2.gz HRVMLHCVLFJTJS-HNNXBMFYSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1scnc1C(C)(C)C ZINC001085861892 1091986663 /nfs/dbraw/zinc/98/66/63/1091986663.db2.gz JYGNLUIKYUJGKF-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)C=CCC2 ZINC001085870829 1091990461 /nfs/dbraw/zinc/99/04/61/1091990461.db2.gz FOJQCXBRZZKRIV-LJQANCHMSA-N 0 1 310.441 3.368 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001140781770 1091996748 /nfs/dbraw/zinc/99/67/48/1091996748.db2.gz YPNXBTFDSCWCIB-INIZCTEOSA-N 0 1 306.381 3.011 20 30 DGEDMN N#C[C@@H]1CN(CC(c2ccccc2)c2ccccc2)CCC1=O ZINC001207525433 1092007208 /nfs/dbraw/zinc/00/72/08/1092007208.db2.gz AJYDBHLQRSYIHM-GOSISDBHSA-N 0 1 304.393 3.233 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1CCC(C(F)F)CC1 ZINC001085952299 1092040240 /nfs/dbraw/zinc/04/02/40/1092040240.db2.gz XZHNVEPIKVXYDQ-YMAMQOFZSA-N 0 1 314.420 3.167 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](NCc2cc(F)ccc2F)C1 ZINC001207636222 1092054541 /nfs/dbraw/zinc/05/45/41/1092054541.db2.gz RWLHBCJVYWIYMG-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2c(s1)CCCC2 ZINC001085960237 1092055628 /nfs/dbraw/zinc/05/56/28/1092055628.db2.gz YXKQYDQHTXPUJE-OAHLLOKOSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2cccc(C)c2o1 ZINC001085989100 1092076494 /nfs/dbraw/zinc/07/64/94/1092076494.db2.gz IDINEVMKRUFDTN-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN C#CCN(C)Cc1c(-c2ccc(F)cc2)nc2ccc(F)cn21 ZINC001207712096 1092076609 /nfs/dbraw/zinc/07/66/09/1092076609.db2.gz UOJLVUWTHOZZCB-UHFFFAOYSA-N 0 1 311.335 3.345 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001085990572 1092078093 /nfs/dbraw/zinc/07/80/93/1092078093.db2.gz SSNTXRNDSAHONV-KMFTYDHNSA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cnc(CC(C)C)s1 ZINC001085997078 1092087519 /nfs/dbraw/zinc/08/75/19/1092087519.db2.gz GYWZKISXGZNMDQ-AWEZNQCLSA-N 0 1 321.490 3.064 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C2=CCCC2)cc1 ZINC001086006650 1092094622 /nfs/dbraw/zinc/09/46/22/1092094622.db2.gz KRTPDMOWCKHMBQ-FQEVSTJZSA-N 0 1 322.452 3.424 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CC2CCCCCC2)C1 ZINC001108244049 1092141546 /nfs/dbraw/zinc/14/15/46/1092141546.db2.gz DYXGFSWHDQPLJN-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN COC(=O)CCN(Cc1ccc(F)cc1C#N)C1CCCC1 ZINC001141165144 1092157484 /nfs/dbraw/zinc/15/74/84/1092157484.db2.gz VFNDHIDCGOZOTJ-UHFFFAOYSA-N 0 1 304.365 3.005 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)C(C)C)C1 ZINC001108253460 1092158808 /nfs/dbraw/zinc/15/88/08/1092158808.db2.gz KINJHIYKNDWXGR-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN CCc1ccccc1CN1CCN(c2ccccc2C#N)CC1 ZINC001141224175 1092184510 /nfs/dbraw/zinc/18/45/10/1092184510.db2.gz HZQAYHWMMBOVJF-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN CCC[C@@H](CC)C(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001491625915 1092192120 /nfs/dbraw/zinc/19/21/20/1092192120.db2.gz XVPKKEVZKDISBL-DOTOQJQBSA-N 0 1 318.436 3.098 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001491632537 1092192953 /nfs/dbraw/zinc/19/29/53/1092192953.db2.gz LTMDJTBVERFOSK-HZPDHXFCSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C[C@H](C)CCCCC)C1 ZINC001108262087 1092210439 /nfs/dbraw/zinc/21/04/39/1092210439.db2.gz CSYPCDFLQUPFBS-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN N#C[C@@H]1CC[N@@H+](Cc2ccc(-c3cccc(C(=O)[O-])c3)cc2)C1 ZINC001141360018 1092218509 /nfs/dbraw/zinc/21/85/09/1092218509.db2.gz LIOKHZOPPVQPDG-HNNXBMFYSA-N 0 1 306.365 3.397 20 30 DGEDMN N#C[C@@H]1CC[N@H+](Cc2ccc(-c3cccc(C(=O)[O-])c3)cc2)C1 ZINC001141360018 1092218519 /nfs/dbraw/zinc/21/85/19/1092218519.db2.gz LIOKHZOPPVQPDG-HNNXBMFYSA-N 0 1 306.365 3.397 20 30 DGEDMN Cc1ccc([C@@H](C)CCN2CCN(c3cc(C#N)ccn3)CC2)o1 ZINC001208152325 1092225482 /nfs/dbraw/zinc/22/54/82/1092225482.db2.gz AINLGZQQPRZUEI-HNNXBMFYSA-N 0 1 324.428 3.171 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(Cl)nc(-c2ccc(F)cc2)n1 ZINC001155891298 1092267468 /nfs/dbraw/zinc/26/74/68/1092267468.db2.gz UUNRBRGIJPXVPD-CLFYSBASSA-N 0 1 305.740 3.263 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2nc(C)oc2C)C1 ZINC001491882615 1092273153 /nfs/dbraw/zinc/27/31/53/1092273153.db2.gz OXQNWZDWDIBRBZ-LSDHHAIUSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2cccc(F)c2F)C1 ZINC001208256148 1092293706 /nfs/dbraw/zinc/29/37/06/1092293706.db2.gz ZOIGAKYFEXBINO-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2cccc(Cl)n2)C1 ZINC001208255694 1092293957 /nfs/dbraw/zinc/29/39/57/1092293957.db2.gz OVDUZFLFVCOAJM-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001208292465 1092302072 /nfs/dbraw/zinc/30/20/72/1092302072.db2.gz XBTJIGOOGKAOKH-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CCCCC(C)C)C1 ZINC001108288475 1092305111 /nfs/dbraw/zinc/30/51/11/1092305111.db2.gz CQOJYAKGECEQSY-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(Cc2ccc(F)cc2C)C[C@H]1C ZINC001208309064 1092306302 /nfs/dbraw/zinc/30/63/02/1092306302.db2.gz BKYDIIAIIKCRDV-RHSMWYFYSA-N 0 1 318.436 3.283 20 30 DGEDMN C[C@]1(O)CCCCN(Cc2cc(C#N)ccc2Br)C1 ZINC001141655062 1092311032 /nfs/dbraw/zinc/31/10/32/1092311032.db2.gz UDAJMZALFIDDNY-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN C=CCN(C(=O)c1cc(Br)n[nH]1)c1ccccc1 ZINC001141704879 1092320714 /nfs/dbraw/zinc/32/07/14/1092320714.db2.gz CHQQRXBPOSGHJF-UHFFFAOYSA-N 0 1 306.163 3.005 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C(CC)(CC)CC)C1 ZINC001108307196 1092325370 /nfs/dbraw/zinc/32/53/70/1092325370.db2.gz XLMJKHUVTOMDMH-GOSISDBHSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C[C@H](C)CC(C)C)C1 ZINC001108336314 1092338384 /nfs/dbraw/zinc/33/83/84/1092338384.db2.gz AJHVALVWJQUMDA-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCC2CCCCC2)C1 ZINC001108378774 1092362907 /nfs/dbraw/zinc/36/29/07/1092362907.db2.gz NSFLGODNCZCCSV-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN CSc1nc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)ccc1C#N ZINC001141932215 1092363287 /nfs/dbraw/zinc/36/32/87/1092363287.db2.gz VOMJSPVTNXIEIG-TXEJJXNPSA-N 0 1 309.385 3.152 20 30 DGEDMN CSc1nc(CN2CCC[C@@H](c3ccccn3)C2)ccc1C#N ZINC001141939061 1092364880 /nfs/dbraw/zinc/36/48/80/1092364880.db2.gz LOVVQJIRNDEYRZ-OAHLLOKOSA-N 0 1 324.453 3.450 20 30 DGEDMN [NH3+]CCN(c1cccnc1)c1cc(/C=N/[O-])cc(C(F)(F)F)c1 ZINC001168837730 1092390806 /nfs/dbraw/zinc/39/08/06/1092390806.db2.gz KIHPEQWHRXZVJO-ZVBGSRNCSA-N 0 1 324.306 3.005 20 30 DGEDMN C[C@H]1C[C@H](NC2(CC#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168843501 1092394975 /nfs/dbraw/zinc/39/49/75/1092394975.db2.gz GJVSZDUSMGKNMB-KBPBESRZSA-N 0 1 307.438 3.200 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](CCCC)C(C)C)C1 ZINC001108424222 1092444135 /nfs/dbraw/zinc/44/41/35/1092444135.db2.gz HPJOYWWFKMTTHV-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CNCc1nc(C)cs1 ZINC001317196865 1092506308 /nfs/dbraw/zinc/50/63/08/1092506308.db2.gz YNSSDUPKTHETEM-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN N#C[C@@H]1CN(CCCc2ccccc2C(F)(F)F)CCC1=O ZINC001208831606 1092534919 /nfs/dbraw/zinc/53/49/19/1092534919.db2.gz OLKXRAZIUYKVEB-CYBMUJFWSA-N 0 1 310.319 3.053 20 30 DGEDMN N#Cc1cccc(CCCN2CCN(c3ccccc3F)CC2)c1 ZINC001208852588 1092545499 /nfs/dbraw/zinc/54/54/99/1092545499.db2.gz MUBJCGKIROECQR-UHFFFAOYSA-N 0 1 323.415 3.452 20 30 DGEDMN CCOC(=O)CN(CCCc1ccc(C#N)cc1)C1CCCC1 ZINC001208863597 1092551428 /nfs/dbraw/zinc/55/14/28/1092551428.db2.gz ADQSMERAVLFEJW-UHFFFAOYSA-N 0 1 314.429 3.299 20 30 DGEDMN Cc1nc([C@@H]2CCC[N@@H+](Cc3c[nH]c4cc(C#N)ccc34)C2)no1 ZINC001142912175 1092584116 /nfs/dbraw/zinc/58/41/16/1092584116.db2.gz WZRBJAXHMOHJHC-CQSZACIVSA-N 0 1 321.384 3.111 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2ccc3nccn3c2)CC1 ZINC001143018446 1092605411 /nfs/dbraw/zinc/60/54/11/1092605411.db2.gz JTVXYLVGQJYSSS-UHFFFAOYSA-N 0 1 316.408 3.392 20 30 DGEDMN C#CCN(CCOC(=O)c1ccccc1)[C@@H]1CCc2ccccc21 ZINC001209045457 1092632813 /nfs/dbraw/zinc/63/28/13/1092632813.db2.gz QAFDIGRLNVEMGI-HXUWFJFHSA-N 0 1 319.404 3.466 20 30 DGEDMN C=CCCCN1CCC[C@H](c2cccc(S(C)(=O)=O)c2)C1 ZINC001209163261 1092677323 /nfs/dbraw/zinc/67/73/23/1092677323.db2.gz AIYBYAQURVGYSS-INIZCTEOSA-N 0 1 307.459 3.236 20 30 DGEDMN CCOc1ccc2ccccc2c1C(=O)Nc1nc[nH]c1C#N ZINC001149671587 1092721060 /nfs/dbraw/zinc/72/10/60/1092721060.db2.gz MVEIJQFRZBHQPJ-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](NCc2ccncc2Cl)C1 ZINC001209335532 1092738632 /nfs/dbraw/zinc/73/86/32/1092738632.db2.gz NLJVXULBNXCQJD-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCCCCCCN1Cc2nnn(C)c2[C@@H](COCC)C1 ZINC001209441637 1092776640 /nfs/dbraw/zinc/77/66/40/1092776640.db2.gz KCSQHOXUOGKUDC-MRXNPFEDSA-N 0 1 320.481 3.277 20 30 DGEDMN C=CCCCCCCCCN1CCCC(=O)[C@H]1C(=O)OCC ZINC001209444577 1092777077 /nfs/dbraw/zinc/77/70/77/1092777077.db2.gz UUJJRRVCTCVVET-KRWDZBQOSA-N 0 1 309.450 3.500 20 30 DGEDMN C=CCC1(C(=O)N2CC3(CN(Cc4ccccc4)C3)C2)CCCC1 ZINC001151458166 1092902100 /nfs/dbraw/zinc/90/21/00/1092902100.db2.gz HPAPMQNTFXLGTD-UHFFFAOYSA-N 0 1 324.468 3.467 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)c1ncnc2ccsc21 ZINC001151531309 1092917863 /nfs/dbraw/zinc/91/78/63/1092917863.db2.gz UPJPFDORNGTEDF-UHFFFAOYSA-N 0 1 316.292 3.093 20 30 DGEDMN CCC(C)(CC)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001151601089 1092928143 /nfs/dbraw/zinc/92/81/43/1092928143.db2.gz WBHIQWDRLSKZAM-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN COc1cccc(Nc2cc(C)nn2-c2ccncc2)c1C#N ZINC001210122720 1093050468 /nfs/dbraw/zinc/05/04/68/1093050468.db2.gz YGIQGHSWEGWDGX-UHFFFAOYSA-N 0 1 305.341 3.200 20 30 DGEDMN CN1CCN(c2cccc(NC3=CC(=O)CC(C)(C)C3)c2)CC1 ZINC001210152109 1093061800 /nfs/dbraw/zinc/06/18/00/1093061800.db2.gz XUPNJQCQIKTZON-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001210244111 1093093230 /nfs/dbraw/zinc/09/32/30/1093093230.db2.gz RZGCOFJQWXBRKO-ZIFCJYIRSA-N 0 1 312.457 3.030 20 30 DGEDMN COC(=O)c1ccc(Nc2cccc(O)c2Cl)c(C#N)c1 ZINC001210860367 1093305032 /nfs/dbraw/zinc/30/50/32/1093305032.db2.gz YCRJRRPERWXEBZ-UHFFFAOYSA-N 0 1 302.717 3.447 20 30 DGEDMN CC#Cc1cncc(Nc2c(F)cccc2N2CCN(C)CC2)c1 ZINC001211060037 1093368481 /nfs/dbraw/zinc/36/84/81/1093368481.db2.gz PWYIBRQNJFHYIF-UHFFFAOYSA-N 0 1 324.403 3.088 20 30 DGEDMN COc1cnccc1Nc1cc(Br)cc(F)c1C#N ZINC001211265771 1093438007 /nfs/dbraw/zinc/43/80/07/1093438007.db2.gz UCRSHHOYAZMJPK-UHFFFAOYSA-N 0 1 322.137 3.029 20 30 DGEDMN Cc1c(Nc2ccc(C#N)cc2O)cc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC001211298537 1093449999 /nfs/dbraw/zinc/44/99/99/1093449999.db2.gz PKYNUCSUMDXNPI-UHFFFAOYSA-N 0 1 314.257 3.132 20 30 DGEDMN N#Cc1cc(Nc2ccc(-n3ccc(=O)[nH]3)cc2)cc([N+](=O)[O-])c1 ZINC001211333505 1093462507 /nfs/dbraw/zinc/46/25/07/1093462507.db2.gz IYVARTHBEBVPTJ-UHFFFAOYSA-N 0 1 321.296 3.101 20 30 DGEDMN C=C(CN1CCCCC1)c1nc(-c2cccc3c2CCC3=O)no1 ZINC001211436748 1093494066 /nfs/dbraw/zinc/49/40/66/1093494066.db2.gz VUSUZQBWZJHSCQ-UHFFFAOYSA-N 0 1 323.396 3.365 20 30 DGEDMN Cc1ccc(O)c(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001214227685 1093736538 /nfs/dbraw/zinc/73/65/38/1093736538.db2.gz UDXMITBXZHHMHL-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NCc2oc(C(C)C)nc2C)C1 ZINC001214302131 1093752901 /nfs/dbraw/zinc/75/29/01/1093752901.db2.gz SSDNEFAXGHMMMX-UKRRQHHQSA-N 0 1 319.449 3.009 20 30 DGEDMN COCOc1cccc(F)c1Nc1cc(C#N)c(F)cc1O ZINC001214444732 1093776365 /nfs/dbraw/zinc/77/63/65/1093776365.db2.gz RSMDLAZGMLBAQF-UHFFFAOYSA-N 0 1 306.268 3.268 20 30 DGEDMN N#Cc1nc(Cl)c(Nc2c(Cl)ccc(O)c2F)nc1C#N ZINC001214557943 1093799850 /nfs/dbraw/zinc/79/98/50/1093799850.db2.gz LVFMTWATGGAPIB-UHFFFAOYSA-N 0 1 324.102 3.115 20 30 DGEDMN N#Cc1cc(F)cc(CN2CCN(Cc3ccccc3)CC2)c1 ZINC000303391630 1093808831 /nfs/dbraw/zinc/80/88/31/1093808831.db2.gz PGUDDHYTGIORRI-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3[nH]nc(C4CC4)c3F)n2)c1 ZINC001214930148 1093880438 /nfs/dbraw/zinc/88/04/38/1093880438.db2.gz NHZAZEVLGXXXIU-UHFFFAOYSA-N 0 1 313.267 3.154 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCc2ccccc2)C[C@H]1C ZINC001215448781 1093984232 /nfs/dbraw/zinc/98/42/32/1093984232.db2.gz ALYRDQDILMKYHK-RHSMWYFYSA-N 0 1 320.864 3.198 20 30 DGEDMN N#Cc1cc2cccnc2nc1Nc1cc2n[nH]cc2c(F)c1 ZINC001215553097 1093999390 /nfs/dbraw/zinc/99/93/90/1093999390.db2.gz OSCCSRAKHSJKIQ-UHFFFAOYSA-N 0 1 304.288 3.260 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)c(O)cc3F)sc2C1 ZINC001215570844 1094003859 /nfs/dbraw/zinc/00/38/59/1094003859.db2.gz XPEQUOQQNNBKHE-UHFFFAOYSA-N 0 1 321.352 3.335 20 30 DGEDMN COc1cncc(Nc2sc3c(c2C#N)CCN(C)C3)c1C ZINC001215731532 1094043347 /nfs/dbraw/zinc/04/33/47/1094043347.db2.gz ITPWKZREEHHXHO-UHFFFAOYSA-N 0 1 314.414 3.063 20 30 DGEDMN COC(=O)c1cc(Nc2ccc(C)c3[nH]ncc32)ccc1C#N ZINC001215760582 1094051008 /nfs/dbraw/zinc/05/10/08/1094051008.db2.gz QYPDODNTVIGFRP-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN CCc1cc(Nc2ccc(N3CCN(C)CC3)nc2)ccc1C#N ZINC001216313461 1094172641 /nfs/dbraw/zinc/17/26/41/1094172641.db2.gz KXXSJFGMZALIJV-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN CN1CCN(c2ccccc2Nc2cccc(C#N)c2F)CC1 ZINC001216415254 1094194397 /nfs/dbraw/zinc/19/43/97/1094194397.db2.gz GWFQWRBZUACDOZ-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN COc1ccc(CN2CCC(C#N)(c3ccccn3)CC2)c(C)c1 ZINC001119966733 1094324867 /nfs/dbraw/zinc/32/48/67/1094324867.db2.gz DCAJCSOMONCFEJ-UHFFFAOYSA-N 0 1 321.424 3.456 20 30 DGEDMN N#Cc1ccc(-c2noc(-c3cc4cc(Cl)c[nH]c-4n3)n2)nc1 ZINC001217292552 1094362007 /nfs/dbraw/zinc/36/20/07/1094362007.db2.gz FCNSRXYUHQDDSJ-UHFFFAOYSA-N 0 1 322.715 3.200 20 30 DGEDMN N#CC1(CNC[C@H](O)c2cccc(Br)c2)CCCC1 ZINC001120602478 1094467601 /nfs/dbraw/zinc/46/76/01/1094467601.db2.gz HMWOGSMPWUCSFD-AWEZNQCLSA-N 0 1 323.234 3.156 20 30 DGEDMN Cc1cc(-c2noc(-c3ccc4nc(N)[nH]c4c3)n2)ccc1C#N ZINC001217665435 1094468271 /nfs/dbraw/zinc/46/82/71/1094468271.db2.gz SSESTVNHCDXESL-UHFFFAOYSA-N 0 1 316.324 3.042 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(C(F)(F)F)cc1C(F)(F)F ZINC001218199998 1094654517 /nfs/dbraw/zinc/65/45/17/1094654517.db2.gz CTMBROHUNLZOJU-RDDDGLTNSA-N 0 1 324.224 3.215 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(-c2ccc(F)cc2F)cc1 ZINC001218202968 1094656530 /nfs/dbraw/zinc/65/65/30/1094656530.db2.gz OOYNZAQDKLBQKM-PXAZEXFGSA-N 0 1 300.308 3.122 20 30 DGEDMN CC(C)(C)c1cc(O)c(O[C@H]2CNC[C@H]2C#N)c(C(C)(C)C)c1 ZINC001218201798 1094656710 /nfs/dbraw/zinc/65/67/10/1094656710.db2.gz VKZAGMUZDTUUHF-WBMJQRKESA-N 0 1 316.445 3.478 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218204158 1094658084 /nfs/dbraw/zinc/65/80/84/1094658084.db2.gz VMWVEOOVSKWKFS-QAPCUYQASA-N 0 1 310.422 3.469 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NCCNCC#Cc1ccccc1Cl ZINC001135864502 1094714857 /nfs/dbraw/zinc/71/48/57/1094714857.db2.gz XFSVXGGCDSJMOR-LSDHHAIUSA-N 0 1 320.864 3.080 20 30 DGEDMN C=CC[C@@H](CCCC)Oc1ccc(C(=O)N2CCNCC2)cc1 ZINC001218439633 1094774799 /nfs/dbraw/zinc/77/47/99/1094774799.db2.gz VDXYXRMYSNEEJF-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN CCCCCCCCC(=O)N[C@@H](C)C[C@H](C)NCc1cnon1 ZINC001136003471 1094793609 /nfs/dbraw/zinc/79/36/09/1094793609.db2.gz CMPWSANKMRIXLP-GJZGRUSLSA-N 0 1 324.469 3.193 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CC[NH2+]C(C)(C)C1 ZINC001218475884 1094799088 /nfs/dbraw/zinc/79/90/88/1094799088.db2.gz OAEONROTAUUMCL-CYBMUJFWSA-N 0 1 303.402 3.233 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@H](C2CC2)O1)c1nc2ccccc2[nH]1 ZINC001122394296 1094965754 /nfs/dbraw/zinc/96/57/54/1094965754.db2.gz BTFWKKCJZDIYFW-OGHNNQOOSA-N 0 1 309.369 3.087 20 30 DGEDMN C#CCCCCCC(=O)N[C@]12CCC[C@H]1CN(C/C=C\Cl)C2 ZINC001111833799 1094981683 /nfs/dbraw/zinc/98/16/83/1094981683.db2.gz HTCQBMOCACXSSY-YKCJUDFQSA-N 0 1 322.880 3.293 20 30 DGEDMN Cc1cc(Br)c(C(=O)C(C#N)c2nccn2C)s1 ZINC001122519097 1094997044 /nfs/dbraw/zinc/99/70/44/1094997044.db2.gz UPEKPEULLYZDBL-MRVPVSSYSA-N 0 1 324.203 3.043 20 30 DGEDMN C=CC1(CC(=O)N[C@]23CCC[C@H]2CN(CCF)C3)CCCCC1 ZINC001111901378 1095009034 /nfs/dbraw/zinc/00/90/34/1095009034.db2.gz DPDHTPRYVPYTNE-LPHOPBHVSA-N 0 1 322.468 3.453 20 30 DGEDMN Cc1c(C(=O)OC[C@H](C#N)Cc2ccccc2)ccc2cncn21 ZINC001122641103 1095024927 /nfs/dbraw/zinc/02/49/27/1095024927.db2.gz WNONXCXTOKDCBC-INIZCTEOSA-N 0 1 319.364 3.182 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NC/C=C/Cl)C1 ZINC001112157062 1095037697 /nfs/dbraw/zinc/03/76/97/1095037697.db2.gz PCFVADHEHWSEJH-ONYUAGEFSA-N 0 1 324.774 3.074 20 30 DGEDMN N#CC(C(=O)C1([C@H]2CCCCO2)CCC1)c1cccc(F)n1 ZINC001122736057 1095052405 /nfs/dbraw/zinc/05/24/05/1095052405.db2.gz PBXYZYRHUACLJX-TZMCWYRMSA-N 0 1 302.349 3.136 20 30 DGEDMN C=CC[C@H](/N=C/c1ccc(N(CC)CC)cc1O)C(=O)OCC ZINC001337735942 1095076362 /nfs/dbraw/zinc/07/63/62/1095076362.db2.gz NVFYIMMXDTVVSL-HUCUOGIVSA-N 0 1 318.417 3.165 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001170996935 1095106162 /nfs/dbraw/zinc/10/61/62/1095106162.db2.gz APLUUCWHTPWGPS-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2[C@H]3CN(C[C@H](F)CC)C[C@H]32)CCCCC1 ZINC001115278148 1095161159 /nfs/dbraw/zinc/16/11/59/1095161159.db2.gz NRBHIPGQVBUSJX-NCOADZHNSA-N 0 1 322.468 3.308 20 30 DGEDMN C=CCC1(C(=O)NC2[C@H]3CN(C/C=C/Cl)C[C@@H]23)CCCCC1 ZINC001115278222 1095161393 /nfs/dbraw/zinc/16/13/93/1095161393.db2.gz OVUBOISNFGEJKG-NFQQGPSSSA-N 0 1 322.880 3.312 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C1(c2cccc(F)c2)CC1 ZINC001123232894 1095195726 /nfs/dbraw/zinc/19/57/26/1095195726.db2.gz DKIDFMGLSPYHNN-LBPRGKRZSA-N 0 1 317.751 3.121 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]2CN(C(=O)C(C)(F)F)[C@@H]2C1 ZINC001221225899 1095214396 /nfs/dbraw/zinc/21/43/96/1095214396.db2.gz NKVWRUXZHGUOLV-ZIAGYGMSSA-N 0 1 302.409 3.145 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001137039675 1095302271 /nfs/dbraw/zinc/30/22/71/1095302271.db2.gz HUVQTLKVNHQQMN-GOSISDBHSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(Cc3csc(C)c3)C[C@H]21 ZINC001221584986 1095307413 /nfs/dbraw/zinc/30/74/13/1095307413.db2.gz MXVZLVHPVMKHDB-IAGOWNOFSA-N 0 1 318.486 3.446 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCc3sccc3C)[C@@H]2C1 ZINC001221985249 1095404438 /nfs/dbraw/zinc/40/44/38/1095404438.db2.gz NCRTXSSOMBDANU-HZPDHXFCSA-N 0 1 318.486 3.098 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](CCCC)C(C)C)[C@@H]2C1 ZINC001222058188 1095417263 /nfs/dbraw/zinc/41/72/63/1095417263.db2.gz PLOLBOBPTXGGOI-FGTMMUONSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC[C@@H](C)C(=O)Nc1n[nH]c2nc(C(F)(F)F)cc(C)c12 ZINC001144013215 1095473344 /nfs/dbraw/zinc/47/33/44/1095473344.db2.gz ONUKGWFKVMFROA-SSDOTTSWSA-N 0 1 312.295 3.436 20 30 DGEDMN CCCC[C@H](CC)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001123936169 1095489002 /nfs/dbraw/zinc/48/90/02/1095489002.db2.gz NKUFERJXBLEZPM-HNNXBMFYSA-N 0 1 319.424 3.120 20 30 DGEDMN N#Cc1cncc(CN2CCC(c3nc4ccccc4o3)CC2)c1 ZINC001144073943 1095496678 /nfs/dbraw/zinc/49/66/78/1095496678.db2.gz PKZNEINCNUHXDT-UHFFFAOYSA-N 0 1 318.380 3.474 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(C(C)(C)C)CC1)c1ccccc1 ZINC001116389267 1095535919 /nfs/dbraw/zinc/53/59/19/1095535919.db2.gz VAGOPFRRNSCUKP-INIZCTEOSA-N 0 1 300.446 3.289 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)nc1 ZINC001144166696 1095545872 /nfs/dbraw/zinc/54/58/72/1095545872.db2.gz RJTRHTGZSZBWSM-KRWDZBQOSA-N 0 1 313.401 3.459 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2cn(C)nc2C2CCCCC2)C1 ZINC001116575502 1095605278 /nfs/dbraw/zinc/60/52/78/1095605278.db2.gz CLXBITOVURGRQW-QGZVFWFLSA-N 0 1 303.450 3.245 20 30 DGEDMN COc1ccc(CN2CCC(C#Cc3ccccc3)CC2)nc1 ZINC001144433855 1095657574 /nfs/dbraw/zinc/65/75/74/1095657574.db2.gz XICMKUGQMVAOHD-UHFFFAOYSA-N 0 1 306.409 3.354 20 30 DGEDMN C[C@H](Cc1cccc(C#N)c1)NCC(=O)c1ccc(F)cc1F ZINC001171185981 1095671365 /nfs/dbraw/zinc/67/13/65/1095671365.db2.gz SZLVCJMWXINOHQ-GFCCVEGCSA-N 0 1 314.335 3.240 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2ccc(Br)cc2C#N)[nH]n1 ZINC001339630887 1095680130 /nfs/dbraw/zinc/68/01/30/1095680130.db2.gz XVOCZUPEGKWBSR-VIFPVBQESA-N 0 1 319.206 3.395 20 30 DGEDMN N#CCOc1cccc(CN[C@H]2CCc3ccc(Cl)nc32)c1 ZINC001117060816 1095710939 /nfs/dbraw/zinc/71/09/39/1095710939.db2.gz INJOQAHDLRSRKN-HNNXBMFYSA-N 0 1 313.788 3.414 20 30 DGEDMN COc1ccc(CCN(C)Cc2ccc(OC)cc2C#N)cc1 ZINC001137704449 1095716798 /nfs/dbraw/zinc/71/67/98/1095716798.db2.gz GEMJLNLKWBAFSP-UHFFFAOYSA-N 0 1 310.397 3.250 20 30 DGEDMN COc1ccc(CN(C)CC/C=C/c2cccnc2)c(C#N)c1 ZINC001137705864 1095717199 /nfs/dbraw/zinc/71/71/99/1095717199.db2.gz DPNNLFPBOUMMMS-ZZXKWVIFSA-N 0 1 307.397 3.497 20 30 DGEDMN C#Cc1ccc(CN2CCc3cc(C(=O)OC)ccc3C2)cc1 ZINC001144567771 1095719421 /nfs/dbraw/zinc/71/94/21/1095719421.db2.gz BCTIAFIPBYLSGZ-UHFFFAOYSA-N 0 1 305.377 3.013 20 30 DGEDMN Cc1ccc(N2CCN([C@H](C)Cc3cccc(C#N)c3)CC2)nc1 ZINC001171223002 1095817946 /nfs/dbraw/zinc/81/79/46/1095817946.db2.gz LERBHBDOJWNHCJ-QGZVFWFLSA-N 0 1 320.440 3.015 20 30 DGEDMN C[S@@](=O)c1ccc(CN=Nc2ccc(F)c(F)c2F)cc1 ZINC001117742153 1095822950 /nfs/dbraw/zinc/82/29/50/1095822950.db2.gz OBQJICDYVPRUKZ-OAQYLSRUSA-N 0 1 312.316 3.287 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@H](OCc2cccnc2C#N)C1 ZINC001223060141 1095850677 /nfs/dbraw/zinc/85/06/77/1095850677.db2.gz KYHZZWDKPFXTOD-WBVHZDCISA-N 0 1 322.412 3.090 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc(OCc3ccccc3)c2)CCC1=O ZINC001138079951 1095866033 /nfs/dbraw/zinc/86/60/33/1095866033.db2.gz HVZXEGKOQKIEHO-GOSISDBHSA-N 0 1 320.392 3.180 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1O[C@H](C)c1ccc(C(=O)OC)cc1 ZINC001223750851 1096054166 /nfs/dbraw/zinc/05/41/66/1096054166.db2.gz BBFOFQSCYVIOKK-QMTHXVAHSA-N 0 1 304.342 3.160 20 30 DGEDMN Cc1nccn1-c1ccncc1NC(=O)c1ccc(C#N)cc1C ZINC001340913388 1096067276 /nfs/dbraw/zinc/06/72/76/1096067276.db2.gz YVFSJQXEPDVPOZ-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN CC(C)c1cccc(C(=O)NCCNCC#Cc2ccccc2)c1 ZINC001146624131 1096073090 /nfs/dbraw/zinc/07/30/90/1096073090.db2.gz XBKAOPFXWHHLQR-UHFFFAOYSA-N 0 1 320.436 3.181 20 30 DGEDMN N#CC1(Nc2cccc(O)c2)CCN(Cc2ccccc2)CC1 ZINC001171282599 1096085831 /nfs/dbraw/zinc/08/58/31/1096085831.db2.gz UJWFBSKQEZWTGN-UHFFFAOYSA-N 0 1 307.397 3.362 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H]2CCC(=O)CC2(C)C)c1 ZINC001223892829 1096089281 /nfs/dbraw/zinc/08/92/81/1096089281.db2.gz NOWJRMMNGSMKCF-ZMMDAZFZSA-N 0 1 321.373 3.004 20 30 DGEDMN CC[C@H]1OC(C)=C(O[C@@H](C(=O)OC)c2cccc(Cl)c2)C1=O ZINC001223926875 1096097469 /nfs/dbraw/zinc/09/74/69/1096097469.db2.gz XDSPXZQGFFNTDU-IUODEOHRSA-N 0 1 324.760 3.180 20 30 DGEDMN Cn1c2ccccc2nc1[C@H](C#N)C(=O)C1(C(C)(F)F)CC1 ZINC001341240696 1096138686 /nfs/dbraw/zinc/13/86/86/1096138686.db2.gz QQXNSOWRZOMUDP-SNVBAGLBSA-N 0 1 303.312 3.185 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224198004 1096159939 /nfs/dbraw/zinc/15/99/39/1096159939.db2.gz JJZNGDHRDLJMDE-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)CN(C)CC(C)(C)C ZINC001147157047 1096176050 /nfs/dbraw/zinc/17/60/50/1096176050.db2.gz YLDGQNLWCASFBO-UHFFFAOYSA-N 0 1 316.449 3.297 20 30 DGEDMN C=CCCC(=O)N1CC2(C1)CCN(Cc1ccc(Cl)cc1)C2 ZINC001147165908 1096177185 /nfs/dbraw/zinc/17/71/85/1096177185.db2.gz MVOIBEBPJJODNF-UHFFFAOYSA-N 0 1 318.848 3.341 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCC(O)(C(F)(F)F)CC1 ZINC001119692226 1096246903 /nfs/dbraw/zinc/24/69/03/1096246903.db2.gz ZIYNXEWRYCVVIO-UHFFFAOYSA-N 0 1 312.335 3.064 20 30 DGEDMN CCCCCC[C@@H](F)C(=O)NCCNCc1ccccc1C#N ZINC001147797483 1096275281 /nfs/dbraw/zinc/27/52/81/1096275281.db2.gz XGIMLULTOUEAMM-QGZVFWFLSA-N 0 1 319.424 3.073 20 30 DGEDMN C=CCCC1(C(=O)N2CC3(C2)CCN(C/C=C\Cl)C3)CC1 ZINC001148221100 1096329289 /nfs/dbraw/zinc/32/92/89/1096329289.db2.gz QIYRZBVQYNRWDS-WTKPLQERSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CC[C@H](C)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225151678 1096353926 /nfs/dbraw/zinc/35/39/26/1096353926.db2.gz CLRCRFYEMSIRII-PXNSSMCTSA-N 0 1 315.457 3.485 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@H](CCC)CC3CCCC3)C2)C1 ZINC001148371391 1096359560 /nfs/dbraw/zinc/35/95/60/1096359560.db2.gz MLRPMTUGUYRYJA-GOSISDBHSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCOc1ccc(C(=O)NCc2[nH]nc3ccccc32)cc1 ZINC001148463902 1096372521 /nfs/dbraw/zinc/37/25/21/1096372521.db2.gz QXNQWAUKBRGTJU-UHFFFAOYSA-N 0 1 307.353 3.058 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)c(C)s1 ZINC001225275444 1096381484 /nfs/dbraw/zinc/38/14/84/1096381484.db2.gz LBLWRKLNURBKPI-HUUCEWRRSA-N 0 1 321.490 3.243 20 30 DGEDMN [O-]/N=C/c1cc(NC[C@H]2CCCC[NH2+]2)cc(C(F)(F)F)c1 ZINC001171334266 1096408404 /nfs/dbraw/zinc/40/84/04/1096408404.db2.gz ILLCISQZLPZSAH-RVQTXVGNSA-N 0 1 301.312 3.068 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2)C[C@H]1Oc1ncccc1C#N ZINC001225406484 1096420484 /nfs/dbraw/zinc/42/04/84/1096420484.db2.gz ZOCDCSKRZBCKBC-CRAIPNDOSA-N 0 1 307.397 3.243 20 30 DGEDMN CCCCCCCCC(=O)NCCNCc1cncc(F)c1 ZINC001148728002 1096430988 /nfs/dbraw/zinc/43/09/88/1096430988.db2.gz RNQWODJMZGRIKT-UHFFFAOYSA-N 0 1 309.429 3.177 20 30 DGEDMN C=CC[C@H](C(=O)NCC1CCN(CCF)CC1)c1ccccc1 ZINC001225506699 1096453264 /nfs/dbraw/zinc/45/32/64/1096453264.db2.gz PPRLFRYIBQENJV-SFHVURJKSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(F)cccc1Br ZINC001225620907 1096486133 /nfs/dbraw/zinc/48/61/33/1096486133.db2.gz NFCOMDHLYPDFIZ-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)c3ccco3)C2)CC1 ZINC001086898259 1096497376 /nfs/dbraw/zinc/49/73/76/1096497376.db2.gz FCNNYUJAPGCFPN-AWEZNQCLSA-N 0 1 322.836 3.349 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001091981052 1096566461 /nfs/dbraw/zinc/56/64/61/1096566461.db2.gz KHZNDCYITALVSH-HVPAMSBQSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1ccc(F)cc1)C2 ZINC001110137881 1096637995 /nfs/dbraw/zinc/63/79/95/1096637995.db2.gz AZELFWZVEAYPTO-ZSGPHXLJSA-N 0 1 316.420 3.227 20 30 DGEDMN COc1cccc(C#N)c1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001226251488 1096646507 /nfs/dbraw/zinc/64/65/07/1096646507.db2.gz ZBJBIXGWXPEMNV-GDBMZVCRSA-N 0 1 323.396 3.176 20 30 DGEDMN C[C@@H](C#C[Si](C)(C)C)Oc1[nH]c(=O)nc2nc(Cl)ccc21 ZINC001226556770 1096720748 /nfs/dbraw/zinc/72/07/48/1096720748.db2.gz RAVWKLCBLJMHJO-VIFPVBQESA-N 0 1 321.840 3.032 20 30 DGEDMN N#Cc1cncc(O[C@@H]2CCN(Cc3ccccc3Cl)C2)c1 ZINC001226687100 1096752713 /nfs/dbraw/zinc/75/27/13/1096752713.db2.gz VXJISCHGHCKZGJ-OAHLLOKOSA-N 0 1 313.788 3.260 20 30 DGEDMN C=CCCCCCCN1CCc2cc(C(=O)N(C)C)[nH]c2C1 ZINC001277159683 1096793686 /nfs/dbraw/zinc/79/36/86/1096793686.db2.gz MCLDXMSJYPCIEF-UHFFFAOYSA-N 0 1 303.450 3.211 20 30 DGEDMN Cc1ccc(C#N)cc1O[C@H]1COCC[N@@H+](Cc2ccccc2)C1 ZINC001226876889 1096801541 /nfs/dbraw/zinc/80/15/41/1096801541.db2.gz FXHOWEJUQSZZAX-LJQANCHMSA-N 0 1 322.408 3.147 20 30 DGEDMN Cc1ccc(C#N)cc1O[C@H]1COCCN(Cc2ccccc2)C1 ZINC001226876889 1096801548 /nfs/dbraw/zinc/80/15/48/1096801548.db2.gz FXHOWEJUQSZZAX-LJQANCHMSA-N 0 1 322.408 3.147 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3ccoc3C)CCC[C@@H]12 ZINC001094359681 1096802956 /nfs/dbraw/zinc/80/29/56/1096802956.db2.gz YPAMKAWGLXQMSF-NVXWUHKLSA-N 0 1 322.836 3.315 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cnc(Br)c(Cl)c1 ZINC001226885852 1096803594 /nfs/dbraw/zinc/80/35/94/1096803594.db2.gz QZKRSSRXFHHILU-YFKPBYRVSA-N 0 1 318.554 3.096 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H]3CC=CCC3)CCC[C@@H]12 ZINC001094361252 1096805756 /nfs/dbraw/zinc/80/57/56/1096805756.db2.gz WSSXHDLUPZIXTK-JFIYKMOQSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001277164420 1096809782 /nfs/dbraw/zinc/80/97/82/1096809782.db2.gz IGXBRUZUKIGQRG-INIZCTEOSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3cc(C)co3)CCC[C@@H]12 ZINC001094494727 1096833248 /nfs/dbraw/zinc/83/32/48/1096833248.db2.gz LRIOYATZHOXBLT-NVXWUHKLSA-N 0 1 322.836 3.315 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@H]3CC34CCC4)CCC[C@@H]12 ZINC001094526150 1096846303 /nfs/dbraw/zinc/84/63/03/1096846303.db2.gz SPEPLDGMCLJOIX-IIDMSEBBSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(F)cc1Br ZINC001227371465 1096922313 /nfs/dbraw/zinc/92/23/13/1096922313.db2.gz HDZDFATYYHRLFV-LURJTMIESA-N 0 1 301.111 3.186 20 30 DGEDMN C[C@@H](COCc1ccccc1)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227215809 1096896832 /nfs/dbraw/zinc/89/68/32/1096896832.db2.gz KODIUSDBFZDLKA-ZDUSSCGKSA-N 0 1 307.353 3.419 20 30 DGEDMN COc1ccc2c(c1)CC[C@H](Oc1nc3ccc(C#N)cc3[nH]1)C2 ZINC001227219078 1096897539 /nfs/dbraw/zinc/89/75/39/1096897539.db2.gz KFVCSACTACXRBS-INIZCTEOSA-N 0 1 319.364 3.379 20 30 DGEDMN C[C@@H](Oc1nc2ccc(C#N)cc2[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001227217160 1096898168 /nfs/dbraw/zinc/89/81/68/1096898168.db2.gz BFGIUTAXBOWGOH-SNVBAGLBSA-N 0 1 308.297 3.483 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2nn(-c3ccc(F)cc3)c3c2CCC3)C1 ZINC001171572108 1096927084 /nfs/dbraw/zinc/92/70/84/1096927084.db2.gz DYZAYVHOKSUYPV-OAHLLOKOSA-N 0 1 323.415 3.345 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2ccsc2)CC1 ZINC001227569994 1096953572 /nfs/dbraw/zinc/95/35/72/1096953572.db2.gz FHTRZXNJTCXWOL-UHFFFAOYSA-N 0 1 306.475 3.431 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1ncc(C)cn1 ZINC001171818763 1096974282 /nfs/dbraw/zinc/97/42/82/1096974282.db2.gz UWPVZQHNJLIUGT-UHFFFAOYSA-N 0 1 320.481 3.132 20 30 DGEDMN CCCCCCCCCCC(=O)NCCCNCc1cnon1 ZINC001171831133 1096974991 /nfs/dbraw/zinc/97/49/91/1096974991.db2.gz YZYAKBRBJXUQQK-UHFFFAOYSA-N 0 1 324.469 3.196 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C(=O)OC)c(Cl)c1 ZINC001227936681 1097016422 /nfs/dbraw/zinc/01/64/22/1097016422.db2.gz MCTODFBNCOROPI-GFCCVEGCSA-N 0 1 310.733 3.115 20 30 DGEDMN N#Cc1ccc([O-])cc1OC1CC[NH+](CCc2ccccc2)CC1 ZINC001228006922 1097031310 /nfs/dbraw/zinc/03/13/10/1097031310.db2.gz LWOJWMGCFGEGEQ-UHFFFAOYSA-N 0 1 322.408 3.350 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228112342 1097053629 /nfs/dbraw/zinc/05/36/29/1097053629.db2.gz IMHJIURNQBHJJZ-RBSFLKMASA-N 0 1 321.490 3.262 20 30 DGEDMN N=C(N[C@H]1CSc2ccccc2C1)c1ccc(Cl)cn1 ZINC001172188270 1097068330 /nfs/dbraw/zinc/06/83/30/1097068330.db2.gz RYSIIMIEZQJNDW-GFCCVEGCSA-N 0 1 303.818 3.157 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccccc1C(=O)OCCCC ZINC001228212479 1097075444 /nfs/dbraw/zinc/07/54/44/1097075444.db2.gz RNLVSSSOYZSYIM-LLVKDONJSA-N 0 1 304.342 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NC/C(Cl)=C\Cl)[C@@H](C)C1 ZINC001281409939 1097078928 /nfs/dbraw/zinc/07/89/28/1097078928.db2.gz VZIVHZUTDOTJKP-HODSQTJBSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)cs1 ZINC001228270164 1097085665 /nfs/dbraw/zinc/08/56/65/1097085665.db2.gz NKPMSUINISPRSE-HUUCEWRRSA-N 0 1 321.490 3.325 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1[C@@H]1CC[N@H+](CCF)C1 ZINC001277283836 1097086824 /nfs/dbraw/zinc/08/68/24/1097086824.db2.gz HHTAOAVJVVAIHR-QZTJIDSGSA-N 0 1 322.468 3.243 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCN(CCF)C1 ZINC001277283836 1097086831 /nfs/dbraw/zinc/08/68/31/1097086831.db2.gz HHTAOAVJVVAIHR-QZTJIDSGSA-N 0 1 322.468 3.243 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCc2nc(C3CC3)ncc2C1 ZINC001172310480 1097096840 /nfs/dbraw/zinc/09/68/40/1097096840.db2.gz FWYBHYYHTDXKCK-CQSZACIVSA-N 0 1 318.424 3.215 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCc2cnc(C3CC3)nc2C1 ZINC001172313284 1097098346 /nfs/dbraw/zinc/09/83/46/1097098346.db2.gz TZKFKPQVQOODJE-AWEZNQCLSA-N 0 1 318.424 3.215 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228413110 1097115723 /nfs/dbraw/zinc/11/57/23/1097115723.db2.gz GWCVMPGUPHNLFX-CPUCHLNUSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228413482 1097115745 /nfs/dbraw/zinc/11/57/45/1097115745.db2.gz LPWUFDFPKQRZMP-JFIYKMOQSA-N 0 1 319.449 3.102 20 30 DGEDMN CC[C@@H](C#Cc1ccccc1)Oc1nc(Cl)nc2nc[nH]c21 ZINC001228506980 1097131720 /nfs/dbraw/zinc/13/17/20/1097131720.db2.gz BKJAKRIVAXHLES-LBPRGKRZSA-N 0 1 312.760 3.215 20 30 DGEDMN C[C@@H](CCCC#N)NC1(c2ccc(Br)cc2)COC1 ZINC001172482869 1097151164 /nfs/dbraw/zinc/15/11/64/1097151164.db2.gz KQRUERBDTBUROS-LBPRGKRZSA-N 0 1 323.234 3.347 20 30 DGEDMN C[C@H](CCCC#N)N1CCC[C@H]1C(=O)OCc1ccccc1 ZINC001172492260 1097167826 /nfs/dbraw/zinc/16/78/26/1097167826.db2.gz JUAUMZJHBMWMLS-WBVHZDCISA-N 0 1 300.402 3.277 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC001228747494 1097181496 /nfs/dbraw/zinc/18/14/96/1097181496.db2.gz BUHCALMEAHOGJQ-CABCVRRESA-N 0 1 318.436 3.365 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1c(Cl)csc1C(=O)OC ZINC001228777088 1097187460 /nfs/dbraw/zinc/18/74/60/1097187460.db2.gz BOYCUUDRFKCMDG-MRVPVSSYSA-N 0 1 316.762 3.176 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001228772378 1097187613 /nfs/dbraw/zinc/18/76/13/1097187613.db2.gz WDVLYUDCDXFPNV-MRXNPFEDSA-N 0 1 323.352 3.120 20 30 DGEDMN CCc1nc(C)c(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)[nH]1 ZINC001203251672 1097207260 /nfs/dbraw/zinc/20/72/60/1097207260.db2.gz OGWFUJWSYCBYTG-QGZVFWFLSA-N 0 1 324.428 3.196 20 30 DGEDMN CN(C)c1ccccc1O[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001228872391 1097207659 /nfs/dbraw/zinc/20/76/59/1097207659.db2.gz ZMVKYQVGFSGTMG-GOSISDBHSA-N 0 1 321.424 3.278 20 30 DGEDMN C[C@@H](CCCNCc1cc(F)ccc1C#N)NC(=O)C(C)(C)C ZINC001277341791 1097218427 /nfs/dbraw/zinc/21/84/27/1097218427.db2.gz ALLFGLOCLBRQSD-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCCCC(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228927849 1097219320 /nfs/dbraw/zinc/21/93/20/1097219320.db2.gz MXRNBDJEBYRYQQ-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN C#C[C@@H](Oc1ccc2c(c1)C(=O)c1ccc(O)cc1C2=O)C(C)C ZINC001229190743 1097274900 /nfs/dbraw/zinc/27/49/00/1097274900.db2.gz NZRFTPYTXNOQBS-GOSISDBHSA-N 0 1 320.344 3.204 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(COCCOC(C)C)cc1 ZINC001229651920 1097359597 /nfs/dbraw/zinc/35/95/97/1097359597.db2.gz ZLOSMRBWYHSSHW-CYBMUJFWSA-N 0 1 320.385 3.226 20 30 DGEDMN CCOC(=O)C(F)(F)[C@H](CC)Oc1ccccc1/C(C)=N/O ZINC001229736972 1097374377 /nfs/dbraw/zinc/37/43/77/1097374377.db2.gz MTCPDBXNAHBPJQ-SGPNVBEDSA-N 0 1 315.316 3.241 20 30 DGEDMN C=CCOC1CCC([N@@H+]2CCc3nc(Cl)ncc3C2)CC1 ZINC001173327800 1097418349 /nfs/dbraw/zinc/41/83/49/1097418349.db2.gz SPBYIJBBUWRRMD-UHFFFAOYSA-N 0 1 307.825 3.002 20 30 DGEDMN C=CCOC1CCC(N2CCc3nc(Cl)ncc3C2)CC1 ZINC001173327800 1097418355 /nfs/dbraw/zinc/41/83/55/1097418355.db2.gz SPBYIJBBUWRRMD-UHFFFAOYSA-N 0 1 307.825 3.002 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001277436793 1097423519 /nfs/dbraw/zinc/42/35/19/1097423519.db2.gz YELRTGSLWYAMGR-ZJPYXAASSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCOC1CCC(NC2(c3ccc(OC)cc3)COC2)CC1 ZINC001173315947 1097427413 /nfs/dbraw/zinc/42/74/13/1097427413.db2.gz UCCFPFXZVWGBNG-UHFFFAOYSA-N 0 1 317.429 3.024 20 30 DGEDMN N#Cc1cccc(O[C@@H]2CCN(Cc3ccccc3Cl)C2)n1 ZINC001230043863 1097435066 /nfs/dbraw/zinc/43/50/66/1097435066.db2.gz SWVNLKYKSBAWLS-OAHLLOKOSA-N 0 1 313.788 3.260 20 30 DGEDMN C[C@@H]1C[N@H+](C2CCC(C)(C#N)CC2)CCN1C(=O)OC(C)(C)C ZINC001173593361 1097466028 /nfs/dbraw/zinc/46/60/28/1097466028.db2.gz HIGDBWYTAXPSMB-KSTDHSDQSA-N 0 1 321.465 3.400 20 30 DGEDMN CCCCN(CCC#N)[C@@H](C)Cc1ccc(C(=O)OC)cc1 ZINC001173725623 1097508310 /nfs/dbraw/zinc/50/83/10/1097508310.db2.gz SQTGJBYUNGMPRJ-HNNXBMFYSA-N 0 1 302.418 3.420 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccccn1 ZINC001230578560 1097518417 /nfs/dbraw/zinc/51/84/17/1097518417.db2.gz LTJGPDHRXCCEKR-MRXNPFEDSA-N 0 1 303.450 3.198 20 30 DGEDMN COc1cccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001173825651 1097525655 /nfs/dbraw/zinc/52/56/55/1097525655.db2.gz PCEGOZIRFYBRFN-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCN(CCCNC(=O)CCCC(C)C)Cc1cccnc1 ZINC001230859553 1097607272 /nfs/dbraw/zinc/60/72/72/1097607272.db2.gz DQCMOWRXVXDLOQ-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H]1CC[C@@H](C)C1)Cc1cccnc1 ZINC001230861611 1097608431 /nfs/dbraw/zinc/60/84/31/1097608431.db2.gz NWIOVOMBQJXYLL-SJLPKXTDSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)[C@@H](C)CC)Cc1cccnc1 ZINC001230883990 1097613880 /nfs/dbraw/zinc/61/38/80/1097613880.db2.gz ZIWIVZOABNMDJW-DLBZAZTESA-N 0 1 317.477 3.258 20 30 DGEDMN C#C[C@H](Oc1nc2cc(Cl)nc(Cl)c2[nH]1)c1ccncc1 ZINC001231049564 1097655815 /nfs/dbraw/zinc/65/58/15/1097655815.db2.gz KYYGETGKOOIQGW-JTQLQIEISA-N 0 1 319.151 3.413 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CCN1[C@H]1CCc2c1cccc2F ZINC001231177719 1097689382 /nfs/dbraw/zinc/68/93/82/1097689382.db2.gz GTWOVSKOWZFSOK-KDOFPFPSSA-N 0 1 316.420 3.312 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H]1CC[N@@H+]1CCc1ccc(CC)cc1 ZINC001231179882 1097690573 /nfs/dbraw/zinc/69/05/73/1097690573.db2.gz XMAZUZRMNMGWEW-LJQANCHMSA-N 0 1 314.473 3.290 20 30 DGEDMN C=C[C@H](CCC)Oc1nc2ccc(NC(=O)CC(C)=O)cc2[nH]1 ZINC001231184432 1097691211 /nfs/dbraw/zinc/69/12/11/1097691211.db2.gz BOPVXODOYSVQLT-CYBMUJFWSA-N 0 1 315.373 3.214 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)CC1CCCC1 ZINC001231379179 1097733991 /nfs/dbraw/zinc/73/39/91/1097733991.db2.gz MBZDMGYVZXNGFQ-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN COc1cc(Nc2sc3c(c2C#N)CCN(C)C3)ccc1O ZINC001174540475 1097750106 /nfs/dbraw/zinc/75/01/06/1097750106.db2.gz NEOSUQJMWOQCSY-UHFFFAOYSA-N 0 1 315.398 3.065 20 30 DGEDMN C=CCN(CC=C)Cc1ccc(OCCCOC)c(OC)c1 ZINC001231544509 1097777722 /nfs/dbraw/zinc/77/77/22/1097777722.db2.gz TVUPPRRNPWDJKN-UHFFFAOYSA-N 0 1 305.418 3.285 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1n[nH]c2cc(Br)ccc21 ZINC001231618043 1097792668 /nfs/dbraw/zinc/79/26/68/1097792668.db2.gz XDCYGPCIBQQHDT-NSHDSACASA-N 0 1 319.206 3.203 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@H](Oc2ccncc2)C1 ZINC001231622193 1097794832 /nfs/dbraw/zinc/79/48/32/1097794832.db2.gz QQKHBWVPBIRYLX-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCCCNCc1ccon1 ZINC001174650232 1097803187 /nfs/dbraw/zinc/80/31/87/1097803187.db2.gz PBWILMRRRFSSAA-CQSZACIVSA-N 0 1 307.438 3.042 20 30 DGEDMN N#C[C@@H]1CC[N@@H+](Cc2ccccc2-c2ccccc2C(=O)[O-])C1 ZINC001231679456 1097815998 /nfs/dbraw/zinc/81/59/98/1097815998.db2.gz KUDIOECSEVUGRL-AWEZNQCLSA-N 0 1 306.365 3.397 20 30 DGEDMN N#C[C@@H]1CC[N@H+](Cc2ccccc2-c2ccccc2C(=O)[O-])C1 ZINC001231679456 1097816004 /nfs/dbraw/zinc/81/60/04/1097816004.db2.gz KUDIOECSEVUGRL-AWEZNQCLSA-N 0 1 306.365 3.397 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccccc2SC)C1 ZINC001231787204 1097841095 /nfs/dbraw/zinc/84/10/95/1097841095.db2.gz MHMVUQYUGGFVCV-HNNXBMFYSA-N 0 1 320.458 3.285 20 30 DGEDMN C=C(C)Nc1ccc(CN2CCN(C)CC2)c(C(F)(F)F)c1 ZINC001174795499 1097855588 /nfs/dbraw/zinc/85/55/88/1097855588.db2.gz WSYUGCDQPYIJTC-UHFFFAOYSA-N 0 1 313.367 3.398 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccc(CN2CCC(S)CC2)cn1 ZINC001231841094 1097861160 /nfs/dbraw/zinc/86/11/60/1097861160.db2.gz UIYYGNCCEWKZAO-UHFFFAOYSA-N 0 1 323.462 3.323 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001174848260 1097867095 /nfs/dbraw/zinc/86/70/95/1097867095.db2.gz ALCUCKLSXMFEAY-GOEBONIOSA-N 0 1 321.465 3.350 20 30 DGEDMN COc1ccnc(CN2CCC(Cc3ccc(C#N)cc3)CC2)c1 ZINC001231969246 1097904028 /nfs/dbraw/zinc/90/40/28/1097904028.db2.gz XZPQCKDHMQIDJN-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc3scnc32)C1 ZINC001277598622 1097913877 /nfs/dbraw/zinc/91/38/77/1097913877.db2.gz YMGFETRILHWYPF-UHFFFAOYSA-N 0 1 315.442 3.019 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001175082173 1097941702 /nfs/dbraw/zinc/94/17/02/1097941702.db2.gz LYQOHDWZRPZRPO-WMLDXEAASA-N 0 1 307.438 3.042 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCCNCc2cc(C)on2)C1 ZINC001175150355 1097946444 /nfs/dbraw/zinc/94/64/44/1097946444.db2.gz MZDOWXFBYRWAGG-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1cnsc1)[C@@H]2CC ZINC001232224376 1097973322 /nfs/dbraw/zinc/97/33/22/1097973322.db2.gz KGHPBJHMUKARME-WBVHZDCISA-N 0 1 319.474 3.065 20 30 DGEDMN N#Cc1ccnc(CN2CCC(n3ncc4ccccc43)CC2)c1 ZINC001232479758 1098052350 /nfs/dbraw/zinc/05/23/50/1098052350.db2.gz WTNAYPCWGUSHQZ-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN C=CCN1CCN(Cc2cc(C)c(Br)c(C)c2)CC1 ZINC001232522142 1098067575 /nfs/dbraw/zinc/06/75/75/1098067575.db2.gz WQJLVZLSSSYPGQ-UHFFFAOYSA-N 0 1 323.278 3.370 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@H]1CC=CCC1 ZINC001277684484 1098084218 /nfs/dbraw/zinc/08/42/18/1098084218.db2.gz KHRKDQXMXVEUFI-HOCLYGCPSA-N 0 1 310.869 3.312 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cccc(NC(=O)OC(C)(C)C)n2)C1 ZINC001232605457 1098093862 /nfs/dbraw/zinc/09/38/62/1098093862.db2.gz XRDNXOKOUMKKJA-AWEZNQCLSA-N 0 1 315.417 3.274 20 30 DGEDMN COc1cccc([C@@H]2CC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)c1 ZINC001232678396 1098113574 /nfs/dbraw/zinc/11/35/74/1098113574.db2.gz XPTOXICLUWGDSA-MRXNPFEDSA-N 0 1 308.381 3.262 20 30 DGEDMN CC[N@@H+](Cc1ccc2c(c1)OCO2)Cc1cc(C#N)ccc1[O-] ZINC001232680543 1098113935 /nfs/dbraw/zinc/11/39/35/1098113935.db2.gz DPSHWKSVTXSFBT-UHFFFAOYSA-N 0 1 310.353 3.015 20 30 DGEDMN N#Cc1cc(Nc2cnc(N)cc2C(F)(F)F)c(O)cc1F ZINC001175716096 1098142452 /nfs/dbraw/zinc/14/24/52/1098142452.db2.gz SYWKQJBMSQJCKQ-UHFFFAOYSA-N 0 1 312.226 3.143 20 30 DGEDMN Cc1cscc1Nc1ccc(N2CCN(C)CC2)c(C#N)c1 ZINC001175767530 1098165384 /nfs/dbraw/zinc/16/53/84/1098165384.db2.gz MDNWHYURJCDDQC-UHFFFAOYSA-N 0 1 312.442 3.424 20 30 DGEDMN N#Cc1cccc(CN2CCC(c3nc4ccccc4o3)CC2)n1 ZINC001232922634 1098173678 /nfs/dbraw/zinc/17/36/78/1098173678.db2.gz STCUPVPSLZMTPL-UHFFFAOYSA-N 0 1 318.380 3.474 20 30 DGEDMN CCOC(=O)[C@H]1CCCN(Cc2ccc(C)cc2C#N)[C@@H]1C ZINC001232967610 1098187128 /nfs/dbraw/zinc/18/71/28/1098187128.db2.gz RXMZCDAKSKFUPN-PBHICJAKSA-N 0 1 300.402 3.030 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2ccc(C)cc2C#N)C1 ZINC001232967348 1098187146 /nfs/dbraw/zinc/18/71/46/1098187146.db2.gz JBEFFNCJEQKUTC-INIZCTEOSA-N 0 1 300.402 3.032 20 30 DGEDMN N#Cc1ccc2c(c1)C[N@@H+](Cc1ccc(F)c(F)c1[O-])CC2 ZINC001233075754 1098220048 /nfs/dbraw/zinc/22/00/48/1098220048.db2.gz CEJMUGLYDUAEOW-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@H](C)[C@](O)(C(F)(F)F)C1 ZINC001233080869 1098222560 /nfs/dbraw/zinc/22/25/60/1098222560.db2.gz YHWFQQIGCXHSCC-DOMZBBRYSA-N 0 1 312.335 3.002 20 30 DGEDMN C=CCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1cc(C)ccc1C#N ZINC001277758525 1098222879 /nfs/dbraw/zinc/22/28/79/1098222879.db2.gz OUCJXMHAGXIJOT-APWZRJJASA-N 0 1 309.413 3.236 20 30 DGEDMN CN(C)c1ccc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c(F)c1C#N ZINC001233099542 1098226619 /nfs/dbraw/zinc/22/66/19/1098226619.db2.gz SQFYKXPANRDQFQ-BETUJISGSA-N 0 1 323.362 3.240 20 30 DGEDMN N#Cc1ccc(Nc2ccc3nn[nH]c3c2)c(OC(F)(F)F)c1 ZINC001176005567 1098229904 /nfs/dbraw/zinc/22/99/04/1098229904.db2.gz IWLADCIKJQNXKT-UHFFFAOYSA-N 0 1 319.246 3.472 20 30 DGEDMN N#Cc1ccc(Nc2ccc3n[nH]nc3c2)c(OC(F)(F)F)c1 ZINC001176005567 1098229910 /nfs/dbraw/zinc/22/99/10/1098229910.db2.gz IWLADCIKJQNXKT-UHFFFAOYSA-N 0 1 319.246 3.472 20 30 DGEDMN N#Cc1ccc(Br)cc1Nc1ccc2nn[nH]c2c1 ZINC001176006168 1098230649 /nfs/dbraw/zinc/23/06/49/1098230649.db2.gz VXUMWHUGWXNNIL-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1ccc(Br)cc1Nc1ccc2n[nH]nc2c1 ZINC001176006168 1098230655 /nfs/dbraw/zinc/23/06/55/1098230655.db2.gz VXUMWHUGWXNNIL-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN C[N@@H+](Cc1ccc(N2CCCC2)cc1)Cc1ccc(C#N)cc1[O-] ZINC001233386305 1098309890 /nfs/dbraw/zinc/30/98/90/1098309890.db2.gz OEQBUBHSVJSPHA-UHFFFAOYSA-N 0 1 321.424 3.496 20 30 DGEDMN CN1CCC([N@@H+](Cc2ccc(C#N)cc2[O-])C2CCCC2)CC1 ZINC001233388043 1098312486 /nfs/dbraw/zinc/31/24/86/1098312486.db2.gz JBOYCTDEPGHYAL-UHFFFAOYSA-N 0 1 313.445 3.103 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2c[nH]nc2-c2cc(F)cc(F)c2)CC1 ZINC001233405565 1098317470 /nfs/dbraw/zinc/31/74/70/1098317470.db2.gz DJEXWZILSSVTDF-GFCCVEGCSA-N 0 1 316.355 3.481 20 30 DGEDMN COc1ccc(O)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001176571996 1098389912 /nfs/dbraw/zinc/38/99/12/1098389912.db2.gz RQDRBFMCBKGFIR-UHFFFAOYSA-N 0 1 315.398 3.065 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2ccc(F)c(C#N)c2)CC1 ZINC001176615899 1098392695 /nfs/dbraw/zinc/39/26/95/1098392695.db2.gz SIRLXPCIPOVBSO-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN CC(C)CCC(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001233696396 1098410551 /nfs/dbraw/zinc/41/05/51/1098410551.db2.gz NEGPUNTXWRCNEV-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)CN(CC)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001233779803 1098429891 /nfs/dbraw/zinc/42/98/91/1098429891.db2.gz ISUHTEUCPMBKSX-UHFFFAOYSA-N 0 1 324.428 3.355 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(F)ccc(F)c1Br ZINC001233820831 1098437498 /nfs/dbraw/zinc/43/74/98/1098437498.db2.gz GZSKYYYARXRXIL-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1cccc(CC)c1 ZINC001233966226 1098468225 /nfs/dbraw/zinc/46/82/25/1098468225.db2.gz KVDFSFURIGPPSP-LJQANCHMSA-N 0 1 312.457 3.085 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(Cl)c(C(=O)OC)c1 ZINC001233970767 1098469204 /nfs/dbraw/zinc/46/92/04/1098469204.db2.gz ROPFQYADNYRVJC-GFCCVEGCSA-N 0 1 310.733 3.115 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001234127668 1098500140 /nfs/dbraw/zinc/50/01/40/1098500140.db2.gz ZHGVBOQIMRHTGD-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN Cc1cccc([C@H](C)N2CC[C@@H]2CN(C)C(=O)C#CC2CC2)c1 ZINC001234196455 1098512139 /nfs/dbraw/zinc/51/21/39/1098512139.db2.gz UECPAIWTFMYXOP-QFBILLFUSA-N 0 1 310.441 3.002 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2ccncc2s1 ZINC001277847168 1098536583 /nfs/dbraw/zinc/53/65/83/1098536583.db2.gz XALXQQVMIMHMHE-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)Cc1cccc(F)c1 ZINC001234322481 1098536844 /nfs/dbraw/zinc/53/68/44/1098536844.db2.gz IQZTXIYCIKYKBD-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN CCCC(=O)[C@@H](CCC)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234511405 1098576011 /nfs/dbraw/zinc/57/60/11/1098576011.db2.gz PEJVXHHDHRLEJE-CQSZACIVSA-N 0 1 313.357 3.124 20 30 DGEDMN O=C(C#Cc1cccnc1)Nc1c(Cl)ccc(O)c1Cl ZINC001177777976 1098593891 /nfs/dbraw/zinc/59/38/91/1098593891.db2.gz BJVQGPZKGXBHGY-UHFFFAOYSA-N 0 1 307.136 3.084 20 30 DGEDMN C=CC[C@H](Oc1nc(C)[nH]c(=O)c1Br)C(C)C ZINC001234568289 1098604049 /nfs/dbraw/zinc/60/40/49/1098604049.db2.gz LYJOHWLPZLNLCN-VIFPVBQESA-N 0 1 301.184 3.233 20 30 DGEDMN Cc1cc(C#N)c(NC(=O)[C@@H](C#N)Cc2cccs2)s1 ZINC001177903815 1098643618 /nfs/dbraw/zinc/64/36/18/1098643618.db2.gz LASNQLAXYURUJU-SNVBAGLBSA-N 0 1 301.396 3.311 20 30 DGEDMN C#C[C@H](C)Oc1c(=O)cc(O)c2c(O)cc(-c3ccccc3)oc1-2 ZINC001234725865 1098655026 /nfs/dbraw/zinc/65/50/26/1098655026.db2.gz PQCGOVRSVYQNBR-NSHDSACASA-N 0 1 322.316 3.272 20 30 DGEDMN C=CCCC(=O)NCCCCCCNCc1ncc(C2CC2)o1 ZINC001178007531 1098676276 /nfs/dbraw/zinc/67/62/76/1098676276.db2.gz FVBBLOFNBOXGSJ-UHFFFAOYSA-N 0 1 319.449 3.284 20 30 DGEDMN C=CCCC(=O)NCCCCCCN[C@H](C)c1nnc(C)s1 ZINC001178007916 1098676912 /nfs/dbraw/zinc/67/69/12/1098676912.db2.gz OFIKDJMWJYXDIZ-CYBMUJFWSA-N 0 1 324.494 3.140 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(C)cc(F)cc1C ZINC001277901014 1098685320 /nfs/dbraw/zinc/68/53/20/1098685320.db2.gz UODKKUAHFAROQE-ZDUSSCGKSA-N 0 1 312.816 3.245 20 30 DGEDMN C=CCN1CCN(Cc2ccc(C(OCC)OCC)cc2)CC1 ZINC001234884329 1098697622 /nfs/dbraw/zinc/69/76/22/1098697622.db2.gz ULLUZVDBFVAJLG-UHFFFAOYSA-N 0 1 318.461 3.062 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001277907996 1098710542 /nfs/dbraw/zinc/71/05/42/1098710542.db2.gz PYBMSASORJVTPM-CYBMUJFWSA-N 0 1 321.465 3.255 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCCCCNCc1coc(C)n1 ZINC001178580007 1098865137 /nfs/dbraw/zinc/86/51/37/1098865137.db2.gz MEKYGYBOMONCBC-UHFFFAOYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCNCc1nc(C)c(C)o1 ZINC001178596506 1098867964 /nfs/dbraw/zinc/86/79/64/1098867964.db2.gz RBUFQNILHULPPG-UHFFFAOYSA-N 0 1 321.465 3.414 20 30 DGEDMN CN1CCCC[C@H]1C(=O)N(CCC#N)Cc1ccc(Cl)cc1 ZINC001178695071 1098912070 /nfs/dbraw/zinc/91/20/70/1098912070.db2.gz FRBDMRDCEMSVIX-INIZCTEOSA-N 0 1 319.836 3.067 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)sc2C)[C@@H]1C ZINC001178805415 1098936886 /nfs/dbraw/zinc/93/68/86/1098936886.db2.gz FOPDWJOAEFKJGG-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1cccc(OC)c1Cl ZINC001235451302 1099043976 /nfs/dbraw/zinc/04/39/76/1099043976.db2.gz GMFWCVSLCMFIAM-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=CCCC(=O)NCCCN(C)[C@H](C)c1ccc(F)cc1F ZINC001235464740 1099051007 /nfs/dbraw/zinc/05/10/07/1099051007.db2.gz OMSRGTMNPUKPEX-CYBMUJFWSA-N 0 1 310.388 3.430 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2nc(C)cs2)[C@@H]1C ZINC001179384425 1099087818 /nfs/dbraw/zinc/08/78/18/1099087818.db2.gz MEWZURLPQWJSLA-KKUMJFAQSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179482605 1099115360 /nfs/dbraw/zinc/11/53/60/1099115360.db2.gz XRQNCPBDWYDAOY-SFHVURJKSA-N 0 1 321.465 3.352 20 30 DGEDMN N#C[C@H]1CN(Cc2c(F)cc(Cl)cc2Cl)CCC1=O ZINC001235747463 1099161446 /nfs/dbraw/zinc/16/14/46/1099161446.db2.gz QKBHMPYWDZNLEY-QMMMGPOBSA-N 0 1 301.148 3.047 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)CC(C)C)[C@H]1C ZINC001179907810 1099255097 /nfs/dbraw/zinc/25/50/97/1099255097.db2.gz FSSMKFJRGGLJLB-SNPRPXQTSA-N 0 1 300.874 3.390 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2nc3cccc(C(=O)OCC)c3[nH]2)C1 ZINC001180281759 1099371660 /nfs/dbraw/zinc/37/16/60/1099371660.db2.gz BYKLWRPOCWBXRT-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN CN[C@@H]1CCN1Cc1ccc(N(C)c2ccc(C#N)cc2)cc1 ZINC001236164480 1099440770 /nfs/dbraw/zinc/44/07/70/1099440770.db2.gz APVJXXMORNXVLE-IBGZPJMESA-N 0 1 306.413 3.077 20 30 DGEDMN N#CCCN(Cc1ccc(Cl)cc1)C(=O)c1[nH]ncc1Cl ZINC001187842099 1099616342 /nfs/dbraw/zinc/61/63/42/1099616342.db2.gz SAKBWIZDTJCUHQ-UHFFFAOYSA-N 0 1 323.183 3.273 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CC2CCC1CC2 ZINC001278007079 1099773906 /nfs/dbraw/zinc/77/39/06/1099773906.db2.gz UPEXTQVVEUFGBR-QDIHITRGSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CC2CCC1CC2 ZINC001278007078 1099774436 /nfs/dbraw/zinc/77/44/36/1099774436.db2.gz UPEXTQVVEUFGBR-FJBKBRRZSA-N 0 1 310.869 3.146 20 30 DGEDMN CCCCN(CC#N)C(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188575883 1099796938 /nfs/dbraw/zinc/79/69/38/1099796938.db2.gz AHKAEVNESGVJKR-UHFFFAOYSA-N 0 1 300.280 3.177 20 30 DGEDMN C=CCCCCCCN1CCN(C(=O)c2ccc(N)cc2)CC1 ZINC001237005183 1099923463 /nfs/dbraw/zinc/92/34/63/1099923463.db2.gz GVKWLAAEHOAFAQ-UHFFFAOYSA-N 0 1 315.461 3.163 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2ccc3cccccc2-3)CC1 ZINC001237192150 1100025648 /nfs/dbraw/zinc/02/56/48/1100025648.db2.gz CZQSUPIPZLPACE-UHFFFAOYSA-N 0 1 322.408 3.460 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2c(C)cc(F)cc2C)C1 ZINC001237332647 1100080578 /nfs/dbraw/zinc/08/05/78/1100080578.db2.gz HYMLSDBFSNJPAH-INIZCTEOSA-N 0 1 320.408 3.319 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2c(C)cccc2CC)CC1 ZINC001237328440 1100081360 /nfs/dbraw/zinc/08/13/60/1100081360.db2.gz CZUFGKOCQLKDHF-UHFFFAOYSA-N 0 1 314.429 3.226 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](CC)c3ccccc3)[C@@H]2C1 ZINC001189780386 1100130614 /nfs/dbraw/zinc/13/06/14/1100130614.db2.gz VCGHRZSRXZRDPB-YZGWKJHDSA-N 0 1 324.468 3.126 20 30 DGEDMN Cn1cc(C[N@H+]2CCc3cccc(O)c3C2)c2cc(C#N)ccc21 ZINC001237473750 1100135989 /nfs/dbraw/zinc/13/59/89/1100135989.db2.gz UAPHJUZXMXTFTA-UHFFFAOYSA-N 0 1 317.392 3.314 20 30 DGEDMN Cn1cc(CN2CCOc3ccccc3C2)c2cc(C#N)ccc21 ZINC001237471167 1100136088 /nfs/dbraw/zinc/13/60/88/1100136088.db2.gz PTFGKJLTMGYYQE-UHFFFAOYSA-N 0 1 317.392 3.445 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCC[N@H+](Cc1c(C)cccc1O)C2 ZINC001237488510 1100140994 /nfs/dbraw/zinc/14/09/94/1100140994.db2.gz MPEPTAPJIXFPMS-APWZRJJASA-N 0 1 317.429 3.027 20 30 DGEDMN COc1c(C)cccc1CN1CCN(c2cccc(C#N)c2)CC1 ZINC001237507016 1100153978 /nfs/dbraw/zinc/15/39/78/1100153978.db2.gz JCGNEJWKLDAQQN-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@H](C)c2ccccc2F)C1 ZINC001190004174 1100185565 /nfs/dbraw/zinc/18/55/65/1100185565.db2.gz NPZVCXUJASAVFF-HZPDHXFCSA-N 0 1 316.420 3.079 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1nnc[nH]1)Cc1ccc(C#N)cc1 ZINC001237612290 1100187620 /nfs/dbraw/zinc/18/76/20/1100187620.db2.gz VXECBKVDNIRQBG-HNNXBMFYSA-N 0 1 317.396 3.440 20 30 DGEDMN CN(CC#N)Cc1cc(Br)cc(C(F)(F)F)c1O ZINC001237793298 1100243957 /nfs/dbraw/zinc/24/39/57/1100243957.db2.gz YCBWTNYSJWHAKD-UHFFFAOYSA-N 0 1 323.112 3.129 20 30 DGEDMN N#Cc1cccc(F)c1NS(=O)(=O)c1ccc(C2CC2)cc1 ZINC001190497726 1100290168 /nfs/dbraw/zinc/29/01/68/1100290168.db2.gz VUXOXNMAXTYQAZ-UHFFFAOYSA-N 0 1 316.357 3.376 20 30 DGEDMN CCCCCCCCCCCN1CCc2c([nH]nc2C(N)=O)C1 ZINC001278182346 1100344724 /nfs/dbraw/zinc/34/47/24/1100344724.db2.gz UBKJUWYVKZJMRL-UHFFFAOYSA-N 0 1 320.481 3.398 20 30 DGEDMN CCOc1cc(CN2CCC3SC(=O)C=C3C2)ccc1C ZINC001238066595 1100348989 /nfs/dbraw/zinc/34/89/89/1100348989.db2.gz DCLUVAQZCDMIHG-MRXNPFEDSA-N 0 1 303.427 3.168 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](COCC3CC3)C2)c(Cl)c1 ZINC001238086914 1100355176 /nfs/dbraw/zinc/35/51/76/1100355176.db2.gz QNOWERZHZNECBY-HNNXBMFYSA-N 0 1 304.821 3.460 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001278194448 1100371755 /nfs/dbraw/zinc/37/17/55/1100371755.db2.gz NBJUFOAVYNZQOV-IAGOWNOFSA-N 0 1 324.484 3.487 20 30 DGEDMN Cc1cc(O)cc(NS(=O)(=O)c2cc(C#N)ccc2Cl)c1 ZINC001190973170 1100374910 /nfs/dbraw/zinc/37/49/10/1100374910.db2.gz VXMSGNBRYRWQNE-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(F)c(-c3ccccc3)c2)CCC1=O ZINC001238551324 1100469369 /nfs/dbraw/zinc/46/93/69/1100469369.db2.gz KJGJYMCAQCJQLB-INIZCTEOSA-N 0 1 308.356 3.407 20 30 DGEDMN CCc1cc(CN2CCC(c3nnc(C)o3)CC2)ccc1C#N ZINC001238768231 1100522891 /nfs/dbraw/zinc/52/28/91/1100522891.db2.gz HWOBMPMUSLDIGZ-UHFFFAOYSA-N 0 1 310.401 3.192 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC001191889287 1100540739 /nfs/dbraw/zinc/54/07/39/1100540739.db2.gz DTBYRAGPHKVWGI-MOPGFXCFSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001192074091 1100566062 /nfs/dbraw/zinc/56/60/62/1100566062.db2.gz ZFUUGUOIOCMVIL-AWEZNQCLSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2ccc(C)nc2C)C1 ZINC001192073500 1100566433 /nfs/dbraw/zinc/56/64/33/1100566433.db2.gz UWEIUEZVUOFLNO-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001192561044 1100634448 /nfs/dbraw/zinc/63/44/48/1100634448.db2.gz WBGIPAVCZKEMAE-HNNXBMFYSA-N 0 1 316.445 3.055 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001192655652 1100644620 /nfs/dbraw/zinc/64/46/20/1100644620.db2.gz NNOWTEJPTYBOMG-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001192653382 1100644850 /nfs/dbraw/zinc/64/48/50/1100644850.db2.gz BDHCRYHFFYQYET-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001192800802 1100663511 /nfs/dbraw/zinc/66/35/11/1100663511.db2.gz IWCGKXUTEUAKPI-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(CC)ccc2OC)c1 ZINC001192805863 1100664648 /nfs/dbraw/zinc/66/46/48/1100664648.db2.gz SGDXTZQTDZFBKW-UHFFFAOYSA-N 0 1 315.394 3.040 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@H](N(C)CC#CC)C2)CCCCC1 ZINC001192825874 1100666969 /nfs/dbraw/zinc/66/69/69/1100666969.db2.gz MLEGDZFBJZLNBA-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN Cc1cnc([C@@H](NC[C@H](O)c2cccc(C#N)c2)C2CC2)s1 ZINC001193240005 1100719747 /nfs/dbraw/zinc/71/97/47/1100719747.db2.gz SACYWLJLMQSFMY-HOTGVXAUSA-N 0 1 313.426 3.098 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1[nH]nc2ccncc21 ZINC001152107598 1100724320 /nfs/dbraw/zinc/72/43/20/1100724320.db2.gz ZQGNXVLSSDZFJK-GFCCVEGCSA-N 0 1 322.368 3.014 20 30 DGEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001193432037 1100744187 /nfs/dbraw/zinc/74/41/87/1100744187.db2.gz FNKZCMFTGJPNIC-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001193432037 1100744188 /nfs/dbraw/zinc/74/41/88/1100744188.db2.gz FNKZCMFTGJPNIC-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc3cncc(F)c32)ccc1O ZINC001193511425 1100758125 /nfs/dbraw/zinc/75/81/25/1100758125.db2.gz BGTLFXDXBYFMOF-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN N#Cc1cccc(C(=O)N2CC[C@@H](c3ccccc3F)C2)c1O ZINC001193644549 1100780017 /nfs/dbraw/zinc/78/00/17/1100780017.db2.gz ZPNXFTVPOHZJDE-CYBMUJFWSA-N 0 1 310.328 3.033 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2ccccc2C#N)cc1)[C@@H]1CCCO1 ZINC001193604802 1100781229 /nfs/dbraw/zinc/78/12/29/1100781229.db2.gz HIBMKFYDBSPXLJ-RTWAWAEBSA-N 0 1 316.404 3.496 20 30 DGEDMN N#CCC1(CN2CCCC[C@H]2COC(=O)N2CCCCC2)CC1 ZINC001194107302 1100867482 /nfs/dbraw/zinc/86/74/82/1100867482.db2.gz HTPPOYFAXYBJRP-INIZCTEOSA-N 0 1 319.449 3.157 20 30 DGEDMN C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)[C@H](CC)CCCCC)C1 ZINC001194182634 1100885309 /nfs/dbraw/zinc/88/53/09/1100885309.db2.gz BYVPOBXTCBUDTN-QZTJIDSGSA-N 0 1 324.509 3.328 20 30 DGEDMN C[C@H](CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001194296279 1100915352 /nfs/dbraw/zinc/91/53/52/1100915352.db2.gz YYOYPXHGHOXISV-YLJYHZDGSA-N 0 1 324.468 3.007 20 30 DGEDMN N#CCc1cc(F)ccc1-c1cccc(CCN2CCOCC2)c1 ZINC001240270425 1100917297 /nfs/dbraw/zinc/91/72/97/1100917297.db2.gz HMIPLJKFDJBHJC-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2oc3ccccc3c2CC)C1 ZINC001194359719 1100919087 /nfs/dbraw/zinc/91/90/87/1100919087.db2.gz SJPBSRFDFUMVFY-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC001194342934 1100927975 /nfs/dbraw/zinc/92/79/75/1100927975.db2.gz HIAMAUYQHDXKBP-UHFFFAOYSA-N 0 1 308.809 3.217 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@@H](C)c2cccc(F)c2)CC1 ZINC001195182696 1101084923 /nfs/dbraw/zinc/08/49/23/1101084923.db2.gz UAWTYSHRKWXNBF-HNNXBMFYSA-N 0 1 304.409 3.387 20 30 DGEDMN C#CCCCC(=O)N1CCCN(Cc2ccc(CC)cc2)CC1 ZINC001195674412 1101172958 /nfs/dbraw/zinc/17/29/58/1101172958.db2.gz DYLXEWUUTRMPII-UHFFFAOYSA-N 0 1 312.457 3.087 20 30 DGEDMN CC(C)C[C@H](NC(=O)c1ccc(C#N)cc1O)c1ccccn1 ZINC001195768485 1101193295 /nfs/dbraw/zinc/19/32/95/1101193295.db2.gz LYVLEAXBVIFYFS-INIZCTEOSA-N 0 1 309.369 3.176 20 30 DGEDMN COc1ccc([C@H](NC(=O)c2ccc(C#N)cc2O)C2CC2)cc1 ZINC001195764302 1101193595 /nfs/dbraw/zinc/19/35/95/1101193595.db2.gz IYPVHCRGGRWSRK-GOSISDBHSA-N 0 1 322.364 3.154 20 30 DGEDMN N#Cc1ccc(C(=O)N2CC[C@@H](c3ccccc3F)C2)c(O)c1 ZINC001195742139 1101196579 /nfs/dbraw/zinc/19/65/79/1101196579.db2.gz YTIIAELABBCYMM-CYBMUJFWSA-N 0 1 310.328 3.033 20 30 DGEDMN N#Cc1ccc(C(=O)N2CC[C@H](c3ccccc3F)C2)c(O)c1 ZINC001195742140 1101196617 /nfs/dbraw/zinc/19/66/17/1101196617.db2.gz YTIIAELABBCYMM-ZDUSSCGKSA-N 0 1 310.328 3.033 20 30 DGEDMN Cc1cc(O)cc(NS(=O)(=O)c2cc(Cl)ccc2C#N)c1 ZINC001196680374 1101355233 /nfs/dbraw/zinc/35/52/33/1101355233.db2.gz KRHUBCAQGCCLLO-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H](C)CCc2ccccc2F)CC1 ZINC001197157987 1101491195 /nfs/dbraw/zinc/49/11/95/1101491195.db2.gz CATZAKYPGLVPHB-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)CCc2ccccc2F)CC1 ZINC001197157987 1101491202 /nfs/dbraw/zinc/49/12/02/1101491202.db2.gz CATZAKYPGLVPHB-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[N@@H+](CCc2ccccc2)CC1 ZINC001197277405 1101514279 /nfs/dbraw/zinc/51/42/79/1101514279.db2.gz GHWAXKVCOBBRKL-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN CCCCCCCN1CCCN(C(=O)c2nccs2)CC1 ZINC001197536696 1101584530 /nfs/dbraw/zinc/58/45/30/1101584530.db2.gz JDNDHCANOHKKGK-UHFFFAOYSA-N 0 1 309.479 3.261 20 30 DGEDMN CCCCCCCCC(=O)N1CCCN(CCOCC)CC1 ZINC001197928309 1101702824 /nfs/dbraw/zinc/70/28/24/1101702824.db2.gz AKXDJVGMSVUVMY-UHFFFAOYSA-N 0 1 312.498 3.308 20 30 DGEDMN CCCC[C@H](C(=O)OC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001198210443 1101780053 /nfs/dbraw/zinc/78/00/53/1101780053.db2.gz IZAIHTWWZYSJQN-GOSISDBHSA-N 0 1 314.429 3.469 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H](C)CCc2cccc(F)c2)CC1 ZINC001198190468 1101784372 /nfs/dbraw/zinc/78/43/72/1101784372.db2.gz HENUZLSKTDBHRH-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)CCc2cccc(F)c2)CC1 ZINC001198190468 1101784377 /nfs/dbraw/zinc/78/43/77/1101784377.db2.gz HENUZLSKTDBHRH-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCCCC)c1ccccc1OC ZINC001198530671 1101897256 /nfs/dbraw/zinc/89/72/56/1101897256.db2.gz SVFVXINJCOYQOB-MRXNPFEDSA-N 0 1 304.434 3.208 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C(CC)CC)c1ccccc1OC ZINC001198556027 1101918902 /nfs/dbraw/zinc/91/89/02/1101918902.db2.gz XWHYYCWQWSJYEX-KRWDZBQOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@H](C)CCC)c1ccccc1OC ZINC001198594821 1101921185 /nfs/dbraw/zinc/92/11/85/1101921185.db2.gz CNUJYYLRDDJLNA-NVXWUHKLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C=C(CC)CC)c1ccccc1OC ZINC001198657663 1101944024 /nfs/dbraw/zinc/94/40/24/1101944024.db2.gz SWCUVFOCPZYSHP-KRWDZBQOSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)[C@H]1CC1(C)C)c1ccccc1OC ZINC001198668552 1101954634 /nfs/dbraw/zinc/95/46/34/1101954634.db2.gz SUMLVCSXQONMBR-CVEARBPZSA-N 0 1 316.445 3.064 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C1(C)CCC1)c1ccccc1OC ZINC001198834004 1101990790 /nfs/dbraw/zinc/99/07/90/1101990790.db2.gz GEKXCVAMEJFAEV-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCC(=C)C)c1ccccc1OC ZINC001198835155 1101990858 /nfs/dbraw/zinc/99/08/58/1101990858.db2.gz SBRDXBGHIBVYQC-MRXNPFEDSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)(C)CC=C)c1ccccc1OC ZINC001198916113 1102027384 /nfs/dbraw/zinc/02/73/84/1102027384.db2.gz HQBVCTBANJBXHQ-INIZCTEOSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@H](CNC(=O)c1ccc(C)cc1)c1ccccc1OC ZINC001198968978 1102036120 /nfs/dbraw/zinc/03/61/20/1102036120.db2.gz QQHUEEDHEMLJAC-GOSISDBHSA-N 0 1 324.424 3.250 20 30 DGEDMN C[C@H](NC(=O)C(F)(F)F)c1ccc(-c2cccnc2C#N)cc1 ZINC001242314258 1102045561 /nfs/dbraw/zinc/04/55/61/1102045561.db2.gz UQDUSGULMBBSPT-JTQLQIEISA-N 0 1 319.286 3.360 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3ccc(C#N)cc3C)nc2)CC1 ZINC001242472601 1102152812 /nfs/dbraw/zinc/15/28/12/1102152812.db2.gz DRLVXKKRASCDAU-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN N#Cc1c(Nc2cc(O)cc([N+](=O)[O-])c2)sc2c1CCC2 ZINC001199802074 1102217111 /nfs/dbraw/zinc/21/71/11/1102217111.db2.gz KTRORGFTLCRHMI-UHFFFAOYSA-N 0 1 301.327 3.466 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@]23CCN(CCCC)C3=O)c1 ZINC001273791863 1102226695 /nfs/dbraw/zinc/22/66/95/1102226695.db2.gz BEMUFCZKRPCGFS-HXUWFJFHSA-N 0 1 310.441 3.035 20 30 DGEDMN CCOc1cc(F)c(F)cc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001243272551 1102627077 /nfs/dbraw/zinc/62/70/77/1102627077.db2.gz RVIJXGIVSYHFQG-UHFFFAOYSA-N 0 1 300.268 3.173 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(C4(C#N)CC4)cc3)n2)CC1 ZINC001243418618 1102711746 /nfs/dbraw/zinc/71/17/46/1102711746.db2.gz CUYBNCYZNAXXSE-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN CCCCCCCN1Cc2nnn(CC)c2[C@@H](COCC)C1 ZINC001201762310 1102722172 /nfs/dbraw/zinc/72/21/72/1102722172.db2.gz FKULMVRXEAZYLW-OAHLLOKOSA-N 0 1 308.470 3.204 20 30 DGEDMN CCCCCCCCN1Cc2nnn(C)c2[C@H](COCC)C1 ZINC001201813839 1102731438 /nfs/dbraw/zinc/73/14/38/1102731438.db2.gz KDFJYAHOCIJFQR-HNNXBMFYSA-N 0 1 308.470 3.111 20 30 DGEDMN COc1cc(C#N)cc(-c2cc(F)cc(F)c2O)c1OC(C)=O ZINC001243502623 1102759395 /nfs/dbraw/zinc/75/93/95/1102759395.db2.gz LGYJZINGVCXBSF-UHFFFAOYSA-N 0 1 319.263 3.143 20 30 DGEDMN CN1CCN(c2cccc(-c3cc(C4(C#N)CC4)ccn3)c2)CC1 ZINC001243670049 1102902788 /nfs/dbraw/zinc/90/27/88/1102902788.db2.gz WYGFSGJIZFAUBQ-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN Cc1nc(-c2cccc(N3CCN(C)CC3)c2)c(C#N)c(C)c1C ZINC001243670067 1102902986 /nfs/dbraw/zinc/90/29/86/1102902986.db2.gz XTLTUOQXQPGMEY-UHFFFAOYSA-N 0 1 320.440 3.297 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2csc(C)n2)CCCCC1 ZINC001115442684 1103026981 /nfs/dbraw/zinc/02/69/81/1103026981.db2.gz LMSOBYFRLHZFFM-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN Cc1cc(COS(C)(=O)=O)ccc1-c1cccc2nc[nH]c21 ZINC001243935429 1103064728 /nfs/dbraw/zinc/06/47/28/1103064728.db2.gz PDGGJTNVKXMTEJ-UHFFFAOYSA-N 0 1 316.382 3.015 20 30 DGEDMN N#C[C@H]1CC=C(Nc2ncnc3c2cnn3-c2ccccc2)CC1 ZINC001212855661 1103067202 /nfs/dbraw/zinc/06/72/02/1103067202.db2.gz BUGKKUMCAKKRKU-ZDUSSCGKSA-N 0 1 316.368 3.435 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3cc(C)ccc3n1)C2 ZINC001095731564 1103082233 /nfs/dbraw/zinc/08/22/33/1103082233.db2.gz LEMLCGYUQRORJV-MNEFBYGVSA-N 0 1 321.424 3.064 20 30 DGEDMN C=C[C@H](COC)N[C@@H](C)c1cc(Br)ccc1F ZINC001325620170 1103084566 /nfs/dbraw/zinc/08/45/66/1103084566.db2.gz QUDXQFHAWIQPEN-GXSJLCMTSA-N 0 1 302.187 3.440 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)Cc1ccccc1)C2 ZINC001111242007 1103112556 /nfs/dbraw/zinc/11/25/56/1103112556.db2.gz LHCLELJPBDLUAZ-KURKYZTESA-N 0 1 312.457 3.163 20 30 DGEDMN CCCCCCC[C@H](C)NC1(C(=O)OCC)CCN(C)CC1 ZINC001258038931 1103117293 /nfs/dbraw/zinc/11/72/93/1103117293.db2.gz HHIWHZGPMXLJAQ-INIZCTEOSA-N 0 1 312.498 3.353 20 30 DGEDMN Cc1cc(CNc2ccc(Oc3ccnc(C#N)c3)cc2)n[nH]1 ZINC001325878854 1103147265 /nfs/dbraw/zinc/14/72/65/1103147265.db2.gz LEHVBONUDKBOPV-UHFFFAOYSA-N 0 1 305.341 3.389 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@H]1CC[N@H+]2Cc1ccccc1C#N ZINC001325883938 1103148784 /nfs/dbraw/zinc/14/87/84/1103148784.db2.gz SXMWODIUTNHDBA-RTBURBONSA-N 0 1 323.440 3.090 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001325883938 1103148791 /nfs/dbraw/zinc/14/87/91/1103148791.db2.gz SXMWODIUTNHDBA-RTBURBONSA-N 0 1 323.440 3.090 20 30 DGEDMN N#Cc1nc(-c2ccc(OCC(F)F)cc2)cc2[nH]cnc21 ZINC001244070778 1103185988 /nfs/dbraw/zinc/18/59/88/1103185988.db2.gz XNDSBJXTXJACQZ-UHFFFAOYSA-N 0 1 300.268 3.140 20 30 DGEDMN C#CCOc1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)cc1 ZINC001138556215 1103197875 /nfs/dbraw/zinc/19/78/75/1103197875.db2.gz KNZINKQXYVDTNT-UKRRQHHQSA-N 0 1 315.310 3.278 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)ccc1C1CC1)C2 ZINC001095811573 1103214246 /nfs/dbraw/zinc/21/42/46/1103214246.db2.gz VMHMLGVPGBKSRK-UXPWSPDFSA-N 0 1 322.452 3.231 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2cc(F)ccc2F)C[C@H]1C ZINC001278377384 1103237888 /nfs/dbraw/zinc/23/78/88/1103237888.db2.gz FNLHTOFJLJTFQO-CZUORRHYSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccccc1Cl)C2 ZINC001111369612 1103247943 /nfs/dbraw/zinc/24/79/43/1103247943.db2.gz HZNDAWYVARERFS-OIISXLGYSA-N 0 1 318.848 3.180 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)c1ccc(C)cc1)C2 ZINC001111372594 1103250824 /nfs/dbraw/zinc/25/08/24/1103250824.db2.gz XUDSFQHXMKZDME-QRVBRYPASA-N 0 1 324.468 3.017 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[N@@H+](Cc2oc(C)nc2C)[C@@H]1CC ZINC001489117891 1103280188 /nfs/dbraw/zinc/28/01/88/1103280188.db2.gz HGSYBEZHWZKNMC-JKSUJKDBSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2oc(C)nc2C)[C@@H]1CC ZINC001489117891 1103280200 /nfs/dbraw/zinc/28/02/00/1103280200.db2.gz HGSYBEZHWZKNMC-JKSUJKDBSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2[C@H]3C[N@H+](C[C@H](F)CC)C[C@H]32)CCCCC1 ZINC001114965580 1103287357 /nfs/dbraw/zinc/28/73/57/1103287357.db2.gz MUHXWAPSWSPCHK-CBZIJGRNSA-N 0 1 322.468 3.308 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2[C@H]3CN(C[C@H](F)CC)C[C@H]32)CCCCC1 ZINC001114965580 1103287367 /nfs/dbraw/zinc/28/73/67/1103287367.db2.gz MUHXWAPSWSPCHK-CBZIJGRNSA-N 0 1 322.468 3.308 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](C)c3ccccc3F)C[C@H]21 ZINC001114692436 1103391922 /nfs/dbraw/zinc/39/19/22/1103391922.db2.gz HBZOJDFEVGOPMX-UTXMOHQDSA-N 0 1 316.420 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1scnc1C ZINC001110608496 1103444083 /nfs/dbraw/zinc/44/40/83/1103444083.db2.gz RAVMAXPPGFQZKX-KFWWJZLASA-N 0 1 319.474 3.029 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCCN1Cc1cc(C)no1 ZINC001276313181 1103484085 /nfs/dbraw/zinc/48/40/85/1103484085.db2.gz ZBQVKZWBKQVDGN-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CCOC(=O)c1cc2ncc(-c3cc(C#N)ccc3F)cc2[nH]1 ZINC001244554053 1103518664 /nfs/dbraw/zinc/51/86/64/1103518664.db2.gz CKJIVDQHKHODEZ-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)OC(C)(C)C)C[C@H](F)C1 ZINC001258725153 1103532478 /nfs/dbraw/zinc/53/24/78/1103532478.db2.gz IOPPHSNIFBKYJC-UONOGXRCSA-N 0 1 300.418 3.232 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)OC(C)(C)C)C[C@H](F)C1 ZINC001258725154 1103533452 /nfs/dbraw/zinc/53/34/52/1103533452.db2.gz IOPPHSNIFBKYJC-ZIAGYGMSSA-N 0 1 300.418 3.232 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cncs2)CC1 ZINC001327145679 1103549434 /nfs/dbraw/zinc/54/94/34/1103549434.db2.gz FFMJJYVHMLGZHH-WMLDXEAASA-N 0 1 321.490 3.216 20 30 DGEDMN Cc1occc1C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001327176207 1103568055 /nfs/dbraw/zinc/56/80/55/1103568055.db2.gz HOFMNJXUVNXIGU-UHFFFAOYSA-N 0 1 324.424 3.034 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCC(F)(F)C1 ZINC001490329776 1103572361 /nfs/dbraw/zinc/57/23/61/1103572361.db2.gz GSBFUYBJNFZNMP-GFCCVEGCSA-N 0 1 308.800 3.003 20 30 DGEDMN CCCCCCCN1CCC[C@@H](NC(=O)c2ccns2)C1 ZINC001490438496 1103601714 /nfs/dbraw/zinc/60/17/14/1103601714.db2.gz QDZIUCCCZJWEBQ-CQSZACIVSA-N 0 1 309.479 3.308 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001490415342 1103616304 /nfs/dbraw/zinc/61/63/04/1103616304.db2.gz AWIUKCFLDUZMQD-LJQANCHMSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCCC2(CC2)C1)C1CC1 ZINC001490663286 1103698231 /nfs/dbraw/zinc/69/82/31/1103698231.db2.gz ZRVIUTBNCKBSBD-LSDHHAIUSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccsc1)C2 ZINC001095999897 1103725613 /nfs/dbraw/zinc/72/56/13/1103725613.db2.gz RDHCXSBJZAIYRM-OJLVUWQFSA-N 0 1 304.459 3.149 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2CC23CCCC3)C1 ZINC001490775354 1103738611 /nfs/dbraw/zinc/73/86/11/1103738611.db2.gz VVDNBZNICUGOHV-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H](C)[C@H](NC/C(Cl)=C/Cl)C2)C1 ZINC001327906692 1103762553 /nfs/dbraw/zinc/76/25/53/1103762553.db2.gz ZAUGGLOIPZJPCZ-BAJBZYGVSA-N 0 1 317.260 3.098 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csc(C(C)C)n1)C2 ZINC001096180161 1103778862 /nfs/dbraw/zinc/77/88/62/1103778862.db2.gz ZKAVDNBQCDYBTR-IPYPFGDCSA-N 0 1 319.474 3.178 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1C1CCC1)C2 ZINC001096451433 1103836861 /nfs/dbraw/zinc/83/68/61/1103836861.db2.gz OXIDHYUNQSXYQK-MNEFBYGVSA-N 0 1 310.441 3.475 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1C(F)F)C2 ZINC001096449385 1103837256 /nfs/dbraw/zinc/83/72/56/1103837256.db2.gz HWPYRIRZABCCOT-WXHSDQCUSA-N 0 1 324.396 3.044 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@H](C)c3ccccc3F)C[C@H]21 ZINC001114343529 1103868128 /nfs/dbraw/zinc/86/81/28/1103868128.db2.gz PVWAMSYYPALARJ-RGPZOAQRSA-N 0 1 316.420 3.289 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c3c1cccc3CC)C2 ZINC001096611201 1103884500 /nfs/dbraw/zinc/88/45/00/1103884500.db2.gz KMVRTMPZLYEYPK-JLSDUUJJSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)C)s1)C2 ZINC001096626963 1103897650 /nfs/dbraw/zinc/89/76/50/1103897650.db2.gz PFZLUEUKSKAIAA-ILXRZTDVSA-N 0 1 316.470 3.230 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@@H](F)CC ZINC001328467990 1103957366 /nfs/dbraw/zinc/95/73/66/1103957366.db2.gz JIJDNJKINIMCEY-DLBZAZTESA-N 0 1 304.409 3.026 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@H](C=C)CC ZINC001328469902 1103958708 /nfs/dbraw/zinc/95/87/08/1103958708.db2.gz SWYDJQWJPQLKHG-XLIONFOSSA-N 0 1 324.468 3.328 20 30 DGEDMN N#CCOc1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)cc1 ZINC001137114246 1103988215 /nfs/dbraw/zinc/98/82/15/1103988215.db2.gz BUJWOMXKNCUCOS-TZMCWYRMSA-N 0 1 316.298 3.169 20 30 DGEDMN N#Cc1cnc(C2=CC[C@@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245417414 1104039198 /nfs/dbraw/zinc/03/91/98/1104039198.db2.gz DVUPZHZHTOEQPE-QGZVFWFLSA-N 0 1 319.408 3.375 20 30 DGEDMN N#CCc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1F ZINC001245423946 1104043032 /nfs/dbraw/zinc/04/30/32/1104043032.db2.gz JRDOPFYQFQVQGE-QGZVFWFLSA-N 0 1 300.377 3.160 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccn(C(C)C)c1C ZINC001328753175 1104055594 /nfs/dbraw/zinc/05/55/94/1104055594.db2.gz PNWONLNRRDQMCB-MRXNPFEDSA-N 0 1 303.450 3.100 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1ccccc1 ZINC001328753783 1104057578 /nfs/dbraw/zinc/05/75/78/1104057578.db2.gz VLXAUTCTSRXXSM-LJQANCHMSA-N 0 1 312.457 3.303 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CC1CCCCCC1 ZINC001328765252 1104061405 /nfs/dbraw/zinc/06/14/05/1104061405.db2.gz JNJPBURQKFXTAW-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN N#Cc1nc(-c2cccc(Br)c2F)cc2[nH]cnc21 ZINC001245482717 1104082223 /nfs/dbraw/zinc/08/22/23/1104082223.db2.gz GTJQHGQINGNVFT-UHFFFAOYSA-N 0 1 317.121 3.398 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(CNCc2cscn2)CC1 ZINC001205975825 1104116130 /nfs/dbraw/zinc/11/61/30/1104116130.db2.gz NYPZLXVZRZPHPY-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccccc1CCC ZINC001329083936 1104146366 /nfs/dbraw/zinc/14/63/66/1104146366.db2.gz AYPTYPSLNOTXCE-ZIAGYGMSSA-N 0 1 308.853 3.488 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3cc(C)ccc3C#N)nc2)CC1 ZINC001245603110 1104146965 /nfs/dbraw/zinc/14/69/65/1104146965.db2.gz DFUOWHFUGFVPEC-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1F ZINC001329105446 1104155628 /nfs/dbraw/zinc/15/56/28/1104155628.db2.gz SVGDSGAEQPVDBZ-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2c1ccn2C ZINC001329146718 1104168451 /nfs/dbraw/zinc/16/84/51/1104168451.db2.gz LNZMNIUJZRBBKJ-OLZOCXBDSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1sccc1CC ZINC001329203814 1104188568 /nfs/dbraw/zinc/18/85/68/1104188568.db2.gz VXLBIXVZYNGWBH-GHMZBOCLSA-N 0 1 300.855 3.159 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cnc(C(C)C)s1 ZINC001329215156 1104190361 /nfs/dbraw/zinc/19/03/61/1104190361.db2.gz RQHFAYJXIAREGH-GHMZBOCLSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001329516099 1104294761 /nfs/dbraw/zinc/29/47/61/1104294761.db2.gz QHXKDDBSFCQODJ-RDJZCZTQSA-N 0 1 317.477 3.237 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)CC3(C(F)(F)F)CC3)[nH]c2c1 ZINC001329601195 1104339834 /nfs/dbraw/zinc/33/98/34/1104339834.db2.gz JARAWTWDWHLLEN-UHFFFAOYSA-N 0 1 308.263 3.106 20 30 DGEDMN CCCC1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CCC1 ZINC001274286659 1104344103 /nfs/dbraw/zinc/34/41/03/1104344103.db2.gz KFJJQDMCBSDJDD-SREVYHEPSA-N 0 1 324.468 3.271 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCc1cccc(F)c1F ZINC001274392706 1104369477 /nfs/dbraw/zinc/36/94/77/1104369477.db2.gz HJLWCTPIQVOBBO-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C[C@@H](C(=O)N[C@@H]1CCN(CCF)CC1(C)C)c1ccccc1 ZINC001274460369 1104393075 /nfs/dbraw/zinc/39/30/75/1104393075.db2.gz RUKMEXLNPHALSZ-IAGOWNOFSA-N 0 1 318.436 3.142 20 30 DGEDMN CCC(C)(CC)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001274602042 1104419083 /nfs/dbraw/zinc/41/90/83/1104419083.db2.gz YGUMXHXLJXOZRE-KTKRTIGZSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCCCN1C/C=C/Cl ZINC001329920943 1104422503 /nfs/dbraw/zinc/42/25/03/1104422503.db2.gz MJZRYNMPQYUAEZ-ONOODXEBSA-N 0 1 310.869 3.293 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001330054050 1104465975 /nfs/dbraw/zinc/46/59/75/1104465975.db2.gz NQZLWSYUSHHLEZ-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN Cc1nc(N[C@H]2CCN(C)[C@H]2c2ccc(F)cc2)ccc1C#N ZINC001330155361 1104490119 /nfs/dbraw/zinc/49/01/19/1104490119.db2.gz XZOZHCDUHHVDAB-WMZOPIPTSA-N 0 1 310.376 3.258 20 30 DGEDMN C=CCCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(F)F ZINC001274846001 1104515970 /nfs/dbraw/zinc/51/59/70/1104515970.db2.gz DFFQYAJGGRMAGP-MRXNPFEDSA-N 0 1 322.399 3.323 20 30 DGEDMN CCCCCCCCC(=O)N1CCC2(CC1)C[N@@H+](CC)CCO2 ZINC001274872458 1104526294 /nfs/dbraw/zinc/52/62/94/1104526294.db2.gz HZRTXORIKCBELI-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN CCCCCCCCC(=O)N1CCC2(CC1)CN(CC)CCO2 ZINC001274872458 1104526299 /nfs/dbraw/zinc/52/62/99/1104526299.db2.gz HZRTXORIKCBELI-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)C(CC)(CC)CC ZINC001274968000 1104562548 /nfs/dbraw/zinc/56/25/48/1104562548.db2.gz RGJRJSDNIDREJC-GFCCVEGCSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCCN1C[C@@H]2CN(CCCC(F)(F)F)C[C@H](C1)O2 ZINC001274974302 1104563862 /nfs/dbraw/zinc/56/38/62/1104563862.db2.gz GEEOENUVKJRHRQ-GASCZTMLSA-N 0 1 320.399 3.070 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](C)C1CCCC1 ZINC001275080314 1104612063 /nfs/dbraw/zinc/61/20/63/1104612063.db2.gz CWNAVOKITDFFSO-CDHQVMDDSA-N 0 1 324.468 3.208 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(CC(C)C)CCC1 ZINC001275120603 1104634702 /nfs/dbraw/zinc/63/47/02/1104634702.db2.gz GDTGMNGIZANXQT-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCNC/C(Cl)=C/Cl ZINC001330882255 1104669708 /nfs/dbraw/zinc/66/97/08/1104669708.db2.gz GSZSNLSMKNYFKW-ZGSOTFDTSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCCCC(=O)N[C@@]12CCC[C@@H]1N(Cc1ccns1)CC2 ZINC001278460313 1104649855 /nfs/dbraw/zinc/64/98/55/1104649855.db2.gz QOVBVVAFMDINDV-DOTOQJQBSA-N 0 1 319.474 3.113 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CC(CNC/C(Cl)=C\Cl)C1 ZINC001275274429 1104701790 /nfs/dbraw/zinc/70/17/90/1104701790.db2.gz NSQLOTNBIQFVMI-KGEOQBBFSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)C=C(CC)CC ZINC001275384670 1104743563 /nfs/dbraw/zinc/74/35/63/1104743563.db2.gz CDFZYPFEWUTCTE-NWDGAFQWSA-N 0 1 317.271 3.124 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)C=C(CC)CC ZINC001275384669 1104743807 /nfs/dbraw/zinc/74/38/07/1104743807.db2.gz CDFZYPFEWUTCTE-NEPJUHHUSA-N 0 1 317.271 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001275403434 1104748070 /nfs/dbraw/zinc/74/80/70/1104748070.db2.gz IQQWEHCTRIVLNH-VXGBXAGGSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCOCC(=O)N[C@@H](C)CN(C)[C@H](C)c1ccccc1Cl ZINC001275520707 1104789004 /nfs/dbraw/zinc/78/90/04/1104789004.db2.gz JBHPJCFUNQFHHM-UONOGXRCSA-N 0 1 324.852 3.040 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[N@@H+](C)Cc1ccc(C(C)C)nc1C ZINC001275521733 1104790384 /nfs/dbraw/zinc/79/03/84/1104790384.db2.gz WJSHNGGPOCPCBB-OAHLLOKOSA-N 0 1 317.477 3.416 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CN(C)Cc1ccc(C(C)C)nc1C ZINC001275521733 1104790388 /nfs/dbraw/zinc/79/03/88/1104790388.db2.gz WJSHNGGPOCPCBB-OAHLLOKOSA-N 0 1 317.477 3.416 20 30 DGEDMN CCCCCCCCNC(=S)N1CCN(C2CCC2)CC1 ZINC001247128189 1104810384 /nfs/dbraw/zinc/81/03/84/1104810384.db2.gz NLOCQAKZNLGDKN-UHFFFAOYSA-N 0 1 311.539 3.392 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@H](C)NC(=O)C(C)(CC)CC ZINC001275569950 1104814325 /nfs/dbraw/zinc/81/43/25/1104814325.db2.gz AGDVXBFWUSWCHJ-RYUDHWBXSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001275580338 1104818802 /nfs/dbraw/zinc/81/88/02/1104818802.db2.gz MKBCKMQHMJWNIG-IQFARLITSA-N 0 1 312.885 3.438 20 30 DGEDMN C=CCCC(=O)N(C)CC(C)(C)CN[C@@H](C)c1ncc(C)o1 ZINC001275652023 1104848727 /nfs/dbraw/zinc/84/87/27/1104848727.db2.gz UDTDBVXIBALTMX-AWEZNQCLSA-N 0 1 307.438 3.084 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC001275819449 1104920951 /nfs/dbraw/zinc/92/09/51/1104920951.db2.gz QJLNJRYNMMFTDV-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC001275819449 1104920958 /nfs/dbraw/zinc/92/09/58/1104920958.db2.gz QJLNJRYNMMFTDV-CYBMUJFWSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C(C)(C)C(C)(F)F)CC1 ZINC001280770842 1104969311 /nfs/dbraw/zinc/96/93/11/1104969311.db2.gz YJZXKZSQIZUYFM-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)c1cc2cc(F)ccc2s1 ZINC001275994474 1104982433 /nfs/dbraw/zinc/98/24/33/1104982433.db2.gz URZLXCSDFGGJAW-LLVKDONJSA-N 0 1 306.406 3.277 20 30 DGEDMN C=CCCCCCCN1CC2(CCN2Cc2cc(C)nn2C)C1 ZINC001276041534 1105003215 /nfs/dbraw/zinc/00/32/15/1105003215.db2.gz MNPOLACAQLBUIC-UHFFFAOYSA-N 0 1 316.493 3.125 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)cc1F)C2 ZINC001095717315 1105100182 /nfs/dbraw/zinc/10/01/82/1105100182.db2.gz XVTZOGBBIROYKH-UGFHNGPFSA-N 0 1 308.784 3.000 20 30 DGEDMN CCc1cc(C#N)ccc1CN1CCN(c2ccc(C)cn2)CC1 ZINC001248751844 1105155731 /nfs/dbraw/zinc/15/57/31/1105155731.db2.gz MLHKNDHGHYQGAE-UHFFFAOYSA-N 0 1 320.440 3.146 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2n[nH]c3cc(C(F)(F)F)ccc32)C1 ZINC001248846840 1105178685 /nfs/dbraw/zinc/17/86/85/1105178685.db2.gz MVYVPRYUTCULBH-JTQLQIEISA-N 0 1 308.307 3.317 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3cc(Cl)c(F)cc3F)no2)C1 ZINC001249232911 1105252653 /nfs/dbraw/zinc/25/26/53/1105252653.db2.gz CVGFAAFSHJYGTK-AWEZNQCLSA-N 0 1 311.719 3.433 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c[nH]c3nc(Cl)nc(Cl)c23)C1 ZINC001249470001 1105293997 /nfs/dbraw/zinc/29/39/97/1105293997.db2.gz FAFXNCTZKUEGMB-SECBINFHSA-N 0 1 309.200 3.062 20 30 DGEDMN C#C[C@H]1CCCN(Cc2nc3ccc(OC(F)(F)F)cc3[nH]2)C1 ZINC001249532996 1105307935 /nfs/dbraw/zinc/30/79/35/1105307935.db2.gz IOUPDFBCENPODP-NSHDSACASA-N 0 1 323.318 3.307 20 30 DGEDMN N#Cc1cc(F)c(NC2=CC[C@H](N3CCOCC3)CC2)cc1F ZINC001249557194 1105313615 /nfs/dbraw/zinc/31/36/15/1105313615.db2.gz VQHVLPSUDYTQBQ-AWEZNQCLSA-N 0 1 319.355 3.017 20 30 DGEDMN C=CC[N@H+]1CCC[C@H]1CNC(=S)[N-]c1ccccc1SC ZINC001249714957 1105364080 /nfs/dbraw/zinc/36/40/80/1105364080.db2.gz PBHPBSMBDPVCQM-ZDUSSCGKSA-N 0 1 321.515 3.345 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=S)[N-]c1ccccc1SC ZINC001249714957 1105364086 /nfs/dbraw/zinc/36/40/86/1105364086.db2.gz PBHPBSMBDPVCQM-ZDUSSCGKSA-N 0 1 321.515 3.345 20 30 DGEDMN C[N@H+](Cc1ccc(C#N)cc1)Cc1ccc(F)c(C(=O)[O-])c1F ZINC001249769734 1105377804 /nfs/dbraw/zinc/37/78/04/1105377804.db2.gz PAIDEKAWGBKZFG-UHFFFAOYSA-N 0 1 316.307 3.167 20 30 DGEDMN C[N@@H+](Cc1ccc(C#N)cc1)Cc1ccc(F)c(C(=O)[O-])c1F ZINC001249769734 1105377810 /nfs/dbraw/zinc/37/78/10/1105377810.db2.gz PAIDEKAWGBKZFG-UHFFFAOYSA-N 0 1 316.307 3.167 20 30 DGEDMN CC(C)(C)OC(=O)NCc1cnccc1Nc1ccc(C#N)cc1 ZINC001249820775 1105389968 /nfs/dbraw/zinc/38/99/68/1105389968.db2.gz WXZAZKHRGZTYCV-UHFFFAOYSA-N 0 1 324.384 3.143 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(CC)s1)C2 ZINC001097464438 1105395274 /nfs/dbraw/zinc/39/52/74/1105395274.db2.gz DZQIIKGTSCCGSG-YUELXQCFSA-N 0 1 304.459 3.222 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(CC)c3ccccc13)C2 ZINC001097485121 1105402438 /nfs/dbraw/zinc/40/24/38/1105402438.db2.gz YHGDRYRURBOFTH-BJZITVGISA-N 0 1 323.440 3.182 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(F)cc(C)cc1F)C2 ZINC001097498983 1105405128 /nfs/dbraw/zinc/40/51/28/1105405128.db2.gz TTZSJBFDIXTDCU-WQVCFCJDSA-N 0 1 320.383 3.184 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3ccccc3c1C)C2 ZINC001097561088 1105408448 /nfs/dbraw/zinc/40/84/48/1105408448.db2.gz QFYIMPHPXHLDQX-ZMYBRWDISA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](CNCc2ncoc2C(C)C)C1 ZINC001278550998 1105437144 /nfs/dbraw/zinc/43/71/44/1105437144.db2.gz BRDSAYZHOOLJQB-HUUCEWRRSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccsc1Cl)C2 ZINC001097978908 1105488810 /nfs/dbraw/zinc/48/88/10/1105488810.db2.gz UTIRWCVLYMFPHI-RTXFEEFZSA-N 0 1 310.850 3.313 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1oc(C(C)C)nc1C)C2 ZINC001098002779 1105498602 /nfs/dbraw/zinc/49/86/02/1105498602.db2.gz WFSRMFQIUKKUAC-KFWWJZLASA-N 0 1 317.433 3.018 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC[C@H](C(C)C)CC1)C2 ZINC001098103433 1105549229 /nfs/dbraw/zinc/54/92/29/1105549229.db2.gz FMLOMHCSDGEPIN-NNIGNNQHSA-N 0 1 316.489 3.194 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]ccc1-c1ccccc1)C2 ZINC001098203132 1105560665 /nfs/dbraw/zinc/56/06/65/1105560665.db2.gz KVSYSTFZVWVSHQ-NXHRZFHOSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3c(c1)CCC=C3)C2 ZINC001098231033 1105562975 /nfs/dbraw/zinc/56/29/75/1105562975.db2.gz WKPOHOGBVHINAS-QRVBRYPASA-N 0 1 308.425 3.167 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccccc1Cl)C2 ZINC001098263521 1105564146 /nfs/dbraw/zinc/56/41/46/1105564146.db2.gz YAROGEKSXXMAKY-WQVCFCJDSA-N 0 1 304.821 3.251 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(C)C)cc1)C2 ZINC001098270705 1105566314 /nfs/dbraw/zinc/56/63/14/1105566314.db2.gz HCWHNXKVGBRTTI-QRVBRYPASA-N 0 1 310.441 3.168 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1occ3c1CCCC3)C2 ZINC001098283303 1105567644 /nfs/dbraw/zinc/56/76/44/1105567644.db2.gz OZHGQJJVEADWQN-OIISXLGYSA-N 0 1 314.429 3.070 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCC(C)=C(C)C1)C2 ZINC001098479712 1105578489 /nfs/dbraw/zinc/57/84/89/1105578489.db2.gz VYRHXKSVVLGTRT-VXNCWWDNSA-N 0 1 302.462 3.421 20 30 DGEDMN CC(C)c1ccc(OC[C@@H](O)CNCc2ccccc2C#N)cc1 ZINC001250772513 1105580553 /nfs/dbraw/zinc/58/05/53/1105580553.db2.gz RDMACKOAKLQREQ-IBGZPJMESA-N 0 1 324.424 3.211 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(-c3ccccc3)[nH]1)C2 ZINC001098491011 1105580687 /nfs/dbraw/zinc/58/06/87/1105580687.db2.gz SLEBBEIFTBTIQH-LZQZEXGQSA-N 0 1 321.424 3.203 20 30 DGEDMN Cc1cc(C#N)ccc1NS(=O)(=O)c1cccc2cccnc21 ZINC001250956814 1105611146 /nfs/dbraw/zinc/61/11/46/1105611146.db2.gz BJKOZHSSPHFBRR-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCOc1cccc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)c1 ZINC001251029604 1105621895 /nfs/dbraw/zinc/62/18/95/1105621895.db2.gz KQMNRIPDSFIPLO-UHFFFAOYSA-N 0 1 321.336 3.126 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)=C1CCCC1 ZINC001099001179 1105625044 /nfs/dbraw/zinc/62/50/44/1105625044.db2.gz QRAAAXSQYZGEON-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(C)(C)CC ZINC001099098040 1105642286 /nfs/dbraw/zinc/64/22/86/1105642286.db2.gz HXGJJMSQOAKJRB-MRXNPFEDSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(CC(C)C)CC1 ZINC001099106982 1105642608 /nfs/dbraw/zinc/64/26/08/1105642608.db2.gz ULUUJJXKWKJXOV-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(F)s2)C1 ZINC001099181408 1105654708 /nfs/dbraw/zinc/65/47/08/1105654708.db2.gz DQMFTQRDMKZQMT-LRDDRELGSA-N 0 1 308.422 3.048 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnc(C(C)C)s2)C1 ZINC001099190525 1105656084 /nfs/dbraw/zinc/65/60/84/1105656084.db2.gz MSLPBJYNXYJSJP-GUYCJALGSA-N 0 1 319.474 3.037 20 30 DGEDMN COC(=O)c1cc2[nH]c(-c3ccccc3CC#N)nc2cc1OC ZINC001251426792 1105658534 /nfs/dbraw/zinc/65/85/34/1105658534.db2.gz CBHMJEZEGDZCHG-UHFFFAOYSA-N 0 1 321.336 3.091 20 30 DGEDMN CC#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)C1CC1 ZINC001099359504 1105673502 /nfs/dbraw/zinc/67/35/02/1105673502.db2.gz PZTHKTKYOROLKK-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@H](C)C1CC1 ZINC001099359504 1105673505 /nfs/dbraw/zinc/67/35/05/1105673505.db2.gz PZTHKTKYOROLKK-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001099360660 1105673589 /nfs/dbraw/zinc/67/35/89/1105673589.db2.gz ZQRIHBKBIZQOMV-GNZRSQJKSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C)CCC(C)CC1 ZINC001099360660 1105673590 /nfs/dbraw/zinc/67/35/90/1105673590.db2.gz ZQRIHBKBIZQOMV-GNZRSQJKSA-N 0 1 318.505 3.443 20 30 DGEDMN N#Cc1cccc(Cl)c1NS(=O)(=O)c1ccc(F)cc1 ZINC001251657750 1105677917 /nfs/dbraw/zinc/67/79/17/1105677917.db2.gz UZNIZQQUFRXAEH-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN CCCCCCCC[C@@H](O)CNCc1cn(CC2CC2)cn1 ZINC001252140071 1105749980 /nfs/dbraw/zinc/74/99/80/1105749980.db2.gz YAUNCPRXGGRIEC-GOSISDBHSA-N 0 1 307.482 3.494 20 30 DGEDMN C=CCC[C@H](O)CNc1c(C)nc2ccc(Br)cn21 ZINC001252593771 1105799274 /nfs/dbraw/zinc/79/92/74/1105799274.db2.gz MWWBZZYYELZREN-LBPRGKRZSA-N 0 1 324.222 3.144 20 30 DGEDMN C=CCOC[C@@H](O)CNc1ccc(N2CCCCCC2)cc1 ZINC001252475850 1105782199 /nfs/dbraw/zinc/78/21/99/1105782199.db2.gz IBBRNJXZFFPHDV-SFHVURJKSA-N 0 1 304.434 3.042 20 30 DGEDMN CCCCOC[C@H](O)CN(CCC#N)C1CCCCCCC1 ZINC001252511865 1105786728 /nfs/dbraw/zinc/78/67/28/1105786728.db2.gz DBJQPBQBJKZRLE-GOSISDBHSA-N 0 1 310.482 3.493 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc[nH]c1C(C)C ZINC001491260815 1105790131 /nfs/dbraw/zinc/79/01/31/1105790131.db2.gz ZQCHRWBFBVTNCI-CQSZACIVSA-N 0 1 323.868 3.475 20 30 DGEDMN C=C[C@](C)(O)CN1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001252541746 1105791458 /nfs/dbraw/zinc/79/14/58/1105791458.db2.gz SBNFQSYNJBKWJH-LPHOPBHVSA-N 0 1 316.445 3.033 20 30 DGEDMN C=C[C@](C)(O)CN1[C@@H](C(=O)OCC)CCC[C@H]1c1ccccc1 ZINC001252558312 1105793884 /nfs/dbraw/zinc/79/38/84/1105793884.db2.gz STCUMXKZNWOJEI-SCTDSRPQSA-N 0 1 317.429 3.082 20 30 DGEDMN C=CCC[C@@H](O)CN1CCC(C#N)(c2ccccc2F)CC1 ZINC001252603714 1105801560 /nfs/dbraw/zinc/80/15/60/1105801560.db2.gz FODJNHYZIQNOIF-OAHLLOKOSA-N 0 1 302.393 3.010 20 30 DGEDMN C=CCC[C@@H](O)CN1CCO[C@@](C)(c2ccc(Cl)cc2)C1 ZINC001252603209 1105802281 /nfs/dbraw/zinc/80/22/81/1105802281.db2.gz PPILPEQVJPXSBW-IAGOWNOFSA-N 0 1 309.837 3.215 20 30 DGEDMN C=CCC[C@H](O)CN[C@@H](Cc1ccccc1)c1nccs1 ZINC001252619531 1105805291 /nfs/dbraw/zinc/80/52/91/1105805291.db2.gz FGACEASGPQCWJQ-HOTGVXAUSA-N 0 1 302.443 3.344 20 30 DGEDMN C=CCC[C@@H](O)CNCc1c(F)cccc1Br ZINC001252624133 1105807422 /nfs/dbraw/zinc/80/74/22/1105807422.db2.gz YFDGNTYAWPRZOT-SNVBAGLBSA-N 0 1 302.187 3.005 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)N[C@H](C)c1cc(F)ccc1F ZINC001491451618 1105829536 /nfs/dbraw/zinc/82/95/36/1105829536.db2.gz HVGRYTBPBPIFNL-CHWSQXEVSA-N 0 1 322.399 3.170 20 30 DGEDMN C[C@H](CNC(=O)[C@H]1CCC=CCCC1)NCC#Cc1ccccc1 ZINC001491497759 1105835644 /nfs/dbraw/zinc/83/56/44/1105835644.db2.gz VIPBGTOQRYTQMA-QUCCMNQESA-N 0 1 324.468 3.269 20 30 DGEDMN CCCCCCCCCC[C@H](O)C[N@H+]1CCc2[n-][nH]c(=O)c2C1 ZINC001252983025 1105865709 /nfs/dbraw/zinc/86/57/09/1105865709.db2.gz PTXOMVIXXGFEMZ-HNNXBMFYSA-N 0 1 323.481 3.365 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(C3CC3)cc2)C1 ZINC001278693863 1105880959 /nfs/dbraw/zinc/88/09/59/1105880959.db2.gz RNRBTHYEDLPUPR-HXUWFJFHSA-N 0 1 310.441 3.029 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001101324114 1105909403 /nfs/dbraw/zinc/90/94/03/1105909403.db2.gz NUYXJSVBUUEZCA-MHLVSLHDSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCc1nnc2cc(C)ccn21 ZINC001253246067 1105910603 /nfs/dbraw/zinc/91/06/03/1105910603.db2.gz JFHQLUFERZUXLV-MRXNPFEDSA-N 0 1 316.449 3.015 20 30 DGEDMN N#CC1(CNC[C@H](O)C(c2ccccc2)c2ccccc2)CC1 ZINC001253937534 1106003224 /nfs/dbraw/zinc/00/32/24/1106003224.db2.gz NKRDUUJAIOUAMZ-SFHVURJKSA-N 0 1 306.409 3.073 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H]2C=CCCC2)[C@H](C)C1 ZINC001102082047 1106018814 /nfs/dbraw/zinc/01/88/14/1106018814.db2.gz FIESQQQZKCBPOS-FVQBIDKESA-N 0 1 310.869 3.169 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC[C@H](C)CC)[C@H](C)C1 ZINC001102477033 1106061575 /nfs/dbraw/zinc/06/15/75/1106061575.db2.gz KXSHYLXJAARFQI-UMVBOHGHSA-N 0 1 300.874 3.249 20 30 DGEDMN C[C@H](Cc1ccccc1[N+](=O)[O-])N1CCc2ccc(C#N)cc2C1 ZINC001254553317 1106102194 /nfs/dbraw/zinc/10/21/94/1106102194.db2.gz UYBVTRIGZBBNLR-CQSZACIVSA-N 0 1 321.380 3.456 20 30 DGEDMN CC(C)[C@@H]1CN([C@@H]2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001254659296 1106125731 /nfs/dbraw/zinc/12/57/31/1106125731.db2.gz NONHMWHJXWFZJI-XHSDSOJGSA-N 0 1 321.465 3.256 20 30 DGEDMN Cc1csc([C@H]2C[C@@H]3CCN([C@H]4CC[C@@H](C#N)C4)C[C@H]3O2)n1 ZINC001254659186 1106125753 /nfs/dbraw/zinc/12/57/53/1106125753.db2.gz LQAMOCJVBWFWFR-LYYZXLFJSA-N 0 1 317.458 3.296 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC2(CN([C@@H]3CC[C@@H](C#N)C3)C2)C1 ZINC001254661009 1106126096 /nfs/dbraw/zinc/12/60/96/1106126096.db2.gz OJGDRNWDMQXTRE-HUUCEWRRSA-N 0 1 319.449 3.012 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(F)cc2)CCN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254661328 1106126624 /nfs/dbraw/zinc/12/66/24/1106126624.db2.gz RXGJLKQVLKHOQQ-QGPMSJSTSA-N 0 1 301.409 3.024 20 30 DGEDMN COc1ccc([C@@H]2CSCCN2[C@H]2CC[C@@H](C#N)C2)cc1 ZINC001254668979 1106128869 /nfs/dbraw/zinc/12/88/69/1106128869.db2.gz MCTGIGSQDIPEIO-KMFMINBZSA-N 0 1 302.443 3.477 20 30 DGEDMN CN(CCC#N)C1CCC2(CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001254876075 1106152028 /nfs/dbraw/zinc/15/20/28/1106152028.db2.gz LLQCJJYDPGHAIN-UHFFFAOYSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@@H](CNC(=O)OC(C)(C)C)[C@H]1C ZINC001255164470 1106196516 /nfs/dbraw/zinc/19/65/16/1106196516.db2.gz KQUVPSDWBYQHQY-QLFBSQMISA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@H](CC#N)N1C[C@H](CNC(=O)OC(C)(C)C)CC[C@@H]1C ZINC001255165785 1106197681 /nfs/dbraw/zinc/19/76/81/1106197681.db2.gz DBWNSADRPUYDNC-SOUVJXGZSA-N 0 1 309.454 3.304 20 30 DGEDMN CC[C@@H](CC#N)N(C)[C@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC001255178358 1106200653 /nfs/dbraw/zinc/20/06/53/1106200653.db2.gz FOGSDVWXPYMWJB-WMLDXEAASA-N 0 1 309.454 3.400 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(-c2ccccc2C(=O)OC)o1 ZINC001255199503 1106204049 /nfs/dbraw/zinc/20/40/49/1106204049.db2.gz MZJGPKBGBQUBRZ-CYBMUJFWSA-N 0 1 315.369 3.024 20 30 DGEDMN N#Cc1cc(Cl)cc(NS(=O)(=O)c2cccc(F)c2)c1 ZINC001255648211 1106284620 /nfs/dbraw/zinc/28/46/20/1106284620.db2.gz UBCGVAZKGWGFAQ-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN C=CCOC[C@@H]1CCC[C@@]12CN([C@@H](C)CC(F)(F)F)CCO2 ZINC001255655912 1106287204 /nfs/dbraw/zinc/28/72/04/1106287204.db2.gz ZETXPOUUWAAOBO-SOUVJXGZSA-N 0 1 321.383 3.401 20 30 DGEDMN C[C@H](Cc1ccccc1F)N1CCN(c2ccccc2C#N)CC1 ZINC001255842318 1106326372 /nfs/dbraw/zinc/32/63/72/1106326372.db2.gz QWKLLZHHZHIAFI-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(C(C)(C)C)s1)C(C)C ZINC001278929945 1106407156 /nfs/dbraw/zinc/40/71/56/1106407156.db2.gz PWGNPEXSDOWMLR-UHFFFAOYSA-N 0 1 320.502 3.461 20 30 DGEDMN CN(C(=O)OC(C)(C)C)C1CCC(N2CC[C@@](C)(C#N)C2)CC1 ZINC001256673183 1106466594 /nfs/dbraw/zinc/46/65/94/1106466594.db2.gz OXCAXQCXCGPKFQ-JMLCCBQJSA-N 0 1 321.465 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001278968607 1106496681 /nfs/dbraw/zinc/49/66/81/1106496681.db2.gz XQWYEFAFRRHJCD-AWEZNQCLSA-N 0 1 323.506 3.428 20 30 DGEDMN CC(C)[C@@H]1C[C@@H](N(C)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257186302 1106550170 /nfs/dbraw/zinc/55/01/70/1106550170.db2.gz RLUUZPRXRAYKEH-GJZGRUSLSA-N 0 1 309.454 3.256 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1C1CCC1)C(C)(C)C ZINC001279010032 1106573347 /nfs/dbraw/zinc/57/33/47/1106573347.db2.gz ZHKHRZSPRKFTET-ZNMIVQPWSA-N 0 1 312.885 3.296 20 30 DGEDMN N=C(N[C@@H]1COc2ccc(Cl)cc2C1)c1ccc(Cl)cn1 ZINC001257951068 1106649182 /nfs/dbraw/zinc/64/91/82/1106649182.db2.gz SOWALGMNLWFXDR-LBPRGKRZSA-N 0 1 322.195 3.097 20 30 DGEDMN N#Cc1cc(Cl)ccc1NC(=O)c1cnc2ccccc2c1O ZINC001279069091 1106659311 /nfs/dbraw/zinc/65/93/11/1106659311.db2.gz HIMXNZCEOOFFBI-UHFFFAOYSA-N 0 1 323.739 3.305 20 30 DGEDMN CCCCCCC[C@H](C)N1Cc2nnn(C)c2[C@H](COC)C1 ZINC001258044668 1106663636 /nfs/dbraw/zinc/66/36/36/1106663636.db2.gz SLTKSFXVUWQDLJ-GJZGRUSLSA-N 0 1 308.470 3.110 20 30 DGEDMN CCCCCCC[C@@H](C)N1CCN(c2nccnc2C#N)CC1 ZINC001258049969 1106664790 /nfs/dbraw/zinc/66/47/90/1106664790.db2.gz QQFBIXBNAUVRMJ-MRXNPFEDSA-N 0 1 315.465 3.219 20 30 DGEDMN O=C(CCC1CC1)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001316913443 1106759923 /nfs/dbraw/zinc/75/99/23/1106759923.db2.gz CQVLKMZANPYILH-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C#Cc1ccc(C(=O)NCCCN(C/C=C\Cl)C2CC2)cc1 ZINC001316923674 1106769483 /nfs/dbraw/zinc/76/94/83/1106769483.db2.gz WXOQPMCOMOVGKT-JYOAFUTRSA-N 0 1 316.832 3.005 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2oc(C)nc2C)CC1 ZINC001316934888 1106780339 /nfs/dbraw/zinc/78/03/39/1106780339.db2.gz ACIIVZOIYUGJBR-UHFFFAOYSA-N 0 1 319.449 3.071 20 30 DGEDMN C=CCO[C@H]1CCN([C@H](C)C(=O)Nc2cccc3ccccc32)C1 ZINC001320039173 1106810440 /nfs/dbraw/zinc/81/04/40/1106810440.db2.gz YCEJDNOUXDNNNG-WBVHZDCISA-N 0 1 324.424 3.444 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CCC[C@@H](C#N)C2)CC1(C)C ZINC001258561708 1106821643 /nfs/dbraw/zinc/82/16/43/1106821643.db2.gz BXZVETCTKMJMDO-LSDHHAIUSA-N 0 1 321.465 3.400 20 30 DGEDMN CC(C)CCCC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001316986925 1106854223 /nfs/dbraw/zinc/85/42/23/1106854223.db2.gz IQBSUOKJSULHSO-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001316993179 1106875304 /nfs/dbraw/zinc/87/53/04/1106875304.db2.gz YQRXQFYKPLKGMG-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H]2[C@@H](Oc3ncccc3F)CC[C@@H]21 ZINC001258730727 1106938703 /nfs/dbraw/zinc/93/87/03/1106938703.db2.gz MWFIBPNGMZTWRO-QSPRXWTASA-N 0 1 320.408 3.186 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)c2ccco2)C1 ZINC001317041829 1106942123 /nfs/dbraw/zinc/94/21/23/1106942123.db2.gz JBMINYFREQWNRE-CABCVRRESA-N 0 1 324.852 3.306 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(F)CCCC2)C1 ZINC001317044895 1106943323 /nfs/dbraw/zinc/94/33/23/1106943323.db2.gz NTLOAPRNVIZFRR-AWEZNQCLSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)Cc2occc2C)C1 ZINC001317044735 1106944388 /nfs/dbraw/zinc/94/43/88/1106944388.db2.gz RQTHKOBFJHSFSL-HNNXBMFYSA-N 0 1 324.852 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001317057659 1106956437 /nfs/dbraw/zinc/95/64/37/1106956437.db2.gz ZPHYZPQSJQNTED-HNNXBMFYSA-N 0 1 304.409 3.119 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@H](NCc3ccccc3C#N)C2)CCC1 ZINC001317087559 1106982035 /nfs/dbraw/zinc/98/20/35/1106982035.db2.gz MSSRVBXJBDDLJN-IYARVYRRSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001317097199 1106995402 /nfs/dbraw/zinc/99/54/02/1106995402.db2.gz HYMRZTKNIGXRRF-IXNLQJSNSA-N 0 1 308.853 3.373 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCNC/C(Cl)=C\Cl ZINC001320438133 1107005665 /nfs/dbraw/zinc/00/56/65/1107005665.db2.gz UTOOBQNHZQGFIO-LWMMSDEHSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1csc(C)n1 ZINC001320517620 1107037663 /nfs/dbraw/zinc/03/76/63/1107037663.db2.gz MSAYAFJRBQIEQA-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1csc(C)n1 ZINC001320517621 1107038018 /nfs/dbraw/zinc/03/80/18/1107038018.db2.gz MSAYAFJRBQIEQA-LBPRGKRZSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCNCc2nc(C)oc2C)CC1 ZINC001320542314 1107046912 /nfs/dbraw/zinc/04/69/12/1107046912.db2.gz GCORCFDFEFAHFH-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccc(F)cc2Cl)ccc1C#N ZINC001258916701 1107057446 /nfs/dbraw/zinc/05/74/46/1107057446.db2.gz RDSPBTRZDOVCGH-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2c(F)cccc2C#N)cc1C ZINC001259167065 1107088297 /nfs/dbraw/zinc/08/82/97/1107088297.db2.gz SHQAZJARNVKFGA-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001317176167 1107093615 /nfs/dbraw/zinc/09/36/15/1107093615.db2.gz KISYGSBTTGGUNN-SBHAEUEKSA-N 0 1 324.468 3.174 20 30 DGEDMN COc1cccc(S(=O)(=O)Nc2c(Cl)cccc2C#N)c1 ZINC001259189081 1107094396 /nfs/dbraw/zinc/09/43/96/1107094396.db2.gz JXJYBXFKXRXMKA-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1occc1Cl ZINC001317192500 1107110941 /nfs/dbraw/zinc/11/09/41/1107110941.db2.gz OILAKZBSMDJNPT-LLVKDONJSA-N 0 1 317.216 3.270 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C(C)(C)C(C)(F)F ZINC001317197236 1107115016 /nfs/dbraw/zinc/11/50/16/1107115016.db2.gz QCZIRFKBCIZRSL-LBPRGKRZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1CCF ZINC001317210559 1107131151 /nfs/dbraw/zinc/13/11/51/1107131151.db2.gz YXAMUUNZOBMFDB-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1[C@H]1CCCN1CCF ZINC001317210549 1107131220 /nfs/dbraw/zinc/13/12/20/1107131220.db2.gz YXAMUUNZOBMFDB-CVEARBPZSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CC[C@H](NC(=O)c1ccc2cncn2c1C)c1ccncc1 ZINC001320840538 1107159336 /nfs/dbraw/zinc/15/93/36/1107159336.db2.gz SXEUCIOABHUVGA-KRWDZBQOSA-N 0 1 306.369 3.085 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccsc1Cl ZINC001320862018 1107165172 /nfs/dbraw/zinc/16/51/72/1107165172.db2.gz GCLWTSOZBPPDCO-UHFFFAOYSA-N 0 1 307.246 3.206 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccc(C)cc1Cl ZINC001317257037 1107176751 /nfs/dbraw/zinc/17/67/51/1107176751.db2.gz RQDRXTFWRULRRO-GFCCVEGCSA-N 0 1 315.244 3.038 20 30 DGEDMN N#Cc1cccc(Cl)c1NS(=O)(=O)CCc1ccccc1 ZINC001259738352 1107184628 /nfs/dbraw/zinc/18/46/28/1107184628.db2.gz UIZZKZXQCWJQGS-UHFFFAOYSA-N 0 1 320.801 3.196 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(Cl)c(C)c1 ZINC001317264400 1107186169 /nfs/dbraw/zinc/18/61/69/1107186169.db2.gz IDNLPLAODQXXRQ-LLVKDONJSA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2c(s1)CCCC2 ZINC001317284434 1107207782 /nfs/dbraw/zinc/20/77/82/1107207782.db2.gz PWUFNSWPNFVHBJ-LLVKDONJSA-N 0 1 312.866 3.087 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCc2ccc(F)cc2)C1 ZINC001317305829 1107223855 /nfs/dbraw/zinc/22/38/55/1107223855.db2.gz PXLKJOAGDTWGNH-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN Cc1ccccc1CS(=O)(=O)Nc1c(Cl)cccc1C#N ZINC001260021927 1107238498 /nfs/dbraw/zinc/23/84/98/1107238498.db2.gz SIHDIPXEAXFTLQ-UHFFFAOYSA-N 0 1 320.801 3.462 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(F)cc2ccoc21 ZINC001321123307 1107257236 /nfs/dbraw/zinc/25/72/36/1107257236.db2.gz AVFXOLQXGSFPMR-IHWYPQMZSA-N 0 1 322.767 3.200 20 30 DGEDMN CC[C@H](CC#N)NC(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001321136850 1107262667 /nfs/dbraw/zinc/26/26/67/1107262667.db2.gz RWMMPTMHURYKCS-QZTJIDSGSA-N 0 1 313.445 3.158 20 30 DGEDMN CC#CCCCC(=O)NC/C=C\CNCc1ccccc1Cl ZINC001321200640 1107284128 /nfs/dbraw/zinc/28/41/28/1107284128.db2.gz PHDCAQMJPPJPRJ-HJWRWDBZSA-N 0 1 318.848 3.296 20 30 DGEDMN C=CCN(CC=C)C(=O)c1ccc(NCc2cc(C)[nH]n2)cc1 ZINC001321296455 1107314808 /nfs/dbraw/zinc/31/48/08/1107314808.db2.gz NULNWKGZBXAZRE-UHFFFAOYSA-N 0 1 310.401 3.144 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1cc(F)ccc1OC ZINC001321532066 1107373880 /nfs/dbraw/zinc/37/38/80/1107373880.db2.gz WBAUQJQLHQFSNG-CYBMUJFWSA-N 0 1 322.424 3.031 20 30 DGEDMN N#CC1(c2ccccc2)CC(N[C@H](CO)c2ccc(F)cc2)C1 ZINC001261255555 1107375867 /nfs/dbraw/zinc/37/58/67/1107375867.db2.gz AGHQBXCFTDBFSV-JLAWEPINSA-N 0 1 310.372 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(-c2ccccc2)o1 ZINC001321654569 1107404682 /nfs/dbraw/zinc/40/46/82/1107404682.db2.gz MNJWGINBPNKAOR-CYBMUJFWSA-N 0 1 318.804 3.407 20 30 DGEDMN CCC(CC)(CC)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001321696470 1107412599 /nfs/dbraw/zinc/41/25/99/1107412599.db2.gz LVINVBPTKCAGCK-OAHLLOKOSA-N 0 1 315.461 3.369 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(C)Cc2ccc(C)c(F)c2)C1 ZINC001317462309 1107415940 /nfs/dbraw/zinc/41/59/40/1107415940.db2.gz RCVGYEUUDSOLFI-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001321739038 1107423651 /nfs/dbraw/zinc/42/36/51/1107423651.db2.gz APHASOAACWTKOE-LLVKDONJSA-N 0 1 319.836 3.245 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1ccc(Cl)cc1F ZINC001321823415 1107442308 /nfs/dbraw/zinc/44/23/08/1107442308.db2.gz NBQJDEVBJDGGSD-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001322169569 1107552970 /nfs/dbraw/zinc/55/29/70/1107552970.db2.gz RBAUYZKMVOCXDJ-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C(C)(C)C)cc1 ZINC001322287352 1107613545 /nfs/dbraw/zinc/61/35/45/1107613545.db2.gz YUTKEOPEXKBHTA-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1ccco1)c1ccc(C(C)C)cc1 ZINC001317711199 1107614361 /nfs/dbraw/zinc/61/43/61/1107614361.db2.gz JRNPVCBLYRXAQY-SFHVURJKSA-N 0 1 324.424 3.487 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc[nH]c1CCC)c1ccccc1 ZINC001317721333 1107621891 /nfs/dbraw/zinc/62/18/91/1107621891.db2.gz DOCIXBMPJVFATP-IBGZPJMESA-N 0 1 323.440 3.051 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CCCC1(C)C)c1ccccc1 ZINC001317722593 1107623237 /nfs/dbraw/zinc/62/32/37/1107623237.db2.gz XCBQOHIDJCHCJN-ROUUACIJSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C2=CCCC2)cc1 ZINC001322316375 1107630731 /nfs/dbraw/zinc/63/07/31/1107630731.db2.gz ZIYGEQWSFYWTEO-INIZCTEOSA-N 0 1 310.441 3.327 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccccc2CC)C1 ZINC001317761098 1107646777 /nfs/dbraw/zinc/64/67/77/1107646777.db2.gz FCAQWXKBLFHPQT-LJQANCHMSA-N 0 1 314.473 3.290 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@H]2CCc3ccccc32)C1 ZINC001317768167 1107651983 /nfs/dbraw/zinc/65/19/83/1107651983.db2.gz ZOMGBCQJKQVZGJ-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)CC(C)C)C1 ZINC001317770377 1107654051 /nfs/dbraw/zinc/65/40/51/1107654051.db2.gz RLZHCQGLOGVZJG-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccc(F)cc2F)C1 ZINC001317770233 1107654896 /nfs/dbraw/zinc/65/48/96/1107654896.db2.gz PFEXUOKZVBDFBL-HNNXBMFYSA-N 0 1 322.399 3.467 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC[C@H]1CCN(Cc2nccs2)C1 ZINC001317796596 1107673640 /nfs/dbraw/zinc/67/36/40/1107673640.db2.gz BGUXYXJKGWXRSH-CABCVRRESA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccn(CC)c2C)C1 ZINC001317798683 1107675813 /nfs/dbraw/zinc/67/58/13/1107675813.db2.gz XNHWWCCVAFVXLX-OAHLLOKOSA-N 0 1 323.868 3.011 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1csc(Cl)n1 ZINC001322529094 1107697695 /nfs/dbraw/zinc/69/76/95/1107697695.db2.gz QNPRLJPUQBGXHC-UHFFFAOYSA-N 0 1 315.870 3.091 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3cc4ccccc4o3)o2)CC1 ZINC001322527217 1107698081 /nfs/dbraw/zinc/69/80/81/1107698081.db2.gz WXMMCQXIOBKZSI-UHFFFAOYSA-N 0 1 307.353 3.295 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2cscn2)CCCC1 ZINC001322863410 1107771144 /nfs/dbraw/zinc/77/11/44/1107771144.db2.gz VTMKOQGQSFJVHE-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N[C@@H]1CN2CCC1CC2 ZINC001262712881 1107787885 /nfs/dbraw/zinc/78/78/85/1107787885.db2.gz SFNKVFIHMCXZLM-CQSZACIVSA-N 0 1 313.298 3.222 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC[C@@H]2CCc3ccccc32)C1 ZINC001318015654 1107795201 /nfs/dbraw/zinc/79/52/01/1107795201.db2.gz FGOJWWGQTRKNOR-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3c2CCCC3)C1 ZINC001318026275 1107800960 /nfs/dbraw/zinc/80/09/60/1107800960.db2.gz XRSXMMZTVYCGCV-OAHLLOKOSA-N 0 1 312.457 3.334 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1csc(C)c1C)C1CC1 ZINC001323006158 1107804445 /nfs/dbraw/zinc/80/44/45/1107804445.db2.gz MOVSLYYMHTWQTH-CQSZACIVSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCc1nc(C)cs1)C1CC1 ZINC001323063194 1107819937 /nfs/dbraw/zinc/81/99/37/1107819937.db2.gz KXWKIKXLSSJLQG-PBHICJAKSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001318080221 1107829909 /nfs/dbraw/zinc/82/99/09/1107829909.db2.gz VKVYANVPYOQMFX-AWEZNQCLSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(C)nc3ccccc32)C1 ZINC001318086635 1107833104 /nfs/dbraw/zinc/83/31/04/1107833104.db2.gz UGHCCBGGSANEGB-OAHLLOKOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@](C)(CC)C1 ZINC001262947322 1107880985 /nfs/dbraw/zinc/88/09/85/1107880985.db2.gz JHSRGHYZBVJGGZ-KRWDZBQOSA-N 0 1 314.437 3.179 20 30 DGEDMN C=CCn1c(C2=NC(=O)SC2)nnc1N1CCCC[C@@H]1CC ZINC001262969202 1107889672 /nfs/dbraw/zinc/88/96/72/1107889672.db2.gz BHVNOEQUMWJZLF-NSHDSACASA-N 0 1 319.434 3.062 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCN1[C@H](C)c1ccc2c(c1)CCC2 ZINC001323232433 1107894837 /nfs/dbraw/zinc/89/48/37/1107894837.db2.gz QFOQOOSUWVTMPI-UZLBHIALSA-N 0 1 324.468 3.086 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001318255513 1107916984 /nfs/dbraw/zinc/91/69/84/1107916984.db2.gz SLIZXVKEECVMLX-OLZOCXBDSA-N 0 1 319.276 3.117 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001318276436 1107925927 /nfs/dbraw/zinc/92/59/27/1107925927.db2.gz NBSZCBDIDIOBOJ-PKOBYXMFSA-N 0 1 318.436 3.427 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001323305221 1107928276 /nfs/dbraw/zinc/92/82/76/1107928276.db2.gz ZYUWUTPKMYZXKK-PKOBYXMFSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001323305220 1107928587 /nfs/dbraw/zinc/92/85/87/1107928587.db2.gz ZYUWUTPKMYZXKK-MJGOQNOKSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001323352456 1107948419 /nfs/dbraw/zinc/94/84/19/1107948419.db2.gz NJZOYFVBRHDFJY-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001318424295 1107992516 /nfs/dbraw/zinc/99/25/16/1107992516.db2.gz IUWQUNMLHQVARU-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001318426139 1107996982 /nfs/dbraw/zinc/99/69/82/1107996982.db2.gz YDWRDULEFSGMBR-HNNXBMFYSA-N 0 1 306.475 3.301 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H](C)CCC=C(C)C)C1 ZINC001318429781 1107999351 /nfs/dbraw/zinc/99/93/51/1107999351.db2.gz SWICBQNUFIVVMZ-MSOLQXFVSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001318429965 1108001241 /nfs/dbraw/zinc/00/12/41/1108001241.db2.gz VLHCSVLUUHUKSN-WBVHZDCISA-N 0 1 318.436 3.113 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)c1 ZINC001263306292 1108008699 /nfs/dbraw/zinc/00/86/99/1108008699.db2.gz UIGNRCPLJYDXSA-QMCVQRASSA-N 0 1 310.397 3.469 20 30 DGEDMN N#C[C@@H](C(=O)CCc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001263375222 1108029619 /nfs/dbraw/zinc/02/96/19/1108029619.db2.gz IRHWPSIICRIRID-HNNXBMFYSA-N 0 1 316.364 3.021 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001318471069 1108036224 /nfs/dbraw/zinc/03/62/24/1108036224.db2.gz BWGMPFIWCICANH-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2oc(C)nc2C)C1 ZINC001318473295 1108036347 /nfs/dbraw/zinc/03/63/47/1108036347.db2.gz LZTDTPROBLRIRC-INIZCTEOSA-N 0 1 319.449 3.071 20 30 DGEDMN CC#CCCCC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001318477781 1108038306 /nfs/dbraw/zinc/03/83/06/1108038306.db2.gz HYSVKXRBPPDTRR-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1cnc(Cl)s1 ZINC001318530531 1108081700 /nfs/dbraw/zinc/08/17/00/1108081700.db2.gz ZHCQBEUJFHPBJP-NSHDSACASA-N 0 1 315.870 3.137 20 30 DGEDMN N#CC(C(=O)C[C@@H]1C[C@H]1C1CC1)=C(O)C1(c2ccccc2)CC1 ZINC001263528819 1108086355 /nfs/dbraw/zinc/08/63/55/1108086355.db2.gz OSUALKNRYOLRCL-XIRDDKMYSA-N 0 1 307.393 3.432 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@@H]1CCCC1(F)F ZINC001318562120 1108097249 /nfs/dbraw/zinc/09/72/49/1108097249.db2.gz MGONFQSXEWZHAT-RYUDHWBXSA-N 0 1 308.800 3.049 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001323980440 1108136573 /nfs/dbraw/zinc/13/65/73/1108136573.db2.gz BYFZOPHCYLKJQT-IAGOWNOFSA-N 0 1 316.445 3.272 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001324003334 1108142915 /nfs/dbraw/zinc/14/29/15/1108142915.db2.gz GJHYSTNVCMCRCN-UHFFFAOYSA-N 0 1 314.473 3.381 20 30 DGEDMN C=C(C)CCC(=O)N[C@](C)(CNCc1nc(C)oc1C)C1CC1 ZINC001318699399 1108158336 /nfs/dbraw/zinc/15/83/36/1108158336.db2.gz VVCOFCRGHJDYIA-GOSISDBHSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H]2CCN2Cc2ccccc2)CCC1 ZINC001263809236 1108216084 /nfs/dbraw/zinc/21/60/84/1108216084.db2.gz JJGYKVYRQSORTJ-SFHVURJKSA-N 0 1 312.457 3.466 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1ccc(F)c(Cl)c1 ZINC001263812250 1108219055 /nfs/dbraw/zinc/21/90/55/1108219055.db2.gz KEKLSQLMQDEUQQ-CQSZACIVSA-N 0 1 324.827 3.130 20 30 DGEDMN C#CCN(C(=O)CC)C1CCN([C@H](C)c2ccccc2F)CC1 ZINC001324352405 1108261609 /nfs/dbraw/zinc/26/16/09/1108261609.db2.gz CZXLHUXOJSDNEM-OAHLLOKOSA-N 0 1 316.420 3.223 20 30 DGEDMN C#CCN(C(=O)[C@H]1CC=CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001324363101 1108264621 /nfs/dbraw/zinc/26/46/21/1108264621.db2.gz ZNAJIPJFDFJEGB-IRXDYDNUSA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCN(C(=O)[C@H]1CC=CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001324363098 1108265133 /nfs/dbraw/zinc/26/51/33/1108265133.db2.gz ZNAJIPJFDFJEGB-DLBZAZTESA-N 0 1 320.452 3.017 20 30 DGEDMN C=CCCC(=O)NCCC1CCN(Cc2ncc(C)s2)CC1 ZINC001319019572 1108282958 /nfs/dbraw/zinc/28/29/58/1108282958.db2.gz NXRFTMVHTDJXIJ-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(=C)Cl ZINC001264034100 1108344470 /nfs/dbraw/zinc/34/44/70/1108344470.db2.gz YJDYWEPGFDEIPU-NXOAAHMSSA-N 0 1 322.880 3.264 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CC2CCCCCC2)CCO1 ZINC001319308803 1108409368 /nfs/dbraw/zinc/40/93/68/1108409368.db2.gz NZKUWEJVNXCRPC-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1conc1C ZINC001264371366 1108481550 /nfs/dbraw/zinc/48/15/50/1108481550.db2.gz UOKXXJUBFQHHJI-OAHLLOKOSA-N 0 1 307.438 3.100 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCc1cccs1 ZINC001264378388 1108490879 /nfs/dbraw/zinc/49/08/79/1108490879.db2.gz INWJKBQKJGPGDP-OAHLLOKOSA-N 0 1 306.475 3.180 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1ccccc1C ZINC001319537636 1108511852 /nfs/dbraw/zinc/51/18/52/1108511852.db2.gz IMELINUVIDFXJL-HNNXBMFYSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2c(C)cccn2c1 ZINC001319560819 1108526447 /nfs/dbraw/zinc/52/64/47/1108526447.db2.gz PTVWFMFHEZLSPF-CQSZACIVSA-N 0 1 319.836 3.050 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2F)[C@@H]1CC ZINC001264606224 1108589899 /nfs/dbraw/zinc/58/98/99/1108589899.db2.gz ISCAIBXCJUZOMV-ZWKOTPCHSA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1CC ZINC001264606224 1108589904 /nfs/dbraw/zinc/58/99/04/1108589904.db2.gz ISCAIBXCJUZOMV-ZWKOTPCHSA-N 0 1 316.420 3.098 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@H](NCc2conc2C)C1 ZINC001264682402 1108618099 /nfs/dbraw/zinc/61/80/99/1108618099.db2.gz WNCGKCQNDWDQDE-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)[C@@H]1C ZINC001264698630 1108625125 /nfs/dbraw/zinc/62/51/25/1108625125.db2.gz MDUKWPGVIIFMRO-VHYZBGKTSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001264717733 1108629680 /nfs/dbraw/zinc/62/96/80/1108629680.db2.gz IWODGPWMTANMLF-MBNYWOFBSA-N 0 1 306.372 3.120 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(CC)CC)c1ccccc1CC ZINC001325360001 1108630724 /nfs/dbraw/zinc/63/07/24/1108630724.db2.gz AMYNOOUWFDAQLS-IBGZPJMESA-N 0 1 314.473 3.455 20 30 DGEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1oc(C(F)F)cc1C ZINC001264741631 1108640919 /nfs/dbraw/zinc/64/09/19/1108640919.db2.gz JWVKSQSWFNUVLU-ZDUSSCGKSA-N 0 1 324.371 3.085 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1oc(C(F)F)cc1C ZINC001264741631 1108640924 /nfs/dbraw/zinc/64/09/24/1108640924.db2.gz JWVKSQSWFNUVLU-ZDUSSCGKSA-N 0 1 324.371 3.085 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@H]2C[N@H+](C)CCOCC)CC1 ZINC001264742792 1108641063 /nfs/dbraw/zinc/64/10/63/1108641063.db2.gz HNHVGUPRPSNIML-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C[C@H](C(=O)NCCCN(C)CC#Cc1ccccc1)C(C)(C)C ZINC001265001087 1108695312 /nfs/dbraw/zinc/69/53/12/1108695312.db2.gz NKUSNDQTUPKFGU-QGZVFWFLSA-N 0 1 314.473 3.158 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](NC/C(Cl)=C/Cl)C(C)C ZINC001319934426 1108699828 /nfs/dbraw/zinc/69/98/28/1108699828.db2.gz CCIZWRJIAVIWSL-XXYUJHKVSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)CC#Cc1ccccc1 ZINC001265147865 1108750917 /nfs/dbraw/zinc/75/09/17/1108750917.db2.gz MZLHBOHEHSRDPC-UHFFFAOYSA-N 0 1 318.848 3.009 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001265223385 1108787163 /nfs/dbraw/zinc/78/71/63/1108787163.db2.gz VPYIBQVVGQYGFZ-DRHVUCGNSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CCc2ccccc2F)C1 ZINC001265225155 1108787682 /nfs/dbraw/zinc/78/76/82/1108787682.db2.gz SEBXBIWPJPCSLB-KRWDZBQOSA-N 0 1 318.436 3.305 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001265341334 1108834289 /nfs/dbraw/zinc/83/42/89/1108834289.db2.gz OEHVNXZNVPIHGM-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2ccccc2CC)CC1 ZINC001265340396 1108834635 /nfs/dbraw/zinc/83/46/35/1108834635.db2.gz QBORGISEJRKZGP-UHFFFAOYSA-N 0 1 312.457 3.199 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(C)CC(=C)C2)C1 ZINC001265395037 1108860849 /nfs/dbraw/zinc/86/08/49/1108860849.db2.gz NQAQFLABUGALKL-HNNXBMFYSA-N 0 1 310.869 3.266 20 30 DGEDMN C/C=C(\C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001265512865 1108896062 /nfs/dbraw/zinc/89/60/62/1108896062.db2.gz MMPKNQJYLGGKTI-QKKIZAJBSA-N 0 1 322.452 3.116 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2C[N@@H+](C/C=C\Cl)CC[C@@H]21 ZINC001265522962 1108899023 /nfs/dbraw/zinc/89/90/23/1108899023.db2.gz FOTALYRVFRZKML-VDRJNSHASA-N 0 1 322.880 3.101 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2CN(C/C=C\Cl)CC[C@@H]21 ZINC001265522962 1108899027 /nfs/dbraw/zinc/89/90/27/1108899027.db2.gz FOTALYRVFRZKML-VDRJNSHASA-N 0 1 322.880 3.101 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](CC)OC1CCCCC1 ZINC001265645433 1108945755 /nfs/dbraw/zinc/94/57/55/1108945755.db2.gz PIHMNZYJHPSVFZ-WMZOPIPTSA-N 0 1 322.493 3.271 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)OC1CCCCCC1 ZINC001265653504 1108950796 /nfs/dbraw/zinc/95/07/96/1108950796.db2.gz BQVZDPZZHUYGSJ-DLBZAZTESA-N 0 1 322.493 3.271 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC[C@H]1CCc2ccccc21 ZINC001265658296 1108954793 /nfs/dbraw/zinc/95/47/93/1108954793.db2.gz VXEYCNCKQNWDMN-RTBURBONSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1sccc1C ZINC001265659501 1108955849 /nfs/dbraw/zinc/95/58/49/1108955849.db2.gz CDVKXKSLRPIJGB-CYBMUJFWSA-N 0 1 312.866 3.393 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1cnoc1C ZINC001265684090 1108964649 /nfs/dbraw/zinc/96/46/49/1108964649.db2.gz OETSOGZXWAZKSC-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cccc(OC)c1 ZINC001265689921 1108967759 /nfs/dbraw/zinc/96/77/59/1108967759.db2.gz NJFVSVHVALGLBH-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CCCN(Cc2ccns2)C1 ZINC001265705685 1108976742 /nfs/dbraw/zinc/97/67/42/1108976742.db2.gz LZBSFXSOYUBDSW-UONOGXRCSA-N 0 1 321.490 3.072 20 30 DGEDMN CCCCCC(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001265752639 1108992658 /nfs/dbraw/zinc/99/26/58/1108992658.db2.gz LAHAQQROPDDKKZ-HNNXBMFYSA-N 0 1 320.864 3.366 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(CCCC)cc1 ZINC001265799110 1109007185 /nfs/dbraw/zinc/00/71/85/1109007185.db2.gz SAUVLNRNIYGZJP-CQSZACIVSA-N 0 1 308.853 3.490 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001265809277 1109010382 /nfs/dbraw/zinc/01/03/82/1109010382.db2.gz UUBXVEASOZKUIU-INIZCTEOSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2sccc2c1 ZINC001265846787 1109024307 /nfs/dbraw/zinc/02/43/07/1109024307.db2.gz VNHDBJKRBGFALQ-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001265856844 1109028370 /nfs/dbraw/zinc/02/83/70/1109028370.db2.gz UFJIJELZBFPVDL-ZZFFKQLHSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C(F)=C1CCCC1 ZINC001266033226 1109068772 /nfs/dbraw/zinc/06/87/72/1109068772.db2.gz YUXRVKVQTRRFEN-UHFFFAOYSA-N 0 1 302.821 3.365 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001279274206 1109144361 /nfs/dbraw/zinc/14/43/61/1109144361.db2.gz SBNUUPPSFAIEPF-WLYUNCDWSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)CC2=CCCCC2)c(F)c1 ZINC001279703309 1109160852 /nfs/dbraw/zinc/16/08/52/1109160852.db2.gz DHVOIYKKTSXINR-UHFFFAOYSA-N 0 1 314.404 3.055 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](CCC=C)c2ccccc2)CC1 ZINC001279703927 1109161022 /nfs/dbraw/zinc/16/10/22/1109161022.db2.gz MUOXEFKOFMPMBH-HXUWFJFHSA-N 0 1 322.452 3.118 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H](C)[C@@H](C)CC ZINC001280333121 1109186494 /nfs/dbraw/zinc/18/64/94/1109186494.db2.gz GQDUENYVGVXYQM-DLBZAZTESA-N 0 1 314.473 3.440 20 30 DGEDMN C#Cc1ccc(C(=O)NCc2ccc([C@@H](C)NCCF)cc2)cc1 ZINC001280353797 1109189551 /nfs/dbraw/zinc/18/95/51/1109189551.db2.gz BKZZNEGBPWRNIU-OAHLLOKOSA-N 0 1 324.399 3.218 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(Cl)[nH]1 ZINC001280451953 1109205651 /nfs/dbraw/zinc/20/56/51/1109205651.db2.gz JSIGVYLFUUQFJP-LLVKDONJSA-N 0 1 316.232 3.005 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)CC(CC)CC)CO2 ZINC001280962201 1109279191 /nfs/dbraw/zinc/27/91/91/1109279191.db2.gz OTCDBJOFWMFNIO-DOTOQJQBSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H](C)N[C@H](C)c1ncc(C)o1 ZINC001281748741 1109404062 /nfs/dbraw/zinc/40/40/62/1109404062.db2.gz FCVLHBBVWCCCOO-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001282131065 1109455199 /nfs/dbraw/zinc/45/51/99/1109455199.db2.gz BRNNFIDBXKHQQK-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(Cl)c1C ZINC001282133843 1109455812 /nfs/dbraw/zinc/45/58/12/1109455812.db2.gz PQDUZFJRRFNLFE-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C2CC2)cc1 ZINC001282243546 1109475346 /nfs/dbraw/zinc/47/53/46/1109475346.db2.gz XIDABNQUTCJMGG-OLZOCXBDSA-N 0 1 306.837 3.413 20 30 DGEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)[C@@H](C)c1ccccc1Cl ZINC001282385376 1109499242 /nfs/dbraw/zinc/49/92/42/1109499242.db2.gz WRHLGEIBEOTKDL-KBPBESRZSA-N 0 1 318.848 3.251 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CC[C@H](C)c1ccccc1 ZINC001282465089 1109517736 /nfs/dbraw/zinc/51/77/36/1109517736.db2.gz PGGGDIVAZQUWNL-DLBZAZTESA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001282478426 1109521816 /nfs/dbraw/zinc/52/18/16/1109521816.db2.gz QOGKHICNMSKMEF-HNNXBMFYSA-N 0 1 310.397 3.020 20 30 DGEDMN C=C[C@@H](C(=O)NC[C@@H]1CCCCCN1CCF)c1ccccc1 ZINC001282678195 1109550351 /nfs/dbraw/zinc/55/03/51/1109550351.db2.gz OZMBTNRFEMXZJG-ZWKOTPCHSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)Cc2cccc(Cl)c2)C1 ZINC001282741997 1109560779 /nfs/dbraw/zinc/56/07/79/1109560779.db2.gz WIEVLGLPCRRBCW-ZIAGYGMSSA-N 0 1 320.864 3.141 20 30 DGEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)C(CCC)CCC)C2)C1 ZINC001282780377 1109564035 /nfs/dbraw/zinc/56/40/35/1109564035.db2.gz AOSUGFRNXARUNU-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C[C@@H](CC)C(C)C)[C@@H]2C1 ZINC001282888523 1109579907 /nfs/dbraw/zinc/57/99/07/1109579907.db2.gz LANRUNOCNUJWHR-FPCVCCKLSA-N 0 1 312.885 3.105 20 30 DGEDMN C=CCCC(=O)NC[C@H]1C[C@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC001316628603 1109658685 /nfs/dbraw/zinc/65/86/85/1109658685.db2.gz RMDOGFLROOJKBE-IHRRRGAJSA-N 0 1 322.399 3.476 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1sccc1Cl ZINC001283605131 1109659259 /nfs/dbraw/zinc/65/92/59/1109659259.db2.gz AIAYOQMYSKIYFZ-LBPRGKRZSA-N 0 1 312.866 3.142 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001283662795 1109668066 /nfs/dbraw/zinc/66/80/66/1109668066.db2.gz HPZKLNKJDLITJJ-SMDDNHRTSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCCC(F)(F)C1 ZINC001283677614 1109672130 /nfs/dbraw/zinc/67/21/30/1109672130.db2.gz JJRPXDOTWPQKNU-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN CCCCC(=O)N1C[C@H](NCc2ccccc2C#N)C(C)(C)C1 ZINC001284259495 1109765742 /nfs/dbraw/zinc/76/57/42/1109765742.db2.gz NWLKGACYYSPPRY-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1CCC2(CC2)CC1)C(C)C ZINC001284542995 1109808459 /nfs/dbraw/zinc/80/84/59/1109808459.db2.gz ASHGHTQMWRLOMZ-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001284764509 1109857394 /nfs/dbraw/zinc/85/73/94/1109857394.db2.gz FDJQAWGTKRXMIA-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001284789539 1109860856 /nfs/dbraw/zinc/86/08/56/1109860856.db2.gz DESOWXJYGCMYQL-XPMDQPRFSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(C)(C)C(=C)C)C1 ZINC001316645231 1110163476 /nfs/dbraw/zinc/16/34/76/1110163476.db2.gz PXIBQZPJFCGXPT-ZWKOTPCHSA-N 0 1 312.457 3.359 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCCN3CCSC[C@H]23)CCCCC1 ZINC001288802757 1110196389 /nfs/dbraw/zinc/19/63/89/1110196389.db2.gz MBJVZGUQMKQYNN-JKSUJKDBSA-N 0 1 322.518 3.209 20 30 DGEDMN C=CCCONC(=O)Cc1csc(-c2cccc(F)c2)n1 ZINC001301632974 1111096347 /nfs/dbraw/zinc/09/63/47/1111096347.db2.gz KQVKPYZARZPMLD-UHFFFAOYSA-N 0 1 306.362 3.116 20 30 DGEDMN Cc1cccn2cc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)cc12 ZINC001301792415 1111100132 /nfs/dbraw/zinc/10/01/32/1111100132.db2.gz CDKBIFPROAQFFK-UHFFFAOYSA-N 0 1 315.336 3.248 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C/c2ccc(C(C)(C)C)cc2)C1 ZINC001303559211 1111147521 /nfs/dbraw/zinc/14/75/21/1111147521.db2.gz WYRLIYXPLIZHDX-QHSLDKKCSA-N 0 1 324.468 3.211 20 30 DGEDMN C#CCN(C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)C(C)C ZINC001303928189 1111157412 /nfs/dbraw/zinc/15/74/12/1111157412.db2.gz FOKSVSSVPFHXQW-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN C=CCCCN(CC)C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC001304646692 1111178830 /nfs/dbraw/zinc/17/88/30/1111178830.db2.gz NKNYMBIWFHOZCB-MRXNPFEDSA-N 0 1 300.446 3.248 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC001304831384 1111186033 /nfs/dbraw/zinc/18/60/33/1111186033.db2.gz YUBXKRALDBBESF-MRXNPFEDSA-N 0 1 307.397 3.321 20 30 DGEDMN CN(Cc1cc(Cl)cc(C#N)c1)[C@H](CO)c1ccccc1 ZINC001307453528 1111301431 /nfs/dbraw/zinc/30/14/31/1111301431.db2.gz ZUKIYDSGZDXZQC-QGZVFWFLSA-N 0 1 300.789 3.377 20 30 DGEDMN C[C@H](c1ccc(-n2cncn2)cc1)N(C)Cc1csc(C#N)c1 ZINC001308635683 1111453455 /nfs/dbraw/zinc/45/34/55/1111453455.db2.gz UHGNXGUBYLIENY-CYBMUJFWSA-N 0 1 323.425 3.393 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(C3CC3)c(C)c2)CC1 ZINC001310575306 1111564811 /nfs/dbraw/zinc/56/48/11/1111564811.db2.gz RQJMOZFNUYFRKL-UHFFFAOYSA-N 0 1 311.429 3.092 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC[N@H+]1Cc1ccc2cc[nH]c2c1 ZINC001317494253 1111568301 /nfs/dbraw/zinc/56/83/01/1111568301.db2.gz CEHSPWQFMXVRKX-QGZVFWFLSA-N 0 1 311.429 3.215 20 30 DGEDMN C=C(C)CCNC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001312727028 1111668776 /nfs/dbraw/zinc/66/87/76/1111668776.db2.gz KULSKJDWCMFTDL-SJORKVTESA-N 0 1 315.461 3.257 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(Cl)cc1C ZINC001316804568 1111772779 /nfs/dbraw/zinc/77/27/79/1111772779.db2.gz QSJUVYJNYNXBOS-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001316805195 1111773228 /nfs/dbraw/zinc/77/32/28/1111773228.db2.gz QVFPTOZQZFMQLI-YZGWKJHDSA-N 0 1 324.468 3.007 20 30 DGEDMN COc1cc2nc(NCc3ccc(C#N)cc3)[nH]c2cc1OC ZINC000082741570 185201257 /nfs/dbraw/zinc/20/12/57/185201257.db2.gz BOKKCZQSNWLPES-UHFFFAOYSA-N 0 1 308.341 3.064 20 30 DGEDMN Cc1cccc(CN(C)[C@@H](C)C(=O)Nc2cccc(C#N)c2)c1 ZINC000321422168 231202164 /nfs/dbraw/zinc/20/21/64/231202164.db2.gz CNZTXAYBWNULNI-HNNXBMFYSA-N 0 1 307.397 3.326 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2c(C)n[nH]c2C(F)F)cc1 ZINC000294389202 529589349 /nfs/dbraw/zinc/58/93/49/529589349.db2.gz CXWKMQKJCTWAFZ-UHFFFAOYSA-N 0 1 303.312 3.208 20 30 DGEDMN C#CCCN1CCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC000278216030 214027562 /nfs/dbraw/zinc/02/75/62/214027562.db2.gz DOKGHPJYDSNGSB-UHFFFAOYSA-N 0 1 311.256 3.177 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)c(C#N)c1C ZINC000181374394 199286968 /nfs/dbraw/zinc/28/69/68/199286968.db2.gz YJJOVTKFLHIITG-QWHCGFSZSA-N 0 1 311.385 3.446 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@H]1CCCN(Cc2cc(C#N)cs2)C1 ZINC000092731606 185336390 /nfs/dbraw/zinc/33/63/90/185336390.db2.gz DGHRTGIMHINVDW-HIFRSBDPSA-N 0 1 319.474 3.137 20 30 DGEDMN CCc1nc(C)c(C(=O)C(C#N)c2nc3ccccc3n2C)s1 ZINC000353585558 529721110 /nfs/dbraw/zinc/72/11/10/529721110.db2.gz AMDOGKOABVTCTC-LLVKDONJSA-N 0 1 324.409 3.391 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)[C@@H](c2ccccc2)C1 ZINC000324204470 529774242 /nfs/dbraw/zinc/77/42/42/529774242.db2.gz RGGCVLNRKQQNQH-GOSISDBHSA-N 0 1 309.388 3.186 20 30 DGEDMN Cc1csc([C@@H](NCCOc2ccccc2C#N)C2CC2)n1 ZINC000181590410 199323332 /nfs/dbraw/zinc/32/33/32/199323332.db2.gz QDTDFKLWXDAVFT-INIZCTEOSA-N 0 1 313.426 3.443 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](CCCC)c1ccc(F)cc1 ZINC000272802278 210204333 /nfs/dbraw/zinc/20/43/33/210204333.db2.gz BKYIUMIUEZOIQS-MRXNPFEDSA-N 0 1 313.438 3.247 20 30 DGEDMN CN(CCC(=O)Nc1ccc(C#N)c(Cl)c1)CC(C)(C)C#N ZINC000433307236 529871637 /nfs/dbraw/zinc/87/16/37/529871637.db2.gz UHDWVDCJSLMTOR-UHFFFAOYSA-N 0 1 318.808 3.022 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)Nc1cccc(C#N)c1 ZINC000444831459 529976511 /nfs/dbraw/zinc/97/65/11/529976511.db2.gz AQRUFAGAHOUAKH-UHFFFAOYSA-N 0 1 311.389 3.243 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)c1ccc(CC#N)cc1 ZINC000347430657 529976588 /nfs/dbraw/zinc/97/65/88/529976588.db2.gz DUEFTCVDUOYARB-UHFFFAOYSA-N 0 1 310.401 3.045 20 30 DGEDMN C=CCN(CCC(=O)N(C)CC)Cc1ccc(Cl)s1 ZINC000130041836 432013963 /nfs/dbraw/zinc/01/39/63/432013963.db2.gz YAPWHBSYAWEKBC-UHFFFAOYSA-N 0 1 300.855 3.258 20 30 DGEDMN COC(=O)CC[C@H](NCc1ccc(C#N)cc1)c1ccccc1 ZINC000106248117 432019940 /nfs/dbraw/zinc/01/99/40/432019940.db2.gz NRBIEXFOZVBLFA-SFHVURJKSA-N 0 1 308.381 3.342 20 30 DGEDMN Cn1cc(CN[C@H]2CCOc3c(Cl)cccc32)cc1C#N ZINC000190860476 282645446 /nfs/dbraw/zinc/64/54/46/282645446.db2.gz KVZTZSDONXKOQK-HNNXBMFYSA-N 0 1 301.777 3.164 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCc3c(cccc3C(C)C)C2)CC1 ZINC000189986971 186259617 /nfs/dbraw/zinc/25/96/17/186259617.db2.gz LUWBFGZXNXUVJO-UHFFFAOYSA-N 0 1 324.468 3.040 20 30 DGEDMN CC(C)n1cnnc1[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000172357342 198084428 /nfs/dbraw/zinc/08/44/28/198084428.db2.gz YVAJZGGCSFWRDA-KRWDZBQOSA-N 0 1 309.417 3.110 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]2CCC[C@@H]21 ZINC000072693397 191216255 /nfs/dbraw/zinc/21/62/55/191216255.db2.gz LDJLLEZTCCHSEP-WBMJQRKESA-N 0 1 317.820 3.415 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC(C)(C)C1 ZINC000072827456 191234460 /nfs/dbraw/zinc/23/44/60/191234460.db2.gz XWZMSKVKRGOCBA-NSHDSACASA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@H]1C[C@H]2CCCC[C@@H]2N1CC(=O)Nc1sccc1C#N ZINC000173435149 198214742 /nfs/dbraw/zinc/21/47/42/198214742.db2.gz FUNIBACSDAICIN-SCRDCRAPSA-N 0 1 303.431 3.211 20 30 DGEDMN N#Cc1ccc(CNC2(c3cccc(F)c3)CCOCC2)o1 ZINC000174646991 198364325 /nfs/dbraw/zinc/36/43/25/198364325.db2.gz KMXPTUNWQTZCGJ-UHFFFAOYSA-N 0 1 300.333 3.086 20 30 DGEDMN N#CCc1ccc(CN2CCCC[C@@H]2[C@H](O)C(F)(F)F)cc1 ZINC000343721765 283055390 /nfs/dbraw/zinc/05/53/90/283055390.db2.gz WSFXHGBOIFGIEE-CABCVRRESA-N 0 1 312.335 3.030 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC000276844879 213129144 /nfs/dbraw/zinc/12/91/44/213129144.db2.gz CSDYIWPZBCNMCP-GBYBRZQVSA-N 0 1 323.400 3.080 20 30 DGEDMN N#Cc1c(F)cccc1N1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179600426 199062607 /nfs/dbraw/zinc/06/26/07/199062607.db2.gz WMTCLEKHKDUEFR-GFCCVEGCSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1c(F)cccc1N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000179600426 199062609 /nfs/dbraw/zinc/06/26/09/199062609.db2.gz WMTCLEKHKDUEFR-GFCCVEGCSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1cc(Cl)ccc1CN[C@H](CC(N)=O)C1CCCCC1 ZINC000187491500 200118838 /nfs/dbraw/zinc/11/88/38/200118838.db2.gz SKBYFVCPFFZABI-MRXNPFEDSA-N 0 1 319.836 3.126 20 30 DGEDMN Cc1cccc(CN(C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)c1C ZINC000428721957 238029600 /nfs/dbraw/zinc/02/96/00/238029600.db2.gz VGVNKMXKVFQVQF-APWZRJJASA-N 0 1 315.461 3.178 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCN(Cc3cccc(Cl)c3)CC2)C1 ZINC000429706888 238058303 /nfs/dbraw/zinc/05/83/03/238058303.db2.gz FVVPCKLIDMVFHE-PBHICJAKSA-N 0 1 303.837 3.150 20 30 DGEDMN C[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(C#N)c(F)c1 ZINC000271908190 209316149 /nfs/dbraw/zinc/31/61/49/209316149.db2.gz CVEWXOHTKNMARU-GFCCVEGCSA-N 0 1 312.344 3.319 20 30 DGEDMN Cc1cnn(-c2ccncc2NCc2ccc(C#N)c(C)c2)c1 ZINC000444000318 239247826 /nfs/dbraw/zinc/24/78/26/239247826.db2.gz VOEVFGMMEJOJQJ-UHFFFAOYSA-N 0 1 303.369 3.368 20 30 DGEDMN Cc1nn2c(nc(C)c2CN[C@@H](C)c2ccc(C#N)cc2)s1 ZINC000271848352 209264474 /nfs/dbraw/zinc/26/44/74/209264474.db2.gz IVIWOMGEWGCUAI-JTQLQIEISA-N 0 1 311.414 3.130 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccnc1)C(=O)N(CCC#N)c1ccccc1 ZINC000173366918 299345864 /nfs/dbraw/zinc/34/58/64/299345864.db2.gz NGQVYGBHFCBSBU-HOTGVXAUSA-N 0 1 322.412 3.068 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1ccc(Sc2ccccn2)o1 ZINC000449522626 240262969 /nfs/dbraw/zinc/26/29/69/240262969.db2.gz VVSCFEQZKAQBIK-KGLIPLIRSA-N 0 1 302.399 3.259 20 30 DGEDMN Cc1cc([C@@H](C)N[C@H](C)C(=O)N[C@](C)(C#N)C(C)C)ccc1F ZINC000451161995 241028188 /nfs/dbraw/zinc/02/81/88/241028188.db2.gz MXKDYHUHGBHHIW-HBUWYVDXSA-N 0 1 319.424 3.228 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@@H]1C ZINC000451545648 241169047 /nfs/dbraw/zinc/16/90/47/241169047.db2.gz OKKQBWVYFKDTGF-SMDDNHRTSA-N 0 1 310.344 3.016 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1csc(-c2ccccc2OC)n1 ZINC000451598682 241184297 /nfs/dbraw/zinc/18/42/97/241184297.db2.gz LUUGAYZYMZTHCV-CABCVRRESA-N 0 1 316.426 3.252 20 30 DGEDMN Cc1sc(NC(=O)CN2[C@@H](C)CCC2(C)C)c(C#N)c1C ZINC000452017898 241298430 /nfs/dbraw/zinc/29/84/30/241298430.db2.gz XSDCZQFCTGJZEQ-JTQLQIEISA-N 0 1 305.447 3.438 20 30 DGEDMN COC[C@@H]1CCCN(Cc2ccc(C#N)cc2Br)C1 ZINC000181110647 432113606 /nfs/dbraw/zinc/11/36/06/432113606.db2.gz DLHBERNUTZGHIA-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN C=CCC1(CNC(=O)NCc2n[nH]c(C(C)(C)C)n2)CCCC1 ZINC000459058644 243238102 /nfs/dbraw/zinc/23/81/02/243238102.db2.gz DVRMAVBXKLQMGS-UHFFFAOYSA-N 0 1 319.453 3.038 20 30 DGEDMN N#Cc1ccc([C@H](O)C[N@H+]2CC[C@@H](c3cccc(F)c3)C2)cc1 ZINC000268704573 432122465 /nfs/dbraw/zinc/12/24/65/432122465.db2.gz RYHCGYVNYVGSKL-IEBWSBKVSA-N 0 1 310.372 3.220 20 30 DGEDMN Cc1cc(CN2CCCC[C@@H]2[C@@H](O)C(F)(F)F)ccc1C#N ZINC000488141774 245152400 /nfs/dbraw/zinc/15/24/00/245152400.db2.gz SCHDBODGZXTTJP-HUUCEWRRSA-N 0 1 312.335 3.144 20 30 DGEDMN Cc1cc(CN2CCCC[C@H]2[C@H](O)C(F)(F)F)ccc1C#N ZINC000488141773 245152486 /nfs/dbraw/zinc/15/24/86/245152486.db2.gz SCHDBODGZXTTJP-GJZGRUSLSA-N 0 1 312.335 3.144 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)Cc2[nH]nc3ccccc32)cc1 ZINC000489945876 245364775 /nfs/dbraw/zinc/36/47/75/245364775.db2.gz KNZRQBJOUIEMJV-SFHVURJKSA-N 0 1 318.380 3.049 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)CN1CCC(C)CC1 ZINC000126606804 284311137 /nfs/dbraw/zinc/31/11/37/284311137.db2.gz HWLANAZHEFSHGN-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2cccc(C(F)F)c2)CC1 ZINC000491736860 245550796 /nfs/dbraw/zinc/55/07/96/245550796.db2.gz DTBPIUSGAWYIBZ-UHFFFAOYSA-N 0 1 307.340 3.013 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@H]1C)c1ccc(C#N)cc1 ZINC000271694945 209132634 /nfs/dbraw/zinc/13/26/34/209132634.db2.gz SGXQJJCLSMNKQX-RVKKMQEKSA-N 0 1 313.445 3.246 20 30 DGEDMN C#CC[C@H](NCC(=O)N([C@H](C)C1CC1)C1CC1)c1ccccc1 ZINC000271690996 209129928 /nfs/dbraw/zinc/12/99/28/209129928.db2.gz KHKLFTQJEAQUCI-BEFAXECRSA-N 0 1 310.441 3.130 20 30 DGEDMN C[C@H](CNC(=O)OC(C)(C)C)N[C@H](C)c1ccc(C#N)cc1F ZINC000495377921 246264058 /nfs/dbraw/zinc/26/40/58/246264058.db2.gz VPGYJKMJQLUDRR-VXGBXAGGSA-N 0 1 321.396 3.261 20 30 DGEDMN CC(C)NC(=O)OC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC000495746161 246303230 /nfs/dbraw/zinc/30/32/30/246303230.db2.gz CLPYFZMEKZTQDC-KRWDZBQOSA-N 0 1 315.417 3.047 20 30 DGEDMN CC(C)(C)c1nsc(NC(=O)c2c(F)cc(C#N)cc2F)n1 ZINC000267976732 206372633 /nfs/dbraw/zinc/37/26/33/206372633.db2.gz JRISKFCLEJYJEV-UHFFFAOYSA-N 0 1 322.340 3.238 20 30 DGEDMN Cc1oc(NC(=O)CN(C)CC2CCCCC2)c(C#N)c1C ZINC000044000062 183392132 /nfs/dbraw/zinc/39/21/32/183392132.db2.gz HZOUWLXEPOLHSI-UHFFFAOYSA-N 0 1 303.406 3.219 20 30 DGEDMN C[C@@H]1CC2(CN1CCC#N)CCN(C(=O)OC(C)(C)C)CC2 ZINC000496176196 296294621 /nfs/dbraw/zinc/29/46/21/296294621.db2.gz SOIFSJNFNOKOCX-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000109347067 194258890 /nfs/dbraw/zinc/25/88/90/194258890.db2.gz IJAKKPBXNFIYFP-RDTXWAMCSA-N 0 1 305.381 3.014 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000268933812 207099732 /nfs/dbraw/zinc/09/97/32/207099732.db2.gz BDKNDNKLABZJBY-QGZVFWFLSA-N 0 1 324.428 3.227 20 30 DGEDMN C=C(C)[C@@H](CC(=O)Nc1nc(-c2ccc(C)cc2)n[nH]1)OCC ZINC000270093521 208151150 /nfs/dbraw/zinc/15/11/50/208151150.db2.gz XVGPRKAKSFBBOX-CQSZACIVSA-N 0 1 314.389 3.090 20 30 DGEDMN Cc1cc2c[nH]nc2c(C(=O)N(C)Cc2cccc(C#N)c2)c1 ZINC000338679456 253333431 /nfs/dbraw/zinc/33/34/31/253333431.db2.gz URUKXWQDOUZIOU-UHFFFAOYSA-N 0 1 304.353 3.015 20 30 DGEDMN Cc1c(F)ccc(Cl)c1NS(=O)(=O)c1ccc(C#N)o1 ZINC000342578726 254012126 /nfs/dbraw/zinc/01/21/26/254012126.db2.gz YDAUJWTYNCHJGT-UHFFFAOYSA-N 0 1 314.725 3.053 20 30 DGEDMN CC(=O)c1ccc(C#N)c(NCCc2ccc3cn[nH]c3c2)c1 ZINC000343059116 254092664 /nfs/dbraw/zinc/09/26/64/254092664.db2.gz GNGZIZNBGZWLDW-UHFFFAOYSA-N 0 1 304.353 3.292 20 30 DGEDMN N#Cc1cccc(CCN[C@H](c2ncc[nH]2)c2ccccc2)c1 ZINC000343625582 254169317 /nfs/dbraw/zinc/16/93/17/254169317.db2.gz WNRUGOXVWHESQH-SFHVURJKSA-N 0 1 302.381 3.203 20 30 DGEDMN N#Cc1ccc(CNCc2ccccc2-n2ccnc2)c(F)c1 ZINC000343674649 254175976 /nfs/dbraw/zinc/17/59/76/254175976.db2.gz ZOKODXCZVDSJMM-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(F)c(F)c1 ZINC000344079209 254221679 /nfs/dbraw/zinc/22/16/79/254221679.db2.gz ZLAHYIYHVSTOLS-UHFFFAOYSA-N 0 1 316.351 3.485 20 30 DGEDMN C[C@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C#N)c1 ZINC000344095552 254224870 /nfs/dbraw/zinc/22/48/70/254224870.db2.gz GLAXUHYXLNRGLN-HNAYVOBHSA-N 0 1 321.424 3.428 20 30 DGEDMN CCC[C@@]1(CO)CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000344190974 254237641 /nfs/dbraw/zinc/23/76/41/254237641.db2.gz YGCPZWSEIUYEMJ-QGZVFWFLSA-N 0 1 324.371 3.144 20 30 DGEDMN Cc1ccc2nc(NC(=O)Cc3c(F)cccc3C#N)[nH]c2c1 ZINC000344479044 254275414 /nfs/dbraw/zinc/27/54/14/254275414.db2.gz RQNOZLBCPMAZSE-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN COc1csc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000353098903 533168279 /nfs/dbraw/zinc/16/82/79/533168279.db2.gz SLLWTWPEGIJPLX-NSHDSACASA-N 0 1 311.366 3.432 20 30 DGEDMN COc1csc(C(=O)[C@H](C#N)c2nc3ccccc3n2C)c1 ZINC000177588191 533168869 /nfs/dbraw/zinc/16/88/69/533168869.db2.gz JUHLBDGGUUGAHT-NSHDSACASA-N 0 1 311.366 3.133 20 30 DGEDMN COc1csc(C(=O)C(C#N)c2nc3ccccc3n2C)c1 ZINC000177588191 533168881 /nfs/dbraw/zinc/16/88/81/533168881.db2.gz JUHLBDGGUUGAHT-NSHDSACASA-N 0 1 311.366 3.133 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc2c(c1)CC(=O)N2C ZINC000271572875 209023644 /nfs/dbraw/zinc/02/36/44/209023644.db2.gz ZKZIMGIUBPUKGG-GFCCVEGCSA-N 0 1 323.371 3.067 20 30 DGEDMN COc1ccc2c(c1OC)CCN(Cc1ccc(CC#N)cc1)C2 ZINC000343691972 533320475 /nfs/dbraw/zinc/32/04/75/533320475.db2.gz OPNNXZZQDUNSIS-UHFFFAOYSA-N 0 1 322.408 3.328 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CCC2(CCCCC2)CC1 ZINC000608833572 400127832 /nfs/dbraw/zinc/12/78/32/400127832.db2.gz SUFRRTWIOJUQLT-AEFFLSMTSA-N 0 1 319.493 3.476 20 30 DGEDMN N#CCCN(CC[C@H]1Sc2ccccc2NC1=O)CC1CC1 ZINC000595331795 400128362 /nfs/dbraw/zinc/12/83/62/400128362.db2.gz CZEVIGFIMYHOCL-MRXNPFEDSA-N 0 1 315.442 3.115 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000066916132 400129473 /nfs/dbraw/zinc/12/94/73/400129473.db2.gz HATFWOGUUQSUEV-LLVKDONJSA-N 0 1 316.361 3.050 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2cccc(C)c2)CC1 ZINC000066921512 400129537 /nfs/dbraw/zinc/12/95/37/400129537.db2.gz DBVOEXSJZZLYNV-IBGZPJMESA-N 0 1 310.441 3.004 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(C2CC2)C2(C#N)CCC2)o1 ZINC000585747964 400137028 /nfs/dbraw/zinc/13/70/28/400137028.db2.gz DYBCIVZPOYFGNM-UHFFFAOYSA-N 0 1 315.417 3.172 20 30 DGEDMN Cc1ccc2nc(CN[C@@H]3CCc4cc(C#N)ccc43)cn2c1 ZINC000585742958 400137064 /nfs/dbraw/zinc/13/70/64/400137064.db2.gz JBRBKRIIHTVDNZ-GOSISDBHSA-N 0 1 302.381 3.292 20 30 DGEDMN CC(C)(C)c1ncc(CN[C@@H]2CCc3cc(C#N)ccc32)cn1 ZINC000585767465 400139658 /nfs/dbraw/zinc/13/96/58/400139658.db2.gz JQSIAZSJGAMLBU-QGZVFWFLSA-N 0 1 306.413 3.423 20 30 DGEDMN CCS[C@H]1CCC[C@H]1NCc1cccc(C(=O)OC)c1C#N ZINC000585817436 400145747 /nfs/dbraw/zinc/14/57/47/400145747.db2.gz BQFCRNGZUJDIPV-CVEARBPZSA-N 0 1 318.442 3.109 20 30 DGEDMN N#Cc1cc(-c2cccc(C[NH2+]C3CCOCC3)c2)ccc1[O-] ZINC000600780412 400150697 /nfs/dbraw/zinc/15/06/97/400150697.db2.gz RMIGVJYYNCXPFX-UHFFFAOYSA-N 0 1 308.381 3.199 20 30 DGEDMN C[C@H]1CC[C@H](c2ccccc2)N(CC(=O)NC2(C#N)CCC2)C1 ZINC000586780163 400154907 /nfs/dbraw/zinc/15/49/07/400154907.db2.gz BPXQXUJJMDNZJE-DOTOQJQBSA-N 0 1 311.429 3.022 20 30 DGEDMN N#Cc1nc(NCCN2CCc3sccc3C2)ccc1Cl ZINC000067369226 400164940 /nfs/dbraw/zinc/16/49/40/400164940.db2.gz GJRXTSGEHXANFZ-UHFFFAOYSA-N 0 1 318.833 3.138 20 30 DGEDMN Cc1cc(C#N)ccc1CN[C@@H](c1cccnc1)C(C)(C)CO ZINC000595499502 400169303 /nfs/dbraw/zinc/16/93/03/400169303.db2.gz LCIPGPMBHFMDPW-SFHVURJKSA-N 0 1 309.413 3.111 20 30 DGEDMN Cc1cc([C@@H]2CCCN2CCC(=O)Nc2ccc(C#N)cc2)no1 ZINC000067962827 400220068 /nfs/dbraw/zinc/22/00/68/400220068.db2.gz JAYHMJCDQDNRLK-KRWDZBQOSA-N 0 1 324.384 3.020 20 30 DGEDMN Cc1ccnc(CN2CCC(Oc3cccc(C#N)c3)CC2)c1 ZINC000616752242 400220276 /nfs/dbraw/zinc/22/02/76/400220276.db2.gz MCWHKPDWHIHBQJ-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN CN(C)[C@H](CNC(=O)Nc1ccc(C#N)cc1)c1cccs1 ZINC000024566686 400220650 /nfs/dbraw/zinc/22/06/50/400220650.db2.gz WVXXFKVQWHXNNT-CQSZACIVSA-N 0 1 314.414 3.044 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc([C@H]4CCCO4)[nH]c3c2)CCCC1 ZINC000068199319 400242428 /nfs/dbraw/zinc/24/24/28/400242428.db2.gz LXRUNSZTLVBGFH-OAHLLOKOSA-N 0 1 324.384 3.437 20 30 DGEDMN COc1ccc2c(c1)CCN(CCOc1cccc(C#N)c1)C2 ZINC000595758103 400251722 /nfs/dbraw/zinc/25/17/22/400251722.db2.gz BJLUSMWGPQKASK-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN N#Cc1ccc(CN2CCCCC[C@@H]2CO)c(C(F)(F)F)c1 ZINC000595562600 400189525 /nfs/dbraw/zinc/18/95/25/400189525.db2.gz ULXCGYOLEBMBOR-CQSZACIVSA-N 0 1 312.335 3.314 20 30 DGEDMN COc1ccc(-c2cc(CN3CCC[C@H](CC#N)C3)on2)cc1 ZINC000595608952 400202728 /nfs/dbraw/zinc/20/27/28/400202728.db2.gz DAWGFRCAUGPAMZ-CQSZACIVSA-N 0 1 311.385 3.476 20 30 DGEDMN COc1cc(CN2CCC[C@H](CC#N)C2)ccc1OC(F)F ZINC000595611105 400205131 /nfs/dbraw/zinc/20/51/31/400205131.db2.gz HAFQBAVHDZCXAY-GFCCVEGCSA-N 0 1 310.344 3.422 20 30 DGEDMN N#CCCCCCC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000588248142 400205333 /nfs/dbraw/zinc/20/53/33/400205333.db2.gz AIQRFZWHCVSELK-HNNXBMFYSA-N 0 1 303.406 3.007 20 30 DGEDMN COC(=O)c1cc2cc(CN3CCC[C@H](CC#N)C3)ccc2o1 ZINC000595613356 400206736 /nfs/dbraw/zinc/20/67/36/400206736.db2.gz BUCIYPRVNYWSIJ-CYBMUJFWSA-N 0 1 312.369 3.345 20 30 DGEDMN N#CC[C@@H]1CCC[N@H+](C[C@@H](O)Cc2ccc3ccccc3c2)C1 ZINC000595622565 400211053 /nfs/dbraw/zinc/21/10/53/400211053.db2.gz BYBIZFLLTBMRMV-JXFKEZNVSA-N 0 1 308.425 3.369 20 30 DGEDMN CC(C)[C@H](NC[C@H](C#N)CCC#N)c1ccc2c(c1)OCCO2 ZINC000023675268 400211950 /nfs/dbraw/zinc/21/19/50/400211950.db2.gz XRPFDOVUBAJOPC-KSSFIOAISA-N 0 1 313.401 3.188 20 30 DGEDMN CC(C)[C@H](NC[C@@H](C#N)CCC#N)c1ccc2c(c1)OCCO2 ZINC000023675275 400212022 /nfs/dbraw/zinc/21/20/22/400212022.db2.gz XRPFDOVUBAJOPC-KDOFPFPSSA-N 0 1 313.401 3.188 20 30 DGEDMN CC[C@H](CC(F)F)CN1CCN(c2ccsc2C#N)CC1 ZINC000595639626 400215197 /nfs/dbraw/zinc/21/51/97/400215197.db2.gz ZQDGAUOIJYWAHI-GFCCVEGCSA-N 0 1 313.417 3.423 20 30 DGEDMN CC(C)(NCC(=O)NC1CCCCCC1)c1cccc(C#N)c1 ZINC000595642129 400216838 /nfs/dbraw/zinc/21/68/38/400216838.db2.gz PBQLBVAAXPUJOW-UHFFFAOYSA-N 0 1 313.445 3.222 20 30 DGEDMN CN(Cc1ccc(Br)cc1)Cc1ccnc(C#N)c1 ZINC000068765964 400285253 /nfs/dbraw/zinc/28/52/53/400285253.db2.gz VRBLSDIOWGBXCS-UHFFFAOYSA-N 0 1 316.202 3.348 20 30 DGEDMN CN(CC(=O)Nc1cccc(C#N)c1)C[C@@H]1CCc2ccccc21 ZINC000595881733 400286194 /nfs/dbraw/zinc/28/61/94/400286194.db2.gz VLQFMELCLTWZRE-KRWDZBQOSA-N 0 1 319.408 3.159 20 30 DGEDMN C[C@@H](NC(=O)c1sccc1CN(C)C)c1cccc(C#N)c1 ZINC000610677270 400289604 /nfs/dbraw/zinc/28/96/04/400289604.db2.gz XDZMDEWUGAXVRX-GFCCVEGCSA-N 0 1 313.426 3.172 20 30 DGEDMN COc1ccc(CN[C@H]2CCCc3cc(C#N)ccc32)c(OC)n1 ZINC000589350874 400291213 /nfs/dbraw/zinc/29/12/13/400291213.db2.gz UGXYSRZRPAZCED-KRWDZBQOSA-N 0 1 323.396 3.138 20 30 DGEDMN COc1cc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1C#N ZINC000589344535 400291285 /nfs/dbraw/zinc/29/12/85/400291285.db2.gz ZMXNZOVKMAUFMT-NSHDSACASA-N 0 1 323.352 3.264 20 30 DGEDMN Cc1ccccc1CN(C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000028709639 400291969 /nfs/dbraw/zinc/29/19/69/400291969.db2.gz PKDMDRAYCJQYFU-IBGZPJMESA-N 0 1 319.408 3.104 20 30 DGEDMN CN(CC(=O)Nc1ccccc1SCC#N)C[C@@H]1CC1(C)C ZINC000595909076 400292208 /nfs/dbraw/zinc/29/22/08/400292208.db2.gz LSXPDKXEYFOAIH-ZDUSSCGKSA-N 0 1 317.458 3.219 20 30 DGEDMN COC(=O)c1cnc([C@@H]2CCCN(CCC(C)(C)C#N)C2)s1 ZINC000595915463 400293527 /nfs/dbraw/zinc/29/35/27/400293527.db2.gz ZRIRMBXSUUIXAP-GFCCVEGCSA-N 0 1 321.446 3.049 20 30 DGEDMN N#Cc1ccc(CN2CCC([C@H]3CCCCO3)CC2)c(F)c1 ZINC000595784519 400258043 /nfs/dbraw/zinc/25/80/43/400258043.db2.gz AWEIZWPWPVZMLN-GOSISDBHSA-N 0 1 302.393 3.478 20 30 DGEDMN Cc1ncc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C#N)s1 ZINC000601938131 400381250 /nfs/dbraw/zinc/38/12/50/400381250.db2.gz XBNQXKDRCIWWSL-MNOVXSKESA-N 0 1 314.414 3.001 20 30 DGEDMN C[C@@H]1CCc2[nH]n(-c3cc(C#N)ccc3Br)c(=O)c21 ZINC000601948589 400384802 /nfs/dbraw/zinc/38/48/02/400384802.db2.gz PRLBTYFMEJJSPO-OQPBUACISA-N 0 1 318.174 3.069 20 30 DGEDMN C[C@H]1CCc2c1[nH]n(-c1ccc(C#N)cc1C(F)(F)F)c2=O ZINC000601948907 400385032 /nfs/dbraw/zinc/38/50/32/400385032.db2.gz NJXSLMGAFQKJSF-WCBMZHEXSA-N 0 1 307.275 3.326 20 30 DGEDMN C[C@H](NCC(=O)NCCC1CCCCC1)c1ccc(C#N)cc1 ZINC000611381822 400387736 /nfs/dbraw/zinc/38/77/36/400387736.db2.gz GMQSGOINXVRMFS-HNNXBMFYSA-N 0 1 313.445 3.295 20 30 DGEDMN CCN(C(=O)CN[C@@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000611386124 400388762 /nfs/dbraw/zinc/38/87/62/400388762.db2.gz DHXDGWFZOKWCCW-HNNXBMFYSA-N 0 1 307.397 3.262 20 30 DGEDMN CC(C)CN(CC(C)C)C(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611386931 400388788 /nfs/dbraw/zinc/38/87/88/400388788.db2.gz CNAZSHKSBDYWGO-INIZCTEOSA-N 0 1 315.461 3.349 20 30 DGEDMN C[C@@H](NCC(=O)N1c2ccccc2C[C@H]1C)c1cccc(C#N)c1 ZINC000611388260 400389597 /nfs/dbraw/zinc/38/95/97/400389597.db2.gz DOCWCPIDFJAQDA-HUUCEWRRSA-N 0 1 319.408 3.187 20 30 DGEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)NCC1CCCCC1 ZINC000611387484 400389740 /nfs/dbraw/zinc/38/97/40/400389740.db2.gz ANVKYVDCCOGPDO-LSDHHAIUSA-N 0 1 313.445 3.294 20 30 DGEDMN C[C@H](NCC(=O)Nc1c(F)cccc1F)c1cccc(C#N)c1 ZINC000611388365 400390025 /nfs/dbraw/zinc/39/00/25/400390025.db2.gz GNXPDYRZXRTPHJ-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN CCN(Cc1cc(C#N)ccc1Br)C[C@@H]1CCOC1 ZINC000617196169 400326684 /nfs/dbraw/zinc/32/66/84/400326684.db2.gz ZMEOEGGLXMHLHC-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000611387836 400390445 /nfs/dbraw/zinc/39/04/45/400390445.db2.gz UITKSPSKMRFUKV-FZKCQIBNSA-N 0 1 313.445 3.102 20 30 DGEDMN C[C@H](Cc1ccc(Br)c(F)c1)NCC1(C#N)CC1 ZINC000579427620 400338957 /nfs/dbraw/zinc/33/89/57/400338957.db2.gz CZIIICJGVCSRGJ-SNVBAGLBSA-N 0 1 311.198 3.413 20 30 DGEDMN CC(C)(C)c1ccc([C@@H](C#N)NC(=O)c2[nH]nc3c2CCC3)cc1 ZINC000108821143 400348339 /nfs/dbraw/zinc/34/83/39/400348339.db2.gz KIOVTXIEXWKLLU-MRXNPFEDSA-N 0 1 322.412 3.191 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@@H]1CCN(Cc2cccc(C)c2)C1 ZINC000601852870 400365323 /nfs/dbraw/zinc/36/53/23/400365323.db2.gz QZAHUTQTVQEGLD-QGZVFWFLSA-N 0 1 313.445 3.016 20 30 DGEDMN Cc1nn2c(nc(C)c2CN[C@H](C)c2cccc(C#N)c2)s1 ZINC000611442011 400402198 /nfs/dbraw/zinc/40/21/98/400402198.db2.gz BADSCEMAVKZRTQ-SNVBAGLBSA-N 0 1 311.414 3.130 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2ccc3ccccc3n2)nc(C)n1 ZINC000041574344 400409631 /nfs/dbraw/zinc/40/96/31/400409631.db2.gz XHLYLRDERVGBHL-AWEZNQCLSA-N 0 1 302.337 3.132 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000072878246 400411127 /nfs/dbraw/zinc/41/11/27/400411127.db2.gz RPJJWRUEVOOPRP-WDEREUQCSA-N 0 1 300.324 3.047 20 30 DGEDMN C[C@@H](NC(=O)[C@@H](C)N(C)C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000602130215 400417169 /nfs/dbraw/zinc/41/71/69/400417169.db2.gz KYWASAUCVVJKFC-HUUCEWRRSA-N 0 1 321.424 3.353 20 30 DGEDMN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000611532009 400417227 /nfs/dbraw/zinc/41/72/27/400417227.db2.gz KQVRDXYMERUCRE-GFCCVEGCSA-N 0 1 306.431 3.031 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NC(=O)[C@@H]1CCN1C1CCCC1 ZINC000602166641 400420242 /nfs/dbraw/zinc/42/02/42/400420242.db2.gz XVRHLRLAFJAPGH-MOPGFXCFSA-N 0 1 323.440 3.069 20 30 DGEDMN N#Cc1cccc(NC[C@H]2CCN2Cc2ccccc2)c1[N+](=O)[O-] ZINC000596557525 400444039 /nfs/dbraw/zinc/44/40/39/400444039.db2.gz IUSVEUYOSYPMCR-MRXNPFEDSA-N 0 1 322.368 3.153 20 30 DGEDMN N#Cc1c2c(cnc1NC[C@H]1CCN1Cc1ccccc1)CCC2 ZINC000596558547 400444369 /nfs/dbraw/zinc/44/43/69/400444369.db2.gz QLAGTEJGJVXTKY-QGZVFWFLSA-N 0 1 318.424 3.128 20 30 DGEDMN C[C@@H](CCC#N)CN1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000602436462 400460315 /nfs/dbraw/zinc/46/03/15/400460315.db2.gz NPELZIFUWNYYBU-AWEZNQCLSA-N 0 1 319.836 3.038 20 30 DGEDMN CO[C@H](CNCc1cccc(C#N)n1)c1ccccc1Cl ZINC000602571687 400500491 /nfs/dbraw/zinc/50/04/91/400500491.db2.gz BTNBHFMPDGCEBT-MRXNPFEDSA-N 0 1 301.777 3.084 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)Nc1cccc(F)c1 ZINC000127520742 400503230 /nfs/dbraw/zinc/50/32/30/400503230.db2.gz UJXZLQOVUDORCE-CHWSQXEVSA-N 0 1 311.360 3.375 20 30 DGEDMN C[C@@]1(C#N)CCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000596810637 400503598 /nfs/dbraw/zinc/50/35/98/400503598.db2.gz XIJMBNHZMZNFRJ-HNNXBMFYSA-N 0 1 319.315 3.268 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](N(CCC#N)CC1CC1)CC2 ZINC000602465623 400469111 /nfs/dbraw/zinc/46/91/11/400469111.db2.gz QQPZQVQIYCNQLD-MRXNPFEDSA-N 0 1 300.402 3.317 20 30 DGEDMN CCOC(=O)[C@H](Cc1ccccc1)N(C)C[C@H](C)CCC#N ZINC000602471418 400471457 /nfs/dbraw/zinc/47/14/57/400471457.db2.gz AFLZCJDGUCHFOG-WBVHZDCISA-N 0 1 302.418 3.032 20 30 DGEDMN C[C@H](CCC#N)CN[C@@H](c1nccn1C)c1cccc(F)c1 ZINC000602500809 400481236 /nfs/dbraw/zinc/48/12/36/400481236.db2.gz PWXHWFWBLMVFMK-CZUORRHYSA-N 0 1 300.381 3.178 20 30 DGEDMN N#Cc1cccc(CNCCOc2ccccc2C(F)(F)F)n1 ZINC000602538983 400492222 /nfs/dbraw/zinc/49/22/22/400492222.db2.gz OEHUFDSXQVHQDU-UHFFFAOYSA-N 0 1 321.302 3.141 20 30 DGEDMN COC(=O)c1oc(CN[C@@H]2CCCc3cc(C#N)ccc32)cc1C ZINC000597152687 400571242 /nfs/dbraw/zinc/57/12/42/400571242.db2.gz CFXYUFFCAHBOER-QGZVFWFLSA-N 0 1 324.380 3.414 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CN2CCC[C@@H](CC#N)C2)cc1 ZINC000597175059 400577777 /nfs/dbraw/zinc/57/77/77/400577777.db2.gz VEWMVHXTRCRVHO-AWEZNQCLSA-N 0 1 310.401 3.211 20 30 DGEDMN COC(=O)c1ccc(CN[C@H]2CCc3cc(C#N)ccc32)cc1F ZINC000597119151 400562129 /nfs/dbraw/zinc/56/21/29/400562129.db2.gz REZMBRYUOPPTGJ-SFHVURJKSA-N 0 1 324.355 3.261 20 30 DGEDMN CC(C)CN(CC(=O)Nc1ccccn1)Cc1ccc(C#N)cc1 ZINC000602764580 400565948 /nfs/dbraw/zinc/56/59/48/400565948.db2.gz DRAMCVRGDKJHFC-UHFFFAOYSA-N 0 1 322.412 3.050 20 30 DGEDMN N#CCn1c(C(=O)[C@H](C#N)c2ccccn2)cc2ccccc21 ZINC000591861691 400566903 /nfs/dbraw/zinc/56/69/03/400566903.db2.gz BFPQDCGWNVOALM-CQSZACIVSA-N 0 1 300.321 3.050 20 30 DGEDMN N#CCC1(CN2CCC(c3nc(-c4ccccn4)no3)CC2)CC1 ZINC000602771714 400568547 /nfs/dbraw/zinc/56/85/47/400568547.db2.gz LTJYYPSNVUDPMT-UHFFFAOYSA-N 0 1 323.400 3.005 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000592147378 400615146 /nfs/dbraw/zinc/61/51/46/400615146.db2.gz KVTUSJQVBGTIPU-IBGZPJMESA-N 0 1 308.381 3.329 20 30 DGEDMN CCCN(CC(=O)N1CCCC[C@@H]1C)Cc1ccc(C#N)cc1 ZINC000047740781 400629498 /nfs/dbraw/zinc/62/94/98/400629498.db2.gz ZLPFLXUUZMZXAY-INIZCTEOSA-N 0 1 313.445 3.171 20 30 DGEDMN Cc1ccc([C@H](C)N(C)CC(=O)NC2(C#N)CCCCC2)cc1 ZINC000047959780 400636278 /nfs/dbraw/zinc/63/62/78/400636278.db2.gz JVIUPLNCCHFRAB-INIZCTEOSA-N 0 1 313.445 3.331 20 30 DGEDMN N#CCC1(CN(Cc2cccs2)[C@@H]2C=C[C@H](CO)C2)CC1 ZINC000602830679 400586452 /nfs/dbraw/zinc/58/64/52/400586452.db2.gz VMTGTLVLLZQRBU-LSDHHAIUSA-N 0 1 302.443 3.181 20 30 DGEDMN N#CCC1(CN2CCCN(c3cccc(F)c3F)CC2)CC1 ZINC000602834345 400586852 /nfs/dbraw/zinc/58/68/52/400586852.db2.gz AUPZPCLSMDGXKO-UHFFFAOYSA-N 0 1 305.372 3.171 20 30 DGEDMN COc1cc2c(cc1CN[C@H](C)c1cccc(C#N)c1)OCO2 ZINC000612690850 400587379 /nfs/dbraw/zinc/58/73/79/400587379.db2.gz VVMKCMBQPDGCDN-GFCCVEGCSA-N 0 1 310.353 3.146 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)Nc2sccc2C#N)o1 ZINC000592016006 400597351 /nfs/dbraw/zinc/59/73/51/400597351.db2.gz AHOWAHYUFCIIPY-UHFFFAOYSA-N 0 1 303.387 3.307 20 30 DGEDMN CN(Cc1cn(Cc2ccc(CC#N)cc2)nn1)C1CCCCC1 ZINC000603221233 400657242 /nfs/dbraw/zinc/65/72/42/400657242.db2.gz CJZIIJHDVPMWOO-UHFFFAOYSA-N 0 1 323.444 3.157 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cccc2c1OCCCO2 ZINC000618742634 400680229 /nfs/dbraw/zinc/68/02/29/400680229.db2.gz NLRRWSFMWDATNK-GOSISDBHSA-N 0 1 320.392 3.497 20 30 DGEDMN CCN1C[C@H](C)N(c2nc(C(C)(C)C)ccc2C#N)C[C@@H]1C ZINC000613431115 400718220 /nfs/dbraw/zinc/71/82/20/400718220.db2.gz OTSALPMEPMMRTJ-KBPBESRZSA-N 0 1 300.450 3.170 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CC)[C@H](C)c1cccc(OC)c1 ZINC000052705556 400745050 /nfs/dbraw/zinc/74/50/50/400745050.db2.gz FSJIQVOJBGAYHI-MRXNPFEDSA-N 0 1 316.445 3.279 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)N[C@](C)(C#N)C(C)C)c(C)c1 ZINC000604081308 400747906 /nfs/dbraw/zinc/74/79/06/400747906.db2.gz LPEZPCHQUKSXHJ-VQIMIIECSA-N 0 1 315.461 3.351 20 30 DGEDMN Cc1ccc(OCC(=O)Nc2nc(-c3ccccn3)n[nH]2)cc1C ZINC000053524644 400788069 /nfs/dbraw/zinc/78/80/69/400788069.db2.gz ROKIMLQWIZRZHQ-UHFFFAOYSA-N 0 1 323.356 3.151 20 30 DGEDMN CCC[C@@H]1CN(C(=O)[C@@H](C#N)CC)CCN1Cc1ccccc1 ZINC000593587379 400877088 /nfs/dbraw/zinc/87/70/88/400877088.db2.gz GEOPVCFDHSWZDY-QZTJIDSGSA-N 0 1 313.445 3.049 20 30 DGEDMN CC[C@H](C#N)C(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000593388321 400836017 /nfs/dbraw/zinc/83/60/17/400836017.db2.gz DKDDMFSUVPDPAZ-CABCVRRESA-N 0 1 319.474 3.187 20 30 DGEDMN C=C[C@@H](Sc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)OC ZINC000619689040 400885545 /nfs/dbraw/zinc/88/55/45/400885545.db2.gz KCIQGDXRKKHHKB-SNVBAGLBSA-N 0 1 316.304 3.401 20 30 DGEDMN CN(Cc1nc2ccccc2s1)C[C@H](O)CC(C)(C)C#N ZINC000598587730 400837368 /nfs/dbraw/zinc/83/73/68/400837368.db2.gz USRKGMFAWPZCEQ-GFCCVEGCSA-N 0 1 303.431 3.029 20 30 DGEDMN COc1ccc(Cl)cc1CN(C)C[C@H](O)CC(C)(C)C#N ZINC000598585315 400837388 /nfs/dbraw/zinc/83/73/88/400837388.db2.gz YZVSXGKCXVFYPU-CQSZACIVSA-N 0 1 310.825 3.081 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN(Cc1ccc(F)cc1F)C1CC1 ZINC000598586455 400837565 /nfs/dbraw/zinc/83/75/65/400837565.db2.gz VOAHSNNPGGKZHU-HNNXBMFYSA-N 0 1 308.372 3.230 20 30 DGEDMN CC[C@H](C#N)C(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000593396702 400838083 /nfs/dbraw/zinc/83/80/83/400838083.db2.gz MJIXPQSVBGJIRG-CJNGLKHVSA-N 0 1 321.852 3.389 20 30 DGEDMN Cc1ccccc1[C@]1(F)CCN(C[C@H](O)CC(C)(C)C#N)C1 ZINC000598619966 400845680 /nfs/dbraw/zinc/84/56/80/400845680.db2.gz QROPCKBHONITGX-QAPCUYQASA-N 0 1 304.409 3.166 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H]1c1cccc(OC)c1 ZINC000054978879 400846218 /nfs/dbraw/zinc/84/62/18/400846218.db2.gz YAQBRKHEZDKHCG-SFHVURJKSA-N 0 1 314.429 3.033 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2cscc2C)n1 ZINC000079149315 400849950 /nfs/dbraw/zinc/84/99/50/400849950.db2.gz CTHXSEIJOVEJAV-SECBINFHSA-N 0 1 320.395 3.180 20 30 DGEDMN CC[C@H](C#N)C(=O)Nc1cc(CN2CCSCC2)ccc1C ZINC000593445537 400851835 /nfs/dbraw/zinc/85/18/35/400851835.db2.gz ZNPWLAHZRKOIJR-OAHLLOKOSA-N 0 1 317.458 3.032 20 30 DGEDMN C[C@H](NCc1c(C(F)(F)F)cnn1C)c1cccc(C#N)c1 ZINC000599057711 400942271 /nfs/dbraw/zinc/94/22/71/400942271.db2.gz AVIAVTCVDWXKNG-JTQLQIEISA-N 0 1 308.307 3.161 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2sccc2C#N)C1=O)c1ccsc1 ZINC000619746192 400896993 /nfs/dbraw/zinc/89/69/93/400896993.db2.gz CHFBKYGWZFNCPT-MFKMUULPSA-N 0 1 317.439 3.137 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)c(Cl)c2)cc1O ZINC000619749067 400898341 /nfs/dbraw/zinc/89/83/41/400898341.db2.gz ZHBROILCECLZQA-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2nc3ccccc3n2C)cc1 ZINC000182842495 400898937 /nfs/dbraw/zinc/89/89/37/400898937.db2.gz ADFZRRXRTBCYLN-HNNXBMFYSA-N 0 1 317.348 3.266 20 30 DGEDMN Cc1ncc([C@@H](C)NCc2ccc(C#N)c(Cl)c2)c(C)n1 ZINC000619755620 400899737 /nfs/dbraw/zinc/89/97/37/400899737.db2.gz NOHNISPLPJHCPY-SNVBAGLBSA-N 0 1 300.793 3.469 20 30 DGEDMN OC[C@@H](NCC#Cc1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000183774399 400902288 /nfs/dbraw/zinc/90/22/88/400902288.db2.gz WPGQPTHSUVUAKF-QGZVFWFLSA-N 0 1 319.326 3.380 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@H](OC2CCC2)C1 ZINC000594026533 400952269 /nfs/dbraw/zinc/95/22/69/400952269.db2.gz LPBCUYULYMHICW-KRWDZBQOSA-N 0 1 300.402 3.100 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000598943695 400910230 /nfs/dbraw/zinc/91/02/30/400910230.db2.gz YJQBDKBTUXEBGI-IGCXYCKISA-N 0 1 322.412 3.167 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2ccccn2)c2cnn(C(C)C)c2n1 ZINC000193253865 400917654 /nfs/dbraw/zinc/91/76/54/400917654.db2.gz MLAZYYZGQVWDMS-AWEZNQCLSA-N 0 1 319.368 3.206 20 30 DGEDMN Cc1cccc([C@H]2CCCN2CC(=O)N[C@@](C)(C#N)C(C)C)c1 ZINC000056305049 400918791 /nfs/dbraw/zinc/91/87/91/400918791.db2.gz QMTYNQYMNSAGKK-MJGOQNOKSA-N 0 1 313.445 3.186 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1cnc2c(c1)c(C)nn2C ZINC000194168667 400919819 /nfs/dbraw/zinc/91/98/19/400919819.db2.gz OQLSWIBHIKBLKX-UHFFFAOYSA-N 0 1 306.413 3.465 20 30 DGEDMN COc1cccc(C2CCN(Cc3ccc(C#N)cn3)CC2)c1 ZINC000593953193 400925420 /nfs/dbraw/zinc/92/54/20/400925420.db2.gz ZRRKNGDFOHQEEE-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CN(C)[C@@H](CNC(=O)C1(C#N)CCCCC1)c1cccc(F)c1 ZINC000057419576 401001888 /nfs/dbraw/zinc/00/18/88/401001888.db2.gz DLDQTCKGZITLJB-INIZCTEOSA-N 0 1 317.408 3.019 20 30 DGEDMN COc1cncc(CN(Cc2ccc(C#N)cc2)CC(C)C)n1 ZINC000599272375 401007589 /nfs/dbraw/zinc/00/75/89/401007589.db2.gz SPGXMWCZKPPBBR-UHFFFAOYSA-N 0 1 310.401 3.015 20 30 DGEDMN CC(C)(C)CCCN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000614910250 400966189 /nfs/dbraw/zinc/96/61/89/400966189.db2.gz AHYXCIGFTHBBJI-UHFFFAOYSA-N 0 1 316.449 3.372 20 30 DGEDMN Cc1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1C#N ZINC000599341583 401026001 /nfs/dbraw/zinc/02/60/01/401026001.db2.gz KPJTUJMSGKKUIM-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN Cc1ccc(CN[C@@H](CC(=O)N(C)C)c2ccccc2)cc1C#N ZINC000599350364 401029365 /nfs/dbraw/zinc/02/93/65/401029365.db2.gz WDKUEUHLRLIJQR-IBGZPJMESA-N 0 1 321.424 3.176 20 30 DGEDMN Cc1ccc(CN2CCC[C@@](O)(C(F)(F)F)CC2)cc1C#N ZINC000599414860 401043967 /nfs/dbraw/zinc/04/39/67/401043967.db2.gz JYOSMHNIIBLCDD-HNNXBMFYSA-N 0 1 312.335 3.146 20 30 DGEDMN C[C@]12CCCC[C@H]1CN2Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000599675897 401109752 /nfs/dbraw/zinc/10/97/52/401109752.db2.gz WARXVNNNOKWFOT-YJBOKZPZSA-N 0 1 308.385 3.373 20 30 DGEDMN CC(=O)Nc1cccc(CN2CCc3c(CC#N)cccc3C2)c1 ZINC000599679479 401112329 /nfs/dbraw/zinc/11/23/29/401112329.db2.gz UDXSSBWRKMFSIF-UHFFFAOYSA-N 0 1 319.408 3.269 20 30 DGEDMN C/C=C\C[C@@H]1CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000599691844 401118433 /nfs/dbraw/zinc/11/84/33/401118433.db2.gz TUQUODYTJPQLNS-RXYHWBRQSA-N 0 1 303.450 3.007 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CNC1(c2c(F)cccc2F)CCC1 ZINC000599702032 401121298 /nfs/dbraw/zinc/12/12/98/401121298.db2.gz WBDWOVHOPYBBRJ-LBPRGKRZSA-N 0 1 308.372 3.234 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(C(C)(C)C)s2)nc(C)n1 ZINC000063235514 401183893 /nfs/dbraw/zinc/18/38/93/401183893.db2.gz VCMGLJBTXBUORQ-NSHDSACASA-N 0 1 314.414 3.338 20 30 DGEDMN CN(CC(=O)Nc1ccc(C#N)c(Cl)c1)CC1CCCC1 ZINC000064021361 401226862 /nfs/dbraw/zinc/22/68/62/401226862.db2.gz KSVHFXDQNSBCGJ-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN CCc1ccsc1C(=O)C(C#N)c1nnc2n1CCCCC2 ZINC000064102179 401230057 /nfs/dbraw/zinc/23/00/57/401230057.db2.gz WOYXBJKEPSPNGL-LBPRGKRZSA-N 0 1 314.414 3.118 20 30 DGEDMN C[C@H](O)[C@H]1CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000089933020 401245856 /nfs/dbraw/zinc/24/58/56/401245856.db2.gz GVJKQEULXNBHEG-ZFWWWQNUSA-N 0 1 311.347 3.150 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000623175536 401469310 /nfs/dbraw/zinc/46/93/10/401469310.db2.gz ZMULTOMWRDPLKC-SWLSCSKDSA-N 0 1 315.442 3.352 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000636117214 401534245 /nfs/dbraw/zinc/53/42/45/401534245.db2.gz DNWQJUAXGNTNLA-GOSISDBHSA-N 0 1 318.465 3.312 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000636117214 401534249 /nfs/dbraw/zinc/53/42/49/401534249.db2.gz DNWQJUAXGNTNLA-GOSISDBHSA-N 0 1 318.465 3.312 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1n[nH]c(C)c1-c1ccccc1F ZINC000629352905 401679160 /nfs/dbraw/zinc/67/91/60/401679160.db2.gz RVDCAFZPOQOYFD-UHFFFAOYSA-N 0 1 323.393 3.232 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H](c2ccc(Br)cc2)C[C@H]1C ZINC000629377918 401682642 /nfs/dbraw/zinc/68/26/42/401682642.db2.gz PFRBHWZEQGFAPZ-MPKXVKKWSA-N 0 1 324.218 3.266 20 30 DGEDMN Cn1cc(CN2CC[C@H](OCCCc3ccccc3)C2)cc1C#N ZINC000191429666 165250181 /nfs/dbraw/zinc/25/01/81/165250181.db2.gz RMCCJMONSQQLDK-FQEVSTJZSA-N 0 1 323.440 3.120 20 30 DGEDMN N#Cc1ccc(S(=O)(=O)Nc2cccc(Cl)c2F)s1 ZINC000230334361 165396872 /nfs/dbraw/zinc/39/68/72/165396872.db2.gz SBNALZCYKMKBSY-UHFFFAOYSA-N 0 1 316.766 3.213 20 30 DGEDMN COc1ccc(OC(F)F)c(CNCc2ccc(C#N)cc2)c1 ZINC000171841384 432176874 /nfs/dbraw/zinc/17/68/74/432176874.db2.gz XPSNLRDHGUNFFD-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2cccc(C)c2C#N)c(C)c1 ZINC000137186122 432173167 /nfs/dbraw/zinc/17/31/67/432173167.db2.gz LZRIOBFPRNSLKA-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](c2ccco2)N2CCCC2)CCC1 ZINC000190600905 432173195 /nfs/dbraw/zinc/17/31/95/432173195.db2.gz RRIPRVQRPHICHA-OAHLLOKOSA-N 0 1 302.418 3.279 20 30 DGEDMN Cc1oc(NC(=O)CN2C[C@H](C)[C@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000182473242 432194572 /nfs/dbraw/zinc/19/45/72/432194572.db2.gz KRXVAJSVSXQALI-PEYYIBSZSA-N 0 1 315.417 3.217 20 30 DGEDMN N#CC(C(=O)c1cc(F)cc(F)c1)c1cnc2ccccc2n1 ZINC000190955568 432205856 /nfs/dbraw/zinc/20/58/56/432205856.db2.gz ZFRAHHHZQMJSBA-ZDUSSCGKSA-N 0 1 309.275 3.398 20 30 DGEDMN N#Cc1ccccc1CN[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000182696892 432219515 /nfs/dbraw/zinc/21/95/15/432219515.db2.gz QSZGPEBZNVKJBV-MSOLQXFVSA-N 0 1 314.335 3.456 20 30 DGEDMN CCc1oc(C(=O)OC)cc1CN[C@H](C)c1ccc(C#N)cc1 ZINC000271634850 209079165 /nfs/dbraw/zinc/07/91/65/209079165.db2.gz GCIWWOWTHZIVOH-GFCCVEGCSA-N 0 1 312.369 3.351 20 30 DGEDMN CC[C@@H](O)CN(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000134485308 196395815 /nfs/dbraw/zinc/39/58/15/196395815.db2.gz YPWDCSHKBTVTFU-GOSISDBHSA-N 0 1 312.388 3.470 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)C[N@@H+]1C[C@@H]2CCCC[C@@H]2C1 ZINC000177570200 228331925 /nfs/dbraw/zinc/33/19/25/228331925.db2.gz BGGTYNHUGPFEAI-OKILXGFUSA-N 0 1 317.820 3.272 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000177570200 228331926 /nfs/dbraw/zinc/33/19/26/228331926.db2.gz BGGTYNHUGPFEAI-OKILXGFUSA-N 0 1 317.820 3.272 20 30 DGEDMN COC1(CNC(=O)c2cc(O)cc([N+](=O)[O-])c2)CCC(C)CC1 ZINC000331038426 229015408 /nfs/dbraw/zinc/01/54/08/229015408.db2.gz BGJIBFNSJXUGGE-UHFFFAOYSA-N 0 1 322.361 3.200 20 30 DGEDMN C[C@](O)(CNCc1cc(F)cc(C(F)(F)F)c1)C(F)(F)F ZINC000340600533 229090972 /nfs/dbraw/zinc/09/09/72/229090972.db2.gz ZXEGMDBFNGHNJE-JTQLQIEISA-N 0 1 319.220 3.247 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(F)c3cccnc23)CC1 ZINC000341990033 229105957 /nfs/dbraw/zinc/10/59/57/229105957.db2.gz LFGSBTCHPOSZGQ-UHFFFAOYSA-N 0 1 313.376 3.126 20 30 DGEDMN N#CC(C(=O)c1ccccc1Oc1ccncc1)c1ccncn1 ZINC000338647186 229067933 /nfs/dbraw/zinc/06/79/33/229067933.db2.gz LFADIZPPUUIFHA-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN Cc1cc(N(CCC#N)C(=O)CN(C)CC(C)C)ccc1Cl ZINC000344560155 229138088 /nfs/dbraw/zinc/13/80/88/229138088.db2.gz NRLJZKJAAQNBIA-UHFFFAOYSA-N 0 1 321.852 3.483 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc4c(c3)COC4)[nH]c21 ZINC000345405974 229152392 /nfs/dbraw/zinc/15/23/92/229152392.db2.gz WYJKOAMWUDHUSM-HNNXBMFYSA-N 0 1 317.348 3.392 20 30 DGEDMN N#C[C@@H](c1cccc(Cl)c1)N1CCN(CCCCF)CC1 ZINC000352403207 229253019 /nfs/dbraw/zinc/25/30/19/229253019.db2.gz SODLGSSNWMYMRU-INIZCTEOSA-N 0 1 309.816 3.272 20 30 DGEDMN N#CCCCC(=O)Nc1nc(-c2cccc3ccccc32)n[nH]1 ZINC000360001833 229387456 /nfs/dbraw/zinc/38/74/56/229387456.db2.gz QNUSRVXQLAFJMX-UHFFFAOYSA-N 0 1 305.341 3.257 20 30 DGEDMN C[C@@H]1CN(c2ncccc2C#N)[C@@H](C)CN1Cc1ccccc1 ZINC000346661997 264075555 /nfs/dbraw/zinc/07/55/55/264075555.db2.gz VNEMSDGVDRMGKF-CVEARBPZSA-N 0 1 306.413 3.052 20 30 DGEDMN Cc1cc(CN2CCC[C@](O)(C(F)(F)F)CC2)ccc1C#N ZINC000352941741 265241394 /nfs/dbraw/zinc/24/13/94/265241394.db2.gz CWGLFAVTLIEGGE-OAHLLOKOSA-N 0 1 312.335 3.146 20 30 DGEDMN CCN(Cc1cccc(C#N)c1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000353553006 266135385 /nfs/dbraw/zinc/13/53/85/266135385.db2.gz AMVPAQPFJARBTK-LJQANCHMSA-N 0 1 319.408 3.186 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000354108078 266173204 /nfs/dbraw/zinc/17/32/04/266173204.db2.gz TWBXGLKFDPLUOX-UHFFFAOYSA-N 0 1 319.368 3.427 20 30 DGEDMN C[C@@H]1CCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)CC12CCC2 ZINC000357031469 267023811 /nfs/dbraw/zinc/02/38/11/267023811.db2.gz GFWPYAFETUDDKY-QAPCUYQASA-N 0 1 323.440 3.176 20 30 DGEDMN C[C@@H]1C[C@@H](CO)CCN1Cc1ccc(C#N)cc1C(F)(F)F ZINC000356351121 267077176 /nfs/dbraw/zinc/07/71/76/267077176.db2.gz YAURVIHCERGCGP-YPMHNXCESA-N 0 1 312.335 3.170 20 30 DGEDMN C[C@H](C(=O)Nc1nc2ccc(F)cc2[nH]1)c1cccc(C#N)c1 ZINC000360491209 267141736 /nfs/dbraw/zinc/14/17/36/267141736.db2.gz QBPXFQAQNZYMBY-JTQLQIEISA-N 0 1 308.316 3.316 20 30 DGEDMN C[C@H](NCc1cnnn1C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000374696079 267185084 /nfs/dbraw/zinc/18/50/84/267185084.db2.gz WDDQKZGTRGHEBV-AWEZNQCLSA-N 0 1 317.396 3.205 20 30 DGEDMN Cc1cc(CN[C@H](c2cccnc2)C(C)(C)CO)ccc1C#N ZINC000354072935 267326954 /nfs/dbraw/zinc/32/69/54/267326954.db2.gz LDHYWTZBLLQOAQ-GOSISDBHSA-N 0 1 309.413 3.111 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)CCc1ccc(C#N)cc1 ZINC000360429913 267367148 /nfs/dbraw/zinc/36/71/48/267367148.db2.gz USMAWLUZQRFDAX-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN N#Cc1ccc(CN[C@@]2(CO)CCCc3ccccc32)c(F)c1 ZINC000374136948 268076730 /nfs/dbraw/zinc/07/67/30/268076730.db2.gz PRSTYPZHOOQVMU-LJQANCHMSA-N 0 1 310.372 3.011 20 30 DGEDMN N#Cc1ncccc1NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000368676045 268327447 /nfs/dbraw/zinc/32/74/47/268327447.db2.gz QUPLPCGEFDWHCU-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN C[C@](O)(CNCc1ccc(F)c(C(F)(F)F)c1)C(F)(F)F ZINC000270472906 432248456 /nfs/dbraw/zinc/24/84/56/432248456.db2.gz ICXMQVBSEIUAIZ-JTQLQIEISA-N 0 1 319.220 3.247 20 30 DGEDMN COc1ccccc1C(C)(C)NCC(=O)Nc1ccc(C#N)cc1 ZINC000171673172 274156396 /nfs/dbraw/zinc/15/63/96/274156396.db2.gz ILIGHVUAGIUCIQ-UHFFFAOYSA-N 0 1 323.396 3.030 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(C(F)(F)F)cc1)c1cnn(C)c1 ZINC000090180594 274244697 /nfs/dbraw/zinc/24/46/97/274244697.db2.gz XIXWCTOONZSYQX-GFCCVEGCSA-N 0 1 307.319 3.141 20 30 DGEDMN O[C@@H]1CC[C@H]2CN(CC#Cc3ccccc3Cl)CC[C@H]2C1 ZINC000451699236 275054429 /nfs/dbraw/zinc/05/44/29/275054429.db2.gz KVACCSDXORZSKL-YESZJQIVSA-N 0 1 303.833 3.174 20 30 DGEDMN CC(C)(C)[C@H]1CCCN([C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC000116308780 277255744 /nfs/dbraw/zinc/25/57/44/277255744.db2.gz UFQKYRJUBGDYEH-IRXDYDNUSA-N 0 1 313.445 3.233 20 30 DGEDMN COC(=O)[C@H]1CCN(Cc2ccc(Cl)cc2C#N)[C@H]1C(C)C ZINC000429365450 277395277 /nfs/dbraw/zinc/39/52/77/277395277.db2.gz VYDXOEQJIPYXTB-HOTGVXAUSA-N 0 1 320.820 3.231 20 30 DGEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)N(C)C1CCCCC1 ZINC000271706078 277631493 /nfs/dbraw/zinc/63/14/93/277631493.db2.gz UXJDHALZMVPZAG-HUUCEWRRSA-N 0 1 313.445 3.388 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@@H](c3ccccc3C)C[C@H]2C)CC1 ZINC000078183778 277687754 /nfs/dbraw/zinc/68/77/54/277687754.db2.gz DAXIPZZDRYPTNF-MJGOQNOKSA-N 0 1 324.468 3.045 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000120917613 277771286 /nfs/dbraw/zinc/77/12/86/277771286.db2.gz FTPRUUHJEDLLIH-IAGOWNOFSA-N 0 1 317.477 3.490 20 30 DGEDMN C[C@H](NCC1(C#N)CC1)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000496015750 278255576 /nfs/dbraw/zinc/25/55/76/278255576.db2.gz PHCNLXQFTYUGIH-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@H](C)CN(C)CC(=O)Nc1ccccc1SCC#N ZINC000111253765 431200628 /nfs/dbraw/zinc/20/06/28/431200628.db2.gz OGPYEGITBLYLLS-ZDUSSCGKSA-N 0 1 305.447 3.219 20 30 DGEDMN C=CCN(CC(=O)Nc1c(C)n[nH]c1C)CC1CCCCC1 ZINC000070015915 431235309 /nfs/dbraw/zinc/23/53/09/431235309.db2.gz XURXOVNEMKUHNB-UHFFFAOYSA-N 0 1 304.438 3.033 20 30 DGEDMN C=C(C)CN(CC)C(=O)CSc1nc2ccc(OC)cc2[nH]1 ZINC000004252367 431298142 /nfs/dbraw/zinc/29/81/42/431298142.db2.gz HKIFQGLYJVWCDN-UHFFFAOYSA-N 0 1 319.430 3.088 20 30 DGEDMN Cc1ccccc1[C@H]1CN(CCCSCC#N)[C@H](C)CO1 ZINC000074991592 431446417 /nfs/dbraw/zinc/44/64/17/431446417.db2.gz PCEDDWXAGJIQEB-NVXWUHKLSA-N 0 1 304.459 3.404 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H]2c2ccccc2)cc1 ZINC000010339616 431460820 /nfs/dbraw/zinc/46/08/20/431460820.db2.gz WKZYKFTVBHAYRM-SFHVURJKSA-N 0 1 305.381 3.334 20 30 DGEDMN CC(C)(C)c1n[nH]c(SCCOc2ccc(C#N)cc2)n1 ZINC000012258014 431501127 /nfs/dbraw/zinc/50/11/27/431501127.db2.gz UJXFYBKDDRGVLC-UHFFFAOYSA-N 0 1 302.403 3.145 20 30 DGEDMN CC(C)(C)c1nc(SCCOc2ccc(C#N)cc2)n[nH]1 ZINC000012258014 431501134 /nfs/dbraw/zinc/50/11/34/431501134.db2.gz UJXFYBKDDRGVLC-UHFFFAOYSA-N 0 1 302.403 3.145 20 30 DGEDMN C[C@H](C(=O)N(C)CCC#N)N(C)Cc1cccc2ccccc21 ZINC000077169511 431569895 /nfs/dbraw/zinc/56/98/95/431569895.db2.gz ZLMGIVDCBLFCRL-OAHLLOKOSA-N 0 1 309.413 3.032 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cncc(OC(C)C)c2)n1 ZINC000119581935 431709850 /nfs/dbraw/zinc/70/98/50/431709850.db2.gz HHUJRLVRDWQQQV-ZDUSSCGKSA-N 0 1 301.371 3.124 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000120918070 431737117 /nfs/dbraw/zinc/73/71/17/431737117.db2.gz FTPRUUHJEDLLIH-IRXDYDNUSA-N 0 1 317.477 3.490 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1c1cccc(C)c1 ZINC000079209501 431720672 /nfs/dbraw/zinc/72/06/72/431720672.db2.gz GVVAAHUCFANCEM-FUHWJXTLSA-N 0 1 313.445 3.140 20 30 DGEDMN C=CCOc1cccc(CN2CCN(c3cccc(O)c3)CC2)c1 ZINC000121631371 431802991 /nfs/dbraw/zinc/80/29/91/431802991.db2.gz HRQYEGUTAGISAX-UHFFFAOYSA-N 0 1 324.424 3.279 20 30 DGEDMN CCc1nc(NC(=O)c2ccccc2-c2ccccc2C#N)n[nH]1 ZINC000122964851 431850288 /nfs/dbraw/zinc/85/02/88/431850288.db2.gz JPJHXBGNDXKDIL-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN CCc1n[nH]c(NC(=O)c2ccccc2-c2ccccc2C#N)n1 ZINC000122964851 431850291 /nfs/dbraw/zinc/85/02/91/431850291.db2.gz JPJHXBGNDXKDIL-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN CC(C)C[C@H](C)OCCC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279563 431852969 /nfs/dbraw/zinc/85/29/69/431852969.db2.gz GHWROIFQRXGAOS-NSHDSACASA-N 0 1 306.366 3.494 20 30 DGEDMN N#Cc1cccc(NS(=O)(=O)c2ccc(F)cc2Cl)c1 ZINC000044253826 431871708 /nfs/dbraw/zinc/87/17/08/431871708.db2.gz DASVCKAIOIMGOM-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN N#CC1CCN(Cc2c[nH]nc2-c2ccc(Cl)cc2)CC1 ZINC000123707438 431872286 /nfs/dbraw/zinc/87/22/86/431872286.db2.gz PAKLDHVPFMPWHC-UHFFFAOYSA-N 0 1 300.793 3.466 20 30 DGEDMN C=CCC[C@@H](O)C[N@H+](Cc1cccc(OC)c1)C[C@H]1CCCO1 ZINC000036031137 431833929 /nfs/dbraw/zinc/83/39/29/431833929.db2.gz VSDFZUUNUZZSNC-IEBWSBKVSA-N 0 1 319.445 3.003 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccnc2OCC(C)C)n1 ZINC000093242820 431913824 /nfs/dbraw/zinc/91/38/24/431913824.db2.gz ANZQWLGDYHDKJQ-ZDUSSCGKSA-N 0 1 315.398 3.371 20 30 DGEDMN C=CCc1cc(CN2CCS[C@@H](CC)C2)cc(OC)c1O ZINC000093502153 431916187 /nfs/dbraw/zinc/91/61/87/431916187.db2.gz NVLKMHZAXCYTEX-HNNXBMFYSA-N 0 1 307.459 3.457 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000125318465 431921532 /nfs/dbraw/zinc/92/15/32/431921532.db2.gz KSNIKYMYFUBYHZ-KRWDZBQOSA-N 0 1 304.409 3.313 20 30 DGEDMN N#Cc1cccc(NC(=O)c2ccc(Br)cc2O)c1 ZINC000044483896 431874445 /nfs/dbraw/zinc/87/44/45/431874445.db2.gz KPRYDWBGBHZYMG-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN O=C(Cc1c[nH]c2ccccc12)Nc1nc(-c2ccco2)n[nH]1 ZINC000044907372 431879109 /nfs/dbraw/zinc/87/91/09/431879109.db2.gz LWCHMXPVEGBLSY-UHFFFAOYSA-N 0 1 307.313 3.377 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(Cc1cccnc1)C1CC1 ZINC000124757754 431903430 /nfs/dbraw/zinc/90/34/30/431903430.db2.gz IGUBBDULXWNVKZ-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN Cc1csc(C(C#N)=C([O-])c2ccccc2-n2cccn2)n1 ZINC000048788131 431932421 /nfs/dbraw/zinc/93/24/21/431932421.db2.gz CLFIUDMNQPFIGT-CYBMUJFWSA-N 0 1 308.366 3.127 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccccc2-n2cccn2)n1 ZINC000048788131 431932423 /nfs/dbraw/zinc/93/24/23/431932423.db2.gz CLFIUDMNQPFIGT-CYBMUJFWSA-N 0 1 308.366 3.127 20 30 DGEDMN C=C(C)COCCNCc1ccc(Br)cc1F ZINC000125775084 431935254 /nfs/dbraw/zinc/93/52/54/431935254.db2.gz JMDGKWOQQQJBBQ-UHFFFAOYSA-N 0 1 302.187 3.271 20 30 DGEDMN CCCc1c(C(=O)[C@@H](C#N)c2ccccn2)[nH]c(C)c1C(C)=O ZINC000049458824 431940105 /nfs/dbraw/zinc/94/01/05/431940105.db2.gz IHRVJEFHLPOAJL-AWEZNQCLSA-N 0 1 309.369 3.363 20 30 DGEDMN N#Cc1cc(NS(=O)(=O)c2ccccc2Cl)ccc1F ZINC000051637885 431951885 /nfs/dbraw/zinc/95/18/85/431951885.db2.gz UXJIECABMHKFRB-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN COc1ccccc1S(=O)(=O)Nc1ccc(Cl)c(C#N)c1 ZINC000054189278 431968558 /nfs/dbraw/zinc/96/85/58/431968558.db2.gz JANORLZWKUDPRT-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN Cc1cc2ncc([C@H](C)NCc3cccc(C#N)c3)c(C)n2n1 ZINC000127318576 431969113 /nfs/dbraw/zinc/96/91/13/431969113.db2.gz CRWLJGLMEURXTL-ZDUSSCGKSA-N 0 1 305.385 3.069 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1c(O)cccc1F ZINC000127349715 431970168 /nfs/dbraw/zinc/97/01/68/431970168.db2.gz NXUYHRQRKQOOKL-GDBMZVCRSA-N 0 1 324.355 3.443 20 30 DGEDMN COc1ccccc1N1CCN(Cc2ccc(CC#N)cc2)CC1 ZINC000057661371 431992917 /nfs/dbraw/zinc/99/29/17/431992917.db2.gz HTJIITGSLZGQIG-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN COc1ccc(CNc2ccc3c(c2)nc(C)n3C)cc1C#N ZINC000151970037 432292697 /nfs/dbraw/zinc/29/26/97/432292697.db2.gz VRSSYAUMIXSQLL-UHFFFAOYSA-N 0 1 306.369 3.374 20 30 DGEDMN CN1CCN(Cc2cc(C#N)ccc2F)[C@@H](c2ccccc2)C1 ZINC000248502184 432296204 /nfs/dbraw/zinc/29/62/04/432296204.db2.gz YMZNWXGBIZZNRE-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN C=CCN(CCOC)Cc1c[nH]nc1-c1cccc(Cl)c1 ZINC000191756760 432299204 /nfs/dbraw/zinc/29/92/04/432299204.db2.gz YWSXTVAJGYVBHZ-UHFFFAOYSA-N 0 1 305.809 3.365 20 30 DGEDMN COc1cc(CN(C)Cc2ccc(C#N)c(F)c2)ccc1O ZINC000191996621 432321752 /nfs/dbraw/zinc/32/17/52/432321752.db2.gz ZTORRXQPHJHHRJ-UHFFFAOYSA-N 0 1 300.333 3.044 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](Oc3cccc(F)c3)C2)cc1F ZINC000192175390 432341033 /nfs/dbraw/zinc/34/10/33/432341033.db2.gz WRYUKPBWQNXUCN-QGZVFWFLSA-N 0 1 314.335 3.490 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC[C@H](C)C1 ZINC000252124091 432374822 /nfs/dbraw/zinc/37/48/22/432374822.db2.gz JUWWRARNQDXDKR-NWDGAFQWSA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CC[C@@H](c2ccccc2)C1 ZINC000250220049 432365746 /nfs/dbraw/zinc/36/57/46/432365746.db2.gz ZBSIUCGULPQLQX-CRAIPNDOSA-N 0 1 319.408 3.375 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc(OCC#N)cc1 ZINC000271886364 432428417 /nfs/dbraw/zinc/42/84/17/432428417.db2.gz QSYJIRDTEIAXCI-INIZCTEOSA-N 0 1 320.392 3.452 20 30 DGEDMN C[C@@H](NCC(=O)N(C)C1CCCCC1)c1ccc(C#N)c(F)c1 ZINC000271955792 432431029 /nfs/dbraw/zinc/43/10/29/432431029.db2.gz PSWZKNFEBNKECH-CYBMUJFWSA-N 0 1 317.408 3.139 20 30 DGEDMN C[C@@H](c1ccc([S@](C)=O)cc1)N(C)Cc1ccc(C#N)cc1 ZINC000175383177 432431123 /nfs/dbraw/zinc/43/11/23/432431123.db2.gz VNFPVANOEDMPEL-FPTDNZKUSA-N 0 1 312.438 3.489 20 30 DGEDMN C[C@@H](C#N)CSc1ccccc1NC(=O)CCc1cnc[nH]1 ZINC000193197341 432456180 /nfs/dbraw/zinc/45/61/80/432456180.db2.gz HSMWPMYFKJUCJF-LBPRGKRZSA-N 0 1 314.414 3.233 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1c1cccc(C)c1 ZINC000255957494 432415176 /nfs/dbraw/zinc/41/51/76/432415176.db2.gz GVVAAHUCFANCEM-AEFFLSMTSA-N 0 1 313.445 3.140 20 30 DGEDMN N#Cc1cc(CN2CCC(C3CCOCC3)CC2)ccc1F ZINC000153548936 432419366 /nfs/dbraw/zinc/41/93/66/432419366.db2.gz ZCEPHBYRLGRKEJ-UHFFFAOYSA-N 0 1 302.393 3.336 20 30 DGEDMN CC(=O)c1cc(F)cc(C[NH2+]C2(c3cccc(C#N)c3)CC2)c1[O-] ZINC000271833177 432426294 /nfs/dbraw/zinc/42/62/94/432426294.db2.gz UVZBGYOYYKEOCG-UHFFFAOYSA-N 0 1 324.355 3.384 20 30 DGEDMN COCC[C@@H](NCc1ccc(OC)c(C#N)c1)c1ccc(C)o1 ZINC000272392912 432493615 /nfs/dbraw/zinc/49/36/15/432493615.db2.gz ZNFMQOHJKQECQM-MRXNPFEDSA-N 0 1 314.385 3.336 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)NCCCC#N)[nH]c2c1 ZINC000273117589 432553864 /nfs/dbraw/zinc/55/38/64/432553864.db2.gz BWZVMURZJSUKMD-OAHLLOKOSA-N 0 1 311.389 3.022 20 30 DGEDMN COc1cccc(N2CCN(Cc3ccc(C#N)cc3C)CC2)c1 ZINC000186091528 432557521 /nfs/dbraw/zinc/55/75/21/432557521.db2.gz DICDJYWCZFFDDM-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C=CCCCCCN(C)C(=O)CSc1n[nH]c(=S)s1 ZINC000176414535 432519222 /nfs/dbraw/zinc/51/92/22/432519222.db2.gz WHVDOMXKZZHOHI-UHFFFAOYSA-N 0 1 317.505 3.498 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000155142552 432540416 /nfs/dbraw/zinc/54/04/16/432540416.db2.gz SLWJJXZRWKEMEJ-GFCCVEGCSA-N 0 1 305.809 3.437 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCS[C@H]2CCCC[C@@H]21 ZINC000156725469 432605927 /nfs/dbraw/zinc/60/59/27/432605927.db2.gz GIYIULFJROCWHH-RDJZCZTQSA-N 0 1 302.443 3.427 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@H](c2nccs2)C1 ZINC000195341623 432618124 /nfs/dbraw/zinc/61/81/24/432618124.db2.gz WGZDUTQAYRZISC-GJZGRUSLSA-N 0 1 321.490 3.136 20 30 DGEDMN N#Cc1ccc(CNCc2ccnc(N3CCCCC3)c2)s1 ZINC000176949527 432569752 /nfs/dbraw/zinc/56/97/52/432569752.db2.gz RRGVIDVLRMUOER-UHFFFAOYSA-N 0 1 312.442 3.295 20 30 DGEDMN Cc1ccc([C@H](CNC(=O)c2cccc(C#N)c2)N2CCCC2)o1 ZINC000263794489 432577118 /nfs/dbraw/zinc/57/71/18/432577118.db2.gz KQZIGFWKHRPVRD-KRWDZBQOSA-N 0 1 323.396 3.027 20 30 DGEDMN COc1cc(NC(=O)c2ccc(C#N)c(O)c2)ccc1Cl ZINC000194880982 432584888 /nfs/dbraw/zinc/58/48/88/432584888.db2.gz KBGUOVJBXQTPFW-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN CCCNC(=O)c1cccc(CNCc2cc(C#N)cs2)c1 ZINC000177686296 432651069 /nfs/dbraw/zinc/65/10/69/432651069.db2.gz ZKSVCUUTDNQFGW-UHFFFAOYSA-N 0 1 313.426 3.049 20 30 DGEDMN N#CCCN(C(=O)C[N@H+]1CC[C@@H]2CCCC[C@@H]21)c1ccccc1 ZINC000159687164 432692336 /nfs/dbraw/zinc/69/23/36/432692336.db2.gz UNEATFCHQCAKCH-WMZOPIPTSA-N 0 1 311.429 3.198 20 30 DGEDMN C[C@H]1CCCC[N@@H+](CC(=O)Nc2ccccc2SCC#N)C1 ZINC000187935751 432693119 /nfs/dbraw/zinc/69/31/19/432693119.db2.gz SAHCCZPWKUOKNO-AWEZNQCLSA-N 0 1 317.458 3.363 20 30 DGEDMN C[C@H]1CCCCN(CC(=O)Nc2ccccc2SCC#N)C1 ZINC000187935751 432693121 /nfs/dbraw/zinc/69/31/21/432693121.db2.gz SAHCCZPWKUOKNO-AWEZNQCLSA-N 0 1 317.458 3.363 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3nc(-c4ccco4)[nH]c3c2)[nH]1 ZINC000159709035 432694052 /nfs/dbraw/zinc/69/40/52/432694052.db2.gz WREHDYXCTFFHGW-UHFFFAOYSA-N 0 1 317.308 3.275 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CCCC[C@H](C)C2)c(C#N)c1C ZINC000187959859 432694478 /nfs/dbraw/zinc/69/44/78/432694478.db2.gz OWIVNJCWOYZEJH-WCQYABFASA-N 0 1 303.406 3.217 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1sccc1C#N ZINC000265649055 432717471 /nfs/dbraw/zinc/71/74/71/432717471.db2.gz NCFVEBLMLNMTIO-JSGCOSHPSA-N 0 1 305.447 3.459 20 30 DGEDMN O=C(NC[C@@H](c1ccccc1)C(F)(F)F)C(F)(F)C(F)F ZINC000275009779 432722978 /nfs/dbraw/zinc/72/29/78/432722978.db2.gz SMDKKRARNGXXOY-QMMMGPOBSA-N 0 1 317.204 3.349 20 30 DGEDMN Cc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1-c1ncco1 ZINC000188681394 432738721 /nfs/dbraw/zinc/73/87/21/432738721.db2.gz ZVNGBBXCYKUCEB-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@@H](O)C(C)(C)C2)cc1Cl ZINC000275700587 432743367 /nfs/dbraw/zinc/74/33/67/432743367.db2.gz BMRJKQDOXMQQLG-MRXNPFEDSA-N 0 1 309.837 3.498 20 30 DGEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)Cc1ccc2ccccc2c1 ZINC000265915286 432744872 /nfs/dbraw/zinc/74/48/72/432744872.db2.gz LGJJHXXCDINCJB-HNNXBMFYSA-N 0 1 309.413 3.032 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCCN(C3CCCCC3)C2=O)s1 ZINC000276263459 432789229 /nfs/dbraw/zinc/78/92/29/432789229.db2.gz YGDGDNGPEXMERI-INIZCTEOSA-N 0 1 317.458 3.033 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1ccccc1Br ZINC000276384783 432803009 /nfs/dbraw/zinc/80/30/09/432803009.db2.gz IODZFEKDWVKTCG-LLVKDONJSA-N 0 1 311.223 3.284 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(SC(F)F)cc1 ZINC000276509233 432813244 /nfs/dbraw/zinc/81/32/44/432813244.db2.gz XHMZVMFYHOUQCB-UHFFFAOYSA-N 0 1 300.374 3.448 20 30 DGEDMN CC1(C)CCCN(C(=O)c2cc(-c3ccccc3)[nH]n2)[C@@H]1C#N ZINC000277592004 432863270 /nfs/dbraw/zinc/86/32/70/432863270.db2.gz SNEBTRNTUFWIMT-MRXNPFEDSA-N 0 1 308.385 3.231 20 30 DGEDMN Cc1ccc([C@H](CNc2snc(C)c2C#N)N2CCCC2)o1 ZINC000288022329 432882998 /nfs/dbraw/zinc/88/29/98/432882998.db2.gz SSSKNJQKYORQMC-AWEZNQCLSA-N 0 1 316.430 3.474 20 30 DGEDMN Cc1noc(C(C)C)c1C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000329947445 432884358 /nfs/dbraw/zinc/88/43/58/432884358.db2.gz KAETXKMVIQMEPW-AWEZNQCLSA-N 0 1 305.422 3.428 20 30 DGEDMN CCc1nc(C2(NC(=O)CN3[C@H](C)CC[C@H]3C)CCCC2)no1 ZINC000330095395 432893463 /nfs/dbraw/zinc/89/34/63/432893463.db2.gz VJVWSWOPGWEOTI-CHWSQXEVSA-N 0 1 320.437 3.231 20 30 DGEDMN O=C(Nc1ccccc1)C1CCN([C@H]2CCCNC2=O)CC1 ZINC000330130730 432894194 /nfs/dbraw/zinc/89/41/94/432894194.db2.gz PEZYTSUQGWDFPE-HNNXBMFYSA-N 0 1 301.390 3.106 20 30 DGEDMN CC(C)[C@H](C(=O)N[C@@H]1CCCc2cn[nH]c21)[NH+]1CCCCC1 ZINC000330163616 432895218 /nfs/dbraw/zinc/89/52/18/432895218.db2.gz VGPVAIXSNBHUGU-GDBMZVCRSA-N 0 1 304.438 3.254 20 30 DGEDMN CN(Cc1ccc(F)c(C#N)c1)C[C@@H]1OCCc2ccccc21 ZINC000268227246 432856941 /nfs/dbraw/zinc/85/69/41/432856941.db2.gz NXMGVVDGDJQYEJ-IBGZPJMESA-N 0 1 310.372 3.443 20 30 DGEDMN C[C@H](NCCCSCC#N)c1nc(C(F)(F)F)cs1 ZINC000279020111 432926543 /nfs/dbraw/zinc/92/65/43/432926543.db2.gz VSKYHRPFJGINDE-QMMMGPOBSA-N 0 1 309.382 3.459 20 30 DGEDMN CCOC(=O)N1CC[C@@H](N[C@H](C)c2ccc(C#N)cc2Cl)C1 ZINC000279052319 432929735 /nfs/dbraw/zinc/92/97/35/432929735.db2.gz KGRXQOJLUNZBRO-DGCLKSJQSA-N 0 1 321.808 3.093 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cnc([C@H](C)O)s1 ZINC000289356221 432948955 /nfs/dbraw/zinc/94/89/55/432948955.db2.gz NNXJPXCKCQRZNN-AWEZNQCLSA-N 0 1 302.443 3.427 20 30 DGEDMN C[C@@H]1CCN(C(=O)CC(C)(C)C#N)CC[N@H+]1Cc1ccccc1 ZINC000279248490 432949434 /nfs/dbraw/zinc/94/94/34/432949434.db2.gz KYYVLXDYLXIQMZ-MRXNPFEDSA-N 0 1 313.445 3.049 20 30 DGEDMN CCC[C@H](C)[C@@H]1CCCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330197156 432897687 /nfs/dbraw/zinc/89/76/87/432897687.db2.gz RKBHCWGEWJDKDJ-ZNMIVQPWSA-N 0 1 304.438 3.013 20 30 DGEDMN Cc1ccc2c(c1)[C@H](NC(=O)N[C@@H]1CCc3nc[nH]c3C1)CCC2 ZINC000330221287 432898734 /nfs/dbraw/zinc/89/87/34/432898734.db2.gz QYMGLNYDJFZOBY-GDBMZVCRSA-N 0 1 324.428 3.157 20 30 DGEDMN N#CCCCN1CCC(O)(c2ccccc2C(F)(F)F)CC1 ZINC000278730575 432899095 /nfs/dbraw/zinc/89/90/95/432899095.db2.gz LDVIQNZBFRUCGW-UHFFFAOYSA-N 0 1 312.335 3.293 20 30 DGEDMN C[C@H](NC(=O)[C@H]1CCCN1C1CC1)c1ccc2c(c1)OCO2 ZINC000330238703 432900026 /nfs/dbraw/zinc/90/00/26/432900026.db2.gz ZZVJKIXURPTWFI-SMDDNHRTSA-N 0 1 302.374 3.060 20 30 DGEDMN C[C@H](CC1CCCCC1)NC([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000330271653 432901199 /nfs/dbraw/zinc/90/11/99/432901199.db2.gz WFXRHDPUUOEOSU-DOMZBBRYSA-N 0 1 304.438 3.130 20 30 DGEDMN C[C@H](CC1CCCCC1)[NH+]=C([O-])N[C@H]1CCc2c[nH]nc2C1 ZINC000330271653 432901201 /nfs/dbraw/zinc/90/12/01/432901201.db2.gz WFXRHDPUUOEOSU-DOMZBBRYSA-N 0 1 304.438 3.130 20 30 DGEDMN CC(C)C1CCC(CNC([O-])=[NH+][C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000330287857 432902294 /nfs/dbraw/zinc/90/22/94/432902294.db2.gz CSLRUXBRMUGAFJ-ZBCRRDGASA-N 0 1 318.465 3.233 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000278845092 432908762 /nfs/dbraw/zinc/90/87/62/432908762.db2.gz WPXRPTQMDNXXOM-UHFFFAOYSA-N 0 1 310.279 3.460 20 30 DGEDMN C=C[C@@H](CO)N[C@H](C)c1ccc(OC(F)F)cc1OC(F)F ZINC000289618848 432969821 /nfs/dbraw/zinc/96/98/21/432969821.db2.gz DLETVLDFHVAHLY-BDAKNGLRSA-N 0 1 323.286 3.087 20 30 DGEDMN C=C[C@@H](CO)NCc1cc(Cl)c(OCCC)c(OCC)c1 ZINC000289924908 432978110 /nfs/dbraw/zinc/97/81/10/432978110.db2.gz SPHCNUYCDBKXAE-ZDUSSCGKSA-N 0 1 313.825 3.164 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C#N)cc3)CC2)s1 ZINC000349586861 432981139 /nfs/dbraw/zinc/98/11/39/432981139.db2.gz YAUTUFYAJGMCFJ-UHFFFAOYSA-N 0 1 311.454 3.246 20 30 DGEDMN Cc1cccnc1OC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000279293618 432953544 /nfs/dbraw/zinc/95/35/44/432953544.db2.gz PQUNIBUAOHXHLM-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN Cc1nc2cc(NCc3cccc(C#N)c3)ccc2n1[C@H](C)CO ZINC000419758809 433066515 /nfs/dbraw/zinc/06/65/15/433066515.db2.gz FJENIHWKUDXQIM-CYBMUJFWSA-N 0 1 320.396 3.382 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN2CCC[C@](C)(OC)CC2)c1C ZINC000280538311 433078506 /nfs/dbraw/zinc/07/85/06/433078506.db2.gz POFNOAUDGOXEGX-IBGZPJMESA-N 0 1 318.461 3.365 20 30 DGEDMN C=CCN[C@H](CN1CCCC1=O)c1cccc(C(F)(F)F)c1 ZINC000373210662 433129801 /nfs/dbraw/zinc/12/98/01/433129801.db2.gz HUVMVKUPMBXBBN-CQSZACIVSA-N 0 1 312.335 3.145 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N[C@H]1CCCC[C@H]1C)c1ccc(C#N)cc1 ZINC000298432920 433135783 /nfs/dbraw/zinc/13/57/83/433135783.db2.gz WUUJRIQJPIFRRG-BSXFFOKHSA-N 0 1 313.445 3.292 20 30 DGEDMN C=CCCCC(=O)NCCc1nnc(-c2ccc(Cl)cc2)[nH]1 ZINC000425300995 433149441 /nfs/dbraw/zinc/14/94/41/433149441.db2.gz VQDQNLYGKGYJEQ-UHFFFAOYSA-N 0 1 318.808 3.140 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@H](C)c1nc2ccccc2n1C(F)F ZINC000453338136 433102602 /nfs/dbraw/zinc/10/26/02/433102602.db2.gz ASCOHDVVYAUJCE-QKCSRTOESA-N 0 1 307.344 3.426 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](C)c2cc3cccc(F)c3o2)C1=O ZINC000337205084 433213151 /nfs/dbraw/zinc/21/31/51/433213151.db2.gz JTXRCAVAAFHPHD-FZMZJTMJSA-N 0 1 302.349 3.009 20 30 DGEDMN C[C@H]1CN(c2ncc(C#N)cc2F)[C@@H](C)CN1Cc1ccccc1 ZINC000413214594 433268314 /nfs/dbraw/zinc/26/83/14/433268314.db2.gz MIXRXOQTZBUUIX-GJZGRUSLSA-N 0 1 324.403 3.192 20 30 DGEDMN N#CC(C(=O)c1cccnc1C1CC1)c1cnc2ccccc2n1 ZINC000361524165 433223987 /nfs/dbraw/zinc/22/39/87/433223987.db2.gz JLBQFDDKMQIHSL-CQSZACIVSA-N 0 1 314.348 3.392 20 30 DGEDMN CN(C[C@H]1CCC[N@H+]1C)c1c(C#N)cnc2ccc(Cl)cc21 ZINC000420628942 433232131 /nfs/dbraw/zinc/23/21/31/433232131.db2.gz BPSIHGFOKOMEMV-CQSZACIVSA-N 0 1 314.820 3.290 20 30 DGEDMN Cc1cc(NC[C@@H](C)N2CCc3sccc3C2)cc(C#N)n1 ZINC000341740226 433244882 /nfs/dbraw/zinc/24/48/82/433244882.db2.gz DTYZWTOGGFLUSO-CYBMUJFWSA-N 0 1 312.442 3.182 20 30 DGEDMN COc1cc(OC)cc(OCCC[N@@H+](C)[C@H](C)CCC#N)c1 ZINC000298800498 433248574 /nfs/dbraw/zinc/24/85/74/433248574.db2.gz YYNDXXAGNCXJLS-CQSZACIVSA-N 0 1 306.406 3.097 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1cccc2c1OCO2 ZINC000341760897 433259845 /nfs/dbraw/zinc/25/98/45/433259845.db2.gz OBUCZDZFOGGWFE-QGZVFWFLSA-N 0 1 306.365 3.454 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000338785984 433323122 /nfs/dbraw/zinc/32/31/22/433323122.db2.gz CWRSGAZKYMYQDB-BETUJISGSA-N 0 1 312.392 3.083 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)CC[S@](=O)c1ccccc1 ZINC000425535293 433288028 /nfs/dbraw/zinc/28/80/28/433288028.db2.gz NDQWICYLMVNYML-NYHFZMIOSA-N 0 1 312.438 3.359 20 30 DGEDMN C[C@H](NCC(=O)Nc1cc(F)ccc1F)c1ccc(C#N)cc1 ZINC000338360963 433296252 /nfs/dbraw/zinc/29/62/52/433296252.db2.gz KNKUPMRTYDAQNI-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN C=C[C@H]1CCCCN1[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447878564 433308555 /nfs/dbraw/zinc/30/85/55/433308555.db2.gz MKBANQQFZPBNKT-WMZOPIPTSA-N 0 1 309.413 3.094 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000425698321 433347472 /nfs/dbraw/zinc/34/74/72/433347472.db2.gz FLILHRSMXZNCAR-MLGOLLRUSA-N 0 1 323.400 3.061 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)C1(C#N)CCCCC1)c1ccco1 ZINC000299361646 433361561 /nfs/dbraw/zinc/36/15/61/433361561.db2.gz OTYKZRSHQTXRSS-OAHLLOKOSA-N 0 1 317.433 3.253 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN([C@H](C)c1ccccc1)C1CC1 ZINC000299347650 433363762 /nfs/dbraw/zinc/36/37/62/433363762.db2.gz GFUZNYVQPPBCHR-DNVCBOLYSA-N 0 1 313.445 3.266 20 30 DGEDMN CC1(C)CN(C[C@@H](O)c2ccc(C#N)cc2)[C@@H]1c1cccnc1 ZINC000291840036 433369370 /nfs/dbraw/zinc/36/93/70/433369370.db2.gz DTKOVMBIVYLYFV-QZTJIDSGSA-N 0 1 307.397 3.070 20 30 DGEDMN N#Cc1ccc(/C=C\c2nc(-c3ccn4cncc4c3)no2)cc1 ZINC000447957573 433332075 /nfs/dbraw/zinc/33/20/75/433332075.db2.gz ZQPFOAWKYNLLPT-WAYWQWQTSA-N 0 1 313.320 3.426 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)Cc2ccc(Cl)s2)CC1 ZINC000299162460 433338625 /nfs/dbraw/zinc/33/86/25/433338625.db2.gz RQWYEIGPOJTVFG-UHFFFAOYSA-N 0 1 324.877 3.095 20 30 DGEDMN COc1cccc(C2(NCc3ccc(C#N)c(OC)c3)CC2)c1 ZINC000281807162 433389048 /nfs/dbraw/zinc/38/90/48/433389048.db2.gz VRYNLZUMPQVOQS-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)C[C@H]1Cc1ccccc1 ZINC000341992531 433400238 /nfs/dbraw/zinc/40/02/38/433400238.db2.gz NACKKNAIXGIHEB-LJQANCHMSA-N 0 1 323.415 3.056 20 30 DGEDMN C[C@@H](C(=O)Nc1sc2c(c1C#N)CCC2)N(C)CC(C)(C)C ZINC000339255192 433473764 /nfs/dbraw/zinc/47/37/64/433473764.db2.gz YLSUWUZOOLVJAO-NSHDSACASA-N 0 1 319.474 3.413 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NCC[N@H+](CC)CCC(F)(F)F ZINC000426374005 433476145 /nfs/dbraw/zinc/47/61/45/433476145.db2.gz WHAVEJDXQPGOTE-CYBMUJFWSA-N 0 1 321.387 3.011 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NCCN(CC)CCC(F)(F)F ZINC000426374005 433476152 /nfs/dbraw/zinc/47/61/52/433476152.db2.gz WHAVEJDXQPGOTE-CYBMUJFWSA-N 0 1 321.387 3.011 20 30 DGEDMN Cc1cccc(CN2CCN(c3ccc(F)cc3C#N)CC2)c1 ZINC000301342714 433516264 /nfs/dbraw/zinc/51/62/64/433516264.db2.gz GPAYNKXDXCQRBF-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN CSc1ccccc1[C@@H](C)NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000282175354 433519247 /nfs/dbraw/zinc/51/92/47/433519247.db2.gz VJEGIZWKPQEBGS-DYVFJYSZSA-N 0 1 319.474 3.114 20 30 DGEDMN CC(=O)N1CC[C@@H](NCc2ccc(C#N)s2)c2ccccc21 ZINC000362119354 433535513 /nfs/dbraw/zinc/53/55/13/433535513.db2.gz UABREUOVNBTTPY-MRXNPFEDSA-N 0 1 311.410 3.207 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cn[nH]c1-c1ccccc1 ZINC000355640197 433551646 /nfs/dbraw/zinc/55/16/46/433551646.db2.gz CRCPMUSWTMHSJU-UHFFFAOYSA-N 0 1 317.352 3.242 20 30 DGEDMN C=CCn1c(SCc2n[nH]c(C(C)(C)C)n2)nnc1C1CC1 ZINC000292353868 433558196 /nfs/dbraw/zinc/55/81/96/433558196.db2.gz FIWZZLMIJDGQPQ-UHFFFAOYSA-N 0 1 318.450 3.049 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000355391629 433543551 /nfs/dbraw/zinc/54/35/51/433543551.db2.gz MXEVAQNKJMFNCQ-UHFFFAOYSA-N 0 1 323.381 3.304 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(Cc1ccccc1C)C1CC1 ZINC000355433188 433543580 /nfs/dbraw/zinc/54/35/80/433543580.db2.gz FXAKDFLDQKEREB-UHFFFAOYSA-N 0 1 300.446 3.384 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000448918491 433611712 /nfs/dbraw/zinc/61/17/12/433611712.db2.gz SOTOEWNOUBONBT-UHFFFAOYSA-N 0 1 300.446 3.322 20 30 DGEDMN Cn1cc(CN2CC[C@H](C(F)(F)F)C3(CCC3)C2)cc1C#N ZINC000283070787 433658170 /nfs/dbraw/zinc/65/81/70/433658170.db2.gz QJFRTYXBSADEQC-AWEZNQCLSA-N 0 1 311.351 3.451 20 30 DGEDMN C=CCC1(CNC(=O)c2c[nH]c3ccccc3c2=O)CCCC1 ZINC000456482204 433686766 /nfs/dbraw/zinc/68/67/66/433686766.db2.gz DCKAOTZYARVOBX-UHFFFAOYSA-N 0 1 310.397 3.394 20 30 DGEDMN C/C=C\c1ccc(NS(=O)(=O)c2cnc(C)c(C#N)c2)cc1 ZINC000427307634 433688287 /nfs/dbraw/zinc/68/82/87/433688287.db2.gz IYQNEWCKWGNWCZ-ARJAWSKDSA-N 0 1 313.382 3.096 20 30 DGEDMN CC(C)C[C@@H](N)c1noc(C(C)(C)c2cc(F)cc(C#N)c2)n1 ZINC000414617280 433748666 /nfs/dbraw/zinc/74/86/66/433748666.db2.gz LAYHSBWHAXVYKT-CQSZACIVSA-N 0 1 316.380 3.452 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCC(C(F)(F)F)(C(F)(F)F)C2)C1 ZINC000429692139 433780897 /nfs/dbraw/zinc/78/08/97/433780897.db2.gz QXJXKJOFXNHWJZ-IUCAKERBSA-N 0 1 300.246 3.495 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(C#N)c(C)c1 ZINC000362931143 433914236 /nfs/dbraw/zinc/91/42/36/433914236.db2.gz PPDOBQXAWBYAGT-UHFFFAOYSA-N 0 1 319.408 3.387 20 30 DGEDMN COc1ccc(-c2n[nH]c(CNc3cccc(F)c3C#N)n2)cc1 ZINC000363027453 433952115 /nfs/dbraw/zinc/95/21/15/433952115.db2.gz BVSSMHNVDWCOGQ-UHFFFAOYSA-N 0 1 323.331 3.103 20 30 DGEDMN COc1ccc(-c2nc(CNc3cccc(F)c3C#N)n[nH]2)cc1 ZINC000363027453 433952127 /nfs/dbraw/zinc/95/21/27/433952127.db2.gz BVSSMHNVDWCOGQ-UHFFFAOYSA-N 0 1 323.331 3.103 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cccc(C#N)c1 ZINC000303912035 433972722 /nfs/dbraw/zinc/97/27/22/433972722.db2.gz UPWBJBYUSCQPLH-QGZVFWFLSA-N 0 1 305.425 3.265 20 30 DGEDMN C[C@H](NCCCOc1cccc(C#N)c1)c1c(F)cncc1F ZINC000285594586 434010413 /nfs/dbraw/zinc/01/04/13/434010413.db2.gz IYYDOVSNWUKOOC-LBPRGKRZSA-N 0 1 317.339 3.351 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1ccc(F)cc1O ZINC000436557751 434013030 /nfs/dbraw/zinc/01/30/30/434013030.db2.gz VLCCVGFCBCYLOO-UHFFFAOYSA-N 0 1 301.317 3.026 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)Nc1cccc2c1CN(CC(C)C)C2 ZINC000450187007 434020501 /nfs/dbraw/zinc/02/05/01/434020501.db2.gz JOXZPEJGNMGFOQ-UHFFFAOYSA-N 0 1 322.412 3.419 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)ccc1F ZINC000305084901 434037227 /nfs/dbraw/zinc/03/72/27/434037227.db2.gz YHNGEFOKPMKZOU-NVXWUHKLSA-N 0 1 314.360 3.378 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@@]3(C#N)CC34CCCC4)n2)cc1 ZINC000294617261 434124430 /nfs/dbraw/zinc/12/44/30/434124430.db2.gz NHJAEXCGSDSPOO-SFHVURJKSA-N 0 1 321.384 3.193 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cc(C#N)cs3)[nH]c21 ZINC000437353747 434252696 /nfs/dbraw/zinc/25/26/96/434252696.db2.gz JGQYLNDAKIHKSV-LLVKDONJSA-N 0 1 306.350 3.295 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccc2nc(C3CC3)sc2c1 ZINC000416933083 434254772 /nfs/dbraw/zinc/25/47/72/434254772.db2.gz AFWKOXJMXVVAKO-LLVKDONJSA-N 0 1 321.427 3.218 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCC(c2nc[nH]n2)CC1)c1ccccc1 ZINC000364498806 434274141 /nfs/dbraw/zinc/27/41/41/434274141.db2.gz YVIMCOCCORBOKH-KRWDZBQOSA-N 0 1 324.428 3.261 20 30 DGEDMN COC[C@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000437143403 434225941 /nfs/dbraw/zinc/22/59/41/434225941.db2.gz LXXYJDCHJORRMJ-ZDUSSCGKSA-N 0 1 310.344 3.018 20 30 DGEDMN C=CCC[C@@H](CO)NCc1c(F)ccc(Br)c1F ZINC000320313029 434237391 /nfs/dbraw/zinc/23/73/91/434237391.db2.gz VOFDXPLOGQNNOG-VIFPVBQESA-N 0 1 320.177 3.144 20 30 DGEDMN COc1ccc(C2=CCN(Cc3cc(C#N)n(C)c3)CC2)cc1 ZINC000365158739 434290306 /nfs/dbraw/zinc/29/03/06/434290306.db2.gz WAMPMQINAPYMAG-UHFFFAOYSA-N 0 1 307.397 3.195 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)Nc2ccc3nc(N(C)C)[nH]c3c2)CCC1=O ZINC000328743535 434305677 /nfs/dbraw/zinc/30/56/77/434305677.db2.gz JZYUKHZNLWVHBW-MNOVXSKESA-N 0 1 314.389 3.222 20 30 DGEDMN C[C@@H]1CCN(CC(=O)NC(=O)NC23CC4CC(CC(C4)C2)C3)C1 ZINC000328737014 434305832 /nfs/dbraw/zinc/30/58/32/434305832.db2.gz ZVDKFDZCPJIZBG-QLFDAEIZSA-N 0 1 319.449 3.168 20 30 DGEDMN O=C(N[C@H]1CCCc2cn[nH]c21)c1coc(C2CCCC2)n1 ZINC000328720690 434306547 /nfs/dbraw/zinc/30/65/47/434306547.db2.gz GXWXFAKLNUMBEW-LBPRGKRZSA-N 0 1 300.362 3.438 20 30 DGEDMN CSC1(CN2CCN(c3ccc(C#N)cc3Cl)CC2)CC1 ZINC000348414846 434311831 /nfs/dbraw/zinc/31/18/31/434311831.db2.gz VKGARCYQZXVMLR-UHFFFAOYSA-N 0 1 321.877 3.229 20 30 DGEDMN CCc1c(C)sc(NC(=O)c2ccc3cncn3c2)c1C#N ZINC000340731243 434328700 /nfs/dbraw/zinc/32/87/00/434328700.db2.gz BUUTXPHFGRUIQJ-UHFFFAOYSA-N 0 1 310.382 3.391 20 30 DGEDMN O=C(N[C@@H]1CCC[C@H]1c1ccccc1)N1CCc2cncnc2C1 ZINC000329274418 434383663 /nfs/dbraw/zinc/38/36/63/434383663.db2.gz JKKOPNXNNCJVGF-DLBZAZTESA-N 0 1 322.412 3.085 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+][C@H]3CCC(C)(C)C3)C2)c1 ZINC000329071745 434352617 /nfs/dbraw/zinc/35/26/17/434352617.db2.gz NWKIKUBANAHVQN-LSDHHAIUSA-N 0 1 304.438 3.321 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1Cc2ccccc2C1)c1cccc(C#N)c1 ZINC000359052768 434410967 /nfs/dbraw/zinc/41/09/67/434410967.db2.gz XOQVBBPHKUNLTC-CABCVRRESA-N 0 1 319.408 3.140 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)[C@@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600022 434424329 /nfs/dbraw/zinc/42/43/29/434424329.db2.gz LYZNKIWPSZJPFZ-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN CN(Cc1cccc(C#N)c1)[C@@H]1CCCN(CC(C)(C)C)C1=O ZINC000359600022 434424342 /nfs/dbraw/zinc/42/43/42/434424342.db2.gz LYZNKIWPSZJPFZ-QGZVFWFLSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)(C#N)CCCCN1CCc2c(nnn2-c2ccccc2)C1 ZINC000366602575 434460461 /nfs/dbraw/zinc/46/04/61/434460461.db2.gz OKZOOMZHDJYADF-UHFFFAOYSA-N 0 1 323.444 3.345 20 30 DGEDMN CC(C)[C@@H]1CCC[C@H](NC(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000329705765 434461534 /nfs/dbraw/zinc/46/15/34/434461534.db2.gz JOEFEXRGJWDHRE-QLFBSQMISA-N 0 1 318.465 3.376 20 30 DGEDMN [O-]C(N[C@@H]1CCc2[nH]cnc2C1)=[NH+]c1cccc2c1CCCC2 ZINC000329724886 434468240 /nfs/dbraw/zinc/46/82/40/434468240.db2.gz BRFOSQXDKFEBNH-CYBMUJFWSA-N 0 1 310.401 3.172 20 30 DGEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N[C@@H]1CCCCC12CCCC2 ZINC000329818844 434488423 /nfs/dbraw/zinc/48/84/23/434488423.db2.gz OXSZDFXEZNFOSD-HUUCEWRRSA-N 0 1 304.438 3.278 20 30 DGEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@@H]1CCCCC12CCCC2 ZINC000329818844 434488433 /nfs/dbraw/zinc/48/84/33/434488433.db2.gz OXSZDFXEZNFOSD-HUUCEWRRSA-N 0 1 304.438 3.278 20 30 DGEDMN COCC1(C#N)CCN(CCCC(=O)c2ccc(F)cc2)CC1 ZINC000450932716 434492720 /nfs/dbraw/zinc/49/27/20/434492720.db2.gz SLJOCRBHCJELKN-UHFFFAOYSA-N 0 1 318.392 3.041 20 30 DGEDMN C=CCCC[C@H](C)N1C[C@@H](C#N)[C@@]2(C1)c1ccccc1NC2=O ZINC000340955773 434455165 /nfs/dbraw/zinc/45/51/65/434455165.db2.gz ARJJEGSZCIKESL-KHYOSLBOSA-N 0 1 309.413 3.077 20 30 DGEDMN C[C@H](NCc1cccc(C#N)c1F)c1ccc([S@@](C)=O)cc1 ZINC000360210352 434549345 /nfs/dbraw/zinc/54/93/45/434549345.db2.gz XHTGNWNJWWJVCC-AMXDTQDGSA-N 0 1 316.401 3.286 20 30 DGEDMN COc1cc(NC(C)=O)ccc1[C@H](C)NCc1cccc(C#N)c1 ZINC000439076537 434562086 /nfs/dbraw/zinc/56/20/86/434562086.db2.gz IWWYQXKMJTVDSF-ZDUSSCGKSA-N 0 1 323.396 3.376 20 30 DGEDMN Cn1cc(CN2CCC[C@@H]2Cc2cc(F)ccc2F)cc1C#N ZINC000418102898 434589547 /nfs/dbraw/zinc/58/95/47/434589547.db2.gz VIKHHKWAHQTWRX-MRXNPFEDSA-N 0 1 315.367 3.382 20 30 DGEDMN C=CCOc1cccc(CN2C[C@@H](C)O[C@@]3(CCCOC3)C2)c1 ZINC000370706365 434617636 /nfs/dbraw/zinc/61/76/36/434617636.db2.gz BAUDOAYQVKIKRB-APWZRJJASA-N 0 1 317.429 3.021 20 30 DGEDMN CCOc1cc(C(=O)Nc2sc(C)c(CC)c2C#N)on1 ZINC000410433111 434645378 /nfs/dbraw/zinc/64/53/78/434645378.db2.gz KWPQAQIBADZZNF-UHFFFAOYSA-N 0 1 305.359 3.130 20 30 DGEDMN CC[C@H](C(=O)N[C@H]1CC[C@@H](CC#N)C1)N(C)Cc1ccccc1 ZINC000452556153 434644129 /nfs/dbraw/zinc/64/41/29/434644129.db2.gz KFQRYPMJKQTPMS-RYQLBKOJSA-N 0 1 313.445 3.096 20 30 DGEDMN COc1ccc2c(c1)OCC[C@H]2NCc1ccc(OC)c(C#N)c1 ZINC000369671365 434599238 /nfs/dbraw/zinc/59/92/38/434599238.db2.gz GHTBZDOHRPWJSM-QGZVFWFLSA-N 0 1 324.380 3.189 20 30 DGEDMN CC(C)c1cc(C(=O)N2CCC[C@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000369817932 434602136 /nfs/dbraw/zinc/60/21/36/434602136.db2.gz RRACTIIZRLSRGT-QAPCUYQASA-N 0 1 322.412 3.445 20 30 DGEDMN CCOC(=O)c1coc(CN[C@H]2CCCc3cc(C#N)ccc32)c1 ZINC000418145240 434604736 /nfs/dbraw/zinc/60/47/36/434604736.db2.gz MSMXADDXKNCNEP-SFHVURJKSA-N 0 1 324.380 3.495 20 30 DGEDMN C[C@H]1CCN(Cc2ccc(C#N)cc2Cl)C[C@H]1n1ccnc1 ZINC000439455755 434604872 /nfs/dbraw/zinc/60/48/72/434604872.db2.gz WCRRCZNTYZCHTC-SUMWQHHRSA-N 0 1 314.820 3.491 20 30 DGEDMN C[C@@H]1CCN(Cc2ccc(C#N)cc2Cl)C[C@H]1n1ccnc1 ZINC000439455752 434606726 /nfs/dbraw/zinc/60/67/26/434606726.db2.gz WCRRCZNTYZCHTC-CXAGYDPISA-N 0 1 314.820 3.491 20 30 DGEDMN CCOc1c(CNCC(C)(C)CC#N)c(C)nn1CC(C)C ZINC000459691639 434667576 /nfs/dbraw/zinc/66/75/76/434667576.db2.gz KUXYWZJDQFFQTC-UHFFFAOYSA-N 0 1 306.454 3.276 20 30 DGEDMN N#Cc1cccc(CN[C@@]2(CO)CCCc3ccccc32)c1F ZINC000440817782 434746942 /nfs/dbraw/zinc/74/69/42/434746942.db2.gz RAZYKSVYNGULKR-LJQANCHMSA-N 0 1 310.372 3.011 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2Br)[C@H](C)C1 ZINC000441340745 434763961 /nfs/dbraw/zinc/76/39/61/434763961.db2.gz LCYIOXSUBDUYNL-RISCZKNCSA-N 0 1 323.234 3.320 20 30 DGEDMN N#CC1(CCN2CCN(c3cncc(F)c3)CC2)CCCCC1 ZINC000411418780 434775560 /nfs/dbraw/zinc/77/55/60/434775560.db2.gz KBTMMBIREHKPGE-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN C=CCN(CCc1ccco1)[C@@H]1CCc2ccccc2NC1=O ZINC000411486654 434788778 /nfs/dbraw/zinc/78/87/78/434788778.db2.gz DYFITMVDOOFDGA-GOSISDBHSA-N 0 1 310.397 3.264 20 30 DGEDMN C[N@H+](Cc1ccc(C#N)cn1)C1CCN(Cc2ccccc2)CC1 ZINC000573184514 434879139 /nfs/dbraw/zinc/87/91/39/434879139.db2.gz LKFRKULJARLTFB-UHFFFAOYSA-N 0 1 320.440 3.050 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(CC)C[C@H]1C)c1ccccc1 ZINC000553316023 434886317 /nfs/dbraw/zinc/88/63/17/434886317.db2.gz FOOFSZIVUZLWMI-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN Cc1nc(N2CCN(CCC(C)(C)C)CC2)c(Cl)cc1C#N ZINC000461782187 434886680 /nfs/dbraw/zinc/88/66/80/434886680.db2.gz ZTBRWSJNEFOURA-UHFFFAOYSA-N 0 1 320.868 3.473 20 30 DGEDMN N#Cc1csc(CN[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)n1 ZINC000573023240 434870032 /nfs/dbraw/zinc/87/00/32/434870032.db2.gz GBMAJYCXTLWOFA-MJHDQNEOSA-N 0 1 305.353 3.329 20 30 DGEDMN C[C@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)N1CCC(CCC#N)CC1 ZINC000574273570 434966487 /nfs/dbraw/zinc/96/64/87/434966487.db2.gz MKAMXZKHOMCUPY-HPFXQQBRSA-N 0 1 319.493 3.332 20 30 DGEDMN N#Cc1ccc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)nc1 ZINC000554366616 434924041 /nfs/dbraw/zinc/92/40/41/434924041.db2.gz OMGSLNNMBKFZHJ-HNAYVOBHSA-N 0 1 307.397 3.211 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2[C@H](C)[C@@H]2C2CC2)cc1 ZINC000528918546 435030633 /nfs/dbraw/zinc/03/06/33/435030633.db2.gz JFVXVLGBPSFOKO-GAEVZRCVSA-N 0 1 310.397 3.188 20 30 DGEDMN C[C@@H]1C[C@H](C)N(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000524620122 434982045 /nfs/dbraw/zinc/98/20/45/434982045.db2.gz VUGCJQDHNBKHTC-KGLIPLIRSA-N 0 1 319.836 3.317 20 30 DGEDMN CN(C(=O)C[N@H+]1CCC[C@@H]1C(C)(C)C)C1(C#N)CCCCC1 ZINC000524756759 434988020 /nfs/dbraw/zinc/98/80/20/434988020.db2.gz NGZBXMHPHMIEHW-OAHLLOKOSA-N 0 1 305.466 3.182 20 30 DGEDMN Cc1cccn2c(CNC3(c4cccc(C#N)c4)CC3)cnc12 ZINC000556409265 435006404 /nfs/dbraw/zinc/00/64/04/435006404.db2.gz BBNGQSVXSBVNLN-UHFFFAOYSA-N 0 1 302.381 3.293 20 30 DGEDMN C=CCOc1cccc(C[NH2+][C@@H](C)c2ncc(C(=O)[O-])s2)c1 ZINC000577062318 435058867 /nfs/dbraw/zinc/05/88/67/435058867.db2.gz FUIFNEGNPRJTEN-NSHDSACASA-N 0 1 318.398 3.257 20 30 DGEDMN C[C@@H]1C[C@@H](C(C)(C)C)CCN1CC(=O)NC1(C#N)CCCCC1 ZINC000558177546 435080796 /nfs/dbraw/zinc/08/07/96/435080796.db2.gz MBRZJAQCXOSSEJ-CVEARBPZSA-N 0 1 319.493 3.476 20 30 DGEDMN C=CCCN(C)CC(=O)N[C@H](c1cccs1)c1ccccc1 ZINC000467295880 435116841 /nfs/dbraw/zinc/11/68/41/435116841.db2.gz VTZDSJKYQVWCQV-SFHVURJKSA-N 0 1 314.454 3.462 20 30 DGEDMN N#Cc1ccsc1NC(=O)CCN1CC[C@@H]1c1ccccc1 ZINC000533335005 435226515 /nfs/dbraw/zinc/22/65/15/435226515.db2.gz ISIVJYUKGXODKA-OAHLLOKOSA-N 0 1 311.410 3.395 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN([C@H]2CC[C@@H](C#N)C2)CC1(C)C ZINC000496084022 435208486 /nfs/dbraw/zinc/20/84/86/435208486.db2.gz OTPVXYVAFVEXKX-KGLIPLIRSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@@H](CC#N)NCCc1cc(Cl)c2c(c1)OCCCO2 ZINC000583508775 435242100 /nfs/dbraw/zinc/24/21/00/435242100.db2.gz TTYHYCCBEFHQMC-ZDUSSCGKSA-N 0 1 308.809 3.326 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](CC(F)F)c1ccccc1 ZINC000562933871 435264211 /nfs/dbraw/zinc/26/42/11/435264211.db2.gz VYFNATCKZHEWKN-OAHLLOKOSA-N 0 1 310.388 3.397 20 30 DGEDMN C[C@H](C#N)CN(C)CCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000497010650 435286225 /nfs/dbraw/zinc/28/62/25/435286225.db2.gz ZFYCPJOQIZFFHA-CQSZACIVSA-N 0 1 309.454 3.115 20 30 DGEDMN Cc1cc(F)ccc1N1CCC[C@H](NC[C@@H](C#N)CCC#N)C1 ZINC000567079734 435291589 /nfs/dbraw/zinc/29/15/89/435291589.db2.gz WUXYPVUAXBSHEY-WBVHZDCISA-N 0 1 314.408 3.136 20 30 DGEDMN COC(=O)c1cccc(CN2CCC[C@@H]2C(C)(C)C)c1C#N ZINC000535965602 435364908 /nfs/dbraw/zinc/36/49/08/435364908.db2.gz ZPNRARVKKGNXKE-MRXNPFEDSA-N 0 1 300.402 3.355 20 30 DGEDMN C=CC(C)(C)CCNC(=O)N[C@H](C)c1n[nH]c([C@H](C)CC)n1 ZINC000637324117 435425827 /nfs/dbraw/zinc/42/58/27/435425827.db2.gz PMTMMHIWKTVJPN-VXGBXAGGSA-N 0 1 307.442 3.281 20 30 DGEDMN C=CC(C)(C)CCNC(=O)N[C@@H](C)c1n[nH]c([C@H](C)CC)n1 ZINC000637324111 435425882 /nfs/dbraw/zinc/42/58/82/435425882.db2.gz PMTMMHIWKTVJPN-NEPJUHHUSA-N 0 1 307.442 3.281 20 30 DGEDMN C=C(C)[C@H](CO)N1CCc2sc(-c3csc(C)n3)cc2C1 ZINC000649407319 435581125 /nfs/dbraw/zinc/58/11/25/435581125.db2.gz BDXCAMSIEQRBCR-AWEZNQCLSA-N 0 1 320.483 3.475 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](C)c1ccccc1OC(C)C ZINC000540323081 435595395 /nfs/dbraw/zinc/59/53/95/435595395.db2.gz AVCOOPIGZOLREV-MRXNPFEDSA-N 0 1 316.445 3.325 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccccc1OC(C)C ZINC000540323080 435596566 /nfs/dbraw/zinc/59/65/66/435596566.db2.gz AVCOOPIGZOLREV-INIZCTEOSA-N 0 1 316.445 3.325 20 30 DGEDMN C=CCCCCCN(C)C(=O)Nc1cc(CN(C)C)ccn1 ZINC000640563113 435602126 /nfs/dbraw/zinc/60/21/26/435602126.db2.gz RCYVPYXDKUMLDV-UHFFFAOYSA-N 0 1 304.438 3.353 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)Nc1cc(CN(C)C)ccn1 ZINC000640557943 435603117 /nfs/dbraw/zinc/60/31/17/435603117.db2.gz YKULNGAUFXDFOX-UHFFFAOYSA-N 0 1 324.428 3.363 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2-c2nnc[nH]2)cc1Cl ZINC000548306223 435682987 /nfs/dbraw/zinc/68/29/87/435682987.db2.gz JIZMKKIEPMDNLD-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN CC#CC[N@H+](Cc1cc(C)nc(N2CCCCC2)n1)CC(C)C ZINC000491017967 435697199 /nfs/dbraw/zinc/69/71/99/435697199.db2.gz GCKOHWXSLSCGMH-UHFFFAOYSA-N 0 1 314.477 3.257 20 30 DGEDMN CC#CCN(Cc1cc(C)nc(N2CCCCC2)n1)CC(C)C ZINC000491017967 435697203 /nfs/dbraw/zinc/69/72/03/435697203.db2.gz GCKOHWXSLSCGMH-UHFFFAOYSA-N 0 1 314.477 3.257 20 30 DGEDMN Cc1cc(C)c(CN2CCN(c3cnccc3C#N)CC2)cc1C ZINC000520483795 435749392 /nfs/dbraw/zinc/74/93/92/435749392.db2.gz UGZRTDBNYPKFRG-UHFFFAOYSA-N 0 1 320.440 3.201 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(C)(C)C)C[C@H]2C)CC1 ZINC000491264929 435723761 /nfs/dbraw/zinc/72/37/61/435723761.db2.gz IUBPOAOQNQBBIJ-NVXWUHKLSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CC[C@H]1CC[C@@H]([NH2+]Cc2nnc(COc3ccccc3)[n-]2)C1 ZINC000651764623 435820509 /nfs/dbraw/zinc/82/05/09/435820509.db2.gz HFGOOWCPKCPEQS-LSDHHAIUSA-N 0 1 312.417 3.218 20 30 DGEDMN C=C(CC)CNC(=O)N1CC[N@@H+](Cc2ccccc2)CC[C@@H]1C ZINC000656251500 435923901 /nfs/dbraw/zinc/92/39/01/435923901.db2.gz BKTRZQHJOGWPBS-KRWDZBQOSA-N 0 1 315.461 3.259 20 30 DGEDMN C=CCCC1(CNC(=O)N[C@H](C)Cc2cc(C)[nH]n2)CCCC1 ZINC000660929366 435924874 /nfs/dbraw/zinc/92/48/74/435924874.db2.gz FRDHWFIGRFHVFK-CQSZACIVSA-N 0 1 318.465 3.475 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NCc1nc(CC(F)(F)F)no1 ZINC000661115750 435970121 /nfs/dbraw/zinc/97/01/21/435970121.db2.gz DXWDGJSOQWHJNM-GHMZBOCLSA-N 0 1 303.328 3.399 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@H]2CCCC[N@H+]2C2CC2)C1 ZINC000661072280 435957775 /nfs/dbraw/zinc/95/77/75/435957775.db2.gz WXXFKZADIBVOSQ-NVXWUHKLSA-N 0 1 305.466 3.001 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000661378934 436048471 /nfs/dbraw/zinc/04/84/71/436048471.db2.gz OUAPTBWEBKZOCT-KBPBESRZSA-N 0 1 316.401 3.030 20 30 DGEDMN C=CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccnn2C(C)C)C1 ZINC000661378335 436050251 /nfs/dbraw/zinc/05/02/51/436050251.db2.gz CWGDTHYPSGFBDF-GJZGRUSLSA-N 0 1 304.438 3.079 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@H](c1ccccc1F)C(C)C ZINC000661484544 436080455 /nfs/dbraw/zinc/08/04/55/436080455.db2.gz HQRHZUJWXJKBQR-SFHVURJKSA-N 0 1 304.409 3.313 20 30 DGEDMN C=CCCn1cc(CNCC(C)(C)c2ccccc2F)nn1 ZINC000657338559 436145427 /nfs/dbraw/zinc/14/54/27/436145427.db2.gz BJOLCEHOLQSJCS-UHFFFAOYSA-N 0 1 302.397 3.061 20 30 DGEDMN C=CCCn1cc(CNCCCSc2ccccc2)nn1 ZINC000657289351 436117771 /nfs/dbraw/zinc/11/77/71/436117771.db2.gz PLXXZZHTDPICDE-UHFFFAOYSA-N 0 1 302.447 3.126 20 30 DGEDMN C=CCCn1cc(CNC[C@@H](CC)Oc2cccc(C)c2)nn1 ZINC000657390077 436174290 /nfs/dbraw/zinc/17/42/90/436174290.db2.gz SJLZMRVQUOFTEK-QGZVFWFLSA-N 0 1 314.433 3.110 20 30 DGEDMN C=CCCn1cc(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)nn1 ZINC000653558121 436203995 /nfs/dbraw/zinc/20/39/95/436203995.db2.gz VFBZWTCXUHFZDU-HOCLYGCPSA-N 0 1 314.408 3.371 20 30 DGEDMN C=CCC1(NCc2ncc(C(F)(F)F)s2)CCOCC1 ZINC000657632935 436265284 /nfs/dbraw/zinc/26/52/84/436265284.db2.gz CXUYCMORTBWWIF-UHFFFAOYSA-N 0 1 306.353 3.377 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC000662077957 436267465 /nfs/dbraw/zinc/26/74/65/436267465.db2.gz GLDWLGZRGZABRX-ZJPYXAASSA-N 0 1 302.462 3.351 20 30 DGEDMN N#Cc1ccc(N[C@@H]2CCCC[C@H]2N2CCOCC2)cc1Cl ZINC000532167036 313524433 /nfs/dbraw/zinc/52/44/33/313524433.db2.gz AOEYNOJDUMFLFY-IAGOWNOFSA-N 0 1 319.836 3.267 20 30 DGEDMN COc1cc(C#N)ccc1CNC1(c2ccccc2)CCOCC1 ZINC000535045917 313694715 /nfs/dbraw/zinc/69/47/15/313694715.db2.gz ZEFTVSRNTUZXGT-UHFFFAOYSA-N 0 1 322.408 3.362 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cn1)c1cccc(OC(F)F)c1 ZINC000554364541 314676617 /nfs/dbraw/zinc/67/66/17/314676617.db2.gz IBAMUWWEHOEAOH-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN COC(=O)c1cccc(CN2CC(C)(C)[C@H]3CCC[C@H]32)c1C#N ZINC000566022986 315305616 /nfs/dbraw/zinc/30/56/16/315305616.db2.gz FPKQQLBVWFYANX-DLBZAZTESA-N 0 1 312.413 3.355 20 30 DGEDMN CC(C)CCC1CCN(Cc2cnc3c(C#N)cnn3c2)CC1 ZINC000567895609 315458912 /nfs/dbraw/zinc/45/89/12/315458912.db2.gz RFHMXNHWIKIIMC-UHFFFAOYSA-N 0 1 311.433 3.249 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)nc1 ZINC000569862918 315608784 /nfs/dbraw/zinc/60/87/84/315608784.db2.gz LCRGMMRKVWOYTB-IBGZPJMESA-N 0 1 321.424 3.337 20 30 DGEDMN Cc1cnc([C@@H](NCCOc2ccc(C#N)cc2)C2CC2)s1 ZINC000185315633 323386774 /nfs/dbraw/zinc/38/67/74/323386774.db2.gz RFBZHVFMGCQKQU-INIZCTEOSA-N 0 1 313.426 3.443 20 30 DGEDMN CC[N@@H+](Cc1cccc(C(=O)OC)n1)[C@H](C)c1ccc(C#N)cc1 ZINC000582764910 324271772 /nfs/dbraw/zinc/27/17/72/324271772.db2.gz PDYCZFGCSOHLKC-CQSZACIVSA-N 0 1 323.396 3.323 20 30 DGEDMN CCN(Cc1cccc(C(=O)OC)n1)[C@H](C)c1ccc(C#N)cc1 ZINC000582764910 324271774 /nfs/dbraw/zinc/27/17/74/324271774.db2.gz PDYCZFGCSOHLKC-CQSZACIVSA-N 0 1 323.396 3.323 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CCC(CCC#N)CC1 ZINC000566852675 332589560 /nfs/dbraw/zinc/58/95/60/332589560.db2.gz LJIXCZTZVHCOIV-VYDXJSESSA-N 0 1 305.466 3.086 20 30 DGEDMN N#C[C@@H]1CCC[C@H](Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000185728469 333212975 /nfs/dbraw/zinc/21/29/75/333212975.db2.gz YYJFKXNUPXNNTA-MJGOQNOKSA-N 0 1 313.445 3.056 20 30 DGEDMN CN(C)[C@@H](CNC(=O)C1(C#N)CCCC1)c1ccc(Cl)cc1 ZINC000531305678 333341355 /nfs/dbraw/zinc/34/13/55/333341355.db2.gz FKYHCSCZEYKNEN-HNNXBMFYSA-N 0 1 319.836 3.143 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@H](c2ccncc2)C1 ZINC000295689719 335137039 /nfs/dbraw/zinc/13/70/39/335137039.db2.gz DGHLLTGJBKFQCY-AEFFLSMTSA-N 0 1 315.461 3.074 20 30 DGEDMN COc1cccc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000345389987 335322582 /nfs/dbraw/zinc/32/25/82/335322582.db2.gz CJAOZCGOMXDTTR-AWEZNQCLSA-N 0 1 305.337 3.370 20 30 DGEDMN CCCN(CC(=O)N(CC)C[C@H](C)C#N)Cc1ccc(C)cc1 ZINC000532355461 336243017 /nfs/dbraw/zinc/24/30/17/336243017.db2.gz UKDNYFALGNPZJZ-QGZVFWFLSA-N 0 1 315.461 3.215 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@H]1CCCc2ccccc21 ZINC000532355540 336243062 /nfs/dbraw/zinc/24/30/62/336243062.db2.gz KRCPYIBBFMVPBI-YJBOKZPZSA-N 0 1 313.445 3.004 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CC[C@H](c2cccc(F)c2)C1 ZINC000584367000 337223889 /nfs/dbraw/zinc/22/38/89/337223889.db2.gz YGGSYHUBLNTMRZ-WBVHZDCISA-N 0 1 316.420 3.204 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000056950346 337854248 /nfs/dbraw/zinc/85/42/48/337854248.db2.gz IULQYRAALYEMCR-INIZCTEOSA-N 0 1 307.413 3.036 20 30 DGEDMN COc1cccc(NC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000505425415 340168118 /nfs/dbraw/zinc/16/81/18/340168118.db2.gz AKOHDFQYBDGCOC-CQSZACIVSA-N 0 1 320.352 3.126 20 30 DGEDMN C=CCN(CC=C)Cc1cc(Br)c2c(c1)OCCO2 ZINC000505907741 340181391 /nfs/dbraw/zinc/18/13/91/340181391.db2.gz PTLOJOPDBNSSMP-UHFFFAOYSA-N 0 1 324.218 3.394 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc2c(c1)NC(=O)CO2 ZINC000507374674 340218292 /nfs/dbraw/zinc/21/82/92/340218292.db2.gz YZWDOIXIWUYIEW-UHFFFAOYSA-N 0 1 308.381 3.206 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCc1ccccc1[N+](=O)[O-] ZINC000511135388 340321961 /nfs/dbraw/zinc/32/19/61/340321961.db2.gz FPDXLMODYFNUKX-UHFFFAOYSA-N 0 1 312.344 3.260 20 30 DGEDMN C[N@@H+](CCCC(C)(C)C#N)CC(=O)Nc1cc(F)cc(F)c1 ZINC000174843128 340397463 /nfs/dbraw/zinc/39/74/63/340397463.db2.gz AZRKWRHAGJTVBR-UHFFFAOYSA-N 0 1 309.360 3.165 20 30 DGEDMN CN(CCCC(C)(C)C#N)CC(=O)Nc1cc(F)cc(F)c1 ZINC000174843128 340397464 /nfs/dbraw/zinc/39/74/64/340397464.db2.gz AZRKWRHAGJTVBR-UHFFFAOYSA-N 0 1 309.360 3.165 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccccc1-c1ccccc1 ZINC000088474745 340461215 /nfs/dbraw/zinc/46/12/15/340461215.db2.gz SVORWFDHCQAVQJ-AWEZNQCLSA-N 0 1 300.383 3.397 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)c1cc2ccccc2o1 ZINC000530340165 340788591 /nfs/dbraw/zinc/78/85/91/340788591.db2.gz IHYBALNGZQIGPT-OLZOCXBDSA-N 0 1 311.385 3.034 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000540557942 340936134 /nfs/dbraw/zinc/93/61/34/340936134.db2.gz DAWXWCQFCGHVOR-YOEHRIQHSA-N 0 1 321.440 3.472 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000131595949 341100265 /nfs/dbraw/zinc/10/02/65/341100265.db2.gz KUGFVCPZEDYAGP-CQSZACIVSA-N 0 1 319.836 3.319 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N1CC[C@H](C)C(C)(C)C1 ZINC000545419192 341124497 /nfs/dbraw/zinc/12/44/97/341124497.db2.gz GQOZSXYKYAZMLG-LSDHHAIUSA-N 0 1 305.466 3.086 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)[N@@H+](C)CC2CC2)c1 ZINC000077194705 341220336 /nfs/dbraw/zinc/22/03/36/341220336.db2.gz AMBBTRUDQQBKGA-INIZCTEOSA-N 0 1 313.445 3.280 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN(C)CCC2CC2)c1 ZINC000135248143 341234259 /nfs/dbraw/zinc/23/42/59/341234259.db2.gz GYWBXNPRXSNUFM-UHFFFAOYSA-N 0 1 313.445 3.282 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1 ZINC000171821527 341785982 /nfs/dbraw/zinc/78/59/82/341785982.db2.gz WCGQYOCBDBEYMB-INIZCTEOSA-N 0 1 317.433 3.024 20 30 DGEDMN CCN(CCC#N)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000275182562 500684660 /nfs/dbraw/zinc/68/46/60/500684660.db2.gz WZLHJVDFVVNITG-UHFFFAOYSA-N 0 1 308.794 3.167 20 30 DGEDMN Cc1cc(CN[C@@H]2C[C@@H](C)N(c3ccccc3)C2=O)ccc1C#N ZINC000444002255 533725995 /nfs/dbraw/zinc/72/59/95/533725995.db2.gz NCUZDJXZJFGGCB-DNVCBOLYSA-N 0 1 319.408 3.150 20 30 DGEDMN Cc1c(C)c(C)c(CNC(=O)N[C@H](C)c2nnc[nH]2)c(C)c1C ZINC000330740565 533826545 /nfs/dbraw/zinc/82/65/45/533826545.db2.gz RGDZWWNSCZVGIK-CQSZACIVSA-N 0 1 315.421 3.112 20 30 DGEDMN Cc1c(C)c(C)c(CNC(=O)N[C@@H](C)c2nnc[nH]2)c(C)c1C ZINC000330740564 533826799 /nfs/dbraw/zinc/82/67/99/533826799.db2.gz RGDZWWNSCZVGIK-AWEZNQCLSA-N 0 1 315.421 3.112 20 30 DGEDMN COc1cc(CNCc2ccnc(C(F)(F)F)c2)ccc1C#N ZINC000295001898 533886723 /nfs/dbraw/zinc/88/67/23/533886723.db2.gz INIYOPPZYMWQLE-UHFFFAOYSA-N 0 1 321.302 3.270 20 30 DGEDMN C[C@H](NCc1cnn(CC(F)(F)F)c1)c1ccc(C#N)cc1 ZINC000319956094 533939636 /nfs/dbraw/zinc/93/96/36/533939636.db2.gz AMNBWCFWCFQYGL-NSHDSACASA-N 0 1 308.307 3.168 20 30 DGEDMN C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1CCCC(C)(C)C#N ZINC000429385246 534299216 /nfs/dbraw/zinc/29/92/16/534299216.db2.gz XMYWCMBLKQFZNR-GASCZTMLSA-N 0 1 307.482 3.284 20 30 DGEDMN Cc1noc(C)c1CCn1[nH]c(C(C)(C)C)c(CCC#N)c1=O ZINC000358700564 534349797 /nfs/dbraw/zinc/34/97/97/534349797.db2.gz YSCBPMHBXGGMEK-UHFFFAOYSA-N 0 1 316.405 3.190 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C[C@H](C#N)CCC#N)C3)[nH]c2c1 ZINC000181143257 534361888 /nfs/dbraw/zinc/36/18/88/534361888.db2.gz SLKJLMMNWSNVKK-JKSUJKDBSA-N 0 1 321.428 3.494 20 30 DGEDMN Cc1ccc2nc(CNC(=O)Nc3ccc(CC#N)cc3)[nH]c2c1 ZINC000342150293 534502685 /nfs/dbraw/zinc/50/26/85/534502685.db2.gz QDCZHMKDTTYNRZ-UHFFFAOYSA-N 0 1 319.368 3.259 20 30 DGEDMN Cc1ccc2ncc(C#N)c(NCc3n[nH]c(C(C)C)n3)c2c1 ZINC000294987630 534510640 /nfs/dbraw/zinc/51/06/40/534510640.db2.gz PXYMETAHKOVPPX-UHFFFAOYSA-N 0 1 306.373 3.269 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(C)[C@H](C)c1ccc(F)cc1 ZINC000489442163 534558680 /nfs/dbraw/zinc/55/86/80/534558680.db2.gz SFNZWCOWICXIHS-RHSMWYFYSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1ccc(Br)cc1C#N ZINC000451680265 534573321 /nfs/dbraw/zinc/57/33/21/534573321.db2.gz JGWIQENIWSRAIP-CABCVRRESA-N 0 1 321.218 3.144 20 30 DGEDMN Cc1nc2c(cccc2C(=O)N(CCC#N)Cc2ccco2)[nH]1 ZINC000338578420 534667058 /nfs/dbraw/zinc/66/70/58/534667058.db2.gz BOGAJTABJCVFRA-UHFFFAOYSA-N 0 1 308.341 3.020 20 30 DGEDMN C=C[C@H]1CCCCN1CC(=O)N(C)[C@@H](C)c1ccc(F)cc1 ZINC000489442165 534693360 /nfs/dbraw/zinc/69/33/60/534693360.db2.gz SFNZWCOWICXIHS-YOEHRIQHSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C[C@H]1CCCCN1CCC(=O)c1ccc2c(c1)OCCO2 ZINC000489430961 534694732 /nfs/dbraw/zinc/69/47/32/534694732.db2.gz HQCSSXTVPNUUCE-HNNXBMFYSA-N 0 1 301.386 3.071 20 30 DGEDMN Cn1c2ccccc2c(Cl)c1C(=O)C(C#N)c1ccncn1 ZINC000354474940 534712504 /nfs/dbraw/zinc/71/25/04/534712504.db2.gz XJUNTRJYGAVHKA-LLVKDONJSA-N 0 1 310.744 3.112 20 30 DGEDMN N#CCc1ccc(CN2CCCC[C@@H]2[C@@H](O)C(F)(F)F)cc1 ZINC000343721769 534718137 /nfs/dbraw/zinc/71/81/37/534718137.db2.gz WSFXHGBOIFGIEE-HUUCEWRRSA-N 0 1 312.335 3.030 20 30 DGEDMN N#Cc1cccc(C2(C(=O)Nc3ccc4nc[nH]c4c3)CC2)c1 ZINC000435953764 534803310 /nfs/dbraw/zinc/80/33/10/534803310.db2.gz AVSPDDSUQVAUNE-UHFFFAOYSA-N 0 1 302.337 3.105 20 30 DGEDMN Cc1ccccc1NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169247848 534825889 /nfs/dbraw/zinc/82/58/89/534825889.db2.gz SAZDFJHYLUBFTG-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN CC(C)(C)OC(=O)NCC[C@H]1CCCCN1CC1(C#N)CC1 ZINC000495642273 534888602 /nfs/dbraw/zinc/88/86/02/534888602.db2.gz SAQADSNKEIDCSO-CQSZACIVSA-N 0 1 307.438 3.059 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C)c(C(=O)OC)o1 ZINC000352702435 526325554 /nfs/dbraw/zinc/32/55/54/526325554.db2.gz WNHDALRYPKWLCB-UHFFFAOYSA-N 0 1 303.358 3.198 20 30 DGEDMN C=CC[N@@H+](CCc1ccco1)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339078666 526327379 /nfs/dbraw/zinc/32/73/79/526327379.db2.gz GPJMUQXVWIPKJO-UHFFFAOYSA-N 0 1 302.330 3.124 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1cc([O-])ccc1[N+](=O)[O-] ZINC000339078666 526327384 /nfs/dbraw/zinc/32/73/84/526327384.db2.gz GPJMUQXVWIPKJO-UHFFFAOYSA-N 0 1 302.330 3.124 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000160060001 526356122 /nfs/dbraw/zinc/35/61/22/526356122.db2.gz AVBOCOLKVYJDAJ-UHFFFAOYSA-N 0 1 318.380 3.300 20 30 DGEDMN CC#CCN(C)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000341012258 526356664 /nfs/dbraw/zinc/35/66/64/526356664.db2.gz WZYUOLNIRNPGCO-UHFFFAOYSA-N 0 1 309.394 3.387 20 30 DGEDMN C=CCN(Cc1occc1C)[C@@H](C)c1cccc(-n2cnnn2)c1 ZINC000353505467 526383857 /nfs/dbraw/zinc/38/38/57/526383857.db2.gz VPXACHMSLWPIKP-HNNXBMFYSA-N 0 1 323.400 3.313 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(-c2csc(C)n2)cc1 ZINC000358713294 526571232 /nfs/dbraw/zinc/57/12/32/526571232.db2.gz GAOPJHWNAMEBTK-LBPRGKRZSA-N 0 1 315.442 3.071 20 30 DGEDMN N#Cc1ccc(CN2CCC(C3CCOCC3)CC2)c(F)c1 ZINC000153690090 526609777 /nfs/dbraw/zinc/60/97/77/526609777.db2.gz NGBPJMKCMYMEKL-UHFFFAOYSA-N 0 1 302.393 3.336 20 30 DGEDMN C=C(C)[C@@H](NC(=O)c1[nH]nc2c1CCC2)c1ccc(F)c(F)c1 ZINC000355768402 526756158 /nfs/dbraw/zinc/75/61/58/526756158.db2.gz HDZXAKNZPIPWRX-OAHLLOKOSA-N 0 1 317.339 3.224 20 30 DGEDMN C=CCOCCCN1CCc2c(ncn2-c2ccc(C)cc2)C1 ZINC000348164794 526759229 /nfs/dbraw/zinc/75/92/29/526759229.db2.gz BNXCKQNAUQIXIT-UHFFFAOYSA-N 0 1 311.429 3.132 20 30 DGEDMN C=CCOCCN(C)Cc1ccc(Br)c(F)c1 ZINC000339127292 526768864 /nfs/dbraw/zinc/76/88/64/526768864.db2.gz ZOVBTENNMILNDE-UHFFFAOYSA-N 0 1 302.187 3.223 20 30 DGEDMN C=CCOCCN1CCN(c2cccc(C(F)(F)F)c2)CC1 ZINC000338887178 526772235 /nfs/dbraw/zinc/77/22/35/526772235.db2.gz PUAUUCYOEMYZGQ-UHFFFAOYSA-N 0 1 314.351 3.030 20 30 DGEDMN C=C(C)[C@H]1OCC[C@@H]1CN=c1nc(-c2ccccc2)[nH]s1 ZINC000435600934 526828207 /nfs/dbraw/zinc/82/82/07/526828207.db2.gz HKVBDJTWPCQSAU-ZIAGYGMSSA-N 0 1 301.415 3.020 20 30 DGEDMN C=CCOc1ccc(CN(Cc2n[nH]c(C)n2)C(C)(C)C)cc1 ZINC000428368221 526864039 /nfs/dbraw/zinc/86/40/39/526864039.db2.gz CNGGJWWKJLAIII-UHFFFAOYSA-N 0 1 314.433 3.479 20 30 DGEDMN C=CCOc1ccc(CN2CCOCC3(CCC3)C2)cc1OC ZINC000355525431 526870074 /nfs/dbraw/zinc/87/00/74/526870074.db2.gz VFDWHLBATUQHPN-UHFFFAOYSA-N 0 1 317.429 3.263 20 30 DGEDMN C=CCOc1cccc(CN2CCc3ccccc3[C@H]2CO)c1 ZINC000353691832 526902544 /nfs/dbraw/zinc/90/25/44/526902544.db2.gz USOYWMILTSRUSC-HXUWFJFHSA-N 0 1 309.409 3.343 20 30 DGEDMN CC(C)(CNC(=O)c1c(F)ccc([O-])c1F)[NH+]1CCCCC1 ZINC000330833938 526949333 /nfs/dbraw/zinc/94/93/33/526949333.db2.gz KSBAPMJGVDFRPW-UHFFFAOYSA-N 0 1 312.360 3.240 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000432111675 527008307 /nfs/dbraw/zinc/00/83/07/527008307.db2.gz ASNLFUPPLMXZKX-MRXNPFEDSA-N 0 1 321.440 3.330 20 30 DGEDMN C=CC[C@H](C)NC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000116943110 527129223 /nfs/dbraw/zinc/12/92/23/527129223.db2.gz XLKCUXMVJVYNQI-AWEZNQCLSA-N 0 1 319.424 3.054 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000347745325 527152232 /nfs/dbraw/zinc/15/22/32/527152232.db2.gz NLXYYIXPSQZYBB-QAPCUYQASA-N 0 1 315.461 3.087 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000342725903 527324447 /nfs/dbraw/zinc/32/44/47/527324447.db2.gz SHIBSLIGVMNEQO-LBPRGKRZSA-N 0 1 310.825 3.492 20 30 DGEDMN C=CCN1C(=O)N=NC1SCCCOc1ccc(C)c(C)c1 ZINC000348110213 527331917 /nfs/dbraw/zinc/33/19/17/527331917.db2.gz BQHVNOPOOVPICP-UHFFFAOYSA-N 0 1 319.430 3.348 20 30 DGEDMN C=CCN1C(=O)N=NC1S[C@@H](CCOC)c1ccccc1 ZINC000340546207 527334045 /nfs/dbraw/zinc/33/40/45/527334045.db2.gz MXCLLLOKAWXNMI-ZDUSSCGKSA-N 0 1 305.403 3.040 20 30 DGEDMN C=CCC[C@H](O)CN1CCc2cc(OCC)c(OCC)cc2C1 ZINC000178033740 527493976 /nfs/dbraw/zinc/49/39/76/527493976.db2.gz MJHFXXBHRKJPSG-KRWDZBQOSA-N 0 1 319.445 3.169 20 30 DGEDMN CC(=Cc1cccc(OC(C)C)c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435334986 527500301 /nfs/dbraw/zinc/50/03/01/527500301.db2.gz DOLVVYPGJZPNTO-WQLSENKSSA-N 0 1 309.369 3.298 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@@H](c2ccccc2F)C1 ZINC000337539696 527636671 /nfs/dbraw/zinc/63/66/71/527636671.db2.gz XZSPRBUBWLGSLY-JKSUJKDBSA-N 0 1 316.420 3.204 20 30 DGEDMN CC(C)(C)CCN1CCN(C(=O)C2(C#N)CCCCC2)CC1 ZINC000341923083 527663251 /nfs/dbraw/zinc/66/32/51/527663251.db2.gz IHGQTHITGVSJNG-UHFFFAOYSA-N 0 1 305.466 3.041 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)Nc1ccccc1C#N ZINC000173540038 527716064 /nfs/dbraw/zinc/71/60/64/527716064.db2.gz KRCUPKBQGAEVSW-UHFFFAOYSA-N 0 1 312.417 3.149 20 30 DGEDMN CCCN(C(=O)c1cccs1)C1CCN(CCCC#N)CC1 ZINC000132094010 527792574 /nfs/dbraw/zinc/79/25/74/527792574.db2.gz YIHJHEBWMGSAAA-UHFFFAOYSA-N 0 1 319.474 3.368 20 30 DGEDMN CCN(CC(=O)N(CCC#N)c1cccc(Cl)c1)C1CCC1 ZINC000349740178 527893676 /nfs/dbraw/zinc/89/36/76/527893676.db2.gz AGHGFOHHERAWFE-UHFFFAOYSA-N 0 1 319.836 3.461 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@H](C)c1cc(C)ccc1C ZINC000346277186 528616931 /nfs/dbraw/zinc/61/69/31/528616931.db2.gz VZDOZYZCHOUYLN-DOTOQJQBSA-N 0 1 315.461 3.304 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C)c1cc2ccccc2o1 ZINC000182646096 528697933 /nfs/dbraw/zinc/69/79/33/528697933.db2.gz GGTOSFBCFIFBHU-ZIAGYGMSSA-N 0 1 313.401 3.092 20 30 DGEDMN CCCCN1C(=O)N=NC1SCc1ccc(CC#N)cc1 ZINC000342252247 529070792 /nfs/dbraw/zinc/07/07/92/529070792.db2.gz UCIFACCQUMAXQI-UHFFFAOYSA-N 0 1 302.403 3.142 20 30 DGEDMN CCSc1ccc([C@H](C)NCC(=O)NC2(C#N)CCC2)cc1 ZINC000346935084 529247463 /nfs/dbraw/zinc/24/74/63/529247463.db2.gz DJAQDIRMXOYMKM-ZDUSSCGKSA-N 0 1 317.458 3.012 20 30 DGEDMN CCO[C@@H]1CCC[C@H]1NCc1ccc(Br)cc1C#N ZINC000452022388 535754076 /nfs/dbraw/zinc/75/40/76/535754076.db2.gz VBGRTZNTUAIFRO-HUUCEWRRSA-N 0 1 323.234 3.368 20 30 DGEDMN CCO[C@@H]1CCC[C@H]1NCc1ccc(C#N)cc1Br ZINC000452015573 535754205 /nfs/dbraw/zinc/75/42/05/535754205.db2.gz MLXNOJBSURLZQG-HUUCEWRRSA-N 0 1 323.234 3.368 20 30 DGEDMN COc1ccc(F)c(N2CCN(CCCC(C)(C)C#N)CC2)c1 ZINC000484315121 536600935 /nfs/dbraw/zinc/60/09/35/536600935.db2.gz LQWMCJGKBUVVOZ-UHFFFAOYSA-N 0 1 319.424 3.286 20 30 DGEDMN COCCOc1ccccc1CNCc1ccc(C#N)c(F)c1 ZINC000449735971 536733120 /nfs/dbraw/zinc/73/31/20/536733120.db2.gz TWZZJYQSSZFBHY-UHFFFAOYSA-N 0 1 314.360 3.012 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2Br)C[C@H]1C ZINC000451561575 536750727 /nfs/dbraw/zinc/75/07/27/536750727.db2.gz IUGCEKRIFHDJFB-ABAIWWIYSA-N 0 1 323.234 3.178 20 30 DGEDMN COc1cc(CC(=O)Nc2nc(-c3ccco3)n[nH]2)ccc1C ZINC000073974425 545719451 /nfs/dbraw/zinc/71/94/51/545719451.db2.gz GIMKSXLHBZGKJT-UHFFFAOYSA-N 0 1 312.329 3.212 20 30 DGEDMN O=C(COc1ccccc1Cl)Nc1nc(-c2ccco2)n[nH]1 ZINC000078868633 545754665 /nfs/dbraw/zinc/75/46/65/545754665.db2.gz KCNYMGPTBOOWKQ-UHFFFAOYSA-N 0 1 318.720 3.385 20 30 DGEDMN CC(C)(NCCOCCC#N)c1ccccc1Br ZINC000294747307 546423926 /nfs/dbraw/zinc/42/39/26/546423926.db2.gz XIFHCQFLPSLUMT-UHFFFAOYSA-N 0 1 311.223 3.204 20 30 DGEDMN C[C@@H]1CCC[C@H](CC[NH+]=C([O-])N2CCC[C@@H](n3cncn3)C2)C1 ZINC000329802322 546492650 /nfs/dbraw/zinc/49/26/50/546492650.db2.gz KGYCSESEHJFLHC-BZUAXINKSA-N 0 1 319.453 3.045 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000667327824 547152999 /nfs/dbraw/zinc/15/29/99/547152999.db2.gz YRIAROCEOGQLOA-ROUUACIJSA-N 0 1 313.445 3.011 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000667327825 547153377 /nfs/dbraw/zinc/15/33/77/547153377.db2.gz YRIAROCEOGQLOA-ZWKOTPCHSA-N 0 1 313.445 3.011 20 30 DGEDMN COCC#CCN[C@@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000671247587 547781951 /nfs/dbraw/zinc/78/19/51/547781951.db2.gz YMHOHWLKLHPTLO-HNNXBMFYSA-N 0 1 316.228 3.310 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(OC(C)C)c(Cl)c1 ZINC000671299697 547785752 /nfs/dbraw/zinc/78/57/52/547785752.db2.gz MVVSQEIZGULLST-LBPRGKRZSA-N 0 1 310.825 3.080 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN(CCOC)C2CCCC2)c1C ZINC000674050228 548027381 /nfs/dbraw/zinc/02/73/81/548027381.db2.gz IRJOEVHCRPXVTI-UHFFFAOYSA-N 0 1 318.461 3.365 20 30 DGEDMN C=CC[N@@H+](CCc1ccccc1)Cc1cnnn1-c1ccccc1 ZINC000675913261 548290029 /nfs/dbraw/zinc/29/00/29/548290029.db2.gz LDQPSRDOZAKUDO-UHFFFAOYSA-N 0 1 318.424 3.498 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cnnn1-c1ccccc1 ZINC000675913261 548290034 /nfs/dbraw/zinc/29/00/34/548290034.db2.gz LDQPSRDOZAKUDO-UHFFFAOYSA-N 0 1 318.424 3.498 20 30 DGEDMN Fc1ccc(C#CCN[C@H](Cn2cccn2)c2ccccc2)cc1 ZINC000676703010 548395574 /nfs/dbraw/zinc/39/55/74/548395574.db2.gz FDYQJHHWZJFEGO-HXUWFJFHSA-N 0 1 319.383 3.405 20 30 DGEDMN COCC#CCN(Cc1ccccc1)Cc1ccc(OC)cc1 ZINC000677819294 548561105 /nfs/dbraw/zinc/56/11/05/548561105.db2.gz WTOLFOQQICCDPG-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN C=CCOCCNC(=O)c1cc(Cl)c2ccccc2c1O ZINC000679586777 548923568 /nfs/dbraw/zinc/92/35/68/548923568.db2.gz VALOFNVDXBJJAE-UHFFFAOYSA-N 0 1 305.761 3.131 20 30 DGEDMN C=C(C)[C@H](NCCn1ccccc1=O)c1ccc(F)c(F)c1 ZINC000681462300 549207825 /nfs/dbraw/zinc/20/78/25/549207825.db2.gz CRBYGAIJNHPOEJ-KRWDZBQOSA-N 0 1 304.340 3.034 20 30 DGEDMN C[C@H](OCC1CC1)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422072 574529246 /nfs/dbraw/zinc/52/92/46/574529246.db2.gz SXBNGUUTSCFRLA-CABZTGNLSA-N 0 1 318.373 3.427 20 30 DGEDMN CCc1cc(NN=Cc2cccnc2C)nc(-c2ccccn2)n1 ZINC000730463691 574529846 /nfs/dbraw/zinc/52/98/46/574529846.db2.gz LVPWLHNLOXHLJT-UHFFFAOYSA-N 0 1 318.384 3.250 20 30 DGEDMN COCCNC(=S)Nc1ccccc1S[C@H](C)CC#N ZINC000731318790 574546931 /nfs/dbraw/zinc/54/69/31/574546931.db2.gz DEHUOTFERKBGBQ-LLVKDONJSA-N 0 1 309.460 3.014 20 30 DGEDMN C[C@H]1C[C@@H]1c1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)o1 ZINC000732764642 574581782 /nfs/dbraw/zinc/58/17/82/574581782.db2.gz UHBXXMQMLPSXFC-XPUUQOCRSA-N 0 1 316.308 3.184 20 30 DGEDMN CC(C)OC(=O)CC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000732769356 574582177 /nfs/dbraw/zinc/58/21/77/574582177.db2.gz GYQCVELNGOUDDP-FRKPEAEDSA-N 0 1 304.173 3.460 20 30 DGEDMN C=CCOC(=O)[C@]1(C(F)(F)F)CCN(Cc2ccccc2)C1 ZINC000733531238 574627872 /nfs/dbraw/zinc/62/78/72/574627872.db2.gz ODXVSYMLRMFIJM-HNNXBMFYSA-N 0 1 313.319 3.170 20 30 DGEDMN COc1ccc(C(C)=NNc2cnnc3ccccc32)cc1OC ZINC000733747980 574636408 /nfs/dbraw/zinc/63/64/08/574636408.db2.gz AIDWGPHJFPUOED-UHFFFAOYSA-N 0 1 322.368 3.483 20 30 DGEDMN COc1ccc(CNCc2ccc(C#N)cc2)c(OC(F)F)c1 ZINC000734158952 574653556 /nfs/dbraw/zinc/65/35/56/574653556.db2.gz XNYUBPYEERVEDL-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN COCCC(=NNc1cc(C)nc(C)n1)c1ccc(F)cc1 ZINC000734746796 574675702 /nfs/dbraw/zinc/67/57/02/574675702.db2.gz WMWKXVNMVTVKOI-UHFFFAOYSA-N 0 1 302.353 3.085 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=CC3CCOCC3)[nH]c21 ZINC000735790842 574714872 /nfs/dbraw/zinc/71/48/72/574714872.db2.gz QLDXPEQYLCYGBI-GXMNPTEKSA-N 0 1 309.369 3.030 20 30 DGEDMN CCOc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2ccc(C#N)cc2)cc1 ZINC000737205764 574826935 /nfs/dbraw/zinc/82/69/35/574826935.db2.gz QOHPUFWZGLTWFJ-SFHVURJKSA-N 0 1 324.380 3.215 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@H+](CC(=O)[O-])C2CC2)cc1 ZINC000738433481 574869418 /nfs/dbraw/zinc/86/94/18/574869418.db2.gz FBBVRNXPNAKPIG-UHFFFAOYSA-N 0 1 306.365 3.274 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581162 574874011 /nfs/dbraw/zinc/87/40/11/574874011.db2.gz CBYVJGRUOUSDFY-DOTOQJQBSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@H+]2[C@@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581162 574874014 /nfs/dbraw/zinc/87/40/14/574874014.db2.gz CBYVJGRUOUSDFY-DOTOQJQBSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccccc1OCC[N@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000738785927 574882184 /nfs/dbraw/zinc/88/21/84/574882184.db2.gz YQERIRWVVSMOJW-GOSISDBHSA-N 0 1 324.380 3.003 20 30 DGEDMN Cn1cc(CNCc2cccc(-c3ccc(C#N)s3)c2)cn1 ZINC000740014073 574918398 /nfs/dbraw/zinc/91/83/98/574918398.db2.gz MGBMFJLQQOJYMN-UHFFFAOYSA-N 0 1 308.410 3.310 20 30 DGEDMN CC(=NNc1cc(Cl)nc(C)n1)c1ccc(C)c([N+](=O)[O-])c1 ZINC000741767203 574969452 /nfs/dbraw/zinc/96/94/52/574969452.db2.gz RXCCLZKMNKMUBX-UHFFFAOYSA-N 0 1 319.752 3.491 20 30 DGEDMN CCC(N=Nc1cc([N+](=O)[O-])ccc1C)c1c(C)nn(C)c1C ZINC000741839213 574972838 /nfs/dbraw/zinc/97/28/38/574972838.db2.gz CAJQJCCGANWUMA-UHFFFAOYSA-N 0 1 315.377 3.480 20 30 DGEDMN C=CCc1cc(CNCc2scnc2C)cc(OC)c1OC ZINC000742370126 574993095 /nfs/dbraw/zinc/99/30/95/574993095.db2.gz GSDXZKGVKGUNJH-UHFFFAOYSA-N 0 1 318.442 3.487 20 30 DGEDMN Cc1c(NN=Cc2cc(-c3cccnc3)[nH]n2)cccc1[N+](=O)[O-] ZINC000743438593 575051228 /nfs/dbraw/zinc/05/12/28/575051228.db2.gz PITDHYBIKKGRQG-UHFFFAOYSA-N 0 1 322.328 3.134 20 30 DGEDMN Cc1cc(C=NNc2cccc(F)c2F)ccc1-n1cncn1 ZINC000743443128 575051779 /nfs/dbraw/zinc/05/17/79/575051779.db2.gz RUHPNQVZDSILNM-UHFFFAOYSA-N 0 1 313.311 3.300 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1ccc2c(c1)CC(=O)N2 ZINC000743443129 575051829 /nfs/dbraw/zinc/05/18/29/575051829.db2.gz RVGOZBQNVGCZEK-UHFFFAOYSA-N 0 1 301.296 3.296 20 30 DGEDMN C#CCN(CC(=O)N([C@@H](C)C1CC1)C1CC1)C1CCCCC1 ZINC000744213853 575079074 /nfs/dbraw/zinc/07/90/74/575079074.db2.gz OKTKELJUYFXYJY-HNNXBMFYSA-N 0 1 302.462 3.044 20 30 DGEDMN C[C@@H](NCc1cccc2c1OCCO2)c1ccc(OCC#N)cc1 ZINC000729685595 575260309 /nfs/dbraw/zinc/26/03/09/575260309.db2.gz BHIGCFAWDSZPMB-CQSZACIVSA-N 0 1 324.380 3.211 20 30 DGEDMN C=CCOCC(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000729889921 575265388 /nfs/dbraw/zinc/26/53/88/575265388.db2.gz XGKJGAFZXHHFEL-CQSZACIVSA-N 0 1 312.394 3.497 20 30 DGEDMN c1ccc(CN2CCC(N=Nc3ccnc4ccccc34)C2)cc1 ZINC000730148602 575276879 /nfs/dbraw/zinc/27/68/79/575276879.db2.gz YSWLNCHJKODPQQ-UHFFFAOYSA-N 0 1 316.408 3.331 20 30 DGEDMN C#CCN(CC#CC)CCC(=O)Nc1cccc2ccccc21 ZINC000744409950 575341176 /nfs/dbraw/zinc/34/11/76/575341176.db2.gz LEQKSDRNBZVZRB-UHFFFAOYSA-N 0 1 304.393 3.127 20 30 DGEDMN COc1ccc(C[NH2+]Cc2cc(Cl)ccc2[O-])cc1C#N ZINC000746741172 575472787 /nfs/dbraw/zinc/47/27/87/575472787.db2.gz KQPFMCFJYDIGJB-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN O=C(OCC#Cc1ccccc1Cl)c1[nH]nc2c1CCCC2 ZINC000747021838 575490542 /nfs/dbraw/zinc/49/05/42/575490542.db2.gz LTJXEDJKTZBEKL-UHFFFAOYSA-N 0 1 314.772 3.150 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194157 575505094 /nfs/dbraw/zinc/50/50/94/575505094.db2.gz VOPYVHUYUXNXEE-OOPCZODUSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194157 575505096 /nfs/dbraw/zinc/50/50/96/575505096.db2.gz VOPYVHUYUXNXEE-OOPCZODUSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)=C(O)C=CC2CCOCC2)n1 ZINC000747194157 575505097 /nfs/dbraw/zinc/50/50/97/575505097.db2.gz VOPYVHUYUXNXEE-OOPCZODUSA-N 0 1 304.415 3.426 20 30 DGEDMN Cc1oc(-c2ccccc2)nc1C(=O)Nc1cc(C#N)ccc1O ZINC000748410947 575585227 /nfs/dbraw/zinc/58/52/27/575585227.db2.gz HUJJUZXLIYGYLI-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C[N@@H+](CC(=O)NCc1ccc(C#N)cc1)C1CCCCCCC1 ZINC000748805613 575608172 /nfs/dbraw/zinc/60/81/72/575608172.db2.gz MTKQWWHIJYDEKS-UHFFFAOYSA-N 0 1 313.445 3.219 20 30 DGEDMN Cc1ccsc1[C@H]1C[C@H]1C(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000748834873 575610106 /nfs/dbraw/zinc/61/01/06/575610106.db2.gz SULGQLYWSYTRAN-RDBSUJKOSA-N 0 1 311.410 3.443 20 30 DGEDMN Cc1nc(CCCC(=O)C(C#N)c2cc(C)nc(C)n2)cs1 ZINC000750684289 575746067 /nfs/dbraw/zinc/74/60/67/575746067.db2.gz PEMUUMYRQPCFPI-CQSZACIVSA-N 0 1 314.414 3.058 20 30 DGEDMN Cc1cc(C)nc(N=NC2CN(Cc3ccccc3)C[C@H]2C)n1 ZINC000751516277 575787984 /nfs/dbraw/zinc/78/79/84/575787984.db2.gz HEYXMEWOLHODSX-CYBMUJFWSA-N 0 1 309.417 3.013 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1nccnc1Cl ZINC000751529691 575789054 /nfs/dbraw/zinc/78/90/54/575789054.db2.gz UKVRPCZHSMODRI-GFCCVEGCSA-N 0 1 315.808 3.050 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1N=Nc1nccnc1Cl ZINC000751529693 575789257 /nfs/dbraw/zinc/78/92/57/575789257.db2.gz UKVRPCZHSMODRI-LBPRGKRZSA-N 0 1 315.808 3.050 20 30 DGEDMN C[C@@H]1CN(C)CC1N=Nc1ncc(C(F)(F)F)cc1Cl ZINC000752154803 575824951 /nfs/dbraw/zinc/82/49/51/575824951.db2.gz JUGWWJIRYWYKSZ-SSDOTTSWSA-N 0 1 306.719 3.103 20 30 DGEDMN C[C@H](C=Nn1c(=O)c2ccccc2[nH]c1=S)c1ccccc1 ZINC000725857866 575934134 /nfs/dbraw/zinc/93/41/34/575934134.db2.gz CZNZSHCHTORUKZ-GFCCVEGCSA-N 0 1 309.394 3.323 20 30 DGEDMN C[C@H](NCc1ccc(C#N)o1)[C@H](O)c1c(F)cccc1Cl ZINC000744448367 575936056 /nfs/dbraw/zinc/93/60/56/575936056.db2.gz RUOJPYGQJLATIM-VFZGTOFNSA-N 0 1 308.740 3.155 20 30 DGEDMN c1ccc(CN2CCC(N=Nc3cnc4ccccc4n3)C2)cc1 ZINC000727256628 576115488 /nfs/dbraw/zinc/11/54/88/576115488.db2.gz SBFVSGZJHJRKMM-UHFFFAOYSA-N 0 1 317.396 3.304 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C(CC)CC ZINC000916925038 620649689 /nfs/dbraw/zinc/64/96/89/620649689.db2.gz FQVLGJNOUPUQFY-ZDUSSCGKSA-N 0 1 302.374 3.169 20 30 DGEDMN CCOc1ccc(/C=N/C[C@@H]2CCN2Cc2ccccc2)c(O)c1 ZINC000852997645 620658457 /nfs/dbraw/zinc/65/84/57/620658457.db2.gz AECXDPPSQJAWIF-LANLRWRYSA-N 0 1 324.424 3.484 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2cccc3c2OCCO3)nc1 ZINC000917533273 620674105 /nfs/dbraw/zinc/67/41/05/620674105.db2.gz FQFAWHVNXMRWEX-UHFFFAOYSA-N 0 1 323.274 3.318 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2ccnn2CC2CC2)c(Cl)c1 ZINC000853301750 620685281 /nfs/dbraw/zinc/68/52/81/620685281.db2.gz ODDQDIHGFRTTKU-UHFFFAOYSA-N 0 1 319.752 3.301 20 30 DGEDMN CCCn1nccc1CN=Nc1ncc(Br)cc1C ZINC000853359615 620689620 /nfs/dbraw/zinc/68/96/20/620689620.db2.gz QVYOSQDZKXQNGV-UHFFFAOYSA-N 0 1 322.210 3.205 20 30 DGEDMN C=CC[C@@H]1CCCN(CN2C[C@H](c3ccccc3F)CC2=O)C1 ZINC000853536368 620707855 /nfs/dbraw/zinc/70/78/55/620707855.db2.gz BJFLKCQXAIIZEO-HZPDHXFCSA-N 0 1 316.420 3.387 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@H](Cc2ccccc2)OC1=O ZINC000853597835 620714066 /nfs/dbraw/zinc/71/40/66/620714066.db2.gz GPXDLYJUEOVDGQ-KSZLIROESA-N 0 1 312.413 3.190 20 30 DGEDMN Cc1ccnc(N)c1CN1CC=C(c2ccc(C#N)cc2)CC1 ZINC000894094759 617603830 /nfs/dbraw/zinc/60/38/30/617603830.db2.gz MWTISWFUQCRBQM-UHFFFAOYSA-N 0 1 304.397 3.133 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H](c3nnc4ccccn43)C2)cs1 ZINC000891531315 617829075 /nfs/dbraw/zinc/82/90/75/617829075.db2.gz DXFGECHCQAJZSU-CQSZACIVSA-N 0 1 323.425 3.042 20 30 DGEDMN COC1CCC(CN2CCO[C@H](c3cccc(C#N)c3)C2)CC1 ZINC000892245024 617994140 /nfs/dbraw/zinc/99/41/40/617994140.db2.gz OQJWMYBTWLVWBX-PPSBMQLTSA-N 0 1 314.429 3.137 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2C[C@@H](C)OC[C@H]2C)c1O ZINC000919938636 620773357 /nfs/dbraw/zinc/77/33/57/620773357.db2.gz NVSLVXNUDQNDQP-ZIAGYGMSSA-N 0 1 305.418 3.129 20 30 DGEDMN CC(C)(CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1)CC(F)F ZINC000892495702 618082036 /nfs/dbraw/zinc/08/20/36/618082036.db2.gz RVXDRPNIHZYQSV-GFCCVEGCSA-N 0 1 314.376 3.216 20 30 DGEDMN CCSc1ccccc1C[C@@H](C)N1CCN(CCC#N)CC1 ZINC000926806705 618134183 /nfs/dbraw/zinc/13/41/83/618134183.db2.gz GQUVVRYTQVXGJM-MRXNPFEDSA-N 0 1 317.502 3.261 20 30 DGEDMN C#CCOc1ccccc1CNCc1c(C)nn(C(C)C)c1C ZINC000892971323 618374328 /nfs/dbraw/zinc/37/43/28/618374328.db2.gz PYWYVGXMLKPFKB-UHFFFAOYSA-N 0 1 311.429 3.383 20 30 DGEDMN C#C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccc(Br)cc1 ZINC000894666373 618416409 /nfs/dbraw/zinc/41/64/09/618416409.db2.gz CBNWQIXBAPWGLS-HUUCEWRRSA-N 0 1 320.230 3.425 20 30 DGEDMN C[C@H](O)CN(C)N=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000841652905 618499993 /nfs/dbraw/zinc/49/99/93/618499993.db2.gz SFQVYULSPIMVAW-AWEZNQCLSA-N 0 1 317.458 3.031 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2cnn(C3CCC3)c2)cc1C#N ZINC000925597326 618503817 /nfs/dbraw/zinc/50/38/17/618503817.db2.gz YDCLWZUUIFBHOW-ZDUSSCGKSA-N 0 1 310.401 3.339 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NCc1ncc(C(=O)OC)s1 ZINC000894999161 618509063 /nfs/dbraw/zinc/50/90/63/618509063.db2.gz MDXGSVQPSGSWTP-CQSZACIVSA-N 0 1 316.426 3.207 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccccc2)[C@H](C)C(C)C)CC1 ZINC000894221928 618733246 /nfs/dbraw/zinc/73/32/46/618733246.db2.gz RSNLCDLMRQOMMP-QGZVFWFLSA-N 0 1 312.457 3.409 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@](C)(CN2CC[C@@](C)(C#N)C2)C1 ZINC000895450224 618786205 /nfs/dbraw/zinc/78/62/05/618786205.db2.gz DIWFNYAYDXDUSM-ROUUACIJSA-N 0 1 321.465 3.259 20 30 DGEDMN C#CCN1CCC(Nc2ncc(C)c(-c3ccccc3F)n2)CC1 ZINC000895812766 618834454 /nfs/dbraw/zinc/83/44/54/618834454.db2.gz VFAXMXVBSJDNJI-UHFFFAOYSA-N 0 1 324.403 3.101 20 30 DGEDMN COc1cc(CN2CCC(Nc3ccccn3)CC2)ccc1C#N ZINC000896193891 618884934 /nfs/dbraw/zinc/88/49/34/618884934.db2.gz ICXIAXCAXOXAOX-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN C=CCCC[C@@H](NC(=O)NCc1n[nH]c(C)n1)c1ccccc1 ZINC000896452949 618912930 /nfs/dbraw/zinc/91/29/30/618912930.db2.gz JVDHUQSQJBRRCX-OAHLLOKOSA-N 0 1 313.405 3.010 20 30 DGEDMN CC(C)CN(Cc1cnc2ccc(C#N)cn12)Cc1ccccn1 ZINC000896663557 618943338 /nfs/dbraw/zinc/94/33/38/618943338.db2.gz ALLGPQZJQFXCDF-UHFFFAOYSA-N 0 1 319.412 3.259 20 30 DGEDMN N#C[C@]1(C(=O)Nc2ncc(C(F)(F)F)[nH]2)CC2CCC1CC2 ZINC000896686737 618944589 /nfs/dbraw/zinc/94/45/89/618944589.db2.gz YQNWXMISKRYPEU-KJHLLKJSSA-N 0 1 312.295 3.087 20 30 DGEDMN CSC(C)(C)CCN1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC000897595604 619164368 /nfs/dbraw/zinc/16/43/68/619164368.db2.gz DCGWMLNTVPBSDN-INIZCTEOSA-N 0 1 304.459 3.463 20 30 DGEDMN C[C@H](CN1CCC2(CC1)CC(=O)C=CO2)c1cc(F)cc(F)c1 ZINC000897599170 619165159 /nfs/dbraw/zinc/16/51/59/619165159.db2.gz DMNXPFQZCAUIOV-CYBMUJFWSA-N 0 1 321.367 3.406 20 30 DGEDMN O=C1C=COC2(CCN(Cc3cc4cc(F)ccc4o3)CC2)C1 ZINC000897602842 619165583 /nfs/dbraw/zinc/16/55/83/619165583.db2.gz XCHTWWXHDLZSPF-UHFFFAOYSA-N 0 1 315.344 3.410 20 30 DGEDMN CN(Cc1nc2ccc(C(F)(F)F)cc2[nH]1)C(=O)C(C)(C)C#N ZINC000898690386 619290932 /nfs/dbraw/zinc/29/09/32/619290932.db2.gz ZMFOKQODHVKTHV-UHFFFAOYSA-N 0 1 324.306 3.090 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CCOC1CCOCC1 ZINC000899227400 619319929 /nfs/dbraw/zinc/31/99/29/619319929.db2.gz KRYITXUWQBHYDU-RQZCQDPDSA-N 0 1 318.200 3.314 20 30 DGEDMN C=CCOc1ccc(C[NH2+]Cc2nc(C(=O)[O-])c(C)s2)cc1 ZINC000900064854 619401831 /nfs/dbraw/zinc/40/18/31/619401831.db2.gz VYQQRULVGSYESZ-UHFFFAOYSA-N 0 1 318.398 3.004 20 30 DGEDMN CC(C)N(Cc1cn(Cc2ccc(CC#N)cc2)nn1)C(C)C ZINC000900543788 619436602 /nfs/dbraw/zinc/43/66/02/619436602.db2.gz ACLQNXBMIWPIDM-UHFFFAOYSA-N 0 1 311.433 3.011 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000901037471 619468122 /nfs/dbraw/zinc/46/81/22/619468122.db2.gz BPWHTSUPOSYJOX-GTNSWQLSSA-N 0 1 323.400 3.018 20 30 DGEDMN COc1cccc(N=NCc2cnc(CC(F)(F)F)s2)n1 ZINC000901214636 619478403 /nfs/dbraw/zinc/47/84/03/619478403.db2.gz CPOKRNHXLQMQLV-UHFFFAOYSA-N 0 1 316.308 3.098 20 30 DGEDMN C[C@@H]1CC(NN=C2CC(C)(C)Oc3ccc(N)cc32)C[C@@H](C)O1 ZINC000901304240 619483538 /nfs/dbraw/zinc/48/35/38/619483538.db2.gz ROLOEWVBPLZYEU-VXGBXAGGSA-N 0 1 317.433 3.080 20 30 DGEDMN O=C(/C=C/c1ccc(O)c(F)c1F)c1cc2n(n1)CCCC2 ZINC000901562565 619517037 /nfs/dbraw/zinc/51/70/37/619517037.db2.gz CRGQZHJLLKRZHI-GQCTYLIASA-N 0 1 304.296 3.099 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])Cc1cc(F)ccc1N(C)C[C@H](C)CC ZINC000901586809 619519085 /nfs/dbraw/zinc/51/90/85/619519085.db2.gz SOMXKPFGWPSHFV-CQSZACIVSA-N 0 1 322.424 3.381 20 30 DGEDMN CC1(C)CCC(=O)N1CN1CCC(c2ccc(C#N)cc2)CC1 ZINC000902592082 619654958 /nfs/dbraw/zinc/65/49/58/619654958.db2.gz BFHQHYOSNOTVPL-UHFFFAOYSA-N 0 1 311.429 3.096 20 30 DGEDMN C[C@@H](N[C@H](C)c1cc(C#N)ccn1)c1ccc2c(c1)OCCO2 ZINC000902727473 619666551 /nfs/dbraw/zinc/66/65/51/619666551.db2.gz ZKVNJUXRVQANOO-CHWSQXEVSA-N 0 1 309.369 3.136 20 30 DGEDMN CC(C)C(=O)Nc1ccc(CN[C@H](C)c2cc(C#N)ccn2)cc1 ZINC000902730583 619667200 /nfs/dbraw/zinc/66/72/00/619667200.db2.gz FBKYMXVIUMFKHK-CQSZACIVSA-N 0 1 322.412 3.399 20 30 DGEDMN C[C@@H](NCc1ccc2c(c1)CCCN2C)c1cc(C#N)ccn1 ZINC000902779093 619674484 /nfs/dbraw/zinc/67/44/84/619674484.db2.gz MVHDMLUBZIJPLV-CQSZACIVSA-N 0 1 306.413 3.186 20 30 DGEDMN C[C@@H](NC[C@@H](O)c1ccc(Cl)c(F)c1)c1cc(C#N)ccn1 ZINC000902890125 619689354 /nfs/dbraw/zinc/68/93/54/619689354.db2.gz XCFFJOWVQHSTFU-QLJPJBMISA-N 0 1 319.767 3.130 20 30 DGEDMN C[C@H]([NH2+]CC1(C#N)CCC1)c1ccc(Br)cc1[O-] ZINC000903033130 619704769 /nfs/dbraw/zinc/70/47/69/619704769.db2.gz QERQARVVPBJYLL-JTQLQIEISA-N 0 1 309.207 3.499 20 30 DGEDMN Cc1ccc([C@H](CCn2cncn2)NCC2(C#N)CCC2)cc1 ZINC000903033105 619704866 /nfs/dbraw/zinc/70/48/66/619704866.db2.gz PPVGLABIFPZNRY-KRWDZBQOSA-N 0 1 309.417 3.001 20 30 DGEDMN C[C@@H]1[C@@H](NCC2(C#N)CCC2)CCCN1C(=O)OC(C)(C)C ZINC000903035436 619705275 /nfs/dbraw/zinc/70/52/75/619705275.db2.gz PGZAAFIVSVTBML-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN COc1cccc([C@@H](CN[C@@H](C)c2cc(C#N)ccn2)OC)c1 ZINC000903065892 619709476 /nfs/dbraw/zinc/70/94/76/619709476.db2.gz ZFVKNUQHSLGPSF-SCLBCKFNSA-N 0 1 311.385 3.000 20 30 DGEDMN CC(C)[C@H](N[C@@H]1CCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066245 619709656 /nfs/dbraw/zinc/70/96/56/619709656.db2.gz UJPXGPCZAJRKPP-SJORKVTESA-N 0 1 309.417 3.051 20 30 DGEDMN CC[C@H](N[C@H]1Cc2ccccc2NC1=O)c1cccc(C#N)c1 ZINC000903069825 619715032 /nfs/dbraw/zinc/71/50/32/619715032.db2.gz JXXLRAJYRWRRSW-WMZOPIPTSA-N 0 1 305.381 3.162 20 30 DGEDMN N#CC1(CN[C@H](Cc2ccccc2Cl)[C@@H]2CCCO2)CC1 ZINC000903105415 619717383 /nfs/dbraw/zinc/71/73/83/619717383.db2.gz CGSXBWYISFKZKS-CVEARBPZSA-N 0 1 304.821 3.323 20 30 DGEDMN N#CC1(CN[C@@H](Cc2ccccc2Cl)[C@H]2CCCO2)CC1 ZINC000903105422 619717503 /nfs/dbraw/zinc/71/75/03/619717503.db2.gz CGSXBWYISFKZKS-JKSUJKDBSA-N 0 1 304.821 3.323 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCCC[C@H]1CCC(=O)[O-] ZINC000903407799 619771775 /nfs/dbraw/zinc/77/17/75/619771775.db2.gz MADKMJUMCNOKLU-INIZCTEOSA-N 0 1 300.402 3.394 20 30 DGEDMN C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@H](C(=O)[O-])[C@H](C)CC ZINC000903595987 619787278 /nfs/dbraw/zinc/78/72/78/619787278.db2.gz OZCKZABKWFYFHQ-DOMZBBRYSA-N 0 1 306.362 3.082 20 30 DGEDMN C=CC[N@@H+](Cc1cccc([N+](=O)[O-])c1)[C@@H](C(=O)[O-])[C@@H](C)CC ZINC000903595990 619787288 /nfs/dbraw/zinc/78/72/88/619787288.db2.gz OZCKZABKWFYFHQ-SWLSCSKDSA-N 0 1 306.362 3.082 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CCCC[C@@H]2CCC(=O)[O-])cc1 ZINC000903695249 619799613 /nfs/dbraw/zinc/79/96/13/619799613.db2.gz SSWONRSQMSXBJQ-GDBMZVCRSA-N 0 1 316.401 3.197 20 30 DGEDMN C=CC[C@H]1CC[N@H+](Cc2occ(C(F)(F)F)c2C(=O)[O-])C1 ZINC000903910181 619833081 /nfs/dbraw/zinc/83/30/81/619833081.db2.gz QABHPOHPIBNJGG-VIFPVBQESA-N 0 1 303.280 3.395 20 30 DGEDMN CC(C)(C)[C@@](C)(C#N)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000904179279 619888483 /nfs/dbraw/zinc/88/84/83/619888483.db2.gz GJSFKIVVAMOKKB-GOSISDBHSA-N 0 1 317.433 3.324 20 30 DGEDMN CCN1CCC[C@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1=O ZINC000905003826 619964496 /nfs/dbraw/zinc/96/44/96/619964496.db2.gz WPRLPGNNWWOBDE-OPFCKLNOSA-N 0 1 315.200 3.129 20 30 DGEDMN C[C@@](O)(CNCc1cc(F)ccc1F)C(F)(F)C(F)(F)F ZINC000905096797 619973553 /nfs/dbraw/zinc/97/35/53/619973553.db2.gz YAWQNLFCLOJVJJ-SNVBAGLBSA-N 0 1 319.220 3.003 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cnc(C(C)C)s2)n1 ZINC000905505717 620003060 /nfs/dbraw/zinc/00/30/60/620003060.db2.gz YONHWDMPPGTPFM-UHFFFAOYSA-N 0 1 319.434 3.347 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000905853640 620037602 /nfs/dbraw/zinc/03/76/02/620037602.db2.gz UHWIJLMGMJZIQC-KGLIPLIRSA-N 0 1 319.405 3.059 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@@]2(C#N)CC3CCC2CC3)c1 ZINC000907234055 620144960 /nfs/dbraw/zinc/14/49/60/620144960.db2.gz OPHDQIIWUWEFEC-OOKWDQIISA-N 0 1 312.369 3.253 20 30 DGEDMN C=CCCCCCCNC(=O)C1CCC(N2CCOCC2)CC1 ZINC000908185615 620192860 /nfs/dbraw/zinc/19/28/60/620192860.db2.gz RFIOFVQYBVZZQO-UHFFFAOYSA-N 0 1 322.493 3.130 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)cc1 ZINC000908211617 620194479 /nfs/dbraw/zinc/19/44/79/620194479.db2.gz JHKXANLVPVDTEH-UYHISHBKSA-N 0 1 322.412 3.019 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)NCCC#C[Si](C)(C)C)o1 ZINC000912332730 620379008 /nfs/dbraw/zinc/37/90/08/620379008.db2.gz WOVWCBNFDOXSDO-UHFFFAOYSA-N 0 1 320.509 3.122 20 30 DGEDMN COc1ccccc1CN=Nc1nc2cc(F)c(F)cc2[nH]1 ZINC000912825231 620408479 /nfs/dbraw/zinc/40/84/79/620408479.db2.gz MRQAQGXVNJOFIV-UHFFFAOYSA-N 0 1 302.284 3.296 20 30 DGEDMN COc1cccc(CN=Nc2nc3cc(F)c(F)cc3[nH]2)c1 ZINC000912817720 620408839 /nfs/dbraw/zinc/40/88/39/620408839.db2.gz HOTURMHTWCCCHE-UHFFFAOYSA-N 0 1 302.284 3.296 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOCC(F)F)ccc1[O-] ZINC000758900205 620462401 /nfs/dbraw/zinc/46/24/01/620462401.db2.gz RRPCEJSNLWMLQE-UHFFFAOYSA-N 0 1 300.349 3.240 20 30 DGEDMN COc1cccc(O)c1/C=N/C[C@H](c1ccsc1)N(C)C ZINC000914557487 620541372 /nfs/dbraw/zinc/54/13/72/620541372.db2.gz GRGOGONCQGWYHR-IREQLNLFSA-N 0 1 304.415 3.184 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000153277432 620545859 /nfs/dbraw/zinc/54/58/59/620545859.db2.gz AMVHKTIQANUXSU-CVEARBPZSA-N 0 1 316.401 3.415 20 30 DGEDMN CC1(C)OCC[C@@H]1NN=Cc1cc(Cl)c(O)cc1Cl ZINC000835016262 620552535 /nfs/dbraw/zinc/55/25/35/620552535.db2.gz JKWDKYXYMSLLCS-LBPRGKRZSA-N 0 1 303.189 3.190 20 30 DGEDMN FC(F)(F)c1ccc(NN=Cc2ccc3c(c2)OCCO3)nc1 ZINC000915918037 620606976 /nfs/dbraw/zinc/60/69/76/620606976.db2.gz ZNBBAZBTADVOPS-UHFFFAOYSA-N 0 1 323.274 3.318 20 30 DGEDMN COc1cccc(O)c1C=NNc1ccc(Cl)cc1[N+](=O)[O-] ZINC000915976222 620610351 /nfs/dbraw/zinc/61/03/51/620610351.db2.gz QIDRWYAZDRLRCE-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN N#Cc1ccc(NN=Cc2cccc(Br)c2O)cc1 ZINC000915963009 620611518 /nfs/dbraw/zinc/61/15/18/620611518.db2.gz YSGGKAXVHMQYQA-UHFFFAOYSA-N 0 1 316.158 3.472 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000852596866 620633471 /nfs/dbraw/zinc/63/34/71/620633471.db2.gz OYVBDQFHUFLNEN-YJBOKZPZSA-N 0 1 302.393 3.478 20 30 DGEDMN C[C@@H]1CCCC[C@H]1CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000920789805 620822627 /nfs/dbraw/zinc/82/26/27/620822627.db2.gz GKIURJUGUSTQBM-HYVNUMGLSA-N 0 1 312.413 3.228 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CC1 ZINC000920789974 620822667 /nfs/dbraw/zinc/82/26/67/620822667.db2.gz KIAZSNNOPWQRSW-YGRLFVJLSA-N 0 1 304.777 3.424 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1sc(SCC(C)C)nc1C ZINC000855027818 620864027 /nfs/dbraw/zinc/86/40/27/620864027.db2.gz NEMJPEOOLVLIJX-JTQLQIEISA-N 0 1 314.476 3.364 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000855172456 620876774 /nfs/dbraw/zinc/87/67/74/620876774.db2.gz LLUIKSUIFKOCGE-ZFWWWQNUSA-N 0 1 301.434 3.082 20 30 DGEDMN C#CCCOc1ccc(CNCc2ccccc2[N+](=O)[O-])cc1 ZINC000113323466 620904674 /nfs/dbraw/zinc/90/46/74/620904674.db2.gz DSVDEWAJXGDOGJ-UHFFFAOYSA-N 0 1 310.353 3.287 20 30 DGEDMN COC[C@@H](NCc1ccc(O[C@H](C)C#N)cc1)c1ccccc1 ZINC000113355419 620905659 /nfs/dbraw/zinc/90/56/59/620905659.db2.gz PWUAPQRWNRZSJZ-DNVCBOLYSA-N 0 1 310.397 3.455 20 30 DGEDMN O=C(NC(CCC(F)(F)F)CCC(F)(F)F)C(F)F ZINC000855931193 620949867 /nfs/dbraw/zinc/94/98/67/620949867.db2.gz YYWVPYFOWNUNDX-UHFFFAOYSA-N 0 1 301.177 3.421 20 30 DGEDMN N#Cc1ccc(Br)c(CNCCC(F)(F)F)c1 ZINC000921804235 620952004 /nfs/dbraw/zinc/95/20/04/620952004.db2.gz JSFARJFVMDXILY-UHFFFAOYSA-N 0 1 307.113 3.363 20 30 DGEDMN C=CCCONC(=O)[C@@H]1CCc2cc(Br)ccc21 ZINC000856027731 620954469 /nfs/dbraw/zinc/95/44/69/620954469.db2.gz YICRIKNPYGTPBT-CYBMUJFWSA-N 0 1 310.191 3.103 20 30 DGEDMN C[C@H](C#N)OCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856776428 621019698 /nfs/dbraw/zinc/01/96/98/621019698.db2.gz DQKYHWZZAFVLNH-BDAKNGLRSA-N 0 1 321.730 3.333 20 30 DGEDMN C[C@H](C#N)OCCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856776431 621019737 /nfs/dbraw/zinc/01/97/37/621019737.db2.gz DQKYHWZZAFVLNH-RKDXNWHRSA-N 0 1 321.730 3.333 20 30 DGEDMN CCCC[C@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000857010455 621043898 /nfs/dbraw/zinc/04/38/98/621043898.db2.gz OJSKKXGEMPIWNG-IRXDYDNUSA-N 0 1 314.429 3.474 20 30 DGEDMN COc1cc2c(cc1CNCc1ccc(C)c(C#N)c1)O[C@H](C)C2 ZINC000922760811 621095989 /nfs/dbraw/zinc/09/59/89/621095989.db2.gz DATVTJVKPSBABW-CQSZACIVSA-N 0 1 322.408 3.489 20 30 DGEDMN COc1cc(CN[C@H]2CCc3ccc(Cl)nc32)ccc1C#N ZINC000857914158 621131466 /nfs/dbraw/zinc/13/14/66/621131466.db2.gz ZVKZBXGBJWUPOC-AWEZNQCLSA-N 0 1 313.788 3.392 20 30 DGEDMN COc1cc(CN[C@]2(C)CCOc3ccccc32)ccc1C#N ZINC000923026999 621149326 /nfs/dbraw/zinc/14/93/26/621149326.db2.gz YZTVOMXRAPXMGV-LJQANCHMSA-N 0 1 308.381 3.354 20 30 DGEDMN C=CCc1cc(CNCc2ccnc(F)c2)cc(OC)c1OC ZINC000858127097 621155686 /nfs/dbraw/zinc/15/56/86/621155686.db2.gz TUYUXWWEJKDATG-UHFFFAOYSA-N 0 1 316.376 3.256 20 30 DGEDMN C#CC[C@@H](CCOC)NCc1ccsc1Br ZINC000858334296 621176881 /nfs/dbraw/zinc/17/68/81/621176881.db2.gz LKLYUSAZXZQXPJ-NSHDSACASA-N 0 1 302.237 3.029 20 30 DGEDMN C[C@@]1(C(=O)[C@@H](C#N)c2nc3ccccc3s2)CCCCO1 ZINC000838782819 621279978 /nfs/dbraw/zinc/27/99/78/621279978.db2.gz NOENYEZXPRJFEM-BZNIZROVSA-N 0 1 300.383 3.432 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CCC1 ZINC000838785509 621280661 /nfs/dbraw/zinc/28/06/61/621280661.db2.gz QLWDAKFWIPCWKL-QMTHXVAHSA-N 0 1 304.777 3.424 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@](O)(c3ccccc3)C2)cc1F ZINC000859587627 621296050 /nfs/dbraw/zinc/29/60/50/621296050.db2.gz ASNISRUATPFPHW-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN Cc1ccc(COC(=O)[C@]2(F)CCN(C(C)(C)C)C2)cc1C#N ZINC000859698075 621310061 /nfs/dbraw/zinc/31/00/61/621310061.db2.gz LCXPZZBJODVHQK-SFHVURJKSA-N 0 1 318.392 3.122 20 30 DGEDMN Cc1ccc(COC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)cc1C#N ZINC000859698074 621310255 /nfs/dbraw/zinc/31/02/55/621310255.db2.gz LCXPZZBJODVHQK-GOSISDBHSA-N 0 1 318.392 3.122 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cscn2)[C@@H](C)C1 ZINC000839063342 621321000 /nfs/dbraw/zinc/32/10/00/621321000.db2.gz LALVBFOTYUYZKC-AWEZNQCLSA-N 0 1 309.479 3.260 20 30 DGEDMN C#CCC[C@@H](C)OC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C ZINC000839041971 621324077 /nfs/dbraw/zinc/32/40/77/621324077.db2.gz IUAFZVKLUOYLBY-GFCCVEGCSA-N 0 1 312.369 3.352 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cnc3ccccc3c2)c1 ZINC000788140117 621354791 /nfs/dbraw/zinc/35/47/91/621354791.db2.gz TVJUMIFRTVYRTQ-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN CSCCNC(=S)Nc1ccccc1SCCC#N ZINC000752754350 621408169 /nfs/dbraw/zinc/40/81/69/621408169.db2.gz PYIDIXJFQPTPBQ-UHFFFAOYSA-N 0 1 311.501 3.342 20 30 DGEDMN COc1ccc(CN2CCN(c3ccccc3C#N)CC2)c(C)c1 ZINC000752857524 621413160 /nfs/dbraw/zinc/41/31/60/621413160.db2.gz WEBNYVHNUTZIRT-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(OC)c(C#N)c2)n[nH]1 ZINC000752939540 621416630 /nfs/dbraw/zinc/41/66/30/621416630.db2.gz ILZPUZOTWPLZQT-VXGBXAGGSA-N 0 1 313.405 3.049 20 30 DGEDMN Cc1nc2sccc2c(=O)n1N=Cc1cccc(Cl)c1O ZINC000753160974 621429244 /nfs/dbraw/zinc/42/92/44/621429244.db2.gz FIYHFRKDFLQTIU-UHFFFAOYSA-N 0 1 319.773 3.008 20 30 DGEDMN Cc1cc(N=NCc2cnc(-c3ccccn3)s2)nc(C)n1 ZINC000753163067 621429300 /nfs/dbraw/zinc/42/93/00/621429300.db2.gz NFWZILCWRVHZAC-UHFFFAOYSA-N 0 1 310.386 3.058 20 30 DGEDMN CN(CCc1nccs1)Cc1cc(Cl)ccc1OCC#N ZINC000753276933 621435235 /nfs/dbraw/zinc/43/52/35/621435235.db2.gz JYBJRRCTHNAEHJ-UHFFFAOYSA-N 0 1 321.833 3.373 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CC1 ZINC000860732421 621437062 /nfs/dbraw/zinc/43/70/62/621437062.db2.gz ADJXFIOHSAYYMY-BXUZGUMPSA-N 0 1 306.431 3.432 20 30 DGEDMN C#C[C@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccc(C)cc1 ZINC000860961472 621462523 /nfs/dbraw/zinc/46/25/23/621462523.db2.gz CYHAGIGGKATEPV-LPHOPBHVSA-N 0 1 317.404 3.425 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC2CC(OC(C)(C)C)C2)nc(C)n1 ZINC000753905694 621470673 /nfs/dbraw/zinc/47/06/73/621470673.db2.gz LMCVELREAPUJLC-NRXISQOPSA-N 0 1 315.417 3.254 20 30 DGEDMN CCC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1c(F)cccc1Cl ZINC000753934264 621472849 /nfs/dbraw/zinc/47/28/49/621472849.db2.gz HGMNHWCCYRFDDC-KBPBESRZSA-N 0 1 322.815 3.453 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1c(F)cccc1Cl ZINC000753934312 621472881 /nfs/dbraw/zinc/47/28/81/621472881.db2.gz HGMNHWCCYRFDDC-OKILXGFUSA-N 0 1 322.815 3.453 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(Cl)c(C(=O)[O-])c2)C[N@H+]1C1CC1 ZINC000753954735 621473939 /nfs/dbraw/zinc/47/39/39/621473939.db2.gz GXUSSWRCPQCIEM-SECBINFHSA-N 0 1 307.781 3.063 20 30 DGEDMN OCC[C@H](NCC#Cc1cccc(Cl)c1)c1cccs1 ZINC000754389535 621507657 /nfs/dbraw/zinc/50/76/57/621507657.db2.gz TWIUTEBCKDWZSQ-HNNXBMFYSA-N 0 1 305.830 3.466 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1c[nH]nc1-c1ccccc1 ZINC000755465359 621574448 /nfs/dbraw/zinc/57/44/48/621574448.db2.gz HPAXXVBGQNNLDS-UHFFFAOYSA-N 0 1 307.313 3.431 20 30 DGEDMN CN(C)c1ncc(C=[NH+][N-]c2ncc(Cl)cc2Cl)s1 ZINC000755540626 621578244 /nfs/dbraw/zinc/57/82/44/621578244.db2.gz BCGPOTAAZWICTC-UHFFFAOYSA-N 0 1 316.217 3.357 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)C=Cc1cn(C)nc1C ZINC000755585526 621579133 /nfs/dbraw/zinc/57/91/33/621579133.db2.gz CKQLPGVQROYWRS-KTKRTIGZSA-N 0 1 306.369 3.323 20 30 DGEDMN CCc1nc(C=N[N-]c2[nH]c3cc(F)c(F)cc3[nH+]2)cs1 ZINC000755631286 621582211 /nfs/dbraw/zinc/58/22/11/621582211.db2.gz LARYHRXSDRJFGC-UHFFFAOYSA-N 0 1 307.329 3.306 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1c[nH]nc1-c1ccccc1 ZINC000755649619 621583453 /nfs/dbraw/zinc/58/34/53/621583453.db2.gz XSLKUFUUUWNUGG-UHFFFAOYSA-N 0 1 316.368 3.409 20 30 DGEDMN Cn1c(CN=Nc2ccncc2Cl)nc2cc(Cl)ccc21 ZINC000755766477 621592082 /nfs/dbraw/zinc/59/20/82/621592082.db2.gz NSLUQYMIXXCYHM-UHFFFAOYSA-N 0 1 320.183 3.143 20 30 DGEDMN CC(=O)C(C)(C)CCC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000755784443 621593039 /nfs/dbraw/zinc/59/30/39/621593039.db2.gz DTBZHHZYIHHFLO-CYBMUJFWSA-N 0 1 311.385 3.443 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1N=NCc1cnc2ncccn12 ZINC000755815270 621595473 /nfs/dbraw/zinc/59/54/73/621595473.db2.gz RXKZSQZFHAWQJQ-UHFFFAOYSA-N 0 1 323.253 3.333 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccc(CN=Nc2ccccn2)cn1 ZINC000755879998 621599506 /nfs/dbraw/zinc/59/95/06/621599506.db2.gz KNZQFAQIJVSFDU-UHFFFAOYSA-N 0 1 313.361 3.270 20 30 DGEDMN Clc1ccc2c(N=NC3C[N@H+]4C[C@@H]3CCC4)ccnc2c1 ZINC000863065869 621636745 /nfs/dbraw/zinc/63/67/45/621636745.db2.gz MQSLDXPFBMEATD-NSHDSACASA-N 0 1 300.793 3.382 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)/C=C\c1ccc(Cl)s1 ZINC000756544570 621646374 /nfs/dbraw/zinc/64/63/74/621646374.db2.gz PMWWHCOVNVHIBR-VFFGBVLKSA-N 0 1 309.818 3.056 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)c1cccc(Cl)c1 ZINC000756551539 621647031 /nfs/dbraw/zinc/64/70/31/621647031.db2.gz NSNKCZCNXWATCZ-CZUORRHYSA-N 0 1 305.805 3.084 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC000756551541 621647153 /nfs/dbraw/zinc/64/71/53/621647153.db2.gz NSNKCZCNXWATCZ-XJKSGUPXSA-N 0 1 305.805 3.084 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)C1(c2ccc(Cl)cc2)CC1 ZINC000756551481 621647329 /nfs/dbraw/zinc/64/73/29/621647329.db2.gz NJXIAKFKRQLBSF-INIZCTEOSA-N 0 1 317.816 3.012 20 30 DGEDMN C[C@@H]([NH2+]C1CCN(CC#N)CC1)c1ccc2ccccc2c1[O-] ZINC000756554633 621647657 /nfs/dbraw/zinc/64/76/57/621647657.db2.gz OXBGCCRVCYWHEN-CQSZACIVSA-N 0 1 309.413 3.184 20 30 DGEDMN C=C(C)[C@H](CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)OCC ZINC000756562935 621649292 /nfs/dbraw/zinc/64/92/92/621649292.db2.gz RVGRGKFPSSAXIJ-CJNGLKHVSA-N 0 1 311.385 3.419 20 30 DGEDMN C#CCN(CC(=O)N[C@H]1CCCc2ccccc21)C1CCCC1 ZINC000756911432 621672392 /nfs/dbraw/zinc/67/23/92/621672392.db2.gz SRVWHSWRZQUNMH-IBGZPJMESA-N 0 1 310.441 3.058 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000863472081 621676079 /nfs/dbraw/zinc/67/60/79/621676079.db2.gz SUDZRVPOAUYGHQ-CXOKCQFOSA-N 0 1 301.386 3.061 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C2CC2)[C@H]1c1cccc(OC)c1 ZINC000839594622 621697896 /nfs/dbraw/zinc/69/78/96/621697896.db2.gz WVSRWUMMEFUJFM-SJORKVTESA-N 0 1 306.837 3.315 20 30 DGEDMN N#CC1(CCN2CCN(c3cccc(F)n3)CC2)CCCCC1 ZINC000839613813 621700310 /nfs/dbraw/zinc/70/03/10/621700310.db2.gz JAMKBLWCQHZEGQ-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN O=C(/C=C\c1ccc(-n2cncn2)cc1)c1cc(F)ccc1O ZINC000757469001 621714578 /nfs/dbraw/zinc/71/45/78/621714578.db2.gz XYPJWAZGVAILEW-CLTKARDFSA-N 0 1 309.300 3.008 20 30 DGEDMN CCOC(=O)c1ccc(/C=C\C(=O)c2ccc(C)cc2O)o1 ZINC000757477038 621715930 /nfs/dbraw/zinc/71/59/30/621715930.db2.gz YAOFZEXQDQVNKI-YVMONPNESA-N 0 1 300.310 3.366 20 30 DGEDMN CC(C)(C(=O)Nc1ncc(C(F)(F)F)[nH]1)c1ccc(C#N)cc1 ZINC000863897076 621716828 /nfs/dbraw/zinc/71/68/28/621716828.db2.gz SCJQSFZPYCNFSD-UHFFFAOYSA-N 0 1 322.290 3.216 20 30 DGEDMN C(=[NH+][N-]c1nc2ccccc2s1)c1ccc(-n2cncn2)cc1 ZINC000863950530 621721649 /nfs/dbraw/zinc/72/16/49/621721649.db2.gz AGFSMCZUXZTIBC-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN C=C(Br)CN1CCC[C@@H]1Cn1cnc2ccccc21 ZINC000757641741 621730726 /nfs/dbraw/zinc/73/07/26/621730726.db2.gz JIZFFHMCIYEQRR-CYBMUJFWSA-N 0 1 320.234 3.409 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C(F)(F)c2c(F)cccc2F)c1 ZINC000757900045 621745596 /nfs/dbraw/zinc/74/55/96/621745596.db2.gz BKRIMTWYBPPXBM-UHFFFAOYSA-N 0 1 324.233 3.273 20 30 DGEDMN Clc1ccc2c(N=NC3CN4CCC3CC4)ccnc2c1 ZINC000864359711 621760335 /nfs/dbraw/zinc/76/03/35/621760335.db2.gz ZRSQTBXBISZUOV-UHFFFAOYSA-N 0 1 300.793 3.382 20 30 DGEDMN CN1CCN(c2ccc(CNc3ccc(CC#N)cc3)cc2)CC1 ZINC000759138877 621828586 /nfs/dbraw/zinc/82/85/86/621828586.db2.gz DPTQFNVIILDHBN-UHFFFAOYSA-N 0 1 320.440 3.117 20 30 DGEDMN COCCn1c(C)cc(CN=Nc2cc(F)cc(OC)c2)c1C ZINC000759209701 621837176 /nfs/dbraw/zinc/83/71/76/621837176.db2.gz IDUBBPCAAQILDR-UHFFFAOYSA-N 0 1 319.380 3.345 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCc3ccccn3)[nH]c21 ZINC000759366805 621850012 /nfs/dbraw/zinc/85/00/12/621850012.db2.gz OWBOARFYVUTPFW-AWEZNQCLSA-N 0 1 304.353 3.075 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2(C)CC2)cc1Cl ZINC000759431603 621858389 /nfs/dbraw/zinc/85/83/89/621858389.db2.gz LUQZCGMHZLUYOE-LLVKDONJSA-N 0 1 320.776 3.186 20 30 DGEDMN N#C[C@@H](C(=O)c1cc(Cl)cs1)c1nnc2n1CCCCC2 ZINC000759441435 621859471 /nfs/dbraw/zinc/85/94/71/621859471.db2.gz UIJSZSMZPWUODA-JTQLQIEISA-N 0 1 320.805 3.209 20 30 DGEDMN N#CC(C(=O)c1cc(Cl)cs1)c1nnc2n1CCCCC2 ZINC000759441435 621859472 /nfs/dbraw/zinc/85/94/72/621859472.db2.gz UIJSZSMZPWUODA-JTQLQIEISA-N 0 1 320.805 3.209 20 30 DGEDMN Cn1nc2c(c1/C=C/C(=O)c1cc(F)ccc1O)CCCC2 ZINC000865220596 621874897 /nfs/dbraw/zinc/87/48/97/621874897.db2.gz NBLKUFKKGMXGRC-VQHVLOKHSA-N 0 1 300.333 3.040 20 30 DGEDMN Cc1ccc(F)c(NN=C2Oc3cc(O)cc(C)c3C2=O)c1 ZINC000759667566 621881061 /nfs/dbraw/zinc/88/10/61/621881061.db2.gz YJVOSTUZELSRIB-UHFFFAOYSA-N 0 1 300.289 3.149 20 30 DGEDMN N#CCSc1ccccc1C(=O)Nc1cc(C#N)ccc1O ZINC000759714360 621885198 /nfs/dbraw/zinc/88/51/98/621885198.db2.gz ZYTJUYKUFAVRPV-UHFFFAOYSA-N 0 1 309.350 3.132 20 30 DGEDMN CC(C)(C(=O)C(C#N)c1nc2ccccc2o1)c1cccnc1 ZINC000760197398 621920558 /nfs/dbraw/zinc/92/05/58/621920558.db2.gz WXRMZBDOCPGECS-CYBMUJFWSA-N 0 1 305.337 3.377 20 30 DGEDMN C=CC[C@H](NCc1cnc(CCOC)s1)c1ccncc1 ZINC000865465409 621927261 /nfs/dbraw/zinc/92/72/61/621927261.db2.gz ZEXGNJVAODJMEV-HNNXBMFYSA-N 0 1 303.431 3.134 20 30 DGEDMN Cc1cc(Cl)ccc1O[C@H](C)C(=O)C(C#N)c1ccncn1 ZINC000760338125 621933216 /nfs/dbraw/zinc/93/32/16/621933216.db2.gz CXZRQAXHQSGJMK-DGCLKSJQSA-N 0 1 315.760 3.082 20 30 DGEDMN COc1cc(C)ccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865489369 621934506 /nfs/dbraw/zinc/93/45/06/621934506.db2.gz BQBUMUUIDGJWMQ-UHFFFAOYSA-N 0 1 309.413 3.231 20 30 DGEDMN CC[C@@H](Oc1ccccc1Cl)C(=O)C(C#N)c1ccncn1 ZINC000760355405 621934856 /nfs/dbraw/zinc/93/48/56/621934856.db2.gz CABHRWGJUPEODV-SMDDNHRTSA-N 0 1 315.760 3.164 20 30 DGEDMN Cn1ncc2cc(CN[C@@H](CCC#N)c3ccccc3)cnc21 ZINC000865508311 621938793 /nfs/dbraw/zinc/93/87/93/621938793.db2.gz HVWDUTDGSGTICS-KRWDZBQOSA-N 0 1 305.385 3.103 20 30 DGEDMN CC(C)c1nc([C@H](C)Nc2cc(C(F)(F)F)cc(C#N)n2)n[nH]1 ZINC000865649941 621971147 /nfs/dbraw/zinc/97/11/47/621971147.db2.gz XWBQLJQFBOFCQK-QMMMGPOBSA-N 0 1 324.310 3.387 20 30 DGEDMN N#Cc1cccc(Br)c1N[C@H]1CCc2[nH]cnc2C1 ZINC000865817934 622001014 /nfs/dbraw/zinc/00/10/14/622001014.db2.gz JHNSPMFQYCYSGQ-JTQLQIEISA-N 0 1 317.190 3.013 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@@H](NCc1ncc(C(F)(F)F)s1)C2 ZINC000865847562 622006717 /nfs/dbraw/zinc/00/67/17/622006717.db2.gz NEBDJPWQFWRZIM-LBPRGKRZSA-N 0 1 323.343 3.291 20 30 DGEDMN Cc1ccc(C)c([N-][NH+]=C2c3ccc(N(C)C)cc3NC2=O)c1 ZINC000761770280 622028315 /nfs/dbraw/zinc/02/83/15/622028315.db2.gz UALHCGYOMUSUBG-UHFFFAOYSA-N 0 1 308.385 3.138 20 30 DGEDMN FC(F)(F)c1ccc(C=NNCCN2CCCCC2)s1 ZINC000761782078 622029240 /nfs/dbraw/zinc/02/92/40/622029240.db2.gz JKDGRPISCFIJOQ-UHFFFAOYSA-N 0 1 305.369 3.176 20 30 DGEDMN CCCCOc1ccc(CN=Nc2cnn(C)c2)cc1OC ZINC000761868185 622035679 /nfs/dbraw/zinc/03/56/79/622035679.db2.gz XQCRRGFHTLPZOB-UHFFFAOYSA-N 0 1 302.378 3.054 20 30 DGEDMN Cc1cc(Br)cc(C(C)N=Nc2cnn(C)c2)c1O ZINC000761881509 622036908 /nfs/dbraw/zinc/03/69/08/622036908.db2.gz QJCLTBDFKYAYDS-UHFFFAOYSA-N 0 1 323.194 3.033 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000762022677 622046468 /nfs/dbraw/zinc/04/64/68/622046468.db2.gz CKQLCZFXHKSWNZ-JSGCOSHPSA-N 0 1 316.401 3.415 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000762028271 622046991 /nfs/dbraw/zinc/04/69/91/622046991.db2.gz LKGZHMZVJRIMPI-MLGOLLRUSA-N 0 1 316.401 3.415 20 30 DGEDMN N#Cc1cccc(OCCOC(=O)c2ccc(O)c(Cl)c2)c1 ZINC000762830873 622103152 /nfs/dbraw/zinc/10/31/52/622103152.db2.gz OHVPNTMMGSWDCI-UHFFFAOYSA-N 0 1 317.728 3.153 20 30 DGEDMN COCC#CCN1CCC(c2nc(C(C)(C)C)cs2)CC1 ZINC000762920192 622110568 /nfs/dbraw/zinc/11/05/68/622110568.db2.gz SWCWURBMOJQDOS-UHFFFAOYSA-N 0 1 306.475 3.270 20 30 DGEDMN CCC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cccc(Cl)c1F ZINC000763405871 622143358 /nfs/dbraw/zinc/14/33/58/622143358.db2.gz HJWASADLBHGPGV-OKILXGFUSA-N 0 1 322.815 3.453 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CCCCC1)c1cccs1 ZINC000121670784 622170826 /nfs/dbraw/zinc/17/08/26/622170826.db2.gz XVQHKZCSIKQMQG-AAEUAGOBSA-N 0 1 304.415 3.009 20 30 DGEDMN CC#CC(=O)Nc1ccc2nc(Cc3ccccc3F)[nH]c2c1 ZINC000764444079 622194402 /nfs/dbraw/zinc/19/44/02/622194402.db2.gz OBFGKKNSVSIVKP-UHFFFAOYSA-N 0 1 307.328 3.255 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2ccccc2SCc2ccco2)=NO1 ZINC000765376815 622261811 /nfs/dbraw/zinc/26/18/11/622261811.db2.gz QKEQUWITSKRCKY-LLVKDONJSA-N 0 1 316.382 3.424 20 30 DGEDMN Cc1nc(Cl)cc(NN=Cc2ncccc2C(F)(F)F)n1 ZINC000765576275 622274463 /nfs/dbraw/zinc/27/44/63/622274463.db2.gz LAADNLRUQQIPRB-UHFFFAOYSA-N 0 1 315.686 3.298 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(Cl)c(F)c1 ZINC000765583041 622275131 /nfs/dbraw/zinc/27/51/31/622275131.db2.gz FDNIJHPVRMADJY-KBPBESRZSA-N 0 1 308.788 3.063 20 30 DGEDMN COc1cccc(NN=Cc2ccc3c(c2)COC(C)(C)O3)n1 ZINC000766027439 622301029 /nfs/dbraw/zinc/30/10/29/622301029.db2.gz QGHRNOTXVNKGSN-UHFFFAOYSA-N 0 1 313.357 3.181 20 30 DGEDMN CC(C)(C)C[C@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766619185 622342766 /nfs/dbraw/zinc/34/27/66/622342766.db2.gz IVYLEEPJQUKOQP-OAHLLOKOSA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H]2CCCC(C)(C)C2)n1 ZINC000789760825 625562822 /nfs/dbraw/zinc/56/28/22/625562822.db2.gz HPRZCHIHTOZGBS-KRWDZBQOSA-N 0 1 317.477 3.181 20 30 DGEDMN COc1ccc(CNN=Cc2cc(-c3ccccc3)[nH]n2)cc1 ZINC000767775424 622421431 /nfs/dbraw/zinc/42/14/31/622421431.db2.gz GBUNLMKMFJSOHE-UHFFFAOYSA-N 0 1 306.369 3.209 20 30 DGEDMN Fc1cc(F)c(CN=Nc2cnc3ccccc3n2)cc1F ZINC000767783646 622421857 /nfs/dbraw/zinc/42/18/57/622421857.db2.gz WCTWSRMAVRAHCJ-UHFFFAOYSA-N 0 1 302.259 3.493 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C(C)(C)C ZINC000870162285 622437811 /nfs/dbraw/zinc/43/78/11/622437811.db2.gz DOIJAQHHYHRAQE-STQMWFEESA-N 0 1 301.390 3.191 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C(C)(C)C ZINC000870162284 622437905 /nfs/dbraw/zinc/43/79/05/622437905.db2.gz DOIJAQHHYHRAQE-QWHCGFSZSA-N 0 1 301.390 3.191 20 30 DGEDMN CC(C)(C)c1cc(C(=O)C(C#N)c2ccncn2)cc(Cl)n1 ZINC000870286838 622451925 /nfs/dbraw/zinc/45/19/25/622451925.db2.gz DNGRFVVTEYVHGH-NSHDSACASA-N 0 1 314.776 3.313 20 30 DGEDMN Cc1nc(CN=Nc2cc(C#N)cc(Cl)n2)c2ccccn12 ZINC000768375040 622453176 /nfs/dbraw/zinc/45/31/76/622453176.db2.gz MXPUWJCPFDXZDL-UHFFFAOYSA-N 0 1 310.748 3.009 20 30 DGEDMN N#CC(C(=O)C1=CCOCC1)c1nc2cc(Cl)ccc2s1 ZINC000768551218 622464244 /nfs/dbraw/zinc/46/42/44/622464244.db2.gz MFNPDZJWBKRECY-NSHDSACASA-N 0 1 318.785 3.473 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)Cc1c(O)cccc1OC ZINC000769103848 622498716 /nfs/dbraw/zinc/49/87/16/622498716.db2.gz CVCGOXZKPFLRRC-UHFFFAOYSA-N 0 1 308.381 3.461 20 30 DGEDMN CCCCS[C@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071558 622543548 /nfs/dbraw/zinc/54/35/48/622543548.db2.gz ZIRMFGDWTXJFDK-SNVBAGLBSA-N 0 1 302.403 3.295 20 30 DGEDMN CC[C@@H](OC1CCCC1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871071129 622543575 /nfs/dbraw/zinc/54/35/75/622543575.db2.gz RIJSCVROSGPOFZ-OAHLLOKOSA-N 0 1 312.373 3.111 20 30 DGEDMN N#C[C@@H](OC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCCCC1 ZINC000770563744 622646642 /nfs/dbraw/zinc/64/66/42/622646642.db2.gz DPUBVLRPFLRXIR-OAHLLOKOSA-N 0 1 310.357 3.101 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2cc(F)ccc2s1)C(C)C ZINC000770860667 622675588 /nfs/dbraw/zinc/67/55/88/622675588.db2.gz NCKAGWNYMKRJIC-HZMBPMFUSA-N 0 1 306.362 3.283 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cscc1Cl ZINC000771876783 622807715 /nfs/dbraw/zinc/80/77/15/622807715.db2.gz DRTHQPLDZLAAET-UHFFFAOYSA-N 0 1 321.814 3.282 20 30 DGEDMN C=CCC(C)(C)c1noc([C@@H](N)CN(C)Cc2ccccc2)n1 ZINC000872183050 622854455 /nfs/dbraw/zinc/85/44/55/622854455.db2.gz YRPKJMYDBUHPFE-HNNXBMFYSA-N 0 1 314.433 3.055 20 30 DGEDMN Cc1ccc(OC(F)F)c(C(C)N=Nc2cc(C)nc(N)n2)c1 ZINC000872420589 622911587 /nfs/dbraw/zinc/91/15/87/622911587.db2.gz VCPWVTBUSAUUQQ-UHFFFAOYSA-N 0 1 321.331 3.113 20 30 DGEDMN CC(NNc1cc(Cl)nc2c1c(C)nn2C)=C1CC1(F)F ZINC000872422182 622912356 /nfs/dbraw/zinc/91/23/56/622912356.db2.gz HIQQPEYACPILPR-MRVPVSSYSA-N 0 1 313.739 3.373 20 30 DGEDMN O=C1c2cc(F)ccc2OC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000772805938 622943150 /nfs/dbraw/zinc/94/31/50/622943150.db2.gz JGNKGVJRTCNTQZ-BJMVGYQFSA-N 0 1 315.256 3.098 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccsc1Cl ZINC000790148074 625609356 /nfs/dbraw/zinc/60/93/56/625609356.db2.gz RCUKLAFDJWIFLU-UHFFFAOYSA-N 0 1 312.729 3.183 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H]1CCc2ccc(OC)cc21 ZINC000772986148 622961233 /nfs/dbraw/zinc/96/12/33/622961233.db2.gz AIUPDCIGIHSFLJ-LJQANCHMSA-N 0 1 307.393 3.484 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC000773005720 622965022 /nfs/dbraw/zinc/96/50/22/622965022.db2.gz TUCJNUNMAGCEBB-JTQLQIEISA-N 0 1 317.216 3.408 20 30 DGEDMN CC(C)c1nccnc1N=NC1CCN(Cc2ccccn2)CC1 ZINC000773074160 622977317 /nfs/dbraw/zinc/97/73/17/622977317.db2.gz PCUJIBSGXAGQKB-UHFFFAOYSA-N 0 1 324.432 3.059 20 30 DGEDMN C(=NNc1cnnc2ccccc21)c1nccn1-c1ccccc1 ZINC000790164634 625610797 /nfs/dbraw/zinc/61/07/97/625610797.db2.gz TWQKTLAXAYYOSP-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN CC[C@H](C)NN=C(C)c1ccc(N2CCOCC2)cc1Cl ZINC000790188144 625613904 /nfs/dbraw/zinc/61/39/04/625613904.db2.gz DXSUANBMEAMRAC-LBPRGKRZSA-N 0 1 309.841 3.289 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2ccc(C)cc2Cl)CC1 ZINC000773427957 623025702 /nfs/dbraw/zinc/02/57/02/623025702.db2.gz GQCYNRKSJRRTAN-UHFFFAOYSA-N 0 1 305.805 3.037 20 30 DGEDMN N#Cc1c(F)cccc1CNCC1([C@H](O)c2ccccc2)CC1 ZINC000873096614 623034920 /nfs/dbraw/zinc/03/49/20/623034920.db2.gz SKPOZMJDUGJQBQ-GOSISDBHSA-N 0 1 310.372 3.301 20 30 DGEDMN C[C@H](C[C@H](O)c1cccs1)NCc1cccc(F)c1C#N ZINC000873096939 623035059 /nfs/dbraw/zinc/03/50/59/623035059.db2.gz BHENNRWUHGYSLM-ABAIWWIYSA-N 0 1 304.390 3.361 20 30 DGEDMN CCN(CC)c1ccc(C=[NH+][N-]c2nc3ccccn3n2)cc1 ZINC000790195441 625615964 /nfs/dbraw/zinc/61/59/64/625615964.db2.gz GVJLHEWSDFLIDB-UHFFFAOYSA-N 0 1 308.389 3.022 20 30 DGEDMN Cc1ccc(C=CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)cn1 ZINC000774106346 623107675 /nfs/dbraw/zinc/10/76/75/623107675.db2.gz XXAWKYYMHINIJR-WHLNPGIBSA-N 0 1 316.364 3.464 20 30 DGEDMN N#Cc1ccc(CCC=Nn2cnnc2-c2ccccc2)cc1 ZINC000774363659 623136945 /nfs/dbraw/zinc/13/69/45/623136945.db2.gz MCPQUAXBMUVEOR-UHFFFAOYSA-N 0 1 301.353 3.283 20 30 DGEDMN C=CCN(C(C)C)[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1=O ZINC000790266955 625624757 /nfs/dbraw/zinc/62/47/57/625624757.db2.gz SNXZAFPOUVRJQS-OAHLLOKOSA-N 0 1 310.800 3.481 20 30 DGEDMN CCc1cc(CNc2ccc(C#N)cc2Br)n[nH]1 ZINC000774530831 623157624 /nfs/dbraw/zinc/15/76/24/623157624.db2.gz WVKQHVBBVMRTLT-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000873496950 623159672 /nfs/dbraw/zinc/15/96/72/623159672.db2.gz FSRFDRKINLJTRV-DOMZBBRYSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000873522625 623166870 /nfs/dbraw/zinc/16/68/70/623166870.db2.gz FHEHERSVYRSIPJ-CVEARBPZSA-N 0 1 300.402 3.146 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1ccnn1-c1ccccc1 ZINC000790288003 625628478 /nfs/dbraw/zinc/62/84/78/625628478.db2.gz XKKZVBMPCVFPAI-UHFFFAOYSA-N 0 1 316.368 3.205 20 30 DGEDMN C#CCCCCC(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000790244534 625622590 /nfs/dbraw/zinc/62/25/90/625622590.db2.gz VNQFQOZRFYPNIR-MRXNPFEDSA-N 0 1 300.402 3.204 20 30 DGEDMN N#Cc1cccc(COC(=O)c2cc(-c3ccco3)n[nH]2)c1F ZINC000774912887 623208042 /nfs/dbraw/zinc/20/80/42/623208042.db2.gz GRVYOSGLCKVZLP-UHFFFAOYSA-N 0 1 311.272 3.037 20 30 DGEDMN C=CC[C@@H](Sc1nc(CCC2CCCC2)n[nH]1)C(=O)OC ZINC000882088604 625631516 /nfs/dbraw/zinc/63/15/16/625631516.db2.gz IEMGZIJNUHPYJE-GFCCVEGCSA-N 0 1 309.435 3.137 20 30 DGEDMN C=CC[C@@H](Sc1n[nH]c(CCC2CCCC2)n1)C(=O)OC ZINC000882088604 625631520 /nfs/dbraw/zinc/63/15/20/625631520.db2.gz IEMGZIJNUHPYJE-GFCCVEGCSA-N 0 1 309.435 3.137 20 30 DGEDMN COc1ccc(C(C)N=Nc2nccn2C)cc1Br ZINC000790299629 625631740 /nfs/dbraw/zinc/63/17/40/625631740.db2.gz GNFYARNEOMBZDY-UHFFFAOYSA-N 0 1 323.194 3.027 20 30 DGEDMN COc1ccc(C(C)N=Nc2nccn2C)c(Br)c1 ZINC000790302685 625632551 /nfs/dbraw/zinc/63/25/51/625632551.db2.gz XCIJRHKNYCABEY-UHFFFAOYSA-N 0 1 323.194 3.027 20 30 DGEDMN COc1ccc(C(C)=N[N-]c2[nH+]ccn2C)c(Br)c1 ZINC000790302685 625632555 /nfs/dbraw/zinc/63/25/55/625632555.db2.gz XCIJRHKNYCABEY-UHFFFAOYSA-N 0 1 323.194 3.027 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)c(OC)c(OCC)c1)[C@@H]1CCCO1 ZINC000775340528 623256026 /nfs/dbraw/zinc/25/60/26/623256026.db2.gz HBMRVJBEUAFBRQ-GJZGRUSLSA-N 0 1 323.820 3.018 20 30 DGEDMN C[C@@H](NCC(=O)NC1CCCCCCC1)c1cccc(C#N)c1 ZINC000775339616 623256318 /nfs/dbraw/zinc/25/63/18/623256318.db2.gz XRVQICNPJPZVCA-OAHLLOKOSA-N 0 1 313.445 3.438 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)c(OCC)c(OC)c1)[C@@H]1CCCO1 ZINC000775343910 623257516 /nfs/dbraw/zinc/25/75/16/623257516.db2.gz ZVUHPKAPGPWDSY-CABCVRRESA-N 0 1 323.820 3.018 20 30 DGEDMN CN(C)c1ccc(CN=Nc2cccc(F)c2[N+](=O)[O-])cc1 ZINC000790308511 625634317 /nfs/dbraw/zinc/63/43/17/625634317.db2.gz GDPHGJZHTVIIKA-UHFFFAOYSA-N 0 1 302.309 3.246 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1cc(F)cc(F)c1)c1ccccc1 ZINC000775400126 623268810 /nfs/dbraw/zinc/26/88/10/623268810.db2.gz JAHKZZBTLXEJCC-KRWDZBQOSA-N 0 1 314.335 3.258 20 30 DGEDMN C#C[C@H](N[C@H]1CCc2cc(Br)ccc21)[C@@H]1CCCO1 ZINC000775571131 623292106 /nfs/dbraw/zinc/29/21/06/623292106.db2.gz ARSZWWHRELESRA-JYJNAYRXSA-N 0 1 320.230 3.207 20 30 DGEDMN Cc1nc(C2CCCCC2)sc1C(=O)NC1=NO[C@H](C)C1 ZINC000775618252 623297707 /nfs/dbraw/zinc/29/77/07/623297707.db2.gz DHMFSLYUTJQVGI-SECBINFHSA-N 0 1 307.419 3.351 20 30 DGEDMN N#CCCN(Cc1ccccn1)Cc1cc(Cl)cc(F)c1O ZINC000775677143 623312224 /nfs/dbraw/zinc/31/22/24/623312224.db2.gz HTQBAHFLYHXRMY-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN C#C[C@@H](NCCOc1ccc(Cl)cc1Cl)[C@@H]1CCCO1 ZINC000775792242 623333370 /nfs/dbraw/zinc/33/33/70/623333370.db2.gz YXDLTKDWODDRQJ-HIFRSBDPSA-N 0 1 314.212 3.143 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000874900766 623387446 /nfs/dbraw/zinc/38/74/46/623387446.db2.gz UIOHNJBPTPOJOX-UPJWGTAASA-N 0 1 303.381 3.206 20 30 DGEDMN COC(=O)c1ccccc1NN=Cc1c[nH]c2ccc(OC)cc12 ZINC000776189775 623393287 /nfs/dbraw/zinc/39/32/87/623393287.db2.gz SKHCQVFUPIFLMI-UHFFFAOYSA-N 0 1 323.352 3.409 20 30 DGEDMN Cc1ccsc1CCCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000129478954 625645737 /nfs/dbraw/zinc/64/57/37/625645737.db2.gz YJJIPICIISNUNK-CQSZACIVSA-N 0 1 318.442 3.147 20 30 DGEDMN N#C[C@@H](OC(=O)c1cccc(-c2nnc[nH]2)c1)C1CCCCC1 ZINC000776398761 623424265 /nfs/dbraw/zinc/42/42/65/623424265.db2.gz CGQCLDCJEQJUHZ-OAHLLOKOSA-N 0 1 310.357 3.101 20 30 DGEDMN FC(F)(F)Oc1ccccc1N=NCc1cn2cccnc2n1 ZINC000777453366 623527565 /nfs/dbraw/zinc/52/75/65/623527565.db2.gz ONYGRLLNEWUDAJ-UHFFFAOYSA-N 0 1 321.262 3.074 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CC1=NOCCC(F)(F)F ZINC000777455781 623527794 /nfs/dbraw/zinc/52/77/94/623527794.db2.gz LEGJSSROKRLPSV-LBPRGKRZSA-N 0 1 300.324 3.463 20 30 DGEDMN C#CCOc1ccc([C@@H](C)Nc2cnn(-c3ccncc3)c2)cc1 ZINC000777567588 623542773 /nfs/dbraw/zinc/54/27/73/623542773.db2.gz VRGPEFSEMRIOHR-OAHLLOKOSA-N 0 1 318.380 3.452 20 30 DGEDMN C[C@H](NCc1nc(-c2ccccc2)n[nH]1)c1ccc(C#N)c(F)c1 ZINC000777574335 623543892 /nfs/dbraw/zinc/54/38/92/623543892.db2.gz IFLIVXFSRTUWQO-LBPRGKRZSA-N 0 1 321.359 3.333 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2CN=Nc2cc(Cl)ccn2)o1 ZINC000777906683 623583879 /nfs/dbraw/zinc/58/38/79/623583879.db2.gz ZWEDUGNZTDTTEJ-UHFFFAOYSA-N 0 1 301.737 3.473 20 30 DGEDMN COCCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000778297388 623637256 /nfs/dbraw/zinc/63/72/56/623637256.db2.gz IRFZPYGNTZTZOI-NEPJUHHUSA-N 0 1 322.792 3.050 20 30 DGEDMN CC[C@@H](C(=O)O[C@@H](C#N)Cc1ccc(F)cc1F)N(CC)CC ZINC000778711369 623686109 /nfs/dbraw/zinc/68/61/09/623686109.db2.gz KFIBSKOITWODEV-ZBFHGGJFSA-N 0 1 324.371 3.063 20 30 DGEDMN Cc1ccc(CN2CCO[C@@H](c3cccc(C#N)c3)C2)c(O)c1 ZINC000876816723 623716062 /nfs/dbraw/zinc/71/60/62/623716062.db2.gz PEKFSHCXGPGFKM-LJQANCHMSA-N 0 1 308.381 3.146 20 30 DGEDMN C=CCCOCCNCc1cnn(Cc2ccccc2)c1Cl ZINC000790569907 625671480 /nfs/dbraw/zinc/67/14/80/625671480.db2.gz YGYQPOYPCSFPJO-UHFFFAOYSA-N 0 1 319.836 3.267 20 30 DGEDMN N#Cc1cnc(Cl)c(CNCCCOc2ccccc2)c1 ZINC000876903919 623741113 /nfs/dbraw/zinc/74/11/13/623741113.db2.gz PLXLBLAZDNYZNC-UHFFFAOYSA-N 0 1 301.777 3.165 20 30 DGEDMN N#Cc1cnc(Cl)c(CNC[C@H]2OCCc3ccccc32)c1 ZINC000877037701 623772762 /nfs/dbraw/zinc/77/27/62/623772762.db2.gz WFYNLAGBPGCZHK-MRXNPFEDSA-N 0 1 313.788 3.010 20 30 DGEDMN Cc1nn(C)c(/C=C\C(=O)c2ccccc2O)c1Br ZINC000790600775 625675722 /nfs/dbraw/zinc/67/57/22/625675722.db2.gz NQNMKVVDTOUELY-FPLPWBNLSA-N 0 1 321.174 3.093 20 30 DGEDMN CCCC(=NNC1=NC[C@H](C)N1)c1ccc(Cl)c(Cl)c1 ZINC000779799107 623809001 /nfs/dbraw/zinc/80/90/01/623809001.db2.gz IYWUTQQGLURCBI-VIFPVBQESA-N 0 1 313.232 3.435 20 30 DGEDMN CCCCn1nc(C)c(CN=Nc2cccc(OC)n2)c1Cl ZINC000779816560 623813204 /nfs/dbraw/zinc/81/32/04/623813204.db2.gz HASWMDGBTKXNPS-UHFFFAOYSA-N 0 1 321.812 3.495 20 30 DGEDMN COC(=O)c1cccc(N=NC2CCN(Cc3ccccc3)C2)c1 ZINC000779827314 623816147 /nfs/dbraw/zinc/81/61/47/623816147.db2.gz JMHUKIJQTOWFHE-UHFFFAOYSA-N 0 1 323.396 3.147 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3C(C)(C)C[C@@H]2C)CC1 ZINC000780114659 623862035 /nfs/dbraw/zinc/86/20/35/623862035.db2.gz HGAQPKBIQGHFQY-INIZCTEOSA-N 0 1 324.468 3.435 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cc2ccccc2s1 ZINC000780238650 623889149 /nfs/dbraw/zinc/88/91/49/623889149.db2.gz YTGHUOFSHMFBDO-KBPBESRZSA-N 0 1 312.442 3.485 20 30 DGEDMN CC1(C)C[C@@H](NN=Cc2cc(Cl)cc(F)c2O)CCO1 ZINC000780241394 623890355 /nfs/dbraw/zinc/89/03/55/623890355.db2.gz PHLNSEYSQCUVEN-NSHDSACASA-N 0 1 300.761 3.066 20 30 DGEDMN C=CCCN(C)Cc1cc(C2CN(C(=O)OC(C)(C)C)C2)no1 ZINC000877465734 623895455 /nfs/dbraw/zinc/89/54/55/623895455.db2.gz VZOLWOHEKKNXQW-UHFFFAOYSA-N 0 1 321.421 3.017 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NCc2cc(C#N)cnc2Cl)n[nH]1 ZINC000877475194 623900069 /nfs/dbraw/zinc/90/00/69/623900069.db2.gz NSYZYRIKGQMOGG-VHSXEESVSA-N 0 1 318.812 3.089 20 30 DGEDMN COc1ccc(N=NCc2ccc(Oc3cnccn3)cc2)nc1 ZINC000780267184 623900494 /nfs/dbraw/zinc/90/04/94/623900494.db2.gz HIQPUWGJPFSYRW-UHFFFAOYSA-N 0 1 321.340 3.119 20 30 DGEDMN COc1ccc(N=NC2CN(Cc3ccccc3)CC[C@@H]2C)nc1 ZINC000780268109 623900586 /nfs/dbraw/zinc/90/05/86/623900586.db2.gz RCZNXWGLXQNXKS-HNNXBMFYSA-N 0 1 324.428 3.400 20 30 DGEDMN CCC[C@]1(COC)CCCN1Cc1cc(C#N)cnc1Cl ZINC000877481824 623904737 /nfs/dbraw/zinc/90/47/37/623904737.db2.gz UKBVPURERIIRIO-MRXNPFEDSA-N 0 1 307.825 3.388 20 30 DGEDMN C[C@@H]1CC(=NOCc2ccc(F)cc2Br)CN1C ZINC000780286556 623905361 /nfs/dbraw/zinc/90/53/61/623905361.db2.gz COTRGWFNIYIENF-SECBINFHSA-N 0 1 315.186 3.185 20 30 DGEDMN C[C@@H](CCNC(=O)OC(C)(C)C)NCc1cccc(F)c1C#N ZINC000877506529 623915954 /nfs/dbraw/zinc/91/59/54/623915954.db2.gz YFQAANORSCUPLS-LBPRGKRZSA-N 0 1 321.396 3.090 20 30 DGEDMN N#Cc1ccc(CNCc2cc(Cl)cc3cccnc32)nc1 ZINC000780555272 623950222 /nfs/dbraw/zinc/95/02/22/623950222.db2.gz FYXAJOOGGWZONC-UHFFFAOYSA-N 0 1 308.772 3.445 20 30 DGEDMN Cc1cc(CNCc2ccc(C#N)cn2)ccc1Br ZINC000780554336 623950381 /nfs/dbraw/zinc/95/03/81/623950381.db2.gz JPRHALFMUXJEEO-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(-c3ccccn3)c2)nc1 ZINC000780555965 623951447 /nfs/dbraw/zinc/95/14/47/623951447.db2.gz KYVOUYFDEGSVBM-UHFFFAOYSA-N 0 1 300.365 3.305 20 30 DGEDMN C[C@H](CCC#N)C[NH+]1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000877705526 624000312 /nfs/dbraw/zinc/00/03/12/624000312.db2.gz IABIXGHMCVFCGS-CQSZACIVSA-N 0 1 321.852 3.103 20 30 DGEDMN CC[C@H](NCc1cc(C#N)cnc1Cl)[C@@H](O)c1ccccc1 ZINC000877833185 624062664 /nfs/dbraw/zinc/06/26/64/624062664.db2.gz UQVUGXVEMBTXQL-HOTGVXAUSA-N 0 1 315.804 3.208 20 30 DGEDMN C=CCN1C(=O)c2ccccc2N[C@H]1c1cc(C(F)(F)F)[nH]n1 ZINC000877843405 624065697 /nfs/dbraw/zinc/06/56/97/624065697.db2.gz LODUGKWPPIWZHM-CYBMUJFWSA-N 0 1 322.290 3.181 20 30 DGEDMN C=CCN1C(=O)c2ccccc2N[C@@H]1c1ccc(F)c(F)c1O ZINC000877842489 624065867 /nfs/dbraw/zinc/06/58/67/624065867.db2.gz CYMJIZOZWGVSAT-INIZCTEOSA-N 0 1 316.307 3.423 20 30 DGEDMN C[C@H](NC[C@@H](O)c1ccccc1F)c1ccc(C#N)cc1F ZINC000781420194 624068937 /nfs/dbraw/zinc/06/89/37/624068937.db2.gz IXXXXIFIMSNDGS-APPDUMDISA-N 0 1 302.324 3.221 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2c(C)cc(OC)nc2C)c(O)c1 ZINC000781550488 624090606 /nfs/dbraw/zinc/09/06/06/624090606.db2.gz YKZMGRKAGYZNHC-BQYQJAHWSA-N 0 1 313.353 3.317 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCC(C)(C)C1 ZINC000781767469 624142379 /nfs/dbraw/zinc/14/23/79/624142379.db2.gz OWXMTKKOGFJXKZ-OLZOCXBDSA-N 0 1 314.385 3.169 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCc2ccsc2)c1 ZINC000782906992 624289861 /nfs/dbraw/zinc/28/98/61/624289861.db2.gz HWJRWELNGHTFQG-UHFFFAOYSA-N 0 1 312.438 3.233 20 30 DGEDMN Cc1cc(COC(=O)Cc2[nH]nc3ccccc32)ccc1C#N ZINC000783155589 624317103 /nfs/dbraw/zinc/31/71/03/624317103.db2.gz HMVWDEGPBWZZIC-UHFFFAOYSA-N 0 1 305.337 3.029 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000878606119 624319915 /nfs/dbraw/zinc/31/99/15/624319915.db2.gz HTMDFGBBYGPIQE-HNNXBMFYSA-N 0 1 304.434 3.443 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cn1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000783299878 624335768 /nfs/dbraw/zinc/33/57/68/624335768.db2.gz GMAGBAKFLMCBBQ-GFCCVEGCSA-N 0 1 320.396 3.034 20 30 DGEDMN C[C@H](CN1C[C@@H](C)N(CC#N)[C@H](C)C1)c1cccc(Cl)c1 ZINC000878772125 624357610 /nfs/dbraw/zinc/35/76/10/624357610.db2.gz FYMPKFOBPOZJJP-RBSFLKMASA-N 0 1 305.853 3.362 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)O[C@H](C)[C@@H]2C)c1O ZINC000783540625 624363601 /nfs/dbraw/zinc/36/36/01/624363601.db2.gz FLJMHBJAWKPDNL-MELADBBJSA-N 0 1 305.418 3.127 20 30 DGEDMN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@@H](CC#N)C1 ZINC000878886212 624380840 /nfs/dbraw/zinc/38/08/40/624380840.db2.gz CSROZORNYFRVEK-FUHWJXTLSA-N 0 1 313.445 3.362 20 30 DGEDMN Cc1nc(Cl)cc(N=NC(C)c2ccc(F)cc2[N+](=O)[O-])n1 ZINC000783849709 624400700 /nfs/dbraw/zinc/40/07/00/624400700.db2.gz NVZZOWFHBLDISE-UHFFFAOYSA-N 0 1 323.715 3.322 20 30 DGEDMN N#CC(C(=O)Cc1cc(Cl)cc2c1OCC2)c1ccccn1 ZINC000784490963 624533345 /nfs/dbraw/zinc/53/33/45/624533345.db2.gz MVOSEWISROKWFJ-CQSZACIVSA-N 0 1 312.756 3.089 20 30 DGEDMN C=C(C)CN1CCC(c2nc(C)c(C(=O)OCC)s2)CC1 ZINC000879678823 624638330 /nfs/dbraw/zinc/63/83/30/624638330.db2.gz CGVOYWLFUVVOOS-UHFFFAOYSA-N 0 1 308.447 3.384 20 30 DGEDMN C[C@@H](O)[C@@H]1C[C@H](C)CCN1Cc1cc(Cl)ccc1OCC#N ZINC000785545516 624684194 /nfs/dbraw/zinc/68/41/94/624684194.db2.gz HMTFNNGKVUYSJB-IOASZLSFSA-N 0 1 322.836 3.224 20 30 DGEDMN CC(C)(O)[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000879962274 624737186 /nfs/dbraw/zinc/73/71/86/624737186.db2.gz PEGUUSZRHOJBIQ-CQSZACIVSA-N 0 1 322.836 3.225 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CC[C@H](c2nc(C)cs2)C1 ZINC000880007067 624750345 /nfs/dbraw/zinc/75/03/45/624750345.db2.gz AGONEELKACEYNG-CABCVRRESA-N 0 1 321.490 3.054 20 30 DGEDMN CC(=O)Nc1ccc2c(c1)CN(Cc1ccc(C#N)cc1C)CC2 ZINC000880031477 624761835 /nfs/dbraw/zinc/76/18/35/624761835.db2.gz QHZGKPHOGVKKDE-UHFFFAOYSA-N 0 1 319.408 3.383 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(CC#N)cc2)CC[C@@H](C(F)(F)F)O1 ZINC000880061787 624771179 /nfs/dbraw/zinc/77/11/79/624771179.db2.gz AWFSMAQMXALSNA-DOMZBBRYSA-N 0 1 312.335 3.294 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880062948 624772491 /nfs/dbraw/zinc/77/24/91/624772491.db2.gz SPWGZQQEPRRHTH-IUODEOHRSA-N 0 1 312.335 3.408 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCn2cnc(C(F)(F)F)c2C1 ZINC000880272012 624880025 /nfs/dbraw/zinc/88/00/25/624880025.db2.gz XBOWOGFGSWHNTB-UHFFFAOYSA-N 0 1 314.355 3.438 20 30 DGEDMN CC(C)(C#N)CCCCN1CCn2cnc(C(F)(F)F)c2C1 ZINC000880272012 624880032 /nfs/dbraw/zinc/88/00/32/624880032.db2.gz XBOWOGFGSWHNTB-UHFFFAOYSA-N 0 1 314.355 3.438 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccccc1SC(F)F ZINC000786445195 624880483 /nfs/dbraw/zinc/88/04/83/624880483.db2.gz OHDFWUFMPSWQNH-UHFFFAOYSA-N 0 1 300.374 3.448 20 30 DGEDMN FC[C@H]1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000880296743 624900219 /nfs/dbraw/zinc/90/02/19/624900219.db2.gz FYLCXGXGOMXNEZ-CYBMUJFWSA-N 0 1 301.283 3.228 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880349289 624926179 /nfs/dbraw/zinc/92/61/79/624926179.db2.gz CBLUOVRTRDHSJF-VFVRVIDISA-N 0 1 322.412 3.167 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(CCCC#N)C2(CCC2)CC1 ZINC000880348324 624926322 /nfs/dbraw/zinc/92/63/22/624926322.db2.gz VKGCKCZLBSXIQW-UHFFFAOYSA-N 0 1 307.438 3.156 20 30 DGEDMN Cc1cc(COC(=O)[C@H]2CCCN2C2CCCC2)ccc1C#N ZINC000786882172 624960507 /nfs/dbraw/zinc/96/05/07/624960507.db2.gz NWZYTZWXNXXMJD-GOSISDBHSA-N 0 1 312.413 3.317 20 30 DGEDMN C#CCC1(O)CCN([C@@H](C)c2cccc(Br)c2)CC1 ZINC000880480232 624965343 /nfs/dbraw/zinc/96/53/43/624965343.db2.gz LQWHOCUVEPKWIT-ZDUSSCGKSA-N 0 1 322.246 3.360 20 30 DGEDMN C#CCC1(O)CCN([C@H](C)c2ccc(Br)cc2)CC1 ZINC000880478475 624965435 /nfs/dbraw/zinc/96/54/35/624965435.db2.gz AHHVHNALGMEUSE-CYBMUJFWSA-N 0 1 322.246 3.360 20 30 DGEDMN CC(=CC(C)(C)C)C(=O)[C@@H](C#N)C(=O)N(C)Cc1ccccc1 ZINC000787071805 624975558 /nfs/dbraw/zinc/97/55/58/624975558.db2.gz FJAJLDGIECAFFS-WSYSLRRZSA-N 0 1 312.413 3.346 20 30 DGEDMN N#CC(C(=O)Cc1ccc(F)cn1)c1ccc2ccccc2n1 ZINC000805844104 625023678 /nfs/dbraw/zinc/02/36/78/625023678.db2.gz FAGZDRLRHQCWET-HNNXBMFYSA-N 0 1 305.312 3.188 20 30 DGEDMN c1cc(-c2ccc(CN=Nc3ncnc4sccc43)o2)n[nH]1 ZINC000788421712 625166386 /nfs/dbraw/zinc/16/63/86/625166386.db2.gz WLZGMEBRXWSXJP-UHFFFAOYSA-N 0 1 310.342 3.120 20 30 DGEDMN C#CCC1(C(=O)Nc2ccn(-c3ccncc3)n2)CCCCC1 ZINC000788450955 625176961 /nfs/dbraw/zinc/17/69/61/625176961.db2.gz YYWTYLXZVHBGKJ-UHFFFAOYSA-N 0 1 308.385 3.180 20 30 DGEDMN COc1ccc(N=NCc2cc(C)nc(Cl)c2)c([N+](=O)[O-])c1 ZINC000788721090 625249934 /nfs/dbraw/zinc/24/99/34/625249934.db2.gz ZWOJPFWJMGHKKF-UHFFFAOYSA-N 0 1 320.736 3.406 20 30 DGEDMN C=C(CSc1nc(C=Cc2ccc(C)o2)n[nH]1)C(=O)OCC ZINC000788809944 625274301 /nfs/dbraw/zinc/27/43/01/625274301.db2.gz RAYMXDYCAMGMKL-FPLPWBNLSA-N 0 1 319.386 3.088 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000791052224 625744110 /nfs/dbraw/zinc/74/41/10/625744110.db2.gz TUUSBKSJMHNZEX-IBGZPJMESA-N 0 1 324.403 3.366 20 30 DGEDMN Cc1ccc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)c(C#N)n1 ZINC000882713831 625795426 /nfs/dbraw/zinc/79/54/26/625795426.db2.gz QAVXQTJGIAMCAG-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN CC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000791891721 625878699 /nfs/dbraw/zinc/87/86/99/625878699.db2.gz UHPQJFJFDQOBKT-BXUZGUMPSA-N 0 1 306.793 3.101 20 30 DGEDMN C[C@@H]1CCC[C@H](OCC(=O)C(C#N)c2cnc3ccccc3n2)C1 ZINC000792108212 625910226 /nfs/dbraw/zinc/91/02/26/625910226.db2.gz CISOUAAERVPSMN-ILXRZTDVSA-N 0 1 323.396 3.401 20 30 DGEDMN N#C[C@@H](C(=O)CC1CCSCC1)c1cnc2ccccc2n1 ZINC000792111036 625911038 /nfs/dbraw/zinc/91/10/38/625911038.db2.gz PEMYLSJYRZTSSB-CYBMUJFWSA-N 0 1 311.410 3.339 20 30 DGEDMN C#CC[C@H](NCc1c2c(nn1C)CCSC2)c1ccccc1 ZINC000883076653 625918618 /nfs/dbraw/zinc/91/86/18/625918618.db2.gz JCKHVOYSOCMASQ-INIZCTEOSA-N 0 1 311.454 3.064 20 30 DGEDMN CC(C[NH+]1Cc2ccccc2C1)N=Nc1ccc(C(=O)[O-])cc1 ZINC000792630662 625967736 /nfs/dbraw/zinc/96/77/36/625967736.db2.gz CWDYKSLHXHVTPO-UHFFFAOYSA-N 0 1 309.369 3.188 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCc3cc(Cl)ccc32)C1=O ZINC000796057417 626019723 /nfs/dbraw/zinc/01/97/23/626019723.db2.gz OIQVOFSMJWPHKF-HZPDHXFCSA-N 0 1 304.821 3.046 20 30 DGEDMN N#CC(C(=O)[C@H]1Cc2ccc(F)cc21)c1nc2ccccc2[nH]1 ZINC000796617338 626066529 /nfs/dbraw/zinc/06/65/29/626066529.db2.gz BLVNWPICDPSMIK-UONOGXRCSA-N 0 1 305.312 3.218 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@@H]2CCc3cc(C)ccc3O2)n1 ZINC000796620086 626067070 /nfs/dbraw/zinc/06/70/70/626067070.db2.gz STOQTAIQEXVNRH-HIFRSBDPSA-N 0 1 312.394 3.330 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)NC1CCCCC1)C(F)(F)F ZINC000796631842 626068010 /nfs/dbraw/zinc/06/80/10/626068010.db2.gz JBBUOOVJOXJNIL-LLVKDONJSA-N 0 1 318.339 3.123 20 30 DGEDMN Cc1nc(C(C)C)oc1C(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000796749550 626078438 /nfs/dbraw/zinc/07/84/38/626078438.db2.gz VTGSIGQSESEKLV-ZDUSSCGKSA-N 0 1 311.341 3.068 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccccc1-n1ccnn1 ZINC000796828594 626087226 /nfs/dbraw/zinc/08/72/26/626087226.db2.gz QWHOCANFBMXXQG-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CCc2cncnc2)s1 ZINC000797218102 626127985 /nfs/dbraw/zinc/12/79/85/626127985.db2.gz QLVWYNIBIQGPPT-LBPRGKRZSA-N 0 1 314.414 3.040 20 30 DGEDMN CC#CCCOC(=O)[C@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000797252662 626132074 /nfs/dbraw/zinc/13/20/74/626132074.db2.gz HRBVTSACFSGSBC-MRXNPFEDSA-N 0 1 321.367 3.100 20 30 DGEDMN Cn1cc(C(=O)Nc2cc(C#N)ccc2O)c2cc(F)ccc21 ZINC000797748997 626167147 /nfs/dbraw/zinc/16/71/47/626167147.db2.gz UFQIEISSCVJALN-UHFFFAOYSA-N 0 1 309.300 3.147 20 30 DGEDMN Cc1cc([N-][NH+]=Cc2ccc(N(C)c3ccccc3)nc2)ncn1 ZINC000797786594 626168801 /nfs/dbraw/zinc/16/88/01/626168801.db2.gz CURXYXBOZYYSRL-UHFFFAOYSA-N 0 1 318.384 3.394 20 30 DGEDMN Cc1cc(NN=Cc2ccc(N(C)c3ccccc3)nc2)ncn1 ZINC000797786594 626168803 /nfs/dbraw/zinc/16/88/03/626168803.db2.gz CURXYXBOZYYSRL-UHFFFAOYSA-N 0 1 318.384 3.394 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)C[C@H]2CCC(F)(F)C2)c1 ZINC000797942863 626183075 /nfs/dbraw/zinc/18/30/75/626183075.db2.gz JRHBJDGETKUQGO-TZMCWYRMSA-N 0 1 320.339 3.468 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)nc(C)n1 ZINC000798287803 626208380 /nfs/dbraw/zinc/20/83/80/626208380.db2.gz LDLOHDSFVCZVQO-ARFHVFGLSA-N 0 1 309.344 3.213 20 30 DGEDMN C#CCC[C@H](O)CNc1ccnc2cc(C(F)(F)F)ccc21 ZINC000798420582 626217284 /nfs/dbraw/zinc/21/72/84/626217284.db2.gz AOHHDMKZIYBHFZ-LBPRGKRZSA-N 0 1 308.303 3.440 20 30 DGEDMN C#CCC[C@@H](O)CNc1ccnc2cc(C(F)(F)F)ccc21 ZINC000798420581 626217394 /nfs/dbraw/zinc/21/73/94/626217394.db2.gz AOHHDMKZIYBHFZ-GFCCVEGCSA-N 0 1 308.303 3.440 20 30 DGEDMN C#CCOc1ccc(CN2CCCC[C@@H]2c2ccnn2C)cc1 ZINC000798961184 626262906 /nfs/dbraw/zinc/26/29/06/626262906.db2.gz SDMZRQKVUKAZQF-LJQANCHMSA-N 0 1 309.413 3.159 20 30 DGEDMN C#C[C@@H](NC(=O)c1cn[nH]c1-c1ccc(Cl)s1)C(C)C ZINC000799048345 626267903 /nfs/dbraw/zinc/26/79/03/626267903.db2.gz GIYVQCGSNCWDLT-SNVBAGLBSA-N 0 1 307.806 3.179 20 30 DGEDMN CC(=NNCCCc1ccccc1)c1ccc(N)c([N+](=O)[O-])c1 ZINC000799111463 626272481 /nfs/dbraw/zinc/27/24/81/626272481.db2.gz DFZXCBZYZWNSIL-UHFFFAOYSA-N 0 1 312.373 3.123 20 30 DGEDMN COc1ccc(NN=Cc2cn(C(C)C)nc2C)c([N+](=O)[O-])c1 ZINC000799112341 626273036 /nfs/dbraw/zinc/27/30/36/626273036.db2.gz SNJDYOQLTOIHKO-UHFFFAOYSA-N 0 1 317.349 3.135 20 30 DGEDMN COc1cc(CN[C@@H](C)c2ccc(Cl)nc2)ccc1C#N ZINC000799342691 626293211 /nfs/dbraw/zinc/29/32/11/626293211.db2.gz WTYYDXCBLXOAEY-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN CCCCN(C)CC(=O)N(CCC#N)c1ccc(C)c(C)c1 ZINC000799431407 626300663 /nfs/dbraw/zinc/30/06/63/626300663.db2.gz LPRQYZWQWYKZHU-UHFFFAOYSA-N 0 1 301.434 3.282 20 30 DGEDMN FC(F)(F)c1cc(C=NN=c2[nH]c3ccccc3s2)[nH]n1 ZINC000799464322 626303128 /nfs/dbraw/zinc/30/31/28/626303128.db2.gz WFVSDHGIJMDCPJ-UHFFFAOYSA-N 0 1 311.292 3.484 20 30 DGEDMN Cc1ccc([N+](=O)[O-])cc1NN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000799479490 626303810 /nfs/dbraw/zinc/30/38/10/626303810.db2.gz UCMDDFSVQNWQLP-UHFFFAOYSA-N 0 1 313.239 3.091 20 30 DGEDMN CCOC(=O)c1cc(CN=Nc2cccc(F)c2F)[nH]c1C ZINC000799481329 626304520 /nfs/dbraw/zinc/30/45/20/626304520.db2.gz ROWAVWBUFXFLDH-UHFFFAOYSA-N 0 1 307.300 3.224 20 30 DGEDMN COC(=O)c1[nH]c(CN=Nc2cccc(Cl)c2F)cc1C ZINC000799876812 626332744 /nfs/dbraw/zinc/33/27/44/626332744.db2.gz BTFNGOUXYHAITJ-UHFFFAOYSA-N 0 1 309.728 3.348 20 30 DGEDMN COc1cccc(C=NNc2cccc(F)c2[N+](=O)[O-])c1F ZINC000799886810 626333617 /nfs/dbraw/zinc/33/36/17/626333617.db2.gz DBELFWUXXJSRDQ-UHFFFAOYSA-N 0 1 307.256 3.328 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2ccc3c(c2)COC3)CC1 ZINC000800409173 626357487 /nfs/dbraw/zinc/35/74/87/626357487.db2.gz JKLQMPNSCQSCJN-UHFFFAOYSA-N 0 1 319.408 3.169 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NC(C)c1cnccc1C ZINC000800816439 626376597 /nfs/dbraw/zinc/37/65/97/626376597.db2.gz CRBWZTDEEPDVKW-UHFFFAOYSA-N 0 1 301.321 3.152 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C(C)c1cc(C)ccc1O ZINC000800817702 626376935 /nfs/dbraw/zinc/37/69/35/626376935.db2.gz XTDWTERSSXHOQI-UHFFFAOYSA-N 0 1 316.332 3.462 20 30 DGEDMN CC(C)(C)SCCN1CCN(c2cccc(F)c2C#N)CC1 ZINC000801909373 626425050 /nfs/dbraw/zinc/42/50/50/626425050.db2.gz APPPFUBJSGBGBC-UHFFFAOYSA-N 0 1 321.465 3.351 20 30 DGEDMN COc1cccc(C=C2CCN(CCO[C@@H](C)C#N)CC2)c1 ZINC000801927583 626426335 /nfs/dbraw/zinc/42/63/35/626426335.db2.gz VTENYKFMOUEMEY-HNNXBMFYSA-N 0 1 300.402 3.103 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3ccc(Cl)nc3)[nH]c21 ZINC000802089841 626431021 /nfs/dbraw/zinc/43/10/21/626431021.db2.gz VFMDGZKPVXYYMD-LLVKDONJSA-N 0 1 310.744 3.410 20 30 DGEDMN COCCCn1nccc1CN=Nc1cccc(Cl)c1F ZINC000802709616 626567156 /nfs/dbraw/zinc/56/71/56/626567156.db2.gz GUFSXHYCFCCMLX-UHFFFAOYSA-N 0 1 310.760 3.158 20 30 DGEDMN CCc1ccccc1OCCC(=O)Nc1cc(C#N)ccc1O ZINC000802891174 626580959 /nfs/dbraw/zinc/58/09/59/626580959.db2.gz QCXBZULCIJBMJN-UHFFFAOYSA-N 0 1 310.353 3.234 20 30 DGEDMN C=CCC[C@H](COc1ccccc1)NCc1nnc(C2CC2)[nH]1 ZINC000883226499 626615426 /nfs/dbraw/zinc/61/54/26/626615426.db2.gz ZMLDHTVYAASHJM-OAHLLOKOSA-N 0 1 312.417 3.186 20 30 DGEDMN C[C@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1cc(F)ccc1F ZINC000883358682 626660308 /nfs/dbraw/zinc/66/03/08/626660308.db2.gz PHDFJAALWXCTTG-QUBYGPBYSA-N 0 1 319.220 3.174 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)NC(=O)c1cccc2nc(C)[nH]c21 ZINC000804452367 626681404 /nfs/dbraw/zinc/68/14/04/626681404.db2.gz FJYGWNQMMQYJBU-MRXNPFEDSA-N 0 1 317.392 3.236 20 30 DGEDMN C#CCn1ccnc1C=NNc1nc2cc(Cl)ccc2cc1C ZINC000807981054 626781969 /nfs/dbraw/zinc/78/19/69/626781969.db2.gz GQLZHJLAJFDOSN-UHFFFAOYSA-N 0 1 323.787 3.472 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1NN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000807989115 626783149 /nfs/dbraw/zinc/78/31/49/626783149.db2.gz CDSBVYNFDQRCIO-UHFFFAOYSA-N 0 1 322.328 3.134 20 30 DGEDMN COc1cccc(C=NNc2nccnc2C2CCC2)c1F ZINC000807992063 626783661 /nfs/dbraw/zinc/78/36/61/626783661.db2.gz YKJIXVZVFRTKIB-UHFFFAOYSA-N 0 1 300.337 3.338 20 30 DGEDMN CC(=NNCC1CCCC1)c1ccc(N2CCCC2)cc1O ZINC000808006839 626786616 /nfs/dbraw/zinc/78/66/16/626786616.db2.gz CSUNSFQOWHNMSJ-UHFFFAOYSA-N 0 1 301.434 3.496 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)C[C@H]1CC1(Cl)Cl ZINC000808786937 626861782 /nfs/dbraw/zinc/86/17/82/626861782.db2.gz IJOXHAULFWIKOX-CQSZACIVSA-N 0 1 313.228 3.200 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ocnc2C2CC2)cc1 ZINC000808979974 626873060 /nfs/dbraw/zinc/87/30/60/626873060.db2.gz QEAGHUOTJKVRMK-AWEZNQCLSA-N 0 1 323.352 3.076 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC2(C)CCCC2)c1 ZINC000808979541 626873358 /nfs/dbraw/zinc/87/33/58/626873358.db2.gz JYPSVKUNFUHLQQ-HNNXBMFYSA-N 0 1 314.385 3.313 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC2(C)CCCC2)c1 ZINC000809002971 626875708 /nfs/dbraw/zinc/87/57/08/626875708.db2.gz KEQSIOSZHBRHKJ-INIZCTEOSA-N 0 1 312.413 3.291 20 30 DGEDMN C#Cc1ccc(CNCc2cnn(Cc3ccccc3)c2)cc1 ZINC000809605434 626925346 /nfs/dbraw/zinc/92/53/46/626925346.db2.gz IPQJDQBLXJMMBG-UHFFFAOYSA-N 0 1 301.393 3.203 20 30 DGEDMN CC(C)C[C@H](C)CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000809639100 626931076 /nfs/dbraw/zinc/93/10/76/626931076.db2.gz GYXRLSCUAXPXPT-ZNMIVQPWSA-N 0 1 309.454 3.017 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)cc1OCc1cccnc1)C(C)C ZINC000809685927 626935830 /nfs/dbraw/zinc/93/58/30/626935830.db2.gz ZZWZWTNXLLABLZ-LJQANCHMSA-N 0 1 324.424 3.417 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1cc(OC)ccc1O ZINC000809702872 626938806 /nfs/dbraw/zinc/93/88/06/626938806.db2.gz ZOOKWFNSWWGPMW-UHFFFAOYSA-N 0 1 317.385 3.064 20 30 DGEDMN COc1cc(C[NH2+]Cc2c([O-])cccc2Cl)ccc1C#N ZINC000810168506 626983481 /nfs/dbraw/zinc/98/34/81/626983481.db2.gz FKBWTFSORFKCQL-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)O[C@@H](C#N)C1CCCCC1 ZINC000885258667 626995065 /nfs/dbraw/zinc/99/50/65/626995065.db2.gz ISXZCPHYCFCIBI-RLCCDNCMSA-N 0 1 301.390 3.091 20 30 DGEDMN CC(C)(C)[N@H+]1CC[C@](F)(C(=O)OCCC#Cc2ccccc2)C1 ZINC000810597084 627021050 /nfs/dbraw/zinc/02/10/50/627021050.db2.gz XSTBSIUSRJBQKJ-LJQANCHMSA-N 0 1 317.404 3.184 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)OCCC#Cc2ccccc2)C1 ZINC000810597084 627021054 /nfs/dbraw/zinc/02/10/54/627021054.db2.gz XSTBSIUSRJBQKJ-LJQANCHMSA-N 0 1 317.404 3.184 20 30 DGEDMN C=CCc1cc(CNCc2cncs2)cc(OC)c1OC ZINC000136060487 627043443 /nfs/dbraw/zinc/04/34/43/627043443.db2.gz GWNZSFVHKUZERK-UHFFFAOYSA-N 0 1 304.415 3.179 20 30 DGEDMN CCC[N@H+](Cc1ccccc1O)C[C@@H](O)CC1(C#N)CCC1 ZINC000886027118 627087707 /nfs/dbraw/zinc/08/77/07/627087707.db2.gz COTDKYXAVOVEJN-INIZCTEOSA-N 0 1 302.418 3.049 20 30 DGEDMN CC1=C(c2ccco2)C[N@@H+](C[C@H](O)CC2(C#N)CCC2)CC1 ZINC000886191953 627119556 /nfs/dbraw/zinc/11/95/56/627119556.db2.gz ANYZYXGYQADANR-OAHLLOKOSA-N 0 1 300.402 3.204 20 30 DGEDMN C#CC[C@@H]1CCN([C@H](C)c2nc3sc(C)c(C)c3c(N)n2)C1 ZINC000886363021 627142841 /nfs/dbraw/zinc/14/28/41/627142841.db2.gz XPUDKKNGKHCIHJ-DGCLKSJQSA-N 0 1 314.458 3.297 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000886362693 627143067 /nfs/dbraw/zinc/14/30/67/627143067.db2.gz JODHBUGHONTPCC-GFCCVEGCSA-N 0 1 302.324 3.465 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cccc([N+](=O)[O-])c2Br)C1 ZINC000886366030 627143545 /nfs/dbraw/zinc/14/35/45/627143545.db2.gz VPRHMGXFGUFIPK-LLVKDONJSA-N 0 1 323.190 3.203 20 30 DGEDMN CSc1ccc(CN[C@H]2CCCc3nn(C)cc32)cc1C#N ZINC000886690353 627191284 /nfs/dbraw/zinc/19/12/84/627191284.db2.gz UTXSJJWZMBEEMN-HNNXBMFYSA-N 0 1 312.442 3.181 20 30 DGEDMN C=CCC1(O)CCN(CCc2ccccc2Br)CC1 ZINC000886780612 627204029 /nfs/dbraw/zinc/20/40/29/627204029.db2.gz TWNWCISVTQAMEH-UHFFFAOYSA-N 0 1 324.262 3.395 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@@H]1CC1(O)CCCCC1 ZINC000887035548 627219110 /nfs/dbraw/zinc/21/91/10/627219110.db2.gz RDAHQFDARITGDZ-GOSISDBHSA-N 0 1 313.445 3.393 20 30 DGEDMN C#CCC1(NCc2nc(-c3ccsc3)oc2C)CCOCC1 ZINC000887124786 627227180 /nfs/dbraw/zinc/22/71/80/627227180.db2.gz TWHUKSNETYQRFR-UHFFFAOYSA-N 0 1 316.426 3.374 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@H](C)N(C)CCCF)c1 ZINC000887140340 627229441 /nfs/dbraw/zinc/22/94/41/627229441.db2.gz ZVAAZQHJAFAZHK-INIZCTEOSA-N 0 1 319.424 3.230 20 30 DGEDMN Cc1cc(COC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)ccc1C#N ZINC000811446815 627275187 /nfs/dbraw/zinc/27/51/87/627275187.db2.gz XEDIWJAGMNUESP-GOSISDBHSA-N 0 1 318.392 3.122 20 30 DGEDMN C[C@@H]1C(N=Nc2ccc(Cl)nn2)CCN1Cc1ccccc1 ZINC000811630293 627297090 /nfs/dbraw/zinc/29/70/90/627297090.db2.gz XPLAVMSBTKGYAD-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@H]1C(N=Nc2ccccc2C(=O)[O-])CC[N@H+]1Cc1ccccc1 ZINC000811635784 627297103 /nfs/dbraw/zinc/29/71/03/627297103.db2.gz LQODHFWPTCTAGR-AWEZNQCLSA-N 0 1 323.396 3.447 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NNC[C@H](O)CC ZINC000811655917 627300463 /nfs/dbraw/zinc/30/04/63/627300463.db2.gz YBKAKOFPOBNBJQ-CZUORRHYSA-N 0 1 309.429 3.002 20 30 DGEDMN C[C@@H](COc1ccccc1)NN=Cc1cc(F)c(O)c(F)c1 ZINC000811666506 627303635 /nfs/dbraw/zinc/30/36/35/627303635.db2.gz FRCZMOWUNBSCSH-NSHDSACASA-N 0 1 306.312 3.061 20 30 DGEDMN CC(C)OCCON=C1CN(Cc2ccccc2)CC[C@@H]1C ZINC000812239902 627364066 /nfs/dbraw/zinc/36/40/66/627364066.db2.gz DHTPUIMNIFUVKI-INIZCTEOSA-N 0 1 304.434 3.326 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCCCN1CC)c1ccc(Cl)cc1 ZINC000812352345 627373635 /nfs/dbraw/zinc/37/36/35/627373635.db2.gz KXVVKFDLSWIHKP-HZPDHXFCSA-N 0 1 304.821 3.005 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc2cncn2c1)c1ccc(Cl)cc1 ZINC000812354136 627374509 /nfs/dbraw/zinc/37/45/09/627374509.db2.gz KPTIIRRTIKNAPY-INIZCTEOSA-N 0 1 309.756 3.092 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc2cncn2c1)c1ccc(Cl)cc1 ZINC000812354137 627374815 /nfs/dbraw/zinc/37/48/15/627374815.db2.gz KPTIIRRTIKNAPY-MRXNPFEDSA-N 0 1 309.756 3.092 20 30 DGEDMN CCC(=O)c1ccc(O)c(NC(=O)c2ccccc2OCC#N)c1 ZINC000812704012 627412392 /nfs/dbraw/zinc/41/23/92/627412392.db2.gz IZSZMUKGYORMOL-UHFFFAOYSA-N 0 1 324.336 3.140 20 30 DGEDMN N#C[C@H](C(=O)C1(CCF)CC1)c1cc(C(F)(F)F)ccn1 ZINC000813212527 627467652 /nfs/dbraw/zinc/46/76/52/627467652.db2.gz DLAJXUZDQRBRNC-JTQLQIEISA-N 0 1 300.255 3.416 20 30 DGEDMN C#Cc1ccccc1CC(=O)Nc1ccc(CN(C)C)c(F)c1 ZINC000813472095 627492531 /nfs/dbraw/zinc/49/25/31/627492531.db2.gz PPUQWBAOCMZWTG-UHFFFAOYSA-N 0 1 310.372 3.050 20 30 DGEDMN N#CCCN1CCC[C@@H]1C(O)(c1ccccc1)c1ccccc1 ZINC000826711423 627544590 /nfs/dbraw/zinc/54/45/90/627544590.db2.gz RAZVXYFQMRRICG-LJQANCHMSA-N 0 1 306.409 3.301 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1cccc2cc[nH]c21 ZINC000814780685 627590754 /nfs/dbraw/zinc/59/07/54/627590754.db2.gz FNLORLIUDWCDSH-UHFFFAOYSA-N 0 1 320.377 3.049 20 30 DGEDMN Fc1cc2[nH]c([N-]N=C3COc4ccccc43)[nH+]c2cc1F ZINC000814796189 627592392 /nfs/dbraw/zinc/59/23/92/627592392.db2.gz PZWPTSYJDDJJBT-UHFFFAOYSA-N 0 1 300.268 3.050 20 30 DGEDMN Fc1cc2nc(NN=C3COc4ccccc43)[nH]c2cc1F ZINC000814796189 627592395 /nfs/dbraw/zinc/59/23/95/627592395.db2.gz PZWPTSYJDDJJBT-UHFFFAOYSA-N 0 1 300.268 3.050 20 30 DGEDMN Oc1ccc(C2CCC(=NNCCN3CCCCC3)CC2)cc1 ZINC000814808382 627593251 /nfs/dbraw/zinc/59/32/51/627593251.db2.gz JELOOONUQGXGNZ-UHFFFAOYSA-N 0 1 315.461 3.481 20 30 DGEDMN c1ccc2c(N=NCc3nc4c(s3)CCCC4)cnnc2c1 ZINC000814849543 627598522 /nfs/dbraw/zinc/59/85/22/627598522.db2.gz ZTXKYGQLIFDEIB-UHFFFAOYSA-N 0 1 309.398 3.411 20 30 DGEDMN CC(=NNc1cc(F)c(F)c(F)c1)c1cc([N+](=O)[O-])ccc1N ZINC000814864237 627600071 /nfs/dbraw/zinc/60/00/71/627600071.db2.gz VXWXXTMXYMDPRA-UHFFFAOYSA-N 0 1 324.262 3.430 20 30 DGEDMN C[C@@H]1C(N=Nc2ncc(F)cn2)CCCN1Cc1ccccc1 ZINC000814888685 627603453 /nfs/dbraw/zinc/60/34/53/627603453.db2.gz LDGDSKBRNRPBLQ-CYBMUJFWSA-N 0 1 313.380 3.068 20 30 DGEDMN COc1ccc2nc(NN=C3CCCc4n[nH]cc43)c(C)cc2c1 ZINC000814899349 627604761 /nfs/dbraw/zinc/60/47/61/627604761.db2.gz RNOFCDODCNSMCQ-UHFFFAOYSA-N 0 1 321.384 3.427 20 30 DGEDMN COc1ccc2c(c1)C(=N[N-]c1[nH+]ccn1C)CCCS2 ZINC000814918427 627607936 /nfs/dbraw/zinc/60/79/36/627607936.db2.gz PPXBXODGUKXZTE-UHFFFAOYSA-N 0 1 302.403 3.131 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC(C)c1ccc(N)nc1 ZINC000814922018 627608257 /nfs/dbraw/zinc/60/82/57/627608257.db2.gz PJSWLCMMJRKDMA-UHFFFAOYSA-N 0 1 304.785 3.067 20 30 DGEDMN CC(C)OCCON=C1CCC[N@@H+](Cc2ccccc2)[C@@H]1C ZINC000814937005 627611102 /nfs/dbraw/zinc/61/11/02/627611102.db2.gz QRGZDCPSYWUOJO-MRXNPFEDSA-N 0 1 304.434 3.469 20 30 DGEDMN CC(C)OCCON=C1CCCN(Cc2ccccc2)[C@@H]1C ZINC000814937005 627611103 /nfs/dbraw/zinc/61/11/03/627611103.db2.gz QRGZDCPSYWUOJO-MRXNPFEDSA-N 0 1 304.434 3.469 20 30 DGEDMN Cn1c(=O)sc2cc(C=NNc3cccc(Cl)n3)ccc21 ZINC000814939243 627611532 /nfs/dbraw/zinc/61/15/32/627611532.db2.gz JSSWZZUXOPWNJQ-UHFFFAOYSA-N 0 1 318.789 3.094 20 30 DGEDMN CC(C)N1CC(N=Nc2nnc(-c3ccccc3)s2)[C@@H](C)C1 ZINC000814948975 627613231 /nfs/dbraw/zinc/61/32/31/627613231.db2.gz OAJVCYPXJAPZFF-LBPRGKRZSA-N 0 1 315.446 3.333 20 30 DGEDMN C=CCC(F)(F)C(=O)OCCN(C)Cc1ccc(F)cc1 ZINC000815311294 627656199 /nfs/dbraw/zinc/65/61/99/627656199.db2.gz FHLLRHLBMFGGKZ-UHFFFAOYSA-N 0 1 301.308 3.012 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)NCc1cccnc1OCC(F)(F)F ZINC000924638208 627661557 /nfs/dbraw/zinc/66/15/57/627661557.db2.gz ZXKAPYXBIXDRIT-NSHDSACASA-N 0 1 315.339 3.441 20 30 DGEDMN C[C@H](NC(=O)CC1(C#N)CC1)c1nc2ccc(Cl)cc2[nH]1 ZINC000815570639 627685587 /nfs/dbraw/zinc/68/55/87/627685587.db2.gz ITKOKNMTYLYJMY-VIFPVBQESA-N 0 1 302.765 3.087 20 30 DGEDMN CC#CC(=O)Nc1ccc(CN2CCCC2)c(C(F)(F)F)c1 ZINC000924888231 627690627 /nfs/dbraw/zinc/69/06/27/627690627.db2.gz FHRQZAZFIHBYGZ-UHFFFAOYSA-N 0 1 310.319 3.263 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ncoc2C2CC2)cc1 ZINC000815908186 627712055 /nfs/dbraw/zinc/71/20/55/627712055.db2.gz VIFHJLLYXZTJOA-AWEZNQCLSA-N 0 1 323.352 3.076 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CC3CCC2CC3)c1 ZINC000816037411 627729266 /nfs/dbraw/zinc/72/92/66/627729266.db2.gz NBIYCASNHYNEGU-XAWIXRBMSA-N 0 1 324.424 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CC3CCC2CC3)c1 ZINC000816037409 627729432 /nfs/dbraw/zinc/72/94/32/627729432.db2.gz NBIYCASNHYNEGU-KXIUCKCTSA-N 0 1 324.424 3.146 20 30 DGEDMN CC[C@H](NCc1ccc(OC)c(C#N)c1)c1cccc(OC)n1 ZINC000925593775 627759658 /nfs/dbraw/zinc/75/96/58/627759658.db2.gz KGUDNCJNLWOEQK-HNNXBMFYSA-N 0 1 311.385 3.211 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000816498304 627797542 /nfs/dbraw/zinc/79/75/42/627797542.db2.gz PGVNTZCPJFADFW-CYBMUJFWSA-N 0 1 309.410 3.232 20 30 DGEDMN CCCCCCCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000816498304 627797543 /nfs/dbraw/zinc/79/75/43/627797543.db2.gz PGVNTZCPJFADFW-CYBMUJFWSA-N 0 1 309.410 3.232 20 30 DGEDMN C[C@H](NC[C@]1(C(F)(F)F)CCCN1)c1ccc(C#N)c(F)c1 ZINC000925858649 627807152 /nfs/dbraw/zinc/80/71/52/627807152.db2.gz XWVKOQINUARPFB-HZMBPMFUSA-N 0 1 315.314 3.032 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@H](CCO)CC1 ZINC000817298653 627879878 /nfs/dbraw/zinc/87/98/78/627879878.db2.gz OABJWNPLDKPMOJ-AWEZNQCLSA-N 0 1 322.836 3.227 20 30 DGEDMN C=C1CCC(CNC(=O)N2CCC(c3cnc[nH]3)CC2)CC1 ZINC000926539325 627910892 /nfs/dbraw/zinc/91/08/92/627910892.db2.gz WOJPLVDQODMWME-UHFFFAOYSA-N 0 1 302.422 3.045 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000817713755 627938006 /nfs/dbraw/zinc/93/80/06/627938006.db2.gz HDEVZPRGLFSEMI-KCYZZUKISA-N 0 1 310.397 3.333 20 30 DGEDMN Cc1cc2ncc([C@@H](C)NCc3ccc(C)c(C#N)c3)c(C)n2n1 ZINC000926967932 627990636 /nfs/dbraw/zinc/99/06/36/627990636.db2.gz YUXXXSQNMOIDSY-CQSZACIVSA-N 0 1 319.412 3.377 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)Nc1ccc(CCC#N)cc1 ZINC000928398714 628148414 /nfs/dbraw/zinc/14/84/14/628148414.db2.gz AQUDVFUWNAYHGU-SJKOYZFVSA-N 0 1 308.385 3.170 20 30 DGEDMN C[C@@H](NN=C1CCN(Cc2ccccn2)C[C@@H]1C)c1ccncc1 ZINC000819814851 628192778 /nfs/dbraw/zinc/19/27/78/628192778.db2.gz GDQPYFPORFSHPM-JKSUJKDBSA-N 0 1 323.444 3.025 20 30 DGEDMN C#Cc1ccc(NC(=O)CCN2CCC[C@@H]2c2cc(C)on2)cc1 ZINC000929186910 628222452 /nfs/dbraw/zinc/22/24/52/628222452.db2.gz XKRXJUQQPAJVIK-GOSISDBHSA-N 0 1 323.396 3.130 20 30 DGEDMN C=C[C@@](C)(CCOC)COC(=O)c1cc2[nH]cnc2cc1F ZINC000820741313 628293834 /nfs/dbraw/zinc/29/38/34/628293834.db2.gz KLBGAJFDWRUCHD-INIZCTEOSA-N 0 1 306.337 3.088 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000823512012 628571648 /nfs/dbraw/zinc/57/16/48/628571648.db2.gz IAPWPOJJRIEGKT-INIZCTEOSA-N 0 1 314.429 3.141 20 30 DGEDMN C=CCN(Cc1cc(-c2nn[nH]n2)cs1)Cc1ccccc1 ZINC000823919806 628610166 /nfs/dbraw/zinc/61/01/66/628610166.db2.gz RODHNFDIQWZREY-UHFFFAOYSA-N 0 1 311.414 3.116 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN1CCC[C@@](C)(C#N)C1 ZINC000824146802 628631385 /nfs/dbraw/zinc/63/13/85/628631385.db2.gz BDPQWCYFGYOCDK-QAPCUYQASA-N 0 1 323.481 3.409 20 30 DGEDMN C=CCCSCCNC(=O)c1ccc(CN2CCCCC2)o1 ZINC000824911133 628705153 /nfs/dbraw/zinc/70/51/53/628705153.db2.gz ZDAXADLNHPKFCW-UHFFFAOYSA-N 0 1 322.474 3.305 20 30 DGEDMN Cc1cc(C#N)cc(NCc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000825289718 628746860 /nfs/dbraw/zinc/74/68/60/628746860.db2.gz FFMNGPYEWBAGRT-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN C=CCOc1ccccc1C[NH2+][C@H](C(=O)[O-])c1ccccc1F ZINC000825702145 628787395 /nfs/dbraw/zinc/78/73/95/628787395.db2.gz FSYJOPSTHZLXIS-KRWDZBQOSA-N 0 1 315.344 3.306 20 30 DGEDMN N#CCC[C@H](NCc1ccc(-c2nn[nH]n2)cc1)c1ccccc1 ZINC000826381368 628850235 /nfs/dbraw/zinc/85/02/35/628850235.db2.gz JCZFYBXECAAUDG-KRWDZBQOSA-N 0 1 318.384 3.001 20 30 DGEDMN N#CCC[C@H](NCc1cc(-c2nn[nH]n2)cs1)c1ccccc1 ZINC000826381624 628850332 /nfs/dbraw/zinc/85/03/32/628850332.db2.gz SILPRCJEECIGSP-HNNXBMFYSA-N 0 1 324.413 3.063 20 30 DGEDMN CC(C)C[N@@H+](CCC#N)CCOc1ccc(C(=O)[O-])cc1Cl ZINC000826871259 628897983 /nfs/dbraw/zinc/89/79/83/628897983.db2.gz QWNPCPYISVTWMA-UHFFFAOYSA-N 0 1 324.808 3.289 20 30 DGEDMN CC(C)C[N@H+](CCC#N)CCOc1ccc(C(=O)[O-])cc1Cl ZINC000826871259 628897987 /nfs/dbraw/zinc/89/79/87/628897987.db2.gz QWNPCPYISVTWMA-UHFFFAOYSA-N 0 1 324.808 3.289 20 30 DGEDMN CCC[N@@H+](Cc1cc(F)ccc1C#N)C1CCN(C(=O)[O-])CC1 ZINC000826912134 628902999 /nfs/dbraw/zinc/90/29/99/628902999.db2.gz QONAUBORSHJYDE-UHFFFAOYSA-N 0 1 319.380 3.052 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ccc([N+](=O)[O-])c(OC)c2[O-])C1 ZINC000826946538 628905928 /nfs/dbraw/zinc/90/59/28/628905928.db2.gz JAJXNGHZYOTVBZ-GFCCVEGCSA-N 0 1 306.362 3.097 20 30 DGEDMN C[C@H](C[N@@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1)c1ccccc1 ZINC000827442459 628959719 /nfs/dbraw/zinc/95/97/19/628959719.db2.gz LCFXBWATPAZPND-KDOFPFPSSA-N 0 1 308.381 3.419 20 30 DGEDMN C#C[C@@H](NCc1ccc(-c2ccncc2)cc1F)C1CCOCC1 ZINC000827564467 628971284 /nfs/dbraw/zinc/97/12/84/628971284.db2.gz CHKWZNDWBKOJGV-HXUWFJFHSA-N 0 1 324.399 3.406 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccccc1OCc1ccccn1 ZINC000827822752 628994669 /nfs/dbraw/zinc/99/46/69/628994669.db2.gz FBZYGFJEKHBVGI-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C#CCCN(CCOC)Cc1ccccc1OCc1ccccn1 ZINC000827822752 628994671 /nfs/dbraw/zinc/99/46/71/628994671.db2.gz FBZYGFJEKHBVGI-UHFFFAOYSA-N 0 1 324.424 3.132 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)[C@H]1c1ccccc1 ZINC000828412960 629051726 /nfs/dbraw/zinc/05/17/26/629051726.db2.gz PIQZFAJVQGLMCZ-FSPWUOQZSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)[C@H]1c1ccccc1 ZINC000828412960 629051731 /nfs/dbraw/zinc/05/17/31/629051731.db2.gz PIQZFAJVQGLMCZ-FSPWUOQZSA-N 0 1 306.365 3.377 20 30 DGEDMN Cc1nc2cccc(Cl)c2cc1C(=O)NC1=NO[C@@H](C)C1 ZINC000867063480 629179395 /nfs/dbraw/zinc/17/93/95/629179395.db2.gz ZJONAKJYXHDXBH-QMMMGPOBSA-N 0 1 303.749 3.049 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2OCC)C1 ZINC000829775207 629190625 /nfs/dbraw/zinc/19/06/25/629190625.db2.gz ZFRDQCKGJPDMNI-HOTGVXAUSA-N 0 1 314.429 3.148 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)Nc2cccc(SC)c2)CC1 ZINC000830305900 629251416 /nfs/dbraw/zinc/25/14/16/629251416.db2.gz XVGBMGDPCIARCP-CYBMUJFWSA-N 0 1 302.443 3.081 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830825121 629308897 /nfs/dbraw/zinc/30/88/97/629308897.db2.gz AOVCFVGLHURWRP-MRXNPFEDSA-N 0 1 314.772 3.469 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928454 629534944 /nfs/dbraw/zinc/53/49/44/629534944.db2.gz RQBQNOFEZZVHAA-DOTOQJQBSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@H+]2[C@@H](C(=O)[O-])c2cccc(C#N)c2)o1 ZINC000832928454 629534948 /nfs/dbraw/zinc/53/49/48/629534948.db2.gz RQBQNOFEZZVHAA-DOTOQJQBSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C\c2ccc(C(=O)[O-])[nH]2)cc1 ZINC000833009343 629548362 /nfs/dbraw/zinc/54/83/62/629548362.db2.gz RASOTSMSELDUEP-UITAMQMPSA-N 0 1 321.336 3.103 20 30 DGEDMN N#CC1CC[NH+]([C@@H](C(=O)[O-])c2cc(Cl)cc(Cl)c2)CC1 ZINC000833063425 629553659 /nfs/dbraw/zinc/55/36/59/629553659.db2.gz WEYVUIULKCQECV-CYBMUJFWSA-N 0 1 313.184 3.355 20 30 DGEDMN N#Cc1cccc([C@@H]([NH2+]Cc2c(F)cccc2Cl)C(=O)[O-])c1 ZINC000833074600 629555228 /nfs/dbraw/zinc/55/52/28/629555228.db2.gz BIBOHVNXMMNKIA-OAHLLOKOSA-N 0 1 318.735 3.266 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccc(F)cc3)C2)c1 ZINC000833073696 629555241 /nfs/dbraw/zinc/55/52/41/629555241.db2.gz GUIBXKNNSQHGNT-WMZOPIPTSA-N 0 1 324.355 3.313 20 30 DGEDMN C=C(C)COc1cc(C[N@H+]2CCCC[C@@H]2C(=O)[O-])ccc1OC ZINC000833369403 629592741 /nfs/dbraw/zinc/59/27/41/629592741.db2.gz VPUWLQHBXHVQKY-OAHLLOKOSA-N 0 1 319.401 3.089 20 30 DGEDMN CN(Cc1cccs1)c1ccc(C=NN2CCOCC2)cc1 ZINC000834918168 629808260 /nfs/dbraw/zinc/80/82/60/629808260.db2.gz SRACDCATUUYIKP-UHFFFAOYSA-N 0 1 315.442 3.051 20 30 DGEDMN COC[C@H](C)NN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834970161 629814395 /nfs/dbraw/zinc/81/43/95/629814395.db2.gz HOLXDHGWRZPXBQ-AWEZNQCLSA-N 0 1 317.458 3.343 20 30 DGEDMN COC[C@@H](C)NN=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834970162 629814579 /nfs/dbraw/zinc/81/45/79/629814579.db2.gz HOLXDHGWRZPXBQ-CQSZACIVSA-N 0 1 317.458 3.343 20 30 DGEDMN CCOC(=O)C(C)(C)ON=Cc1ccc(N2CCCCC2)cc1 ZINC000835003790 629820450 /nfs/dbraw/zinc/82/04/50/629820450.db2.gz CPSIFDRGRALDAP-UHFFFAOYSA-N 0 1 318.417 3.369 20 30 DGEDMN CC(N=Nc1ccncn1)c1ccc(N2CCCCC2)c(F)c1 ZINC000835002910 629820547 /nfs/dbraw/zinc/82/05/47/629820547.db2.gz UNXFVCNAQWSPJB-UHFFFAOYSA-N 0 1 313.380 3.442 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCCC(=O)C(C)(C)C)nc(C)n1 ZINC000835060305 629840690 /nfs/dbraw/zinc/84/06/90/629840690.db2.gz RKZUTJOHGWQDQU-CYBMUJFWSA-N 0 1 301.390 3.055 20 30 DGEDMN CCC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000835060155 629840930 /nfs/dbraw/zinc/84/09/30/629840930.db2.gz AXYAKKGSQJPLQZ-IXDOHACOSA-N 0 1 312.413 3.228 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000835062735 629841326 /nfs/dbraw/zinc/84/13/26/629841326.db2.gz WSJAHEGZTAMNCZ-UHOFOFEASA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@@H](CC(F)(F)F)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000835063353 629841489 /nfs/dbraw/zinc/84/14/89/629841489.db2.gz KJTCGRYWWKJIAV-CMPLNLGQSA-N 0 1 318.339 3.123 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CCCC(C)C ZINC000835064999 629842391 /nfs/dbraw/zinc/84/23/91/629842391.db2.gz JSSWSDHKSKNCKK-ZDUSSCGKSA-N 0 1 302.374 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2CC(C)C)cc1 ZINC000835066350 629842703 /nfs/dbraw/zinc/84/27/03/629842703.db2.gz YXPQKDYRAPILGY-WQVCFCJDSA-N 0 1 314.385 3.025 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000835065644 629842783 /nfs/dbraw/zinc/84/27/83/629842783.db2.gz PKLGSANKBUTCCD-HZSPNIEDSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3C[C@H]3C(F)(F)F)[nH]c21 ZINC000835174651 629869822 /nfs/dbraw/zinc/86/98/22/629869822.db2.gz VSSHYSGJCPBXMJ-KXUCPTDWSA-N 0 1 307.275 3.246 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@@H]2CCOC2)s1 ZINC000835387876 629920597 /nfs/dbraw/zinc/92/05/97/629920597.db2.gz MNLBVPVLBZPPJH-GKUNOOHESA-N 0 1 304.415 3.210 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)=C(O)C=C[C@@H]2CCOC2)s1 ZINC000835387876 629920602 /nfs/dbraw/zinc/92/06/02/629920602.db2.gz MNLBVPVLBZPPJH-GKUNOOHESA-N 0 1 304.415 3.210 20 30 DGEDMN C[C@@H](NC(=O)C#CC(C)(C)C)c1nc2ccc(Cl)cc2[nH]1 ZINC000837414298 630255432 /nfs/dbraw/zinc/25/54/32/630255432.db2.gz SOIAQPVETCAZDJ-SNVBAGLBSA-N 0 1 303.793 3.443 20 30 DGEDMN C[C@@H](Cc1cnn(C)c1)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000840708852 630350807 /nfs/dbraw/zinc/35/08/07/630350807.db2.gz JCCWUIFTIPUWMC-KKBGLURWSA-N 0 1 312.200 3.483 20 30 DGEDMN C[C@@H]1C[C@H]1c1ccc(CN(C)C[C@@H](O)c2cccc(C#N)c2)o1 ZINC000841492400 630442131 /nfs/dbraw/zinc/44/21/31/630442131.db2.gz JVCWAEZJUAMYOP-FSPWUOQZSA-N 0 1 310.397 3.440 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCCC1(C#N)CCCCC1 ZINC000842027691 630480067 /nfs/dbraw/zinc/48/00/67/630480067.db2.gz GQKMCASRQZLPEI-HNNXBMFYSA-N 0 1 306.454 3.223 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)nc(C)n1 ZINC000842285417 630511445 /nfs/dbraw/zinc/51/14/45/630511445.db2.gz PQBSJEYSWAEIHH-MDZRGWNJSA-N 0 1 305.381 3.464 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C(=O)C(C)(C)[C@H]2c2ccccc2)C1 ZINC000842630065 630556042 /nfs/dbraw/zinc/55/60/42/630556042.db2.gz WMZAOJOGDCCRMT-FUHWJXTLSA-N 0 1 310.441 3.289 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@@H](c3cccc(C)c3)CC2=O)C1 ZINC000842629474 630556228 /nfs/dbraw/zinc/55/62/28/630556228.db2.gz NQOSSBMUKDTLPW-HKUYNNGSSA-N 0 1 310.441 3.004 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)OC3(CCC3)C2)c1O ZINC000842641456 630557710 /nfs/dbraw/zinc/55/77/10/630557710.db2.gz VZOUFBZCCWUXOU-AWEZNQCLSA-N 0 1 317.429 3.273 20 30 DGEDMN N#C[C@H](C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940787 630596403 /nfs/dbraw/zinc/59/64/03/630596403.db2.gz DVSUNXDEWWDOJB-MAWXDTMDSA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940787 630596405 /nfs/dbraw/zinc/59/64/05/630596405.db2.gz DVSUNXDEWWDOJB-MAWXDTMDSA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940787 630596407 /nfs/dbraw/zinc/59/64/07/630596407.db2.gz DVSUNXDEWWDOJB-MAWXDTMDSA-N 0 1 302.399 3.180 20 30 DGEDMN N#Cc1cccc(OCC(=O)C(C#N)c2nc(C3CC3)cs2)c1 ZINC000842940082 630596555 /nfs/dbraw/zinc/59/65/55/630596555.db2.gz WXSQEYPXBHQDOV-CQSZACIVSA-N 0 1 323.377 3.147 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2C[C@H](C)OC3(CCC3)C2)cc1 ZINC000843435977 630658812 /nfs/dbraw/zinc/65/88/12/630658812.db2.gz YCTKATMVUZPSQG-GJZGRUSLSA-N 0 1 300.402 3.121 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CC[C@@]4(CC4(F)F)C3)n2)cc1 ZINC000844757590 630841184 /nfs/dbraw/zinc/84/11/84/630841184.db2.gz PBKSRIDOOQINSI-MRXNPFEDSA-N 0 1 314.339 3.110 20 30 DGEDMN CC[C@@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000105756527 631057657 /nfs/dbraw/zinc/05/76/57/631057657.db2.gz XRCOXZUBHNRNHH-HOTGVXAUSA-N 0 1 300.402 3.084 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1C1CCCC1)c1nnc2n1CCCCC2 ZINC000845447076 631175815 /nfs/dbraw/zinc/17/58/15/631175815.db2.gz YKWWYAUKZJDUHL-RRFJBIMHSA-N 0 1 312.417 3.007 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2C[C@@H]2C2CCCC2)c1 ZINC000845526054 631180444 /nfs/dbraw/zinc/18/04/44/631180444.db2.gz BHGNGDDTZFKUIT-FGTMMUONSA-N 0 1 324.424 3.146 20 30 DGEDMN C[C@@H]1C(=O)CC[C@@H]1CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845844071 631198775 /nfs/dbraw/zinc/19/87/75/631198775.db2.gz FKSPDMNOXKTTHN-LZWOXQAQSA-N 0 1 323.392 3.001 20 30 DGEDMN CCC(C)(C)OCC(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845844473 631198782 /nfs/dbraw/zinc/19/87/82/631198782.db2.gz RPLNLUORYIWONG-HNNXBMFYSA-N 0 1 313.397 3.201 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC[C@H]2C[C@H]2C1)=C(O)C1(c2ccccc2)CC1 ZINC000845843670 631198841 /nfs/dbraw/zinc/19/88/41/631198841.db2.gz LYQBZJGDEMZZBU-QSJFSLAZSA-N 0 1 307.393 3.432 20 30 DGEDMN C[C@@H]1C(=O)CC[C@@H]1CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845844080 631198974 /nfs/dbraw/zinc/19/89/74/631198974.db2.gz FKSPDMNOXKTTHN-SQWLQELKSA-N 0 1 323.392 3.001 20 30 DGEDMN CC[C@H]1COC(C)(C)CN1Cc1ccc(O[C@@H](C)C#N)cc1 ZINC000846025991 631206739 /nfs/dbraw/zinc/20/67/39/631206739.db2.gz BGXCOTGMVWWEIB-HOCLYGCPSA-N 0 1 302.418 3.367 20 30 DGEDMN CC[C@H]1COC(C)(C)CN1Cc1ccc(O[C@H](C)C#N)cc1 ZINC000846025994 631206832 /nfs/dbraw/zinc/20/68/32/631206832.db2.gz BGXCOTGMVWWEIB-ZBFHGGJFSA-N 0 1 302.418 3.367 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)[C@@H](C)CO1 ZINC000846045360 631208131 /nfs/dbraw/zinc/20/81/31/631208131.db2.gz WSPJFTGMQVAELE-MAUKXSAKSA-N 0 1 301.434 3.036 20 30 DGEDMN C[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C2(CCC2)CO1 ZINC000846067029 631208879 /nfs/dbraw/zinc/20/88/79/631208879.db2.gz LVFZZPVRXHMQOT-INIZCTEOSA-N 0 1 313.445 3.180 20 30 DGEDMN C=C(C)COc1cc(CN2C[C@H]3[C@@H](C2)C3(F)F)ccc1OC ZINC000846112614 631210386 /nfs/dbraw/zinc/21/03/86/631210386.db2.gz SNAKKIFDLXUCIL-OKILXGFUSA-N 0 1 309.356 3.347 20 30 DGEDMN C=C[C@@H](C)ONC(=O)C(C)(C)c1ccc(OCC)c(OCC)c1 ZINC000846547784 631259341 /nfs/dbraw/zinc/25/93/41/631259341.db2.gz FWSXHXBHOKFHEV-CYBMUJFWSA-N 0 1 321.417 3.384 20 30 DGEDMN CO[C@](C)(C(=O)[C@@H](C#N)c1nc(C)cs1)c1ccccc1 ZINC000106982308 631496483 /nfs/dbraw/zinc/49/64/83/631496483.db2.gz PBEMBOAIFJGWHX-CJNGLKHVSA-N 0 1 300.383 3.190 20 30 DGEDMN N#CC1(c2ccc(C(=O)OCc3cc(C4CC4)[nH]n3)cc2)CC1 ZINC000848013216 631596486 /nfs/dbraw/zinc/59/64/86/631596486.db2.gz VECBCCDSEKLRTF-UHFFFAOYSA-N 0 1 307.353 3.199 20 30 DGEDMN c1ccc(-c2noc(N=NC3CCCN4CCCC[C@H]34)n2)cc1 ZINC000848404746 631654576 /nfs/dbraw/zinc/65/45/76/631654576.db2.gz ZMVJDKNWLZHLAD-OAHLLOKOSA-N 0 1 311.389 3.153 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnc(Cl)n2C)c1 ZINC000848536414 631683128 /nfs/dbraw/zinc/68/31/28/631683128.db2.gz OQRQKVXYVHFQMP-CQSZACIVSA-N 0 1 318.808 3.044 20 30 DGEDMN Cn1c(Cl)ncc1CNC1(c2ccc(C#N)cc2)CCC1 ZINC000848567940 631695641 /nfs/dbraw/zinc/69/56/41/631695641.db2.gz UECVRQPTOILGFT-UHFFFAOYSA-N 0 1 300.793 3.114 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](CCOc2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000849344419 631897184 /nfs/dbraw/zinc/89/71/84/631897184.db2.gz LMQMVHFDRCRDFF-SDMNGIDPSA-N 0 1 315.413 3.451 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2nc3ccccc3c(C)c2C(=O)[O-])C1 ZINC000849344615 631897275 /nfs/dbraw/zinc/89/72/75/631897275.db2.gz QBJOJQJHVFLCIJ-HNNXBMFYSA-N 0 1 322.408 3.477 20 30 DGEDMN CC(C)(C)OC(=O)NC(C)(C)CNCC1(C#N)CCCCC1 ZINC000849349684 631900999 /nfs/dbraw/zinc/90/09/99/631900999.db2.gz DXFLQTIXBUPUOY-UHFFFAOYSA-N 0 1 309.454 3.353 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850372571 632130341 /nfs/dbraw/zinc/13/03/41/632130341.db2.gz WIRUYXJDIBAPHQ-ZNZDAUKMSA-N 0 1 308.381 3.245 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CCC1 ZINC000850740106 632210783 /nfs/dbraw/zinc/21/07/83/632210783.db2.gz SATVJINFQILOJG-NHYWBVRUSA-N 0 1 300.383 3.288 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000850752981 632215863 /nfs/dbraw/zinc/21/58/63/632215863.db2.gz WTMRVZZACXWOAG-QXSJWSMHSA-N 0 1 304.434 3.218 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C[C@@H](C)[C@@H]3CCCO3)[nH]c21 ZINC000850849811 632237297 /nfs/dbraw/zinc/23/72/97/632237297.db2.gz KDSUBIAEKTUQQU-IOASZLSFSA-N 0 1 311.385 3.253 20 30 DGEDMN C[C@H](c1ccccc1)N1CC[C@](F)(COC(=O)[C@@H](C)CC#N)C1 ZINC000850948183 632265142 /nfs/dbraw/zinc/26/51/42/632265142.db2.gz PMWSNFNWIDOAQO-HDMKZQKVSA-N 0 1 318.392 3.255 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C2CCC3(CC3)CC2)c1 ZINC000850977007 632275121 /nfs/dbraw/zinc/27/51/21/632275121.db2.gz LAPPDAAEHIOHCS-KRWDZBQOSA-N 0 1 324.424 3.291 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C1CC2(CC2)C1)c1ccccc1 ZINC000850977722 632275248 /nfs/dbraw/zinc/27/52/48/632275248.db2.gz CHUXMKNZQPYMIS-JKSUJKDBSA-N 0 1 310.397 3.153 20 30 DGEDMN N#C[C@@H](C(=O)C1CC2(CC2(F)F)C1)c1cnc2ccccc2n1 ZINC000850987323 632278566 /nfs/dbraw/zinc/27/85/66/632278566.db2.gz ZVXIZEAZWGTKKS-NOEPWBJOSA-N 0 1 313.307 3.241 20 30 DGEDMN C#CCn1ccc(CN(CC)CCc2nsc3ccccc32)n1 ZINC000851996254 632535983 /nfs/dbraw/zinc/53/59/83/632535983.db2.gz LLLWFBLVRJKAAH-UHFFFAOYSA-N 0 1 324.453 3.191 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)Nc1ccc(C)cc1Br ZINC000852101486 632555701 /nfs/dbraw/zinc/55/57/01/632555701.db2.gz RGNBUUZJUAKRCC-HNNXBMFYSA-N 0 1 323.234 3.088 20 30 DGEDMN C#CCOCCNC1(c2ccccc2Br)CCC1 ZINC000852209819 632571488 /nfs/dbraw/zinc/57/14/88/632571488.db2.gz SXDGOVFDRBKWAV-UHFFFAOYSA-N 0 1 308.219 3.068 20 30 DGEDMN CCOC(=O)[C@]1(F)CN(CCCCC(C)(C)C#N)CC12CCC2 ZINC000852317419 632585136 /nfs/dbraw/zinc/58/51/36/632585136.db2.gz NUANBIYRQCOMHH-GOSISDBHSA-N 0 1 324.440 3.464 20 30 DGEDMN C=CCN(Cc1cccc(SC(=O)N(C)C)c1)[C@H](C)COC ZINC000852358676 632595313 /nfs/dbraw/zinc/59/53/13/632595313.db2.gz YBMNMBZDGXGACG-CQSZACIVSA-N 0 1 322.474 3.483 20 30 DGEDMN C=CCN(Cc1cccc(SC(=O)N(C)C)c1)[C@@H](C)COC ZINC000852358675 632595677 /nfs/dbraw/zinc/59/56/77/632595677.db2.gz YBMNMBZDGXGACG-AWEZNQCLSA-N 0 1 322.474 3.483 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2F)CC1(C)C ZINC000995372333 660195325 /nfs/dbraw/zinc/19/53/25/660195325.db2.gz MXHDQVFEAMAXLQ-CQSZACIVSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001032107535 660200244 /nfs/dbraw/zinc/20/02/44/660200244.db2.gz LMZDRDYFKLLNND-OAHLLOKOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(cccc3F)s2)C1 ZINC001032176315 660209784 /nfs/dbraw/zinc/20/97/84/660209784.db2.gz XXZDIGRFEXZWEZ-UHFFFAOYSA-N 0 1 318.417 3.278 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001032210310 660226920 /nfs/dbraw/zinc/22/69/20/660226920.db2.gz DGXOBPAFNGSRRJ-LJQANCHMSA-N 0 1 312.457 3.121 20 30 DGEDMN CCOc1ccc(-c2nc(CON=C(N)C(C)C)co2)cc1 ZINC000185438828 660223308 /nfs/dbraw/zinc/22/33/08/660223308.db2.gz PNDICGOWLFNJMY-UHFFFAOYSA-N 0 1 303.362 3.185 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2=CCCCCC2)CC1(C)C ZINC000995736780 660270073 /nfs/dbraw/zinc/27/00/73/660270073.db2.gz MEDQCRGRGNPAKP-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1(C)C ZINC000996082010 660275052 /nfs/dbraw/zinc/27/50/52/660275052.db2.gz ITJQTTRUKXSGBC-UMPJEAMMSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C[C@@H]2CC=CCC2)CC1(C)C ZINC000996126142 660275900 /nfs/dbraw/zinc/27/59/00/660275900.db2.gz WZUAFLOJQBEVPS-CABCVRRESA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC2CC(C)(C)C2)CC1(C)C ZINC000996192437 660277534 /nfs/dbraw/zinc/27/75/34/660277534.db2.gz QWFYYVIOADPIOY-CQSZACIVSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCc2ccccc2)CC1(C)C ZINC000996549171 660285561 /nfs/dbraw/zinc/28/55/61/660285561.db2.gz FUFHDOVSEPZIDY-MRXNPFEDSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H](C)c2ccc(C)o2)CC1(C)C ZINC000996388693 660281774 /nfs/dbraw/zinc/28/17/74/660281774.db2.gz KWQPWHAVGCAGQZ-HIFRSBDPSA-N 0 1 324.852 3.271 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c[nH]cc2C2CC2)CC1(C)C ZINC000996472501 660283160 /nfs/dbraw/zinc/28/31/60/660283160.db2.gz SQIBTGDMAMATMJ-OAHLLOKOSA-N 0 1 321.852 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC23CCCC3)CC1(C)C ZINC000996483377 660283374 /nfs/dbraw/zinc/28/33/74/660283374.db2.gz FPTYUJYGAHIYBY-ZIAGYGMSSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(C)ccc2C)CC1(C)C ZINC000996559344 660285791 /nfs/dbraw/zinc/28/57/91/660285791.db2.gz VWXJTTQTSKEUOG-INIZCTEOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)cc2F)CC1(C)C ZINC000996349444 660280367 /nfs/dbraw/zinc/28/03/67/660280367.db2.gz XPLSTALABNMMGI-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001032400667 660312177 /nfs/dbraw/zinc/31/21/77/660312177.db2.gz PWXIUPKNQGERCV-CAOSSQGBSA-N 0 1 316.470 3.021 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001032400667 660312179 /nfs/dbraw/zinc/31/21/79/660312179.db2.gz PWXIUPKNQGERCV-CAOSSQGBSA-N 0 1 316.470 3.021 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(Cl)cc1F ZINC001032506004 660333291 /nfs/dbraw/zinc/33/32/91/660333291.db2.gz UZUQTMJNEDRPIM-KBPBESRZSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCCc1ccccc1 ZINC001032559921 660347900 /nfs/dbraw/zinc/34/79/00/660347900.db2.gz HJIQFGHLUABWRM-ROUUACIJSA-N 0 1 312.457 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cccc1C ZINC001032563409 660349909 /nfs/dbraw/zinc/34/99/09/660349909.db2.gz VHFZCLHASJFMIJ-IRXDYDNUSA-N 0 1 312.457 3.301 20 30 DGEDMN CCN(C(=O)C1CC1)[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000997895863 660350093 /nfs/dbraw/zinc/35/00/93/660350093.db2.gz WYLGRVBYGDJYFJ-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2sccc2C)CC1 ZINC000997909017 660353262 /nfs/dbraw/zinc/35/32/62/660353262.db2.gz NATXJIHYYMYPDF-MRXNPFEDSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(CC)C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC000997963719 660360514 /nfs/dbraw/zinc/36/05/14/660360514.db2.gz BWSYDNDSGCLIEZ-MWDXBVQZSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(Cl)c1F ZINC001032598647 660368137 /nfs/dbraw/zinc/36/81/37/660368137.db2.gz QJCDXKFOTMZZHP-STQMWFEESA-N 0 1 322.811 3.344 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1C[N@H+]2CCc1cccs1 ZINC001032607071 660376137 /nfs/dbraw/zinc/37/61/37/660376137.db2.gz AJMYVZSAHYEHPZ-RLFYNMQTSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001032607071 660376139 /nfs/dbraw/zinc/37/61/39/660376139.db2.gz AJMYVZSAHYEHPZ-RLFYNMQTSA-N 0 1 318.486 3.178 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1cccc(F)c1 ZINC001032619820 660380731 /nfs/dbraw/zinc/38/07/31/660380731.db2.gz GXDCGODMNXRWOJ-WBAXXEDZSA-N 0 1 316.420 3.181 20 30 DGEDMN CC(C(=O)N1CCC[C@H](NCc2ccccc2C#N)C1)=C1CCC1 ZINC000999121421 660405089 /nfs/dbraw/zinc/40/50/89/660405089.db2.gz PWVPSFVDZNVWAY-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc(F)c2C)C1 ZINC000999216553 660409697 /nfs/dbraw/zinc/40/96/97/660409697.db2.gz QHAJSOKVQUGYRR-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)C1CCCCC1 ZINC001032680989 660411917 /nfs/dbraw/zinc/41/19/17/660411917.db2.gz WMSNVBURYDAECG-NJAFHUGGSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)Cc1ccccc1 ZINC001032658658 660407548 /nfs/dbraw/zinc/40/75/48/660407548.db2.gz MTTKEVSNQRUUTF-FHWLQOOXSA-N 0 1 312.457 3.117 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)CC1CCCCC1 ZINC001032661188 660408702 /nfs/dbraw/zinc/40/87/02/660408702.db2.gz QITRCENEPOSKKP-QYZOEREBSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1ccccc1F ZINC001032686345 660413800 /nfs/dbraw/zinc/41/38/00/660413800.db2.gz PRYQPVLTTWKQBM-JYJNAYRXSA-N 0 1 316.420 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2c(C)cccc2C)C1 ZINC000999281717 660415157 /nfs/dbraw/zinc/41/51/57/660415157.db2.gz QTLUODMBOLMROT-MRXNPFEDSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C(C)C)nc2)C1 ZINC000999352586 660417081 /nfs/dbraw/zinc/41/70/81/660417081.db2.gz JUHUWBBEGWMCNX-OAHLLOKOSA-N 0 1 321.852 3.152 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2CCCCC2)cc1 ZINC000131659544 660418224 /nfs/dbraw/zinc/41/82/24/660418224.db2.gz MGRFXDWVZJOTFM-INIZCTEOSA-N 0 1 314.385 3.313 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3ccsc3[nH]2)C1 ZINC000999844817 660428408 /nfs/dbraw/zinc/42/84/08/660428408.db2.gz FKRYWRAMXHXJQQ-GFCCVEGCSA-N 0 1 323.849 3.176 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2c(C)cc(F)cc2C)C1 ZINC000999856653 660428595 /nfs/dbraw/zinc/42/85/95/660428595.db2.gz LZZSIHWENQTFGK-HNNXBMFYSA-N 0 1 324.827 3.389 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2cccc(C)c2)C1 ZINC000999823216 660428673 /nfs/dbraw/zinc/42/86/73/660428673.db2.gz TWANZFSIPSPLFM-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)nc2ccccc21 ZINC001032766139 660441378 /nfs/dbraw/zinc/44/13/78/660441378.db2.gz SWTNPRDHHNSQRL-HOTGVXAUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)oc2ccccc21 ZINC001032823090 660458672 /nfs/dbraw/zinc/45/86/72/660458672.db2.gz HMZUCERDBHHAHL-HOTGVXAUSA-N 0 1 324.424 3.145 20 30 DGEDMN N#CCOc1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])cc1 ZINC000255377997 660482195 /nfs/dbraw/zinc/48/21/95/660482195.db2.gz NOXBWOCNGDXWKR-BAQGIRSFSA-N 0 1 324.292 3.099 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)CC1 ZINC001003846119 660512597 /nfs/dbraw/zinc/51/25/97/660512597.db2.gz NNUCQNQEKZELNF-QAQDUYKDSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C)c(C)c2Cl)CC1 ZINC001003960128 660520476 /nfs/dbraw/zinc/52/04/76/660520476.db2.gz YUCHMOSORZMOQI-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCCC(C)(C)C2)CC1 ZINC001003975359 660520751 /nfs/dbraw/zinc/52/07/51/660520751.db2.gz LKZZOFQXCCLOJH-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC001004033432 660526590 /nfs/dbraw/zinc/52/65/90/660526590.db2.gz PBCPDSXSFSJKMD-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc3[nH]c(C)c(C)c3c2)CC1 ZINC001004122515 660530247 /nfs/dbraw/zinc/53/02/47/660530247.db2.gz QTJUMOONANJKEG-UHFFFAOYSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCN1CCC(NC(=O)CC2CCC(C(C)(C)C)CC2)CC1 ZINC001004220749 660533885 /nfs/dbraw/zinc/53/38/85/660533885.db2.gz LCPQYCASMUCDAN-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC001004240019 660534922 /nfs/dbraw/zinc/53/49/22/660534922.db2.gz FJMWEOXXADZGNZ-IBGZPJMESA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(CC(C)C)s2)C1 ZINC001033152591 660565366 /nfs/dbraw/zinc/56/53/66/660565366.db2.gz RXESIDJIICVFSG-AWEZNQCLSA-N 0 1 306.475 3.279 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001033165589 660571208 /nfs/dbraw/zinc/57/12/08/660571208.db2.gz OBCNOFIGDVMNBE-KZNAEPCWSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc3c2CCCCC3)C1 ZINC001033178544 660572543 /nfs/dbraw/zinc/57/25/43/660572543.db2.gz UVGAOZXUWJXXSU-QGZVFWFLSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2-c2ccncc2)C1 ZINC001033189549 660583206 /nfs/dbraw/zinc/58/32/06/660583206.db2.gz AZCOZNQCROISAO-QGZVFWFLSA-N 0 1 321.424 3.081 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2cc3ccccc3s2)C1 ZINC001033262050 660601236 /nfs/dbraw/zinc/60/12/36/660601236.db2.gz MQFPIBWAVVOUES-OAHLLOKOSA-N 0 1 314.454 3.163 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001033530158 660630588 /nfs/dbraw/zinc/63/05/88/660630588.db2.gz NKGWEYVCMVCNTF-XLIONFOSSA-N 0 1 312.457 3.073 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2coc(C3CCCC3)n2)C1 ZINC001033537835 660631279 /nfs/dbraw/zinc/63/12/79/660631279.db2.gz WAWXREFOKSEXOK-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001033589760 660635226 /nfs/dbraw/zinc/63/52/26/660635226.db2.gz SNEMINGTBWSUQY-INIZCTEOSA-N 0 1 312.413 3.382 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccsc2C(F)(F)F)C1 ZINC001033611900 660639487 /nfs/dbraw/zinc/63/94/87/660639487.db2.gz BCOAVVBONUDUAV-SNVBAGLBSA-N 0 1 318.364 3.099 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(Cl)ccc2Cl)C1 ZINC001033611019 660639595 /nfs/dbraw/zinc/63/95/95/660639595.db2.gz IQGJXEGPCFAOEM-LBPRGKRZSA-N 0 1 313.228 3.326 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2csc3c2CC[C@H](C)C3)C1 ZINC001033633989 660642378 /nfs/dbraw/zinc/64/23/78/660642378.db2.gz HBXIURXKTRJIAC-UONOGXRCSA-N 0 1 318.486 3.205 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc(CCC)c(C)s2)C1 ZINC001033642309 660645242 /nfs/dbraw/zinc/64/52/42/660645242.db2.gz KTHGKFKWIAFOJM-INIZCTEOSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2c(Cl)cccc2OC)C1 ZINC001033688121 660650056 /nfs/dbraw/zinc/65/00/56/660650056.db2.gz OBNZAKIBEGRIKS-ZDUSSCGKSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3cc(C)ccc3o2)C1 ZINC001033685040 660650083 /nfs/dbraw/zinc/65/00/83/660650083.db2.gz RPMLMRXDGRKXQY-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700580 660651927 /nfs/dbraw/zinc/65/19/27/660651927.db2.gz ZQDZCEGQLJLNAW-QGZVFWFLSA-N 0 1 300.446 3.217 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700580 660651928 /nfs/dbraw/zinc/65/19/28/660651928.db2.gz ZQDZCEGQLJLNAW-QGZVFWFLSA-N 0 1 300.446 3.217 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC001033708090 660652806 /nfs/dbraw/zinc/65/28/06/660652806.db2.gz UMADBHCQZVPMNQ-HTWSVDAQSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2CC(c3ccc(F)cc3)C2)C1 ZINC001033708091 660652983 /nfs/dbraw/zinc/65/29/83/660652983.db2.gz UMADBHCQZVPMNQ-LEOMRAHMSA-N 0 1 316.420 3.038 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001033712297 660654283 /nfs/dbraw/zinc/65/42/83/660654283.db2.gz XBMJHWWSGFYNEH-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(CC)c(CC)c2)C1 ZINC001033727117 660656696 /nfs/dbraw/zinc/65/66/96/660656696.db2.gz HATLFLIAGYUFKD-GOSISDBHSA-N 0 1 300.446 3.144 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001033729865 660657360 /nfs/dbraw/zinc/65/73/60/660657360.db2.gz QWQMKCJTRRUADJ-CYBMUJFWSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cscc2C(F)F)C1 ZINC001033830927 660667954 /nfs/dbraw/zinc/66/79/54/660667954.db2.gz PPYRXDJWSJSKMQ-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cscc2C(F)F)C1 ZINC001033830927 660667956 /nfs/dbraw/zinc/66/79/56/660667956.db2.gz PPYRXDJWSJSKMQ-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2c(C)oc3ccccc32)C1 ZINC001033849855 660670577 /nfs/dbraw/zinc/67/05/77/660670577.db2.gz KBVFVLNYMKXDFK-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc(F)ccc2F)C1 ZINC001033859363 660671929 /nfs/dbraw/zinc/67/19/29/660671929.db2.gz WHCUVXSQCXVRDZ-AWEZNQCLSA-N 0 1 308.372 3.077 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033888269 660674268 /nfs/dbraw/zinc/67/42/68/660674268.db2.gz BGAUEOQKKLQCLZ-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(CCCC)cc2)C1 ZINC001033888269 660674269 /nfs/dbraw/zinc/67/42/69/660674269.db2.gz BGAUEOQKKLQCLZ-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C)n(CC)c2C)C1 ZINC001033889309 660674459 /nfs/dbraw/zinc/67/44/59/660674459.db2.gz WYYANJGGNZMQDA-QGZVFWFLSA-N 0 1 317.477 3.237 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(Cl)ccc2F)C1 ZINC001033890116 660674952 /nfs/dbraw/zinc/67/49/52/660674952.db2.gz KTNMMNKYPNQOOE-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(F)ccc2CC)C1 ZINC001033886574 660675181 /nfs/dbraw/zinc/67/51/81/660675181.db2.gz XEQFXISAIWWACI-INIZCTEOSA-N 0 1 304.409 3.111 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001033916923 660679958 /nfs/dbraw/zinc/67/99/58/660679958.db2.gz VWMSELOZUYLECO-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001033918800 660680214 /nfs/dbraw/zinc/68/02/14/660680214.db2.gz OFHRVKQIUXCTKD-QGZVFWFLSA-N 0 1 323.440 3.036 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001033937241 660682305 /nfs/dbraw/zinc/68/23/05/660682305.db2.gz HBRHNILPHFFDPZ-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(CCC)cc2)C1 ZINC001033940094 660684503 /nfs/dbraw/zinc/68/45/03/660684503.db2.gz BTAKEYIPKNPCJB-GOSISDBHSA-N 0 1 300.446 3.362 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001033971562 660687174 /nfs/dbraw/zinc/68/71/74/660687174.db2.gz HCCCZAUOTYZWLH-YRXWBPOGSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc(Cl)ccc2C)C1 ZINC001033991274 660690928 /nfs/dbraw/zinc/69/09/28/660690928.db2.gz HKBHIXFDLYUIMW-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(Cl)ccc2C)C1 ZINC001033992347 660691301 /nfs/dbraw/zinc/69/13/01/660691301.db2.gz RDDFCYJRAFZJDT-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc3ccsc32)C1 ZINC001034009773 660692865 /nfs/dbraw/zinc/69/28/65/660692865.db2.gz MNZBYDMEROQPRY-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001034040426 660698636 /nfs/dbraw/zinc/69/86/36/660698636.db2.gz VSCYFPHRJNKAFT-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(CC(C)C)cc2)C1 ZINC001034040426 660698638 /nfs/dbraw/zinc/69/86/38/660698638.db2.gz VSCYFPHRJNKAFT-IBGZPJMESA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034023462 660699149 /nfs/dbraw/zinc/69/91/49/660699149.db2.gz RGFYMEKCWBIOBR-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(C3CC3)cc2)C1 ZINC001034045209 660700826 /nfs/dbraw/zinc/70/08/26/660700826.db2.gz ICIMWQQYLCTCCS-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCC(C)(C)C2)C1 ZINC001034050313 660702496 /nfs/dbraw/zinc/70/24/96/660702496.db2.gz JHFRONQOLLUVFL-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2coc3ccc(F)cc23)C1 ZINC001034029256 660695829 /nfs/dbraw/zinc/69/58/29/660695829.db2.gz MSNRPHVOFOVXBI-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)CCCC3)C1 ZINC001034036065 660697566 /nfs/dbraw/zinc/69/75/66/660697566.db2.gz NTQJXINQUMNECX-LJQANCHMSA-N 0 1 312.457 3.288 20 30 DGEDMN O=C(N[C@H]1CCCCN(CC#Cc2ccccc2)C1)C1=CCCC1 ZINC001034157118 660717711 /nfs/dbraw/zinc/71/77/11/660717711.db2.gz BNJUHKXCTZPQOE-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@@H](NC(=O)C=C3CCC3)C2)c1 ZINC001034162820 660720798 /nfs/dbraw/zinc/72/07/98/660720798.db2.gz ZVXIJQFUCOOSTR-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001034211494 660723985 /nfs/dbraw/zinc/72/39/85/660723985.db2.gz NKMZUYHXPVZKRM-INIZCTEOSA-N 0 1 324.424 3.213 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001034239713 660728222 /nfs/dbraw/zinc/72/82/22/660728222.db2.gz LQIDMLWEFZOLCZ-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001034326229 660739757 /nfs/dbraw/zinc/73/97/57/660739757.db2.gz NGNXNODSENMHRD-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC001034446133 660752301 /nfs/dbraw/zinc/75/23/01/660752301.db2.gz LYRKKBSEAZQWRH-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCCCN(CCC(F)(F)F)C2)C1 ZINC001034389591 660746341 /nfs/dbraw/zinc/74/63/41/660746341.db2.gz SBFYOUWRKSZAKU-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)[C@@H](C)c2ccccc2F)C1 ZINC001034448252 660752624 /nfs/dbraw/zinc/75/26/24/660752624.db2.gz OFLIEWBSVUORAP-GJZGRUSLSA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC001034411064 660749593 /nfs/dbraw/zinc/74/95/93/660749593.db2.gz MZQGNUTWNZWGDZ-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001034505607 660763516 /nfs/dbraw/zinc/76/35/16/660763516.db2.gz MKBYYSBXONVRKO-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@H]2CCc3ccccc32)C1 ZINC001034455390 660756123 /nfs/dbraw/zinc/75/61/23/660756123.db2.gz RJRYKRPUUUWBID-MOPGFXCFSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001034476512 660757007 /nfs/dbraw/zinc/75/70/07/660757007.db2.gz DRFYSLOQPVNQFD-NVXWUHKLSA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc(C(C)C)c2)C1 ZINC001034472790 660758910 /nfs/dbraw/zinc/75/89/10/660758910.db2.gz ZNMHSVZUUIBMPZ-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC001034493881 660759525 /nfs/dbraw/zinc/75/95/25/660759525.db2.gz WARVAPUWWAETCE-JKSUJKDBSA-N 0 1 318.486 3.154 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001034518031 660762307 /nfs/dbraw/zinc/76/23/07/660762307.db2.gz FXETWTRHRQPIPO-CYBMUJFWSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC001034517760 660766352 /nfs/dbraw/zinc/76/63/52/660766352.db2.gz CXLOALZGZHKMPM-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CC=C(C)CC2)C1 ZINC001034535649 660769318 /nfs/dbraw/zinc/76/93/18/660769318.db2.gz STLWLBAVORAJPV-HKUYNNGSSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(C3CC3)cc2)C1 ZINC001034537090 660769487 /nfs/dbraw/zinc/76/94/87/660769487.db2.gz KOHFKDHCGYCHDI-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccoc2C(C)C)C1 ZINC001034548066 660772568 /nfs/dbraw/zinc/77/25/68/660772568.db2.gz IKQKATHAICJIIS-OAHLLOKOSA-N 0 1 302.418 3.011 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2cnc(C(C)C)s2)C1 ZINC001034564363 660774551 /nfs/dbraw/zinc/77/45/51/660774551.db2.gz MVNPEHRWQBREAV-CQSZACIVSA-N 0 1 321.490 3.427 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3cscc3s2)CC1 ZINC001045503166 660778538 /nfs/dbraw/zinc/77/85/38/660778538.db2.gz MBCZYZPSEMPGNZ-UHFFFAOYSA-N 0 1 318.467 3.180 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCC[C@H]2c2ccccc2)CC1 ZINC001005691076 660789861 /nfs/dbraw/zinc/78/98/61/660789861.db2.gz NPVXWVBZTJERRG-VQTJNVASSA-N 0 1 324.468 3.126 20 30 DGEDMN CC(C(=O)N1CCC[C@@H]1CNCc1ccccc1C#N)=C1CCC1 ZINC001034932725 660804784 /nfs/dbraw/zinc/80/47/84/660804784.db2.gz MEJFXNSIYDHNLD-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)c1cc[nH]c1C)CC2 ZINC001035176919 660822617 /nfs/dbraw/zinc/82/26/17/660822617.db2.gz ZCKDAWRWXAPXGY-UHFFFAOYSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CC1(C)CC1)CC2 ZINC001035206384 660825020 /nfs/dbraw/zinc/82/50/20/660825020.db2.gz CYYULNUZKWYWMT-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1C[C@@]1(C)CC)CC2 ZINC001035245464 660833365 /nfs/dbraw/zinc/83/33/65/660833365.db2.gz RANMTQPEVBJGPX-NVXWUHKLSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(C)=C(C)C1)CC2 ZINC001035711420 660846426 /nfs/dbraw/zinc/84/64/26/660846426.db2.gz CVPVRXRPYMTSJQ-SFHVURJKSA-N 0 1 314.473 3.071 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(C)=C(C)C1)CC2 ZINC001035713702 660846640 /nfs/dbraw/zinc/84/66/40/660846640.db2.gz JNIWATIHMASAQC-KRWDZBQOSA-N 0 1 302.462 3.233 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1sccc1Cl)CC2 ZINC001035714173 660846856 /nfs/dbraw/zinc/84/68/56/660846856.db2.gz FAGJPGCXIXVAEL-UHFFFAOYSA-N 0 1 310.850 3.126 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC[C@H]1CC)CC2 ZINC001035733429 660848110 /nfs/dbraw/zinc/84/81/10/660848110.db2.gz CEJGOPGXXMFNNK-IEBWSBKVSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(C(F)F)cc1)CC2 ZINC001035818761 660853898 /nfs/dbraw/zinc/85/38/98/660853898.db2.gz BORYWUHWHFPWPA-UHFFFAOYSA-N 0 1 320.383 3.348 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1coc3ccccc13)CC2 ZINC001035822498 660853992 /nfs/dbraw/zinc/85/39/92/660853992.db2.gz HPNPOLJDTGMYFW-UHFFFAOYSA-N 0 1 324.424 3.086 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1c1cccc(C)c1)CC2 ZINC001035817511 660853997 /nfs/dbraw/zinc/85/39/97/660853997.db2.gz LZNXDRXLSCVXSA-RBUKOAKNSA-N 0 1 324.468 3.209 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(F)ccc1Cl)CC2 ZINC001035805574 660854712 /nfs/dbraw/zinc/85/47/12/660854712.db2.gz ISHNWQNZDPIPEO-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CC)CC1CCCC1)CC2 ZINC001035811989 660855597 /nfs/dbraw/zinc/85/55/97/660855597.db2.gz LTNCCNISPBWVDJ-SFHVURJKSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1c(C)csc1Cl)CC2 ZINC001035843968 660858483 /nfs/dbraw/zinc/85/84/83/660858483.db2.gz JGMQEDBWYZPWEW-UHFFFAOYSA-N 0 1 324.877 3.434 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C1(C)C(C)(C)C1(C)C)CC2 ZINC001035862923 660861094 /nfs/dbraw/zinc/86/10/94/660861094.db2.gz PZASRLYRWOFOGR-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1CC(C(C)(C)C)C1)CC2 ZINC001035861822 660861166 /nfs/dbraw/zinc/86/11/66/660861166.db2.gz RVIIFLPXPUIBMT-UHFFFAOYSA-N 0 1 304.478 3.169 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3sccc3C)CC[C@H]21 ZINC001036619778 660926634 /nfs/dbraw/zinc/92/66/34/660926634.db2.gz VUHGBBCYWABYKP-ZIAGYGMSSA-N 0 1 324.877 3.345 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(F)cc3)CC[C@H]21 ZINC001036623820 660927894 /nfs/dbraw/zinc/92/78/94/660927894.db2.gz HIAWIDMYDJWMBV-GOEBONIOSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C(\C)C3CC3)CC[C@H]21 ZINC001036659240 660939178 /nfs/dbraw/zinc/93/91/78/660939178.db2.gz UYCCRLMFDBWPQO-IEWAYXNBSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3oc(C)cc3C)CC[C@H]21 ZINC001036680798 660945586 /nfs/dbraw/zinc/94/55/86/660945586.db2.gz CNVLYFYXDGMNNE-LSDHHAIUSA-N 0 1 322.836 3.185 20 30 DGEDMN C=C1CCC(C(=O)N(C)C2CCN(C[C@@H](F)CC)CC2)CC1 ZINC001005937696 660951263 /nfs/dbraw/zinc/95/12/63/660951263.db2.gz QBSZVYLTFKKTAW-INIZCTEOSA-N 0 1 310.457 3.404 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(Cl)c(F)c2)CC1 ZINC001006042256 660959031 /nfs/dbraw/zinc/95/90/31/660959031.db2.gz LCNFPYLFBNPWKX-UHFFFAOYSA-N 0 1 322.811 3.039 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2cnnn2Cc2ccccc2)c(O)c1 ZINC000792883486 660991441 /nfs/dbraw/zinc/99/14/41/660991441.db2.gz DVRIRRVZHHCJOB-NTMALXAHSA-N 0 1 319.364 3.237 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2cnnn2Cc2ccccc2)c(O)c1 ZINC000792883484 660991480 /nfs/dbraw/zinc/99/14/80/660991480.db2.gz DVRIRRVZHHCJOB-CSKARUKUSA-N 0 1 319.364 3.237 20 30 DGEDMN C=C(C)CCN1C[C@@H](F)C[C@H]1CN(C)C(=O)OC(C)(C)C ZINC000792918933 660993828 /nfs/dbraw/zinc/99/38/28/660993828.db2.gz AKANXLSXDRBUFY-KBPBESRZSA-N 0 1 300.418 3.232 20 30 DGEDMN Oc1cc(Cl)c(CN=Nc2ncncc2F)cc1Cl ZINC000793214842 661013686 /nfs/dbraw/zinc/01/36/86/661013686.db2.gz QRBSOXXUDSHAII-UHFFFAOYSA-N 0 1 301.108 3.074 20 30 DGEDMN Cc1cc([C@H](C)NCC#Cc2ccc(C(F)(F)F)cc2)n(C)n1 ZINC000793539022 661043367 /nfs/dbraw/zinc/04/33/67/661043367.db2.gz GKRRRBBTLNIEAP-ZDUSSCGKSA-N 0 1 321.346 3.450 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000793610826 661049863 /nfs/dbraw/zinc/04/98/63/661049863.db2.gz YPEOWWKUUMCTBW-WMZOPIPTSA-N 0 1 319.832 3.495 20 30 DGEDMN Cc1cc(N=NCc2c(F)cccc2Br)ncn1 ZINC000794512282 661087831 /nfs/dbraw/zinc/08/78/31/661087831.db2.gz SQBOIUUOVDPFRD-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1cccc(N2CCC2=O)c1 ZINC000794859418 661110155 /nfs/dbraw/zinc/11/01/55/661110155.db2.gz BTPVZKMFFKEYEL-UHFFFAOYSA-N 0 1 319.368 3.136 20 30 DGEDMN C#C[C@H](NC(=O)c1cccc2nc(C)[nH]c21)c1ccc(F)cc1 ZINC000794891063 661112177 /nfs/dbraw/zinc/11/21/77/661112177.db2.gz IGXFBWSASPOWJP-HNNXBMFYSA-N 0 1 307.328 3.115 20 30 DGEDMN COc1ccc2nc(N=NCc3c(C)cnn3C)c(C)cc2c1 ZINC000794926829 661114069 /nfs/dbraw/zinc/11/40/69/661114069.db2.gz HJIVZGXTWSQXQA-UHFFFAOYSA-N 0 1 309.373 3.040 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NN(C)CCOC ZINC000794966041 661117417 /nfs/dbraw/zinc/11/74/17/661117417.db2.gz IOTZGPCRCJTDHH-CQSZACIVSA-N 0 1 309.429 3.220 20 30 DGEDMN Brc1cccc2[nH]cc(C=NNc3cncnc3)c21 ZINC000794979350 661118435 /nfs/dbraw/zinc/11/84/35/661118435.db2.gz WECHGRFBZSLDRN-UHFFFAOYSA-N 0 1 316.162 3.166 20 30 DGEDMN FC(F)(F)Oc1ccc2c(c1)C(=NNc1cncnc1)CC2 ZINC000794979710 661118495 /nfs/dbraw/zinc/11/84/95/661118495.db2.gz PUIWCTMYFLTLGR-UHFFFAOYSA-N 0 1 308.263 3.138 20 30 DGEDMN Brc1ccc2c(c1)C(=NNc1cncnc1)CC2 ZINC000794977235 661118527 /nfs/dbraw/zinc/11/85/27/661118527.db2.gz YSDVSMZXHMOYKT-UHFFFAOYSA-N 0 1 303.163 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N[C@@H](C)c2c(F)cccc2F)C1 ZINC000969584406 655551512 /nfs/dbraw/zinc/55/15/12/655551512.db2.gz IJQWGSPTANAOAJ-QWHCGFSZSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC000969890247 655562520 /nfs/dbraw/zinc/56/25/20/655562520.db2.gz DNBHTAAUSRWPJR-GFCCVEGCSA-N 0 1 321.490 3.067 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC000969893358 655562752 /nfs/dbraw/zinc/56/27/52/655562752.db2.gz IFRAYAUKTSNZAV-BLLLJJGKSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3occc3s2)C1 ZINC000969931930 655564120 /nfs/dbraw/zinc/56/41/20/655564120.db2.gz XQIBTZBDFAUONV-SNVBAGLBSA-N 0 1 310.806 3.051 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3sccc3c2)C1 ZINC000970381314 655597172 /nfs/dbraw/zinc/59/71/72/655597172.db2.gz GAVIBHGOXWCVDA-CQSZACIVSA-N 0 1 320.845 3.458 20 30 DGEDMN Cc1nn(C)c2ncc(/C=C\C(=O)c3cc(F)ccc3O)cc12 ZINC000255128228 655607387 /nfs/dbraw/zinc/60/73/87/655607387.db2.gz ILHJPGIKCKLLRZ-HYXAFXHYSA-N 0 1 311.316 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)c2ccccc2)C1 ZINC000970640638 655610698 /nfs/dbraw/zinc/61/06/98/655610698.db2.gz UESPOIWQDYNPON-CZUORRHYSA-N 0 1 306.837 3.123 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc3ccsc32)C1 ZINC000970692101 655613132 /nfs/dbraw/zinc/61/31/32/655613132.db2.gz CFDHOFVLMPLKMV-GFCCVEGCSA-N 0 1 300.427 3.137 20 30 DGEDMN CC(C)(C)C(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2CC#Cc1ccccc1 ZINC001021443574 655613649 /nfs/dbraw/zinc/61/36/49/655613649.db2.gz KHSYABLXZVXYLO-YQQQUEKLSA-N 0 1 324.468 3.196 20 30 DGEDMN CC(C)(C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001021443574 655613650 /nfs/dbraw/zinc/61/36/50/655613650.db2.gz KHSYABLXZVXYLO-YQQQUEKLSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(F)c3occc32)C1 ZINC000970755887 655617294 /nfs/dbraw/zinc/61/72/94/655617294.db2.gz BOIHXCZNTNJZDA-LLVKDONJSA-N 0 1 322.767 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC000970828714 655627296 /nfs/dbraw/zinc/62/72/96/655627296.db2.gz DRDHRQZXJYWGJK-WMLDXEAASA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)Cc2ccccc2C)C1 ZINC000970828713 655627434 /nfs/dbraw/zinc/62/74/34/655627434.db2.gz DRDHRQZXJYWGJK-RHSMWYFYSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000970837398 655628354 /nfs/dbraw/zinc/62/83/54/655628354.db2.gz CFLVJARLGCDVPE-NVPAJSRCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@@H](CCCN2C(=O)C(F)=C(C)C)C1 ZINC001021559336 655632380 /nfs/dbraw/zinc/63/23/80/655632380.db2.gz QZAPYLPIBTVVBD-KBPBESRZSA-N 0 1 314.832 3.315 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000970926634 655638329 /nfs/dbraw/zinc/63/83/29/655638329.db2.gz WKGJSEYOVXUXGN-CQSZACIVSA-N 0 1 312.413 3.367 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC000970930478 655638513 /nfs/dbraw/zinc/63/85/13/655638513.db2.gz ASLHZJAXDDPCPL-HZPDHXFCSA-N 0 1 312.457 3.274 20 30 DGEDMN C[C@H](CCC(=O)C(C#N)C(=O)Nc1ccccn1)c1ccccc1 ZINC000160474312 655641420 /nfs/dbraw/zinc/64/14/20/655641420.db2.gz RYCXJGGLAFSNBA-ZBFHGGJFSA-N 0 1 321.380 3.313 20 30 DGEDMN C#CCC1(NCc2nc3ccc(Cl)cc3c(=O)[nH]2)CCC1 ZINC000933301700 655698394 /nfs/dbraw/zinc/69/83/94/655698394.db2.gz ROIDJLRZDMGYPZ-UHFFFAOYSA-N 0 1 301.777 3.024 20 30 DGEDMN CCOC(=O)c1ccc(/C=C\C(=O)c2ccc(O)c(F)c2)o1 ZINC000255358734 655708014 /nfs/dbraw/zinc/70/80/14/655708014.db2.gz QCZZYVXHIFXZCB-DAXSKMNVSA-N 0 1 304.273 3.197 20 30 DGEDMN Cc1ccc(CCCC(=O)C(C#N)C(=O)NC2CCCC2)s1 ZINC000176022220 655709022 /nfs/dbraw/zinc/70/90/22/655709022.db2.gz GCUKNPNTRADRGN-OAHLLOKOSA-N 0 1 318.442 3.147 20 30 DGEDMN C=C(Br)CN[C@](C)(CO)Cc1ccc(Cl)cc1 ZINC000933448836 655714699 /nfs/dbraw/zinc/71/46/99/655714699.db2.gz KVACSHTUFFPCJX-ZDUSSCGKSA-N 0 1 318.642 3.132 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C1CCC1)C1CCC1 ZINC001039425687 655716126 /nfs/dbraw/zinc/71/61/26/655716126.db2.gz PVXIAVKXGHEWCU-MSOLQXFVSA-N 0 1 316.489 3.454 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000933770064 655732151 /nfs/dbraw/zinc/73/21/51/655732151.db2.gz JKKMXPPFRSQIDT-CMPLNLGQSA-N 0 1 304.337 3.161 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc3cc(F)ccc3[nH]2)CC1 ZINC000949583067 655736708 /nfs/dbraw/zinc/73/67/08/655736708.db2.gz HTCJILFUALALED-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCC1(C)C ZINC001039475863 655745284 /nfs/dbraw/zinc/74/52/84/655745284.db2.gz MTBFKKYAFLZKBL-IXDOHACOSA-N 0 1 304.478 3.454 20 30 DGEDMN C#Cc1ccc(C(=O)N2CC[C@H](N(C)Cc3ccsc3)C2)cc1 ZINC000972059368 655760894 /nfs/dbraw/zinc/76/08/94/655760894.db2.gz SGUYWJSGGHEPKY-SFHVURJKSA-N 0 1 324.449 3.076 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cccnc2C)C1 ZINC000972072728 655761955 /nfs/dbraw/zinc/76/19/55/655761955.db2.gz LXIDBXZMVLZGIY-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC000972083063 655763727 /nfs/dbraw/zinc/76/37/27/655763727.db2.gz VBZURLIOWZXJJI-INIZCTEOSA-N 0 1 322.399 3.210 20 30 DGEDMN C#C[C@H](NCc1ccccc1I)C(C)C ZINC000308826993 655778888 /nfs/dbraw/zinc/77/88/88/655778888.db2.gz DVOGMYSWHGEATP-ZDUSSCGKSA-N 0 1 313.182 3.039 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC000934493405 655780365 /nfs/dbraw/zinc/78/03/65/655780365.db2.gz IWCUUQAGHHGFEY-QAPCUYQASA-N 0 1 318.424 3.499 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC000972244248 655782337 /nfs/dbraw/zinc/78/23/37/655782337.db2.gz BMDPBQXFIHFCNL-PKOBYXMFSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC000972246543 655782546 /nfs/dbraw/zinc/78/25/46/655782546.db2.gz PNJOXHPTVNXFQT-RHSMWYFYSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)=C3CCC3)CC[C@@H]21 ZINC001036713911 661191100 /nfs/dbraw/zinc/19/11/00/661191100.db2.gz PWQRHFZMQHNQSG-CVEARBPZSA-N 0 1 308.853 3.162 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C[C@@H]1C ZINC000947559599 655837459 /nfs/dbraw/zinc/83/74/59/655837459.db2.gz KNTADADFQYNVHZ-STQMWFEESA-N 0 1 322.811 3.003 20 30 DGEDMN C[C@H](Oc1ccccc1Cl)C(=O)Nc1cc(C#N)ccc1O ZINC000176820895 655847959 /nfs/dbraw/zinc/84/79/59/655847959.db2.gz BLEHBPZTOWJBLN-JTQLQIEISA-N 0 1 316.744 3.323 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(-n3cccc3)cc2)c1 ZINC000176821452 655848250 /nfs/dbraw/zinc/84/82/50/655848250.db2.gz PMJLCLZBSYBFAT-UHFFFAOYSA-N 0 1 303.321 3.307 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2C[C@H]2c2c(F)cccc2F)c1 ZINC000176826762 655849756 /nfs/dbraw/zinc/84/97/56/655849756.db2.gz IJNBSLXUNOWQMZ-GHMZBOCLSA-N 0 1 314.291 3.284 20 30 DGEDMN C=CCCN1CCO[C@]2(CCN(C(=O)C(CC)(CC)CC)C2)C1 ZINC000972613909 655850226 /nfs/dbraw/zinc/85/02/26/655850226.db2.gz GQGQXOXNEFRKJW-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C34CCC(CC3)C4)CC[C@H]21 ZINC001036750911 661195732 /nfs/dbraw/zinc/19/57/32/661195732.db2.gz UWZGYIXRMMCCGD-BNQMIIOLSA-N 0 1 322.880 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001024095149 655883909 /nfs/dbraw/zinc/88/39/09/655883909.db2.gz RJSGLQLRNVXJNE-HUUCEWRRSA-N 0 1 319.449 3.084 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001024438103 655906056 /nfs/dbraw/zinc/90/60/56/655906056.db2.gz GOVTUQCEEHDPGI-BZUAXINKSA-N 0 1 318.486 3.317 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cccc(Cl)c1C ZINC001024454095 655906922 /nfs/dbraw/zinc/90/69/22/655906922.db2.gz ZLWQZXIDRGOQHD-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@H]1CCCCN1C[C@H](F)CC ZINC001024479893 655909670 /nfs/dbraw/zinc/90/96/70/655909670.db2.gz SLCSQYXYGJTAFF-HZPDHXFCSA-N 0 1 321.440 3.007 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ccc(F)c(F)c1 ZINC001024480251 655909871 /nfs/dbraw/zinc/90/98/71/655909871.db2.gz DSMHYTMZHPQWHR-CQSZACIVSA-N 0 1 308.372 3.125 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]2CCN(C/C=C/Cl)[C@H]2C1 ZINC001042183310 655911973 /nfs/dbraw/zinc/91/19/73/655911973.db2.gz JAYGVWALMIDLQZ-QKNOVVFDSA-N 0 1 310.869 3.264 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001024506055 655911996 /nfs/dbraw/zinc/91/19/96/655911996.db2.gz INOWOWOUWKRGJW-AQNXPRMDSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001024506055 655911997 /nfs/dbraw/zinc/91/19/97/655911997.db2.gz INOWOWOUWKRGJW-AQNXPRMDSA-N 0 1 324.468 3.174 20 30 DGEDMN COc1ccc(C(C)(C)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000179741271 655912580 /nfs/dbraw/zinc/91/25/80/655912580.db2.gz CWVCAYMYBQCSQU-UHFFFAOYSA-N 0 1 310.353 3.189 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C2(C)CCC2)CCN1CC#Cc1ccccc1 ZINC000947620684 655918313 /nfs/dbraw/zinc/91/83/13/655918313.db2.gz OXWFAKVAKRUGSM-IEBWSBKVSA-N 0 1 324.468 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1 ZINC001024631210 655926066 /nfs/dbraw/zinc/92/60/66/655926066.db2.gz HTORCHXLKNYHLW-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCCCN2C[C@H](F)CC)CC1 ZINC001024634937 655926180 /nfs/dbraw/zinc/92/61/80/655926180.db2.gz ZCEKRNCDEOWHEW-SJORKVTESA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ncsc1C1CC1 ZINC001024648296 655927140 /nfs/dbraw/zinc/92/71/40/655927140.db2.gz DHPVQLDVHBFEBH-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ncsc1C1CC1 ZINC001024648297 655927163 /nfs/dbraw/zinc/92/71/63/655927163.db2.gz DHPVQLDVHBFEBH-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1[nH]c2ccc(C)cc2c1C ZINC001024741009 655934097 /nfs/dbraw/zinc/93/40/97/655934097.db2.gz QVLCPWIJQOICRQ-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1oc(CC)cc1C ZINC001024759781 655935945 /nfs/dbraw/zinc/93/59/45/655935945.db2.gz JZDVERKZAOYYDY-HNNXBMFYSA-N 0 1 304.434 3.311 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001024762653 655936288 /nfs/dbraw/zinc/93/62/88/655936288.db2.gz VAJNPWRHRCOCTK-LJQANCHMSA-N 0 1 310.441 3.416 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1sccc1C(C)C ZINC001024810065 655940881 /nfs/dbraw/zinc/94/08/81/655940881.db2.gz ASKRYNAAJXQBRG-OAHLLOKOSA-N 0 1 318.486 3.479 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1sccc1C(C)C ZINC001024810065 655940883 /nfs/dbraw/zinc/94/08/83/655940883.db2.gz ASKRYNAAJXQBRG-OAHLLOKOSA-N 0 1 318.486 3.479 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1CC(C(C)(C)C)C1 ZINC001024821904 655941962 /nfs/dbraw/zinc/94/19/62/655941962.db2.gz VFORPZTVPXJISR-JCYILVPMSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001024821806 655942266 /nfs/dbraw/zinc/94/22/66/655942266.db2.gz TZNALBPEDMIUHK-OKZBNKHCSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C12CC(c3ccccc3)(C1)C2 ZINC001024816750 655942681 /nfs/dbraw/zinc/94/26/81/655942681.db2.gz PFFGMNFCKSZEOJ-KMFTYDHNSA-N 0 1 324.468 3.265 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2ccc(O)c(F)c2)c(OC)c1 ZINC000255896860 655960086 /nfs/dbraw/zinc/96/00/86/655960086.db2.gz LSLYLYMYAOAAGY-DAXSKMNVSA-N 0 1 302.301 3.445 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1cnc(C)o1 ZINC001025069882 655963532 /nfs/dbraw/zinc/96/35/32/655963532.db2.gz RJBQIUVXBWCDCU-QAPCUYQASA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001025069771 655963634 /nfs/dbraw/zinc/96/36/34/655963634.db2.gz OMMFPYFEFIJLMA-YJBOKZPZSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1ccccc1C#N ZINC001025144748 655970300 /nfs/dbraw/zinc/97/03/00/655970300.db2.gz CFNKAULFKINIKH-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@H]1CCCCN1C(=O)C1CCC1 ZINC001025190611 655974461 /nfs/dbraw/zinc/97/44/61/655974461.db2.gz YJWIMPPQJPSWMJ-JKSUJKDBSA-N 0 1 310.869 3.385 20 30 DGEDMN CC#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1cccs1 ZINC001025195883 655975758 /nfs/dbraw/zinc/97/57/58/655975758.db2.gz ODDBCEMOJXNKRD-HOTGVXAUSA-N 0 1 316.470 3.231 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)CC1(C)CCCC1 ZINC001025228686 655981924 /nfs/dbraw/zinc/98/19/24/655981924.db2.gz CDVYUJGJWZNFHR-ROUUACIJSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)CC1(C)CCCC1 ZINC001025228687 655982094 /nfs/dbraw/zinc/98/20/94/655982094.db2.gz CDVYUJGJWZNFHR-ZWKOTPCHSA-N 0 1 316.489 3.436 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2ccoc2C)C1 ZINC001025335766 655995396 /nfs/dbraw/zinc/99/53/96/655995396.db2.gz DJJYVKFUOFGYIH-OCCSQVGLSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2CC3(CC3)C2)C1 ZINC001025526713 656029962 /nfs/dbraw/zinc/02/99/62/656029962.db2.gz ASAQHZZIPMDDBR-KGLIPLIRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC001008411928 656155797 /nfs/dbraw/zinc/15/57/97/656155797.db2.gz NREXCQGXPHJTAS-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN CCC(=O)C(C#N)C(=O)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000193726893 656193287 /nfs/dbraw/zinc/19/32/87/656193287.db2.gz KAAPRNNPLFFVEI-KWQFWETISA-N 0 1 313.184 3.289 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cscc2Cl)C(C)(C)C1 ZINC000974684861 656222310 /nfs/dbraw/zinc/22/23/10/656222310.db2.gz GVQNBNWRQOEGHH-ZDUSSCGKSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCCc3ccccc32)C(C)(C)C1 ZINC000974748596 656226491 /nfs/dbraw/zinc/22/64/91/656226491.db2.gz CBUCGCDPIZKKRI-MSOLQXFVSA-N 0 1 312.457 3.119 20 30 DGEDMN C#C[C@H]1CCCN(Cc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC000847021400 661238895 /nfs/dbraw/zinc/23/88/95/661238895.db2.gz LOOLGNFFHPVFRJ-INIZCTEOSA-N 0 1 305.425 3.206 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2ccc(C)c(F)c2)C(C)(C)C1 ZINC000974805051 656230569 /nfs/dbraw/zinc/23/05/69/656230569.db2.gz CIIRGCJIBRTBJP-INIZCTEOSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)C(C)(C)C1 ZINC000974826682 656232871 /nfs/dbraw/zinc/23/28/71/656232871.db2.gz XSGIBVXLYNEHOE-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C(C)(C)C1 ZINC000974867920 656236277 /nfs/dbraw/zinc/23/62/77/656236277.db2.gz QYQQSYNZCXAJJP-CYBMUJFWSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974970368 656244608 /nfs/dbraw/zinc/24/46/08/656244608.db2.gz FPZNYCAFWPRUFY-KRWDZBQOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(CCC)cc2)C(C)(C)C1 ZINC000974970368 656244610 /nfs/dbraw/zinc/24/46/10/656244610.db2.gz FPZNYCAFWPRUFY-KRWDZBQOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001008773786 656248175 /nfs/dbraw/zinc/24/81/75/656248175.db2.gz ZYGRVQDVDQRODQ-HNNXBMFYSA-N 0 1 318.465 3.015 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(Cl)cc2C)C(C)(C)C1 ZINC000975005041 656249023 /nfs/dbraw/zinc/24/90/23/656249023.db2.gz IPYMOEWDGLTPOJ-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[N@@H+](Cc3ccsc3)CC2(C)C)C1 ZINC000975031833 656252189 /nfs/dbraw/zinc/25/21/89/656252189.db2.gz XGAIXXVOCVGYDG-HNNXBMFYSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CN(Cc3ccsc3)CC2(C)C)C1 ZINC000975031833 656252192 /nfs/dbraw/zinc/25/21/92/656252192.db2.gz XGAIXXVOCVGYDG-HNNXBMFYSA-N 0 1 318.486 3.431 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(Cl)c2)C(C)(C)C1 ZINC000975109951 656257195 /nfs/dbraw/zinc/25/71/95/656257195.db2.gz NFVLHWUUCLPQHH-LBPRGKRZSA-N 0 1 312.866 3.418 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C(C)(C)C1 ZINC000975111312 656257960 /nfs/dbraw/zinc/25/79/60/656257960.db2.gz PLQVBPZDSDXNBW-YRNRMSPPSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C(C)(C)C1 ZINC000977211022 656316635 /nfs/dbraw/zinc/31/66/35/656316635.db2.gz BCMZMMWAAWKJOI-KHYOSLBOSA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C(C)(C)C1 ZINC000977291711 656319725 /nfs/dbraw/zinc/31/97/25/656319725.db2.gz JSVNYGLBUVDZQS-GOSISDBHSA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)C(C)(C)C1 ZINC000977350566 656324076 /nfs/dbraw/zinc/32/40/76/656324076.db2.gz RFIXFDQYYYEYFQ-YJBOKZPZSA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)C(C)(C)C1 ZINC000977375213 656325029 /nfs/dbraw/zinc/32/50/29/656325029.db2.gz XBNIBNZIQUKUOK-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C3CCCCC3)CC2)C(C)(C)C1 ZINC000977525836 656338415 /nfs/dbraw/zinc/33/84/15/656338415.db2.gz FSPPSKPZFKNYRO-INIZCTEOSA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3ccccc3c2)C(C)(C)C1 ZINC000977526900 656339133 /nfs/dbraw/zinc/33/91/33/656339133.db2.gz ZNUQNWRZJCSLBD-IBGZPJMESA-N 0 1 320.436 3.303 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C(C)(C)C1 ZINC000977537257 656339804 /nfs/dbraw/zinc/33/98/04/656339804.db2.gz ZMAIVQNMCXWTOB-FAKMSMKASA-N 0 1 316.489 3.215 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2CCC(C(C)(C)C)CC2)C(C)(C)C1 ZINC000977565234 656345230 /nfs/dbraw/zinc/34/52/30/656345230.db2.gz WHENPUUBUONSKT-OFLPRAFFSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)cc2Cl)C(C)(C)C1 ZINC000977581290 656346450 /nfs/dbraw/zinc/34/64/50/656346450.db2.gz CPJSFXNTFLZICS-AWEZNQCLSA-N 0 1 310.800 3.105 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)C(C)(C)C1 ZINC000977635476 656349629 /nfs/dbraw/zinc/34/96/29/656349629.db2.gz LXKUHBZKCNROGQ-QGZVFWFLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)C(C)(C)C1 ZINC000977644784 656351841 /nfs/dbraw/zinc/35/18/41/656351841.db2.gz HEDRMJYLHXAVFX-MDMXATFFSA-N 0 1 324.468 3.121 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCC(C)(C)CC2)C(C)(C)C1 ZINC000977648942 656352114 /nfs/dbraw/zinc/35/21/14/656352114.db2.gz KDMDDHONZMJDRD-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCC(C)=C(C)C3)[C@@H]2C1 ZINC000978221174 656370192 /nfs/dbraw/zinc/37/01/92/656370192.db2.gz PNJOYILFMYKMNJ-LUKYLMHMSA-N 0 1 322.880 3.169 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001052280425 656424884 /nfs/dbraw/zinc/42/48/84/656424884.db2.gz PAFMZUKSDRISRN-CAOSSQGBSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc(C)nc(C)c2)CC1 ZINC001052414164 656434631 /nfs/dbraw/zinc/43/46/31/656434631.db2.gz ZFPFCLVGJCXYNH-MRXNPFEDSA-N 0 1 321.852 3.035 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccccc2-c2ccccc2)C1 ZINC000980322051 656485282 /nfs/dbraw/zinc/48/52/82/656485282.db2.gz IIWADHUUIYBTKR-GOSISDBHSA-N 0 1 318.420 3.133 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)o1 ZINC001039331462 656485348 /nfs/dbraw/zinc/48/53/48/656485348.db2.gz NFATWBNYJZDECM-OLZOCXBDSA-N 0 1 308.809 3.188 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCCCCC1 ZINC001039342022 656486717 /nfs/dbraw/zinc/48/67/17/656486717.db2.gz IYGJWEVUBTXCJC-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccnc2ccccc21 ZINC001039401138 656493929 /nfs/dbraw/zinc/49/39/29/656493929.db2.gz LFKQAMIQQKGHPB-CVEARBPZSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(F)c1F ZINC001039424408 656499312 /nfs/dbraw/zinc/49/93/12/656499312.db2.gz OHPHRMHOOOEHIK-KGLIPLIRSA-N 0 1 320.383 3.220 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1csc(Cl)c1 ZINC001039447987 656503715 /nfs/dbraw/zinc/50/37/15/656503715.db2.gz QCFOZMKEHNZLAI-OLZOCXBDSA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1CC1(C)C)C2 ZINC001048516922 656505186 /nfs/dbraw/zinc/50/51/86/656505186.db2.gz CKQYNJJVTHKZKQ-LXTVHRRPSA-N 0 1 310.869 3.144 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(Cl)c1 ZINC001039461906 656507204 /nfs/dbraw/zinc/50/72/04/656507204.db2.gz PHACBIQHXMMANV-SJORKVTESA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccccc2c1 ZINC001039463492 656508205 /nfs/dbraw/zinc/50/82/05/656508205.db2.gz RYIPZMWILPYYBN-UXHICEINSA-N 0 1 318.420 3.152 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1C[C@H]1C(C)C)C2 ZINC001048578549 656517242 /nfs/dbraw/zinc/51/72/42/656517242.db2.gz RBPJEWZZDPZBSJ-BQJWPVKWSA-N 0 1 324.896 3.390 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)=C3CCCC3)C[C@@H]2C1 ZINC001048759735 656537566 /nfs/dbraw/zinc/53/75/66/656537566.db2.gz LLWKCXIZRCMXOJ-IYBDPMFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(C)CCCCCC3)C[C@@H]2C1 ZINC001048868114 656543715 /nfs/dbraw/zinc/54/37/15/656543715.db2.gz VUXUAGCUARXRNF-IYBDPMFKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCC[C@@H]3CCC)C[C@@H]2C1 ZINC001048905175 656545744 /nfs/dbraw/zinc/54/57/44/656545744.db2.gz WSGGSNWDJKRKGE-MWDXBVQZSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCC[C@H]3CCC)C[C@@H]2C1 ZINC001048905183 656545861 /nfs/dbraw/zinc/54/58/61/656545861.db2.gz WSGGSNWDJKRKGE-WCXIOVBPSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC001027969364 656558000 /nfs/dbraw/zinc/55/80/00/656558000.db2.gz JZPIMWVWEBNFRV-MJGOQNOKSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3C[C@@]3(CC)C(C)C)C[C@@H]2C1 ZINC001049141341 656564336 /nfs/dbraw/zinc/56/43/36/656564336.db2.gz OELLETBLUOHMDW-DFGXFYAUSA-N 0 1 324.896 3.201 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3cc(C)c(C)s3)C[C@@H]2C1 ZINC001049205609 656573165 /nfs/dbraw/zinc/57/31/65/656573165.db2.gz UTOFVXSCBNNAJG-OKILXGFUSA-N 0 1 324.877 3.121 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@]3(C)CC=C(C)CC3)C[C@@H]2C1 ZINC001049227633 656573959 /nfs/dbraw/zinc/57/39/59/656573959.db2.gz JLLFMQKPDJHIIB-JZXOWHBKSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C[C@H]3CCCC[C@@H]3C)C[C@@H]2C1 ZINC001049247009 656577975 /nfs/dbraw/zinc/57/79/75/656577975.db2.gz XGCCDNRXXQYAAG-PQEBFOHHSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3occc3C)C2)CC1 ZINC001040608117 656599700 /nfs/dbraw/zinc/59/97/00/656599700.db2.gz QTLXEPQGLAOJRO-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1occc1-c1ccccc1 ZINC001028012126 656600832 /nfs/dbraw/zinc/60/08/32/656600832.db2.gz GSTXQJQCVIEJJA-QGZVFWFLSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@@H]3C[C@H]3C)C2)CC1 ZINC001040833713 656609864 /nfs/dbraw/zinc/60/98/64/656609864.db2.gz ZVFRUAWCWYVRFW-HIFRSBDPSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001028023882 656612113 /nfs/dbraw/zinc/61/21/13/656612113.db2.gz YREVKMHTZZTNBQ-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001028023882 656612116 /nfs/dbraw/zinc/61/21/16/656612116.db2.gz YREVKMHTZZTNBQ-INIZCTEOSA-N 0 1 312.388 3.359 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490740 656628057 /nfs/dbraw/zinc/62/80/57/656628057.db2.gz NOIBNPLNEKNLKD-KBPBESRZSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490748 656628783 /nfs/dbraw/zinc/62/87/83/656628783.db2.gz NOIBNPLNEKNLKD-ZIAGYGMSSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043291 656641787 /nfs/dbraw/zinc/64/17/87/656641787.db2.gz KUASOSPWNAJFJG-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043291 656641789 /nfs/dbraw/zinc/64/17/89/656641789.db2.gz KUASOSPWNAJFJG-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C\Cl ZINC001049637595 656653741 /nfs/dbraw/zinc/65/37/41/656653741.db2.gz HRRDWDMCXYZDBS-AJNJCKRNSA-N 0 1 310.869 3.407 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662265 656660110 /nfs/dbraw/zinc/66/01/10/656660110.db2.gz FTTBAYLCXYJHSE-HZPDHXFCSA-N 0 1 302.418 3.268 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662265 656660112 /nfs/dbraw/zinc/66/01/12/656660112.db2.gz FTTBAYLCXYJHSE-HZPDHXFCSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)ccc1F ZINC001049751247 656678827 /nfs/dbraw/zinc/67/88/27/656678827.db2.gz RTYXACBNFOXQJA-ZWKOTPCHSA-N 0 1 316.420 3.389 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc([C@@H](C)CC)no1 ZINC001049770332 656683929 /nfs/dbraw/zinc/68/39/29/656683929.db2.gz PVMMMJAKQKZMQT-CWRNSKLLSA-N 0 1 317.433 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccccc1Cl ZINC001049855532 656700448 /nfs/dbraw/zinc/70/04/48/656700448.db2.gz VOXYTQKWHVGYRF-SJORKVTESA-N 0 1 316.832 3.042 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(CC)CCC(F)(F)CC2)CC1 ZINC000981470375 656702989 /nfs/dbraw/zinc/70/29/89/656702989.db2.gz ZJRXGWHAUFEERX-UHFFFAOYSA-N 0 1 314.420 3.312 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1occ2c1CCCC2 ZINC001049863189 656703609 /nfs/dbraw/zinc/70/36/09/656703609.db2.gz LPXWBYRTFQYKBE-IAGOWNOFSA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1C1CCC1 ZINC001049871167 656707579 /nfs/dbraw/zinc/70/75/79/656707579.db2.gz POACIJDVOKIASF-WOJBJXKFSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049873836 656708064 /nfs/dbraw/zinc/70/80/64/656708064.db2.gz ZUCHPRIZZGDECQ-HOTGVXAUSA-N 0 1 310.397 3.298 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccccc3C(C)(C)C)C[C@H]21 ZINC001042106319 656722312 /nfs/dbraw/zinc/72/23/12/656722312.db2.gz AQUHZUODGMSKMB-QFBILLFUSA-N 0 1 324.468 3.154 20 30 DGEDMN CN(CCOc1ccc(Cl)cc1)Cc1cncc(C#N)c1 ZINC000929231656 661290056 /nfs/dbraw/zinc/29/00/56/661290056.db2.gz JAIRWJRBGRHNNQ-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H]3CCN(C/C=C/Cl)[C@@H]3C2)C1 ZINC001042224261 656740591 /nfs/dbraw/zinc/74/05/91/656740591.db2.gz KQLJSRMGHNSUSS-STJXIEADSA-N 0 1 308.853 3.018 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)C[C@@H]21 ZINC001042288376 656747341 /nfs/dbraw/zinc/74/73/41/656747341.db2.gz CNAMRHUEIPQAMQ-MKXGPGLRSA-N 0 1 316.489 3.005 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccc4ccsc43)C[C@@H]21 ZINC001042302083 656749553 /nfs/dbraw/zinc/74/95/53/656749553.db2.gz QGBDFQWMBQRQLY-YOEHRIQHSA-N 0 1 324.449 3.071 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)c2cc3cc(F)ccc3[nH]2)CC1 ZINC000981726944 656755482 /nfs/dbraw/zinc/75/54/82/656755482.db2.gz CJAAVNRNVORLSK-UHFFFAOYSA-N 0 1 315.392 3.031 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccco2)CC1 ZINC001052735725 656756233 /nfs/dbraw/zinc/75/62/33/656756233.db2.gz KSAUWODQUNZRMK-RBSFLKMASA-N 0 1 322.836 3.106 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2ccccc2F)CC1 ZINC001052910312 656788061 /nfs/dbraw/zinc/78/80/61/656788061.db2.gz LIFPGOVKSWKKCJ-OAHLLOKOSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CCn1cccc1C(=O)N(C)C1CN(CCC2CCCC2)C1 ZINC001042928702 656803209 /nfs/dbraw/zinc/80/32/09/656803209.db2.gz MPVVZPRLKLHANP-UHFFFAOYSA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC2CC(c3ccccc3)C2)C1 ZINC001043163047 656816969 /nfs/dbraw/zinc/81/69/69/656816969.db2.gz IQQUEOYSJCSQIN-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C(F)F)c(F)c2)CC1 ZINC000981945317 656819815 /nfs/dbraw/zinc/81/98/15/656819815.db2.gz LJWIFIMDEXTVEV-UHFFFAOYSA-N 0 1 312.335 3.097 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cccc(C)c2Cl)C1 ZINC001043329220 656824675 /nfs/dbraw/zinc/82/46/75/656824675.db2.gz FJDXIMOWXPRRDD-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCCN2C(=O)C(F)F)C1 ZINC001053063944 656839812 /nfs/dbraw/zinc/83/98/12/656839812.db2.gz SXRYDRWLGOAHDW-QWHCGFSZSA-N 0 1 320.811 3.097 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(F)c3ccccc23)CC1 ZINC000982012353 656859244 /nfs/dbraw/zinc/85/92/44/656859244.db2.gz XBDSWZXMAVYJJN-UHFFFAOYSA-N 0 1 312.388 3.313 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001043965491 656865807 /nfs/dbraw/zinc/86/58/07/656865807.db2.gz HCTWOXCDPHVLRY-IRXDYDNUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001043965490 656866187 /nfs/dbraw/zinc/86/61/87/656866187.db2.gz HCTWOXCDPHVLRY-IAGOWNOFSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)[C@@H](C)c3cccc(C)c3)C2)C1 ZINC000982040252 656873383 /nfs/dbraw/zinc/87/33/83/656873383.db2.gz NHSDNQOJFRMRBU-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)c3cccc(C)c3)C2)C1 ZINC000982040252 656873386 /nfs/dbraw/zinc/87/33/86/656873386.db2.gz NHSDNQOJFRMRBU-SFHVURJKSA-N 0 1 324.468 3.046 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2cc(C)oc2C)C1 ZINC001044053452 656875961 /nfs/dbraw/zinc/87/59/61/656875961.db2.gz VLUWOIFSPPKNNP-AWEZNQCLSA-N 0 1 304.434 3.109 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC000982059246 656877633 /nfs/dbraw/zinc/87/76/33/656877633.db2.gz UUOUZDQWXLPBNS-IBGZPJMESA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](CC)Cc2ccccc2)CC1 ZINC000982059246 656877640 /nfs/dbraw/zinc/87/76/40/656877640.db2.gz UUOUZDQWXLPBNS-IBGZPJMESA-N 0 1 314.473 3.366 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2cccc(C)c2)CC1 ZINC000982046978 656883665 /nfs/dbraw/zinc/88/36/65/656883665.db2.gz KIKVAPNMIYMSFO-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc(C(C)(C)C)c1 ZINC001028218630 656901223 /nfs/dbraw/zinc/90/12/23/656901223.db2.gz OEJSALQYYSPXIU-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001044246210 656902346 /nfs/dbraw/zinc/90/23/46/656902346.db2.gz IPTCQRDOBPPESC-QGZVFWFLSA-N 0 1 318.848 3.089 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCCCC3(C)C)C2)C1 ZINC000982167304 656909686 /nfs/dbraw/zinc/90/96/86/656909686.db2.gz HMNZHQUAZSRIEZ-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001044344508 656910407 /nfs/dbraw/zinc/91/04/07/656910407.db2.gz UAOPNGLOCUVWGV-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001044354187 656910698 /nfs/dbraw/zinc/91/06/98/656910698.db2.gz CCFYXAWGRJZCJN-MRXNPFEDSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1CC=CC1)C2 ZINC001054106228 656910827 /nfs/dbraw/zinc/91/08/27/656910827.db2.gz KSRQDSMPCPCXRG-KRWDZBQOSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CC1(C)CC1)C2 ZINC001054166488 656923196 /nfs/dbraw/zinc/92/31/96/656923196.db2.gz COWCBMWBBFEAFX-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C1(C)CC=CC1)C2 ZINC001054189631 656931029 /nfs/dbraw/zinc/93/10/29/656931029.db2.gz ONLDPYGDWLWFFW-SFHVURJKSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C[C@@H](C)C1CC1)C2 ZINC001054246856 656947823 /nfs/dbraw/zinc/94/78/23/656947823.db2.gz KUPXIVCHQWLKJQ-RDTXWAMCSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3oc(C)cc3C)cc2C1 ZINC001054259829 656953175 /nfs/dbraw/zinc/95/31/75/656953175.db2.gz QMQQIUBQAUJLPN-UHFFFAOYSA-N 0 1 322.408 3.165 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2c(s1)CCCCC2 ZINC001038993503 656955888 /nfs/dbraw/zinc/95/58/88/656955888.db2.gz ZVDLIQNHSGVLMD-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3CC[C@H](F)C3)cc2C1 ZINC001054279117 656959045 /nfs/dbraw/zinc/95/90/45/656959045.db2.gz UYRHITUVLLQGOC-YJBOKZPZSA-N 0 1 316.420 3.333 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3ccc(C)cc3)cc2C1 ZINC001054290620 656963016 /nfs/dbraw/zinc/96/30/16/656963016.db2.gz JHKIFPRICZZJIY-UHFFFAOYSA-N 0 1 318.420 3.264 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1oc2ccccc2c1CC ZINC001028342974 656998827 /nfs/dbraw/zinc/99/88/27/656998827.db2.gz JHTPMBLQEOPQGZ-CQSZACIVSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2sccc2Cl)C[C@H]1C ZINC001054685000 657000026 /nfs/dbraw/zinc/00/00/26/657000026.db2.gz AOEGSAYNRADSKY-KCJUWKMLSA-N 0 1 319.257 3.204 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001028345072 657001356 /nfs/dbraw/zinc/00/13/56/657001356.db2.gz UZQWFIOINRTDIG-OAHLLOKOSA-N 0 1 318.848 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sc(C)cc2C)C[C@H]1C ZINC001054696362 657002094 /nfs/dbraw/zinc/00/20/94/657002094.db2.gz NCNZLTQYAKDIBT-ZWNOBZJWSA-N 0 1 312.866 3.168 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cscc1C(F)(F)F ZINC001028355378 657004131 /nfs/dbraw/zinc/00/41/31/657004131.db2.gz KVXUEZSZHGACCQ-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(-c2ccccc2)co1 ZINC001028354248 657004847 /nfs/dbraw/zinc/00/48/47/657004847.db2.gz OCZYSKTVZGLGCC-QGZVFWFLSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2CCC)C[C@H]1C ZINC001054889400 657019112 /nfs/dbraw/zinc/01/91/12/657019112.db2.gz XZJQPYVCNBVNFI-DYVFJYSZSA-N 0 1 320.864 3.442 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3ccsc3[nH]2)C[C@H]1C ZINC001054954278 657025376 /nfs/dbraw/zinc/02/53/76/657025376.db2.gz OHURKMNDJZFZFJ-NOZJJQNGSA-N 0 1 323.849 3.032 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@@H]1C ZINC001054971984 657026869 /nfs/dbraw/zinc/02/68/69/657026869.db2.gz WKANLASEFCGUJJ-WCQYABFASA-N 0 1 300.874 3.248 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc(C)c(Cl)cc2C)CC1 ZINC001045520449 657032396 /nfs/dbraw/zinc/03/23/96/657032396.db2.gz DLVHOJZDDODVJK-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C[C@H](F)CC)C1 ZINC001045843820 657073828 /nfs/dbraw/zinc/07/38/28/657073828.db2.gz VPJJJGVDSRQPGP-OAGGEKHMSA-N 0 1 308.441 3.156 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045881545 657078710 /nfs/dbraw/zinc/07/87/10/657078710.db2.gz ANMWWCNGZUIYAF-MOPGFXCFSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccoc2C2CC2)C1 ZINC001000022708 657086014 /nfs/dbraw/zinc/08/60/14/657086014.db2.gz YMBVSELTJRXQKS-ZDUSSCGKSA-N 0 1 308.809 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2cc(C)ccc2C)C1 ZINC001000080455 657091770 /nfs/dbraw/zinc/09/17/70/657091770.db2.gz FZSZFBOYMKCPIC-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)/C=C/C1CC1)C2 ZINC001045924383 657093307 /nfs/dbraw/zinc/09/33/07/657093307.db2.gz ISMOTNHWAIKYIK-IPLHWJFFSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001000395913 657123861 /nfs/dbraw/zinc/12/38/61/657123861.db2.gz LNHXEWDOBXISCW-BBWFWOEESA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3sccc3[nH]2)C1 ZINC001000623561 657138412 /nfs/dbraw/zinc/13/84/12/657138412.db2.gz KZUPBCYZLQFACS-LLVKDONJSA-N 0 1 323.849 3.176 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H](C)c2ccco2)C1 ZINC001000705583 657143687 /nfs/dbraw/zinc/14/36/87/657143687.db2.gz QSGLEZAWFUVMPJ-OCCSQVGLSA-N 0 1 310.825 3.106 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc(Cl)c2C)CC1 ZINC001000722957 657145970 /nfs/dbraw/zinc/14/59/70/657145970.db2.gz HSHBLXOUBDKSDI-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cscc2C(F)F)C1 ZINC001046324184 657146361 /nfs/dbraw/zinc/14/63/61/657146361.db2.gz VEICPIDPPMCHBF-CQSZACIVSA-N 0 1 300.374 3.066 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001046361977 657152755 /nfs/dbraw/zinc/15/27/55/657152755.db2.gz KATXZHXRRKDBAI-HXUWFJFHSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001046375893 657155654 /nfs/dbraw/zinc/15/56/54/657155654.db2.gz DVLZJGGQNAMTEX-CYBMUJFWSA-N 0 1 319.257 3.435 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001046375893 657155656 /nfs/dbraw/zinc/15/56/56/657155656.db2.gz DVLZJGGQNAMTEX-CYBMUJFWSA-N 0 1 319.257 3.435 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(CC)cc2)C1 ZINC001000833429 657156616 /nfs/dbraw/zinc/15/66/16/657156616.db2.gz ULLIIRXIPDMTHT-MRXNPFEDSA-N 0 1 306.837 3.196 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2cc3cccc(C)c3s2)CC1 ZINC001000858420 657159770 /nfs/dbraw/zinc/15/97/70/657159770.db2.gz KOHHNYVWDLVASS-UHFFFAOYSA-N 0 1 324.449 3.205 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001046443795 657161736 /nfs/dbraw/zinc/16/17/36/657161736.db2.gz KDGOCCAHCDODMX-KRWDZBQOSA-N 0 1 317.820 3.202 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3c(o2)c(F)ccc3C)C1 ZINC001046505926 657170547 /nfs/dbraw/zinc/17/05/47/657170547.db2.gz MSHYTNIFIVVFGG-SFHVURJKSA-N 0 1 316.376 3.261 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524236 657172811 /nfs/dbraw/zinc/17/28/11/657172811.db2.gz QDJRMQSLITZHLZ-KRWDZBQOSA-N 0 1 300.427 3.282 20 30 DGEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524049 657172900 /nfs/dbraw/zinc/17/29/00/657172900.db2.gz MTDBWWKJVBXGRU-GOSISDBHSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524049 657172902 /nfs/dbraw/zinc/17/29/02/657172902.db2.gz MTDBWWKJVBXGRU-GOSISDBHSA-N 0 1 312.438 3.119 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@]2(C)CCN(Cc3ccsc3)C2)cc1 ZINC001046533300 657173997 /nfs/dbraw/zinc/17/39/97/657173997.db2.gz KQPXNHAMSPCEEG-LJQANCHMSA-N 0 1 324.449 3.124 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2csc3ccccc23)CC1 ZINC001001012758 657174520 /nfs/dbraw/zinc/17/45/20/657174520.db2.gz QRMMFPKDFNTURW-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cc(C(C)C)oc2C)CC1 ZINC001001332421 657208340 /nfs/dbraw/zinc/20/83/40/657208340.db2.gz MCAIMIQNIKEWFR-UHFFFAOYSA-N 0 1 314.429 3.097 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001046729811 657209315 /nfs/dbraw/zinc/20/93/15/657209315.db2.gz WMNSVROXZVFAKS-ZHALLVOQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2oc3ccccc3c2Cl)C1 ZINC001046761622 657213551 /nfs/dbraw/zinc/21/35/51/657213551.db2.gz YGELDSKLNXGPPB-QGZVFWFLSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001046792407 657219138 /nfs/dbraw/zinc/21/91/38/657219138.db2.gz UJSJMCGRVWYEEP-OAHLLOKOSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001046816200 657227685 /nfs/dbraw/zinc/22/76/85/657227685.db2.gz IVPNJAWQRINUOT-ACJLOTCBSA-N 0 1 318.486 3.253 20 30 DGEDMN N#CC(C(=O)Nc1ccc(SC(F)F)cc1)C(=O)C1CCC1 ZINC000126559491 657237571 /nfs/dbraw/zinc/23/75/71/657237571.db2.gz CDROGEYHLRTCBG-GFCCVEGCSA-N 0 1 324.352 3.449 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001046879018 657242206 /nfs/dbraw/zinc/24/22/06/657242206.db2.gz AWTJPWBFJJPWCD-HXUWFJFHSA-N 0 1 308.425 3.081 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc(Cl)cs3)[C@@H]2C1 ZINC001050156472 657261638 /nfs/dbraw/zinc/26/16/38/657261638.db2.gz OZEFPWJPHLQJII-WCQYABFASA-N 0 1 310.850 3.124 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](CC)CC3CCCC3)[C@@H]2C1 ZINC001050157101 657261729 /nfs/dbraw/zinc/26/17/29/657261729.db2.gz YUMIZZMHMGLVLH-GBESFXJTSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3sccc3CC)[C@@H]2C1 ZINC001050207671 657270585 /nfs/dbraw/zinc/27/05/85/657270585.db2.gz DKZLYNPOHKRARC-LSDHHAIUSA-N 0 1 304.459 3.033 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001047252572 657287480 /nfs/dbraw/zinc/28/74/80/657287480.db2.gz YDYXTTOAWIWEQD-KBRIMQKVSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H](C)C(C)(C)C)C2)CC1 ZINC001050562937 657324024 /nfs/dbraw/zinc/32/40/24/657324024.db2.gz DSQSMNSLCFAOFO-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)C2)CC1 ZINC001050571596 657325978 /nfs/dbraw/zinc/32/59/78/657325978.db2.gz OLYWIQBBXRXGNW-PMPSAXMXSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3CC=CCC3)C2)CC1 ZINC001050616319 657331354 /nfs/dbraw/zinc/33/13/54/657331354.db2.gz RUJPHWHRCWCMKQ-INIZCTEOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)(CC)CC)C2)CC1 ZINC001050760848 657357551 /nfs/dbraw/zinc/35/75/51/657357551.db2.gz XUEYZIQOAHSCEU-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccc(CC)o3)cccc2C1 ZINC001051292586 657382084 /nfs/dbraw/zinc/38/20/84/657382084.db2.gz FIXKTVDLZLDCEQ-UHFFFAOYSA-N 0 1 324.424 3.316 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3CCC(=C)CC3)cccc2C1 ZINC001051298364 657383076 /nfs/dbraw/zinc/38/30/76/657383076.db2.gz NOPWBXHXYQMWGA-UHFFFAOYSA-N 0 1 322.452 3.041 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3CC[C@H](C)C3)cccc2C1 ZINC001051302520 657384955 /nfs/dbraw/zinc/38/49/55/657384955.db2.gz YAOTUUVQVJIKMG-DLBZAZTESA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC000968354026 657392140 /nfs/dbraw/zinc/39/21/40/657392140.db2.gz LVINCKVZWZTMOP-BLLLJJGKSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2scnc2C(C)C)C1 ZINC000968400860 657406318 /nfs/dbraw/zinc/40/63/18/657406318.db2.gz UTTPMBMNQNKMBF-UONOGXRCSA-N 0 1 321.490 3.283 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000968405306 657407228 /nfs/dbraw/zinc/40/72/28/657407228.db2.gz KBQGSVORKYCBCK-JXFKEZNVSA-N 0 1 322.452 3.110 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431062 657411455 /nfs/dbraw/zinc/41/14/55/657411455.db2.gz HTVFTSRFIDOIIO-WFASDCNBSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431062 657411457 /nfs/dbraw/zinc/41/14/57/657411457.db2.gz HTVFTSRFIDOIIO-WFASDCNBSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454317 657413240 /nfs/dbraw/zinc/41/32/40/657413240.db2.gz KVZXPLPOXYPLCV-KUHUBIRLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454317 657413243 /nfs/dbraw/zinc/41/32/43/657413243.db2.gz KVZXPLPOXYPLCV-KUHUBIRLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548427 657424404 /nfs/dbraw/zinc/42/44/04/657424404.db2.gz GJTPJLQOHGODIM-GOEBONIOSA-N 0 1 318.461 3.421 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)C1 ZINC000968554136 657425502 /nfs/dbraw/zinc/42/55/02/657425502.db2.gz TXKZLBZWIXMUPB-BEFAXECRSA-N 0 1 310.441 3.272 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC000968557573 657426262 /nfs/dbraw/zinc/42/62/62/657426262.db2.gz NLDBQEKGFKNYCB-WMZOPIPTSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc3ccccc3c2)C1 ZINC000968626998 657448408 /nfs/dbraw/zinc/44/84/08/657448408.db2.gz FXPKLCNLRUGABH-UZLBHIALSA-N 0 1 322.452 3.395 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccc(F)cc2F)CC1 ZINC000957712533 657453857 /nfs/dbraw/zinc/45/38/57/657453857.db2.gz VDPQCWJGZSEGLI-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(F)c3occc32)C1 ZINC000968678093 657455051 /nfs/dbraw/zinc/45/50/51/657455051.db2.gz OISANQFPZUVFFP-MLGOLLRUSA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3o2)C1 ZINC000968681627 657456039 /nfs/dbraw/zinc/45/60/39/657456039.db2.gz KUNDDGOYRNRUHR-GOEBONIOSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC000968682956 657456313 /nfs/dbraw/zinc/45/63/13/657456313.db2.gz WQLZIBYLNSYEQI-FUHWJXTLSA-N 0 1 312.457 3.039 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(CCC(C)C)CC1)c1ccccc1 ZINC000957746922 657456780 /nfs/dbraw/zinc/45/67/80/657456780.db2.gz IPRFCMGDCDBWHB-GOSISDBHSA-N 0 1 300.446 3.147 20 30 DGEDMN C=CCCN1CCN(C(=O)CC[C@H]2CCc3ccccc32)CC1 ZINC000957746551 657457077 /nfs/dbraw/zinc/45/70/77/657457077.db2.gz CBYOEQAPFBSKOW-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692292 657460709 /nfs/dbraw/zinc/46/07/09/657460709.db2.gz MMGDWFUNCQXASG-GKVPXEHWSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2c(C)cccc2F)C1 ZINC000968695037 657462699 /nfs/dbraw/zinc/46/26/99/657462699.db2.gz GFQJLKVHBSFWRD-XJKSGUPXSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC000968709882 657466221 /nfs/dbraw/zinc/46/62/21/657466221.db2.gz MFWGJOUAPHPGNJ-CJNGLKHVSA-N 0 1 322.399 3.425 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(OCCC)c2)C1 ZINC000968839505 657499388 /nfs/dbraw/zinc/49/93/88/657499388.db2.gz XFZNIUZSCPSCJH-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(Cl)c1C ZINC000968869775 657506886 /nfs/dbraw/zinc/50/68/86/657506886.db2.gz ZYRCBVAGBBSOOS-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccccc1C(C)C ZINC000968884871 657509976 /nfs/dbraw/zinc/50/99/76/657509976.db2.gz DZGYXOUVUHKPAN-QGZVFWFLSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1coc2c1cccc2C ZINC000968893089 657511428 /nfs/dbraw/zinc/51/14/28/657511428.db2.gz GPBJSZZCUVZJRT-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc(CC)s1 ZINC000968938594 657518342 /nfs/dbraw/zinc/51/83/42/657518342.db2.gz MMEWYBFIQPDIKV-HNNXBMFYSA-N 0 1 318.486 3.308 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1c(C)noc1C1CC1 ZINC000968955537 657521426 /nfs/dbraw/zinc/52/14/26/657521426.db2.gz ZIXYHUZZBXORIU-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1c(C)noc1C1CC1 ZINC000968955537 657521428 /nfs/dbraw/zinc/52/14/28/657521428.db2.gz ZIXYHUZZBXORIU-OAHLLOKOSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(F)c(Cl)c1 ZINC000969007585 657532729 /nfs/dbraw/zinc/53/27/29/657532729.db2.gz FJQGCDAZWWLQKE-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC000969044301 657541005 /nfs/dbraw/zinc/54/10/05/657541005.db2.gz MHPCNVORYYUVMD-INIZCTEOSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C)cc1F ZINC000969044395 657541510 /nfs/dbraw/zinc/54/15/10/657541510.db2.gz OXQYQQZUMJHVKS-INIZCTEOSA-N 0 1 316.420 3.132 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc([C@@H](C)CC)no1 ZINC000969060025 657546753 /nfs/dbraw/zinc/54/67/53/657546753.db2.gz VGVAMFTWLCRLBF-LSDHHAIUSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cc([C@@H](C)CC)no1 ZINC000969060025 657546756 /nfs/dbraw/zinc/54/67/56/657546756.db2.gz VGVAMFTWLCRLBF-LSDHHAIUSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc([C@H](C)CC)no1 ZINC000969060024 657547126 /nfs/dbraw/zinc/54/71/26/657547126.db2.gz VGVAMFTWLCRLBF-HUUCEWRRSA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cc([C@H](C)CC)no1 ZINC000969060024 657547129 /nfs/dbraw/zinc/54/71/29/657547129.db2.gz VGVAMFTWLCRLBF-HUUCEWRRSA-N 0 1 319.449 3.349 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1csc(Cl)c1 ZINC000969060065 657547218 /nfs/dbraw/zinc/54/72/18/657547218.db2.gz WKOHPCMYVGKAME-CQSZACIVSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1csc(Cl)c1 ZINC000969060065 657547224 /nfs/dbraw/zinc/54/72/24/657547224.db2.gz WKOHPCMYVGKAME-CQSZACIVSA-N 0 1 324.877 3.399 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC001038620544 657614940 /nfs/dbraw/zinc/61/49/40/657614940.db2.gz CPILXUNCINLMRV-YNEHKIRRSA-N 0 1 324.877 3.272 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC001038620544 657614943 /nfs/dbraw/zinc/61/49/43/657614943.db2.gz CPILXUNCINLMRV-YNEHKIRRSA-N 0 1 324.877 3.272 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC001007599327 657620751 /nfs/dbraw/zinc/62/07/51/657620751.db2.gz SPEJVUDDLYDIMK-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)cc3ccoc32)C1 ZINC001007713787 657628562 /nfs/dbraw/zinc/62/85/62/657628562.db2.gz UGUHUSVJFUNKSD-OAHLLOKOSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001007817546 657636509 /nfs/dbraw/zinc/63/65/09/657636509.db2.gz NQKKIMYCWZLMNC-GOSISDBHSA-N 0 1 323.440 3.248 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001007896308 657644753 /nfs/dbraw/zinc/64/47/53/657644753.db2.gz APYSUMDVBFZCDL-QGZVFWFLSA-N 0 1 324.399 3.196 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3c2cccc3Cl)C1 ZINC001007953631 657647519 /nfs/dbraw/zinc/64/75/19/657647519.db2.gz PFDRYHQZLBZFJB-CZUORRHYSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001008000348 657652817 /nfs/dbraw/zinc/65/28/17/657652817.db2.gz MMFKYZSXQGIKTR-OAHLLOKOSA-N 0 1 314.429 3.415 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001008146661 657665678 /nfs/dbraw/zinc/66/56/78/657665678.db2.gz HBVYGLKYVJCOJS-CQSZACIVSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC000985491639 657712605 /nfs/dbraw/zinc/71/26/05/657712605.db2.gz HOQGBYPNIIJFAM-HNNXBMFYSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001008664051 657715079 /nfs/dbraw/zinc/71/50/79/657715079.db2.gz IOXBYCAHPFYYPK-AWEZNQCLSA-N 0 1 304.434 3.266 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCCC[C@H]1CNCc1ccccc1C#N ZINC000959888844 657722536 /nfs/dbraw/zinc/72/25/36/657722536.db2.gz OXSNXJAPIFWKKO-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C)c(OCC)c2)C1 ZINC001008734454 657725591 /nfs/dbraw/zinc/72/55/91/657725591.db2.gz DDNNQDPMEAIYCD-KRWDZBQOSA-N 0 1 316.445 3.164 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001008830455 657734215 /nfs/dbraw/zinc/73/42/15/657734215.db2.gz XWMKFZOJJCNCJU-YESZJQIVSA-N 0 1 318.848 3.210 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000985527544 657736766 /nfs/dbraw/zinc/73/67/66/657736766.db2.gz KAKFKCZPLKPZSG-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC001008903840 657738424 /nfs/dbraw/zinc/73/84/24/657738424.db2.gz CYGBNEHOJMZJHT-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1 ZINC000985553894 657749899 /nfs/dbraw/zinc/74/98/99/657749899.db2.gz YPEWKNAQBVTUHE-BHYGNILZSA-N 0 1 324.896 3.488 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001009200246 657756090 /nfs/dbraw/zinc/75/60/90/657756090.db2.gz GAMZUGKAWHLEOB-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2cccc3ccccc32)C1 ZINC001009308891 657766760 /nfs/dbraw/zinc/76/67/60/657766760.db2.gz RICDJTDHMYKAAI-SFHVURJKSA-N 0 1 308.425 3.149 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001009373566 657774285 /nfs/dbraw/zinc/77/42/85/657774285.db2.gz WYJOLXDAGXVPAE-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@H]2CC[C@@H](C)C2)CC1 ZINC000985591543 657777670 /nfs/dbraw/zinc/77/76/70/657777670.db2.gz UPJHIOJHRSMSAD-HIFRSBDPSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC2CC(C)(C)C2)CC1 ZINC000985595152 657779070 /nfs/dbraw/zinc/77/90/70/657779070.db2.gz JEODMCSICRUBJS-UHFFFAOYSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ccoc1C(C)C ZINC000960335109 657779548 /nfs/dbraw/zinc/77/95/48/657779548.db2.gz UPTDRLSGDQLIBL-QZTJIDSGSA-N 0 1 322.408 3.022 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OCC(C)C)cc2)C1 ZINC001009423605 657784645 /nfs/dbraw/zinc/78/46/45/657784645.db2.gz HJANFGKXLSUICH-KRWDZBQOSA-N 0 1 316.445 3.102 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001009452480 657788648 /nfs/dbraw/zinc/78/86/48/657788648.db2.gz DUZGQABPIGWFAB-INIZCTEOSA-N 0 1 318.486 3.227 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC000985636658 657790450 /nfs/dbraw/zinc/79/04/50/657790450.db2.gz IMDWZAQHGNGLIH-CQSZACIVSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001009632336 657802991 /nfs/dbraw/zinc/80/29/91/657802991.db2.gz KUWUUCBEKURNSK-GOSISDBHSA-N 0 1 312.457 3.183 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001009649845 657805352 /nfs/dbraw/zinc/80/53/52/657805352.db2.gz KHVPYNICBLAWPD-PKOBYXMFSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001009720748 657812038 /nfs/dbraw/zinc/81/20/38/657812038.db2.gz OVLPALIPYZLPPZ-INIZCTEOSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC000985725424 657821215 /nfs/dbraw/zinc/82/12/15/657821215.db2.gz CRABTZVVSTVMSM-DOTOQJQBSA-N 0 1 312.885 3.344 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1scnc1C ZINC000960786199 657874059 /nfs/dbraw/zinc/87/40/59/657874059.db2.gz CDLJALYLUOKPSE-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC000960785733 657874893 /nfs/dbraw/zinc/87/48/93/657874893.db2.gz IJLLZIDIPRGXLP-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1cnccc1C ZINC000960791973 657877410 /nfs/dbraw/zinc/87/74/10/657877410.db2.gz SBTISIWULLWSDK-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](NC(=O)C=C3CCCCC3)C2)cc1 ZINC001010334702 657886274 /nfs/dbraw/zinc/88/62/74/657886274.db2.gz SXNLOYJPGPQROR-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3CCCC3)C2)C1 ZINC000961074020 657938641 /nfs/dbraw/zinc/93/86/41/657938641.db2.gz AUXJRWSOIZJQII-IAGOWNOFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC000961079822 657940916 /nfs/dbraw/zinc/94/09/16/657940916.db2.gz LKUZVHIZKMWWQY-HOTGVXAUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)c3ccoc3)C2)C1 ZINC000961100329 657949487 /nfs/dbraw/zinc/94/94/87/657949487.db2.gz JJPFPLDOGIKBEL-HUUCEWRRSA-N 0 1 322.836 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC000961163014 657969374 /nfs/dbraw/zinc/96/93/74/657969374.db2.gz CPZNJVUMKUOYFH-CABCVRRESA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3(C)CCC3)C2)C1 ZINC000961229340 657983708 /nfs/dbraw/zinc/98/37/08/657983708.db2.gz MAKCWOYNBMKHID-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@H]2C[C@@H]2C)C1 ZINC001029921621 658008867 /nfs/dbraw/zinc/00/88/67/658008867.db2.gz MKCJBTZUWJAYHD-IHPCNDPISA-N 0 1 324.468 3.159 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2Cl)[C@@H]1C ZINC000986304256 658035353 /nfs/dbraw/zinc/03/53/53/658035353.db2.gz SDMKJAXAPUATHE-KOLCDFICSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001014642967 658035923 /nfs/dbraw/zinc/03/59/23/658035923.db2.gz HZRZDLNLXWEOPP-LJQANCHMSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C)c2F)[C@@H]1C ZINC000986312875 658036510 /nfs/dbraw/zinc/03/65/10/658036510.db2.gz KEHVRZRTRRDXHB-OCCSQVGLSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(CC)s2)[C@@H]1C ZINC000986500439 658056741 /nfs/dbraw/zinc/05/67/41/658056741.db2.gz MWLYKPIFAXBEBR-YPMHNXCESA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccsc3[nH]2)[C@@H]1C ZINC000986628902 658084897 /nfs/dbraw/zinc/08/48/97/658084897.db2.gz OFPACTWKFRNJJI-PWSUYJOCSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccccc2C)[C@@H]1C ZINC000987053119 658147088 /nfs/dbraw/zinc/14/70/88/658147088.db2.gz HGRTTZUDVPYREQ-NVXWUHKLSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3ccc(CC)cc3)C2)C1 ZINC001015672368 658164462 /nfs/dbraw/zinc/16/44/62/658164462.db2.gz RLNFSKWQXFWRPR-SFHVURJKSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc(C)ccc2F)[C@H]1C ZINC000987385031 658197174 /nfs/dbraw/zinc/19/71/74/658197174.db2.gz MISLLVGYGGHBAY-XJKSGUPXSA-N 0 1 324.827 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)cc(C)c2)[C@@H]1C ZINC000987404082 658199535 /nfs/dbraw/zinc/19/95/35/658199535.db2.gz LQYYJBDMHNYZMZ-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001015894388 658201912 /nfs/dbraw/zinc/20/19/12/658201912.db2.gz PPVVAHPTCILOME-YLJYHZDGSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C)c2C)[C@@H]1C ZINC000987446868 658212461 /nfs/dbraw/zinc/21/24/61/658212461.db2.gz FPFOEJLANNQGHH-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C)c2C)[C@@H]1C ZINC000987446880 658212530 /nfs/dbraw/zinc/21/25/30/658212530.db2.gz FPFOEJLANNQGHH-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001016075467 658231351 /nfs/dbraw/zinc/23/13/51/658231351.db2.gz QJVLBFBBYFUTEJ-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2c3ccccc3oc2CC)C1 ZINC001016345374 658269074 /nfs/dbraw/zinc/26/90/74/658269074.db2.gz JMZFPXWFWDTGGO-CQSZACIVSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001016337816 658269119 /nfs/dbraw/zinc/26/91/19/658269119.db2.gz AKDJGYXZKSLLKW-HNNXBMFYSA-N 0 1 312.413 3.430 20 30 DGEDMN CCC(CC)C(=O)N1C[C@@H](NCc2ccccc2C#N)C[C@@H]1C ZINC000988108270 658301435 /nfs/dbraw/zinc/30/14/35/658301435.db2.gz FYYPDKWMYRMRID-KSSFIOAISA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2C=CC=CC=C2)CC1 ZINC001016642619 658311143 /nfs/dbraw/zinc/31/11/43/658311143.db2.gz FUYUPCNVSFHBCV-MRXNPFEDSA-N 0 1 318.848 3.008 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@]2(C)CC=CCC2)CC1 ZINC001016644713 658312475 /nfs/dbraw/zinc/31/24/75/658312475.db2.gz GQRQHTHUHYYNIS-NVXWUHKLSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C[C@H]2C=CCCC2)CC1 ZINC001016689713 658317279 /nfs/dbraw/zinc/31/72/79/658317279.db2.gz PDGIUAXYABBSSH-HOTGVXAUSA-N 0 1 322.880 3.456 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2(C)CC=CC2)CC1 ZINC001016811798 658334730 /nfs/dbraw/zinc/33/47/30/658334730.db2.gz XKXBLUZPJNTTDH-CQSZACIVSA-N 0 1 308.853 3.066 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001016941397 658355154 /nfs/dbraw/zinc/35/51/54/658355154.db2.gz YXWIWYNAUPPRDU-GOSISDBHSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3c(o2)CCCC3)C1 ZINC000988944912 658418596 /nfs/dbraw/zinc/41/85/96/658418596.db2.gz BMMMOHYPVICGDE-GXTWGEPZSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCc2ccc(F)cc2)C1 ZINC000989115125 658438213 /nfs/dbraw/zinc/43/82/13/658438213.db2.gz RYNHEPJMVFAMTA-BBRMVZONSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)o1 ZINC001017669926 658449090 /nfs/dbraw/zinc/44/90/90/658449090.db2.gz WBSVSVAGBXKXCG-GASCZTMLSA-N 0 1 302.418 3.097 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cccc(CC)c1 ZINC001017670695 658449849 /nfs/dbraw/zinc/44/98/49/658449849.db2.gz JAKOSAYXOKIYHH-KDURUIRLSA-N 0 1 312.457 3.043 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC000966165633 658451010 /nfs/dbraw/zinc/45/10/10/658451010.db2.gz GRSYEKBNTUVDGH-PBHICJAKSA-N 0 1 315.461 3.005 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)ccc1C1CC1 ZINC001017767833 658459175 /nfs/dbraw/zinc/45/91/75/658459175.db2.gz TVVWCLNTDIROQO-HDICACEKSA-N 0 1 322.452 3.185 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccn(C(C)C)c2C)C1 ZINC000989330930 658465351 /nfs/dbraw/zinc/46/53/51/658465351.db2.gz VYVPLCQPFWGLIM-ZFWWWQNUSA-N 0 1 323.868 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2coc(C(F)F)c2)C1 ZINC000989365576 658468578 /nfs/dbraw/zinc/46/85/78/658468578.db2.gz RJZJIWNUPYOZLY-GXSJLCMTSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(F)c1F ZINC001017860573 658468655 /nfs/dbraw/zinc/46/86/55/658468655.db2.gz DWOYCQSBGGRNGG-OKILXGFUSA-N 0 1 320.383 3.220 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc3[nH]ccc3c2)C1 ZINC000989364521 658469145 /nfs/dbraw/zinc/46/91/45/658469145.db2.gz FKFQTRJTDIVNSJ-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccoc2C2CC2)C1 ZINC000989357016 658469234 /nfs/dbraw/zinc/46/92/34/658469234.db2.gz MHQBRGLHIDXERX-DGCLKSJQSA-N 0 1 308.809 3.102 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(F)c(C)c2)C1 ZINC000989378079 658469832 /nfs/dbraw/zinc/46/98/32/658469832.db2.gz AJXQSELUVBLMJV-JSGCOSHPSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2cc3cccnc3s2)CC1 ZINC000989410996 658476033 /nfs/dbraw/zinc/47/60/33/658476033.db2.gz RCPZZHZZXAOQIK-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cccs1 ZINC001017934685 658479607 /nfs/dbraw/zinc/47/96/07/658479607.db2.gz LOMWCVKVIPIGIY-KFWWJZLASA-N 0 1 304.459 3.103 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966601715 658484752 /nfs/dbraw/zinc/48/47/52/658484752.db2.gz RXCDVIRZFFOQQH-YJBOKZPZSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)c(F)c1 ZINC001017990618 658486345 /nfs/dbraw/zinc/48/63/45/658486345.db2.gz JLCJBAMGLGLICC-OKILXGFUSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2cc[nH]c2c1 ZINC001017998530 658488722 /nfs/dbraw/zinc/48/87/22/658488722.db2.gz MYVRJNARPPFOMF-CALCHBBNSA-N 0 1 309.413 3.033 20 30 DGEDMN C=CCN1CCCN(C(=O)c2[nH]ccc2-c2ccccc2)CC1 ZINC000989462281 658492170 /nfs/dbraw/zinc/49/21/70/658492170.db2.gz CPEPYPWIFFYFOB-UHFFFAOYSA-N 0 1 309.413 3.016 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)c1ccccc1C ZINC001018022051 658492714 /nfs/dbraw/zinc/49/27/14/658492714.db2.gz FSPJGVUZWAJMEY-QRVBRYPASA-N 0 1 324.468 3.187 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cccc(C3CCC3)c2)CC1 ZINC000989482673 658498534 /nfs/dbraw/zinc/49/85/34/658498534.db2.gz DYFDQPOMCCAAGG-UHFFFAOYSA-N 0 1 310.441 3.125 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2csc(Cl)c2)C1 ZINC000989518772 658506502 /nfs/dbraw/zinc/50/65/02/658506502.db2.gz HYVKMEQFMAXLJF-ONGXEEELSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2csc(Cl)c2)C1 ZINC000989518771 658506592 /nfs/dbraw/zinc/50/65/92/658506592.db2.gz HYVKMEQFMAXLJF-MWLCHTKSSA-N 0 1 319.257 3.347 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2ccccc2n1 ZINC001018202934 658516005 /nfs/dbraw/zinc/51/60/05/658516005.db2.gz NUWAWAPIMZDMPL-CALCHBBNSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3sccc3[nH]2)C1 ZINC000989643077 658534349 /nfs/dbraw/zinc/53/43/49/658534349.db2.gz PUEQJEIHNZEOLD-MNOVXSKESA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)cc3ccoc32)C1 ZINC000967058949 658535865 /nfs/dbraw/zinc/53/58/65/658535865.db2.gz IWFPPFMBALOVTB-WBMJQRKESA-N 0 1 316.376 3.198 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C)c2c1CCC2 ZINC001018318533 658536994 /nfs/dbraw/zinc/53/69/94/658536994.db2.gz HQHKVEDFLWJRPC-CALCHBBNSA-N 0 1 324.468 3.349 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)c(CC)o2)C1 ZINC000989671835 658539397 /nfs/dbraw/zinc/53/93/97/658539397.db2.gz FSUJEKJNIRBRRU-OLZOCXBDSA-N 0 1 310.825 3.096 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(F)c3occc32)CC1 ZINC000989701940 658545591 /nfs/dbraw/zinc/54/55/91/658545591.db2.gz MLTRBHRSXLKEIJ-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc(Cl)c2)C1 ZINC000989709016 658548453 /nfs/dbraw/zinc/54/84/53/658548453.db2.gz ZVUOKUNIPHEXRH-SMDDNHRTSA-N 0 1 313.228 3.285 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC000967231233 658552149 /nfs/dbraw/zinc/55/21/49/658552149.db2.gz OZSXVJBTTYFRMS-QFBILLFUSA-N 0 1 314.473 3.365 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc3[nH]ccc3s2)C1 ZINC000989729586 658557542 /nfs/dbraw/zinc/55/75/42/658557542.db2.gz BZUBLAMEHYBZKP-WDEREUQCSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967273666 658558313 /nfs/dbraw/zinc/55/83/13/658558313.db2.gz MHNZOHSLYYMHBN-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC000967291953 658564420 /nfs/dbraw/zinc/56/44/20/658564420.db2.gz PMNWEEAWOKVCJD-KXBFYZLASA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccccc2CCC)C1 ZINC000967291946 658565148 /nfs/dbraw/zinc/56/51/48/658565148.db2.gz PMDHMZXYWYYNQI-CRAIPNDOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)Cc2cccc(F)c2)CC1 ZINC000989772166 658573636 /nfs/dbraw/zinc/57/36/36/658573636.db2.gz ADELXJOCYQYMTQ-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2ccccc2F)C1 ZINC000989783215 658577388 /nfs/dbraw/zinc/57/73/88/658577388.db2.gz RFEQUKFPYWZBJN-UKRRQHHQSA-N 0 1 324.827 3.090 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC000967419417 658579563 /nfs/dbraw/zinc/57/95/63/658579563.db2.gz KUEOVIRSVRBVFG-RISCZKNCSA-N 0 1 324.827 3.414 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2sccc2CC)C1 ZINC000989822672 658584595 /nfs/dbraw/zinc/58/45/95/658584595.db2.gz KMVNINPDTLJHCB-WCQYABFASA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001019042570 658604145 /nfs/dbraw/zinc/60/41/45/658604145.db2.gz NFNCUJPHCZVIMR-DOTOQJQBSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3ccccc3s2)C1 ZINC001019103402 658608025 /nfs/dbraw/zinc/60/80/25/658608025.db2.gz MMNLSZQNJGIZSY-ZDUSSCGKSA-N 0 1 320.845 3.458 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001019238179 658619002 /nfs/dbraw/zinc/61/90/02/658619002.db2.gz PKRMWCGBRDQVCB-JSGCOSHPSA-N 0 1 322.399 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2cccc(F)c2Cl)C1 ZINC001019237956 658619103 /nfs/dbraw/zinc/61/91/03/658619103.db2.gz GDVJPANVTBGQBQ-ZDUSSCGKSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2occc2C(C)C)C1 ZINC000967997034 658652330 /nfs/dbraw/zinc/65/23/30/658652330.db2.gz UEAXJFFFYUETMJ-GDBMZVCRSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2coc(C(F)F)c2)C1 ZINC000968034977 658656379 /nfs/dbraw/zinc/65/63/79/658656379.db2.gz KJOGWFDPBRMVML-DGCLKSJQSA-N 0 1 312.360 3.234 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2(C3CCCCC3)CC2)C1 ZINC001019708123 658665983 /nfs/dbraw/zinc/66/59/83/658665983.db2.gz BGVTUSOOSJFOSR-HNNXBMFYSA-N 0 1 310.869 3.290 20 30 DGEDMN CC#CC[N@H+]1CC[C@@]2(NC(=O)C(C)=C3CCCC3)CCC[C@H]12 ZINC000990205201 658668804 /nfs/dbraw/zinc/66/88/04/658668804.db2.gz IQLAXWIIHODKRH-HKUYNNGSSA-N 0 1 300.446 3.013 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001019808702 658675511 /nfs/dbraw/zinc/67/55/11/658675511.db2.gz TWPFZHHRDBYZEE-WBMJQRKESA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321213 658696831 /nfs/dbraw/zinc/69/68/31/658696831.db2.gz HFAFULVQGBGNCY-YJBOKZPZSA-N 0 1 312.457 3.364 20 30 DGEDMN CCCC(=O)C(C#N)C(=O)Nc1cc(C)c(Cl)cc1OC ZINC000128323546 658732559 /nfs/dbraw/zinc/73/25/59/658732559.db2.gz QILDTZKDGZZCPR-JTQLQIEISA-N 0 1 308.765 3.105 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1c(C)c(F)ccc1Cl ZINC001038425471 658751234 /nfs/dbraw/zinc/75/12/34/658751234.db2.gz AJRILMITOCWZKX-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001038550079 658763185 /nfs/dbraw/zinc/76/31/85/658763185.db2.gz ZXQWOKXGOMNTLP-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cccc(C)c3F)CCC[C@@H]12 ZINC000990848867 658768268 /nfs/dbraw/zinc/76/82/68/658768268.db2.gz JYZJRWLSGWVGBG-CRAIPNDOSA-N 0 1 302.393 3.047 20 30 DGEDMN CC1(C)CCC[C@H](C(=O)C(C#N)C(=O)NCc2ccccc2)C1 ZINC000179381626 658775180 /nfs/dbraw/zinc/77/51/80/658775180.db2.gz OHLDJNGOQHETRP-HOTGVXAUSA-N 0 1 312.413 3.228 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1oc2ccccc2c1CC ZINC001039070044 658992071 /nfs/dbraw/zinc/99/20/71/658992071.db2.gz LBNTZZMXMFAOLO-CQSZACIVSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(C)CNC(=S)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000057814865 659033039 /nfs/dbraw/zinc/03/30/39/659033039.db2.gz DHFSUJMRUJWUNT-OAHLLOKOSA-N 0 1 314.458 3.459 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)C[C@@H]1C ZINC000947315093 659045035 /nfs/dbraw/zinc/04/50/35/659045035.db2.gz MPQCNTZZSFYRLV-HOCLYGCPSA-N 0 1 324.424 3.211 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1cc(F)cc(F)c1 ZINC000157319535 659048356 /nfs/dbraw/zinc/04/83/56/659048356.db2.gz KYKYNMIOPJTXMP-DAFODLJHSA-N 0 1 305.236 3.475 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC1CCCCC1 ZINC000129837156 659142907 /nfs/dbraw/zinc/14/29/07/659142907.db2.gz RXJRZINFLKLIHL-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN N#Cc1ccc(OC(=O)[C@@H]2CCC[N@@H+](Cc3ccccc3)C2)cc1 ZINC000170699790 659226271 /nfs/dbraw/zinc/22/62/71/659226271.db2.gz AJGQABPGTWRZDD-GOSISDBHSA-N 0 1 320.392 3.376 20 30 DGEDMN COc1cc(/C=C/C(=O)C2CCCCC2)cc([N+](=O)[O-])c1O ZINC000061174777 659243762 /nfs/dbraw/zinc/24/37/62/659243762.db2.gz BVCZSWUQNNQOGF-BQYQJAHWSA-N 0 1 305.330 3.472 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C1CCC1)C2 ZINC001045907644 659294734 /nfs/dbraw/zinc/29/47/34/659294734.db2.gz CFHLOQVNVSBHBM-QGZVFWFLSA-N 0 1 310.869 3.244 20 30 DGEDMN CC(C)[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000172021228 659310463 /nfs/dbraw/zinc/31/04/63/659310463.db2.gz PDCSNHGFNIJDPT-KOLCDFICSA-N 0 1 310.756 3.419 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1cnc(Cl)c(C)c1 ZINC000172204696 659324376 /nfs/dbraw/zinc/32/43/76/659324376.db2.gz GRBOTHIODJRGQE-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C[C@@H]1C ZINC000948026747 659336648 /nfs/dbraw/zinc/33/66/48/659336648.db2.gz SZRHXODJRDUVBD-SUMWQHHRSA-N 0 1 323.440 3.001 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CCC[C@H](C)C2)c1 ZINC000173959408 659406433 /nfs/dbraw/zinc/40/64/33/659406433.db2.gz NJGMBCZUXVYWSJ-WOSRLPQWSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@@H](C#N)CN(C)C[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000929471500 659451935 /nfs/dbraw/zinc/45/19/35/659451935.db2.gz HVZBPCLSOSEYLA-CORIIIEPSA-N 0 1 312.335 3.260 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(Cl)cc3C)CCC[C@H]12 ZINC000992158219 659475968 /nfs/dbraw/zinc/47/59/68/659475968.db2.gz BBDZBEUMODEZNS-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3csc(Cl)c3)CCC[C@H]12 ZINC000992296632 659516036 /nfs/dbraw/zinc/51/60/36/659516036.db2.gz IPGSYSVHOUUSSK-XJKSGUPXSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C(C)C)cc3)CCC[C@@H]12 ZINC000992420847 659546459 /nfs/dbraw/zinc/54/64/59/659546459.db2.gz ITIJHJDSNFWEQU-UYAOXDASSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4ccccc4c3)CCC[C@H]12 ZINC000992443763 659551170 /nfs/dbraw/zinc/55/11/70/659551170.db2.gz YCYMQALVIOGJSU-PZJWPPBQSA-N 0 1 318.420 3.200 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC(C)(C)C)cc1Cl ZINC000130280358 659651671 /nfs/dbraw/zinc/65/16/71/659651671.db2.gz AGJRAVIEVIZYBW-LLVKDONJSA-N 0 1 322.792 3.432 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C=C2CCC2)CCCN1Cc1ccc(C#N)cc1 ZINC000993189925 659694887 /nfs/dbraw/zinc/69/48/87/659694887.db2.gz WIGUGAJLPYUSJZ-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cscc2C(F)F)[C@@H]1C ZINC000993363495 659720830 /nfs/dbraw/zinc/72/08/30/659720830.db2.gz IUAPMEXGVRLLBO-GXFFZTMASA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3ccccc3nc2C)[C@@H]1C ZINC000993398695 659726714 /nfs/dbraw/zinc/72/67/14/659726714.db2.gz RYAZKNLSYNTZLS-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C3CCC3)cc2)[C@@H]1C ZINC000993400992 659727568 /nfs/dbraw/zinc/72/75/68/659727568.db2.gz XHTNLRAUDPSJIQ-HNAYVOBHSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccoc2C(F)(F)F)[C@H]1C ZINC000993403940 659727878 /nfs/dbraw/zinc/72/78/78/659727878.db2.gz ZGTWJHWFVZSAAR-PWSUYJOCSA-N 0 1 316.323 3.067 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CCC)s2)[C@@H]1C ZINC000993408817 659729220 /nfs/dbraw/zinc/72/92/20/659729220.db2.gz HCNBIOLJWGXPKO-ZFWWWQNUSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(F)cc3ccoc32)[C@@H]1C ZINC000993522567 659755682 /nfs/dbraw/zinc/75/56/82/659755682.db2.gz PSMIJCGISCMKQC-LRDDRELGSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2CCC)[C@@H]1C ZINC000993565762 659764350 /nfs/dbraw/zinc/76/43/50/659764350.db2.gz RVZYSGRSCQPUAZ-MAUKXSAKSA-N 0 1 300.446 3.408 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)[C@H]1C ZINC000993652077 659770396 /nfs/dbraw/zinc/77/03/96/659770396.db2.gz JBVWLECFCDFPBK-UZLBHIALSA-N 0 1 324.468 3.099 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)[C@@H]1C ZINC000993664391 659773000 /nfs/dbraw/zinc/77/30/00/659773000.db2.gz SHYSFSSBQNNHBB-DOTOQJQBSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2csc3ccccc23)[C@@H]1C ZINC000993656942 659775993 /nfs/dbraw/zinc/77/59/93/659775993.db2.gz QDBFVYAHGFKEFR-XJKSGUPXSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@H]1C ZINC000993684810 659776195 /nfs/dbraw/zinc/77/61/95/659776195.db2.gz PHHNJIJOUKOBFW-PBHICJAKSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)nc2C(C)C)[C@H]1C ZINC000993658458 659776834 /nfs/dbraw/zinc/77/68/34/659776834.db2.gz SJJRWKKODNWRME-NVXWUHKLSA-N 0 1 315.461 3.282 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C(F)F)c2)[C@H]1C ZINC000993716123 659779467 /nfs/dbraw/zinc/77/94/67/659779467.db2.gz NTMDYDSLLPJHOS-DGCLKSJQSA-N 0 1 312.360 3.376 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C(C2CCC2)C2CCC2)[C@H]1C ZINC000993703233 659782221 /nfs/dbraw/zinc/78/22/21/659782221.db2.gz LWKCPRPIKZHJLW-QAPCUYQASA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(C)c2)[C@@H]1C ZINC000993729138 659785915 /nfs/dbraw/zinc/78/59/15/659785915.db2.gz JKBLUAKQPTXAQV-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)cccc2Cl)[C@H]1C ZINC000993770730 659789509 /nfs/dbraw/zinc/78/95/09/659789509.db2.gz FMYGLLQLMPDWOR-GDBMZVCRSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)cc2Cl)[C@@H]1C ZINC000993847811 659796020 /nfs/dbraw/zinc/79/60/20/659796020.db2.gz QEMYOBBOTATDMR-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(F)F)cc2)[C@@H]1C ZINC000994005569 659808032 /nfs/dbraw/zinc/80/80/32/659808032.db2.gz MEBOYQUOHQIWBK-SWLSCSKDSA-N 0 1 308.372 3.393 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C)c2Cl)[C@@H]1C ZINC000994016167 659809352 /nfs/dbraw/zinc/80/93/52/659809352.db2.gz CHZDTGWWJUORST-HOCLYGCPSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cccnc3c2)[C@@H]1C ZINC000994048914 659810377 /nfs/dbraw/zinc/81/03/77/659810377.db2.gz GLPZNYWHZYGYQE-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3scnc3c2)[C@H]1C ZINC000994137634 659816577 /nfs/dbraw/zinc/81/65/77/659816577.db2.gz WJMRMIKIXLIPRU-TZMCWYRMSA-N 0 1 315.442 3.065 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sccc2COC)[C@H]1C ZINC000994235070 659822692 /nfs/dbraw/zinc/82/26/92/659822692.db2.gz VEUQQVSRDZYUSG-HIFRSBDPSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@@H]1C ZINC000994266524 659828554 /nfs/dbraw/zinc/82/85/54/659828554.db2.gz IZMGFCSURWACOF-HNAYVOBHSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2OC(C)C)[C@@H]1C ZINC000994271013 659829577 /nfs/dbraw/zinc/82/95/77/659829577.db2.gz IESRPWVPFQEOIC-RDJZCZTQSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@@H]1C ZINC000994268972 659830065 /nfs/dbraw/zinc/83/00/65/659830065.db2.gz OGODIBMMBISMNC-JXFKEZNVSA-N 0 1 322.452 3.252 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3[nH]ccc3s2)[C@@H]1C ZINC000994294126 659835461 /nfs/dbraw/zinc/83/54/61/659835461.db2.gz DIFJKXUSPWJZTE-STQMWFEESA-N 0 1 317.458 3.388 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC(C)C)cc2)[C@@H]1C ZINC000994340361 659839934 /nfs/dbraw/zinc/83/99/34/659839934.db2.gz UFFNFPBQFMPVIX-MAUKXSAKSA-N 0 1 316.445 3.243 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)[C@@H]1C ZINC000994344730 659840314 /nfs/dbraw/zinc/84/03/14/659840314.db2.gz GFWUKCUMCHUIBI-OXJNMPFZSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2sccc2C2CC2)[C@H]1C ZINC000994356455 659844183 /nfs/dbraw/zinc/84/41/83/659844183.db2.gz ZDXAYNHCVFJPSW-DOMZBBRYSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@@H]1C ZINC000994368732 659845167 /nfs/dbraw/zinc/84/51/67/659845167.db2.gz WXAXSGJBVLFUDJ-WFASDCNBSA-N 0 1 306.475 3.388 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C)c(CC)s2)[C@@H]1C ZINC000994367994 659845623 /nfs/dbraw/zinc/84/56/23/659845623.db2.gz VAXZLVTXNUONQZ-LSDHHAIUSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3cccc(F)c3o2)[C@@H]1C ZINC000994444818 659855623 /nfs/dbraw/zinc/85/56/23/659855623.db2.gz UUEJAAHKYBENCN-WFASDCNBSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@@H]1C ZINC000994471752 659858728 /nfs/dbraw/zinc/85/87/28/659858728.db2.gz MIGHVVVIAXYLSR-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)[C@H]1C ZINC000994481793 659859133 /nfs/dbraw/zinc/85/91/33/659859133.db2.gz CQYHKPUKYSGQKB-CRAIPNDOSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3cccc(C)c3o2)[C@@H]1C ZINC000994491186 659861848 /nfs/dbraw/zinc/86/18/48/659861848.db2.gz XTGACCGQIUFHOI-RDJZCZTQSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3cccc(C)c3o2)[C@H]1C ZINC000994491185 659861876 /nfs/dbraw/zinc/86/18/76/659861876.db2.gz XTGACCGQIUFHOI-NVXWUHKLSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccccc2CC(C)C)[C@H]1C ZINC000994497220 659862679 /nfs/dbraw/zinc/86/26/79/659862679.db2.gz QLQIHFOTEWCXSX-VQIMIIECSA-N 0 1 312.457 3.101 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cncc3ccccc32)[C@@H]1C ZINC000994503728 659864207 /nfs/dbraw/zinc/86/42/07/659864207.db2.gz WLUNAEGUZGPXBA-KSSFIOAISA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2CCC(C)(C)CC2)[C@@H]1C ZINC000994500247 659864300 /nfs/dbraw/zinc/86/43/00/659864300.db2.gz XGHROMPJBRAEQR-RDJZCZTQSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc(C3CC3)n2)[C@H]1C ZINC000994510161 659864557 /nfs/dbraw/zinc/86/45/57/659864557.db2.gz SHDPOWRTQCWJOC-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC2CCC(C)(C)CC2)[C@H]1C ZINC000994561727 659882638 /nfs/dbraw/zinc/88/26/38/659882638.db2.gz OEYJSPUCOOAGDE-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000175316698 659918856 /nfs/dbraw/zinc/91/88/56/659918856.db2.gz ZYHAIEWQEZOUJH-HNQUOIGGSA-N 0 1 318.275 3.338 20 30 DGEDMN O=C(/C=C/c1cccc2c1OCCO2)c1ccc(O)c(F)c1 ZINC000170851752 659928234 /nfs/dbraw/zinc/92/82/34/659928234.db2.gz DBVKNMOFJTYEEZ-GQCTYLIASA-N 0 1 300.285 3.199 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2ccc([N+](=O)[O-])cc2)cc1 ZINC000237577510 659930110 /nfs/dbraw/zinc/93/01/10/659930110.db2.gz NELTVXCHZSTUIN-CYBMUJFWSA-N 0 1 311.341 3.175 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2SCCc3sccc32)c1 ZINC000179729041 659939522 /nfs/dbraw/zinc/93/95/22/659939522.db2.gz NCIWJAOJYAPYIP-AWEZNQCLSA-N 0 1 316.407 3.294 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc3occc3s2)C[C@H]1C ZINC000939131979 659986052 /nfs/dbraw/zinc/98/60/52/659986052.db2.gz DZVKFIHBSZTRAG-KOLCDFICSA-N 0 1 324.833 3.297 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(Cl)cc2)C[C@@H]1C ZINC000939580721 660004882 /nfs/dbraw/zinc/00/48/82/660004882.db2.gz NLHKPQRLZLGUET-HZMBPMFUSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C)C(C)(C)C2(C)C)C[C@H]1C ZINC000939874108 660017571 /nfs/dbraw/zinc/01/75/71/660017571.db2.gz NLTBEVNTMXDJEK-YPMHNXCESA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)c2ccccc2)C[C@H]1C ZINC000939696132 660011616 /nfs/dbraw/zinc/01/16/16/660011616.db2.gz FFBROZZLSSSQDR-CJNGLKHVSA-N 0 1 320.864 3.153 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C23CCC(CC2)CC3)C[C@H]1C ZINC000939802521 660015047 /nfs/dbraw/zinc/01/50/47/660015047.db2.gz VOHBOIRKJQMDIS-ZCYLDUCGSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccoc2C(C)C)C[C@@H]1C ZINC000939826467 660015802 /nfs/dbraw/zinc/01/58/02/660015802.db2.gz PIDPJGJCPGXLRO-SMDDNHRTSA-N 0 1 310.825 3.206 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2C[C@H]2c2ccccc2)C(C)(C)C1 ZINC000940747645 660061547 /nfs/dbraw/zinc/06/15/47/660061547.db2.gz LJTDQMXFYJDPJL-FHWLQOOXSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(Cl)c2C)C(C)(C)C1 ZINC000940765858 660062498 /nfs/dbraw/zinc/06/24/98/660062498.db2.gz XKYMMDNIOVSUOW-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc(Cl)c2C)C(C)(C)C1 ZINC000940765859 660062817 /nfs/dbraw/zinc/06/28/17/660062817.db2.gz XKYMMDNIOVSUOW-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ccccc2CC)C(C)(C)C1 ZINC000940987451 660077938 /nfs/dbraw/zinc/07/79/38/660077938.db2.gz OOUPGHXNABKQJI-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(C2CCC2)C2CCC2)C(C)(C)C1 ZINC000940985458 660078336 /nfs/dbraw/zinc/07/83/36/660078336.db2.gz WWLCFYGXOALOAC-KRWDZBQOSA-N 0 1 316.489 3.053 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C(C)(C)C1 ZINC000941087112 660085043 /nfs/dbraw/zinc/08/50/43/660085043.db2.gz DUWFHBSUVIILMN-IBGZPJMESA-N 0 1 322.452 3.110 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(F)F)cc2)C(C)(C)C1 ZINC000941096262 660086845 /nfs/dbraw/zinc/08/68/45/660086845.db2.gz DOQHMEWSRJEDTR-OAHLLOKOSA-N 0 1 320.383 3.088 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000941163680 660090198 /nfs/dbraw/zinc/09/01/98/660090198.db2.gz OBQYIFUKGCRNRG-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(C)s2)C(C)(C)C1 ZINC000941163854 660090208 /nfs/dbraw/zinc/09/02/08/660090208.db2.gz PRZDGYXBPMEDNC-HOCLYGCPSA-N 0 1 318.486 3.010 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)s2)C(C)(C)C1 ZINC000941198342 660093672 /nfs/dbraw/zinc/09/36/72/660093672.db2.gz GFDPMLHCUMNRQA-ZDUSSCGKSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2C)C(C)(C)C1 ZINC000941199561 660093878 /nfs/dbraw/zinc/09/38/78/660093878.db2.gz OJUIZCOECFMCNC-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000941243579 660097692 /nfs/dbraw/zinc/09/76/92/660097692.db2.gz AJWDFFOBSVWGFW-FXAWDEMLSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(CC(C)C)s2)[C@@H](C)C1 ZINC000942136985 660139666 /nfs/dbraw/zinc/13/96/66/660139666.db2.gz OUMFFWIACHYWRY-GOEBONIOSA-N 0 1 318.486 3.020 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H](C)Sc1ccccc1Cl ZINC000131061974 660158836 /nfs/dbraw/zinc/15/88/36/660158836.db2.gz GKBKMXOXYAIIKT-MNOVXSKESA-N 0 1 324.833 3.054 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H](C)C1 ZINC000942958190 660173854 /nfs/dbraw/zinc/17/38/54/660173854.db2.gz CVRXSGKIHNSONO-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H](c3nc4ccccc4o3)C2)c1 ZINC000929876595 661347205 /nfs/dbraw/zinc/34/72/05/661347205.db2.gz WGFKEUITSREWMX-INIZCTEOSA-N 0 1 318.380 3.474 20 30 DGEDMN CNC(=O)C[C@H](NCc1c(C)cc(C#N)cc1C)c1ccccc1 ZINC000930303008 661386714 /nfs/dbraw/zinc/38/67/14/661386714.db2.gz DXHOEGDDBBSIFO-IBGZPJMESA-N 0 1 321.424 3.142 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000931470097 661480177 /nfs/dbraw/zinc/48/01/77/661480177.db2.gz BATXBBGXMTVNTB-HOTGVXAUSA-N 0 1 304.434 3.443 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc(C3CCC3)c2)CC1 ZINC000931504539 661483295 /nfs/dbraw/zinc/48/32/95/661483295.db2.gz INSOXRSCPMWGSB-UHFFFAOYSA-N 0 1 311.429 3.173 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCO[C@H](C(F)(F)F)CC1 ZINC000931622121 661494167 /nfs/dbraw/zinc/49/41/67/661494167.db2.gz YPHKWVZSNDDNLD-HNNXBMFYSA-N 0 1 312.335 3.328 20 30 DGEDMN C[C@H](N[C@H](c1ccccn1)C1CC1)C(=O)Nc1ccccc1C#N ZINC000932381249 661564422 /nfs/dbraw/zinc/56/44/22/661564422.db2.gz PSDKCCNZMKRYPQ-UGSOOPFHSA-N 0 1 320.396 3.021 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)Nc2ccc(CCC#N)cc2)C[C@H]1C ZINC000932569766 661581327 /nfs/dbraw/zinc/58/13/27/661581327.db2.gz FTNCRAJUWRDTCI-CABCVRRESA-N 0 1 314.433 3.089 20 30 DGEDMN CCOC(=O)C1(C(F)(F)F)CCN(CCC(C)(C)C#N)CC1 ZINC000932655490 661590010 /nfs/dbraw/zinc/59/00/10/661590010.db2.gz HVAJMTQDBUIKGA-UHFFFAOYSA-N 0 1 320.355 3.134 20 30 DGEDMN C#CCC1(NCc2nc(C(=O)OCC)cs2)CCCCC1 ZINC000933262716 661626333 /nfs/dbraw/zinc/62/63/33/661626333.db2.gz DALQRPKKHHWVOO-UHFFFAOYSA-N 0 1 306.431 3.136 20 30 DGEDMN CC[C@H](C)NC(=O)[C@@H](C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933614371 661645318 /nfs/dbraw/zinc/64/53/18/661645318.db2.gz OIDIRIWOWFRRAD-LSDHHAIUSA-N 0 1 313.445 3.041 20 30 DGEDMN Cc1cc(CN2Cc3ncccc3N3CCC[C@H]3C2)ccc1C#N ZINC000934495469 661711900 /nfs/dbraw/zinc/71/19/00/661711900.db2.gz WGSIQCYAQZQVMW-SFHVURJKSA-N 0 1 318.424 3.246 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@@H](C)c2n[nH]c([C@@H](C)CC)n2)cc1 ZINC000934928225 661748950 /nfs/dbraw/zinc/74/89/50/661748950.db2.gz KOQZPJQHRRVYLL-RYUDHWBXSA-N 0 1 311.389 3.182 20 30 DGEDMN O=C(/C=C/c1cccc2c1OCCCO2)c1ccc(O)cc1O ZINC000156634163 661864075 /nfs/dbraw/zinc/86/40/75/661864075.db2.gz RPTPWNYNMLIYNP-VMPITWQZSA-N 0 1 312.321 3.155 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)C[C@H]1C ZINC000947571664 661917275 /nfs/dbraw/zinc/91/72/75/661917275.db2.gz HERZOSACJJKYEW-HZPDHXFCSA-N 0 1 324.424 3.266 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2csc3ccccc23)C[C@H]1C ZINC000947590871 661918641 /nfs/dbraw/zinc/91/86/41/661918641.db2.gz WGEWKBWPHGYWPV-ZIAGYGMSSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)C[C@@H]1C ZINC000947596494 661919238 /nfs/dbraw/zinc/91/92/38/661919238.db2.gz AYKJFKWDJBSTFR-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cc(C)c(F)c(C)c2)CC1 ZINC001006426348 661925265 /nfs/dbraw/zinc/92/52/65/661925265.db2.gz RBVQUSZNUCPCGL-UHFFFAOYSA-N 0 1 316.420 3.002 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(-c3ccccc3)o2)C[C@H]1C ZINC000947735941 661927915 /nfs/dbraw/zinc/92/79/15/661927915.db2.gz PRTDAJRNSOSRGA-NVXWUHKLSA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(CCC)c(C)s2)C[C@@H]1C ZINC000948013467 661944493 /nfs/dbraw/zinc/94/44/93/661944493.db2.gz XGHRZRSNFBRJPJ-BBRMVZONSA-N 0 1 318.486 3.225 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)c2ccccc2)CC1 ZINC000948155597 661956178 /nfs/dbraw/zinc/95/61/78/661956178.db2.gz JFALZPUDWRLNJV-MRXNPFEDSA-N 0 1 306.837 3.366 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2CC=CCC2)CC1 ZINC000948181555 661957817 /nfs/dbraw/zinc/95/78/17/661957817.db2.gz OFELVVAXADJTRJ-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN CC(C)C(=O)N(C)[C@H]1CCCN(Cc2ccc(C#N)s2)CC1 ZINC000948205941 661960407 /nfs/dbraw/zinc/96/04/07/661960407.db2.gz QMCFRDXWZAQEIO-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H](C)C2CCC2)CC1 ZINC000948542750 661982115 /nfs/dbraw/zinc/98/21/15/661982115.db2.gz UQVVPWZXXBOSDD-GDBMZVCRSA-N 0 1 312.885 3.488 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2coc3ccc(C)cc23)CC1 ZINC000948577028 661983958 /nfs/dbraw/zinc/98/39/58/661983958.db2.gz BAFCPVBVIBZKAR-UHFFFAOYSA-N 0 1 312.413 3.004 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC000948643692 661988133 /nfs/dbraw/zinc/98/81/33/661988133.db2.gz YAVWSVLPWYOSHO-KYHPRHEASA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1 ZINC000948643690 661988152 /nfs/dbraw/zinc/98/81/52/661988152.db2.gz YAVWSVLPWYOSHO-DFGXFYAUSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C(C)(C)C2CC2)CC1 ZINC000948696868 661991137 /nfs/dbraw/zinc/99/11/37/661991137.db2.gz ADYUPZYYPABDEP-OAHLLOKOSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)c2ccccc2C2(C)CC2)CC1 ZINC000948767313 661996828 /nfs/dbraw/zinc/99/68/28/661996828.db2.gz WBACKYVUWSFBLG-QGZVFWFLSA-N 0 1 324.468 3.298 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1CC=CC1 ZINC000948901930 662005968 /nfs/dbraw/zinc/00/59/68/662005968.db2.gz HQYDMONDBANSNL-LJQANCHMSA-N 0 1 310.441 3.244 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1=CCCC1 ZINC000948910068 662006700 /nfs/dbraw/zinc/00/67/00/662006700.db2.gz IDCKCZPYMRXORF-LJQANCHMSA-N 0 1 310.441 3.388 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1cocc1C ZINC000948950984 662008706 /nfs/dbraw/zinc/00/87/06/662008706.db2.gz QHGVRZXZSILJTH-LJQANCHMSA-N 0 1 324.424 3.493 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@H]1CNC(=O)c1cc(C)co1 ZINC000948955117 662009184 /nfs/dbraw/zinc/00/91/84/662009184.db2.gz SDUFCZHVCLIQNU-GOSISDBHSA-N 0 1 324.424 3.493 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)[C@@H]1C[C@H]1CC ZINC000948961744 662009662 /nfs/dbraw/zinc/00/96/62/662009662.db2.gz MYEOCGBWCLFMRK-ATZDWAIDSA-N 0 1 312.457 3.324 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc([C@H]3C[C@@H]3C)o2)CC1 ZINC000949190827 662012754 /nfs/dbraw/zinc/01/27/54/662012754.db2.gz ZOZKSHDOLLPAII-RDJZCZTQSA-N 0 1 316.445 3.056 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C)c3cccnc23)CC1 ZINC000949350243 662014906 /nfs/dbraw/zinc/01/49/06/662014906.db2.gz ADYHLVZOCXMJKH-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H]2CC[C@@H]2c2ccc(F)cc2)CC1 ZINC000949460280 662016583 /nfs/dbraw/zinc/01/65/83/662016583.db2.gz IYTUUKDJGCEVFA-MSOLQXFVSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC000949631586 662021776 /nfs/dbraw/zinc/02/17/76/662021776.db2.gz HWCQRVDGHXDBKY-AWEZNQCLSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C1CCC(C(=O)N2CCN(CC3CC4(CCC4)C3)CC2)CC1 ZINC000950046692 662043096 /nfs/dbraw/zinc/04/30/96/662043096.db2.gz IHDSERKKBOZLFK-UHFFFAOYSA-N 0 1 316.489 3.457 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)OC2CCCCCC2)CC1 ZINC000950414309 662065149 /nfs/dbraw/zinc/06/51/49/662065149.db2.gz UQQZDQXXRCLZEY-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCN1CCN(C(=O)CC(C2CCC2)C2CCC2)CC1 ZINC000950545829 662071543 /nfs/dbraw/zinc/07/15/43/662071543.db2.gz ZKDAELULLWRWQL-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc3ccsc3[nH]2)C1 ZINC000951103478 662113561 /nfs/dbraw/zinc/11/35/61/662113561.db2.gz PSWRSLYKYNYJCV-UHFFFAOYSA-N 0 1 317.458 3.342 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(OCC)c(C)c2)CC1 ZINC000951120046 662114423 /nfs/dbraw/zinc/11/44/23/662114423.db2.gz LFFPNPBPTBQBSM-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN N#CC(C(=O)C1CCOCC1)c1nc2ccccc2n1C(F)F ZINC000193285016 662129390 /nfs/dbraw/zinc/12/93/90/662129390.db2.gz YBVVTBNYFZNBLM-LLVKDONJSA-N 0 1 319.311 3.034 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2F)CC1 ZINC000951604607 662142181 /nfs/dbraw/zinc/14/21/81/662142181.db2.gz PPIJFBCQLZRMEE-DLBZAZTESA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC000951738775 662149939 /nfs/dbraw/zinc/14/99/39/662149939.db2.gz XOZXFBJPXRRLCC-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2cc(C)oc2C)CC1 ZINC000951768931 662151106 /nfs/dbraw/zinc/15/11/06/662151106.db2.gz JOAOXYSTRLSWOJ-HNNXBMFYSA-N 0 1 304.434 3.110 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2coc3ccccc23)CC1 ZINC000952406656 662188191 /nfs/dbraw/zinc/18/81/91/662188191.db2.gz FASNGXAEMIJYSP-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000952417839 662188775 /nfs/dbraw/zinc/18/87/75/662188775.db2.gz DJIRJQAXQSOCMK-MOPGFXCFSA-N 0 1 312.457 3.289 20 30 DGEDMN Cc1noc(C)c1[C@H](C)C(=O)C(C#N)c1nc2ccccc2o1 ZINC000195492929 662190724 /nfs/dbraw/zinc/19/07/24/662190724.db2.gz OEJAWIATRWJSQK-CABZTGNLSA-N 0 1 309.325 3.413 20 30 DGEDMN N#CCOc1cccc(CC(=O)Nc2cccc(Cl)c2O)c1 ZINC000089825991 662248770 /nfs/dbraw/zinc/24/87/70/662248770.db2.gz JYTGBZBWQNGJAX-UHFFFAOYSA-N 0 1 316.744 3.129 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cccc3ccsc32)CC1 ZINC000957068989 662347661 /nfs/dbraw/zinc/34/76/61/662347661.db2.gz DSNRWEVXGZNWSH-UHFFFAOYSA-N 0 1 300.427 3.235 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(OCCC)c2)C(C)(C)C1 ZINC000974636621 685338916 /nfs/dbraw/zinc/33/89/16/685338916.db2.gz TUJMCUZHZZZQCV-QGZVFWFLSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)cn2)[C@@H]1C ZINC000986964806 685353958 /nfs/dbraw/zinc/35/39/58/685353958.db2.gz AKIYHOZTXCHZHF-HIFRSBDPSA-N 0 1 321.852 3.150 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC000072252726 685058148 /nfs/dbraw/zinc/05/81/48/685058148.db2.gz NJSDEIRDCYZBEH-UHFFFAOYSA-N 0 1 312.335 3.039 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC000072252726 685058157 /nfs/dbraw/zinc/05/81/57/685058157.db2.gz NJSDEIRDCYZBEH-UHFFFAOYSA-N 0 1 312.335 3.039 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C2=CCCC2)CN1Cc1cccc(C#N)c1 ZINC001071417523 686657969 /nfs/dbraw/zinc/65/79/69/686657969.db2.gz VVCVPANKHLOECO-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C=C2CCC2)CN1Cc1ccc(C#N)cc1 ZINC001071420597 686659590 /nfs/dbraw/zinc/65/95/90/686659590.db2.gz PTSZMMKCOXHZFQ-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3occc3c2)CC[C@H]1C ZINC001071523988 686677771 /nfs/dbraw/zinc/67/77/71/686677771.db2.gz BEELJQPCZFKNGE-RHSMWYFYSA-N 0 1 310.397 3.039 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccccc2C(C)C)CC[C@H]1C ZINC001071548326 686686943 /nfs/dbraw/zinc/68/69/43/686686943.db2.gz DQCHPIYRAAPTEI-SJORKVTESA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3c(o2)CCCC3)CC[C@@H]1C ZINC001071561764 686688995 /nfs/dbraw/zinc/68/89/95/686688995.db2.gz URNVVGUGAIETCN-GOEBONIOSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccnn2C(CC)CC)CC[C@@H]1C ZINC001071568908 686690968 /nfs/dbraw/zinc/69/09/68/686690968.db2.gz UISPXHDMKSAOAV-LSDHHAIUSA-N 0 1 318.465 3.013 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@H]1C ZINC001071663837 686717530 /nfs/dbraw/zinc/71/75/30/686717530.db2.gz SOQFJYDSNZOWOI-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@H]1C ZINC001071671044 686720072 /nfs/dbraw/zinc/72/00/72/686720072.db2.gz WFOAEBILFCEOAO-KGLIPLIRSA-N 0 1 322.399 3.432 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCCCCCC2)CC[C@@H]1C ZINC001071675178 686720954 /nfs/dbraw/zinc/72/09/54/686720954.db2.gz CLASOBUPBFJWGO-WMZOPIPTSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)Cc2cccc(C3CC3)c2)CC[C@@H]1C ZINC001071701603 686727311 /nfs/dbraw/zinc/72/73/11/686727311.db2.gz LLNDJHMQJAQBCF-JXFKEZNVSA-N 0 1 324.468 3.099 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)CC[C@H]1C ZINC001071708624 686729632 /nfs/dbraw/zinc/72/96/32/686729632.db2.gz JQSQQSPDBZUYQL-NVXWUHKLSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)CC[C@@H]1C ZINC001071713062 686730455 /nfs/dbraw/zinc/73/04/55/686730455.db2.gz XYYFBPHCTTXZLD-JKSUJKDBSA-N 0 1 323.440 3.001 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cccc(F)c2F)CC[C@H]1C ZINC001071769787 686745076 /nfs/dbraw/zinc/74/50/76/686745076.db2.gz YUNLSXKUUKOGJM-CHWSQXEVSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@@H]1C ZINC001071772933 686747491 /nfs/dbraw/zinc/74/74/91/686747491.db2.gz TWIIEGYPPZJJMT-WMLDXEAASA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)cc2OC)CC[C@@H]1C ZINC001071772500 686747553 /nfs/dbraw/zinc/74/75/53/686747553.db2.gz LXZAABYMQYGTTF-JSGCOSHPSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(CCC)cc2)CC[C@H]1C ZINC001071779874 686751223 /nfs/dbraw/zinc/75/12/23/686751223.db2.gz PYFITPQLHSXJHW-VQIMIIECSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ncccc3c2)CC[C@H]1C ZINC001071787027 686753083 /nfs/dbraw/zinc/75/30/83/686753083.db2.gz ANSSASWWJHYDET-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)CC[C@@H]1C ZINC001071792248 686754706 /nfs/dbraw/zinc/75/47/06/686754706.db2.gz GNSVOKWIPYKINH-GOEBONIOSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(Cl)c(C)c2)CC[C@H]1C ZINC001071791874 686754977 /nfs/dbraw/zinc/75/49/77/686754977.db2.gz BJAGFYNNTIUVSX-ZBFHGGJFSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(F)c2)CC[C@@H]1C ZINC001071846991 686770924 /nfs/dbraw/zinc/77/09/24/686770924.db2.gz HREAHGIKYJYTRO-AAEUAGOBSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccccc3c2)CC[C@@H]1C ZINC001071851997 686772255 /nfs/dbraw/zinc/77/22/55/686772255.db2.gz TUCLMRQELPGRPL-WMLDXEAASA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccn(C(CC)CC)n2)CC[C@@H]1C ZINC001071871982 686778024 /nfs/dbraw/zinc/77/80/24/686778024.db2.gz KNLJPIJRBQDFHY-LSDHHAIUSA-N 0 1 318.465 3.013 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2scnc2C(C)C)CC[C@H]1C ZINC001071883314 686780476 /nfs/dbraw/zinc/78/04/76/686780476.db2.gz JJQHBBPLTJZJHX-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@H]1C ZINC001071883749 686781543 /nfs/dbraw/zinc/78/15/43/686781543.db2.gz QKQZIMLVDQVZIC-OXQOHEQNSA-N 0 1 322.452 3.252 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc3sccc3c2)CC[C@@H]1C ZINC001071949935 686793482 /nfs/dbraw/zinc/79/34/82/686793482.db2.gz AXQXCLJPPOIBLZ-BBRMVZONSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3F)CC2)CC[C@H]1C ZINC001071961373 686797065 /nfs/dbraw/zinc/79/70/65/686797065.db2.gz PQLVHHNMMOYOAR-HUUCEWRRSA-N 0 1 316.420 3.012 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncoc2C(C)(C)C)CC[C@@H]1C ZINC001071973561 686802535 /nfs/dbraw/zinc/80/25/35/686802535.db2.gz HDHSAOBJQMZGMR-UONOGXRCSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncsc2C(C)C)CC[C@H]1C ZINC001071985036 686804843 /nfs/dbraw/zinc/80/48/43/686804843.db2.gz IVRPWAGRUCYVPT-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ncsc2C(C)C)CC[C@@H]1C ZINC001071986137 686806051 /nfs/dbraw/zinc/80/60/51/686806051.db2.gz RFHQIMDTOUOTNH-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3c(C)cccn3c2)CC[C@@H]1C ZINC001071988314 686807664 /nfs/dbraw/zinc/80/76/64/686807664.db2.gz IFKOZVYDUWVGTH-RDJZCZTQSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(F)cccc2F)CC[C@@H]1C ZINC001072023134 686828225 /nfs/dbraw/zinc/82/82/25/686828225.db2.gz MFVCEGNLXWTJSQ-STQMWFEESA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3ccc(F)cc23)CC[C@H]1C ZINC001072027261 686831500 /nfs/dbraw/zinc/83/15/00/686831500.db2.gz WGICJARKMKXARR-OCCSQVGLSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2csc3c2CCCC3)CC[C@H]1C ZINC001072053485 686848100 /nfs/dbraw/zinc/84/81/00/686848100.db2.gz TUDAATTUMUEFDV-ZIAGYGMSSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(CC)s2)CC[C@H]1C ZINC001072054420 686848236 /nfs/dbraw/zinc/84/82/36/686848236.db2.gz CSQIRLBUIKWREL-KGLIPLIRSA-N 0 1 306.475 3.388 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sccc2CC)CC[C@H]1C ZINC001072098828 686865378 /nfs/dbraw/zinc/86/53/78/686865378.db2.gz GYCJLAVIPGIYJV-HIFRSBDPSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@@H]1C ZINC001072105764 686868827 /nfs/dbraw/zinc/86/88/27/686868827.db2.gz LNKXEJRANVYMPD-HOTGVXAUSA-N 0 1 312.457 3.252 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C)C(C)(C)C2(C)C)CC[C@H]1C ZINC001072120509 686876738 /nfs/dbraw/zinc/87/67/38/686876738.db2.gz VMAGJGRICULZCW-HUUCEWRRSA-N 0 1 304.478 3.051 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)[C@H]1C ZINC001074711585 687290468 /nfs/dbraw/zinc/29/04/68/687290468.db2.gz FNALOSAZZKBLRO-MHEUCROKSA-N 0 1 324.877 3.183 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)Cc2ccccc2)[C@H]1C ZINC001075123319 687337435 /nfs/dbraw/zinc/33/74/35/687337435.db2.gz GYGLDWZUIJDZKE-KMFMINBZSA-N 0 1 320.864 3.197 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3coc4cc(C)c(C)cc43)[C@@H]2C1 ZINC001076083903 687477325 /nfs/dbraw/zinc/47/73/25/687477325.db2.gz WTRHGNLZFPBMJK-MAUKXSAKSA-N 0 1 324.424 3.382 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cccc(C(F)(F)F)c3)[C@@H]2C1 ZINC001076491255 687529729 /nfs/dbraw/zinc/52/97/29/687529729.db2.gz YJPLPVURTZKUMY-DZGCQCFKSA-N 0 1 324.346 3.038 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc4ccccc4o3)[C@@H]2C1 ZINC001076533265 687535316 /nfs/dbraw/zinc/53/53/16/687535316.db2.gz REOFOBAQGNMICT-JKSUJKDBSA-N 0 1 310.397 3.155 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001079759939 687886309 /nfs/dbraw/zinc/88/63/09/687886309.db2.gz RGQGJQGALDOMIS-ZYHUDNBSSA-N 0 1 312.866 3.336 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001079892886 687901685 /nfs/dbraw/zinc/90/16/85/687901685.db2.gz IFMQESXXNLRWQX-VXGBXAGGSA-N 0 1 312.360 3.152 20 30 DGEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2cc3cscc3s2)C1 ZINC001080150634 687923909 /nfs/dbraw/zinc/92/39/09/687923909.db2.gz MXAJPZNIPOTEMW-DGCLKSJQSA-N 0 1 318.467 3.036 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2scnc2C(C)(C)C)C1 ZINC001080580140 687997062 /nfs/dbraw/zinc/99/70/62/687997062.db2.gz KYCFQKIHVSGUSP-CHWSQXEVSA-N 0 1 321.490 3.067 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001080920103 688028206 /nfs/dbraw/zinc/02/82/06/688028206.db2.gz FVTLMUJFNDYZGQ-UMVBOHGHSA-N 0 1 318.486 3.109 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001081008021 688033233 /nfs/dbraw/zinc/03/32/33/688033233.db2.gz ULLJPDWNMLNIMD-GPMSIDNRSA-N 0 1 312.457 3.274 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC001081061241 688045012 /nfs/dbraw/zinc/04/50/12/688045012.db2.gz KIQQNQVSVHGFHF-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C)CC2)C[C@H]1c1ccccc1 ZINC001081121330 688056215 /nfs/dbraw/zinc/05/62/15/688056215.db2.gz XLYWVOKUCIZOQF-JKSUJKDBSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C[C@H]1C ZINC001082657359 688120747 /nfs/dbraw/zinc/12/07/47/688120747.db2.gz URKLICDKGHZHSY-CSOUEMRRSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2cccc(C)c2)C[C@H]1C ZINC001082769663 688126993 /nfs/dbraw/zinc/12/69/93/688126993.db2.gz ITLHVIBEIDKSDN-UKPHBRMFSA-N 0 1 320.864 3.288 20 30 DGEDMN C=CCOc1ccccc1CNCc1cnc2onc(C)c2c1 ZINC001620799438 1192082864 /nfs/dbraw/zinc/08/28/64/1192082864.db2.gz XOFAKMMCLASIIL-UHFFFAOYSA-N 0 1 309.369 3.386 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(Oc2ccc(C#N)cc2)cc1)N(C)C ZINC001583758788 1192159263 /nfs/dbraw/zinc/15/92/63/1192159263.db2.gz YXFIBPOEUNIUKL-CYBMUJFWSA-N 0 1 309.369 3.239 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(Oc2ccc(C#N)cc2)c1)N(C)C ZINC001585579733 1192270024 /nfs/dbraw/zinc/27/00/24/1192270024.db2.gz QCLQPLSLVWPALN-CYBMUJFWSA-N 0 1 309.369 3.239 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)Nc1nc2cc(Cl)cc(Cl)c2[nH]1 ZINC001586343336 1192323899 /nfs/dbraw/zinc/32/38/99/1192323899.db2.gz HOYDORITVANBKX-CYBMUJFWSA-N 0 1 314.172 3.135 20 30 DGEDMN C[C@H]1Cc2cccc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)c2O1 ZINC001587347509 1192394678 /nfs/dbraw/zinc/39/46/78/1192394678.db2.gz OQGJYSWDRLGBKL-JTQLQIEISA-N 0 1 318.336 3.010 20 30 DGEDMN Cc1nc(Cl)sc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001587347216 1192393598 /nfs/dbraw/zinc/39/35/98/1192393598.db2.gz BWUZCHOMNZCJHJ-UHFFFAOYSA-N 0 1 317.761 3.105 20 30 DGEDMN C=CCN(Cc1ccc(F)cc1)Cc1cccc(-c2nn[nH]n2)c1 ZINC001588635185 1192451638 /nfs/dbraw/zinc/45/16/38/1192451638.db2.gz FNRJGJXKKUHAJE-UHFFFAOYSA-N 0 1 323.375 3.194 20 30 DGEDMN CCCCCCC[C@H](C)CNc1ccncc1-c1nn[nH]n1 ZINC001590593173 1192529626 /nfs/dbraw/zinc/52/96/26/1192529626.db2.gz ZCBINHSMRCYDAY-ZDUSSCGKSA-N 0 1 302.426 3.092 20 30 DGEDMN C=CCOc1ccc(CN[C@H](C)c2n[nH]c(CC)n2)cc1Cl ZINC001620887430 1192537874 /nfs/dbraw/zinc/53/78/74/1192537874.db2.gz CICHPTQZCQCJER-LLVKDONJSA-N 0 1 320.824 3.436 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1CCC)C1CC1 ZINC001670544447 1176164746 /nfs/dbraw/zinc/16/47/46/1176164746.db2.gz LRDGWQWHLDLUOH-AWEZNQCLSA-N 0 1 310.825 3.083 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1cc(C)on1 ZINC001691987847 1176165281 /nfs/dbraw/zinc/16/52/81/1176165281.db2.gz RXAIGBMNEANJEV-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1sc(C)cc1C ZINC001671303531 1176197069 /nfs/dbraw/zinc/19/70/69/1176197069.db2.gz JKJYMSUJMQQWBE-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001670678166 1176214615 /nfs/dbraw/zinc/21/46/15/1176214615.db2.gz YZXYQQKHAQNUMU-MRXNPFEDSA-N 0 1 311.429 3.071 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1c(C)onc1CC ZINC001754061670 1176228149 /nfs/dbraw/zinc/22/81/49/1176228149.db2.gz SWENKAUJWVCSDA-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001754142574 1176269524 /nfs/dbraw/zinc/26/95/24/1176269524.db2.gz WRSZLUQDJSOIOM-ARJAWSKDSA-N 0 1 320.811 3.217 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1F ZINC001772541143 1176272363 /nfs/dbraw/zinc/27/23/63/1176272363.db2.gz GFSZIMWZJUWIES-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)C1CCCC1 ZINC001691798242 1176279422 /nfs/dbraw/zinc/27/94/22/1176279422.db2.gz ABERZQXLDMLKGZ-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C[C@H]1CCN1CC=C(C)C)c1ccccc1 ZINC001691074745 1176295809 /nfs/dbraw/zinc/29/58/09/1176295809.db2.gz HIDPARZCVQUMRC-RTBURBONSA-N 0 1 312.457 3.455 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CC/C=C/c1ccccc1 ZINC001691097988 1176309550 /nfs/dbraw/zinc/30/95/50/1176309550.db2.gz WVRWGFFHCPWDBM-UBIUBJKMSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001691111313 1176320396 /nfs/dbraw/zinc/32/03/96/1176320396.db2.gz DQGKAFKDELEGTH-GASCZTMLSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](C)C(C)(F)F ZINC001691370413 1176455152 /nfs/dbraw/zinc/45/51/52/1176455152.db2.gz PMNJKGRUDCADOD-WXHSDQCUSA-N 0 1 322.827 3.247 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1cccc(F)c1 ZINC001691398703 1176464165 /nfs/dbraw/zinc/46/41/65/1176464165.db2.gz HSLQWFVQVTXZAC-YJBOKZPZSA-N 0 1 318.436 3.428 20 30 DGEDMN N#Cc1ccc(CNC2CC(C#N)(c3ccccc3)C2)c(F)c1 ZINC000126752108 1176577318 /nfs/dbraw/zinc/57/73/18/1176577318.db2.gz LOQBCSJQKHKMLK-UHFFFAOYSA-N 0 1 305.356 3.411 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001725505202 1176593073 /nfs/dbraw/zinc/59/30/73/1176593073.db2.gz NJUJBLHMWHNEMX-VXGBXAGGSA-N 0 1 307.265 3.344 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H]1CC=CCC1 ZINC001691789794 1176644661 /nfs/dbraw/zinc/64/46/61/1176644661.db2.gz BFGFLYGYMJOJRF-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC1(C(=O)N(C)CCC[N@@H+](C)Cc2ocnc2C)CCC1 ZINC001755042310 1176728498 /nfs/dbraw/zinc/72/84/98/1176728498.db2.gz ZDGWFYQJPPEAHF-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2ocnc2C)CCC1 ZINC001755042310 1176728503 /nfs/dbraw/zinc/72/85/03/1176728503.db2.gz ZDGWFYQJPPEAHF-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001752425146 1176769257 /nfs/dbraw/zinc/76/92/57/1176769257.db2.gz QFMCYXSQSWLHQB-HOCLYGCPSA-N 0 1 310.869 3.312 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c(C)cccc1Cl ZINC001755197020 1176847381 /nfs/dbraw/zinc/84/73/81/1176847381.db2.gz FCJGJMKITGSYBU-HNNXBMFYSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C(CC)CC)C1 ZINC001752501785 1176861665 /nfs/dbraw/zinc/86/16/65/1176861665.db2.gz BQONEIKOPCKJEB-AWEZNQCLSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)c(F)cc1F ZINC001755240189 1176863614 /nfs/dbraw/zinc/86/36/14/1176863614.db2.gz MMRJNNBDSFBRLM-MNOVXSKESA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccc(CC(C)C)cc1 ZINC001752883037 1176996461 /nfs/dbraw/zinc/99/64/61/1176996461.db2.gz CDNNFGUQDSRFKE-OAHLLOKOSA-N 0 1 322.880 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)c1ccccc1C ZINC001671385097 1177317352 /nfs/dbraw/zinc/31/73/52/1177317352.db2.gz SABDQWYUUFMLIG-CVEARBPZSA-N 0 1 320.864 3.432 20 30 DGEDMN Cc1cc(C[N@@H+](C)CCc2cccc(C#N)c2)ccc1C(=O)[O-] ZINC001600189855 1192802308 /nfs/dbraw/zinc/80/23/08/1192802308.db2.gz JVHHNHTUSAMCEK-UHFFFAOYSA-N 0 1 308.381 3.239 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001483698526 1177410389 /nfs/dbraw/zinc/41/03/89/1177410389.db2.gz QOAALAPPOTXBOS-SJLPKXTDSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C(C)(C)c1ccc(CC)cc1 ZINC001752910062 1177437763 /nfs/dbraw/zinc/43/77/63/1177437763.db2.gz BFDREDPEDNQTHW-AWEZNQCLSA-N 0 1 322.880 3.373 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001752912981 1177438369 /nfs/dbraw/zinc/43/83/69/1177438369.db2.gz GVKHSAGBRAZSKZ-ZDUSSCGKSA-N 0 1 317.820 3.142 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](CC)Cc1ccccc1 ZINC001691665797 1177618369 /nfs/dbraw/zinc/61/83/69/1177618369.db2.gz LGCODNZNNGDGRE-RBUKOAKNSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCCc2ccccc21 ZINC001691674872 1177673303 /nfs/dbraw/zinc/67/33/03/1177673303.db2.gz NTOQSMVWPJOOEQ-ROUUACIJSA-N 0 1 312.457 3.215 20 30 DGEDMN C#CC[C@H](CC(=O)N1CCN(CC2CCC2)CC1)c1ccccc1 ZINC001113736852 1177729359 /nfs/dbraw/zinc/72/93/59/1177729359.db2.gz RYCZEAYNLXDGNK-HXUWFJFHSA-N 0 1 324.468 3.128 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1coc(C)n1 ZINC001691718019 1177882326 /nfs/dbraw/zinc/88/23/26/1177882326.db2.gz PYQHXYMZVLNXGQ-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)/C=C/c2ccc[nH]2)C1 ZINC001671120876 1178015464 /nfs/dbraw/zinc/01/54/64/1178015464.db2.gz YDVDXBKKUQMNJF-ZHACJKMWSA-N 0 1 303.450 3.141 20 30 DGEDMN Cc1cc(C#N)cc(O[C@H]2COCCN(Cc3ccccc3)C2)c1 ZINC001227838886 1178076173 /nfs/dbraw/zinc/07/61/73/1178076173.db2.gz SWCVIGOKGIMXLJ-HXUWFJFHSA-N 0 1 322.408 3.147 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCc3ccc(Cl)cc3C2)CCC1 ZINC001331254743 1178165452 /nfs/dbraw/zinc/16/54/52/1178165452.db2.gz PKKPRAIZZUXEFQ-MRXNPFEDSA-N 0 1 304.821 3.143 20 30 DGEDMN C=C1CCC(C(=O)Nc2ccc(N3CCN(C)CC3)cc2)CC1 ZINC001331271889 1178171109 /nfs/dbraw/zinc/17/11/09/1178171109.db2.gz SNTHYAHUGHCKIF-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1cc(Cl)ccc1F ZINC001331443326 1178214858 /nfs/dbraw/zinc/21/48/58/1178214858.db2.gz SRLTZUHNOBDHJN-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C)c(F)c1F ZINC001331566177 1178249098 /nfs/dbraw/zinc/24/90/98/1178249098.db2.gz UTGNOVWRCCKWMH-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@H]1C ZINC001331918770 1178307568 /nfs/dbraw/zinc/30/75/68/1178307568.db2.gz LKESOAJWFATIMZ-RIPAZEOFSA-N 0 1 323.440 3.160 20 30 DGEDMN C#CCCCCCC(=O)N[C@]12CCC[C@@H]1N(C/C=C\Cl)CC2 ZINC001332101277 1178359006 /nfs/dbraw/zinc/35/90/06/1178359006.db2.gz SSTDVGQKSMOJKL-BVFKNCTCSA-N 0 1 322.880 3.436 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccsc2)[C@H]1C ZINC001332179227 1178382516 /nfs/dbraw/zinc/38/25/16/1178382516.db2.gz UGTBEMLRRSVMQK-RDJZCZTQSA-N 0 1 318.486 3.411 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)[C@H]1C ZINC001332179227 1178382524 /nfs/dbraw/zinc/38/25/24/1178382524.db2.gz UGTBEMLRRSVMQK-RDJZCZTQSA-N 0 1 318.486 3.411 20 30 DGEDMN CC(C)C(CC1CN(C(=O)OC(C)(C)C)C1)=Nn1cccn1 ZINC001333641660 1178643805 /nfs/dbraw/zinc/64/38/05/1178643805.db2.gz CLWOYEAOUCRDEX-UHFFFAOYSA-N 0 1 306.410 3.000 20 30 DGEDMN N#CC1(C[NH2+]Cc2[nH]cc(C(=O)[O-])c2C2CC2)CCCCC1 ZINC001601056204 1192914469 /nfs/dbraw/zinc/91/44/69/1192914469.db2.gz TUCMCGZMYJWDDB-UHFFFAOYSA-N 0 1 301.390 3.154 20 30 DGEDMN N#Cc1cc(C[N@@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)ccc1F ZINC001601088163 1192917334 /nfs/dbraw/zinc/91/73/34/1192917334.db2.gz PNWRRGNNFXALBP-ROUUACIJSA-N 0 1 324.355 3.488 20 30 DGEDMN N#Cc1cc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)ccc1F ZINC001601088163 1192917335 /nfs/dbraw/zinc/91/73/35/1192917335.db2.gz PNWRRGNNFXALBP-ROUUACIJSA-N 0 1 324.355 3.488 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@H]21 ZINC001601088705 1192917449 /nfs/dbraw/zinc/91/74/49/1192917449.db2.gz DVHHIYODFNFEBQ-IXDOHACOSA-N 0 1 316.376 3.163 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@@H]2CC(=O)[O-])c(C(F)(F)F)c1 ZINC001601100984 1192918429 /nfs/dbraw/zinc/91/84/29/1192918429.db2.gz UJZCTJSCBAMVFQ-GFCCVEGCSA-N 0 1 312.291 3.016 20 30 DGEDMN N#Cc1ccc(C2=CC[N@@H+](Cc3ccoc3C(=O)[O-])CC2)cc1 ZINC001601097977 1192918668 /nfs/dbraw/zinc/91/86/68/1192918668.db2.gz RDHHEKICZZRKOF-UHFFFAOYSA-N 0 1 308.337 3.139 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Cc3ccn(C(C)C)n3)[nH]c21 ZINC001341787031 1179062324 /nfs/dbraw/zinc/06/23/24/1179062324.db2.gz SDQLYQSGJPNJRK-AWEZNQCLSA-N 0 1 321.384 3.068 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)c2ccc(F)c(F)c2)nc(C)n1 ZINC001341899476 1179079272 /nfs/dbraw/zinc/07/92/72/1179079272.db2.gz SEPIOQOKLJNLPF-GWCFXTLKSA-N 0 1 315.323 3.352 20 30 DGEDMN N#CC(C(=O)c1sccc1OC(F)F)c1cccc(F)n1 ZINC001342558875 1179153509 /nfs/dbraw/zinc/15/35/09/1179153509.db2.gz QXSACBMQAGVDRU-SSDOTTSWSA-N 0 1 312.272 3.374 20 30 DGEDMN N#C[C@@H](C(=O)c1sccc1OC(F)F)c1cccc(F)n1 ZINC001342558875 1179153527 /nfs/dbraw/zinc/15/35/27/1179153527.db2.gz QXSACBMQAGVDRU-SSDOTTSWSA-N 0 1 312.272 3.374 20 30 DGEDMN N#CC(C(=O)C[C@@H]1OCCc2ccccc21)c1cccc(F)n1 ZINC001342560272 1179153677 /nfs/dbraw/zinc/15/36/77/1179153677.db2.gz FOBIFTRSIBRSNE-PBHICJAKSA-N 0 1 310.328 3.101 20 30 DGEDMN C=C[C@H](COC)NCC1=Cc2cc(Br)ccc2OC1 ZINC001342727592 1179178739 /nfs/dbraw/zinc/17/87/39/1179178739.db2.gz JJTISBKWUZRZFU-CQSZACIVSA-N 0 1 324.218 3.016 20 30 DGEDMN N#CCOc1cccc(CNCc2nccc3c2CCCC3)c1 ZINC001342778488 1179187409 /nfs/dbraw/zinc/18/74/09/1179187409.db2.gz JBPOGXDYBNWAGA-UHFFFAOYSA-N 0 1 307.397 3.153 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CCC)CC1CC1 ZINC001342981232 1179219151 /nfs/dbraw/zinc/21/91/51/1179219151.db2.gz YLQCRGJIBPVCBH-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1coc([C@@H]2CCCN2)n1 ZINC001343263188 1179249405 /nfs/dbraw/zinc/24/94/05/1179249405.db2.gz WUTZZWWTHKTEHJ-HNNXBMFYSA-N 0 1 317.433 3.308 20 30 DGEDMN Cc1ccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)cc1F ZINC001343274737 1179250699 /nfs/dbraw/zinc/25/06/99/1179250699.db2.gz XZBBEWOXXUJVAJ-CYBMUJFWSA-N 0 1 320.327 3.150 20 30 DGEDMN C[C@@H]1CO[C@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)C1 ZINC001343415667 1179270162 /nfs/dbraw/zinc/27/01/62/1179270162.db2.gz IGRSRYYKPBTGOZ-XRNSZHNASA-N 0 1 304.346 3.037 20 30 DGEDMN Cn1ccc(NN=Cc2cc(Br)ccc2Cl)n1 ZINC001343529951 1179292711 /nfs/dbraw/zinc/29/27/11/1179292711.db2.gz UHNSUVKDCGNTBG-UHFFFAOYSA-N 0 1 313.586 3.282 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2cccc(F)c2)CCC1 ZINC001343842480 1179337006 /nfs/dbraw/zinc/33/70/06/1179337006.db2.gz MVNZZVZBKXBHEW-HNNXBMFYSA-N 0 1 311.360 3.148 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)[nH]c21 ZINC001343978580 1179358527 /nfs/dbraw/zinc/35/85/27/1179358527.db2.gz FCHCXCOFNWRELF-GXQKSWCCSA-N 0 1 305.381 3.340 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001344321969 1179412182 /nfs/dbraw/zinc/41/21/82/1179412182.db2.gz FMCCHNBCKIYYCH-AHIWAGSCSA-N 0 1 313.788 3.444 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccncc1Cl ZINC001345242393 1179548315 /nfs/dbraw/zinc/54/83/15/1179548315.db2.gz HSYJVFRARDGVTF-LBPRGKRZSA-N 0 1 301.777 3.488 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1csc(C(C)(C)C)n1 ZINC001345645876 1179586551 /nfs/dbraw/zinc/58/65/51/1179586551.db2.gz AUVKMUBUXRQJQE-GFCCVEGCSA-N 0 1 316.430 3.076 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(P(C)(C)=O)cc2)n1 ZINC001345951146 1179628040 /nfs/dbraw/zinc/62/80/40/1179628040.db2.gz FNJUHOSUFRHYJF-CYBMUJFWSA-N 0 1 318.338 3.190 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)C1CCCC1 ZINC001346627459 1179727683 /nfs/dbraw/zinc/72/76/83/1179727683.db2.gz NPODXNCEYGPYJY-UHFFFAOYSA-N 0 1 314.437 3.322 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2ccc(Cl)cc2)nc1 ZINC001346874781 1179758025 /nfs/dbraw/zinc/75/80/25/1179758025.db2.gz AZCIKADCDSQTNJ-UHFFFAOYSA-N 0 1 323.787 3.287 20 30 DGEDMN CCc1ccc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)cc1 ZINC001347063333 1179773796 /nfs/dbraw/zinc/77/37/96/1179773796.db2.gz IZCHFMKPYXQVRN-OAHLLOKOSA-N 0 1 316.364 3.264 20 30 DGEDMN C#CCN1CCC(OC(=O)C2(CCc3ccccc3)CC2)CC1 ZINC001347391942 1179802420 /nfs/dbraw/zinc/80/24/20/1179802420.db2.gz NRKVOMNYEXZMGX-UHFFFAOYSA-N 0 1 311.425 3.040 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C(C)(C)c1ccccc1 ZINC001349703129 1179937249 /nfs/dbraw/zinc/93/72/49/1179937249.db2.gz VYDZEOWZYDFKMC-GFCCVEGCSA-N 0 1 301.777 3.228 20 30 DGEDMN C#C[C@@H](C)[N@@H+](C)Cc1ccccc1OCc1ccc(C(=O)[O-])cc1 ZINC001602670218 1192994058 /nfs/dbraw/zinc/99/40/58/1192994058.db2.gz QOZFYQFLWUUKRZ-OAHLLOKOSA-N 0 1 323.392 3.417 20 30 DGEDMN C=C(C)[C@H]([NH2+]Cc1ccc(C(=O)[O-])cn1)c1ccc(F)c(F)c1 ZINC001602747390 1192996303 /nfs/dbraw/zinc/99/63/03/1192996303.db2.gz QEOYTPJKTDHDEU-INIZCTEOSA-N 0 1 318.323 3.465 20 30 DGEDMN CCCCCCCCCCC(=O)N[C@H]1CC[N@@H+](CC)C[C@H]1O ZINC001100083184 1180366019 /nfs/dbraw/zinc/36/60/19/1180366019.db2.gz OIQPGCLSWYTFDQ-DLBZAZTESA-N 0 1 312.498 3.089 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1scnc1C ZINC001480323651 1180367547 /nfs/dbraw/zinc/36/75/47/1180367547.db2.gz KTUDMGJZLPTRIX-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN CCCCCCCCCCNC(=S)N1CCN2CC[C@H]2C1 ZINC001200216918 1180457228 /nfs/dbraw/zinc/45/72/28/1180457228.db2.gz LVBZPMUENGJBKS-INIZCTEOSA-N 0 1 311.539 3.392 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001300477299 1180702647 /nfs/dbraw/zinc/70/26/47/1180702647.db2.gz FWALGZGFYUMIBH-OAHLLOKOSA-N 0 1 302.418 3.304 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc2oc(=O)oc2c1 ZINC001200544377 1180710433 /nfs/dbraw/zinc/71/04/33/1180710433.db2.gz FVFZAYXKAHYKGU-UHFFFAOYSA-N 0 1 311.337 3.241 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100560693 1180790653 /nfs/dbraw/zinc/79/06/53/1180790653.db2.gz MPTIRKALRZEPEI-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)Nc2cc(F)cc(F)c2)c1 ZINC001200669125 1180797485 /nfs/dbraw/zinc/79/74/85/1180797485.db2.gz JMGYYDRKTNCVCH-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)Nc2ccc(F)c(F)c2)c1 ZINC001200697212 1180828670 /nfs/dbraw/zinc/82/86/70/1180828670.db2.gz AXUBFOWIRRSXJW-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CC(NCc2ncc(C)s2)C1 ZINC001100644693 1180886399 /nfs/dbraw/zinc/88/63/99/1180886399.db2.gz CPOIAJWRTFDYGK-MQBCKMQZSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)CN(C)CCc1ccccc1 ZINC001300737856 1180921549 /nfs/dbraw/zinc/92/15/49/1180921549.db2.gz RZMWVVFNVVZVQE-MOPGFXCFSA-N 0 1 314.473 3.412 20 30 DGEDMN C[C@@H]([NH2+]Cc1cccc(C(=O)[O-])c1C#N)c1cc2cnccc2o1 ZINC001604346323 1193067311 /nfs/dbraw/zinc/06/73/11/1193067311.db2.gz CYAOEWACQAQBRJ-LLVKDONJSA-N 0 1 321.336 3.248 20 30 DGEDMN Cn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1-c1ccccc1 ZINC001357608143 1181412619 /nfs/dbraw/zinc/41/26/19/1181412619.db2.gz CLJUCDIORLSNRF-CYBMUJFWSA-N 0 1 320.327 3.111 20 30 DGEDMN N#C[C@H]1CC[C@H](OC(=O)c2cccc3nc(C(F)F)[nH]c32)C1 ZINC001358087019 1181454689 /nfs/dbraw/zinc/45/46/89/1181454689.db2.gz FHEXYRMOMGBZJY-IUCAKERBSA-N 0 1 305.284 3.350 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1cc(C#N)ccc1OC)CC1CC1 ZINC001604890711 1193099455 /nfs/dbraw/zinc/09/94/55/1193099455.db2.gz ZJBVZZHTVJPUQP-SFHVURJKSA-N 0 1 316.401 3.032 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1[nH]c(CCCC)nc1C(=O)OCC ZINC001435887648 1181852733 /nfs/dbraw/zinc/85/27/33/1181852733.db2.gz UZRVEWKEROPHEW-GFCCVEGCSA-N 0 1 321.421 3.470 20 30 DGEDMN C=C[C@](C)(O)CC(=O)Nc1ccc2nc(C(C)(C)C)[nH]c2c1 ZINC001442616170 1182087151 /nfs/dbraw/zinc/08/71/51/1182087151.db2.gz RLRYVJIUSQDXFG-KRWDZBQOSA-N 0 1 301.390 3.126 20 30 DGEDMN Cc1cc(CCC(=O)NC2(C#N)CCC(C(C)(C)C)CC2)n[nH]1 ZINC001448116482 1182202444 /nfs/dbraw/zinc/20/24/44/1182202444.db2.gz HBDGFFQLRBOARD-UHFFFAOYSA-N 0 1 316.449 3.266 20 30 DGEDMN C[N@H+]1CCN(C(=O)CC2(C#N)CCCCC2)CC12CCCCC2 ZINC001449890620 1182235666 /nfs/dbraw/zinc/23/56/66/1182235666.db2.gz FPEZIWCFYQEXOQ-UHFFFAOYSA-N 0 1 317.477 3.327 20 30 DGEDMN N#Cc1cccc2[nH]cc(C(=O)Nc3cc(F)cc(F)c3O)c21 ZINC001451748601 1182274402 /nfs/dbraw/zinc/27/44/02/1182274402.db2.gz DPFSVJANBZZAKZ-UHFFFAOYSA-N 0 1 313.263 3.276 20 30 DGEDMN C#CCN1CCC(NC(=O)C2=C(c3ccccc3)CCCC2)CC1 ZINC001455947329 1182389627 /nfs/dbraw/zinc/38/96/27/1182389627.db2.gz RMTIROFVNUAPKJ-UHFFFAOYSA-N 0 1 322.452 3.228 20 30 DGEDMN CCCC1(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CCC1 ZINC001458038918 1182491094 /nfs/dbraw/zinc/49/10/94/1182491094.db2.gz AQSCAAXLVKMPJK-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN Cc1cc(Nc2cnn(-c3ccncc3)c2)c(C#N)cc1[N+](=O)[O-] ZINC001458155761 1182500825 /nfs/dbraw/zinc/50/08/25/1182500825.db2.gz FFFIBOXVNPQWCI-UHFFFAOYSA-N 0 1 320.312 3.099 20 30 DGEDMN FC(F)(F)c1cnccc1NCCOC(F)(F)C(F)(F)F ZINC001458657066 1182546782 /nfs/dbraw/zinc/54/67/82/1182546782.db2.gz SJKWHCRIRYLVBF-UHFFFAOYSA-N 0 1 324.171 3.106 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@H](c3ccncn3)C2)c1 ZINC001459336556 1182581396 /nfs/dbraw/zinc/58/13/96/1182581396.db2.gz RPVXNGYNCWAEFH-HNNXBMFYSA-N 0 1 312.804 3.381 20 30 DGEDMN CCN(CCCN(C(=O)OC(C)(C)C)C1CC1)C[C@H](C)C#N ZINC001459398074 1182585362 /nfs/dbraw/zinc/58/53/62/1182585362.db2.gz XWKFALCQVJVELX-CQSZACIVSA-N 0 1 309.454 3.258 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccn1)c1ccc(NC(=O)C2CC2)cc1 ZINC001459830593 1182621309 /nfs/dbraw/zinc/62/13/09/1182621309.db2.gz LMOJQGFBPQPJNI-CYBMUJFWSA-N 0 1 320.396 3.153 20 30 DGEDMN C#CCCCCCCN1CCO[C@H](COc2ccccc2)C1 ZINC001459940529 1182631543 /nfs/dbraw/zinc/63/15/43/1182631543.db2.gz DQXYVCLMTWHOBK-IBGZPJMESA-N 0 1 301.430 3.350 20 30 DGEDMN C#CCOCCN(C)[C@@H]1CCCc2c(OC)ccc(Cl)c21 ZINC001460347783 1182680089 /nfs/dbraw/zinc/68/00/89/1182680089.db2.gz ZHLWAWOAYJFPPM-OAHLLOKOSA-N 0 1 307.821 3.308 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1ccc(F)cc1[N+](=O)[O-] ZINC001460667164 1182706907 /nfs/dbraw/zinc/70/69/07/1182706907.db2.gz WOXOBLHEHRMDRH-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN C=C(CC)CN1C[C@H](C)O[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001460727849 1182713423 /nfs/dbraw/zinc/71/34/23/1182713423.db2.gz SADZPKMRZUYVQR-MAUKXSAKSA-N 0 1 324.465 3.053 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCC(CN=S(C)(C)=O)CC1 ZINC001460804514 1182724038 /nfs/dbraw/zinc/72/40/38/1182724038.db2.gz GQQVOLNLGKFOLS-AWEZNQCLSA-N 0 1 319.474 3.059 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1nc2ccccc2n1C ZINC001461155713 1182775485 /nfs/dbraw/zinc/77/54/85/1182775485.db2.gz JSKSRMYEYZZCSR-UHFFFAOYSA-N 0 1 304.397 3.352 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC001461303024 1182793318 /nfs/dbraw/zinc/79/33/18/1182793318.db2.gz ZTBBHRDWHKBWFY-BBWFWOEESA-N 0 1 300.446 3.463 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC001461303034 1182793628 /nfs/dbraw/zinc/79/36/28/1182793628.db2.gz ZTBBHRDWHKBWFY-ULQDDVLXSA-N 0 1 300.446 3.463 20 30 DGEDMN COc1cc(C)cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1C ZINC001461920428 1182876049 /nfs/dbraw/zinc/87/60/49/1182876049.db2.gz NBVPVXNRQLJKIP-UHFFFAOYSA-N 0 1 320.352 3.312 20 30 DGEDMN Cc1cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc(C)c1C#N ZINC001461919138 1182876972 /nfs/dbraw/zinc/87/69/72/1182876972.db2.gz GUQLPJPYQAZTLD-UHFFFAOYSA-N 0 1 315.336 3.175 20 30 DGEDMN C=C[C@@H]1CCCCN1Cc1cc(C(=O)OC)c2n1CCCC2 ZINC001462162433 1182916771 /nfs/dbraw/zinc/91/67/71/1182916771.db2.gz LCDQLTUXKITULM-CQSZACIVSA-N 0 1 302.418 3.152 20 30 DGEDMN C#C[C@H](CC)NCc1cc(OC)c(Br)cc1F ZINC001462219727 1182924518 /nfs/dbraw/zinc/92/45/18/1182924518.db2.gz ITHTYACFVZRCLS-SNVBAGLBSA-N 0 1 300.171 3.098 20 30 DGEDMN C=CCCC[C@H](C(=O)NC[C@@H]1CCN1CC)c1ccccc1 ZINC001462434340 1182952175 /nfs/dbraw/zinc/95/21/75/1182952175.db2.gz BJVFMEDDLLNXFJ-ROUUACIJSA-N 0 1 300.446 3.337 20 30 DGEDMN C[N@@H+](CC1(c2ccccc2)CC1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001606096088 1193190886 /nfs/dbraw/zinc/19/08/86/1193190886.db2.gz ORWMLPXVANVPIV-GOSISDBHSA-N 0 1 320.392 3.348 20 30 DGEDMN COC(=O)/C=C/c1ccc(CN[C@H](C)c2cccc(C#N)c2)o1 ZINC001462581488 1182971653 /nfs/dbraw/zinc/97/16/53/1182971653.db2.gz ALCJOCAVZAVXBO-MMQHEFTJSA-N 0 1 310.353 3.188 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)CCCCC1 ZINC001462749161 1182991653 /nfs/dbraw/zinc/99/16/53/1182991653.db2.gz OPCDSUDUIJWQOF-HZPDHXFCSA-N 0 1 322.518 3.209 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)c(F)c1 ZINC001466606198 1183213370 /nfs/dbraw/zinc/21/33/70/1183213370.db2.gz UOPYBNQGPQNCKW-UHFFFAOYSA-N 0 1 321.355 3.428 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)Nc1ccccc1Nc1ccncc1 ZINC001467663057 1183277639 /nfs/dbraw/zinc/27/76/39/1183277639.db2.gz KDTZILBKHXWRKZ-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN C=CCC[C@H](NC(=O)NC[C@H]1CCN1CC)c1ccccc1 ZINC001469470273 1183411445 /nfs/dbraw/zinc/41/14/45/1183411445.db2.gz XCNKEIDTTYSOGE-SJORKVTESA-N 0 1 301.434 3.087 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(C(C)(C)C)CC1 ZINC001470785769 1183513331 /nfs/dbraw/zinc/51/33/31/1183513331.db2.gz DCNIUCMNPYTQIY-HZPDHXFCSA-N 0 1 307.482 3.247 20 30 DGEDMN C=C1CCC(CNC(=O)N2CCN(C)C[C@H]2CC(C)C)CC1 ZINC001471287977 1183552899 /nfs/dbraw/zinc/55/28/99/1183552899.db2.gz NROJRHBNICTSTE-QGZVFWFLSA-N 0 1 307.482 3.105 20 30 DGEDMN C#Cc1ccc(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)c(Cl)c1 ZINC001472192017 1183623318 /nfs/dbraw/zinc/62/33/18/1183623318.db2.gz QPUGTGRECDMYEU-UHFFFAOYSA-N 0 1 316.700 3.187 20 30 DGEDMN C#Cc1ccc(NC(=O)c2ccc(CN(C)C)nc2)c(Cl)c1 ZINC001472192262 1183623560 /nfs/dbraw/zinc/62/35/60/1183623560.db2.gz WZJLRSGEHPDZBK-UHFFFAOYSA-N 0 1 313.788 3.030 20 30 DGEDMN CCCCN(O)C(=O)c1cc(-c2ccccc2OCC)[nH]n1 ZINC001472620777 1183657312 /nfs/dbraw/zinc/65/73/12/1183657312.db2.gz QSMMMLQADCODLG-UHFFFAOYSA-N 0 1 303.362 3.107 20 30 DGEDMN N#Cc1ccc(F)cc1NC1CCN(Cc2ccncc2)CC1 ZINC001472670525 1183664009 /nfs/dbraw/zinc/66/40/09/1183664009.db2.gz QCVLODGJSZDFFL-UHFFFAOYSA-N 0 1 310.376 3.169 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@H]1CCC[C@]2(CCOC2)O1 ZINC001473203566 1183729110 /nfs/dbraw/zinc/72/91/10/1183729110.db2.gz FRSIVQIMFOTUOB-QZTJIDSGSA-N 0 1 308.466 3.366 20 30 DGEDMN COc1ccc(C[C@@H]2CCN2Cc2cc(C#N)ccc2OC)cc1 ZINC001473218426 1183731742 /nfs/dbraw/zinc/73/17/42/1183731742.db2.gz IQCKGUDZOPASED-SFHVURJKSA-N 0 1 322.408 3.392 20 30 DGEDMN COc1ccc(C[C@@H]2CCN2Cc2ccc(C#N)cc2OC)cc1 ZINC001473219208 1183732024 /nfs/dbraw/zinc/73/20/24/1183732024.db2.gz QNWZUBDOMDYJRU-SFHVURJKSA-N 0 1 322.408 3.392 20 30 DGEDMN C[C@@](CO)(NCc1cc(Cl)cc(C#N)c1)c1ccccc1 ZINC001473392889 1183751185 /nfs/dbraw/zinc/75/11/85/1183751185.db2.gz MSZQPHFKYUIZFV-KRWDZBQOSA-N 0 1 300.789 3.209 20 30 DGEDMN CN(CCc1ccc2c(c1)OC(F)(F)O2)[C@@H]1CCC[C@@H]1C#N ZINC001473707031 1183791430 /nfs/dbraw/zinc/79/14/30/1183791430.db2.gz HNMWUELNQWXUOS-CHWSQXEVSA-N 0 1 308.328 3.175 20 30 DGEDMN C[C@@H]1CC[C@](CO)(NCc2ccc(C#N)s2)c2ccccc21 ZINC001473885767 1183819280 /nfs/dbraw/zinc/81/92/80/1183819280.db2.gz OSBHLQCALMSZDM-FZKQIMNGSA-N 0 1 312.438 3.494 20 30 DGEDMN N#CCCc1ccc(NCc2ccnn2-c2ccncc2)cc1 ZINC001474273488 1183893666 /nfs/dbraw/zinc/89/36/66/1183893666.db2.gz CUPDMQJVHOSLGT-UHFFFAOYSA-N 0 1 303.369 3.336 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2cccc(CC3CCC3)c2)C1=O ZINC001474476943 1183928388 /nfs/dbraw/zinc/92/83/88/1183928388.db2.gz LHRGSNACVNIDMB-LJQANCHMSA-N 0 1 312.457 3.248 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2-c2ccc(F)cc2)cc1F ZINC001474579575 1183943449 /nfs/dbraw/zinc/94/34/49/1183943449.db2.gz IWCWJMAUSUMGRP-UHFFFAOYSA-N 0 1 324.334 3.312 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccc(F)cc1 ZINC001479269808 1184223656 /nfs/dbraw/zinc/22/36/56/1184223656.db2.gz BFAZODMAOKQFEK-YOEHRIQHSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc(F)c1F ZINC001479852397 1184349995 /nfs/dbraw/zinc/34/99/95/1184349995.db2.gz HLRZEFUQOMCYRA-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001479950770 1184385815 /nfs/dbraw/zinc/38/58/15/1184385815.db2.gz JGDBUWOODMXRQX-ADYPVZHUSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(Cc3ccsc3)C2)CCC1 ZINC001479962974 1184394877 /nfs/dbraw/zinc/39/48/77/1184394877.db2.gz IAQZYOAMCHVAKV-OAHLLOKOSA-N 0 1 304.459 3.185 20 30 DGEDMN C=CCCC(=O)NC[C@H](N[C@H](C)c1c(F)cccc1F)C1CC1 ZINC001480010093 1184410103 /nfs/dbraw/zinc/41/01/03/1184410103.db2.gz VRVTWUNLGOGHBJ-WBMJQRKESA-N 0 1 322.399 3.476 20 30 DGEDMN C=C[C@H](C(=O)NC1C[C@H]2CC[C@@H](C1)N2CCF)c1ccccc1 ZINC001480183311 1184453785 /nfs/dbraw/zinc/45/37/85/1184453785.db2.gz PNDFTBSASLIZOE-FBVQUNGASA-N 0 1 316.420 3.037 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN(Cc2cnc(C)s2)C1 ZINC001480254096 1184473019 /nfs/dbraw/zinc/47/30/19/1184473019.db2.gz SWHXTWHKAAHOJH-HNNXBMFYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CNCc1conc1C ZINC001480357945 1184504846 /nfs/dbraw/zinc/50/48/46/1184504846.db2.gz IMXUIAZBTAPQFE-RDJZCZTQSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2conc2C)C1 ZINC001480379869 1184514860 /nfs/dbraw/zinc/51/48/60/1184514860.db2.gz MZILALSCAROESF-HOCLYGCPSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001480407017 1184524834 /nfs/dbraw/zinc/52/48/34/1184524834.db2.gz MLKYXYWHGPXOCN-QQFBHYJXSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1cc(F)ccc1Cl ZINC001480528395 1184542980 /nfs/dbraw/zinc/54/29/80/1184542980.db2.gz OQFOXCZXPYKVGC-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc2ccsc21 ZINC001480564849 1184549024 /nfs/dbraw/zinc/54/90/24/1184549024.db2.gz DGHVQQPLXVJUSL-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CCC)c1ccccc1 ZINC001480585874 1184553659 /nfs/dbraw/zinc/55/36/59/1184553659.db2.gz PEUSPKYUPKJMHY-GDBMZVCRSA-N 0 1 308.853 3.417 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)[C@@H]2CC2(C)C)C1 ZINC001480723360 1184588438 /nfs/dbraw/zinc/58/84/38/1184588438.db2.gz VZDHVLOBRNVYBB-OALUTQOASA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2ncc(C)o2)CCCC1 ZINC001480815976 1184617231 /nfs/dbraw/zinc/61/72/31/1184617231.db2.gz ODWXRXURPLKQNL-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@H](CC)c1ccccc1 ZINC001493042311 1184638486 /nfs/dbraw/zinc/63/84/86/1184638486.db2.gz LHMFTZHDDTYPOL-KRWDZBQOSA-N 0 1 300.446 3.032 20 30 DGEDMN O=C([O-])CC[N@@H+](CC#Cc1ccc(F)cc1)Cc1ccccc1 ZINC001608720785 1193301774 /nfs/dbraw/zinc/30/17/74/1193301774.db2.gz DYWWTDJMJUXJGM-UHFFFAOYSA-N 0 1 311.356 3.154 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001493128145 1184654611 /nfs/dbraw/zinc/65/46/11/1184654611.db2.gz DHIMGWHOWONSFQ-STQMWFEESA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C2CCC2)cc1)C1CC1 ZINC001493374915 1184697578 /nfs/dbraw/zinc/69/75/78/1184697578.db2.gz AJSCPUCMPMAINL-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(O[C@@H](C)CC)cc1 ZINC001493755074 1184734945 /nfs/dbraw/zinc/73/49/45/1184734945.db2.gz LFCHVHAYGPASBH-AWEZNQCLSA-N 0 1 324.852 3.278 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1c[nH]cc1C)c1ccc(C(C)C)cc1 ZINC001493877622 1184756053 /nfs/dbraw/zinc/75/60/53/1184756053.db2.gz DSZOLCNZTZDDHI-IBGZPJMESA-N 0 1 323.440 3.140 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CCC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001493974848 1184782836 /nfs/dbraw/zinc/78/28/36/1184782836.db2.gz UUXKJIKOSUYJPI-ZDUSSCGKSA-N 0 1 324.371 3.167 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001493974848 1184782843 /nfs/dbraw/zinc/78/28/43/1184782843.db2.gz UUXKJIKOSUYJPI-ZDUSSCGKSA-N 0 1 324.371 3.167 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001493998136 1184788414 /nfs/dbraw/zinc/78/84/14/1184788414.db2.gz UNZGSYWWZDSVCF-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2c(C)cccc2C)C1 ZINC001494064082 1184801824 /nfs/dbraw/zinc/80/18/24/1184801824.db2.gz ZPGHNHYDHVRNDE-MRXNPFEDSA-N 0 1 320.864 3.498 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CCCC23CC3)C1 ZINC001494144821 1184817614 /nfs/dbraw/zinc/81/76/14/1184817614.db2.gz GLQCCWVXCBGHMU-GJZGRUSLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC[C@@H](C)CC)C1 ZINC001494168128 1184822309 /nfs/dbraw/zinc/82/23/09/1184822309.db2.gz YXNPQSDAQPNGAM-ZFWWWQNUSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001494330791 1184856657 /nfs/dbraw/zinc/85/66/57/1184856657.db2.gz OVRUSXBQNUVBPU-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(Cc2csc(C)c2)C1 ZINC001494577243 1184888995 /nfs/dbraw/zinc/88/89/95/1184888995.db2.gz LSONMPAHMGTNDC-UHFFFAOYSA-N 0 1 306.475 3.207 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001494590614 1184891027 /nfs/dbraw/zinc/89/10/27/1184891027.db2.gz MHZJLCGPLQQZDD-IBGZPJMESA-N 0 1 318.436 3.019 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC001494696763 1184907286 /nfs/dbraw/zinc/90/72/86/1184907286.db2.gz ULRUTUHDKJWNDA-INIZCTEOSA-N 0 1 306.837 3.305 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001494704490 1184908983 /nfs/dbraw/zinc/90/89/83/1184908983.db2.gz BLHYPVMFBISUMU-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001494826176 1184936849 /nfs/dbraw/zinc/93/68/49/1184936849.db2.gz VFGRXUMKIHBQSK-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001494845792 1184941838 /nfs/dbraw/zinc/94/18/38/1184941838.db2.gz HASIUONEHZQKCK-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccccc2C)C1 ZINC001494925899 1184964314 /nfs/dbraw/zinc/96/43/14/1184964314.db2.gz UXRMDCBRASLKGO-IAGOWNOFSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001495034648 1184982891 /nfs/dbraw/zinc/98/28/91/1184982891.db2.gz KTDHKWMFOWYMPO-ZDUSSCGKSA-N 0 1 323.799 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001495071790 1184992670 /nfs/dbraw/zinc/99/26/70/1184992670.db2.gz IFQMQNNQOUROEZ-XHSDSOJGSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc(C(C)C)n1 ZINC001495565593 1185073717 /nfs/dbraw/zinc/07/37/17/1185073717.db2.gz OZDXASKHLLDMNA-CQSZACIVSA-N 0 1 321.852 3.152 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001495869846 1185108973 /nfs/dbraw/zinc/10/89/73/1185108973.db2.gz HEVAAWRPWFFJRS-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(F)cc2ccoc21 ZINC001496097530 1185134332 /nfs/dbraw/zinc/13/43/32/1185134332.db2.gz GTQISJXCBAEBOA-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(c2ccccc2)CCC1 ZINC001496111481 1185135994 /nfs/dbraw/zinc/13/59/94/1185135994.db2.gz OGZCANIAFUDZMP-OAHLLOKOSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccccc1OC(C)C ZINC001496210040 1185148520 /nfs/dbraw/zinc/14/85/20/1185148520.db2.gz NTKXKDQMEDRNSS-AWEZNQCLSA-N 0 1 324.852 3.276 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1cnoc1C)CC(C)C ZINC001496287117 1185159772 /nfs/dbraw/zinc/15/97/72/1185159772.db2.gz ZSDRVYUYVACCRM-MRXNPFEDSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccc(C)o1 ZINC001496466504 1185193382 /nfs/dbraw/zinc/19/33/82/1185193382.db2.gz OCIRPTXLAMHZLL-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1nccs1 ZINC001496483459 1185197493 /nfs/dbraw/zinc/19/74/93/1185197493.db2.gz UOWQSLWOIZVYBL-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2CCCC2)CC1 ZINC001496493979 1185198357 /nfs/dbraw/zinc/19/83/57/1185198357.db2.gz RSDIEDSYNKBYRT-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CCC(F)F)CC1 ZINC001496505609 1185203048 /nfs/dbraw/zinc/20/30/48/1185203048.db2.gz COBRMUXIRBIJGK-UHFFFAOYSA-N 0 1 322.827 3.345 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1coc(C(F)F)c1 ZINC001497442293 1185328443 /nfs/dbraw/zinc/32/84/43/1185328443.db2.gz FSYFYUOWIVVRIF-UHFFFAOYSA-N 0 1 306.740 3.021 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cncc2sccc21 ZINC001497445001 1185334179 /nfs/dbraw/zinc/33/41/79/1185334179.db2.gz ZTIGDRKQUBRLBM-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN C=CCCC(=O)NC/C=C\CN[C@@H]1CCc2c1c(F)ccc2F ZINC001497540211 1185350019 /nfs/dbraw/zinc/35/00/19/1185350019.db2.gz HTKQCPYHIAQZRU-YIEVGGLXSA-N 0 1 320.383 3.180 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1c(C)nsc1C ZINC001497656485 1185370175 /nfs/dbraw/zinc/37/01/75/1185370175.db2.gz HZDQFQYCXHAMKZ-FPLPWBNLSA-N 0 1 321.490 3.124 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1cccc(F)c1 ZINC001498110081 1185437005 /nfs/dbraw/zinc/43/70/05/1185437005.db2.gz VJSZWXZBSWHNLA-YPMHNXCESA-N 0 1 312.816 3.166 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2ccc(F)cc2F)CC1 ZINC001498111101 1185437166 /nfs/dbraw/zinc/43/71/66/1185437166.db2.gz ZWMPZECMVQGDCS-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C[C@H]([NH2+][C@@H](C)c1ccc(C#N)c(F)c1)c1ncc(C(=O)[O-])s1 ZINC001609774177 1193354959 /nfs/dbraw/zinc/35/49/59/1193354959.db2.gz IYKMAMIGDCMLPE-IUCAKERBSA-N 0 1 319.361 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001498985101 1185586928 /nfs/dbraw/zinc/58/69/28/1185586928.db2.gz YWCYOYZIPWYGSN-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)CCc1ccc(F)cc1 ZINC001498993010 1185587418 /nfs/dbraw/zinc/58/74/18/1185587418.db2.gz WMVNEUVSGNBRDE-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1CCc1ccccc1 ZINC001499042011 1185598034 /nfs/dbraw/zinc/59/80/34/1185598034.db2.gz IAEFGVQXEPXYJK-WMZOPIPTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001499073585 1185605547 /nfs/dbraw/zinc/60/55/47/1185605547.db2.gz CTOWNNNFHRIVAF-ROUUACIJSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N[C@H](C)c2ccc(F)cc2F)CC1 ZINC001499309892 1185635254 /nfs/dbraw/zinc/63/52/54/1185635254.db2.gz CGCDMQDJLDQUOG-GFCCVEGCSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3sccc3Cl)CC2)C1 ZINC001499345431 1185640559 /nfs/dbraw/zinc/64/05/59/1185640559.db2.gz QUNJELBLSDOVNS-UHFFFAOYSA-N 0 1 324.877 3.496 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)Cc2ccc(C)o2)C1 ZINC001499655567 1185682349 /nfs/dbraw/zinc/68/23/49/1185682349.db2.gz YBWRKEWORGYAGS-UHFFFAOYSA-N 0 1 304.434 3.020 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CO[C@H]2CCCC[C@@H]2CC)C1 ZINC001499664775 1185683787 /nfs/dbraw/zinc/68/37/87/1185683787.db2.gz OJBFJROMEFZGEW-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@@H](OC)C2)C1 ZINC001499737745 1185689808 /nfs/dbraw/zinc/68/98/08/1185689808.db2.gz UGKXNZIGHQWVJV-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C#CCN1CC[C@](C)(NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001500281070 1185770497 /nfs/dbraw/zinc/77/04/97/1185770497.db2.gz RQLBKEKSKIPTEO-IBGZPJMESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccccc2F)CC1 ZINC001500409964 1185787341 /nfs/dbraw/zinc/78/73/41/1185787341.db2.gz NXNCMLWLRXIYIO-UHFFFAOYSA-N 0 1 324.827 3.410 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](CC)CC2CCCC2)C1 ZINC001500528811 1185815879 /nfs/dbraw/zinc/81/58/79/1185815879.db2.gz BTPIMFVZYZEFRE-QUCCMNQESA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001500528809 1185816872 /nfs/dbraw/zinc/81/68/72/1185816872.db2.gz BTPIMFVZYZEFRE-AZUAARDMSA-N 0 1 318.505 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C[C@H](C)CCC)C1 ZINC001500606709 1185828130 /nfs/dbraw/zinc/82/81/30/1185828130.db2.gz CQDNXSDTTSKGBG-KGLIPLIRSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CC=C)c1ccccc1 ZINC001501408434 1185900745 /nfs/dbraw/zinc/90/07/45/1185900745.db2.gz WXQFMSYZNWUONG-HSALFYBXSA-N 0 1 322.452 3.045 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)CC(C)(C)C ZINC001501408402 1185901000 /nfs/dbraw/zinc/90/10/00/1185901000.db2.gz WHTCKZVRAAMWFC-CVEARBPZSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CCNCc2nc(C)sc2C)C1 ZINC001501544370 1185908205 /nfs/dbraw/zinc/90/82/05/1185908205.db2.gz KEFXFZDXNGCKAN-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1[C@H]1CCCN(CCF)C1 ZINC001502406870 1185982523 /nfs/dbraw/zinc/98/25/23/1185982523.db2.gz VHVNAIKTONQZAY-ROUUACIJSA-N 0 1 322.468 3.243 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@H](CNC(=O)CC(C)(C)C)O2 ZINC001502427543 1185983014 /nfs/dbraw/zinc/98/30/14/1185983014.db2.gz HOHZQJPOPHHSEY-HZPDHXFCSA-N 0 1 322.493 3.127 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)CCC2CC2)CCN1CC#Cc1ccccc1 ZINC001502910216 1186027824 /nfs/dbraw/zinc/02/78/24/1186027824.db2.gz KJGZOOPENKXOTR-XLIONFOSSA-N 0 1 324.468 3.197 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)CCC2CC2)CCN1CC#Cc1ccccc1 ZINC001502910215 1186028046 /nfs/dbraw/zinc/02/80/46/1186028046.db2.gz KJGZOOPENKXOTR-PXNSSMCTSA-N 0 1 324.468 3.197 20 30 DGEDMN C#CCC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)CCCCC ZINC001503668135 1186049610 /nfs/dbraw/zinc/04/96/10/1186049610.db2.gz PVBKMEAGUIVXKL-LJQANCHMSA-N 0 1 312.457 3.306 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CCCCC ZINC001503668135 1186049615 /nfs/dbraw/zinc/04/96/15/1186049615.db2.gz PVBKMEAGUIVXKL-LJQANCHMSA-N 0 1 312.457 3.306 20 30 DGEDMN C=CCC[N@H+](CC)CC1CCN(C(=O)c2ccccc2O)CC1 ZINC001505394646 1186064169 /nfs/dbraw/zinc/06/41/69/1186064169.db2.gz KFPFSHGEHPOINH-UHFFFAOYSA-N 0 1 316.445 3.142 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1Cc2ccccc21 ZINC001505408325 1186069511 /nfs/dbraw/zinc/06/95/11/1186069511.db2.gz UDGYQSSZHASJPE-RDJZCZTQSA-N 0 1 318.848 3.050 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCCc2ccccc21 ZINC001505435517 1186078131 /nfs/dbraw/zinc/07/81/31/1186078131.db2.gz JJDIFJTWZWKTFM-ICSRJNTNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001505448323 1186084394 /nfs/dbraw/zinc/08/43/94/1186084394.db2.gz PHTUZQCPBICWTK-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC001505574298 1186106463 /nfs/dbraw/zinc/10/64/63/1186106463.db2.gz HONFGVMWUBFKBQ-RYUDHWBXSA-N 0 1 312.816 3.428 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC001505574293 1186106720 /nfs/dbraw/zinc/10/67/20/1186106720.db2.gz HONFGVMWUBFKBQ-NEPJUHHUSA-N 0 1 312.816 3.428 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1nc2ccccc2o1 ZINC001505613015 1186114262 /nfs/dbraw/zinc/11/42/62/1186114262.db2.gz CHXRVRPMFDOLOM-KGLIPLIRSA-N 0 1 315.417 3.167 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C\Cl ZINC001505612945 1186114353 /nfs/dbraw/zinc/11/43/53/1186114353.db2.gz BMDZOQLWMBIOSL-XGFGGAMFSA-N 0 1 307.265 3.391 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2ccncc21 ZINC001505724766 1186129323 /nfs/dbraw/zinc/12/93/23/1186129323.db2.gz LTDAKSGPAVBOIY-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN N#Cc1c(C[N@H+]2CCC[C@H](c3ccncc3)C2)cccc1C(=O)[O-] ZINC001610622704 1193401475 /nfs/dbraw/zinc/40/14/75/1193401475.db2.gz CJGYUCGDXGIRMG-HNNXBMFYSA-N 0 1 321.380 3.031 20 30 DGEDMN N#Cc1csc(C[N@@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)c1 ZINC001610633827 1193402237 /nfs/dbraw/zinc/40/22/37/1193402237.db2.gz AXUJSWBBNQNIEB-HOTGVXAUSA-N 0 1 312.394 3.410 20 30 DGEDMN N#Cc1csc(C[N@H+]2[C@H](C(=O)[O-])CC[C@H]2c2ccccc2)c1 ZINC001610633827 1193402238 /nfs/dbraw/zinc/40/22/38/1193402238.db2.gz AXUJSWBBNQNIEB-HOTGVXAUSA-N 0 1 312.394 3.410 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001506067449 1186192526 /nfs/dbraw/zinc/19/25/26/1186192526.db2.gz OBPBUDBXSJXHLR-YJBOKZPZSA-N 0 1 318.436 3.025 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001506537204 1186242037 /nfs/dbraw/zinc/24/20/37/1186242037.db2.gz CSACGULTWPGEFP-WCVJEAGWSA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001506682897 1186274464 /nfs/dbraw/zinc/27/44/64/1186274464.db2.gz PWQXNJUBADJHKA-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(Cc2cccnc2)CC1(C)C ZINC001506746956 1186286152 /nfs/dbraw/zinc/28/61/52/1186286152.db2.gz BWUSYZZSYIYIBY-DOTOQJQBSA-N 0 1 315.461 3.011 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C/C=C\c2ccc(C)cc2)C(C)(C)C1 ZINC001506757673 1186289643 /nfs/dbraw/zinc/28/96/43/1186289643.db2.gz UCHLTGKGQCLYCA-FQQSSWHASA-N 0 1 324.468 3.248 20 30 DGEDMN C/C=C(/C)C(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001507058553 1186351669 /nfs/dbraw/zinc/35/16/69/1186351669.db2.gz CSRCRMIHZHUBEL-AGKVCYSUSA-N 0 1 318.848 3.094 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCCc2ccccc21 ZINC001507088936 1186358313 /nfs/dbraw/zinc/35/83/13/1186358313.db2.gz MZIGHLQDVPCNGV-RHSMWYFYSA-N 0 1 320.864 3.296 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2cccc(F)c2)[C@H]1C ZINC001507379197 1186408535 /nfs/dbraw/zinc/40/85/35/1186408535.db2.gz ZUTUMSGBCUWFAH-MAUKXSAKSA-N 0 1 316.420 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](CC)CC(F)F)CC1(C)C ZINC001507434656 1186429592 /nfs/dbraw/zinc/42/95/92/1186429592.db2.gz BNCXFGTWPBOVST-NWDGAFQWSA-N 0 1 322.827 3.247 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1cccc(Cl)c1)C(C)C ZINC001507831091 1186447651 /nfs/dbraw/zinc/44/76/51/1186447651.db2.gz AWRGMDQRCZMIEA-UHFFFAOYSA-N 0 1 320.864 3.075 20 30 DGEDMN C=CCCCn1c([C@H](C)CC)nnc1N1CCN(C(C)C)CC1 ZINC001507942180 1186459726 /nfs/dbraw/zinc/45/97/26/1186459726.db2.gz BVRYXMQWDSYCIS-MRXNPFEDSA-N 0 1 319.497 3.288 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2conc2C)CC1 ZINC001508065145 1186475677 /nfs/dbraw/zinc/47/56/77/1186475677.db2.gz RJTVJZMGJYYREJ-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C(CC)(CC)CC)c(F)c1 ZINC001508240534 1186492843 /nfs/dbraw/zinc/49/28/43/1186492843.db2.gz AWLALERDESUULA-UHFFFAOYSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCCN(CC(F)(F)F)C(=O)c1ccc(Cl)c(O)c1 ZINC001611137301 1193423905 /nfs/dbraw/zinc/42/39/05/1193423905.db2.gz FDOZDSZOFLDQOI-UHFFFAOYSA-N 0 1 305.683 3.073 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(C(F)(F)F)cc2N)c1 ZINC001546334566 1186672107 /nfs/dbraw/zinc/67/21/07/1186672107.db2.gz RKVDDAUVOSOPBB-UHFFFAOYSA-N 0 1 321.258 3.117 20 30 DGEDMN N#Cc1ccc(C(=O)OC[C@@H]2CCCC[N@H+]2Cc2ccccc2)[nH]1 ZINC001514724434 1186724359 /nfs/dbraw/zinc/72/43/59/1186724359.db2.gz HYSXIYPTUVXIRH-KRWDZBQOSA-N 0 1 323.396 3.098 20 30 DGEDMN N#C[C@H](C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(F)n1 ZINC001516710504 1186790292 /nfs/dbraw/zinc/79/02/92/1186790292.db2.gz LTUQPBXDHOQSMR-JTQLQIEISA-N 0 1 319.679 3.272 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1cccc(F)n1 ZINC001516710504 1186790293 /nfs/dbraw/zinc/79/02/93/1186790293.db2.gz LTUQPBXDHOQSMR-JTQLQIEISA-N 0 1 319.679 3.272 20 30 DGEDMN Cc1ccc2[nH]c(C(=O)C(C#N)c3ccncn3)c(Cl)c2c1 ZINC001516768149 1186792395 /nfs/dbraw/zinc/79/23/95/1186792395.db2.gz QYJMYEIJCQRFLZ-NSHDSACASA-N 0 1 310.744 3.410 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1NCc1ccc2nc[nH]c2c1 ZINC001612607449 1193457445 /nfs/dbraw/zinc/45/74/45/1193457445.db2.gz RHCKMMLRFLRTJI-UHFFFAOYSA-N 0 1 317.274 3.460 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2nc(C)nc3sc(CC)cc32)C1 ZINC001612894659 1193463441 /nfs/dbraw/zinc/46/34/41/1193463441.db2.gz VVLWVPOFIIYJSR-CYBMUJFWSA-N 0 1 314.458 3.072 20 30 DGEDMN C#CCN1CCC(Nc2ncnc3ccc(C(C)C)cc32)CC1 ZINC001612939367 1193464041 /nfs/dbraw/zinc/46/40/41/1193464041.db2.gz AXOYOANNROHENW-UHFFFAOYSA-N 0 1 308.429 3.263 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2cccnc2)s1)c1cccc(F)n1 ZINC001524703144 1187262206 /nfs/dbraw/zinc/26/22/06/1187262206.db2.gz AQKLBMLRQIQLKK-NSHDSACASA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@H](C(=O)c1cnc(-c2cccnc2)s1)c1cccc(F)n1 ZINC001524703144 1187262210 /nfs/dbraw/zinc/26/22/10/1187262210.db2.gz AQKLBMLRQIQLKK-NSHDSACASA-N 0 1 324.340 3.229 20 30 DGEDMN N#CC(C(=O)Cc1ccc(Cl)c(F)c1)c1cccc(F)n1 ZINC001524739694 1187264995 /nfs/dbraw/zinc/26/49/95/1187264995.db2.gz BZLHVMJGOIGQGB-JTQLQIEISA-N 0 1 306.699 3.432 20 30 DGEDMN N#C[C@@H]1CC[C@H](OC(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC001525006353 1187289497 /nfs/dbraw/zinc/28/94/97/1187289497.db2.gz DLZUXVMPORTELO-KGLIPLIRSA-N 0 1 302.374 3.115 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1)C(C)C ZINC001525609818 1187323970 /nfs/dbraw/zinc/32/39/70/1187323970.db2.gz KMWIEUISJMPCGC-MOPGFXCFSA-N 0 1 312.457 3.124 20 30 DGEDMN N#CC(C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)=C(O)C1(c2ccccc2)CC1 ZINC001526030446 1187348423 /nfs/dbraw/zinc/34/84/23/1187348423.db2.gz BHKIQGWJGMZHIO-BONHGPHZSA-N 0 1 319.404 3.288 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1C[C@]1(F)c1ccccc1 ZINC001526587011 1187376269 /nfs/dbraw/zinc/37/62/69/1187376269.db2.gz INLNEOLTFFCOEP-WQGACYEGSA-N 0 1 317.751 3.135 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1ccc(OC)cc1 ZINC001526588762 1187376680 /nfs/dbraw/zinc/37/66/80/1187376680.db2.gz ZEYJJWAPFCFPNO-OAHLLOKOSA-N 0 1 311.385 3.066 20 30 DGEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@@H]1SCCc2ccccc21 ZINC001526593630 1187377215 /nfs/dbraw/zinc/37/72/15/1187377215.db2.gz HYJOIYJOYHFETI-GOEBONIOSA-N 0 1 311.410 3.110 20 30 DGEDMN C=CC[C@@H](C(=O)NCc1ccc2cncn2c1)c1ccccc1 ZINC001527874963 1187454424 /nfs/dbraw/zinc/45/44/24/1187454424.db2.gz KADBQPMFJJJXBS-GOSISDBHSA-N 0 1 305.381 3.310 20 30 DGEDMN C#C[C@@H](NC(=O)c1cccc(F)c1O)c1ccc(Cl)cc1F ZINC001528109843 1187469586 /nfs/dbraw/zinc/46/95/86/1187469586.db2.gz UHWUKVNWTPUIFO-CQSZACIVSA-N 0 1 321.710 3.428 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1cccc(F)c1O ZINC001531575509 1187697684 /nfs/dbraw/zinc/69/76/84/1187697684.db2.gz OILGMYFTOCCVLZ-UHFFFAOYSA-N 0 1 301.317 3.026 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001615668241 1193525826 /nfs/dbraw/zinc/52/58/26/1193525826.db2.gz BYCCKMQISPQTOQ-HXUWFJFHSA-N 0 1 320.440 3.222 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001615668240 1193526015 /nfs/dbraw/zinc/52/60/15/1193526015.db2.gz BYCCKMQISPQTOQ-FQEVSTJZSA-N 0 1 320.440 3.222 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2cccc(C#Cc3cccs3)c2)C1 ZINC001540642165 1188250816 /nfs/dbraw/zinc/25/08/16/1188250816.db2.gz AKKAUDHYKJTDJA-OAHLLOKOSA-N 0 1 324.449 3.428 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1cccc(C#Cc2cccs2)c1 ZINC001540642413 1188251198 /nfs/dbraw/zinc/25/11/98/1188251198.db2.gz JBYWBCVRCJDRAE-UHFFFAOYSA-N 0 1 307.378 3.432 20 30 DGEDMN C[C@H]1CCN(CC(=O)Nc2cccc(C#Cc3cccs3)c2)C1 ZINC001540642164 1188251456 /nfs/dbraw/zinc/25/14/56/1188251456.db2.gz AKKAUDHYKJTDJA-HNNXBMFYSA-N 0 1 324.449 3.428 20 30 DGEDMN CC[C@H](C(=O)Nc1ccc(Oc2ccc(C#N)cn2)cc1)N(C)C ZINC001542421562 1188285085 /nfs/dbraw/zinc/28/50/85/1188285085.db2.gz LHIYTDLVVOKAPU-MRXNPFEDSA-N 0 1 324.384 3.024 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3ccc(Cl)s3)[nH]c2c1 ZINC001543407164 1188331671 /nfs/dbraw/zinc/33/16/71/1188331671.db2.gz BFWOAWYUVRXETF-UHFFFAOYSA-N 0 1 316.773 3.331 20 30 DGEDMN CN(C(=O)c1ncc2ccccc2c1O)c1cccc(C#N)c1 ZINC001543721604 1188359660 /nfs/dbraw/zinc/35/96/60/1188359660.db2.gz RFCKLKIUQXPHIG-UHFFFAOYSA-N 0 1 303.321 3.089 20 30 DGEDMN CC[C@H](NCc1cc(C#N)ccn1)c1ccc(OC)c(OC)c1 ZINC001617829282 1193582287 /nfs/dbraw/zinc/58/22/87/1193582287.db2.gz RLNKMQKDADGCKU-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1ccc(Cl)c(O)c1 ZINC001556243218 1188991603 /nfs/dbraw/zinc/99/16/03/1188991603.db2.gz LQHHOPSGFCEFOA-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN N#CC1(c2ccc(C(=O)NCc3ccc4nc[nH]c4c3)cc2)CC1 ZINC001556698234 1189014719 /nfs/dbraw/zinc/01/47/19/1189014719.db2.gz LQYLOETULROBPY-UHFFFAOYSA-N 0 1 316.364 3.048 20 30 DGEDMN CCc1ccc(C#CC(=O)Nc2nc3ccc(C)cc3[nH]2)cc1 ZINC001556789187 1189020928 /nfs/dbraw/zinc/02/09/28/1189020928.db2.gz WFAPTHQDHOSAHF-UHFFFAOYSA-N 0 1 303.365 3.424 20 30 DGEDMN C#CCCCCCC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001557710896 1189080597 /nfs/dbraw/zinc/08/05/97/1189080597.db2.gz HBBPKGNUSYSIAA-UHFFFAOYSA-N 0 1 321.424 3.447 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H]2CCc3ccccc32)C1 ZINC001567810391 1189122342 /nfs/dbraw/zinc/12/23/42/1189122342.db2.gz OFJHEIAWYFYHRX-DOTOQJQBSA-N 0 1 312.457 3.262 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@H]3CCC=CCCC3)n2)c1 ZINC001559046799 1189165254 /nfs/dbraw/zinc/16/52/54/1189165254.db2.gz TVGGWYILVMMCTQ-AWEZNQCLSA-N 0 1 321.384 3.418 20 30 DGEDMN Cc1cc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)sc1C ZINC001559044957 1189165382 /nfs/dbraw/zinc/16/53/82/1189165382.db2.gz FSAOJHNXJQCIBT-UHFFFAOYSA-N 0 1 323.381 3.274 20 30 DGEDMN Cc1cc(F)c(C#N)c(N2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1 ZINC001559249039 1189186898 /nfs/dbraw/zinc/18/68/98/1189186898.db2.gz VNMFXQRXMXOOGD-IRXDYDNUSA-N 0 1 321.399 3.469 20 30 DGEDMN Cc1[nH]c(SCc2ncc(C#N)cn2)nc1Cc1ccccc1 ZINC001559316193 1189196125 /nfs/dbraw/zinc/19/61/25/1189196125.db2.gz XBOFLJNBBNZZRT-UHFFFAOYSA-N 0 1 321.409 3.263 20 30 DGEDMN N#Cc1cccc(OCCCN2CCOCC23CCCCC3)c1 ZINC001559839119 1189248047 /nfs/dbraw/zinc/24/80/47/1189248047.db2.gz QURLUVCWDKJTGW-UHFFFAOYSA-N 0 1 314.429 3.362 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCCN1CCc1ccc(C#N)cc1 ZINC001560026094 1189270303 /nfs/dbraw/zinc/27/03/03/1189270303.db2.gz MWYYTBRZFUGRRT-IBGZPJMESA-N 0 1 314.429 3.297 20 30 DGEDMN N#Cc1ccc(C[NH2+]Cc2c([O-])cccc2Br)s1 ZINC001560509939 1189334999 /nfs/dbraw/zinc/33/49/99/1189334999.db2.gz XMIZEICIUBZLOD-UHFFFAOYSA-N 0 1 323.215 3.378 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@@H](C)c2nccc3ccccc32)C1=O ZINC001560788974 1189381541 /nfs/dbraw/zinc/38/15/41/1189381541.db2.gz JASYMOBWUSFZGB-YOEHRIQHSA-N 0 1 309.413 3.015 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NS(=O)(=O)c1c(F)cccc1F ZINC001561745457 1189458551 /nfs/dbraw/zinc/45/85/51/1189458551.db2.gz SIDLUYSWTWUBJS-SMDDNHRTSA-N 0 1 315.385 3.378 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2(CC)CC2)C1 ZINC001566000875 1189473719 /nfs/dbraw/zinc/47/37/19/1189473719.db2.gz NDINNBYCWSELSG-QZTJIDSGSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCN(C)C(C)(C)C1)c1ccccc1 ZINC001564396630 1189573209 /nfs/dbraw/zinc/57/32/09/1189573209.db2.gz NLJHSIGMSYDPEF-QGZVFWFLSA-N 0 1 315.461 3.430 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001565828956 1189680770 /nfs/dbraw/zinc/68/07/70/1189680770.db2.gz VACSWHHPAZGEAS-NSHGMRRFSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](C)C(C)C ZINC001565973634 1189708955 /nfs/dbraw/zinc/70/89/55/1189708955.db2.gz AZTQDVGUNZDIQD-YUELXQCFSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(C)CN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CCC)C1 ZINC001566001503 1189713265 /nfs/dbraw/zinc/71/32/65/1189713265.db2.gz BBXOROQXLJBHQP-QZTJIDSGSA-N 0 1 318.436 3.476 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1ccccc1F ZINC001566458242 1189774768 /nfs/dbraw/zinc/77/47/68/1189774768.db2.gz NOMYVXIBZMSTLX-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)CC1CC(C)(C)C1 ZINC001566562530 1189800763 /nfs/dbraw/zinc/80/07/63/1189800763.db2.gz HFKPZABDJNSRDK-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001566630400 1189834843 /nfs/dbraw/zinc/83/48/43/1189834843.db2.gz KBAWHKFWBZNXPJ-HKUYNNGSSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566671096 1189852447 /nfs/dbraw/zinc/85/24/47/1189852447.db2.gz NBVRYEIJYKDCKN-INIZCTEOSA-N 0 1 304.409 3.059 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@@H]2C=CCCC2)CC1 ZINC001566697945 1189863054 /nfs/dbraw/zinc/86/30/54/1189863054.db2.gz UKDPHANCPZBNHS-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ccc(C)cc2Cl)C1 ZINC001566761435 1189895008 /nfs/dbraw/zinc/89/50/08/1189895008.db2.gz HXKATCYPXIGKRO-HNNXBMFYSA-N 0 1 306.837 3.305 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001566799147 1189905571 /nfs/dbraw/zinc/90/55/71/1189905571.db2.gz FIMKPKNMEYITKW-ARMVGRIVSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001566849490 1189921296 /nfs/dbraw/zinc/92/12/96/1189921296.db2.gz LJDBVEAKTHBMNZ-KFWWJZLASA-N 0 1 321.490 3.099 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1csc(C)c1 ZINC001566917812 1189949689 /nfs/dbraw/zinc/94/96/89/1189949689.db2.gz DMYTUASGTCZKCV-MRXNPFEDSA-N 0 1 306.475 3.493 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001566927302 1189956179 /nfs/dbraw/zinc/95/61/79/1189956179.db2.gz OHHHHLONSZAVDS-HKUYNNGSSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C2CCC2)cc1 ZINC001567022699 1189998471 /nfs/dbraw/zinc/99/84/71/1189998471.db2.gz VBWXYKMYVYJPRP-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001567049297 1190008370 /nfs/dbraw/zinc/00/83/70/1190008370.db2.gz KBBARQCHWJFJRR-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(C)C)nc1CC ZINC001567054669 1190011639 /nfs/dbraw/zinc/01/16/39/1190011639.db2.gz WRUNXYRCDDNVLE-CYBMUJFWSA-N 0 1 323.868 3.228 20 30 DGEDMN CCC(CC)CC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001567065735 1190017013 /nfs/dbraw/zinc/01/70/13/1190017013.db2.gz OTPKAZNSMQJMQI-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@H](C(=O)NCCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001567307469 1190123489 /nfs/dbraw/zinc/12/34/89/1190123489.db2.gz ZVFPNCDCKRSQOW-SFHVURJKSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC1CC(c2ccccc2)C1 ZINC001567370238 1190156654 /nfs/dbraw/zinc/15/66/54/1190156654.db2.gz NPVDUTGZQSLKPD-LYBXBRPPSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC001567399087 1190171541 /nfs/dbraw/zinc/17/15/41/1190171541.db2.gz OMXSGZVVEJYBSV-CYBMUJFWSA-N 0 1 324.877 3.143 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)/C=C(\C)C1CC1 ZINC001567423533 1190183896 /nfs/dbraw/zinc/18/38/96/1190183896.db2.gz QTPLGULTRPCYGT-ISLYRVAYSA-N 0 1 324.468 3.223 20 30 DGEDMN CC#CCN(CCNC(=O)c1csc2ccccc12)C1CC1 ZINC001567446370 1190196949 /nfs/dbraw/zinc/19/69/49/1190196949.db2.gz UQFKJLDTUXFUCH-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CCC)c1ccc(C(C)C)cc1 ZINC001567654052 1190239159 /nfs/dbraw/zinc/23/91/59/1190239159.db2.gz JMXFSTNTVHEWJY-GOSISDBHSA-N 0 1 300.446 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(CC)(CC)CC)c1ccccc1 ZINC001567663816 1190241903 /nfs/dbraw/zinc/24/19/03/1190241903.db2.gz LHSZEWPFOYSBIK-KRWDZBQOSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCCCC(=O)N(CCC)[C@H]1CCN(C[C@H](F)CC)C1 ZINC001567686380 1190252165 /nfs/dbraw/zinc/25/21/65/1190252165.db2.gz MEZHZNLDDWENAF-SJORKVTESA-N 0 1 310.457 3.241 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2c[nH]c3ccccc23)C1 ZINC001567685009 1190252242 /nfs/dbraw/zinc/25/22/42/1190252242.db2.gz RYXSZNCFZAMCHR-MRXNPFEDSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001567687902 1190253855 /nfs/dbraw/zinc/25/38/55/1190253855.db2.gz BIQJUXUJFCFABF-GFCCVEGCSA-N 0 1 312.360 3.330 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](C)C2CCCC2)C1 ZINC001567742920 1190282302 /nfs/dbraw/zinc/28/23/02/1190282302.db2.gz SNUFSLDLFPWAOY-HUUCEWRRSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)CCc2ccccc2F)C1 ZINC001567776701 1190296705 /nfs/dbraw/zinc/29/67/05/1190296705.db2.gz MPPMAWFDWVIKIO-WBVHZDCISA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001567798013 1190300814 /nfs/dbraw/zinc/30/08/14/1190300814.db2.gz FAHAAETVYPNCJI-ZQMJAJRESA-N 0 1 316.420 3.384 20 30 DGEDMN C=CC[C@@H](C(=O)NC1CN(CC2CCCC2)C1)c1ccccc1 ZINC001567817437 1190308362 /nfs/dbraw/zinc/30/83/62/1190308362.db2.gz QFVWHKOILMUXAI-LJQANCHMSA-N 0 1 312.457 3.337 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001567880888 1190331220 /nfs/dbraw/zinc/33/12/20/1190331220.db2.gz SRSCOQYLHKNGBA-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@H](C)c2cccc(OC)c2)C1 ZINC001567894217 1190337050 /nfs/dbraw/zinc/33/70/50/1190337050.db2.gz WEJVDFPBLPODMV-GDBMZVCRSA-N 0 1 316.445 3.159 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567911813 1190347261 /nfs/dbraw/zinc/34/72/61/1190347261.db2.gz RFMGZYNIZHGRBP-MAUKXSAKSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001567912797 1190348100 /nfs/dbraw/zinc/34/81/00/1190348100.db2.gz YOAFXRNESJAOKG-MAUKXSAKSA-N 0 1 316.420 3.079 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)N[C@H](C)c1c(F)cccc1F ZINC001567952872 1190374225 /nfs/dbraw/zinc/37/42/25/1190374225.db2.gz LTFOMCFIROWXTO-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1cccc(F)c1F ZINC001567962135 1190377763 /nfs/dbraw/zinc/37/77/63/1190377763.db2.gz NKSYLQVMWAJWKS-CQSZACIVSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001568109795 1190422852 /nfs/dbraw/zinc/42/28/52/1190422852.db2.gz IBEXKGNYHRWFKI-IIDMSEBBSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2C[C@@H]2C(C)C)cc1 ZINC001568120948 1190427710 /nfs/dbraw/zinc/42/77/10/1190427710.db2.gz ICMQWXGYZAKGLA-SJORKVTESA-N 0 1 320.864 3.437 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)[C@@H](CC#N)c1ccccc1 ZINC001568192525 1190447927 /nfs/dbraw/zinc/44/79/27/1190447927.db2.gz DCSTVADWWYHKDA-INIZCTEOSA-N 0 1 324.428 3.363 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCCC[C@@H](C)CC)CC2 ZINC001568250878 1190462619 /nfs/dbraw/zinc/46/26/19/1190462619.db2.gz WQIRGTDIHLCPIS-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)cc(OC)c1C ZINC001568285075 1190472136 /nfs/dbraw/zinc/47/21/36/1190472136.db2.gz ZSDKGFFLYJDTBW-ZDUSSCGKSA-N 0 1 324.852 3.115 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](c1ccccc1)C1CC1 ZINC001568287784 1190472989 /nfs/dbraw/zinc/47/29/89/1190472989.db2.gz SMAHKXZIALYIOO-PBHICJAKSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)CC[C@@H](C)CC ZINC001568306728 1190480729 /nfs/dbraw/zinc/48/07/29/1190480729.db2.gz KDUFBJQIOBIJAG-AAEUAGOBSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](F)CC)C1CCCCC1 ZINC001568345204 1190498258 /nfs/dbraw/zinc/49/82/58/1190498258.db2.gz FBYYDEPQGBUSPT-ZIAGYGMSSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3[C@H](CCN3CC(=C)Cl)C2)CCC1 ZINC001568515993 1190556661 /nfs/dbraw/zinc/55/66/61/1190556661.db2.gz RTGXPSKTMUJNNK-CVEARBPZSA-N 0 1 322.880 3.408 20 30 DGEDMN CC1(C)CC(CC(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001568906200 1190598461 /nfs/dbraw/zinc/59/84/61/1190598461.db2.gz NJZJGTWMXPRBPY-SREVYHEPSA-N 0 1 324.468 3.126 20 30 DGEDMN O=C(/C=C\c1ccc(F)cc1)C(=O)Nc1ccc(O)c(F)c1F ZINC001569010956 1190607479 /nfs/dbraw/zinc/60/74/79/1190607479.db2.gz UGGLCBLUZMFCCP-CLTKARDFSA-N 0 1 321.254 3.031 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001569054342 1190614273 /nfs/dbraw/zinc/61/42/73/1190614273.db2.gz KJTKVGNTRRHWMS-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2ccc(Cl)cc2F)C1 ZINC001569079741 1190617849 /nfs/dbraw/zinc/61/78/49/1190617849.db2.gz MMKAXLWFPNIZLK-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CN(C)CCC(F)(F)F)CCC1 ZINC001569136158 1190636315 /nfs/dbraw/zinc/63/63/15/1190636315.db2.gz MOKBVIAJFLBLSN-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001569134927 1190636646 /nfs/dbraw/zinc/63/66/46/1190636646.db2.gz NMCZVQUYDGPPMJ-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(Cl)c2occc21 ZINC001569146809 1190641653 /nfs/dbraw/zinc/64/16/53/1190641653.db2.gz LPOLTVWHPLTBOD-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc(Cl)c1Cl ZINC001569148866 1190642317 /nfs/dbraw/zinc/64/23/17/1190642317.db2.gz QHJWDSJXLFHZSL-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@@H]1CNCc1cc(C)on1 ZINC001569163304 1190647784 /nfs/dbraw/zinc/64/77/84/1190647784.db2.gz CMUAAAVNYHUUQL-WBVHZDCISA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(F)ccc1CC)C1CC1 ZINC001569310099 1190663500 /nfs/dbraw/zinc/66/35/00/1190663500.db2.gz UYQVOBHMWVSXSF-INIZCTEOSA-N 0 1 324.827 3.239 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1occc1C(C)C)C1CC1 ZINC001569316812 1190665235 /nfs/dbraw/zinc/66/52/35/1190665235.db2.gz MPGYFMAJISWPKO-AWEZNQCLSA-N 0 1 310.825 3.254 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001569368149 1190678558 /nfs/dbraw/zinc/67/85/58/1190678558.db2.gz VPECTMROWYUOSN-AWEZNQCLSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001569368208 1190678714 /nfs/dbraw/zinc/67/87/14/1190678714.db2.gz WRHLEDKXNJBRHE-SFHVURJKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2ccccc2CC)C1 ZINC001569372760 1190681172 /nfs/dbraw/zinc/68/11/72/1190681172.db2.gz GYKPYFSECUEYKR-GOSISDBHSA-N 0 1 312.457 3.296 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](CCC)CC1CCCC1 ZINC001569377419 1190684777 /nfs/dbraw/zinc/68/47/77/1190684777.db2.gz WMFYWTRCQNUUJG-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2CCO[C@H](CC)C2)C1 ZINC001569503836 1190709795 /nfs/dbraw/zinc/70/97/95/1190709795.db2.gz DYCRWPLWTLFFSM-SJLPKXTDSA-N 0 1 324.509 3.305 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001569575609 1190719111 /nfs/dbraw/zinc/71/91/11/1190719111.db2.gz XFZCAMKRMWYOPG-AWEZNQCLSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN(C(=O)[C@H]1CC=CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001569582093 1190719867 /nfs/dbraw/zinc/71/98/67/1190719867.db2.gz VMGLUUWLFBUOFG-BCTQVIJGSA-N 0 1 320.864 3.021 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2cncc(C)c2)C1 ZINC001569639391 1190729279 /nfs/dbraw/zinc/72/92/79/1190729279.db2.gz SUBXOPRACFWCSJ-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cncc(C)c2)C1 ZINC001569646458 1190731582 /nfs/dbraw/zinc/73/15/82/1190731582.db2.gz KQJDFFXPCIBIQW-VQIMIIECSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc3c(c2)CCCC3)C1 ZINC001569726823 1190751002 /nfs/dbraw/zinc/75/10/02/1190751002.db2.gz URPHHIRCLZLYPL-OAQYLSRUSA-N 0 1 324.468 3.031 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@]1(C)CC=CCC1)c1ccccc1CC ZINC001569800223 1190755663 /nfs/dbraw/zinc/75/56/63/1190755663.db2.gz OCDWXDLNFZLYMI-FPOVZHCZSA-N 0 1 324.468 3.376 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1(C3CC3)CC1)C2 ZINC001569858784 1190765143 /nfs/dbraw/zinc/76/51/43/1190765143.db2.gz ANEDIUUOURYFLC-RBVVOMGSSA-N 0 1 322.880 3.288 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccoc1C(C)C ZINC001570027949 1190783268 /nfs/dbraw/zinc/78/32/68/1190783268.db2.gz CPDYNSVVJOAIPA-UHFFFAOYSA-N 0 1 324.424 3.398 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C=C)cc1 ZINC001570028480 1190783820 /nfs/dbraw/zinc/78/38/20/1190783820.db2.gz WUSCAQNKHYQIBJ-UHFFFAOYSA-N 0 1 318.420 3.325 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)n(CC)c2C)CCC1 ZINC001570110486 1190797930 /nfs/dbraw/zinc/79/79/30/1190797930.db2.gz VESLREZGWRHBOQ-UHFFFAOYSA-N 0 1 323.868 3.119 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cccc(F)c2F)CCC1 ZINC001570112641 1190798225 /nfs/dbraw/zinc/79/82/25/1190798225.db2.gz CTPHPFBSUWZHIL-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001570391302 1190850238 /nfs/dbraw/zinc/85/02/38/1190850238.db2.gz JYCMIMQWDOZURK-QJVFRXGESA-N 0 1 324.468 3.221 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC001570437946 1190858767 /nfs/dbraw/zinc/85/87/67/1190858767.db2.gz TYJLPMMPUMKFCK-CVEARBPZSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCCCC(=O)N1CCC(CN(CC)Cc2ccon2)CC1 ZINC001570503129 1190870970 /nfs/dbraw/zinc/87/09/70/1190870970.db2.gz VUVHLKJCJBVGNU-UHFFFAOYSA-N 0 1 319.449 3.091 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H](C)CCCC ZINC001570519192 1190873779 /nfs/dbraw/zinc/87/37/79/1190873779.db2.gz FBGFPNSSXRLUTM-KFKAGJAMSA-N 0 1 312.457 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CC)CC1CCCC1 ZINC001570541926 1190882197 /nfs/dbraw/zinc/88/21/97/1190882197.db2.gz LPHJPYWWDXZVOR-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001570543287 1190883610 /nfs/dbraw/zinc/88/36/10/1190883610.db2.gz FVULKSUBORVGAK-BZSNNMDCSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001570573406 1190889954 /nfs/dbraw/zinc/88/99/54/1190889954.db2.gz UWMHDKHDJDEHQB-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001570590073 1190895127 /nfs/dbraw/zinc/89/51/27/1190895127.db2.gz MBZYWEYZBPXZSO-OLZOCXBDSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C/C=C\Cc1ccccc1 ZINC001570610780 1190900794 /nfs/dbraw/zinc/90/07/94/1190900794.db2.gz KJQCPGIGMUCQAN-KTYGHDLGSA-N 0 1 320.864 3.411 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1cccc(CC)c1 ZINC001570614425 1190902116 /nfs/dbraw/zinc/90/21/16/1190902116.db2.gz NYUQZWADUFBTGY-ZIAGYGMSSA-N 0 1 308.853 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1cc(C)cc(C)c1 ZINC001570640561 1190908272 /nfs/dbraw/zinc/90/82/72/1190908272.db2.gz CQLZDPHVNVQHFX-HOTGVXAUSA-N 0 1 322.880 3.471 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccccc1OC(C)C ZINC001570643013 1190908494 /nfs/dbraw/zinc/90/84/94/1190908494.db2.gz ACNURRBEMWQWFM-UONOGXRCSA-N 0 1 324.852 3.323 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001570648047 1190909838 /nfs/dbraw/zinc/90/98/38/1190909838.db2.gz SEYCQRRQVGQGAD-ZAOZSLGCSA-N 0 1 324.896 3.438 20 30 DGEDMN CCCC[C@H](CNCc1ccccc1C#N)NC(=O)C(C)(C)C ZINC001570814151 1190955151 /nfs/dbraw/zinc/95/51/51/1190955151.db2.gz NDKBFPBUETWWCP-QGZVFWFLSA-N 0 1 315.461 3.369 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001570851608 1190965553 /nfs/dbraw/zinc/96/55/53/1190965553.db2.gz FEDHPUIMLHVROZ-AWEZNQCLSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001570851609 1190965895 /nfs/dbraw/zinc/96/58/95/1190965895.db2.gz FEDHPUIMLHVROZ-CQSZACIVSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C2CN(CCC(F)(F)F)C2)CCC1 ZINC001570853624 1190966618 /nfs/dbraw/zinc/96/66/18/1190966618.db2.gz FJRLCPVJSVQSCF-GFCCVEGCSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC001571036602 1190980829 /nfs/dbraw/zinc/98/08/29/1190980829.db2.gz ZUWRXSWAPAVGHD-HNNXBMFYSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)Cc2nc(C)sc2C)CC1 ZINC001571329156 1190993087 /nfs/dbraw/zinc/99/30/87/1190993087.db2.gz RVSFALSXMUPSSO-UHFFFAOYSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)ccc1F ZINC001571368871 1191003789 /nfs/dbraw/zinc/00/37/89/1191003789.db2.gz NXIJXXCCOYKLEF-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C[C@@H](CN(C)C(=O)CC1CCCC1)NCc1ccccc1C#N ZINC001571376129 1191006874 /nfs/dbraw/zinc/00/68/74/1191006874.db2.gz LAZWGGAUSZDGOW-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001571388579 1191010984 /nfs/dbraw/zinc/01/09/84/1191010984.db2.gz STKJLNNECGELQL-AWEZNQCLSA-N 0 1 324.852 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(OC(C)C)cc1 ZINC001571414963 1191019614 /nfs/dbraw/zinc/01/96/14/1191019614.db2.gz BJKQKWDJQMEXHQ-AWEZNQCLSA-N 0 1 324.852 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(Cl)c[nH]1)C(C)(C)C ZINC001571618483 1191064762 /nfs/dbraw/zinc/06/47/62/1191064762.db2.gz XVCNFZWUCGSLKV-GFCCVEGCSA-N 0 1 318.248 3.155 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2cc(C)no2)CCCC1 ZINC001571420184 1191021144 /nfs/dbraw/zinc/02/11/44/1191021144.db2.gz FZWUCMXHCMCHEZ-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2nc(C)cs2)[C@H]1C ZINC001571457259 1191022804 /nfs/dbraw/zinc/02/28/04/1191022804.db2.gz RKVCWQKAOKHIKO-ZIAGYGMSSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C(C)(C)C1 ZINC001571555049 1191051579 /nfs/dbraw/zinc/05/15/79/1191051579.db2.gz VKOGYQFWTPIROQ-MRXNPFEDSA-N 0 1 322.399 3.258 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@H]1CCCC1(F)F)C(C)C ZINC001571613957 1191062153 /nfs/dbraw/zinc/06/21/53/1191062153.db2.gz ORQLMRZENYDWAU-OAHLLOKOSA-N 0 1 314.420 3.004 20 30 DGEDMN C#CCN(C)CCN(C(=O)C12CC(C(C)(C)C)(C1)C2)C(C)C ZINC001571616869 1191063745 /nfs/dbraw/zinc/06/37/45/1191063745.db2.gz ORFABSAOBHHKOX-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)cc(C)n1)C(C)(C)C ZINC001571627582 1191067938 /nfs/dbraw/zinc/06/79/38/1191067938.db2.gz WQLKUPXHLFGZIV-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)C2CCN(CCF)CC2)CCCC1 ZINC001571650716 1191075378 /nfs/dbraw/zinc/07/53/78/1191075378.db2.gz CTGBBZYWKIFIIK-HNNXBMFYSA-N 0 1 310.457 3.309 20 30 DGEDMN C=CC[C@@]1(C(=O)O[C@@H](C)c2ccc(C)c([N+](=O)[O-])c2)CCCN1 ZINC001574897732 1191456317 /nfs/dbraw/zinc/45/63/17/1191456317.db2.gz ULKYYOAHGUQXLZ-GUYCJALGSA-N 0 1 318.373 3.206 20 30 DGEDMN C=CC[C@]1(C(=O)OCc2ccc3c(c2)CC(C)(C)O3)CCCN1 ZINC001574896953 1191456535 /nfs/dbraw/zinc/45/65/35/1191456535.db2.gz BTPODKIBZQBRDO-LJQANCHMSA-N 0 1 315.413 3.142 20 30 DGEDMN C=CC[C@H](C)NC(=O)Nc1ccc(-c2cn[nH]n2)cc1Cl ZINC001575077689 1191465358 /nfs/dbraw/zinc/46/53/58/1191465358.db2.gz AFBXRDNLPKPRGW-VIFPVBQESA-N 0 1 305.769 3.211 20 30 DGEDMN CCCCCCCCCC(=O)N(Cc1c[nH]nn1)C1CSC1 ZINC001576395315 1191584579 /nfs/dbraw/zinc/58/45/79/1191584579.db2.gz OGXYQILUYCDVNJ-UHFFFAOYSA-N 0 1 324.494 3.389 20 30 DGEDMN N#Cc1ccnc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)c1 ZINC001618373971 1193735889 /nfs/dbraw/zinc/73/58/89/1193735889.db2.gz LDDMSIKYBLYYFT-IBGZPJMESA-N 0 1 321.424 3.337 20 30 DGEDMN C[C@H]1CCC[C@](C#N)(NC(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001618411004 1193738416 /nfs/dbraw/zinc/73/84/16/1193738416.db2.gz MPULHDWFOPUGTI-KSSFIOAISA-N 0 1 315.417 3.078 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](CCc2ccccc2)C(=O)OCC)C1 ZINC001618797086 1193752253 /nfs/dbraw/zinc/75/22/53/1193752253.db2.gz AOBBTQQYWGWELE-MOPGFXCFSA-N 0 1 313.441 3.286 20 30 DGEDMN C#CCC1(NCc2cn(-c3ccccc3C)nn2)CCCCC1 ZINC001621081995 1193799680 /nfs/dbraw/zinc/79/96/80/1193799680.db2.gz JBTIFOMACUFQAP-UHFFFAOYSA-N 0 1 308.429 3.392 20 30 DGEDMN Cc1cc(CNCC2(C#N)CCOCC2)ccc1Br ZINC001621102222 1193802213 /nfs/dbraw/zinc/80/22/13/1193802213.db2.gz CUBVIORFQSOUMD-UHFFFAOYSA-N 0 1 323.234 3.168 20 30 DGEDMN N#CCC1(CNCc2sc(N3CCCC3)nc2Cl)CC1 ZINC001621294605 1193827242 /nfs/dbraw/zinc/82/72/42/1193827242.db2.gz KTCCXGLLLYIXCW-UHFFFAOYSA-N 0 1 310.854 3.180 20 30 DGEDMN CCCCCCCCNS(=O)(=O)c1cc(O)cc(F)c1 ZINC001621933922 1193876946 /nfs/dbraw/zinc/87/69/46/1193876946.db2.gz YXCQYFNCBDVHIU-UHFFFAOYSA-N 0 1 303.399 3.170 20 30 DGEDMN C[C@@H]1[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)[C@@H]1c1ccccc1 ZINC001623604689 1193941071 /nfs/dbraw/zinc/94/10/71/1193941071.db2.gz LUUQSDXCCRFOSY-MZPVMMEZSA-N 0 1 316.364 3.423 20 30 DGEDMN C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001623604687 1193941145 /nfs/dbraw/zinc/94/11/45/1193941145.db2.gz LUUQSDXCCRFOSY-GOPGUHFVSA-N 0 1 316.364 3.423 20 30 DGEDMN Cc1ccc2occ(CC(=O)Nc3cc(C#N)ccc3O)c2c1 ZINC001624288031 1193990568 /nfs/dbraw/zinc/99/05/68/1193990568.db2.gz SPYJHMHENHHCAG-UHFFFAOYSA-N 0 1 306.321 3.500 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(-c3cnco3)c2)c1 ZINC001624287525 1193990686 /nfs/dbraw/zinc/99/06/86/1193990686.db2.gz IACVIQPNYDTGQO-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1ccc2nc(-c3ccco3)[nH]c2c1 ZINC001625169716 1194036730 /nfs/dbraw/zinc/03/67/30/1194036730.db2.gz FYEUQPNSLXRSTJ-DOMZBBRYSA-N 0 1 323.352 3.353 20 30 DGEDMN CC(C)c1cc(Cl)ccc1NC(=O)NCC#CCN(C)C ZINC001626390860 1194074999 /nfs/dbraw/zinc/07/49/99/1194074999.db2.gz OQPDRWQJPDWUMX-UHFFFAOYSA-N 0 1 307.825 3.150 20 30 DGEDMN C=CCOc1ccc(CC(=O)Nc2n[nH]c3cc(O)ccc32)cc1 ZINC001628214893 1194166359 /nfs/dbraw/zinc/16/63/59/1194166359.db2.gz FCLRJHXOOHJSQI-UHFFFAOYSA-N 0 1 323.352 3.015 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@](C)(C#N)C3CCCCC3)c2C1 ZINC001629385383 1194230316 /nfs/dbraw/zinc/23/03/16/1194230316.db2.gz UGTLTSSAFFVBTK-KPZWWZAWSA-N 0 1 314.433 3.127 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)[C@@H](C)N2CCc3ccccc3C2)C1 ZINC001632373159 1194384904 /nfs/dbraw/zinc/38/49/04/1194384904.db2.gz CCVHQULBFJTLPQ-IAGOWNOFSA-N 0 1 312.457 3.248 20 30 DGEDMN Cc1[nH]nc(CNC(=O)c2sc3ccc(C#N)cc3c2C)c1C ZINC001633697928 1194451308 /nfs/dbraw/zinc/45/13/08/1194451308.db2.gz YABMBDRVXSEYLY-UHFFFAOYSA-N 0 1 324.409 3.351 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC001635622096 1194561846 /nfs/dbraw/zinc/56/18/46/1194561846.db2.gz MWAOZVFDVIJXDN-KRWDZBQOSA-N 0 1 315.461 3.162 20 30 DGEDMN C=CCC[C@@H](NC(=O)Cc1n[nH]c(C2CC2)n1)c1ccccc1 ZINC001636820467 1194635742 /nfs/dbraw/zinc/63/57/42/1194635742.db2.gz HNWYHVHFTRBNFY-OAHLLOKOSA-N 0 1 310.401 3.048 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)Cc2c(C)cc(C#N)cc2C)c1 ZINC001637578971 1194677394 /nfs/dbraw/zinc/67/73/94/1194677394.db2.gz SHIYASAWLUDMDG-UHFFFAOYSA-N 0 1 322.364 3.265 20 30 DGEDMN C=C(CNC(=O)c1c[nH]c2c(C)cccc2c1=O)c1ccccc1 ZINC001638781600 1194737342 /nfs/dbraw/zinc/73/73/42/1194737342.db2.gz TVWKSGWWZTVKJE-UHFFFAOYSA-N 0 1 318.376 3.280 20 30 DGEDMN C#Cc1ccc(NC(=O)c2nnc3ccccc3c2O)c(Cl)c1 ZINC001639822235 1194783686 /nfs/dbraw/zinc/78/36/86/1194783686.db2.gz XDZJNPJAHGKGGH-UHFFFAOYSA-N 0 1 323.739 3.222 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cnc3c(F)cccc3c2)cc1O ZINC001640077418 1194794782 /nfs/dbraw/zinc/79/47/82/1194794782.db2.gz SYDYQOIBFYSQJE-UHFFFAOYSA-N 0 1 307.284 3.203 20 30 DGEDMN CC(C)(NC(=O)c1cc(F)cc2nc[nH]c21)c1cccc(C#N)c1 ZINC001640366911 1194809271 /nfs/dbraw/zinc/80/92/71/1194809271.db2.gz SNWGRYAGXVEHTL-UHFFFAOYSA-N 0 1 322.343 3.239 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001640396959 1194811031 /nfs/dbraw/zinc/81/10/31/1194811031.db2.gz BWWZMCDXXVQWPG-RDJZCZTQSA-N 0 1 324.428 3.260 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001640396960 1194811246 /nfs/dbraw/zinc/81/12/46/1194811246.db2.gz BWWZMCDXXVQWPG-WBVHZDCISA-N 0 1 324.428 3.260 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CC(N2CCCC2)C1)c1ccccc1 ZINC001641159152 1194841930 /nfs/dbraw/zinc/84/19/30/1194841930.db2.gz KFHXDQMHMNVWLU-IBGZPJMESA-N 0 1 312.457 3.433 20 30 DGEDMN C#CCCOc1ccc(C(=O)Nc2ccc(F)c(F)c2O)cc1 ZINC001642233709 1194895029 /nfs/dbraw/zinc/89/50/29/1194895029.db2.gz PSIFPNUWCLYGPS-UHFFFAOYSA-N 0 1 317.291 3.325 20 30 DGEDMN C#CCC1(C(=O)N2CCN[C@H](Cc3ccccc3)C2)CCCCC1 ZINC001642332908 1194902508 /nfs/dbraw/zinc/90/25/08/1194902508.db2.gz KIIXBDCVJOSKDC-LJQANCHMSA-N 0 1 324.468 3.003 20 30 DGEDMN CN(C)c1cccc(CN(Cc2ccc(C#N)cc2)C2CC2)n1 ZINC001642387784 1194906918 /nfs/dbraw/zinc/90/69/18/1194906918.db2.gz BPROTYFNSIMFAC-UHFFFAOYSA-N 0 1 306.413 3.184 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001671916587 1194922640 /nfs/dbraw/zinc/92/26/40/1194922640.db2.gz OUFKNFNRWCIJLK-QFBILLFUSA-N 0 1 304.478 3.054 20 30 DGEDMN COc1ccc(NC(=O)c2cn[nH]c2-c2ccccc2)cc1C#N ZINC001643054418 1194963971 /nfs/dbraw/zinc/96/39/71/1194963971.db2.gz NWHOLVAXYXYBBY-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN CC[C@H](C)Oc1cccc(NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC001643096664 1194985354 /nfs/dbraw/zinc/98/53/54/1194985354.db2.gz YXTNZEBEUMSGBF-NSHDSACASA-N 0 1 311.341 3.088 20 30 DGEDMN C[C@@H]1CN(CC#Cc2ccc(F)cc2)CCN1Cc1ccccc1 ZINC001643414809 1195021135 /nfs/dbraw/zinc/02/11/35/1195021135.db2.gz JVMQTJCEWDQFFH-GOSISDBHSA-N 0 1 322.427 3.384 20 30 DGEDMN C#CCN(CC(=O)N(C)[C@@H]1CCCC[C@@H]1C)C1CCCCC1 ZINC001644482988 1195112302 /nfs/dbraw/zinc/11/23/02/1195112302.db2.gz VFOBMKQPBMYWNR-FUHWJXTLSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1ccc(Cl)nc1)c1ccccc1 ZINC001645100629 1195156809 /nfs/dbraw/zinc/15/68/09/1195156809.db2.gz QDHKNMJBHJVFQJ-HNNXBMFYSA-N 0 1 313.788 3.028 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(C#N)c(O)c2)cnc1C(F)(F)F ZINC001647813809 1195255969 /nfs/dbraw/zinc/25/59/69/1195255969.db2.gz RNYMBMRYFMFRNX-UHFFFAOYSA-N 0 1 321.258 3.238 20 30 DGEDMN COCc1cccc(CN2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC001648064426 1195274946 /nfs/dbraw/zinc/27/49/46/1195274946.db2.gz OGPYYRDBMZISTR-UHFFFAOYSA-N 0 1 321.424 3.027 20 30 DGEDMN C#C[C@H]1CCCCN1CCCC(=O)Nc1ccccc1C(C)=O ZINC001648565530 1195309331 /nfs/dbraw/zinc/30/93/31/1195309331.db2.gz NZPNXGPZXLHZOE-INIZCTEOSA-N 0 1 312.413 3.096 20 30 DGEDMN CCc1cc(CNc2nccc(Oc3cccc(C#N)c3)n2)n[nH]1 ZINC001650743144 1195377240 /nfs/dbraw/zinc/37/72/40/1195377240.db2.gz RAVYEILVTOSWHP-UHFFFAOYSA-N 0 1 320.356 3.038 20 30 DGEDMN Cc1noc(-c2ccc(CNCc3ccc(C#N)cc3F)cc2)n1 ZINC001650919086 1195395184 /nfs/dbraw/zinc/39/51/84/1195395184.db2.gz QMICEYQNEGDBRG-UHFFFAOYSA-N 0 1 322.343 3.346 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2cccc(C#N)c2[N+](=O)[O-])C1 ZINC001650942466 1195397368 /nfs/dbraw/zinc/39/73/68/1195397368.db2.gz JLEKZGNGUREYME-MRXNPFEDSA-N 0 1 308.341 3.065 20 30 DGEDMN Cc1ccc([N+](=O)[O-])c(C)c1CNCc1ccc(C#N)s1 ZINC001651234589 1195430377 /nfs/dbraw/zinc/43/03/77/1195430377.db2.gz YDEDDZCIOSWWSR-UHFFFAOYSA-N 0 1 301.371 3.435 20 30 DGEDMN Cc1cccc(F)c1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001651271112 1195435555 /nfs/dbraw/zinc/43/55/55/1195435555.db2.gz PXARYBAFPMRMGF-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CC2(CO1)CCCCC2 ZINC001651873382 1195519348 /nfs/dbraw/zinc/51/93/48/1195519348.db2.gz IGNBLUANECSSPH-LBPRGKRZSA-N 0 1 309.435 3.001 20 30 DGEDMN N#CC[C@@H](CC(=O)Nc1ccc2cncn2c1)c1ccccc1 ZINC001652862346 1195662817 /nfs/dbraw/zinc/66/28/17/1195662817.db2.gz QHNLORCYIVJKSX-HNNXBMFYSA-N 0 1 304.353 3.360 20 30 DGEDMN CCOC(=O)C(CC)(CC)CNCc1cccc(F)c1C#N ZINC001652920477 1195671742 /nfs/dbraw/zinc/67/17/42/1195671742.db2.gz DHIOWSXTJITRMG-UHFFFAOYSA-N 0 1 306.381 3.156 20 30 DGEDMN N#Cc1ccnc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC001653015647 1195680669 /nfs/dbraw/zinc/68/06/69/1195680669.db2.gz IXIYBQNKJGOGGT-VQIMIIECSA-N 0 1 307.397 3.211 20 30 DGEDMN C#C[C@@H](NCc1cc(Br)ccc1C)[C@H]1CCCO1 ZINC001653348513 1195713391 /nfs/dbraw/zinc/71/33/91/1195713391.db2.gz DODXAIOIYRZUEJ-HUUCEWRRSA-N 0 1 308.219 3.028 20 30 DGEDMN Cc1cc(F)cc2c1CN(CCOc1ccccc1C#N)CC2 ZINC001653411389 1195717619 /nfs/dbraw/zinc/71/76/19/1195717619.db2.gz ULZZTDSUURDNCJ-UHFFFAOYSA-N 0 1 310.372 3.443 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)[C@@H]1CCN1C(C)(C)C ZINC001653589740 1195737109 /nfs/dbraw/zinc/73/71/09/1195737109.db2.gz QMSIVSUIPKUUER-HOTGVXAUSA-N 0 1 312.498 3.087 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1ccc(-n2ccnc2)nc1 ZINC001653972484 1195763589 /nfs/dbraw/zinc/76/35/89/1195763589.db2.gz GWYAKYUYYWNROA-HNNXBMFYSA-N 0 1 317.396 3.332 20 30 DGEDMN Cc1nsc(N2CCN(CCC(C)(C)C3CC3)CC2)c1C#N ZINC001654605279 1195822377 /nfs/dbraw/zinc/82/23/77/1195822377.db2.gz LWRRLPGERGAGFX-UHFFFAOYSA-N 0 1 318.490 3.272 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3Cc3cc(C#N)ccn3)[nH]c2c1 ZINC001654606362 1195823131 /nfs/dbraw/zinc/82/31/31/1195823131.db2.gz XHANDPWEUSBVCP-GOSISDBHSA-N 0 1 317.396 3.475 20 30 DGEDMN N#Cc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)s1 ZINC001654623095 1195823755 /nfs/dbraw/zinc/82/37/55/1195823755.db2.gz LOFWLOXWGYSABO-QGZVFWFLSA-N 0 1 308.410 3.347 20 30 DGEDMN C=CCOCCN1CCN(c2ccc(Cl)c(F)c2)C[C@@H]1C ZINC001654635542 1195825410 /nfs/dbraw/zinc/82/54/10/1195825410.db2.gz LFHZKRZJTZTHKG-ZDUSSCGKSA-N 0 1 312.816 3.192 20 30 DGEDMN C#CCN(CC(=O)N(CC)[C@H](C)c1ccc(F)cc1)C(C)(C)C ZINC001654840178 1195846276 /nfs/dbraw/zinc/84/62/76/1195846276.db2.gz CGSMBDPJMRDFDR-OAHLLOKOSA-N 0 1 318.436 3.469 20 30 DGEDMN CC1(C)CC[C@@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001654862388 1195848924 /nfs/dbraw/zinc/84/89/24/1195848924.db2.gz RNPMVKKHULEFPI-CYBMUJFWSA-N 0 1 309.373 3.108 20 30 DGEDMN C=C[C@H](CO)NCc1ccc(Oc2ccccc2F)c(F)c1 ZINC001655151319 1195883674 /nfs/dbraw/zinc/88/36/74/1195883674.db2.gz CXBOYTYFLBXASY-CYBMUJFWSA-N 0 1 305.324 3.394 20 30 DGEDMN C#CC[C@@H]1CCN(CC(=O)Nc2ccc(Cl)c(Cl)c2)C1 ZINC001655562424 1195932111 /nfs/dbraw/zinc/93/21/11/1195932111.db2.gz FLRPOCPJEOPRQV-LLVKDONJSA-N 0 1 311.212 3.277 20 30 DGEDMN C=CC[C@H](NCc1ccc(OC)c([N+](=O)[O-])c1)c1ccncc1 ZINC001655641279 1195943685 /nfs/dbraw/zinc/94/36/85/1195943685.db2.gz LRNPKZYPQDVVNS-HNNXBMFYSA-N 0 1 313.357 3.405 20 30 DGEDMN CC[C@@H](C)[C@@H](C(=O)OC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001655734216 1195958048 /nfs/dbraw/zinc/95/80/48/1195958048.db2.gz ZYGAAHRSWIXNKI-KDOFPFPSSA-N 0 1 314.429 3.325 20 30 DGEDMN C=CCSCCNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001656068842 1195993732 /nfs/dbraw/zinc/99/37/32/1195993732.db2.gz RZIMFISVKUWWJP-UHFFFAOYSA-N 0 1 319.396 3.247 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@@H]2CCN2CCOCCCC)CC1 ZINC001656088849 1195997651 /nfs/dbraw/zinc/99/76/51/1195997651.db2.gz OSFDFAYKIQJNQY-SFHVURJKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2Cc2ccc(C)cc2)C1 ZINC001656092744 1195999102 /nfs/dbraw/zinc/99/91/02/1195999102.db2.gz LIVAHUDIYPRCSN-SFHVURJKSA-N 0 1 312.457 3.384 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001656101481 1196000836 /nfs/dbraw/zinc/00/08/36/1196000836.db2.gz AFZYMVGRUJSBPA-IBGZPJMESA-N 0 1 314.473 3.345 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H](C=C)CC)C1 ZINC001656254211 1196024097 /nfs/dbraw/zinc/02/40/97/1196024097.db2.gz QZMIITNLPRVYPA-YTQUADARSA-N 0 1 312.457 3.359 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(C)=C(C)C)C1 ZINC001656254549 1196024243 /nfs/dbraw/zinc/02/42/43/1196024243.db2.gz WJDISJCQIZLQTK-VQTJNVASSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1cc(C)ccc1OC ZINC001656377309 1196034255 /nfs/dbraw/zinc/03/42/55/1196034255.db2.gz PMYLMJDCJDJLPP-HNNXBMFYSA-N 0 1 302.418 3.373 20 30 DGEDMN C=C(Br)CNCc1cn(C)nc1-c1ccc(F)cc1 ZINC001656389573 1196035032 /nfs/dbraw/zinc/03/50/32/1196035032.db2.gz IGHXZVFULLZZJN-UHFFFAOYSA-N 0 1 324.197 3.224 20 30 DGEDMN N#CCc1ccc(CNCc2ccc(Br)cn2)cc1 ZINC001656522421 1196046728 /nfs/dbraw/zinc/04/67/28/1196046728.db2.gz PFAHKFQWBIZMNS-UHFFFAOYSA-N 0 1 316.202 3.200 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](C)c1ccco1 ZINC001656572038 1196051327 /nfs/dbraw/zinc/05/13/27/1196051327.db2.gz XZFRGIIEJXWIHR-SNPRPXQTSA-N 0 1 324.852 3.352 20 30 DGEDMN N#Cc1cccc(CNCc2ccccc2OCC(F)F)c1 ZINC001656737478 1196066776 /nfs/dbraw/zinc/06/67/76/1196066776.db2.gz XPFHHQSPHKROMI-UHFFFAOYSA-N 0 1 302.324 3.492 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CCC=C)c2ccccc2)[C@H]1CC ZINC001656767919 1196069986 /nfs/dbraw/zinc/06/99/86/1196069986.db2.gz NRWHSAWLZFLEHR-HSALFYBXSA-N 0 1 324.468 3.339 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CCN(C/C=C\Cl)C[C@H]2C1 ZINC001656827148 1196073825 /nfs/dbraw/zinc/07/38/25/1196073825.db2.gz NZYRCFSNWYJCEA-XKJZPFPASA-N 0 1 322.880 3.103 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C(=O)OC)[C@@H](C)CC ZINC001656984947 1196089879 /nfs/dbraw/zinc/08/98/79/1196089879.db2.gz RQGYDJFQYZIJPK-YOEHRIQHSA-N 0 1 302.418 3.358 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@@H](c3nc4ccccc4[nH]3)C2)c1 ZINC001657596575 1196158361 /nfs/dbraw/zinc/15/83/61/1196158361.db2.gz LPMYCCRNEAGRCC-OAHLLOKOSA-N 0 1 317.396 3.209 20 30 DGEDMN Cc1c(F)nccc1CN(C)CCOCc1ccc(C#N)cc1 ZINC001659916980 1196428355 /nfs/dbraw/zinc/42/83/55/1196428355.db2.gz SUKOZAMBZWXPAC-UHFFFAOYSA-N 0 1 313.376 3.049 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccn(CC)c1C ZINC001660576442 1196499066 /nfs/dbraw/zinc/49/90/66/1196499066.db2.gz BKMIXTIDWKKQCU-UHFFFAOYSA-N 0 1 311.857 3.011 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc2c1CCC2 ZINC001660635365 1196507838 /nfs/dbraw/zinc/50/78/38/1196507838.db2.gz LODIFTCCPOOZAB-UHFFFAOYSA-N 0 1 320.864 3.370 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1ccns1)C1CC1 ZINC001660712138 1196515557 /nfs/dbraw/zinc/51/55/57/1196515557.db2.gz FQZWVFBRXBZFMT-UHFFFAOYSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC001660980163 1196549824 /nfs/dbraw/zinc/54/98/24/1196549824.db2.gz GLCLRCXWOJUTOA-WMLDXEAASA-N 0 1 318.848 3.395 20 30 DGEDMN CCC(CC)CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001661031629 1196556727 /nfs/dbraw/zinc/55/67/27/1196556727.db2.gz VFVCRYBGQLRIJS-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)c2ccccc2)CC1 ZINC001661097496 1196565470 /nfs/dbraw/zinc/56/54/70/1196565470.db2.gz QORWOJCKFQUYIN-KRWDZBQOSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CC(C2CC2)C2CC2)CC1 ZINC001661096953 1196565877 /nfs/dbraw/zinc/56/58/77/1196565877.db2.gz FTMZWRIMMOVECQ-UHFFFAOYSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CCC1CCCCC1 ZINC001661890128 1196659290 /nfs/dbraw/zinc/65/92/90/1196659290.db2.gz BDICXQDRAWXXHM-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCCC[C@H]2CNCC(=C)Cl)cc1 ZINC001661938096 1196664004 /nfs/dbraw/zinc/66/40/04/1196664004.db2.gz KZGLSEDQDHQEPE-KRWDZBQOSA-N 0 1 316.832 3.005 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](C)Cc1ccco1 ZINC001661955282 1196666105 /nfs/dbraw/zinc/66/61/05/1196666105.db2.gz ZXYCBJZSXULZDQ-ZFWWWQNUSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2cc(F)ccc2Cl)C1 ZINC001662329110 1196708659 /nfs/dbraw/zinc/70/86/59/1196708659.db2.gz IZWOISCSVIBNLB-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)NCC=C(Cl)Cl)CC1 ZINC001662330071 1196708830 /nfs/dbraw/zinc/70/88/30/1196708830.db2.gz SCGBXIZJDSQHOJ-NSHDSACASA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(Cl)c(Cl)c1 ZINC001662399697 1196717971 /nfs/dbraw/zinc/71/79/71/1196717971.db2.gz MUDWSOGOVVJOET-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2cc(C)on2)C1 ZINC001662772570 1196749963 /nfs/dbraw/zinc/74/99/63/1196749963.db2.gz IINMJKMHEHABBU-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)NCc1ccccc1I ZINC001663427477 1196854673 /nfs/dbraw/zinc/85/46/73/1196854673.db2.gz LKWYRDHXNOGTGO-UHFFFAOYSA-N 0 1 301.171 3.345 20 30 DGEDMN CC(C)C(CN[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N)C(C)C ZINC001664205740 1196980038 /nfs/dbraw/zinc/98/00/38/1196980038.db2.gz YMZBCWXRRGRUOW-GDBMZVCRSA-N 0 1 323.481 3.263 20 30 DGEDMN C#CCN[C@H](CNC(=O)CC(C)(F)F)c1ccc(C(C)C)cc1 ZINC001664562082 1197014185 /nfs/dbraw/zinc/01/41/85/1197014185.db2.gz VNUFNWWAFNVMCH-MRXNPFEDSA-N 0 1 322.399 3.235 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(C)(CC)CC)C1 ZINC001664858094 1197070629 /nfs/dbraw/zinc/07/06/29/1197070629.db2.gz AIELYKBEOUBRPL-CQSZACIVSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001664656259 1197027952 /nfs/dbraw/zinc/02/79/52/1197027952.db2.gz NCWBOONHPJBDBC-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccoc2C2CC2)C1 ZINC001664678478 1197032798 /nfs/dbraw/zinc/03/27/98/1197032798.db2.gz NWXVHRMCJMFXQR-OAHLLOKOSA-N 0 1 302.418 3.270 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc3c([nH]2)CCCC3)C1 ZINC001664685852 1197035767 /nfs/dbraw/zinc/03/57/67/1197035767.db2.gz FTTPEBGLUAKDNM-MRXNPFEDSA-N 0 1 315.461 3.006 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CCCC2(C)C)C1 ZINC001664831021 1197065230 /nfs/dbraw/zinc/06/52/30/1197065230.db2.gz YPASTPONYDTRJV-LSDHHAIUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2nsc3ccccc32)C1 ZINC001665139517 1197102605 /nfs/dbraw/zinc/10/26/05/1197102605.db2.gz XPENTWUEPJFTNH-GFCCVEGCSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCc2cc(F)ccc2F)C1 ZINC001665235702 1197112936 /nfs/dbraw/zinc/11/29/36/1197112936.db2.gz VQRRWBICGMEYSQ-CYBMUJFWSA-N 0 1 322.399 3.053 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)cc(C)c1 ZINC001665410272 1197134352 /nfs/dbraw/zinc/13/43/52/1197134352.db2.gz SBHHZUXGLRJWHJ-OALUTQOASA-N 0 1 312.457 3.445 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C\CC)CC1 ZINC001665413885 1197134445 /nfs/dbraw/zinc/13/44/45/1197134445.db2.gz ZSXREBFYWGIZKE-VMLVWOMUSA-N 0 1 302.462 3.374 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2CC(=C)C)c1ccccc1 ZINC001665432818 1197136784 /nfs/dbraw/zinc/13/67/84/1197136784.db2.gz LJTRDHINLYXPGA-FHWLQOOXSA-N 0 1 310.441 3.208 20 30 DGEDMN C#Cc1ccc(CN(C)C[C@@H]2CN(c3ccccc3)C(=O)O2)cc1 ZINC001665579146 1197153801 /nfs/dbraw/zinc/15/38/01/1197153801.db2.gz BMZJXAUIAMMKDI-LJQANCHMSA-N 0 1 320.392 3.125 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001665658704 1197166158 /nfs/dbraw/zinc/16/61/58/1197166158.db2.gz BXXFEJYIVJNQBJ-DOTOQJQBSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001665664512 1197167339 /nfs/dbraw/zinc/16/73/39/1197167339.db2.gz NPLUTECZRQKRIU-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Br)CN[C@H](CC)CNC(=O)[C@H](C)C(C)(C)C ZINC001665810076 1197200872 /nfs/dbraw/zinc/20/08/72/1197200872.db2.gz OFNPAPMUXMQKJW-NWDGAFQWSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](N[C@H](C)c2ncc(C)o2)CC1 ZINC001666287050 1197248914 /nfs/dbraw/zinc/24/89/14/1197248914.db2.gz MXOXRHQIFMODTO-BZUAXINKSA-N 0 1 319.449 3.417 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001666787084 1197285869 /nfs/dbraw/zinc/28/58/69/1197285869.db2.gz MKIWVTPSBGSVIF-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001666982200 1197305429 /nfs/dbraw/zinc/30/54/29/1197305429.db2.gz FUYOXAQOCVLGQY-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1c(C)noc1CC ZINC001667003621 1197307909 /nfs/dbraw/zinc/30/79/09/1197307909.db2.gz ZHTHGSGJGZLVJY-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)/C=C/c1ccco1 ZINC001667361348 1197340773 /nfs/dbraw/zinc/34/07/73/1197340773.db2.gz SRVFGAOKAJNKSA-WHHBIATBSA-N 0 1 322.836 3.262 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccsc1 ZINC001667358312 1197341072 /nfs/dbraw/zinc/34/10/72/1197341072.db2.gz GGLWUSFNIQSGIA-BXUZGUMPSA-N 0 1 312.866 3.331 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CCc1ccoc1 ZINC001667367864 1197341318 /nfs/dbraw/zinc/34/13/18/1197341318.db2.gz JAPQHSQTQWUJKW-CZUORRHYSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1cnc(C)o1 ZINC001667374755 1197342018 /nfs/dbraw/zinc/34/20/18/1197342018.db2.gz LATIHAILWJHSGY-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1c(C)coc1C ZINC001667374686 1197342177 /nfs/dbraw/zinc/34/21/77/1197342177.db2.gz KCHLYXFTACSJCR-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1oc(C(F)F)cc1C ZINC001668454986 1197415704 /nfs/dbraw/zinc/41/57/04/1197415704.db2.gz GKYDYKGDJHYWSY-UHFFFAOYSA-N 0 1 320.767 3.330 20 30 DGEDMN CC#CCCCC(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001668989894 1197436527 /nfs/dbraw/zinc/43/65/27/1197436527.db2.gz UETWIVKWCUGVLX-FPLPWBNLSA-N 0 1 316.420 3.090 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001669208112 1197443929 /nfs/dbraw/zinc/44/39/29/1197443929.db2.gz QXUGSXJVTQSQRS-FOSCPCJNSA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C/C=C\c1ccc(F)cc1 ZINC001669328492 1197449158 /nfs/dbraw/zinc/44/91/58/1197449158.db2.gz FNGFULHNHJTJSI-DHCBQETCSA-N 0 1 310.800 3.076 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1C(C)(C)C ZINC001669323631 1197449261 /nfs/dbraw/zinc/44/92/61/1197449261.db2.gz GKOIVCNIGSHLLR-CYBMUJFWSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(F)c(F)cc1Cl ZINC001669463050 1197456313 /nfs/dbraw/zinc/45/63/13/1197456313.db2.gz SVPRXYDNGSLYRY-MRVPVSSYSA-N 0 1 323.170 3.079 20 30 DGEDMN CC[C@@H](CC(=O)N[C@H](C)CNCc1ccccc1C#N)C(C)C ZINC001669450467 1197455479 /nfs/dbraw/zinc/45/54/79/1197455479.db2.gz IBANAFOZQXUHSQ-CVEARBPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2cccc(F)c2)CCC1 ZINC001669630163 1197465701 /nfs/dbraw/zinc/46/57/01/1197465701.db2.gz CLAZXIYZVJLYLO-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001669678182 1197469963 /nfs/dbraw/zinc/46/99/63/1197469963.db2.gz LALMVAJREQXLJG-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CC(C1CCC1)C1CCC1 ZINC001669883013 1197497821 /nfs/dbraw/zinc/49/78/21/1197497821.db2.gz UZQQKOHJLBNPAH-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC2CC(c3ccccc3)C2)CC1 ZINC001671419564 1197539555 /nfs/dbraw/zinc/53/95/55/1197539555.db2.gz RFFWUZOFOGQOOF-UHFFFAOYSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN(C(=O)/C=C/C(C)(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001671465343 1197542074 /nfs/dbraw/zinc/54/20/74/1197542074.db2.gz QMSBPHRLWDCUOM-YCABEKBOSA-N 0 1 322.468 3.263 20 30 DGEDMN CC(C(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1)=C1CCC1 ZINC001671638686 1197555714 /nfs/dbraw/zinc/55/57/14/1197555714.db2.gz VAIUOGBDYQEDQG-FQEVSTJZSA-N 0 1 323.440 3.139 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CC2CCC(C)(C)CC2)C1 ZINC001671921810 1197585504 /nfs/dbraw/zinc/58/55/04/1197585504.db2.gz OYMSOMBIRIAGCT-FQEVSTJZSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C=C(CC)CC)c1ccccc1CC ZINC001672176663 1197594805 /nfs/dbraw/zinc/59/48/05/1197594805.db2.gz MAPQNUGYRZDBSH-IBGZPJMESA-N 0 1 312.457 3.376 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(CC)CC)c1ccccc1CC ZINC001672182898 1197595210 /nfs/dbraw/zinc/59/52/10/1197595210.db2.gz KZKLDPMPZGPUEM-SFHVURJKSA-N 0 1 314.473 3.455 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C1CCC1 ZINC001672384012 1197608744 /nfs/dbraw/zinc/60/87/44/1197608744.db2.gz HVXYXJYPCOGAPT-UHFFFAOYSA-N 0 1 306.837 3.157 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C(C)(C)C(C)(F)F ZINC001672933921 1197629658 /nfs/dbraw/zinc/62/96/58/1197629658.db2.gz OCRKFJHADWWTSB-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001673420718 1197660021 /nfs/dbraw/zinc/66/00/21/1197660021.db2.gz HCQFFTLSWCDAKM-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C/C=C(\C)C=C)cc2C1 ZINC001673765087 1197690886 /nfs/dbraw/zinc/69/08/86/1197690886.db2.gz JPLHQHQCQZIYKS-FRKPEAEDSA-N 0 1 308.425 3.164 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC001674139460 1197737621 /nfs/dbraw/zinc/73/76/21/1197737621.db2.gz BHNLYUONQTWUJS-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@@H](C)C1 ZINC001674139981 1197738076 /nfs/dbraw/zinc/73/80/76/1197738076.db2.gz DFCCIXXHPUGQNN-GJZGRUSLSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCN(CCF)[C@H](C)C2)CCCCC1 ZINC001674146314 1197739090 /nfs/dbraw/zinc/73/90/90/1197739090.db2.gz CKFMVUHCMIUMMU-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1c(F)cccc1Cl ZINC001674659909 1197823920 /nfs/dbraw/zinc/82/39/20/1197823920.db2.gz BBMJATOPNTVNOJ-OLZOCXBDSA-N 0 1 324.827 3.265 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1c(C)onc1CC ZINC001674736517 1197854053 /nfs/dbraw/zinc/85/40/53/1197854053.db2.gz WKXGLIIWVPBEKZ-STQMWFEESA-N 0 1 321.465 3.131 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@H](c2cccs2)N(C)C)cc1 ZINC001675007225 1197956595 /nfs/dbraw/zinc/95/65/95/1197956595.db2.gz QETRAPUTAYYOOD-OAHLLOKOSA-N 0 1 313.426 3.154 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1cnoc1C ZINC001675263199 1198025528 /nfs/dbraw/zinc/02/55/28/1198025528.db2.gz NKEKBGLNYJXTLQ-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001675332639 1198045599 /nfs/dbraw/zinc/04/55/99/1198045599.db2.gz SQJBCAOYPDUZQM-HUUCEWRRSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001675354649 1198051843 /nfs/dbraw/zinc/05/18/43/1198051843.db2.gz VGJLCUKFKQYUJN-APWZRJJASA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC2C3CC4CC(C3)CC2C4)C1 ZINC001675363544 1198054369 /nfs/dbraw/zinc/05/43/69/1198054369.db2.gz KSJOIKQJOKHHBM-ZXZQKFIBSA-N 0 1 316.489 3.071 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(C/C=C/c2ccccc2)CC1(C)C ZINC001675441507 1198067306 /nfs/dbraw/zinc/06/73/06/1198067306.db2.gz JNONZDKQXOHGJI-PEKVBPLLSA-N 0 1 312.457 3.493 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@@H](F)CC)CC1(C)C ZINC001675467174 1198073363 /nfs/dbraw/zinc/07/33/63/1198073363.db2.gz AAOMUSLAXUCUKS-JKSUJKDBSA-N 0 1 310.457 3.145 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)Cc2cccc(F)c2)C(C)(C)C1 ZINC001675487219 1198079656 /nfs/dbraw/zinc/07/96/56/1198079656.db2.gz TXTAJOKPKMGBQW-PBHICJAKSA-N 0 1 318.436 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C)(C)CCC ZINC001676243473 1198259724 /nfs/dbraw/zinc/25/97/24/1198259724.db2.gz FZHTWRKUHYTMHF-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001676419020 1198317943 /nfs/dbraw/zinc/31/79/43/1198317943.db2.gz XDIUPTPWPKPQQX-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN CCCC(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001677188912 1198479626 /nfs/dbraw/zinc/47/96/26/1198479626.db2.gz NEFLVRYSZWTYFV-UHFFFAOYSA-N 0 1 301.434 3.027 20 30 DGEDMN C#CCCCC(=O)N(CCN(C)Cc1ccc(F)cc1)C(C)C ZINC001677194636 1198482995 /nfs/dbraw/zinc/48/29/95/1198482995.db2.gz RJXHDELULWVYKF-UHFFFAOYSA-N 0 1 318.436 3.298 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H]1CC[C@H](C2CCC2)CC1)C(C)C ZINC001677201805 1198485134 /nfs/dbraw/zinc/48/51/34/1198485134.db2.gz RRYBDWYNJBPDFO-WGSAOQKQSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1ccc(C)c(C)c1)C(C)C ZINC001677219269 1198493372 /nfs/dbraw/zinc/49/33/72/1198493372.db2.gz PLLFEEPNHPZNQB-UHFFFAOYSA-N 0 1 314.473 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1conc1C)C(C)(C)C ZINC001677252281 1198502178 /nfs/dbraw/zinc/50/21/78/1198502178.db2.gz GNGMXCJGLCBCSZ-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1occc1Cl)C(C)(C)C ZINC001677281463 1198508850 /nfs/dbraw/zinc/50/88/50/1198508850.db2.gz JKEORICAUQSDLG-LLVKDONJSA-N 0 1 319.232 3.420 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001677566411 1198553884 /nfs/dbraw/zinc/55/38/84/1198553884.db2.gz CSMUROFTFQQKNT-UHFFFAOYSA-N 0 1 323.440 3.163 20 30 DGEDMN C=C1CN(C(=O)NC[C@H](c2ccccc2Cl)N(CC)CC)C1 ZINC001682476544 1199164601 /nfs/dbraw/zinc/16/46/01/1199164601.db2.gz GRHFLOOFBKDJCG-MRXNPFEDSA-N 0 1 321.852 3.304 20 30 DGEDMN C[C@@]1(CO)CCCN(CC#Cc2ccc(C(F)(F)F)cc2)C1 ZINC000305230226 1199678689 /nfs/dbraw/zinc/67/86/89/1199678689.db2.gz RHPXIMICPYZFQP-MRXNPFEDSA-N 0 1 311.347 3.151 20 30 DGEDMN C[C@H](CC1CCCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000114618504 1199706151 /nfs/dbraw/zinc/70/61/51/1199706151.db2.gz SGOLFEMHVOJIJK-CZUORRHYSA-N 0 1 304.434 3.361 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC1CC(c2ccccc2)C1 ZINC001688878928 1199939185 /nfs/dbraw/zinc/93/91/85/1199939185.db2.gz SAIIMGXWFRVHLN-LYBXBRPPSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H]2CCN2CCCF)CCCCC1 ZINC001688897355 1199950415 /nfs/dbraw/zinc/95/04/15/1199950415.db2.gz UXQZOHSLTSKTKZ-MRXNPFEDSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001688897595 1199950715 /nfs/dbraw/zinc/95/07/15/1199950715.db2.gz ZBLSRXDABZZLEB-HIFRSBDPSA-N 0 1 322.399 3.177 20 30 DGEDMN CCCCCC(=O)NC[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001688900125 1199951347 /nfs/dbraw/zinc/95/13/47/1199951347.db2.gz XVGJPHVBGONIIJ-RHNCMZPLSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001689001143 1199987602 /nfs/dbraw/zinc/98/76/02/1199987602.db2.gz XLVAPELDPMZKCG-VBQJREDUSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)Cc1ccc(F)cc1C ZINC001753854734 1200002942 /nfs/dbraw/zinc/00/29/42/1200002942.db2.gz VNDGWCOCILWUNG-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001753861890 1200011858 /nfs/dbraw/zinc/01/18/58/1200011858.db2.gz UMIMXYZJEKFWOC-LJQANCHMSA-N 0 1 313.445 3.051 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](C)C1CCC1 ZINC001689091918 1200025169 /nfs/dbraw/zinc/02/51/69/1200025169.db2.gz WMUDNTDPXWLKPL-INWMFGNUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@@H](NCc2ocnc2C)C1 ZINC001689262596 1200074920 /nfs/dbraw/zinc/07/49/20/1200074920.db2.gz UBXLPFIHRZJSSW-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2cc(C)on2)CCCC1 ZINC001754028234 1200077696 /nfs/dbraw/zinc/07/76/96/1200077696.db2.gz PKBYBZBLCYVGQZ-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1cc(C)cc(C)c1 ZINC001754065243 1200091349 /nfs/dbraw/zinc/09/13/49/1200091349.db2.gz YUTDEWOVDUUJAQ-UHFFFAOYSA-N 0 1 308.853 3.037 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C)c2c1CCC2 ZINC001754084522 1200099183 /nfs/dbraw/zinc/09/91/83/1200099183.db2.gz IQRVQLNIQDQPCQ-UHFFFAOYSA-N 0 1 320.864 3.288 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC1CC(C)(C)C1 ZINC001689352679 1200105213 /nfs/dbraw/zinc/10/52/13/1200105213.db2.gz NPESIGDSXCGKHV-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CCC1CCCCC1 ZINC001689354164 1200107435 /nfs/dbraw/zinc/10/74/35/1200107435.db2.gz WTETZWSLOJIIQU-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C[C@H](CNCc1c(F)cccc1Cl)NC(=O)C#CC(C)(C)C ZINC001754226654 1200140193 /nfs/dbraw/zinc/14/01/93/1200140193.db2.gz VWSKXADXTAXEPA-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001754227927 1200141696 /nfs/dbraw/zinc/14/16/96/1200141696.db2.gz UFYUEBZBVFLMPM-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001754231927 1200143218 /nfs/dbraw/zinc/14/32/18/1200143218.db2.gz GRHQSANSPPGFJN-HUUCEWRRSA-N 0 1 315.461 3.225 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001754279531 1200169461 /nfs/dbraw/zinc/16/94/61/1200169461.db2.gz NOZZUBAERRZIEX-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN[C@H](C)c2ccc(F)cc2F)C1 ZINC001754282863 1200170923 /nfs/dbraw/zinc/17/09/23/1200170923.db2.gz PVYGREMEAYRVFO-QWHCGFSZSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Br)CNC[C@H](C)NC(=O)[C@@H](CCC)C(C)C ZINC001754285970 1200173626 /nfs/dbraw/zinc/17/36/26/1200173626.db2.gz SUNJTWIOMGVURE-STQMWFEESA-N 0 1 319.287 3.062 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCN1[C@@H](C)c1ccc2c(c1)CCC2 ZINC001754480883 1200292117 /nfs/dbraw/zinc/29/21/17/1200292117.db2.gz NXYICEUUYGHCCQ-JXFKEZNVSA-N 0 1 324.468 3.230 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1ccc(Cl)nc1 ZINC001689820728 1200297177 /nfs/dbraw/zinc/29/71/77/1200297177.db2.gz BILXTWJSDZVJLZ-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001754485047 1200297052 /nfs/dbraw/zinc/29/70/52/1200297052.db2.gz NJCXEMQEGYUMCO-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1CC[C@@H]1CCCC1(F)F ZINC001754492328 1200302779 /nfs/dbraw/zinc/30/27/79/1200302779.db2.gz AGTFXOMOWWGCKB-LSDHHAIUSA-N 0 1 314.420 3.359 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001754492250 1200302887 /nfs/dbraw/zinc/30/28/87/1200302887.db2.gz YUIYSRQRQDITQC-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001754493331 1200305077 /nfs/dbraw/zinc/30/50/77/1200305077.db2.gz VBGNHWKNKMVWAC-MJGOQNOKSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(F)ccc1C ZINC001689948095 1200340705 /nfs/dbraw/zinc/34/07/05/1200340705.db2.gz SQDCTMXETBCIQA-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cc(C)cc2C)CC1 ZINC001754532163 1200344339 /nfs/dbraw/zinc/34/43/39/1200344339.db2.gz DNWPGYULRKNFBF-UHFFFAOYSA-N 0 1 306.837 3.216 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C/C=C\c2ccc(F)cc2)C1 ZINC001754578665 1200374723 /nfs/dbraw/zinc/37/47/23/1200374723.db2.gz OWBPAAIQSYYPHW-SREVYHEPSA-N 0 1 316.420 3.338 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc(F)ccc2F)C1 ZINC001754576139 1200374750 /nfs/dbraw/zinc/37/47/50/1200374750.db2.gz AZTKSFHMOZXREJ-LBPRGKRZSA-N 0 1 308.372 3.076 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CN(C[C@H]2CCCC(F)(F)C2)C1 ZINC001754580037 1200375894 /nfs/dbraw/zinc/37/58/94/1200375894.db2.gz LRCMSZCNTJHDIE-ZDUSSCGKSA-N 0 1 314.420 3.167 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)C2CC3(CCC3)C2)C1 ZINC001754581075 1200376060 /nfs/dbraw/zinc/37/60/60/1200376060.db2.gz ALKIBDAVHLZGMN-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC001754584186 1200379880 /nfs/dbraw/zinc/37/98/80/1200379880.db2.gz AAEDSNLSSVJRSI-OAHLLOKOSA-N 0 1 317.477 3.324 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2ncccc2C)C1 ZINC001690044133 1200382890 /nfs/dbraw/zinc/38/28/90/1200382890.db2.gz AVKYOLKOOONSKW-IRXDYDNUSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCC(C)(C)c2ccccc2)C1 ZINC001754587897 1200383787 /nfs/dbraw/zinc/38/37/87/1200383787.db2.gz DECUJSCJFPRMPD-UHFFFAOYSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)c(C)o2)C[C@@H]1C ZINC001754596380 1200388061 /nfs/dbraw/zinc/38/80/61/1200388061.db2.gz WCDOIZQZOSBTRA-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)c(C)o2)C[C@H]1C ZINC001754596378 1200388263 /nfs/dbraw/zinc/38/82/63/1200388263.db2.gz WCDOIZQZOSBTRA-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1ccncc1Cl ZINC001754610281 1200395082 /nfs/dbraw/zinc/39/50/82/1200395082.db2.gz BBQHIMSEGWOEOK-HNNXBMFYSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2oc(C(C)C)nc2C)C1 ZINC001754669224 1200431937 /nfs/dbraw/zinc/43/19/37/1200431937.db2.gz ZDTRCAXGFAYZCY-GOSISDBHSA-N 0 1 319.449 3.153 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc2ccccc2[nH]1)C1CC1 ZINC001690179007 1200435793 /nfs/dbraw/zinc/43/57/93/1200435793.db2.gz ZHSGRHOIOSNSCO-MRXNPFEDSA-N 0 1 317.820 3.018 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)/C=C(/C)C1CC1)c1ccccc1CC ZINC001754784655 1200487449 /nfs/dbraw/zinc/48/74/49/1200487449.db2.gz DSNYSONVCOUVEO-HZMYXVCSSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(CC)CC)c1ccccc1CC ZINC001754784990 1200487851 /nfs/dbraw/zinc/48/78/51/1200487851.db2.gz HCQFLRCMYPSUHL-GOSISDBHSA-N 0 1 300.446 3.065 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(C)CCC1)c1ccccc1CC ZINC001754784481 1200488087 /nfs/dbraw/zinc/48/80/87/1200488087.db2.gz DBMMQZHUAGZACT-SFHVURJKSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCCN(Cc2cncs2)C1 ZINC001690388467 1200532141 /nfs/dbraw/zinc/53/21/41/1200532141.db2.gz JWGQECMOOXOFND-RHSMWYFYSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](CC)CCC ZINC001754946474 1200548293 /nfs/dbraw/zinc/54/82/93/1200548293.db2.gz JUNFXCYLVLSGBU-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)Cc1ccccc1F ZINC001690455297 1200570497 /nfs/dbraw/zinc/57/04/97/1200570497.db2.gz RTYHWELYSFGTKT-NVXWUHKLSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cc(C)ns2)CC1 ZINC001755028509 1200588906 /nfs/dbraw/zinc/58/89/06/1200588906.db2.gz NISZBDCIIMDVQV-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001755070970 1200624371 /nfs/dbraw/zinc/62/43/71/1200624371.db2.gz DFBNMSOZFBGSMB-OAHLLOKOSA-N 0 1 319.449 3.138 20 30 DGEDMN CC[C@@H](C)CCC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001690633945 1200660901 /nfs/dbraw/zinc/66/09/01/1200660901.db2.gz NDUYWQAQELPXRW-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC(C)(CC)C(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001690649404 1200670520 /nfs/dbraw/zinc/67/05/20/1200670520.db2.gz XIDRGAVHBUAANJ-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C/Cl ZINC001755187044 1200685045 /nfs/dbraw/zinc/68/50/45/1200685045.db2.gz SLQWSXDXVLMRBI-XKQHDHNFSA-N 0 1 318.848 3.323 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)C[C@H](C)CCC=C(C)C ZINC001755199019 1200696234 /nfs/dbraw/zinc/69/62/34/1200696234.db2.gz NBOSVILNKAENCH-QZTJIDSGSA-N 0 1 304.478 3.315 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](C)CCC=C(C)C ZINC001755199019 1200696236 /nfs/dbraw/zinc/69/62/36/1200696236.db2.gz NBOSVILNKAENCH-QZTJIDSGSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC001690780332 1200711125 /nfs/dbraw/zinc/71/11/25/1200711125.db2.gz IEWCVMVDVDGLEU-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1cc(O)ccc1Cl ZINC001755249052 1200733984 /nfs/dbraw/zinc/73/39/84/1200733984.db2.gz NJOKATUHDJVBKB-NEPJUHHUSA-N 0 1 324.852 3.241 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)NCc1nc2ccccc2o1 ZINC001690857745 1200752938 /nfs/dbraw/zinc/75/29/38/1200752938.db2.gz MOAWTVPSOXLWAM-CYBMUJFWSA-N 0 1 315.417 3.024 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C)c2ccccc12 ZINC001755318041 1200806062 /nfs/dbraw/zinc/80/60/62/1200806062.db2.gz HSUDTTRLRIYVRR-INIZCTEOSA-N 0 1 308.425 3.222 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cnc(C3CC3)o2)C1 ZINC001691853317 1200837301 /nfs/dbraw/zinc/83/73/01/1200837301.db2.gz UMHPJXOHCJKVQV-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3cccnc3c2)C1 ZINC001691864695 1200844350 /nfs/dbraw/zinc/84/43/50/1200844350.db2.gz RPLSHVWFHIZUPA-SFHVURJKSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[C@@H](NCc2csc(C)n2)C1 ZINC001691879663 1200854781 /nfs/dbraw/zinc/85/47/81/1200854781.db2.gz WWFDTHRPCUTZIB-LSDHHAIUSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001691893155 1200860732 /nfs/dbraw/zinc/86/07/32/1200860732.db2.gz NPNNDEQRLXSTDB-KBPBESRZSA-N 0 1 300.874 3.249 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001755374459 1200861120 /nfs/dbraw/zinc/86/11/20/1200861120.db2.gz LHSLOIPFHDEMES-CQSZACIVSA-N 0 1 304.409 3.037 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001755385978 1200868072 /nfs/dbraw/zinc/86/80/72/1200868072.db2.gz HTVYQBFLBKQKIQ-VQIMIIECSA-N 0 1 314.473 3.124 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CN(C/C=C/c2ccccc2)CC1(C)C ZINC001755407986 1200882693 /nfs/dbraw/zinc/88/26/93/1200882693.db2.gz UVKVFLODYRPVBO-QHSLDKKCSA-N 0 1 324.468 3.330 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN(C/C=C\c2ccccc2)CC1(C)C ZINC001755407987 1200883887 /nfs/dbraw/zinc/88/38/87/1200883887.db2.gz UVKVFLODYRPVBO-QTJNJRLBSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CN(C)Cc2cccc(F)c2F)C1 ZINC001691954204 1200885173 /nfs/dbraw/zinc/88/51/73/1200885173.db2.gz YZUXLZYAIQDVBK-CQSZACIVSA-N 0 1 322.399 3.211 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001755415585 1200891014 /nfs/dbraw/zinc/89/10/14/1200891014.db2.gz JNYKZRRJUXWGIE-JKSUJKDBSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001755415798 1200891264 /nfs/dbraw/zinc/89/12/64/1200891264.db2.gz LWFKFQFJDPKTIA-CVEARBPZSA-N 0 1 310.457 3.308 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)CCC=C(C)C)[C@H]1CC ZINC001692012112 1200910274 /nfs/dbraw/zinc/91/02/74/1200910274.db2.gz TYSCLTPMKPUYSJ-OKZBNKHCSA-N 0 1 304.478 3.361 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1ocnc1C ZINC001692083694 1200925622 /nfs/dbraw/zinc/92/56/22/1200925622.db2.gz COCHTXMBCWZKLZ-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](CNCc1ccncc1Cl)C1CC1 ZINC001755556767 1200951650 /nfs/dbraw/zinc/95/16/50/1200951650.db2.gz SWDLDXQNLACXPB-MRXNPFEDSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001755562375 1200954150 /nfs/dbraw/zinc/95/41/50/1200954150.db2.gz MTALBDGNOAPJSI-MAUPQMMJSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cncc(C)c2)[C@@H]1CC ZINC001692201268 1200968998 /nfs/dbraw/zinc/96/89/98/1200968998.db2.gz AWYCJLZSNPWDKB-ZWKOTPCHSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC)CC2CCCC2)[C@H]1CC ZINC001692209198 1200971141 /nfs/dbraw/zinc/97/11/41/1200971141.db2.gz NLVQJJIZRZSUFZ-FGTMMUONSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001692241051 1200980725 /nfs/dbraw/zinc/98/07/25/1200980725.db2.gz BRDIBDRIHXLDDM-ZYSHUDEJSA-N 0 1 312.457 3.254 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(Cl)cs1 ZINC001755607259 1200985966 /nfs/dbraw/zinc/98/59/66/1200985966.db2.gz QXJZMIRSMOHMIR-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](CC)CC(F)(F)F ZINC001755610999 1200987282 /nfs/dbraw/zinc/98/72/82/1200987282.db2.gz SWNXUSHNGIQWIJ-QWRGUYRKSA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2c(F)cccc2F)C[C@@H]1C ZINC001755675079 1201009630 /nfs/dbraw/zinc/00/96/30/1201009630.db2.gz IFSFWFKOPPTDJW-KBPBESRZSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2c(F)cccc2F)C[C@H]1C ZINC001755683634 1201012718 /nfs/dbraw/zinc/01/27/18/1201012718.db2.gz YVZGQFVJNXLBDN-ZIAGYGMSSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C[C@H]1C ZINC001755683576 1201012789 /nfs/dbraw/zinc/01/27/89/1201012789.db2.gz YHPJORHODHWNHJ-CJNGLKHVSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001692337809 1201025797 /nfs/dbraw/zinc/02/57/97/1201025797.db2.gz KDLFUMWINAJEDZ-INIZCTEOSA-N 0 1 300.446 3.113 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)[C@H]1C ZINC001755714684 1201034021 /nfs/dbraw/zinc/03/40/21/1201034021.db2.gz OKHYBEAILPKGOE-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2sc(C)nc2C)[C@@H]1C ZINC001755715449 1201036252 /nfs/dbraw/zinc/03/62/52/1201036252.db2.gz ZENVVNOMYHTSER-UKRRQHHQSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001755719032 1201039412 /nfs/dbraw/zinc/03/94/12/1201039412.db2.gz SZHZVXQOUPGLBL-OLZOCXBDSA-N 0 1 306.372 3.264 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)CC(F)(F)F)[C@@H]1C ZINC001755721651 1201042684 /nfs/dbraw/zinc/04/26/84/1201042684.db2.gz PBNUNUDZTIQAFT-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001755890589 1201120554 /nfs/dbraw/zinc/12/05/54/1201120554.db2.gz JBSPZQPGRNWXTO-UHFFFAOYSA-N 0 1 323.440 3.019 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)[C@H](F)Cc2ccccc2)C1 ZINC001698587144 1201260866 /nfs/dbraw/zinc/26/08/66/1201260866.db2.gz NFYRCEMVCTZMBL-ZWKOTPCHSA-N 0 1 318.436 3.066 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001698587198 1201260943 /nfs/dbraw/zinc/26/09/43/1201260943.db2.gz FTAPFMYAUNWROK-FUHWJXTLSA-N 0 1 300.446 3.207 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CC2CCCCCC2)C1 ZINC001698589160 1201263549 /nfs/dbraw/zinc/26/35/49/1201263549.db2.gz ZDTHOZITACSOQY-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](CC)NCc1cc(F)ccc1C#N ZINC001698594137 1201267541 /nfs/dbraw/zinc/26/75/41/1201267541.db2.gz FRUYKHUNSSLQLP-JIOITTSWSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](CC)CC(C)C ZINC001698732948 1201372224 /nfs/dbraw/zinc/37/22/24/1201372224.db2.gz AELKIEAGBJXAPX-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)CN[C@H](C)c2ncc(C)o2)CC1 ZINC001698764552 1201398504 /nfs/dbraw/zinc/39/85/04/1201398504.db2.gz GSFDEFARBXZQTA-DZGCQCFKSA-N 0 1 319.449 3.132 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001698764555 1201398527 /nfs/dbraw/zinc/39/85/27/1201398527.db2.gz GSFDEFARBXZQTA-ZFWWWQNUSA-N 0 1 319.449 3.132 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H]2CN(CCCC)CCO2)CCCCC1 ZINC001698790815 1201421787 /nfs/dbraw/zinc/42/17/87/1201421787.db2.gz OQOOLXJUGVHNEB-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)CCC1CCC1 ZINC001698817287 1201462897 /nfs/dbraw/zinc/46/28/97/1201462897.db2.gz CLZODCZVGZBOIV-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1cccc(Cl)n1 ZINC001698824484 1201473518 /nfs/dbraw/zinc/47/35/18/1201473518.db2.gz LRSNFVUOIUEGIQ-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1F ZINC001698824511 1201474950 /nfs/dbraw/zinc/47/49/50/1201474950.db2.gz MBVYOAOVAKBUCJ-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1c(C)cc(C)cc1C ZINC001698843645 1201497170 /nfs/dbraw/zinc/49/71/70/1201497170.db2.gz DYUKDCXYOLQVBT-INIZCTEOSA-N 0 1 322.880 3.343 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)[C@@H](CC)CCC ZINC001698852459 1201508477 /nfs/dbraw/zinc/50/84/77/1201508477.db2.gz HPKZHASXBRNXFF-STQMWFEESA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C1CC2(CC2)C1 ZINC001698950100 1201596630 /nfs/dbraw/zinc/59/66/30/1201596630.db2.gz NZHBZZVFJNHOOV-SWLSCSKDSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001698984761 1201644560 /nfs/dbraw/zinc/64/45/60/1201644560.db2.gz CQMVVVMKSHZCNQ-UHFFFAOYSA-N 0 1 322.399 3.049 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CCc1cccs1 ZINC001750930695 1201725651 /nfs/dbraw/zinc/72/56/51/1201725651.db2.gz TWOVFUZIJOLNTR-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001752085497 1201775222 /nfs/dbraw/zinc/77/52/22/1201775222.db2.gz SOUYRONXOSRXLR-KBPBESRZSA-N 0 1 321.490 3.240 20 30 DGEDMN CC1(C)CC[C@@H](C(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001699303946 1201783899 /nfs/dbraw/zinc/78/38/99/1201783899.db2.gz ALYOPNALFIPUBH-LIXSYLKWSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cccc(C)c1C ZINC001752229765 1201802899 /nfs/dbraw/zinc/80/28/99/1201802899.db2.gz OZSPSSFROPVFSG-UHFFFAOYSA-N 0 1 308.853 3.498 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001699364455 1201812588 /nfs/dbraw/zinc/81/25/88/1201812588.db2.gz UUXUFHMXRVAGOH-KGLIPLIRSA-N 0 1 315.417 3.339 20 30 DGEDMN CCCC1(C(=O)N[C@H](C)CNCc2ccccc2C#N)CCC1 ZINC001699378196 1201823919 /nfs/dbraw/zinc/82/39/19/1201823919.db2.gz XBWBNNSMORZNRB-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCN(Cc2conc2C)CC1 ZINC001752303716 1201826971 /nfs/dbraw/zinc/82/69/71/1201826971.db2.gz GOKNXMXJHGGETR-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2CC(C)(C)C2)CC1 ZINC001752433187 1201837861 /nfs/dbraw/zinc/83/78/61/1201837861.db2.gz DTJOOAAIMRJHHB-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC(C)=C(C)C2)CC1 ZINC001752439416 1201838629 /nfs/dbraw/zinc/83/86/29/1201838629.db2.gz DRJYYSNLGNWCDZ-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2ccncc2Cl)CC1 ZINC001699417177 1201850597 /nfs/dbraw/zinc/85/05/97/1201850597.db2.gz ANSUQHDPWOPWFJ-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(CC(C)C)c[nH]1)C1CC1 ZINC001752490788 1201853674 /nfs/dbraw/zinc/85/36/74/1201853674.db2.gz LHEMACSWYFSUTO-MRXNPFEDSA-N 0 1 323.868 3.064 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCC[C@@H](C)CC ZINC001752536445 1201871786 /nfs/dbraw/zinc/87/17/86/1201871786.db2.gz WEFKLUQKDZOAHO-OKZBNKHCSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2nc(C)sc2C)C1 ZINC001752632746 1201881575 /nfs/dbraw/zinc/88/15/75/1201881575.db2.gz KEDTXOPZVQIDDW-HDJSIYSDSA-N 0 1 321.490 3.099 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc2cccc(C)c2s1 ZINC001699451506 1201887837 /nfs/dbraw/zinc/88/78/37/1201887837.db2.gz UAVPKOYAGZBFOU-AWEZNQCLSA-N 0 1 314.454 3.283 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN[C@@H](C)c2ncc(C)o2)CC1 ZINC001699531057 1201938178 /nfs/dbraw/zinc/93/81/78/1201938178.db2.gz TZXTUJIQTUMWNB-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1c(C)nsc1C ZINC001752816878 1201942434 /nfs/dbraw/zinc/94/24/34/1201942434.db2.gz GGIDVCJBJJNWHX-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1c(C)nsc1C ZINC001752816879 1201944330 /nfs/dbraw/zinc/94/43/30/1201944330.db2.gz GGIDVCJBJJNWHX-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H](C)C1CCCCC1 ZINC001752824316 1201954118 /nfs/dbraw/zinc/95/41/18/1201954118.db2.gz UPCJIHQMHBNTRX-FUHWJXTLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC1CCC(C)(C)CC1 ZINC001752826264 1201955524 /nfs/dbraw/zinc/95/55/24/1201955524.db2.gz YPDMWURDNCIQSZ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C12CCC(CC1)C2 ZINC001752828897 1201956961 /nfs/dbraw/zinc/95/69/61/1201956961.db2.gz JSZFJPBWIRQITC-CKDBGZEDSA-N 0 1 310.869 3.290 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@@H]1[C@H]1CCC[N@@H+]1C/C=C/Cl ZINC001752831269 1201957114 /nfs/dbraw/zinc/95/71/14/1201957114.db2.gz CTCUDBDWDKVMGK-OKYZRPEMSA-N 0 1 322.880 3.388 20 30 DGEDMN C=CCC1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CCC1 ZINC001699663453 1202022614 /nfs/dbraw/zinc/02/26/14/1202022614.db2.gz MTFYWBKZDAKBJK-UHFFFAOYSA-N 0 1 322.452 3.023 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001699663731 1202023392 /nfs/dbraw/zinc/02/33/92/1202023392.db2.gz VJNNMNRDIZGOKG-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001699691965 1202037128 /nfs/dbraw/zinc/03/71/28/1202037128.db2.gz ZJRSFLZUNOBJEK-HRCADAONSA-N 0 1 310.457 3.402 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC001752996591 1202047113 /nfs/dbraw/zinc/04/71/13/1202047113.db2.gz GTYABULXBWDIEU-SFHVURJKSA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(C)c2F)C1 ZINC001699713300 1202047836 /nfs/dbraw/zinc/04/78/36/1202047836.db2.gz GAWKHXPESNCNLZ-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccccc2CC)C1 ZINC001699718159 1202050219 /nfs/dbraw/zinc/05/02/19/1202050219.db2.gz OKMGPAWDGFUVAW-OAHLLOKOSA-N 0 1 300.446 3.360 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2cc(C)on2)CCCC1 ZINC001753018618 1202062342 /nfs/dbraw/zinc/06/23/42/1202062342.db2.gz MTIQDRFDAMGJJP-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN(C(=O)/C(C)=C/CC)C1CCN(C/C=C/Cl)CC1 ZINC001699777578 1202076487 /nfs/dbraw/zinc/07/64/87/1202076487.db2.gz SLORJWIKAHXTFD-NNGXMHFZSA-N 0 1 308.853 3.021 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CCC)C1CCN(C/C=C/Cl)CC1 ZINC001699785551 1202077363 /nfs/dbraw/zinc/07/73/63/1202077363.db2.gz SUJHLIOAEAGOMJ-BPLPYTOXSA-N 0 1 324.896 3.491 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C=C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001699784554 1202077558 /nfs/dbraw/zinc/07/75/58/1202077558.db2.gz LCYIIIQRSXRREI-BJIFSAINSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C=C)C1CCN(C[C@@H](F)CC)CC1 ZINC001699781973 1202077734 /nfs/dbraw/zinc/07/77/34/1202077734.db2.gz PNIUNFZBSRRIKI-INIZCTEOSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1ccco1)C1CCCC1 ZINC001699797336 1202082733 /nfs/dbraw/zinc/08/27/33/1202082733.db2.gz UJROCECQEXCRGY-HIFRSBDPSA-N 0 1 324.852 3.400 20 30 DGEDMN CCCC1(C(=O)NCCN(C)Cc2ccc(C#N)cc2)CCC1 ZINC001753060594 1202091191 /nfs/dbraw/zinc/09/11/91/1202091191.db2.gz UYUCUGUDVWFOPZ-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@]1(C)CCN(C/C=C/c2ccccc2)C1 ZINC001699815233 1202094047 /nfs/dbraw/zinc/09/40/47/1202094047.db2.gz IBWRSWQWAZJABX-OGECGYFKSA-N 0 1 324.468 3.330 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001753069853 1202099651 /nfs/dbraw/zinc/09/96/51/1202099651.db2.gz QPYPFJBPOKIABX-INIZCTEOSA-N 0 1 315.461 3.179 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(C)c(C)cc1Cl ZINC001753086390 1202116351 /nfs/dbraw/zinc/11/63/51/1202116351.db2.gz PFENVEOAOFXQRY-UHFFFAOYSA-N 0 1 306.837 3.032 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1cccc(C#N)c1 ZINC001753097631 1202129492 /nfs/dbraw/zinc/12/94/92/1202129492.db2.gz QOQXKPOHOXMDOL-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001753097631 1202129498 /nfs/dbraw/zinc/12/94/98/1202129498.db2.gz QOQXKPOHOXMDOL-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H](C)c1ccco1)c1ccccc1CC ZINC001699932906 1202140384 /nfs/dbraw/zinc/14/03/84/1202140384.db2.gz UIIOXEGBWHZENH-QAPCUYQASA-N 0 1 324.424 3.026 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)c1ccco1)c1ccccc1CC ZINC001699932903 1202140591 /nfs/dbraw/zinc/14/05/91/1202140591.db2.gz UIIOXEGBWHZENH-MAUKXSAKSA-N 0 1 324.424 3.026 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN2C[C@H](F)CC)CCCC1 ZINC001753118433 1202148170 /nfs/dbraw/zinc/14/81/70/1202148170.db2.gz IDLYUWAQHDBHFC-CVEARBPZSA-N 0 1 310.457 3.452 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(C(C)(C)C)s1)C1CC1 ZINC001753164804 1202178741 /nfs/dbraw/zinc/17/87/41/1202178741.db2.gz HJDPZRCWYWCBQX-UHFFFAOYSA-N 0 1 318.486 3.263 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1cc(C)ccc1F ZINC001753219398 1202185131 /nfs/dbraw/zinc/18/51/31/1202185131.db2.gz NVGUHWGVCMVBBZ-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001753226125 1202185384 /nfs/dbraw/zinc/18/53/84/1202185384.db2.gz LFYQHFBCTWVKQC-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1c(F)ccc(F)c1Cl ZINC001753233593 1202187108 /nfs/dbraw/zinc/18/71/08/1202187108.db2.gz OQYFJKQVIGIOJH-UHFFFAOYSA-N 0 1 323.170 3.032 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@H](CCC)C(C)C ZINC001753236784 1202187719 /nfs/dbraw/zinc/18/77/19/1202187719.db2.gz HSQWXJVENHTXAI-CYBMUJFWSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC)Cc1ccccc1C ZINC001753252424 1202190035 /nfs/dbraw/zinc/19/00/35/1202190035.db2.gz XWYSXIJRSIYXCM-INIZCTEOSA-N 0 1 322.880 3.364 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CCC1(F)F)C(C)C ZINC001753287515 1202195358 /nfs/dbraw/zinc/19/53/58/1202195358.db2.gz YWAUNIDGODGOEI-LBPRGKRZSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)CCC(F)(F)F)C(C)C ZINC001753284270 1202195438 /nfs/dbraw/zinc/19/54/38/1202195438.db2.gz QCPGUUZPBABMKC-UHFFFAOYSA-N 0 1 314.779 3.298 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCCN(Cc2conc2C)CC1 ZINC001700177302 1202196743 /nfs/dbraw/zinc/19/67/43/1202196743.db2.gz QBRDCGMLZMVSMG-WBVHZDCISA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCCC ZINC001753306913 1202202343 /nfs/dbraw/zinc/20/23/43/1202202343.db2.gz PBVPXYYWQUNSMD-CALCHBBNSA-N 0 1 322.493 3.081 20 30 DGEDMN CCCN(C(=O)C#CC(C)C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001753316007 1202205389 /nfs/dbraw/zinc/20/53/89/1202205389.db2.gz JTOOZCFHTCUMIO-QGZVFWFLSA-N 0 1 318.486 3.220 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cc3ccccc3[nH]2)C1 ZINC001753324080 1202210913 /nfs/dbraw/zinc/21/09/13/1202210913.db2.gz WWDYHJKDXUBBRP-KRWDZBQOSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)CCC(=C)C)O2 ZINC001700208120 1202210915 /nfs/dbraw/zinc/21/09/15/1202210915.db2.gz ZVUDOWDZPIFMOW-DLBZAZTESA-N 0 1 320.477 3.047 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C(C)=C2CCCC2)C1 ZINC001753345499 1202220936 /nfs/dbraw/zinc/22/09/36/1202220936.db2.gz KOAJYEBWPSIUPX-HNNXBMFYSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C(C)(C)F)C1 ZINC001753361378 1202228831 /nfs/dbraw/zinc/22/88/31/1202228831.db2.gz DYPDQRIXTORADH-KRWDZBQOSA-N 0 1 318.436 3.414 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C(C)=C2CCC2)CC1 ZINC001753363735 1202230859 /nfs/dbraw/zinc/23/08/59/1202230859.db2.gz CCWYNDOSAVBAEI-UHFFFAOYSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C/C=C\Cl)[C@H](C)C1 ZINC001700316414 1202233342 /nfs/dbraw/zinc/23/33/42/1202233342.db2.gz UPZPNWNXPNRKTG-BMEWNCGWSA-N 0 1 310.869 3.292 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001753383393 1202235559 /nfs/dbraw/zinc/23/55/59/1202235559.db2.gz FZYHZTKITTYCRD-GFCCVEGCSA-N 0 1 315.392 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cc(C)ccc2C)C1 ZINC001753386009 1202236061 /nfs/dbraw/zinc/23/60/61/1202236061.db2.gz PLPGWFQGNRLPQN-INIZCTEOSA-N 0 1 300.446 3.001 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001753387500 1202237421 /nfs/dbraw/zinc/23/74/21/1202237421.db2.gz XSVKBWJHTNXIHC-AWEZNQCLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001753410978 1202244720 /nfs/dbraw/zinc/24/47/20/1202244720.db2.gz WZJXSBJXKKLKFA-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001753411535 1202244974 /nfs/dbraw/zinc/24/49/74/1202244974.db2.gz QHUVWZHIWCCVLQ-UHFFFAOYSA-N 0 1 300.446 3.145 20 30 DGEDMN CN(Cc1ccsc1)C[C@@H]1CCCN1C(=O)C#CC(C)(C)C ZINC001700352899 1202245738 /nfs/dbraw/zinc/24/57/38/1202245738.db2.gz IDNKZGGBYVKHEE-INIZCTEOSA-N 0 1 318.486 3.220 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001700360527 1202248804 /nfs/dbraw/zinc/24/88/04/1202248804.db2.gz LEZZLCHBHUITGB-HSALFYBXSA-N 0 1 324.468 3.045 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C(C)C)cc1 ZINC001700365266 1202251858 /nfs/dbraw/zinc/25/18/58/1202251858.db2.gz UNXWSJNRCDRGKA-LJQANCHMSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001753453088 1202261195 /nfs/dbraw/zinc/26/11/95/1202261195.db2.gz KSDGTDXOYOTKPF-INIZCTEOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1ccncc1Cl ZINC001700403466 1202263387 /nfs/dbraw/zinc/26/33/87/1202263387.db2.gz YFEYJAUYYSLPPA-QWHCGFSZSA-N 0 1 309.841 3.074 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@@H](C)NC(=O)CCCC1CC1 ZINC001700412541 1202266277 /nfs/dbraw/zinc/26/62/77/1202266277.db2.gz FAOWVXLGBGRHLA-HUUCEWRRSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(Cl)c1F ZINC001700417587 1202267397 /nfs/dbraw/zinc/26/73/97/1202267397.db2.gz HXZLHSLOVZZBRN-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)N[C@H](C)c1c(F)cccc1F ZINC001753472087 1202268439 /nfs/dbraw/zinc/26/84/39/1202268439.db2.gz ZLZMYOPUVLGWKV-KGLIPLIRSA-N 0 1 322.399 3.170 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)N[C@@H](C)c1c(F)cccc1F ZINC001753472086 1202268595 /nfs/dbraw/zinc/26/85/95/1202268595.db2.gz ZLZMYOPUVLGWKV-KBPBESRZSA-N 0 1 322.399 3.170 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2c(C)cccn2c1 ZINC001700423434 1202268798 /nfs/dbraw/zinc/26/87/98/1202268798.db2.gz AKOKNYPTPYQUBP-UONOGXRCSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1cccc(CC)c1 ZINC001753477132 1202269657 /nfs/dbraw/zinc/26/96/57/1202269657.db2.gz LZHOOMXMIQIZBV-INIZCTEOSA-N 0 1 308.853 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2ncccc2c1 ZINC001753490314 1202273155 /nfs/dbraw/zinc/27/31/55/1202273155.db2.gz SVLMPIPOGVAISH-HNNXBMFYSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(c2ccccc2F)CC1 ZINC001753491884 1202273615 /nfs/dbraw/zinc/27/36/15/1202273615.db2.gz BYMBVCGAJWCNCL-CYBMUJFWSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(F)F)o1 ZINC001753722493 1202321228 /nfs/dbraw/zinc/32/12/28/1202321228.db2.gz RHSMZXBPIYVGBP-SECBINFHSA-N 0 1 306.740 3.020 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001700464282 1202282674 /nfs/dbraw/zinc/28/26/74/1202282674.db2.gz RHHZHGVPOYUKBF-HNNXBMFYSA-N 0 1 300.446 3.058 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC001700464283 1202282949 /nfs/dbraw/zinc/28/29/49/1202282949.db2.gz RHHZHGVPOYUKBF-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(CC)c(CCC)s1 ZINC001700487401 1202295023 /nfs/dbraw/zinc/29/50/23/1202295023.db2.gz ZYDLPYKAYAABIB-CQSZACIVSA-N 0 1 320.502 3.336 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001700530574 1202306841 /nfs/dbraw/zinc/30/68/41/1202306841.db2.gz FFILAYNGIFJXKZ-PKOBYXMFSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001700530571 1202306967 /nfs/dbraw/zinc/30/69/67/1202306967.db2.gz FFILAYNGIFJXKZ-HKUYNNGSSA-N 0 1 302.462 3.117 20 30 DGEDMN Cc1cc(CN2CC([C@H](C)NC(=O)C#CC(C)(C)C)C2)cs1 ZINC001700537337 1202308651 /nfs/dbraw/zinc/30/86/51/1202308651.db2.gz BQDZDFGGUDDEEM-AWEZNQCLSA-N 0 1 318.486 3.043 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001700546631 1202310921 /nfs/dbraw/zinc/31/09/21/1202310921.db2.gz VSAFJSXKYUURQK-UONOGXRCSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001700546629 1202311107 /nfs/dbraw/zinc/31/11/07/1202311107.db2.gz VSAFJSXKYUURQK-KGLIPLIRSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1c(F)cccc1Cl ZINC001753682168 1202312223 /nfs/dbraw/zinc/31/22/23/1202312223.db2.gz LFRYDXJXVZLDFC-GFCCVEGCSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001753705128 1202317144 /nfs/dbraw/zinc/31/71/44/1202317144.db2.gz RIIKKECHDKEDJN-ZDUSSCGKSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001753709102 1202318276 /nfs/dbraw/zinc/31/82/76/1202318276.db2.gz RVVKRMDYCIZTOS-IUODEOHRSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(F)c1Cl ZINC001753714928 1202319680 /nfs/dbraw/zinc/31/96/80/1202319680.db2.gz MCIZTRDZGBAOSC-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C#CCCCCCC(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC001700619729 1202323590 /nfs/dbraw/zinc/32/35/90/1202323590.db2.gz NUHFKEQVGNJOPV-QGZVFWFLSA-N 0 1 322.468 3.243 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1(F)CCCC1 ZINC001753737123 1202325191 /nfs/dbraw/zinc/32/51/91/1202325191.db2.gz SUDQWHWOZSHUBB-ZDUSSCGKSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001700637905 1202326330 /nfs/dbraw/zinc/32/63/30/1202326330.db2.gz DKNQORCLWUEWRS-ADAWSYLGSA-N 0 1 319.449 3.131 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@H](C)[C@H]1CNCC(=C)Cl ZINC001753810037 1202337408 /nfs/dbraw/zinc/33/74/08/1202337408.db2.gz VAIYBLDKBDWANH-XLQKBUROSA-N 0 1 310.869 3.478 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C)(C)C1CCC1 ZINC001700726300 1202343834 /nfs/dbraw/zinc/34/38/34/1202343834.db2.gz PPYBQMNRFYCBNL-HNNXBMFYSA-N 0 1 312.885 3.392 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1cccc(F)c1Cl ZINC001700749764 1202348240 /nfs/dbraw/zinc/34/82/40/1202348240.db2.gz YKDMUQMBVRUNJJ-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1cc2ccccc2o1 ZINC001700763151 1202350201 /nfs/dbraw/zinc/35/02/01/1202350201.db2.gz VKARTWSBCUIGJO-ZDUSSCGKSA-N 0 1 320.820 3.164 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)c1cccs1)C(C)C ZINC001700973620 1202373897 /nfs/dbraw/zinc/37/38/97/1202373897.db2.gz PBJMTYXTYIVCFF-HNNXBMFYSA-N 0 1 306.475 3.044 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2sccc2s1)C(C)C ZINC001700977127 1202374935 /nfs/dbraw/zinc/37/49/35/1202374935.db2.gz IYHNWQVLUMAALL-UHFFFAOYSA-N 0 1 320.483 3.378 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001701017453 1202381908 /nfs/dbraw/zinc/38/19/08/1202381908.db2.gz GWFIYOFBDYRIIO-BENRWUELSA-N 0 1 317.260 3.078 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccc(CF)cc1 ZINC001707049253 1202569118 /nfs/dbraw/zinc/56/91/18/1202569118.db2.gz XLNWMAYGGJDFMA-QGZVFWFLSA-N 0 1 318.436 3.401 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001707053857 1202569980 /nfs/dbraw/zinc/56/99/80/1202569980.db2.gz NLNFGCOSKNNHNU-APWZRJJASA-N 0 1 314.473 3.461 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@H](NCc2csc(C)n2)C1 ZINC001707088035 1202572650 /nfs/dbraw/zinc/57/26/50/1202572650.db2.gz WFJYWIWDAGQRON-KKUMJFAQSA-N 0 1 321.490 3.038 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1[C@@H]2CCCN(C/C=C\Cl)[C@@H]2C1(C)C ZINC001707191212 1202575833 /nfs/dbraw/zinc/57/58/33/1202575833.db2.gz YMLLKTCQNRYCQC-DTNVFOPESA-N 0 1 322.880 3.004 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)[C@@H]1C ZINC001707243651 1202577908 /nfs/dbraw/zinc/57/79/08/1202577908.db2.gz ORYLUOYBXKBEBT-PBHICJAKSA-N 0 1 304.409 3.179 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)[C@@H]1C ZINC001707256604 1202578614 /nfs/dbraw/zinc/57/86/14/1202578614.db2.gz ZCMOUSPRQMVDEG-PBHICJAKSA-N 0 1 318.436 3.425 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)c(C)c1 ZINC001707277025 1202580360 /nfs/dbraw/zinc/58/03/60/1202580360.db2.gz UBFYQAXGGFYJIS-IBGZPJMESA-N 0 1 312.457 3.253 20 30 DGEDMN C#CCCCC(=O)NCCCN(C)[C@@H](C)c1ccc(F)cc1F ZINC001707376919 1202581937 /nfs/dbraw/zinc/58/19/37/1202581937.db2.gz ZFXLUQDTVUJNER-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(C)cc1F ZINC001707467902 1202586877 /nfs/dbraw/zinc/58/68/77/1202586877.db2.gz XDZSNAFINLWUKV-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1Cc1ccc(C(C)C)cc1 ZINC001723424174 1202595317 /nfs/dbraw/zinc/59/53/17/1202595317.db2.gz VVXDOAQXZJUIHA-LJQANCHMSA-N 0 1 312.457 3.304 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)/C=C/C(C)(C)C ZINC001712940198 1202605423 /nfs/dbraw/zinc/60/54/23/1202605423.db2.gz ZUBLWYWOHXAHEO-BUHFOSPRSA-N 0 1 312.457 3.079 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001713062700 1202614385 /nfs/dbraw/zinc/61/43/85/1202614385.db2.gz LDVPZEQPDGVIMO-ZWKOTPCHSA-N 0 1 304.478 3.363 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2CC(C(C)(C)C)C2)CC1 ZINC001713102321 1202617406 /nfs/dbraw/zinc/61/74/06/1202617406.db2.gz VANNEXVDKFEMEV-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C1(CC(C)C)CCC1)C1CC1 ZINC001713114972 1202618395 /nfs/dbraw/zinc/61/83/95/1202618395.db2.gz FFJDAEJNZYGXJB-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCCCC1(C)C)C1CC1 ZINC001713126120 1202619374 /nfs/dbraw/zinc/61/93/74/1202619374.db2.gz AVRPWRQOKKNFSO-LSDHHAIUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc(C2CC2)c1)C1CC1 ZINC001713126788 1202619424 /nfs/dbraw/zinc/61/94/24/1202619424.db2.gz OXKSIBLWLPKPKN-QGZVFWFLSA-N 0 1 318.848 3.415 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001713144130 1202621758 /nfs/dbraw/zinc/62/17/58/1202621758.db2.gz TXVGVYRCUHAYOG-LUKYLMHMSA-N 0 1 304.478 3.003 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2c(Cl)cccc2OC)C1 ZINC001713155504 1202622690 /nfs/dbraw/zinc/62/26/90/1202622690.db2.gz OWRNSJRGAHQXGX-JOCQHMNTSA-N 0 1 322.836 3.052 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](CC)CC(F)(F)F ZINC001713257275 1202632385 /nfs/dbraw/zinc/63/23/85/1202632385.db2.gz YWMCGUYNSZZHKG-CHWSQXEVSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001713258468 1202632546 /nfs/dbraw/zinc/63/25/46/1202632546.db2.gz XXMKYLADMKJEHZ-OALUTQOASA-N 0 1 314.473 3.412 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CSCCC)C1 ZINC001713272205 1202634131 /nfs/dbraw/zinc/63/41/31/1202634131.db2.gz SPGUGZKNBHUFRV-ZIAGYGMSSA-N 0 1 318.914 3.099 20 30 DGEDMN Cc1ccc(CN[C@@H](C)CNC(=O)C#CC(C)(C)C)c(Cl)c1 ZINC001713309549 1202638042 /nfs/dbraw/zinc/63/80/42/1202638042.db2.gz DLVXRMHHZUKKLK-AWEZNQCLSA-N 0 1 320.864 3.292 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001713320331 1202638823 /nfs/dbraw/zinc/63/88/23/1202638823.db2.gz RUXIOYXNWLULTP-NSHDSACASA-N 0 1 319.836 3.245 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@@H](NCc2nc(C)c(C)o2)C1 ZINC001713379479 1202643143 /nfs/dbraw/zinc/64/31/43/1202643143.db2.gz RSZWZUMXOCFGBR-CVEARBPZSA-N 0 1 319.449 3.165 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001713396476 1202644864 /nfs/dbraw/zinc/64/48/64/1202644864.db2.gz YRUQUIHUYVHBTK-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001713471621 1202655123 /nfs/dbraw/zinc/65/51/23/1202655123.db2.gz SPNCUPJGJHDNBV-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1[C@@H](C)c1cccc(F)c1 ZINC001713506312 1202662093 /nfs/dbraw/zinc/66/20/93/1202662093.db2.gz SFEDFFFFSHGWOY-MAUKXSAKSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@@](C)(CC)CCC ZINC001713647373 1202674877 /nfs/dbraw/zinc/67/48/77/1202674877.db2.gz DOVLHRUSDJAOIL-AWEZNQCLSA-N 0 1 319.287 3.159 20 30 DGEDMN CC(C)(C)CC(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001713554163 1202670002 /nfs/dbraw/zinc/67/00/02/1202670002.db2.gz LCAPMCSUWGCJEI-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C(=O)NCCN(Cc1cccc(C#N)c1)C1CC1)=C1CCC1 ZINC001713556355 1202670018 /nfs/dbraw/zinc/67/00/18/1202670018.db2.gz KYYBIXGQPYXGOA-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN CCCC1(C(=O)N(C)CCNCc2ccccc2C#N)CCC1 ZINC001713623501 1202673598 /nfs/dbraw/zinc/67/35/98/1202673598.db2.gz IIJFUSFONJBXOE-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCN[C@H](CNC(=O)Cc1ccco1)c1ccc(C(C)C)cc1 ZINC001713699075 1202678391 /nfs/dbraw/zinc/67/83/91/1202678391.db2.gz STGYYJBFRADLJR-LJQANCHMSA-N 0 1 324.424 3.026 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cc(C)cc(C)c1 ZINC001713707112 1202679154 /nfs/dbraw/zinc/67/91/54/1202679154.db2.gz NLLUEQCSYCSCHH-BGYRXZFFSA-N 0 1 324.468 3.282 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001713719702 1202681167 /nfs/dbraw/zinc/68/11/67/1202681167.db2.gz GFXAWWBBFIMWHT-CHWSQXEVSA-N 0 1 306.372 3.074 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001713720710 1202681297 /nfs/dbraw/zinc/68/12/97/1202681297.db2.gz BKDWDCZTQQHRTD-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cc(C)cc(C)c2)C1 ZINC001713835904 1202694243 /nfs/dbraw/zinc/69/42/43/1202694243.db2.gz BDCMBEAASZEFMQ-INIZCTEOSA-N 0 1 300.446 3.001 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2cc(C)cc(C)c2)C1 ZINC001713849521 1202695566 /nfs/dbraw/zinc/69/55/66/1202695566.db2.gz FZIATWMLOPFDDZ-KRWDZBQOSA-N 0 1 314.473 3.391 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2c(C)oc(C)c2C)CC1 ZINC001713856944 1202696371 /nfs/dbraw/zinc/69/63/71/1202696371.db2.gz BOZHXAZNXVDDBC-UHFFFAOYSA-N 0 1 316.445 3.155 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2CCC(=C)CC2)CC1 ZINC001713857819 1202696508 /nfs/dbraw/zinc/69/65/08/1202696508.db2.gz FQWDLIJXGPEKCO-UHFFFAOYSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)c2cccc(F)c2)C1 ZINC001713885138 1202698879 /nfs/dbraw/zinc/69/88/79/1202698879.db2.gz DIPZPKZLBGWVEQ-CQSZACIVSA-N 0 1 324.827 3.362 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cs1 ZINC001713904539 1202700988 /nfs/dbraw/zinc/70/09/88/1202700988.db2.gz DECLUHWWZTVIIP-GASCZTMLSA-N 0 1 304.459 3.312 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(F)c1 ZINC001713930815 1202703362 /nfs/dbraw/zinc/70/33/62/1202703362.db2.gz KFTINGJXVPREQI-IRXDYDNUSA-N 0 1 319.424 3.090 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001713937317 1202703857 /nfs/dbraw/zinc/70/38/57/1202703857.db2.gz LGQKQSYKIMIFBE-IRXDYDNUSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](CC)c1ccccc1 ZINC001713939127 1202704091 /nfs/dbraw/zinc/70/40/91/1202704091.db2.gz CEOGKGYVLJVSQW-NEWSRXKRSA-N 0 1 312.457 3.432 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001713986410 1202715111 /nfs/dbraw/zinc/71/51/11/1202715111.db2.gz SNZVNIVJTHRTLM-OLMNPRSZSA-N 0 1 313.445 3.121 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)CCC2CCCCCC2)C1 ZINC001714005736 1202724525 /nfs/dbraw/zinc/72/45/25/1202724525.db2.gz CHYLPKIKYASEMR-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCCCCCC(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001714011107 1202725990 /nfs/dbraw/zinc/72/59/90/1202725990.db2.gz IZMQGQCLIITFMV-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)CC1CCCCC1 ZINC001714118135 1202787720 /nfs/dbraw/zinc/78/77/20/1202787720.db2.gz LTGIEKBYVCGBKI-SJLPKXTDSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccc(F)cc1F ZINC001714117819 1202788553 /nfs/dbraw/zinc/78/85/53/1202788553.db2.gz DOZOWLBDKNMJBS-HIFRSBDPSA-N 0 1 322.399 3.225 20 30 DGEDMN CC(C)CCC(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001714205715 1202831840 /nfs/dbraw/zinc/83/18/40/1202831840.db2.gz IWRWKWZYLOROAX-HNNXBMFYSA-N 0 1 320.864 3.222 20 30 DGEDMN CCCC[C@](C)(F)C(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001714248377 1202847571 /nfs/dbraw/zinc/84/75/71/1202847571.db2.gz DTXDKUHQLZQNRG-KDOFPFPSSA-N 0 1 319.424 3.071 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001714275118 1202859890 /nfs/dbraw/zinc/85/98/90/1202859890.db2.gz QXVVEXPAYDYGQM-CYBMUJFWSA-N 0 1 318.804 3.407 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001714278953 1202860149 /nfs/dbraw/zinc/86/01/49/1202860149.db2.gz QJZVSKQZBCZEBY-CABCVRRESA-N 0 1 320.864 3.078 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001714281595 1202862253 /nfs/dbraw/zinc/86/22/53/1202862253.db2.gz RTTKXOWMWXHRTI-LXZKKBNFSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001714307640 1202874712 /nfs/dbraw/zinc/87/47/12/1202874712.db2.gz MCYFMGUZGJIESW-CKEIUWERSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2ccc(Cl)nc2)C1 ZINC001714431954 1202927949 /nfs/dbraw/zinc/92/79/49/1202927949.db2.gz QKXLNAZOWFTFDB-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN CCCCCC(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001714552490 1202995928 /nfs/dbraw/zinc/99/59/28/1202995928.db2.gz ZMGQKHDBRHXFDR-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001714566777 1203008231 /nfs/dbraw/zinc/00/82/31/1203008231.db2.gz YFJSPFYZTROMJD-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1coc2ccc(Cl)cc21 ZINC001714597419 1203035282 /nfs/dbraw/zinc/03/52/82/1203035282.db2.gz RNTSOWOKRUSGRJ-UHFFFAOYSA-N 0 1 318.804 3.161 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@@H]1CCCN1Cc1cccc(C#N)c1 ZINC001714600927 1203040986 /nfs/dbraw/zinc/04/09/86/1203040986.db2.gz JNCUZJGDOUJFGN-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CCC)CC1CCCC1 ZINC001714620337 1203056131 /nfs/dbraw/zinc/05/61/31/1203056131.db2.gz LICRNGBTJFYQBW-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CCC)CC1CCCC1 ZINC001714620338 1203056515 /nfs/dbraw/zinc/05/65/15/1203056515.db2.gz LICRNGBTJFYQBW-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1cccc(F)c1F ZINC001714744416 1203114721 /nfs/dbraw/zinc/11/47/21/1203114721.db2.gz SLCXFRVZRNGGEB-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCNCc1cc(F)ccc1F ZINC001714746813 1203114981 /nfs/dbraw/zinc/11/49/81/1203114981.db2.gz DWUNANLZRJJNPG-ZDUSSCGKSA-N 0 1 310.388 3.115 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2sc(C)cc2C)C1 ZINC001714854970 1203154607 /nfs/dbraw/zinc/15/46/07/1203154607.db2.gz JFKZFWHGURPQKC-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCCc2cccs2)C1 ZINC001714858763 1203158421 /nfs/dbraw/zinc/15/84/21/1203158421.db2.gz DRRZKQWRHVJGFV-INIZCTEOSA-N 0 1 318.486 3.017 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccn2C(C)C)C1 ZINC001714859095 1203159137 /nfs/dbraw/zinc/15/91/37/1203159137.db2.gz BWHADOLGLAZQIG-INIZCTEOSA-N 0 1 303.450 3.182 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(C)=C2CCCC2)C1 ZINC001714861936 1203161170 /nfs/dbraw/zinc/16/11/70/1203161170.db2.gz CQLGSDUZRGKTOE-HNNXBMFYSA-N 0 1 310.869 3.458 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2csc(C3CC3)n2)C1 ZINC001714867817 1203165491 /nfs/dbraw/zinc/16/54/91/1203165491.db2.gz WQBMPCGBBOICFJ-AWEZNQCLSA-N 0 1 319.474 3.133 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(F)cc2)C1 ZINC001714869285 1203166292 /nfs/dbraw/zinc/16/62/92/1203166292.db2.gz ZHLXSDJYSQIFFO-ZDUSSCGKSA-N 0 1 310.800 3.020 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(CC)CCCC2)C1 ZINC001714901555 1203186963 /nfs/dbraw/zinc/18/69/63/1203186963.db2.gz IDUHAIXGQONWGI-OAHLLOKOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)/C=C/c1ccco1 ZINC001714901685 1203187251 /nfs/dbraw/zinc/18/72/51/1203187251.db2.gz BILPWCIZIAUOGQ-UZYOAWRESA-N 0 1 310.825 3.166 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1CC)C1CCCCC1 ZINC001714977839 1203223778 /nfs/dbraw/zinc/22/37/78/1203223778.db2.gz IZDPFTSZMJMAPN-FVQBIDKESA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2CC[C@H]1CCCC1(F)F ZINC001714984815 1203226455 /nfs/dbraw/zinc/22/64/55/1203226455.db2.gz GWKCTMSMOMCOHD-ILXRZTDVSA-N 0 1 312.404 3.063 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H](C)c1ccc2c(c1)CCC2 ZINC001714984748 1203226519 /nfs/dbraw/zinc/22/65/19/1203226519.db2.gz FMRRLNPXYLASRK-YSSFQJQWSA-N 0 1 324.468 3.488 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001715004506 1203233773 /nfs/dbraw/zinc/23/37/73/1203233773.db2.gz ANGCOGVOQSGYMN-WMLDXEAASA-N 0 1 304.409 3.119 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001715021973 1203240519 /nfs/dbraw/zinc/24/05/19/1203240519.db2.gz CSAXKMAPBKQJEB-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001715041159 1203250771 /nfs/dbraw/zinc/25/07/71/1203250771.db2.gz AZZJBZPVCNTEIV-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001715044560 1203252475 /nfs/dbraw/zinc/25/24/75/1203252475.db2.gz KUIPGIZXFMZYGI-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)NCc1ccc(F)cc1Cl ZINC001715053084 1203256663 /nfs/dbraw/zinc/25/66/63/1203256663.db2.gz ZRFDWXPZIDRLAN-AWEZNQCLSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C1CCC(C(=O)NCc2ccc(CNCCF)cc2)CC1 ZINC001715196223 1203293824 /nfs/dbraw/zinc/29/38/24/1203293824.db2.gz JAGXIYWOTJSJMK-UHFFFAOYSA-N 0 1 304.409 3.108 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNCc1ncc(C(C)(C)C)o1 ZINC001715243914 1203302757 /nfs/dbraw/zinc/30/27/57/1203302757.db2.gz GRPUBXQULHYTPJ-CYBMUJFWSA-N 0 1 321.465 3.026 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001715290773 1203307888 /nfs/dbraw/zinc/30/78/88/1203307888.db2.gz HRBBQBCMTGSWMT-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C)c(CC)s1 ZINC001715377334 1203328746 /nfs/dbraw/zinc/32/87/46/1203328746.db2.gz ZAHDWCLXNOPJGU-GFCCVEGCSA-N 0 1 314.882 3.422 20 30 DGEDMN CC(C)(C)C(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001715308895 1203312084 /nfs/dbraw/zinc/31/20/84/1203312084.db2.gz YDXOHWZYWIPBIX-UHFFFAOYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)c1ccccc1 ZINC001715353779 1203322296 /nfs/dbraw/zinc/32/22/96/1203322296.db2.gz KBYCFPGWCUPZIW-CJNGLKHVSA-N 0 1 306.837 3.269 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C12CCC(CC1)C2(C)C ZINC001715360077 1203323911 /nfs/dbraw/zinc/32/39/11/1203323911.db2.gz ICGXBHWRTKJMGR-CBCUQQMYSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CCC(C)(F)F ZINC001715382349 1203330108 /nfs/dbraw/zinc/33/01/08/1203330108.db2.gz CPFUJAHDFAYOKX-WCQYABFASA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CCC2CC2)CC1 ZINC001715468679 1203345693 /nfs/dbraw/zinc/34/56/93/1203345693.db2.gz BMEFYTUEFJZOPH-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CCc1ccc(C(C)C)cc1 ZINC001715522513 1203360328 /nfs/dbraw/zinc/36/03/28/1203360328.db2.gz CFJINGLQZGKKSV-UHFFFAOYSA-N 0 1 314.473 3.156 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001715755116 1203388444 /nfs/dbraw/zinc/38/84/44/1203388444.db2.gz JXGQIJVWRQVXBH-FQEVSTJZSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(F)ccc(C)c1F ZINC001715756942 1203388850 /nfs/dbraw/zinc/38/88/50/1203388850.db2.gz WUWAGHSBWPAZPD-UHFFFAOYSA-N 0 1 316.779 3.077 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1sccc1Cl ZINC001715853043 1203398019 /nfs/dbraw/zinc/39/80/19/1203398019.db2.gz QZSWFKWZBUNDAC-WAYWQWQTSA-N 0 1 312.866 3.376 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1csc2c1CCCC2 ZINC001715901153 1203402105 /nfs/dbraw/zinc/40/21/05/1203402105.db2.gz GNUALHJKGSZZCN-SNAWJCMRSA-N 0 1 324.877 3.255 20 30 DGEDMN C[C@H](CNCc1cccc(Cl)c1F)NC(=O)C#CC(C)(C)C ZINC001715928877 1203405675 /nfs/dbraw/zinc/40/56/75/1203405675.db2.gz XMPXKZBONDRTHM-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN CCC(CC)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001715977740 1203415251 /nfs/dbraw/zinc/41/52/51/1203415251.db2.gz QQAAAUYBUZTAAD-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2cc(C)no2)CCCCC1 ZINC001716007911 1203420107 /nfs/dbraw/zinc/42/01/07/1203420107.db2.gz CRJJTRBYXWRWPD-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001716030018 1203428345 /nfs/dbraw/zinc/42/83/45/1203428345.db2.gz TYXSUJBLSUZGNP-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001716049294 1203435604 /nfs/dbraw/zinc/43/56/04/1203435604.db2.gz ALAPGQGOFJYRIQ-HUUCEWRRSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H](C)CC(C)(C)C ZINC001716344932 1203461105 /nfs/dbraw/zinc/46/11/05/1203461105.db2.gz FVWZMYAGMKIJOA-FMFIFOJESA-N 0 1 300.874 3.463 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)NC/C=C/CNCC#Cc2ccccc2)CC1 ZINC001716365372 1203462464 /nfs/dbraw/zinc/46/24/64/1203462464.db2.gz HSDYBSMBXRLIMH-KSOIGDEBSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001716381271 1203463521 /nfs/dbraw/zinc/46/35/21/1203463521.db2.gz KHDODHWGIDOMPF-XHPSBEMXSA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1cc(Cl)ccc1F ZINC001716396750 1203466420 /nfs/dbraw/zinc/46/64/20/1203466420.db2.gz BBHRKZGPRVYWNI-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCc1cc(Cl)cs1 ZINC001716414323 1203469168 /nfs/dbraw/zinc/46/91/68/1203469168.db2.gz ZRTFMYYBBFBHNK-SNVBAGLBSA-N 0 1 321.273 3.181 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@]1(c2ccccc2)CC1(C)C ZINC001716417720 1203469616 /nfs/dbraw/zinc/46/96/16/1203469616.db2.gz LCYBHINRBBLJLA-KDOFPFPSSA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2cc(C)ccc2o1 ZINC001716424204 1203470820 /nfs/dbraw/zinc/47/08/20/1203470820.db2.gz AGZQLDHPNIZXRI-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1cc(F)ccc1OC ZINC001716439017 1203474290 /nfs/dbraw/zinc/47/42/90/1203474290.db2.gz WBAUQJQLHQFSNG-ZDUSSCGKSA-N 0 1 322.424 3.031 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC001716449746 1203476003 /nfs/dbraw/zinc/47/60/03/1203476003.db2.gz YGKHSGMCUTXRKN-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001716489632 1203488464 /nfs/dbraw/zinc/48/84/64/1203488464.db2.gz MKRAAEYEOWRMBE-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@H]1CC(C)(C)C)C1CC1 ZINC001716611689 1203506179 /nfs/dbraw/zinc/50/61/79/1203506179.db2.gz OVHUJNIXUHRDBW-RRFJBIMHSA-N 0 1 312.885 3.296 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1c(C)cccc1C ZINC001716658641 1203515856 /nfs/dbraw/zinc/51/58/56/1203515856.db2.gz KNCAGTBHSRCJEI-INIZCTEOSA-N 0 1 300.446 3.206 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1Cc1ccc(C)cc1 ZINC001716662288 1203517637 /nfs/dbraw/zinc/51/76/37/1203517637.db2.gz CWHJTGHKIIJXSC-IEBWSBKVSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H](C)c1cccc(F)c1 ZINC001716663182 1203517876 /nfs/dbraw/zinc/51/78/76/1203517876.db2.gz SVBMCFXQIPOOPQ-YOEHRIQHSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H](C)c1ccccc1F ZINC001716665198 1203519246 /nfs/dbraw/zinc/51/92/46/1203519246.db2.gz IHWLSEBWPCJJQT-GJZGRUSLSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)oc2C)C[C@@H]1C ZINC001716764750 1203540140 /nfs/dbraw/zinc/54/01/40/1203540140.db2.gz RAOFWLYBQXHHMJ-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(F)ccc1CC ZINC001716779512 1203541323 /nfs/dbraw/zinc/54/13/23/1203541323.db2.gz BPCAQWSYDLHUPM-HNNXBMFYSA-N 0 1 324.827 3.335 20 30 DGEDMN C#CCCCCCC(=O)NC1(C)CCN(C[C@H](F)CC)CC1 ZINC001716796687 1203544622 /nfs/dbraw/zinc/54/46/22/1203544622.db2.gz DUVPMDGANJNVGB-MRXNPFEDSA-N 0 1 310.457 3.289 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@]1(C)C=CCC1)C1CCCC1 ZINC001716821427 1203548135 /nfs/dbraw/zinc/54/81/35/1203548135.db2.gz PRDPBKKBKNFUKC-WBVHZDCISA-N 0 1 310.869 3.360 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CC[N@H+](Cc2cccnc2C)C1 ZINC001716842866 1203552662 /nfs/dbraw/zinc/55/26/62/1203552662.db2.gz NLXMUTAPKZXKCR-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2cccnc2C)C1 ZINC001716842866 1203552663 /nfs/dbraw/zinc/55/26/63/1203552663.db2.gz NLXMUTAPKZXKCR-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CC[N@H+](Cc2cccnc2C)C1 ZINC001716842867 1203553002 /nfs/dbraw/zinc/55/30/02/1203553002.db2.gz NLXMUTAPKZXKCR-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2cccnc2C)C1 ZINC001716842867 1203553003 /nfs/dbraw/zinc/55/30/03/1203553003.db2.gz NLXMUTAPKZXKCR-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2scc(C)c2Cl)C1 ZINC001716897179 1203565225 /nfs/dbraw/zinc/56/52/25/1203565225.db2.gz ZJXCJDVJZYYNIG-INIZCTEOSA-N 0 1 324.877 3.175 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)(C)C(C)C)C1 ZINC001716911221 1203567521 /nfs/dbraw/zinc/56/75/21/1203567521.db2.gz SYJGJAUWZAYPQN-AWEZNQCLSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)CCC)C1 ZINC001716911286 1203567802 /nfs/dbraw/zinc/56/78/02/1203567802.db2.gz UZCNOMXRIDKHAF-ZIAGYGMSSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCCC2CC2)C1 ZINC001716912680 1203568165 /nfs/dbraw/zinc/56/81/65/1203568165.db2.gz KYNXYBCAPNEVBL-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001717085709 1203583193 /nfs/dbraw/zinc/58/31/93/1203583193.db2.gz QFHZDJYFADRCJG-HOTGVXAUSA-N 0 1 319.449 3.229 20 30 DGEDMN CC(C(=O)NCC1(NCc2ccccc2C#N)CCC1)=C1CCC1 ZINC001717150064 1203591659 /nfs/dbraw/zinc/59/16/59/1203591659.db2.gz MPYNWWAEDSNMHT-UHFFFAOYSA-N 0 1 323.440 3.187 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2CCCN(CCF)CC2)CCCCC1 ZINC001717200266 1203598980 /nfs/dbraw/zinc/59/89/80/1203598980.db2.gz CDRBFUMOXXVFRK-MRXNPFEDSA-N 0 1 310.457 3.453 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCCN(C)Cc2cncs2)CC1 ZINC001717211372 1203603265 /nfs/dbraw/zinc/60/32/65/1203603265.db2.gz NJNFDZFNFZRMRH-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCC2(CN(CCCCC)C2)O1 ZINC001717224635 1203606315 /nfs/dbraw/zinc/60/63/15/1203606315.db2.gz PWICBYCMJXOASZ-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(C)(NCc2coc(C)n2)CC1 ZINC001717302149 1203615198 /nfs/dbraw/zinc/61/51/98/1203615198.db2.gz SGRGMAMIPVMXJM-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)CCCCC)C(C)(C)C1 ZINC001717311749 1203617750 /nfs/dbraw/zinc/61/77/50/1203617750.db2.gz HEPOEOMQQDPXNZ-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2c(C)noc2C)[C@H](C)C1 ZINC001717331324 1203619296 /nfs/dbraw/zinc/61/92/96/1203619296.db2.gz HKVXSAUBGFTBAZ-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2c(C)noc2C)[C@H](C)C1 ZINC001717331325 1203619546 /nfs/dbraw/zinc/61/95/46/1203619546.db2.gz HKVXSAUBGFTBAZ-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@H](C)C1 ZINC001717334304 1203619877 /nfs/dbraw/zinc/61/98/77/1203619877.db2.gz RWNJMDIOOAHVEN-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1=CCCCCC1 ZINC001717360050 1203625178 /nfs/dbraw/zinc/62/51/78/1203625178.db2.gz LREGHRUIMJLVPC-WOJBJXKFSA-N 0 1 322.452 3.272 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(Cl)nc1 ZINC001717364173 1203626319 /nfs/dbraw/zinc/62/63/19/1203626319.db2.gz WWCSBMXFRRWAST-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001717367083 1203626721 /nfs/dbraw/zinc/62/67/21/1203626721.db2.gz BXVQXVMJOBSWQK-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)CCC(C)(C)C ZINC001717373041 1203628695 /nfs/dbraw/zinc/62/86/95/1203628695.db2.gz FGMHJFWQFWLTST-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H]1CCCc2ccccc21 ZINC001717375132 1203629815 /nfs/dbraw/zinc/62/98/15/1203629815.db2.gz URQYPYDEMPHEOP-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CCCc1cccs1 ZINC001717375601 1203629818 /nfs/dbraw/zinc/62/98/18/1203629818.db2.gz ZADDAJUJBPEJNT-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(Cl)c(F)c1 ZINC001717426705 1203642013 /nfs/dbraw/zinc/64/20/13/1203642013.db2.gz JXNCTSRBBYGBSA-VHSXEESVSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)CCCC(C)C ZINC001717426731 1203642168 /nfs/dbraw/zinc/64/21/68/1203642168.db2.gz KEBWNFSQTSSFNV-CHWSQXEVSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1csc(C(C)C)n1 ZINC001717430460 1203642469 /nfs/dbraw/zinc/64/24/69/1203642469.db2.gz GGRKFPVHGAIXIT-MNOVXSKESA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001717435697 1203643777 /nfs/dbraw/zinc/64/37/77/1203643777.db2.gz UGPSABBTSFGSSB-QWRGUYRKSA-N 0 1 301.217 3.189 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2cnoc2C)CCCC1 ZINC001717444222 1203645833 /nfs/dbraw/zinc/64/58/33/1203645833.db2.gz JELNBVFUCRXXBL-ZIAGYGMSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2cnoc2C)CCCC1 ZINC001717444221 1203645909 /nfs/dbraw/zinc/64/59/09/1203645909.db2.gz JELNBVFUCRXXBL-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2cnoc2C)CCCC1 ZINC001717444219 1203645916 /nfs/dbraw/zinc/64/59/16/1203645916.db2.gz JELNBVFUCRXXBL-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)Cc2csc(C)c2)C1 ZINC001717487746 1203661881 /nfs/dbraw/zinc/66/18/81/1203661881.db2.gz LUZJFEZADULOGG-ZDUSSCGKSA-N 0 1 306.475 3.349 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC001717489875 1203662144 /nfs/dbraw/zinc/66/21/44/1203662144.db2.gz BESWPUCGIOFZIL-SJLPKXTDSA-N 0 1 306.494 3.299 20 30 DGEDMN CCCC[C@H](CNC/C(Cl)=C\Cl)NC(=O)C#CC(C)C ZINC001717530219 1203673437 /nfs/dbraw/zinc/67/34/37/1203673437.db2.gz KYTUTFVBHCDQKK-KADHNRKRSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1cnoc1C ZINC001717537297 1203676335 /nfs/dbraw/zinc/67/63/35/1203676335.db2.gz BENXDQKBNZBEFL-KRWDZBQOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001717564756 1203682811 /nfs/dbraw/zinc/68/28/11/1203682811.db2.gz MERPTNBOEFNFBB-MRXNPFEDSA-N 0 1 314.473 3.367 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CC3(C)CCCC3)[C@@H]2C1 ZINC001717595363 1203688496 /nfs/dbraw/zinc/68/84/96/1203688496.db2.gz HJOQQVXFCXJVTP-QKDCVEJESA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCc1ccco1)C(C)(C)C ZINC001717955871 1203735373 /nfs/dbraw/zinc/73/53/73/1203735373.db2.gz YPSIEDYNNLGPKU-CQSZACIVSA-N 0 1 312.841 3.085 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1cccs1)C(C)(C)C ZINC001717956715 1203735841 /nfs/dbraw/zinc/73/58/41/1203735841.db2.gz SHEXWKGWLPGBDQ-CYBMUJFWSA-N 0 1 314.882 3.164 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001723322908 1203963456 /nfs/dbraw/zinc/96/34/56/1203963456.db2.gz DOJQYIUCHUFUPZ-OTAKNEKHSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(OCCCC)cc1 ZINC001723481940 1203977615 /nfs/dbraw/zinc/97/76/15/1203977615.db2.gz KVZPWMXLMDXCNK-KRWDZBQOSA-N 0 1 316.445 3.246 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)OCCCCCC ZINC001723626036 1203985639 /nfs/dbraw/zinc/98/56/39/1203985639.db2.gz MCLHOKKGLMURDP-KSZLIROESA-N 0 1 322.493 3.223 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC1=CCCCC1 ZINC001723660959 1203988178 /nfs/dbraw/zinc/98/81/78/1203988178.db2.gz YBHZEVPTRTVFES-KDURUIRLSA-N 0 1 314.473 3.212 20 30 DGEDMN C=CCCCC(=O)N1CC[C@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001723680848 1203988643 /nfs/dbraw/zinc/98/86/43/1203988643.db2.gz RKPNAMXHOMFFNE-DKISHCGFSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)C1 ZINC001723775395 1203992397 /nfs/dbraw/zinc/99/23/97/1203992397.db2.gz DLSSEWWIGPJUND-NXHRZFHOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cc3ccccn3c2)C1 ZINC001723799960 1203993532 /nfs/dbraw/zinc/99/35/32/1203993532.db2.gz SIOUDGHOFDSTOG-HNNXBMFYSA-N 0 1 311.429 3.050 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC001723813448 1203994200 /nfs/dbraw/zinc/99/42/00/1203994200.db2.gz QIHWYGBCFQZPFL-UHFFFAOYSA-N 0 1 318.436 3.212 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2ccc(C)c(C)c2)C1 ZINC001723815429 1203994489 /nfs/dbraw/zinc/99/44/89/1203994489.db2.gz RVWQKNOIOSCBLI-QGZVFWFLSA-N 0 1 314.473 3.343 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001723936972 1203999123 /nfs/dbraw/zinc/99/91/23/1203999123.db2.gz AGQULEDFTBDSON-HSWBZUAGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1coc2ccccc12 ZINC001723985438 1204000543 /nfs/dbraw/zinc/00/05/43/1204000543.db2.gz VCUNWWIGVDWXMU-CYBMUJFWSA-N 0 1 318.804 3.380 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C\c1ccco1)C1CCCC1 ZINC001724071672 1204002956 /nfs/dbraw/zinc/00/29/56/1204002956.db2.gz HQCQIOAZBNUBJQ-HLRDLLSLSA-N 0 1 322.836 3.310 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1occc1C)C1CCCC1 ZINC001724073625 1204003565 /nfs/dbraw/zinc/00/35/65/1204003565.db2.gz TUONUGLJULFKRH-CQSZACIVSA-N 0 1 310.825 3.219 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001724176233 1204011610 /nfs/dbraw/zinc/01/16/10/1204011610.db2.gz UGQUVWLZEFVHHX-IEBWSBKVSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccccc2C2(C)CC2)C1 ZINC001724332975 1204022839 /nfs/dbraw/zinc/02/28/39/1204022839.db2.gz LVQHLVSGOWIQIO-FQEVSTJZSA-N 0 1 324.468 3.203 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001724341254 1204023403 /nfs/dbraw/zinc/02/34/03/1204023403.db2.gz SGMSHVRCUPIWCS-GIVPXCGWSA-N 0 1 324.468 3.032 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C2(CC)CCCC2)C1 ZINC001724378293 1204025406 /nfs/dbraw/zinc/02/54/06/1204025406.db2.gz BSWBDVXSBHMEQM-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C(C)=C\CC)C2 ZINC001724896509 1204065093 /nfs/dbraw/zinc/06/50/93/1204065093.db2.gz NBYBZHWSDFLLPF-ZRBJYGNNSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H](C)CCC)cc2C1 ZINC001724921937 1204066098 /nfs/dbraw/zinc/06/60/98/1204066098.db2.gz MPUICRHHHQAFJT-MRXNPFEDSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN([C@H](C)COC)C2 ZINC001724922806 1204066199 /nfs/dbraw/zinc/06/61/99/1204066199.db2.gz HXLAZYADIBUSEC-OAHLLOKOSA-N 0 1 316.445 3.010 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H](NC/C(Cl)=C\Cl)[C@@H](C)C1 ZINC001724948777 1204069333 /nfs/dbraw/zinc/06/93/33/1204069333.db2.gz QNOGYBPSVVVBRE-HODSQTJBSA-N 0 1 319.276 3.344 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ocnc2C)[C@H](C)C1 ZINC001724990763 1204075277 /nfs/dbraw/zinc/07/52/77/1204075277.db2.gz FKJNMJHBYZSRMH-HIFRSBDPSA-N 0 1 319.449 3.054 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCN(Cc2ccccc2C#N)[C@H](C)C1 ZINC001724994450 1204075987 /nfs/dbraw/zinc/07/59/87/1204075987.db2.gz YCACAMQXUUDNJY-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001725048822 1204085704 /nfs/dbraw/zinc/08/57/04/1204085704.db2.gz RHDWNYBRNOFQSA-ZWOKBUDYSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@H]([C@H]2CCN(CC(=C)Cl)C2)C1 ZINC001725057638 1204087429 /nfs/dbraw/zinc/08/74/29/1204087429.db2.gz JYZJHJVBHDQLJA-IRXDYDNUSA-N 0 1 322.880 3.103 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1nc(C)sc1C ZINC001725096974 1204093646 /nfs/dbraw/zinc/09/36/46/1204093646.db2.gz UKUINLDOMBNYAE-RYUDHWBXSA-N 0 1 309.479 3.099 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001725113399 1204097592 /nfs/dbraw/zinc/09/75/92/1204097592.db2.gz MVAODJBKBNRNMK-UONOGXRCSA-N 0 1 317.408 3.036 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)s1 ZINC001725155365 1204105260 /nfs/dbraw/zinc/10/52/60/1204105260.db2.gz ILYKXCQCVLGWAX-GFCCVEGCSA-N 0 1 312.866 3.142 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001725182298 1204113425 /nfs/dbraw/zinc/11/34/25/1204113425.db2.gz WEXXMRRFOYYNGE-DNVCBOLYSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccc(C)nc1 ZINC001725230105 1204122345 /nfs/dbraw/zinc/12/23/45/1204122345.db2.gz WRURKPLMWJIHRG-HNNXBMFYSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1ccns1 ZINC001725241632 1204126240 /nfs/dbraw/zinc/12/62/40/1204126240.db2.gz MIKISJNSIIFUCX-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CN(C/C=C/c3ccccc3)C2)C1 ZINC001725255746 1204128627 /nfs/dbraw/zinc/12/86/27/1204128627.db2.gz ABYTVBMRKTYUSI-JEJOPICUSA-N 0 1 324.468 3.493 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001725257142 1204129093 /nfs/dbraw/zinc/12/90/93/1204129093.db2.gz SVHJNMSNAJQPDA-CQSZACIVSA-N 0 1 304.409 3.037 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725260435 1204129513 /nfs/dbraw/zinc/12/95/13/1204129513.db2.gz QMSRKMMEBCPAME-LSDHHAIUSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2c(C)nsc2C)CC1(C)C ZINC001725279302 1204131841 /nfs/dbraw/zinc/13/18/41/1204131841.db2.gz BMAZWZUTCZYQHT-OAHLLOKOSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)C(C)(C)CCC)C2)C1 ZINC001725286480 1204132969 /nfs/dbraw/zinc/13/29/69/1204132969.db2.gz TVYOHKNDKUKTRU-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C(CC)(CC)CC)[C@@H]2C1 ZINC001725313158 1204136602 /nfs/dbraw/zinc/13/66/02/1204136602.db2.gz RRMUQIFGKOELPT-QKDCVEJESA-N 0 1 312.885 3.249 20 30 DGEDMN C#CCCCCC(=O)N(C)C[C@@H](C)NCc1cc(F)ccc1F ZINC001725482421 1204156896 /nfs/dbraw/zinc/15/68/96/1204156896.db2.gz MTDAFYQCNOXEBV-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001725487655 1204158130 /nfs/dbraw/zinc/15/81/30/1204158130.db2.gz WHDAPTGDHKAFRE-KBPBESRZSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@H]1C ZINC001725598944 1204175808 /nfs/dbraw/zinc/17/58/08/1204175808.db2.gz UVWNXQOVJYLRLU-LCINHOFUSA-N 0 1 323.440 3.160 20 30 DGEDMN CCCC(=O)N[C@@]12CCC[C@H]1N(Cc1ccc(C#N)s1)CC2 ZINC001725602573 1204177041 /nfs/dbraw/zinc/17/70/41/1204177041.db2.gz XQCOCWIBHKAWCA-NVXWUHKLSA-N 0 1 317.458 3.033 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccccc1)C(C)(C)C ZINC001725749062 1204210889 /nfs/dbraw/zinc/21/08/89/1204210889.db2.gz DPWPZGVJVPVEEX-INIZCTEOSA-N 0 1 322.880 3.492 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2cncs2)CC1 ZINC001725768865 1204217375 /nfs/dbraw/zinc/21/73/75/1204217375.db2.gz COQDACTWJBCGDF-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CC[C@H](C)CC)c(F)c1 ZINC001725832778 1204229309 /nfs/dbraw/zinc/22/93/09/1204229309.db2.gz GMUACBGHIVFHDB-OAHLLOKOSA-N 0 1 318.436 3.381 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)CC[C@@H](C)CC)c(F)c1 ZINC001725832776 1204229509 /nfs/dbraw/zinc/22/95/09/1204229509.db2.gz GMUACBGHIVFHDB-HNNXBMFYSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cccc3[nH]ccc32)CC1 ZINC001731387450 1204392518 /nfs/dbraw/zinc/39/25/18/1204392518.db2.gz PSNJCMZYJMYTKR-UHFFFAOYSA-N 0 1 323.440 3.118 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2nc(C(C)(C)C)cs2)C1 ZINC001731431429 1204410763 /nfs/dbraw/zinc/41/07/63/1204410763.db2.gz PYEJIKBKIZBPGB-ZDUSSCGKSA-N 0 1 321.490 3.097 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001731431465 1204410895 /nfs/dbraw/zinc/41/08/95/1204410895.db2.gz USNYUTGPJOSVGR-JSGCOSHPSA-N 0 1 308.372 3.183 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCCN1Cc1ccsc1 ZINC001731538738 1204449060 /nfs/dbraw/zinc/44/90/60/1204449060.db2.gz ULCZQAXHEIDJKR-KRWDZBQOSA-N 0 1 318.486 3.412 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001731542684 1204452539 /nfs/dbraw/zinc/45/25/39/1204452539.db2.gz HZIWROHIIVXSAZ-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cncs2)C1 ZINC001731558513 1204460648 /nfs/dbraw/zinc/46/06/48/1204460648.db2.gz KWNNZBZTGILANQ-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN CCC[C@H](C)CC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001731581639 1204471492 /nfs/dbraw/zinc/47/14/92/1204471492.db2.gz KVZOHZAOFUBGJC-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@H](C)CC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001731581637 1204471585 /nfs/dbraw/zinc/47/15/85/1204471585.db2.gz KVZOHZAOFUBGJC-KBPBESRZSA-N 0 1 319.424 3.118 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001731588257 1204473727 /nfs/dbraw/zinc/47/37/27/1204473727.db2.gz NYOQUKGWYPZJGX-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2nccc(C)c2c1 ZINC001731593568 1204475651 /nfs/dbraw/zinc/47/56/51/1204475651.db2.gz GJVHBWLMWQSGFU-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1oc2ccc(F)cc2c1C ZINC001731595643 1204477022 /nfs/dbraw/zinc/47/70/22/1204477022.db2.gz ASHLODUJNRJGLP-SNVBAGLBSA-N 0 1 324.783 3.341 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(COC(C)C)cc1 ZINC001731638507 1204493210 /nfs/dbraw/zinc/49/32/10/1204493210.db2.gz YNBGIURDLIUZGS-AWEZNQCLSA-N 0 1 324.852 3.072 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001731712496 1204516961 /nfs/dbraw/zinc/51/69/61/1204516961.db2.gz RTXHMFRXFZLBKT-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1ccc(C)c(F)c1)C1CC1 ZINC001731872051 1204614640 /nfs/dbraw/zinc/61/46/40/1204614640.db2.gz NHVCYZKHVZDWKS-UHFFFAOYSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN[C@@H](C)c2cc(F)ccc2F)C1 ZINC001731952434 1204634973 /nfs/dbraw/zinc/63/49/73/1204634973.db2.gz BFDLLSNXTYYMMS-ZDUSSCGKSA-N 0 1 322.399 3.430 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)oc1C)C(C)C ZINC001732005072 1204646109 /nfs/dbraw/zinc/64/61/09/1204646109.db2.gz IVSFWDXCSODGBP-UHFFFAOYSA-N 0 1 312.841 3.479 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)c(OC)c2)C1 ZINC001732038505 1204660015 /nfs/dbraw/zinc/66/00/15/1204660015.db2.gz GPGNNKGTZNKSHU-KRWDZBQOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@H]2CCCC2(F)F)C1 ZINC001732074770 1204680428 /nfs/dbraw/zinc/68/04/28/1204680428.db2.gz KVTDEGLMKFCMFY-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cc(C)cc(F)c2)C1 ZINC001732073974 1204681161 /nfs/dbraw/zinc/68/11/61/1204681161.db2.gz GUWOPMHSZZCHLF-GOSISDBHSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001732074097 1204681241 /nfs/dbraw/zinc/68/12/41/1204681241.db2.gz UEWFTSRRKXZJND-LTIDMASMSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc3ccccc3nc2C)C1 ZINC001732102739 1204694919 /nfs/dbraw/zinc/69/49/19/1204694919.db2.gz TZDPLAWSPPIXCZ-AWEZNQCLSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001732111145 1204697495 /nfs/dbraw/zinc/69/74/95/1204697495.db2.gz FAYKVSKIOJIWOO-CVAIRZPRSA-N 0 1 312.457 3.335 20 30 DGEDMN C=CC[C@@H](C(=O)NC1CN(CC2CC(C)C2)C1)c1ccccc1 ZINC001732118087 1204701014 /nfs/dbraw/zinc/70/10/14/1204701014.db2.gz KZFNXGBUYAPKSS-LADRWXRNSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCC1(C(=O)NCC2CN(Cc3ccc(C)cc3)C2)CCC1 ZINC001732135289 1204708381 /nfs/dbraw/zinc/70/83/81/1204708381.db2.gz ZSYXTRSMPDMIOC-UHFFFAOYSA-N 0 1 312.457 3.289 20 30 DGEDMN Cc1ccccc1[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001732143456 1204711949 /nfs/dbraw/zinc/71/19/49/1204711949.db2.gz XMJAOAYGHNBIKH-BZSNNMDCSA-N 0 1 324.468 3.391 20 30 DGEDMN C=CCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)sn1 ZINC001732143658 1204711999 /nfs/dbraw/zinc/71/19/99/1204711999.db2.gz WXEXAHAIUINHBB-GJZGRUSLSA-N 0 1 319.474 3.097 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001732185122 1204736987 /nfs/dbraw/zinc/73/69/87/1204736987.db2.gz LFJYZTZLIZBBNQ-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1ccc(C)c(C)c1 ZINC001732217837 1204755879 /nfs/dbraw/zinc/75/58/79/1204755879.db2.gz BXNACIHGBVUJJA-INIZCTEOSA-N 0 1 308.853 3.083 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@](C)(CNCc1nccs1)C1CC1 ZINC001732247272 1204768528 /nfs/dbraw/zinc/76/85/28/1204768528.db2.gz XKERSIQOOHQMFW-KRWDZBQOSA-N 0 1 321.490 3.120 20 30 DGEDMN C=CCCCC(=O)N[C@]1(CNCc2ccon2)CCCC[C@H]1C ZINC001732257583 1204773210 /nfs/dbraw/zinc/77/32/10/1204773210.db2.gz MKJYEORYBNPQEO-QAPCUYQASA-N 0 1 319.449 3.186 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC001732377661 1204811197 /nfs/dbraw/zinc/81/11/97/1204811197.db2.gz FXZCXAJADSJNIT-IRXDYDNUSA-N 0 1 324.509 3.088 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC001732381363 1204811685 /nfs/dbraw/zinc/81/16/85/1204811685.db2.gz MAPJMNRRKUQTMW-MRXNPFEDSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C2=CCCCCC2)C1 ZINC001732379396 1204812194 /nfs/dbraw/zinc/81/21/94/1204812194.db2.gz TUROZDKFKQYHFQ-FUHWJXTLSA-N 0 1 320.477 3.049 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)CCC(C)(C)C)CC2 ZINC001732405221 1204824126 /nfs/dbraw/zinc/82/41/26/1204824126.db2.gz JDVRGIGDWJFVCH-QGZVFWFLSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCCC(F)(F)C1 ZINC001732437646 1204840632 /nfs/dbraw/zinc/84/06/32/1204840632.db2.gz NIGUCWGSBDYEOX-NEPJUHHUSA-N 0 1 308.800 3.001 20 30 DGEDMN CCCCCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001732410077 1204827043 /nfs/dbraw/zinc/82/70/43/1204827043.db2.gz KLSOSGKVJPAPAT-AWEZNQCLSA-N 0 1 319.424 3.214 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)sc1C ZINC001732427682 1204834814 /nfs/dbraw/zinc/83/48/14/1204834814.db2.gz NRUMOSROXBXNRJ-JTQLQIEISA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cc[nH]c1CC ZINC001732536750 1204885233 /nfs/dbraw/zinc/88/52/33/1204885233.db2.gz SIJKKZXZGQBREQ-LRDDRELGSA-N 0 1 323.868 3.160 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc(Cl)sc1Cl ZINC001732585557 1204910450 /nfs/dbraw/zinc/91/04/50/1204910450.db2.gz RRPZZWOQMQGVIU-UHFFFAOYSA-N 0 1 319.257 3.082 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNCc1csc(C2CC2)n1 ZINC001732722087 1204933832 /nfs/dbraw/zinc/93/38/32/1204933832.db2.gz UWSCKFIOFYNUSV-LBPRGKRZSA-N 0 1 321.490 3.217 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)CCNCc1cccc(Cl)n1 ZINC001732776922 1204942182 /nfs/dbraw/zinc/94/21/82/1204942182.db2.gz OWBRIPSZAKSGFP-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CCC[C@@H](C)CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001732830846 1204949696 /nfs/dbraw/zinc/94/96/96/1204949696.db2.gz NSCNSYOHHBJBOL-JTHGQSKGSA-N 0 1 312.457 3.126 20 30 DGEDMN CCC[C@H](C)CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001732830849 1204949878 /nfs/dbraw/zinc/94/98/78/1204949878.db2.gz NSCNSYOHHBJBOL-ZEVQVBBLSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCC[C@H](C)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001732848050 1204950937 /nfs/dbraw/zinc/95/09/37/1204950937.db2.gz QILNQLQGDRADDR-GIFJBRJJSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1ccc(Cl)nc1 ZINC001732887305 1204954479 /nfs/dbraw/zinc/95/44/79/1204954479.db2.gz WTOHNMJLULMOJU-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1 ZINC001732980020 1204972337 /nfs/dbraw/zinc/97/23/37/1204972337.db2.gz MTFICRGEQQZRBO-SNVBAGLBSA-N 0 1 320.742 3.166 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1cccc(C)c1Cl ZINC001733005623 1204979515 /nfs/dbraw/zinc/97/95/15/1204979515.db2.gz SAMMIHUKEMWUEU-LBPRGKRZSA-N 0 1 315.244 3.038 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CN(C)[C@@H](C)c1ccccc1F ZINC001733039607 1204993850 /nfs/dbraw/zinc/99/38/50/1204993850.db2.gz VOARTVNJVMFRJY-CABCVRRESA-N 0 1 304.409 3.127 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1cc(C)ccc1C)C1CC1 ZINC001733182222 1205035464 /nfs/dbraw/zinc/03/54/64/1205035464.db2.gz YJBMERVUENHVDO-KRWDZBQOSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1CCc1ccc(Cl)cc1 ZINC001733211947 1205046713 /nfs/dbraw/zinc/04/67/13/1205046713.db2.gz HZEKOMYEMWHUCE-QGZVFWFLSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1occ2c1CCC2 ZINC001733219929 1205051508 /nfs/dbraw/zinc/05/15/08/1205051508.db2.gz BPGFZSQTQZLMRH-MRXNPFEDSA-N 0 1 316.445 3.319 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001733238977 1205065472 /nfs/dbraw/zinc/06/54/72/1205065472.db2.gz WWUZMJPBQORPAT-BBRMVZONSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)Cc2ccccc2)CC1 ZINC001733261141 1205072900 /nfs/dbraw/zinc/07/29/00/1205072900.db2.gz RJCQTDUUUJPCTH-UHFFFAOYSA-N 0 1 320.864 3.246 20 30 DGEDMN C=C[C@H](CC(=O)NCC1(NCC(=C)Cl)CC1)c1ccccc1 ZINC001733263723 1205073692 /nfs/dbraw/zinc/07/36/92/1205073692.db2.gz MRHXVVKRZVWLID-OAHLLOKOSA-N 0 1 318.848 3.337 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1[C@H]1CCN(CC(=C)Cl)C1 ZINC001733269960 1205077024 /nfs/dbraw/zinc/07/70/24/1205077024.db2.gz UEEDICVCIALSRJ-IRXDYDNUSA-N 0 1 322.880 3.245 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2coc3ccccc23)C1 ZINC001733314968 1205093416 /nfs/dbraw/zinc/09/34/16/1205093416.db2.gz NWLLPGQKFOJDBP-UHFFFAOYSA-N 0 1 312.413 3.084 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@@H]2CCO[C@@H](CC)C2)C1 ZINC001733315503 1205093497 /nfs/dbraw/zinc/09/34/97/1205093497.db2.gz NMJDPVCWPUHDQD-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cc(C)on2)C[C@@H]1C ZINC001733330950 1205097806 /nfs/dbraw/zinc/09/78/06/1205097806.db2.gz UZYIRALWIVRJBJ-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CNCc1cc(F)ccc1F ZINC001733337507 1205099471 /nfs/dbraw/zinc/09/94/71/1205099471.db2.gz XQDVLCZOGUPGEE-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](F)c1ccccc1 ZINC001733347379 1205101488 /nfs/dbraw/zinc/10/14/88/1205101488.db2.gz DLAPJHLDTUJXQO-GJZGRUSLSA-N 0 1 310.800 3.030 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001733413309 1205125220 /nfs/dbraw/zinc/12/52/20/1205125220.db2.gz RVIZPAWCURZHFP-FXAWDEMLSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@H](C)CC)CC1 ZINC001733448018 1205135788 /nfs/dbraw/zinc/13/57/88/1205135788.db2.gz PKLGTCIGFHYSQF-CYBMUJFWSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2cncs2)C1 ZINC001733462516 1205144637 /nfs/dbraw/zinc/14/46/37/1205144637.db2.gz XRWSHEUCQNBTLW-QGZVFWFLSA-N 0 1 321.490 3.218 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](CCC)CC(C)C)C1 ZINC001733468891 1205147635 /nfs/dbraw/zinc/14/76/35/1205147635.db2.gz SYCMLIQWUSOMPO-HKUYNNGSSA-N 0 1 306.494 3.300 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001733475594 1205148989 /nfs/dbraw/zinc/14/89/89/1205148989.db2.gz BKVYOWZIIXRTPG-GDBMZVCRSA-N 0 1 314.420 3.238 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C2(CC)CCC2)C1 ZINC001733478015 1205150119 /nfs/dbraw/zinc/15/01/19/1205150119.db2.gz RBEIAQUTNQXWHC-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CC(C)C1)c1ccccc1CC ZINC001733519435 1205152724 /nfs/dbraw/zinc/15/27/24/1205152724.db2.gz YIVIVBLPHBZBEZ-GXOAENINSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CCC(F)F)C2 ZINC001733563197 1205163007 /nfs/dbraw/zinc/16/30/07/1205163007.db2.gz FGTWHZXSTZWDSH-CLLJXQQHSA-N 0 1 320.811 3.143 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1(CC)CC1)C2 ZINC001733563078 1205163338 /nfs/dbraw/zinc/16/33/38/1205163338.db2.gz DXNBUTIBDMOERJ-QDMKHBRRSA-N 0 1 310.869 3.288 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CC(C)(C)C ZINC001733679473 1205182786 /nfs/dbraw/zinc/18/27/86/1205182786.db2.gz XHDBHBDQGMZYLT-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)C(C)(C)F)cc1 ZINC001733681207 1205183361 /nfs/dbraw/zinc/18/33/61/1205183361.db2.gz UVPYVYDWZMUJFC-GFCCVEGCSA-N 0 1 312.816 3.454 20 30 DGEDMN O=C(C[C@@H]1C=CCC1)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001733711933 1205191018 /nfs/dbraw/zinc/19/10/18/1205191018.db2.gz OJEGUTJTOWNKBO-LJQANCHMSA-N 0 1 322.452 3.023 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001733763664 1205203443 /nfs/dbraw/zinc/20/34/43/1205203443.db2.gz YLXNWANTCMNPQY-HXUWFJFHSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC001733763150 1205203525 /nfs/dbraw/zinc/20/35/25/1205203525.db2.gz HQXFEYBRTKHUNJ-MAUKXSAKSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001733767404 1205205179 /nfs/dbraw/zinc/20/51/79/1205205179.db2.gz XBENDNMINLGINF-MSOLQXFVSA-N 0 1 318.436 3.287 20 30 DGEDMN CCCCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001733768439 1205206800 /nfs/dbraw/zinc/20/68/00/1205206800.db2.gz JJYHEGHUZDHMEP-UHFFFAOYSA-N 0 1 300.446 3.009 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2cnc(C)o2)CCC1 ZINC001733776389 1205213341 /nfs/dbraw/zinc/21/33/41/1205213341.db2.gz MCELFTQHTKNEEM-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN CC(C)C#CC(=O)NCc1ccc2c(c1)CN(CC[C@H](C)F)C2 ZINC001733835267 1205227326 /nfs/dbraw/zinc/22/73/26/1205227326.db2.gz AWOONSGUQBLFHP-HNNXBMFYSA-N 0 1 316.420 3.026 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001733893918 1205243104 /nfs/dbraw/zinc/24/31/04/1205243104.db2.gz JWEWXWMPTRNVTH-UONOGXRCSA-N 0 1 317.458 3.055 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2conc2CC)[C@H](C)C1 ZINC001733902495 1205247965 /nfs/dbraw/zinc/24/79/65/1205247965.db2.gz UJTHDPGFZJDMAL-ZBFHGGJFSA-N 0 1 319.449 3.062 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCCC1(C)C ZINC001733922466 1205255555 /nfs/dbraw/zinc/25/55/55/1205255555.db2.gz VSJHCPBBWFWUPK-IPMKNSEASA-N 0 1 324.468 3.208 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2C[N@H+](C)CC#CC)CCCC1 ZINC001733942469 1205267766 /nfs/dbraw/zinc/26/77/66/1205267766.db2.gz CGXKJEJOMXXPQU-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CN(C)CC#CC)CCCC1 ZINC001733942469 1205267770 /nfs/dbraw/zinc/26/77/70/1205267770.db2.gz CGXKJEJOMXXPQU-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CC[N@H+](C)C[C@H]1CCCN1C(=O)C1(CC)CCCCC1 ZINC001733942402 1205267850 /nfs/dbraw/zinc/26/78/50/1205267850.db2.gz AYWUJNJYMKGQRW-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(CC)CCCCC1 ZINC001733942402 1205267851 /nfs/dbraw/zinc/26/78/51/1205267851.db2.gz AYWUJNJYMKGQRW-QGZVFWFLSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1oc2ccccc2c1CC ZINC001733945102 1205268619 /nfs/dbraw/zinc/26/86/19/1205268619.db2.gz YUVOKIBPMOYBIV-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN CC(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001733959062 1205270782 /nfs/dbraw/zinc/27/07/82/1205270782.db2.gz INPDILTTYFTXCO-KGLIPLIRSA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001733959064 1205270972 /nfs/dbraw/zinc/27/09/72/1205270972.db2.gz INPDILTTYFTXCO-ZIAGYGMSSA-N 0 1 319.424 3.116 20 30 DGEDMN CC(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001733970878 1205275474 /nfs/dbraw/zinc/27/54/74/1205275474.db2.gz IQBJKZXIHGQRPJ-LSDHHAIUSA-N 0 1 315.461 3.223 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C(C1CCC1)C1CCC1 ZINC001733990105 1205281317 /nfs/dbraw/zinc/28/13/17/1205281317.db2.gz BYJHFUMYVWINNZ-QWHCGFSZSA-N 0 1 312.885 3.438 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C/Cl ZINC001733998030 1205283379 /nfs/dbraw/zinc/28/33/79/1205283379.db2.gz XGLAZPYTZLPRIS-JNBVNVQXSA-N 0 1 307.265 3.391 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C/Cl ZINC001733998029 1205283413 /nfs/dbraw/zinc/28/34/13/1205283413.db2.gz XGLAZPYTZLPRIS-HPPFBBELSA-N 0 1 307.265 3.391 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001734050744 1205305991 /nfs/dbraw/zinc/30/59/91/1205305991.db2.gz GOFURWMYQSRGFQ-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001734117928 1205329042 /nfs/dbraw/zinc/32/90/42/1205329042.db2.gz VHQJKZVFROWUHI-CABCVRRESA-N 0 1 318.436 3.017 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CC)CCCCCC)C(C)(C)C1 ZINC001734151248 1205336318 /nfs/dbraw/zinc/33/63/18/1205336318.db2.gz ZIMUELRQESEMJB-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C[C@H](CC(=O)N[C@@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001734153523 1205337861 /nfs/dbraw/zinc/33/78/61/1205337861.db2.gz IFGJTAXPROYHBW-IEBWSBKVSA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNCc1ncc(C)s1 ZINC001734248277 1205349249 /nfs/dbraw/zinc/34/92/49/1205349249.db2.gz QKILDAKODBBASW-ZDUSSCGKSA-N 0 1 323.506 3.428 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](CNCc1nccs1)C1CC1 ZINC001734296243 1205358795 /nfs/dbraw/zinc/35/87/95/1205358795.db2.gz PYQUUFHVGGBTKT-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](CNCc1nccs1)C1CC1 ZINC001734307013 1205360965 /nfs/dbraw/zinc/36/09/65/1205360965.db2.gz QGZVFKVLKYUCPX-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(C)C)nc1 ZINC001734327688 1205365492 /nfs/dbraw/zinc/36/54/92/1205365492.db2.gz CFPICMWRHOVUKM-ZDUSSCGKSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(C)C)nc1 ZINC001734327687 1205365598 /nfs/dbraw/zinc/36/55/98/1205365598.db2.gz CFPICMWRHOVUKM-CYBMUJFWSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001734338014 1205366814 /nfs/dbraw/zinc/36/68/14/1205366814.db2.gz ZHSOXWSHIRVDNX-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1c(C)onc1CC ZINC001734346405 1205368475 /nfs/dbraw/zinc/36/84/75/1205368475.db2.gz VHXKHXLKPRLLES-SCLBCKFNSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001734346976 1205368791 /nfs/dbraw/zinc/36/87/91/1205368791.db2.gz YVNGZLDLBNCYHN-GUYCJALGSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001734346975 1205368878 /nfs/dbraw/zinc/36/88/78/1205368878.db2.gz YVNGZLDLBNCYHN-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001734346974 1205369001 /nfs/dbraw/zinc/36/90/01/1205369001.db2.gz YVNGZLDLBNCYHN-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC/C=C\c2ccccc2)[C@H]1C ZINC001734460894 1205381610 /nfs/dbraw/zinc/38/16/10/1205381610.db2.gz QNEPXINOQKJHGP-CBWLHJDDSA-N 0 1 310.441 3.082 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@@H]1CCC(F)(F)C1)C(C)C ZINC001734552108 1205391611 /nfs/dbraw/zinc/39/16/11/1205391611.db2.gz VNRXROKAVIKGTF-HNNXBMFYSA-N 0 1 314.420 3.004 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(F)c1)C(C)(C)C ZINC001734556607 1205393380 /nfs/dbraw/zinc/39/33/80/1205393380.db2.gz BIDXBCLHRZMWAY-CQSZACIVSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC001734566968 1205395944 /nfs/dbraw/zinc/39/59/44/1205395944.db2.gz BBMJFVYTYTYFHK-GDBMZVCRSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CCN(Cc2ccns2)CC1 ZINC001734579283 1205399906 /nfs/dbraw/zinc/39/99/06/1205399906.db2.gz SVDKJOPOQYMPEU-CYBMUJFWSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001734598106 1205403602 /nfs/dbraw/zinc/40/36/02/1205403602.db2.gz VCRZQQGOMWOHJC-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CC(NCc2ncc(C)s2)C1 ZINC001734599853 1205404076 /nfs/dbraw/zinc/40/40/76/1205404076.db2.gz OTFPZCCNWJRDJV-NFOMZHRRSA-N 0 1 321.490 3.133 20 30 DGEDMN C[C@@H](C#N)C[N@H+](C)Cc1c(C(=O)[O-])sc2cccc(F)c21 ZINC000042455933 957418063 /nfs/dbraw/zinc/41/80/63/957418063.db2.gz MHLYDQOLCYZIGI-VIFPVBQESA-N 0 1 306.362 3.330 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](C)Cc1c(C(=O)[O-])sc2cccc(F)c21 ZINC000042455933 957418068 /nfs/dbraw/zinc/41/80/68/957418068.db2.gz MHLYDQOLCYZIGI-VIFPVBQESA-N 0 1 306.362 3.330 20 30 DGEDMN C#CC[N@H+](CC(=O)[O-])CC(Cc1ccccc1)Cc1ccccc1 ZINC001588424119 958211572 /nfs/dbraw/zinc/21/15/72/958211572.db2.gz JXENJVIKAVPSGY-UHFFFAOYSA-N 0 1 321.420 3.108 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)Cc1ccccc1OCC(=O)[O-] ZINC001231794541 960625542 /nfs/dbraw/zinc/62/55/42/960625542.db2.gz CMJGFOWFQVRUEG-UHFFFAOYSA-N 0 1 311.381 3.338 20 30 DGEDMN C=CCOc1ccc(C[N@@H+](C)Cc2cc(C(=O)[O-])c(C)o2)cc1 ZINC001353896475 962667048 /nfs/dbraw/zinc/66/70/48/962667048.db2.gz LIISSYSPGFDLFF-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCc2cc(C)c(Br)cc2C1 ZINC001573351202 962977076 /nfs/dbraw/zinc/97/70/76/962977076.db2.gz QSWLEAXNSVFGHV-CQSZACIVSA-N 0 1 324.218 3.145 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)CCOc1ccc(C(F)(F)F)cc1 ZINC001573351521 962979704 /nfs/dbraw/zinc/97/97/04/962979704.db2.gz VIYQNZZSDAOWRJ-ZDUSSCGKSA-N 0 1 317.307 3.045 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[NH2+][C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC001605591819 973888899 /nfs/dbraw/zinc/88/88/99/973888899.db2.gz BATWTKNBUVTWDN-OAHLLOKOSA-N 0 1 318.735 3.266 20 30 DGEDMN CC(C)c1[nH]c(C[N@@H+](C)Cc2ccc(C#N)cc2)cc1C(=O)[O-] ZINC001591910619 976322632 /nfs/dbraw/zinc/32/26/32/976322632.db2.gz KYCNJYDUORGLAW-UHFFFAOYSA-N 0 1 311.385 3.340 20 30 DGEDMN C#CC[N@@H+](Cc1cc(C(=O)[O-])co1)[C@@H]1CCCc2ccccc21 ZINC001588469333 983501817 /nfs/dbraw/zinc/50/18/17/983501817.db2.gz FNFHAWXEWNWXCO-GOSISDBHSA-N 0 1 309.365 3.491 20 30 DGEDMN C#CC[N@H+](Cc1cc(C(=O)[O-])co1)[C@@H]1CCCc2ccccc21 ZINC001588469333 983501818 /nfs/dbraw/zinc/50/18/18/983501818.db2.gz FNFHAWXEWNWXCO-GOSISDBHSA-N 0 1 309.365 3.491 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)C[C@@H]1CCCC[C@H]1C(=O)[O-] ZINC001588567316 983646660 /nfs/dbraw/zinc/64/66/60/983646660.db2.gz JOYHTKFXRPIZQR-WMLDXEAASA-N 0 1 321.417 3.041 20 30 DGEDMN CC[N@@H+](CCc1ccccc1)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001596348090 983802094 /nfs/dbraw/zinc/80/20/94/983802094.db2.gz ZZVMZLHNTRWVFZ-SFHVURJKSA-N 0 1 308.381 3.249 20 30 DGEDMN C=C[C@H]([NH2+][C@H](COCc1ccccc1)c1ccccc1)C(=O)[O-] ZINC001588695744 983901732 /nfs/dbraw/zinc/90/17/32/983901732.db2.gz KVFHARXXOOKQJF-ZWKOTPCHSA-N 0 1 311.381 3.173 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](c2ccccc2OC)CC1 ZINC001588729403 983989535 /nfs/dbraw/zinc/98/95/35/983989535.db2.gz KAOKKHFBRMMRLZ-ZBFHGGJFSA-N 0 1 303.402 3.294 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)Cc1cccc(OC(F)(F)F)c1 ZINC001588730194 983996542 /nfs/dbraw/zinc/99/65/42/983996542.db2.gz XCXGQWCJJIHPOP-GFCCVEGCSA-N 0 1 303.280 3.046 20 30 DGEDMN C=CC[N@@H+](CCc1ccccc1)[C@H](C)c1nc(C(=O)[O-])co1 ZINC001588828682 984308503 /nfs/dbraw/zinc/30/85/03/984308503.db2.gz IRALNFCIDVRPLH-CYBMUJFWSA-N 0 1 300.358 3.165 20 30 DGEDMN C=CC[N@H+](CCc1ccccc1)[C@H](C)c1nc(C(=O)[O-])co1 ZINC001588828682 984308507 /nfs/dbraw/zinc/30/85/07/984308507.db2.gz IRALNFCIDVRPLH-CYBMUJFWSA-N 0 1 300.358 3.165 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@@H+](C)[C@H](C(=O)[O-])c2ccccc2)cc1 ZINC001589334491 986201876 /nfs/dbraw/zinc/20/18/76/986201876.db2.gz FLDNAVNEOMHGII-KDOFPFPSSA-N 0 1 324.380 3.235 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CC[C@@]2(C(=O)[O-])CCC[C@@H]12 ZINC001589560448 987313755 /nfs/dbraw/zinc/31/37/55/987313755.db2.gz DDBFNDWXIHKNFX-APWZRJJASA-N 0 1 312.413 3.317 20 30 DGEDMN C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)C1CC(OC(C)(C)C)C1 ZINC001598525470 992714856 /nfs/dbraw/zinc/71/48/56/992714856.db2.gz LHFPBNXXCMSMJA-UHFFFAOYSA-N 0 1 316.401 3.034 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001593698072 996160593 /nfs/dbraw/zinc/16/05/93/996160593.db2.gz DTTBWLOBXSTPCH-ZDUSSCGKSA-N 0 1 319.364 3.321 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC001593698072 996160597 /nfs/dbraw/zinc/16/05/97/996160597.db2.gz DTTBWLOBXSTPCH-ZDUSSCGKSA-N 0 1 319.364 3.321 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593699720 996171694 /nfs/dbraw/zinc/17/16/94/996171694.db2.gz WSAWGVHSYVBWBK-CYBMUJFWSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593699720 996171697 /nfs/dbraw/zinc/17/16/97/996171697.db2.gz WSAWGVHSYVBWBK-CYBMUJFWSA-N 0 1 322.364 3.212 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)CC(C)C)C[C@H]1C ZINC001216290145 1094168375 /nfs/dbraw/zinc/16/83/75/1094168375.db2.gz LUBKMTVEEIMYAV-TZMCWYRMSA-N 0 1 300.874 3.248 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1c(O)cc(Br)cc1F ZINC001233031625 1098207154 /nfs/dbraw/zinc/20/71/54/1098207154.db2.gz IWJYNKIHACRBMT-SNVBAGLBSA-N 0 1 313.170 3.172 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(Cl)cc1F ZINC001329077981 1104145083 /nfs/dbraw/zinc/14/50/83/1104145083.db2.gz YHMWPEONWDNWIN-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCNCc1coc(C2CC2)n1 ZINC001169707032 1081634907 /nfs/dbraw/zinc/63/49/07/1081634907.db2.gz XPNFBAVKPCJGKS-AWEZNQCLSA-N 0 1 319.449 3.283 20 30 DGEDMN O/N=C/c1cc(NCc2ncccc2O)cc(C(F)(F)F)c1 ZINC001169732548 1081645299 /nfs/dbraw/zinc/64/52/99/1081645299.db2.gz LCZPNMDVPIAJHC-IFRROFPPSA-N 0 1 311.263 3.226 20 30 DGEDMN CCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1ccccc1C#N ZINC001087110675 1081677052 /nfs/dbraw/zinc/67/70/52/1081677052.db2.gz YDSOJFGNVYFMEV-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN COC(=O)c1cnc2[nH]cc(NC3=CC(=O)CC(C)(C)C3)cc1-2 ZINC001169953505 1081684580 /nfs/dbraw/zinc/68/45/80/1081684580.db2.gz VNDHQPKNCYMTJM-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H](C)C(C)(C)C)CC1 ZINC001266373591 1081713731 /nfs/dbraw/zinc/71/37/31/1081713731.db2.gz HDUXZLLGRCNVMC-KBPBESRZSA-N 0 1 312.885 3.392 20 30 DGEDMN CCCCN(CC#N)C(=O)c1[nH]nc2cc(C(F)(F)F)ccc21 ZINC001156497201 1081725351 /nfs/dbraw/zinc/72/53/51/1081725351.db2.gz CUQOFNMQZOXSPE-UHFFFAOYSA-N 0 1 324.306 3.348 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)C2CCCC2)CC1 ZINC001266392814 1081737170 /nfs/dbraw/zinc/73/71/70/1081737170.db2.gz OCKFHWRIKUEUKL-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1nc(C)cs1)C1CC1 ZINC001266423567 1081783067 /nfs/dbraw/zinc/78/30/67/1081783067.db2.gz HSOVEVNTMFIMAM-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN CCCCCCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccns1)C2 ZINC001109353100 1081808973 /nfs/dbraw/zinc/80/89/73/1081808973.db2.gz IUJHXBOQNVJRIF-ILXRZTDVSA-N 0 1 321.490 3.449 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001209875039 1081813245 /nfs/dbraw/zinc/81/32/45/1081813245.db2.gz MMMRVACZDBHKHR-SUIFULHWSA-N 0 1 319.276 3.488 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](CC)CCCC)C2 ZINC001109539836 1081864390 /nfs/dbraw/zinc/86/43/90/1081864390.db2.gz UZTOPUOQBXLDFF-MHORFTMASA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCCC#CC)C1 ZINC001266466640 1081867241 /nfs/dbraw/zinc/86/72/41/1081867241.db2.gz SDYUHGQEWABIEW-MRXNPFEDSA-N 0 1 310.869 3.103 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1ncccc1C ZINC001266474177 1081877180 /nfs/dbraw/zinc/87/71/80/1081877180.db2.gz IUNQMDFATJPBGI-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001266488409 1081889750 /nfs/dbraw/zinc/88/97/50/1081889750.db2.gz IVFDAHSOIOPCNC-RDJZCZTQSA-N 0 1 324.424 3.140 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCCC ZINC001109679257 1081899634 /nfs/dbraw/zinc/89/96/34/1081899634.db2.gz UYISBPBWDGAJJQ-VUHPKUFZSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC1CCCCC1)C2 ZINC001110064619 1081957810 /nfs/dbraw/zinc/95/78/10/1081957810.db2.gz WBXLZGLELBPWJX-SQNIBIBYSA-N 0 1 302.462 3.092 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCc2ccc(C)cc2)C1 ZINC001266547110 1081979652 /nfs/dbraw/zinc/97/96/52/1081979652.db2.gz HTJASFCCWKSMDM-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)OCCCC)CC1 ZINC001112676691 1081985053 /nfs/dbraw/zinc/98/50/53/1081985053.db2.gz VIENSNQHRLIWSD-QGZVFWFLSA-N 0 1 312.498 3.306 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N1CCN(CCCCCCC)CC1 ZINC001112671432 1081985439 /nfs/dbraw/zinc/98/54/39/1081985439.db2.gz ISYASHBCBCBXDV-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCCC(=O)N1CCN(CCCc2ccc(Cl)cc2)CC1 ZINC001112688166 1081990272 /nfs/dbraw/zinc/99/02/72/1081990272.db2.gz GGQXVOYQLWOFMD-UHFFFAOYSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cccc(F)c2C)C1 ZINC001204516046 1081998562 /nfs/dbraw/zinc/99/85/62/1081998562.db2.gz ULIKOGQBKSTQQS-HNNXBMFYSA-N 0 1 306.381 3.011 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H](C)CC(C)C)C1 ZINC001210615330 1081999921 /nfs/dbraw/zinc/99/99/21/1081999921.db2.gz NDMAFNVWRGOYCX-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCN1CCN(C(=O)CO[C@H]2CCCC[C@H]2CC)CC1 ZINC001112837834 1082020544 /nfs/dbraw/zinc/02/05/44/1082020544.db2.gz XNKMOYWSTZNSFC-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCN(CCCC(C)C)CC1 ZINC001112850737 1082023534 /nfs/dbraw/zinc/02/35/34/1082023534.db2.gz CUJZDUSBONBYSI-UHFFFAOYSA-N 0 1 324.509 3.330 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCN(CC2CC(C)(C)C2)CC1 ZINC001112885608 1082035732 /nfs/dbraw/zinc/03/57/32/1082035732.db2.gz HVODLRQETVTKJE-UHFFFAOYSA-N 0 1 304.478 3.335 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCN(CCCC2CCC2)CC1 ZINC001112885812 1082035872 /nfs/dbraw/zinc/03/58/72/1082035872.db2.gz RPVFPSWSRPGRLK-UHFFFAOYSA-N 0 1 304.478 3.479 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCCO[C@H]2CC)CC1 ZINC001112939811 1082056992 /nfs/dbraw/zinc/05/69/92/1082056992.db2.gz KBBNWNBYNXGRBZ-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C\Cc2ccccc2)CC1 ZINC001112985386 1082075794 /nfs/dbraw/zinc/07/57/94/1082075794.db2.gz HEWVRJPZNFTCCM-SREVYHEPSA-N 0 1 312.457 3.286 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)CC1 ZINC001113011885 1082084307 /nfs/dbraw/zinc/08/43/07/1082084307.db2.gz GEBLWNLTDSJTMZ-BHYGNILZSA-N 0 1 318.486 3.351 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2c[nH]nc2CCC)CC1 ZINC001113057594 1082101399 /nfs/dbraw/zinc/10/13/99/1082101399.db2.gz YJTSKRHEPYBTQU-UHFFFAOYSA-N 0 1 320.481 3.090 20 30 DGEDMN C=C(C)c1ccc(NCc2ccc(N3CCN(C)CC3)cc2)nc1 ZINC001167431387 1082103209 /nfs/dbraw/zinc/10/32/09/1082103209.db2.gz XBMVQQWTUUZIQJ-UHFFFAOYSA-N 0 1 322.456 3.479 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)n(CC)c2C)CC1 ZINC001113077252 1082109269 /nfs/dbraw/zinc/10/92/69/1082109269.db2.gz ZGQWRFFDEVBKHS-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccnc3ccccc32)CC1 ZINC001113076972 1082109466 /nfs/dbraw/zinc/10/94/66/1082109466.db2.gz LOZHNPHWAUTJEE-INIZCTEOSA-N 0 1 323.440 3.347 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(C)c(C)n2)CC1 ZINC001113111193 1082115507 /nfs/dbraw/zinc/11/55/07/1082115507.db2.gz LZRJWZKSYXJDKQ-UHFFFAOYSA-N 0 1 317.477 3.427 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cccs2)cc([N+](=O)[O-])c1O ZINC000211716858 1082127810 /nfs/dbraw/zinc/12/78/10/1082127810.db2.gz SWGPMVINQGXUCT-PLNGDYQASA-N 0 1 305.311 3.267 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(Cl)c(Cl)[nH]2)CC1 ZINC001113172657 1082133076 /nfs/dbraw/zinc/13/30/76/1082133076.db2.gz ODSZWYMEMQFAKT-UHFFFAOYSA-N 0 1 316.232 3.046 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)C(F)(F)F)CC1 ZINC001113203811 1082139097 /nfs/dbraw/zinc/13/90/97/1082139097.db2.gz MPVSLAMWSRABGD-ZDUSSCGKSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc[nH]c2C(C)C)CC1 ZINC001113241539 1082146847 /nfs/dbraw/zinc/14/68/47/1082146847.db2.gz KFOSFKQRKVKFHD-HNNXBMFYSA-N 0 1 303.450 3.251 20 30 DGEDMN CN(CCNCc1cc(F)ccc1Cl)C(=O)C#CC(C)(C)C ZINC001266694741 1082151925 /nfs/dbraw/zinc/15/19/25/1082151925.db2.gz YWIMEZUZPCKRHS-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](CCC)c2ccccn2)CC1 ZINC001113263339 1082159387 /nfs/dbraw/zinc/15/93/87/1082159387.db2.gz QNJAPSYNVRTUSK-KRWDZBQOSA-N 0 1 315.461 3.076 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(Cl)cc2OC)CC1 ZINC001113266506 1082160975 /nfs/dbraw/zinc/16/09/75/1082160975.db2.gz ZJLODCYXWKFGIH-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C#CCN(CC#C)[C@@H]1CCCc2ccc(Br)cc21 ZINC001167464696 1082179395 /nfs/dbraw/zinc/17/93/95/1082179395.db2.gz PCNSSOBMSFOUKG-MRXNPFEDSA-N 0 1 302.215 3.395 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc[nH]c2C2CCC2)CC1 ZINC001113344121 1082182458 /nfs/dbraw/zinc/18/24/58/1082182458.db2.gz OCDPQYQGZGEKRV-UHFFFAOYSA-N 0 1 301.434 3.006 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(C(C)C)n[nH]2)CC1 ZINC001113368612 1082184714 /nfs/dbraw/zinc/18/47/14/1082184714.db2.gz OKCUUCHNHRJDNR-UHFFFAOYSA-N 0 1 318.465 3.037 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@]2(C)C[C@@H]2c2ccccc2)CC1 ZINC001113419096 1082206834 /nfs/dbraw/zinc/20/68/34/1082206834.db2.gz MFJLXMMSIUJAJT-QUCCMNQESA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2csc(C(C)C)n2)CC1 ZINC001113433354 1082210604 /nfs/dbraw/zinc/21/06/04/1082210604.db2.gz HEGZEVPIYRZAQA-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC001113439870 1082211547 /nfs/dbraw/zinc/21/15/47/1082211547.db2.gz ZRLJKVUGGQYPCM-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc3cccnc3c2)CC1 ZINC001113439528 1082211777 /nfs/dbraw/zinc/21/17/77/1082211777.db2.gz FRSFSCOKSOYFTB-MRXNPFEDSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccc(CC)o2)C1 ZINC001086595481 1082234101 /nfs/dbraw/zinc/23/41/01/1082234101.db2.gz DJPHBAFQSZUAGH-ZWKOTPCHSA-N 0 1 324.424 3.226 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2[nH]c(C)cc2C)C1 ZINC001086595522 1082234198 /nfs/dbraw/zinc/23/41/98/1082234198.db2.gz GZKIEKBWFKGMHE-ZWKOTPCHSA-N 0 1 323.440 3.015 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H](C)n2cccc2)CC1 ZINC001113559100 1082248570 /nfs/dbraw/zinc/24/85/70/1082248570.db2.gz CNKFWURMHJQZOW-SFHVURJKSA-N 0 1 317.477 3.330 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C)ccc2C)CC1 ZINC001113578726 1082252592 /nfs/dbraw/zinc/25/25/92/1082252592.db2.gz PWPNUQQUKRDSIX-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCN1Cc1cccc(OC)c1 ZINC001266836946 1082254928 /nfs/dbraw/zinc/25/49/28/1082254928.db2.gz FYPYCMQQINPZLQ-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C#Cc1ccccc1CC(=O)N1CCN(CC/C=C\CCC)CC1 ZINC001113581953 1082257441 /nfs/dbraw/zinc/25/74/41/1082257441.db2.gz QRHITIHGABEFKF-SREVYHEPSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCCCCC)CC2)CCOCC1 ZINC001113593842 1082259794 /nfs/dbraw/zinc/25/97/94/1082259794.db2.gz YFQIHRHAHBBPMB-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(C)cc2C)CC1 ZINC001113599739 1082261745 /nfs/dbraw/zinc/26/17/45/1082261745.db2.gz LMMJVOKOZNYTJG-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2csc3c2CCCC3)CC1 ZINC001113613926 1082264332 /nfs/dbraw/zinc/26/43/32/1082264332.db2.gz RSHQUBTWWRHKKO-UHFFFAOYSA-N 0 1 318.486 3.351 20 30 DGEDMN CCC/C=C\CCN1CCN(C(=O)CC#Cc2ccccc2)CC1 ZINC001113668548 1082274319 /nfs/dbraw/zinc/27/43/19/1082274319.db2.gz NQIMOOQSETZCHP-PLNGDYQASA-N 0 1 324.468 3.319 20 30 DGEDMN C=CC[C@H](C(=O)N1CCN(CCC(=C)C)CC1)c1ccccc1 ZINC001113663026 1082274754 /nfs/dbraw/zinc/27/47/54/1082274754.db2.gz UBDWUWMAMHKVKB-IBGZPJMESA-N 0 1 312.457 3.457 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCCn2cccc2)CC1 ZINC001113670620 1082278483 /nfs/dbraw/zinc/27/84/83/1082278483.db2.gz ORYSNDDASUKZKJ-UHFFFAOYSA-N 0 1 319.493 3.383 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CC3CCC2CC3)CC1 ZINC001113689364 1082283075 /nfs/dbraw/zinc/28/30/75/1082283075.db2.gz MUBBMYHLSLPUDQ-CPFNUKBASA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)C[C@@H]2CCCO2)CC1 ZINC001113703587 1082283590 /nfs/dbraw/zinc/28/35/90/1082283590.db2.gz CWSYVIXCUUSKIP-MSOLQXFVSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CC3CC(C)C3)CC2)CCCCC1 ZINC001113704918 1082290092 /nfs/dbraw/zinc/29/00/92/1082290092.db2.gz BZMSWZAPCSWELO-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CCCOC(C)C)CC1 ZINC001113730268 1082292406 /nfs/dbraw/zinc/29/24/06/1082292406.db2.gz WCVBERJFGHOURO-UHFFFAOYSA-N 0 1 310.482 3.082 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001266877388 1082295103 /nfs/dbraw/zinc/29/51/03/1082295103.db2.gz RYEKRFWLCDAYIX-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN N#Cc1ccc2c(c1)CN(C(=O)c1cc(-c3ccccc3)[nH]n1)C2 ZINC001143409353 1082310658 /nfs/dbraw/zinc/31/06/58/1082310658.db2.gz NYPCYKQJARNQIH-UHFFFAOYSA-N 0 1 314.348 3.104 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1ccc(Cl)cc1F ZINC001266960534 1082377410 /nfs/dbraw/zinc/37/74/10/1082377410.db2.gz CWAPBFRIKXRVFT-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)NCc2sccc2Cl)C1 ZINC001266971177 1082390128 /nfs/dbraw/zinc/39/01/28/1082390128.db2.gz SKZHABDQVBDQTJ-NSHDSACASA-N 0 1 312.866 3.352 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001266975129 1082394191 /nfs/dbraw/zinc/39/41/91/1082394191.db2.gz KMJIOZUKGLEUMJ-CABCVRRESA-N 0 1 320.864 3.078 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cc(O)ccc2Cl)C1 ZINC001267015987 1082434823 /nfs/dbraw/zinc/43/48/23/1082434823.db2.gz BEVYBWPZEXADQX-AWEZNQCLSA-N 0 1 322.836 3.092 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@H](C)NC/C(Cl)=C/Cl ZINC001267146461 1082563831 /nfs/dbraw/zinc/56/38/31/1082563831.db2.gz PKCCWTXHVFYGTJ-AWPPVZKDSA-N 0 1 307.265 3.392 20 30 DGEDMN C#C[C@@H](N[C@@H](CCCC)C(=O)Nc1cc(C)on1)C(C)(C)C ZINC000806622318 1082606780 /nfs/dbraw/zinc/60/67/80/1082606780.db2.gz ILGXQVCWYJAUOT-UONOGXRCSA-N 0 1 305.422 3.118 20 30 DGEDMN CC[C@@H](C)CC(=O)NCCN(CC)Cc1ccc(F)c(C#N)c1 ZINC001267240416 1082687175 /nfs/dbraw/zinc/68/71/75/1082687175.db2.gz LGILUYHTARDMQD-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc2cccc(C)c2s1 ZINC001267246028 1082694680 /nfs/dbraw/zinc/69/46/80/1082694680.db2.gz ORSFMPFGCNOUOO-UHFFFAOYSA-N 0 1 314.454 3.285 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001267246036 1082694992 /nfs/dbraw/zinc/69/49/92/1082694992.db2.gz OSWINSKSDNHCFG-UHFFFAOYSA-N 0 1 314.420 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001267267388 1082727920 /nfs/dbraw/zinc/72/79/20/1082727920.db2.gz NWXISESNHDSCST-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN CCN(C)c1ccc(/C=C\C(=O)c2cc(F)ccc2O)cn1 ZINC000588579056 1082731537 /nfs/dbraw/zinc/73/15/37/1082731537.db2.gz LIWVGZUUWNWONS-DAXSKMNVSA-N 0 1 300.333 3.279 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H]1CCCCC1(C)C ZINC001267283867 1082747168 /nfs/dbraw/zinc/74/71/68/1082747168.db2.gz SENYIXBPHOUURR-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3cccc(F)c3)[nH]c2c1 ZINC001131906492 1082759309 /nfs/dbraw/zinc/75/93/09/1082759309.db2.gz JCDHCSACEIEHPD-ALCCZGGFSA-N 0 1 306.300 3.226 20 30 DGEDMN COc1c(C)cc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC001131906317 1082759525 /nfs/dbraw/zinc/75/95/25/1082759525.db2.gz AYPWYVXVGXMWGA-UHFFFAOYSA-N 0 1 320.352 3.312 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001267316110 1082785854 /nfs/dbraw/zinc/78/58/54/1082785854.db2.gz GANPOENFBUPEGV-CPNJWEJPSA-N 0 1 324.468 3.389 20 30 DGEDMN C=CCCC(=O)NCCN(Cc1cc2ccccc2o1)C1CC1 ZINC001267323518 1082794955 /nfs/dbraw/zinc/79/49/55/1082794955.db2.gz XEQBLVAWELCWJM-UHFFFAOYSA-N 0 1 312.413 3.480 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cncc(C)c2)C1 ZINC001132065583 1082813331 /nfs/dbraw/zinc/81/33/31/1082813331.db2.gz WJWBPVGYKJWKIV-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2(Cc3ccccc3)CC2)CC[C@@H]1C ZINC001132110222 1082821266 /nfs/dbraw/zinc/82/12/66/1082821266.db2.gz FSMLHTOSUWCYTC-WMZOPIPTSA-N 0 1 312.457 3.164 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](NCc1ccccc1)c1ccccc1 ZINC001267356842 1082828906 /nfs/dbraw/zinc/82/89/06/1082828906.db2.gz IOAWJKWKCHIWBW-HXUWFJFHSA-N 0 1 320.436 3.437 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2oc(C)nc2C)C1 ZINC001132256707 1082859254 /nfs/dbraw/zinc/85/92/54/1082859254.db2.gz PILDXJPIEJGILH-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2cccnc2C)C1 ZINC001132256351 1082859829 /nfs/dbraw/zinc/85/98/29/1082859829.db2.gz MNENPHMXSQUYSR-YJBOKZPZSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001267390444 1082865474 /nfs/dbraw/zinc/86/54/74/1082865474.db2.gz JQGJCRURUNDCQR-UHFFFAOYSA-N 0 1 321.852 3.184 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132304256 1082876150 /nfs/dbraw/zinc/87/61/50/1082876150.db2.gz LGHORMRWXFHKON-UHFFFAOYSA-N 0 1 308.853 3.457 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN[C@H](CC)c1ccc(F)cc1F ZINC001132395840 1082897865 /nfs/dbraw/zinc/89/78/65/1082897865.db2.gz QOWOGIBJAHBAEM-OAHLLOKOSA-N 0 1 310.388 3.334 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1cc(Cl)ccc1F ZINC001132398626 1082898845 /nfs/dbraw/zinc/89/88/45/1082898845.db2.gz HSTRIHWJGSCIPV-UHFFFAOYSA-N 0 1 324.827 3.269 20 30 DGEDMN C=CC(C)(C)C(=O)NCCNCc1nc2cc(C)ccc2s1 ZINC001132391123 1082903832 /nfs/dbraw/zinc/90/38/32/1082903832.db2.gz AIDCIVHBJMVTGF-UHFFFAOYSA-N 0 1 317.458 3.023 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)CC(C)(C)C)CC[C@@H]1C ZINC001132509094 1082930821 /nfs/dbraw/zinc/93/08/21/1082930821.db2.gz QYIPBPAJFAJPOG-JKSUJKDBSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2oc(CCC)nc2C)C1 ZINC001267488075 1082946163 /nfs/dbraw/zinc/94/61/63/1082946163.db2.gz IRRJATSAHNVGNU-HNNXBMFYSA-N 0 1 319.449 3.048 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001132568905 1082947716 /nfs/dbraw/zinc/94/77/16/1082947716.db2.gz HFPUXFTZQQIXGD-NWDGAFQWSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132576339 1082951143 /nfs/dbraw/zinc/95/11/43/1082951143.db2.gz IYFKLRMBRNQMQO-STQMWFEESA-N 0 1 324.852 3.013 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(CCC)C(=O)CCCn2cccc2)C1 ZINC001267495488 1082954236 /nfs/dbraw/zinc/95/42/36/1082954236.db2.gz CVECORMEDYYKLH-SFHVURJKSA-N 0 1 317.477 3.157 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cccc(F)c2)C1 ZINC001267501806 1082960923 /nfs/dbraw/zinc/96/09/23/1082960923.db2.gz MSQWLCHAVJXZQD-ZDUSSCGKSA-N 0 1 310.800 3.020 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cccc(OC)c1Cl ZINC001132652956 1082972707 /nfs/dbraw/zinc/97/27/07/1082972707.db2.gz ALZKSURDRYRYDO-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN N#Cc1c(F)cc(CN2CCC3(CCOCC3)CC2)cc1F ZINC001140754948 1082973312 /nfs/dbraw/zinc/97/33/12/1082973312.db2.gz PVCDLOKTMUPXTC-UHFFFAOYSA-N 0 1 306.356 3.229 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001132671447 1082976690 /nfs/dbraw/zinc/97/66/90/1082976690.db2.gz RYHMSHRDTBNECT-CHWSQXEVSA-N 0 1 310.388 3.334 20 30 DGEDMN COc1ccc(CN2CCC(S)CC2)c(Br)c1 ZINC001140760862 1082980377 /nfs/dbraw/zinc/98/03/77/1082980377.db2.gz WICBQRQYTJDFPH-UHFFFAOYSA-N 0 1 316.264 3.352 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@H]1CC=CCC1)c1ccccc1 ZINC001267521554 1082980887 /nfs/dbraw/zinc/98/08/87/1082980887.db2.gz ZYQFOGJJBPOMDO-PKOBYXMFSA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C[C@@H]1CC=CCC1)c1ccccc1 ZINC001267521550 1082980958 /nfs/dbraw/zinc/98/09/58/1082980958.db2.gz ZYQFOGJJBPOMDO-IEBWSBKVSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001111941556 1082988212 /nfs/dbraw/zinc/98/82/12/1082988212.db2.gz XZYLPORGXYMBRN-BXWFABGCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](CC)CC(F)F)C1 ZINC001267541643 1083009046 /nfs/dbraw/zinc/00/90/46/1083009046.db2.gz FJVMMUDCUSPQPP-ZIAGYGMSSA-N 0 1 302.409 3.167 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3cccnc32)C1 ZINC001267544115 1083012148 /nfs/dbraw/zinc/01/21/48/1083012148.db2.gz NBMVKAHHUYGFDX-KRWDZBQOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC2CC(F)(F)C2)C1 ZINC001267544726 1083012964 /nfs/dbraw/zinc/01/29/64/1083012964.db2.gz MXNDNGJEQVSXEV-LBPRGKRZSA-N 0 1 320.811 3.003 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](F)c2ccccc2)C1 ZINC001267547598 1083017612 /nfs/dbraw/zinc/01/76/12/1083017612.db2.gz PTGQDTXHBVAZHD-DLBZAZTESA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccccc2C)C1 ZINC001267548085 1083018874 /nfs/dbraw/zinc/01/88/74/1083018874.db2.gz YBDOYLHMCAUQSR-LJQANCHMSA-N 0 1 314.473 3.427 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC001267562931 1083041902 /nfs/dbraw/zinc/04/19/02/1083041902.db2.gz OLAQVJQBFOEXSW-LSDHHAIUSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001267563501 1083043321 /nfs/dbraw/zinc/04/33/21/1083043321.db2.gz ZQZNCUAESRERCP-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001480988798 1083063085 /nfs/dbraw/zinc/06/30/85/1083063085.db2.gz USZWYMVGWUAVSI-UHFFFAOYSA-N 0 1 314.429 3.109 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2CCC=CCC2)C1 ZINC001267571614 1083067492 /nfs/dbraw/zinc/06/74/92/1083067492.db2.gz OXSFGAVPYPFGKN-HNNXBMFYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2CCC=CCC2)C1 ZINC001267571615 1083067528 /nfs/dbraw/zinc/06/75/28/1083067528.db2.gz OXSFGAVPYPFGKN-OAHLLOKOSA-N 0 1 310.869 3.314 20 30 DGEDMN N#Cc1cccc(CN2CCC3(C[C@H](F)CO3)CC2)c1Cl ZINC001140813177 1083076233 /nfs/dbraw/zinc/07/62/33/1083076233.db2.gz YIWXCIRMUANWSL-AWEZNQCLSA-N 0 1 308.784 3.305 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2cc(C)ccc2F)CC1 ZINC001133365507 1083106612 /nfs/dbraw/zinc/10/66/12/1083106612.db2.gz UYKOVCYWJKBERR-UHFFFAOYSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001133378714 1083110040 /nfs/dbraw/zinc/11/00/40/1083110040.db2.gz KYTAVVVBMJETSH-MRXNPFEDSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN[C@@H](C)c1cc(F)ccc1F ZINC001133383247 1083111657 /nfs/dbraw/zinc/11/16/57/1083111657.db2.gz MCNLPNJYUWLJPU-SJCJKPOMSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(C)(C)C(C)(F)F)C1 ZINC001267593958 1083124535 /nfs/dbraw/zinc/12/45/35/1083124535.db2.gz WCDOQBSJTQYUTB-GFCCVEGCSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cccs2)CC1 ZINC001267596988 1083129154 /nfs/dbraw/zinc/12/91/54/1083129154.db2.gz VUAJLTDNPWDBOU-UHFFFAOYSA-N 0 1 312.866 3.285 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2cc(Cl)ccc2F)C1 ZINC001133570013 1083153158 /nfs/dbraw/zinc/15/31/58/1083153158.db2.gz IOECJHLRKIELJE-UHFFFAOYSA-N 0 1 310.800 3.041 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@H](C)c2ccc(F)cc2F)C1 ZINC001133571222 1083153528 /nfs/dbraw/zinc/15/35/28/1083153528.db2.gz KSOPTSWIUZAENN-GFCCVEGCSA-N 0 1 308.372 3.088 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](CCNCc2cscn2)C1 ZINC001104607457 1083161872 /nfs/dbraw/zinc/16/18/72/1083161872.db2.gz VCPRENAHXLGAOY-HUUCEWRRSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC[C@@H](C(=O)NC[C@H]1CCN(CCF)C1)c1ccccc1 ZINC001481125333 1083202425 /nfs/dbraw/zinc/20/24/25/1083202425.db2.gz DHURAHOWOYMAAE-SJLPKXTDSA-N 0 1 318.436 3.144 20 30 DGEDMN CCCN(CC#Cc1ccc(F)cc1)CCNC(=O)[C@H](C)CC ZINC001481130525 1083205477 /nfs/dbraw/zinc/20/54/77/1083205477.db2.gz LIKDNPAFYWSLGL-MRXNPFEDSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CC(C)(C)C2CC2)C1 ZINC001267647806 1083244717 /nfs/dbraw/zinc/24/47/17/1083244717.db2.gz YQZOIGJXPGKIID-AWEZNQCLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCCCC[NH2+][C@@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001134193024 1083253889 /nfs/dbraw/zinc/25/38/89/1083253889.db2.gz LNLBLPMFTRXKBR-LSDHHAIUSA-N 0 1 319.449 3.020 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(F)ccc2C)C1 ZINC001267671830 1083257068 /nfs/dbraw/zinc/25/70/68/1083257068.db2.gz XFZDXCMTULLXGZ-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)c2ccccc2C)C1 ZINC001267672989 1083257793 /nfs/dbraw/zinc/25/77/93/1083257793.db2.gz RSEBWUGICXSVKR-MRXNPFEDSA-N 0 1 314.473 3.428 20 30 DGEDMN CC1(C)CC(Nc2c[nH]c3c(C#N)cnc-3c2)CC(C)(C)N1O ZINC001167720985 1083261267 /nfs/dbraw/zinc/26/12/67/1083261267.db2.gz GTEAREXOMHUYBJ-UHFFFAOYSA-N 0 1 313.405 3.257 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001267683026 1083261497 /nfs/dbraw/zinc/26/14/97/1083261497.db2.gz IHCWXGJBRHOMLV-HZPDHXFCSA-N 0 1 319.449 3.181 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CN(C)Cc2nc(C)cs2)C1 ZINC001267684691 1083262909 /nfs/dbraw/zinc/26/29/09/1083262909.db2.gz RZJOOXURBVKEBT-OAHLLOKOSA-N 0 1 321.490 3.088 20 30 DGEDMN CC(C)C[C@H]1CN(C)CCN1C(=O)CC1(C#N)CCCCC1 ZINC001134279626 1083265811 /nfs/dbraw/zinc/26/58/11/1083265811.db2.gz PYNAEHGRRDIUSB-INIZCTEOSA-N 0 1 305.466 3.039 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc(CC)n1 ZINC001267705423 1083274006 /nfs/dbraw/zinc/27/40/06/1083274006.db2.gz ZUHCAQAFBXMJLJ-GJZGRUSLSA-N 0 1 319.449 3.106 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC001267724284 1083284279 /nfs/dbraw/zinc/28/42/79/1083284279.db2.gz XDYIZWZUGLYKRK-HNNXBMFYSA-N 0 1 322.399 3.054 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001134448926 1083288994 /nfs/dbraw/zinc/28/89/94/1083288994.db2.gz QZTXMOAJHTVVKR-STQMWFEESA-N 0 1 321.465 3.267 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(CC)(CC)c2ccccc2)C1 ZINC001267734751 1083293334 /nfs/dbraw/zinc/29/33/34/1083293334.db2.gz XDRHCEFJQSXHSV-KRWDZBQOSA-N 0 1 300.446 3.121 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@@H](C)NCc1cscn1 ZINC001134457092 1083295770 /nfs/dbraw/zinc/29/57/70/1083295770.db2.gz JQOBSCVSXPOWDG-CHWSQXEVSA-N 0 1 309.479 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001134457942 1083295775 /nfs/dbraw/zinc/29/57/75/1083295775.db2.gz WFGBZEUOUZZCAR-QWHCGFSZSA-N 0 1 323.506 3.427 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)CC1CCCCC1 ZINC001134677773 1083318115 /nfs/dbraw/zinc/31/81/15/1083318115.db2.gz IQBWSWWDWZDBEJ-OAHLLOKOSA-N 0 1 300.874 3.441 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001267761059 1083326020 /nfs/dbraw/zinc/32/60/20/1083326020.db2.gz AJIBJZQWISPFOT-KRWDZBQOSA-N 0 1 324.424 3.269 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC001481255685 1083336052 /nfs/dbraw/zinc/33/60/52/1083336052.db2.gz PNHRCFMOLUBLPS-SWLSCSKDSA-N 0 1 324.852 3.136 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CC2(c3ccccc3)CC2)C1 ZINC001267787041 1083346410 /nfs/dbraw/zinc/34/64/10/1083346410.db2.gz UMMWGOFGRZKURS-LJQANCHMSA-N 0 1 324.468 3.054 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001267790125 1083347721 /nfs/dbraw/zinc/34/77/21/1083347721.db2.gz KTKCYDBNCDGZSI-RTBURBONSA-N 0 1 314.473 3.364 20 30 DGEDMN CCCCC(=O)N1CC[C@@H](NCC#Cc2ccc(Cl)cc2)C1 ZINC001181006608 1083384616 /nfs/dbraw/zinc/38/46/16/1083384616.db2.gz QOCMZDGSWSKITP-QGZVFWFLSA-N 0 1 318.848 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2c(C)cccn2c1 ZINC001267827751 1083394231 /nfs/dbraw/zinc/39/42/31/1083394231.db2.gz WYIARJQECHKFCA-HNNXBMFYSA-N 0 1 319.836 3.098 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2c(C)cccn2c1 ZINC001267827752 1083394265 /nfs/dbraw/zinc/39/42/65/1083394265.db2.gz WYIARJQECHKFCA-OAHLLOKOSA-N 0 1 319.836 3.098 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(C(F)F)c1 ZINC001267828434 1083400139 /nfs/dbraw/zinc/40/01/39/1083400139.db2.gz XOFYZEDKFGOGPG-ZDUSSCGKSA-N 0 1 316.779 3.475 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNCc1ccncc1Cl ZINC001267956477 1083646942 /nfs/dbraw/zinc/64/69/42/1083646942.db2.gz BBQHIMSEGWOEOK-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001267957075 1083647594 /nfs/dbraw/zinc/64/75/94/1083647594.db2.gz NQNYLVWIEBJISN-CNELAYHGSA-N 0 1 319.276 3.488 20 30 DGEDMN CCCC[C@@H](C)C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001182279058 1083668632 /nfs/dbraw/zinc/66/86/32/1083668632.db2.gz UUMPLUWHEHTCEA-IEBWSBKVSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc2c1CCC2 ZINC001267971772 1083678116 /nfs/dbraw/zinc/67/81/16/1083678116.db2.gz GCZXUFSZSNFDPD-OAHLLOKOSA-N 0 1 318.848 3.122 20 30 DGEDMN C#CCCCCC(=O)N1CCC2(CCN(CC(=C)Cl)C2)CC1 ZINC001267973556 1083680375 /nfs/dbraw/zinc/68/03/75/1083680375.db2.gz UAQPXXJWXLUUPC-UHFFFAOYSA-N 0 1 322.880 3.247 20 30 DGEDMN COc1ccc2nc(NC(=O)[C@H](C)c3ccc(C#N)cc3)[nH]c2c1 ZINC001182790273 1083806151 /nfs/dbraw/zinc/80/61/51/1083806151.db2.gz NPNOCKNPXKEDMK-LLVKDONJSA-N 0 1 320.352 3.185 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](OCC)C2CCCC2)C1 ZINC001481403003 1083871400 /nfs/dbraw/zinc/87/14/00/1083871400.db2.gz JKGKDDOWPVQQRC-ROUUACIJSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2c(F)cccc2F)CCCC1 ZINC001268033526 1083916822 /nfs/dbraw/zinc/91/68/22/1083916822.db2.gz STEDAFABCYNEQU-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2ccc(CF)cc2)C1 ZINC001481462985 1083967826 /nfs/dbraw/zinc/96/78/26/1083967826.db2.gz WRCNPSNJRGINTH-HNNXBMFYSA-N 0 1 324.827 3.350 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](O)c1ccccc1C1CCCCC1 ZINC001183652983 1083990908 /nfs/dbraw/zinc/99/09/08/1083990908.db2.gz NAILAJKIIOPNKB-INIZCTEOSA-N 0 1 324.384 3.001 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@@H]2CC=CCC2)C1 ZINC001481497390 1084015231 /nfs/dbraw/zinc/01/52/31/1084015231.db2.gz XCNJZIOOYRACGE-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001268062644 1084077518 /nfs/dbraw/zinc/07/75/18/1084077518.db2.gz CBRRDBIMTMZWKX-HZSPNIEDSA-N 0 1 300.874 3.248 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001481532955 1084092944 /nfs/dbraw/zinc/09/29/44/1084092944.db2.gz OBABSFOFXDXJIM-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCOCCN1CCC[C@H](NC(=O)C2(CC)CCCCC2)C1 ZINC001481539462 1084110888 /nfs/dbraw/zinc/11/08/88/1084110888.db2.gz PAKHDOAGDYNONZ-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(CC(=O)OCCCC)C(=O)Nc1nc2cccc(C)c2[nH]1 ZINC001184306142 1084143191 /nfs/dbraw/zinc/14/31/91/1084143191.db2.gz CZNIKDXOFMBDDS-UHFFFAOYSA-N 0 1 315.373 3.099 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC12CC2)C1CCCCC1 ZINC001268120525 1084200905 /nfs/dbraw/zinc/20/09/05/1084200905.db2.gz OOOWAZSVDBGAKU-CABCVRRESA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC1(F)F)C1CCCCC1 ZINC001268121955 1084202289 /nfs/dbraw/zinc/20/22/89/1084202289.db2.gz WKTCSAZBVCGZOF-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC1(F)F)C1CCCCC1 ZINC001268121952 1084203053 /nfs/dbraw/zinc/20/30/53/1084203053.db2.gz WKTCSAZBVCGZOF-CHWSQXEVSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H](C)c2ccccc2F)C1 ZINC001481590014 1084231209 /nfs/dbraw/zinc/23/12/09/1084231209.db2.gz OTNBRNPUWUAABZ-GJZGRUSLSA-N 0 1 318.436 3.474 20 30 DGEDMN C[C@H](Cc1ccccc1)c1cc(C(=O)N2CCC[C@@H](C#N)C2)n[nH]1 ZINC001184984095 1084313878 /nfs/dbraw/zinc/31/38/78/1084313878.db2.gz KDLDEVGHIAYFLC-ZBFHGGJFSA-N 0 1 322.412 3.132 20 30 DGEDMN C[C@@H](Cc1ccccc1)c1cc(C(=O)N2CCC[C@H](C#N)C2)n[nH]1 ZINC001184984093 1084313907 /nfs/dbraw/zinc/31/39/07/1084313907.db2.gz KDLDEVGHIAYFLC-GOEBONIOSA-N 0 1 322.412 3.132 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)CC1CCC1 ZINC001273495834 1084314413 /nfs/dbraw/zinc/31/44/13/1084314413.db2.gz LCQDXCBFVJKFCD-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001185051101 1084322630 /nfs/dbraw/zinc/32/26/30/1084322630.db2.gz XWUBCLUCWBGARR-XJKSGUPXSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001481638978 1084327757 /nfs/dbraw/zinc/32/77/57/1084327757.db2.gz ODFAINVNRAEIMA-ZDUSSCGKSA-N 0 1 304.409 3.147 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cc(O)ccc2Cl)C1 ZINC001185312829 1084413104 /nfs/dbraw/zinc/41/31/04/1084413104.db2.gz BEVYBWPZEXADQX-CQSZACIVSA-N 0 1 322.836 3.092 20 30 DGEDMN C=CCOc1ccccc1CNc1nc(Cl)nc2[nH]cnc21 ZINC001185394320 1084430104 /nfs/dbraw/zinc/43/01/04/1084430104.db2.gz DVEGNVILGNNZGS-UHFFFAOYSA-N 0 1 315.764 3.135 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@@H]2[C@H](CC[N@H+]2CC(=C)Cl)C1 ZINC001268270642 1084437671 /nfs/dbraw/zinc/43/76/71/1084437671.db2.gz YMCCIXRQAVBKMJ-FNZINBBUSA-N 0 1 308.853 3.184 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001268270642 1084437676 /nfs/dbraw/zinc/43/76/76/1084437676.db2.gz YMCCIXRQAVBKMJ-FNZINBBUSA-N 0 1 308.853 3.184 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3ccc(C)nc32)C1 ZINC001268325574 1084496979 /nfs/dbraw/zinc/49/69/79/1084496979.db2.gz AGVYTOBEOMBNHY-HNNXBMFYSA-N 0 1 323.440 3.312 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001273508008 1084507369 /nfs/dbraw/zinc/50/73/69/1084507369.db2.gz SQSZNOSARJXVFZ-ZCXUNETKSA-N 0 1 312.457 3.175 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1ncc(C(C)(C)C)o1 ZINC001268370031 1084531601 /nfs/dbraw/zinc/53/16/01/1084531601.db2.gz GPLCNOZIINWTPD-AWEZNQCLSA-N 0 1 321.465 3.313 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)[C@H](F)CC2CCCCC2)C1 ZINC001481746214 1084568743 /nfs/dbraw/zinc/56/87/43/1084568743.db2.gz BXRYPAQYQKUTSJ-DLBZAZTESA-N 0 1 310.457 3.404 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H](C)CCc1ccccc1 ZINC001186028877 1084574328 /nfs/dbraw/zinc/57/43/28/1084574328.db2.gz XKEWSSBXRDAPLI-WMZOPIPTSA-N 0 1 300.446 3.022 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)CCNCC#Cc1ccccc1Cl ZINC001268435666 1084595807 /nfs/dbraw/zinc/59/58/07/1084595807.db2.gz DOBYTSPIYLNARW-HNNXBMFYSA-N 0 1 320.864 3.176 20 30 DGEDMN N#Cc1cc(F)c(NC(=O)c2ccc(O)c(F)c2)c(Cl)c1 ZINC001186226022 1084614103 /nfs/dbraw/zinc/61/41/03/1084614103.db2.gz KBILVQHBDDAVTQ-UHFFFAOYSA-N 0 1 308.671 3.448 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001481796973 1084643457 /nfs/dbraw/zinc/64/34/57/1084643457.db2.gz ICNCJPLABYTZLL-CJNGLKHVSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CC)C1 ZINC001087451031 1084651530 /nfs/dbraw/zinc/65/15/30/1084651530.db2.gz ZGHKGNAGYULFJV-IAGOWNOFSA-N 0 1 304.409 3.086 20 30 DGEDMN CC(C)(C)CCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186458851 1084656707 /nfs/dbraw/zinc/65/67/07/1084656707.db2.gz RCBQYJFVODMIMQ-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCC[N@H+]1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C(C)C)C1 ZINC001087452548 1084665745 /nfs/dbraw/zinc/66/57/45/1084665745.db2.gz ZCQDIBZKAKKQJC-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NCc1nc(C)sc1C ZINC001481847787 1084666113 /nfs/dbraw/zinc/66/61/13/1084666113.db2.gz HZNZOSKSNRRHPG-RHSMWYFYSA-N 0 1 323.506 3.347 20 30 DGEDMN C[C@@H](C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1)C1CCCC1 ZINC001186975751 1084734034 /nfs/dbraw/zinc/73/40/34/1084734034.db2.gz UKQABQUEPLUQCE-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2cnoc2C)CCCC1 ZINC001268502026 1084734918 /nfs/dbraw/zinc/73/49/18/1084734918.db2.gz KCHNEMAWELWRPQ-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CC1 ZINC001187253095 1084783181 /nfs/dbraw/zinc/78/31/81/1084783181.db2.gz NKKRRMXDUAUCEK-MISYRCLQSA-N 0 1 324.468 3.055 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@H](NCc2conc2C)C1 ZINC001187341467 1084793227 /nfs/dbraw/zinc/79/32/27/1084793227.db2.gz WTKGKUJIQOACMF-QGZVFWFLSA-N 0 1 321.465 3.424 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C2CCC2)C1 ZINC001087461399 1084829754 /nfs/dbraw/zinc/82/97/54/1084829754.db2.gz GXTKAIXJKQJGDP-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN CCCC(C)(C)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001268584187 1084849182 /nfs/dbraw/zinc/84/91/82/1084849182.db2.gz HBXRXWQXFFLEMK-HJWRWDBZSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C)cc1Cl ZINC001268595327 1084866499 /nfs/dbraw/zinc/86/64/99/1084866499.db2.gz XVLFFNITARMGQV-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001268658909 1084922348 /nfs/dbraw/zinc/92/23/48/1084922348.db2.gz HMZOXQOWNVODSJ-OLZOCXBDSA-N 0 1 315.417 3.195 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001268683216 1084944358 /nfs/dbraw/zinc/94/43/58/1084944358.db2.gz QJWAMHLONYKJGV-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2c([nH]1)c(F)ccc2C ZINC001268693489 1084948340 /nfs/dbraw/zinc/94/83/40/1084948340.db2.gz DOPQAKKFGXCJRX-NSHDSACASA-N 0 1 323.799 3.076 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2cccc(Cl)n2)CC1 ZINC001268704256 1084959052 /nfs/dbraw/zinc/95/90/52/1084959052.db2.gz ZWURFNQWKBWODY-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](C)CCCc1ccccc1 ZINC001268759609 1085036362 /nfs/dbraw/zinc/03/63/62/1085036362.db2.gz MSBUYJARZCMIGX-MSOLQXFVSA-N 0 1 314.473 3.105 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001268838626 1085067175 /nfs/dbraw/zinc/06/71/75/1085067175.db2.gz SFGPROYLWDELGJ-OAHLLOKOSA-N 0 1 318.436 3.019 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNC/C(Cl)=C\Cl)CCC1 ZINC001268840416 1085067191 /nfs/dbraw/zinc/06/71/91/1085067191.db2.gz VPEFOGPSLTVIOM-ACCUITESSA-N 0 1 319.276 3.490 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(F)c(C)cc1F ZINC001268952004 1085085812 /nfs/dbraw/zinc/08/58/12/1085085812.db2.gz MOHLGHAQMZHBKY-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CCC=C)c1ccccc1 ZINC001268992804 1085096672 /nfs/dbraw/zinc/09/66/72/1085096672.db2.gz GYUXVKNJGGYTNH-UFYCRDLUSA-N 0 1 322.452 3.045 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC001269019091 1085100047 /nfs/dbraw/zinc/10/00/47/1085100047.db2.gz RHMOQQIYBWYNEH-PBHICJAKSA-N 0 1 318.848 3.395 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001269228175 1085222259 /nfs/dbraw/zinc/22/22/59/1085222259.db2.gz ZHFGROFCMDLEQB-YJBOKZPZSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001269245974 1085232606 /nfs/dbraw/zinc/23/26/06/1085232606.db2.gz PRDYVDSHYASMDA-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001269266718 1085249841 /nfs/dbraw/zinc/24/98/41/1085249841.db2.gz ISFAAKLJSQZNBZ-CEXWTWQISA-N 0 1 312.457 3.022 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001269275010 1085259147 /nfs/dbraw/zinc/25/91/47/1085259147.db2.gz QDRLOUHGLLCING-KRWDZBQOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1ccc(CC)cc1 ZINC001269286289 1085269747 /nfs/dbraw/zinc/26/97/47/1085269747.db2.gz NJAPVSABJASGBD-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)C(CC(C)C)CC(C)C)C1 ZINC001269357116 1085335121 /nfs/dbraw/zinc/33/51/21/1085335121.db2.gz YULRMBGYBOAYAV-GOSISDBHSA-N 0 1 306.494 3.251 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)N[C@@H](C)c1cc(F)ccc1F ZINC001269399234 1085366829 /nfs/dbraw/zinc/36/68/29/1085366829.db2.gz YDWOBKLLIIRYHH-ZFWWWQNUSA-N 0 1 322.399 3.314 20 30 DGEDMN C[C@]1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CC=CCC1 ZINC001269420184 1085376500 /nfs/dbraw/zinc/37/65/00/1085376500.db2.gz UFPFNLREEZBGNZ-FQEVSTJZSA-N 0 1 322.452 3.023 20 30 DGEDMN CC[C@@H](CNC(=O)CCC1(C)CC1)NCc1ccccc1C#N ZINC001269485875 1085413932 /nfs/dbraw/zinc/41/39/32/1085413932.db2.gz DARIJIYTFHLDCQ-KRWDZBQOSA-N 0 1 313.445 3.123 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269484818 1085414600 /nfs/dbraw/zinc/41/46/00/1085414600.db2.gz UFXQKZQTZRNZNC-MOPGFXCFSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC1CCC1)C(C)(C)C ZINC001284579810 1085514357 /nfs/dbraw/zinc/51/43/57/1085514357.db2.gz ZAIDHEORSMYTTG-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N[C@](C)(CNCc1csc(C)n1)C1CC1 ZINC001269618260 1085500065 /nfs/dbraw/zinc/50/00/65/1085500065.db2.gz AEHCVOWWJIASES-QGZVFWFLSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCCCC(=O)N(C)C1CN(C[C@H]2CCCC(F)(F)C2)C1 ZINC001269843510 1085583286 /nfs/dbraw/zinc/58/32/86/1085583286.db2.gz MJGBBDSLJAXLRQ-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001270059790 1085675707 /nfs/dbraw/zinc/67/57/07/1085675707.db2.gz ZLUHWGGXEVAEPX-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@H](C)CNCc1ccc(C#N)cc1F ZINC001482267790 1085703235 /nfs/dbraw/zinc/70/32/35/1085703235.db2.gz UOSQKDWPOCMANB-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCN(C(=O)CCC)C1CCN(Cc2ccccc2F)CC1 ZINC001270279454 1085759142 /nfs/dbraw/zinc/75/91/42/1085759142.db2.gz LIHHBNCTTNRFEI-UHFFFAOYSA-N 0 1 316.420 3.052 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001482282323 1085784249 /nfs/dbraw/zinc/78/42/49/1085784249.db2.gz YLHPTLSHODOQIO-UONOGXRCSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001482291269 1085827026 /nfs/dbraw/zinc/82/70/26/1085827026.db2.gz DPPQEJCOWPEQAZ-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(C)c(F)c2)C1 ZINC001270577986 1085868315 /nfs/dbraw/zinc/86/83/15/1085868315.db2.gz VWBNDDCERSYMIF-IBGZPJMESA-N 0 1 316.420 3.018 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001270727070 1085966455 /nfs/dbraw/zinc/96/64/55/1085966455.db2.gz NLHDHQORFLWUMY-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1c(C)onc1CC ZINC001482326963 1086004301 /nfs/dbraw/zinc/00/43/01/1086004301.db2.gz HVJMPQXLFLPUCB-SCLBCKFNSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001482327975 1086011827 /nfs/dbraw/zinc/01/18/27/1086011827.db2.gz QFILYZITXMGCEJ-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001482337305 1086034740 /nfs/dbraw/zinc/03/47/40/1086034740.db2.gz HZDXQVMGDAQZKY-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cnc(Cl)c(Br)c1 ZINC001226377798 1086052872 /nfs/dbraw/zinc/05/28/72/1086052872.db2.gz BVCDDVCXTIZSIZ-RXMQYKEDSA-N 0 1 318.554 3.096 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(c2ccccc2C)CC1 ZINC001482376485 1086097565 /nfs/dbraw/zinc/09/75/65/1086097565.db2.gz ZOSMYQKDDXCGFB-HNNXBMFYSA-N 0 1 320.864 3.216 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CCC)CC(C)C)CC2 ZINC001270870256 1086100074 /nfs/dbraw/zinc/10/00/74/1086100074.db2.gz KWGZSVIGFJDRBW-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)Cc2ccc(C)o2)CC1 ZINC001270873364 1086106027 /nfs/dbraw/zinc/10/60/27/1086106027.db2.gz BSNSWEBQXCBCKN-UHFFFAOYSA-N 0 1 324.852 3.101 20 30 DGEDMN Cc1cccc(C(=O)N(C)[C@H](C)CNCc2ccccc2C#N)c1 ZINC001270877072 1086113957 /nfs/dbraw/zinc/11/39/57/1086113957.db2.gz BWPOZGZWWYZVEV-MRXNPFEDSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(C)[nH]c1C ZINC001482394451 1086122340 /nfs/dbraw/zinc/12/23/40/1086122340.db2.gz ZSYSWFBXJAGVNQ-AWEZNQCLSA-N 0 1 311.857 3.118 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C2=CCCC2)CCN1CC#Cc1ccccc1 ZINC001087540137 1086254134 /nfs/dbraw/zinc/25/41/34/1086254134.db2.gz DLWNQUUVUQYXLC-VQTJNVASSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1F ZINC001271068066 1086272198 /nfs/dbraw/zinc/27/21/98/1086272198.db2.gz LRJLTWBYHKXPGD-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2occc2s1 ZINC001271100276 1086290728 /nfs/dbraw/zinc/29/07/28/1086290728.db2.gz GXDQSKOERKKIFW-JTQLQIEISA-N 0 1 312.822 3.297 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001271099151 1086290830 /nfs/dbraw/zinc/29/08/30/1086290830.db2.gz AZNHYTQOXFTYOW-HUUCEWRRSA-N 0 1 316.436 3.318 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CN(CC(=C)C)CCC1(F)F ZINC001271100676 1086294530 /nfs/dbraw/zinc/29/45/30/1086294530.db2.gz MNJZKCSHHDJTDB-LSDHHAIUSA-N 0 1 314.420 3.238 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1CC ZINC001087588555 1086318050 /nfs/dbraw/zinc/31/80/50/1086318050.db2.gz ZMZPMCNEWSRCLP-LSDHHAIUSA-N 0 1 306.475 3.469 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(CCC)s2)[C@H]1CC ZINC001087588555 1086318060 /nfs/dbraw/zinc/31/80/60/1086318060.db2.gz ZMZPMCNEWSRCLP-LSDHHAIUSA-N 0 1 306.475 3.469 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001271136828 1086381356 /nfs/dbraw/zinc/38/13/56/1086381356.db2.gz KGAJVRIVAILBFX-HYVNUMGLSA-N 0 1 320.864 3.288 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)[C@H]1CC ZINC001087739144 1086388331 /nfs/dbraw/zinc/38/83/31/1086388331.db2.gz ICOOIMWMTMFVKO-ZJPYXAASSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)[C@H]1CC ZINC001087796213 1086412582 /nfs/dbraw/zinc/41/25/82/1086412582.db2.gz POPUHHNCGICCHO-IXDOHACOSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@H]1CC ZINC001087799902 1086414079 /nfs/dbraw/zinc/41/40/79/1086414079.db2.gz UILQGXBOMRFFQX-DLBZAZTESA-N 0 1 324.424 3.266 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(c3ccccc3C)CCC2)[C@H]1CC ZINC001087811905 1086422000 /nfs/dbraw/zinc/42/20/00/1086422000.db2.gz NXQCWTYUIGNYAG-RBUKOAKNSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc3ccccc3o2)[C@H]1CC ZINC001087819439 1086427386 /nfs/dbraw/zinc/42/73/86/1086427386.db2.gz XSPPSNQUDFQNAD-JKSUJKDBSA-N 0 1 310.397 3.039 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(c3ccccc3)CCCC2)[C@H]1CC ZINC001087820376 1086427919 /nfs/dbraw/zinc/42/79/19/1086427919.db2.gz ZGMZHFLPQBJRJB-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCC1(C(=O)N2C[C@H]3C[N@H+](C[C@@H](F)CC)C[C@@]3(C)C2)CC1 ZINC001101354701 1086440289 /nfs/dbraw/zinc/44/02/89/1086440289.db2.gz BLCFVYKSHXBLTD-RYRKJORJSA-N 0 1 322.468 3.261 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCCC2(C)C)[C@H]1CC ZINC001087844698 1086442065 /nfs/dbraw/zinc/44/20/65/1086442065.db2.gz LZPBOOYZIJVXRP-IXDOHACOSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C(C)C)s2)[C@H]1CC ZINC001087853912 1086449018 /nfs/dbraw/zinc/44/90/18/1086449018.db2.gz LTIFXUFSNMYSOS-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C[C@@H](C(=O)N(C)[C@@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001271215309 1086546372 /nfs/dbraw/zinc/54/63/72/1086546372.db2.gz FBGMZQAVWXUHEH-GOEBONIOSA-N 0 1 306.837 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCCCC1(C)C ZINC001271228515 1086549671 /nfs/dbraw/zinc/54/96/71/1086549671.db2.gz SEIWKKNOONEAFM-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](CNCc1conc1C)CC(C)C ZINC001271285920 1086564235 /nfs/dbraw/zinc/56/42/35/1086564235.db2.gz MFJWSJMPNPFVJY-INIZCTEOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H](F)C(C)C)CC1 ZINC001482506311 1086732810 /nfs/dbraw/zinc/73/28/10/1086732810.db2.gz VLJQKSCSGKCQPO-OAHLLOKOSA-N 0 1 318.864 3.294 20 30 DGEDMN CCCCC(=O)NC[C@H](NCc1cc(F)ccc1C#N)C(C)C ZINC001482515124 1086743599 /nfs/dbraw/zinc/74/35/99/1086743599.db2.gz ZPWVXFYYDRKLRJ-KRWDZBQOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1nc(C)c(C)s1)C(C)C ZINC001271846473 1086792311 /nfs/dbraw/zinc/79/23/11/1086792311.db2.gz QRFFDRRVKUPDLN-HNNXBMFYSA-N 0 1 323.506 3.347 20 30 DGEDMN C=CC1(CC(=O)NCCCNCc2cc(C)on2)CCCCC1 ZINC001168182738 1087028286 /nfs/dbraw/zinc/02/82/86/1087028286.db2.gz KLYYGNYFRQAOGE-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN(C1CCC(C)CC1)CC2 ZINC001168215147 1087059016 /nfs/dbraw/zinc/05/90/16/1087059016.db2.gz LRQIDFJUOLDNTC-UHFFFAOYSA-N 0 1 303.450 3.060 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H]2[C@H](CCN2CC(=C)Cl)C1 ZINC001482660283 1087104492 /nfs/dbraw/zinc/10/44/92/1087104492.db2.gz XCXQLNBWNRIFDO-IAGOWNOFSA-N 0 1 322.880 3.430 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)CCN[C@@H](C)c1ncc(C)o1 ZINC001482836146 1087167590 /nfs/dbraw/zinc/16/75/90/1087167590.db2.gz DTUJTQPXKOFBMX-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1ncc(C(C)C)o1 ZINC001482860102 1087171470 /nfs/dbraw/zinc/17/14/70/1087171470.db2.gz FNDVOGBMIXIRQE-AWEZNQCLSA-N 0 1 321.465 3.385 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCNCc1cc(C(C)(C)C)on1 ZINC001482860329 1087171598 /nfs/dbraw/zinc/17/15/98/1087171598.db2.gz HKWRWTLRMSARNS-CYBMUJFWSA-N 0 1 321.465 3.169 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1cc(C2CC2)no1 ZINC001482944069 1087195879 /nfs/dbraw/zinc/19/58/79/1087195879.db2.gz TWASPQDHOKAELB-UHFFFAOYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001272117183 1087207936 /nfs/dbraw/zinc/20/79/36/1087207936.db2.gz CGIKXPACVGRGJL-UHFFFAOYSA-N 0 1 324.415 3.457 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(C)(C)CC)CC[C@H]21 ZINC001272208949 1087300951 /nfs/dbraw/zinc/30/09/51/1087300951.db2.gz ZHXJEWFQRDHYLV-LSDHHAIUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001483064984 1087324530 /nfs/dbraw/zinc/32/45/30/1087324530.db2.gz NIBZVCKOSKGYIA-YOVCOIKASA-N 0 1 310.869 3.217 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1c(C)nsc1C ZINC001483065429 1087325159 /nfs/dbraw/zinc/32/51/59/1087325159.db2.gz SWLIIAOFRZYIBI-FPLPWBNLSA-N 0 1 321.490 3.124 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3ccccc3cc2F)[C@H]1C ZINC001088737813 1087326895 /nfs/dbraw/zinc/32/68/95/1087326895.db2.gz DKXGMJYQZFGPQE-ACJLOTCBSA-N 0 1 312.388 3.358 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(c2ccccc2C)CC1 ZINC001483102959 1087343411 /nfs/dbraw/zinc/34/34/11/1087343411.db2.gz FROBJKXBJXNWIE-AATRIKPKSA-N 0 1 318.848 3.041 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)[C@H]1C ZINC001088833837 1087410056 /nfs/dbraw/zinc/41/00/56/1087410056.db2.gz MBOIQIPCNXWGGZ-VBQJREDUSA-N 0 1 318.848 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)[C@H]1C ZINC001088833837 1087410058 /nfs/dbraw/zinc/41/00/58/1087410058.db2.gz MBOIQIPCNXWGGZ-VBQJREDUSA-N 0 1 318.848 3.046 20 30 DGEDMN C=CCC[C@H](C)N1CC(OC2CCN(C(=O)[C@@H](C)CC)CC2)C1 ZINC001105688237 1087424836 /nfs/dbraw/zinc/42/48/36/1087424836.db2.gz WOQJWHJNHKQUJO-HOTGVXAUSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)CCCCC)CC2)C1 ZINC001105690522 1087427220 /nfs/dbraw/zinc/42/72/20/1087427220.db2.gz OXPCQQPWMMJXDL-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)C1(C)CCCCC1 ZINC001483261676 1087452431 /nfs/dbraw/zinc/45/24/31/1087452431.db2.gz JCDHMVIGBJMICB-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CCC[C@@H](CC)C(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001483278263 1087456377 /nfs/dbraw/zinc/45/63/77/1087456377.db2.gz XYNDYORHZHVRBG-DZGCQCFKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2nc(C)cs2)CCCC1 ZINC001483300721 1087462831 /nfs/dbraw/zinc/46/28/31/1087462831.db2.gz XVCVSNGIYVNUEG-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN[C@H](C)c2ncc(C)o2)CCC1 ZINC001483489895 1087514790 /nfs/dbraw/zinc/51/47/90/1087514790.db2.gz QAWSGTKZXGQOTA-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccoc1C1CC1)C1CC1 ZINC001483583382 1087529667 /nfs/dbraw/zinc/52/96/67/1087529667.db2.gz OPPURXLSMNQHHL-AWEZNQCLSA-N 0 1 308.809 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CC(OC2CCN(C(=O)C(C)(C)C)CC2)C1 ZINC001105731417 1087544482 /nfs/dbraw/zinc/54/44/82/1087544482.db2.gz OZHUZWNLOIDETO-HNNXBMFYSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(F)c3ccccc23)[C@H]1C ZINC001088874553 1087594147 /nfs/dbraw/zinc/59/41/47/1087594147.db2.gz KKDPMCBEGDVYNG-ACJLOTCBSA-N 0 1 312.388 3.358 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2cccc(Cl)c2)[C@H]1C ZINC001088888382 1087599295 /nfs/dbraw/zinc/59/92/95/1087599295.db2.gz MOHXAWJQYOWDCS-CJNGLKHVSA-N 0 1 320.864 3.383 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)[C@H]1C ZINC001088951972 1087608648 /nfs/dbraw/zinc/60/86/48/1087608648.db2.gz REYOLMWFXSDVGK-ZBFHGGJFSA-N 0 1 311.429 3.163 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)[C@H]1C ZINC001088981219 1087614825 /nfs/dbraw/zinc/61/48/25/1087614825.db2.gz IKAIZZKTFOVBLO-ZACQAIPSSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@]1(C)CC=CCC1 ZINC001099085900 1087625530 /nfs/dbraw/zinc/62/55/30/1087625530.db2.gz WUSFCJYUWWYYNA-YLJYHZDGSA-N 0 1 316.489 3.363 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@H]1C ZINC001089068381 1087630186 /nfs/dbraw/zinc/63/01/86/1087630186.db2.gz AJRIJKUFKCMBDG-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)[C@H]1C ZINC001089068810 1087630612 /nfs/dbraw/zinc/63/06/12/1087630612.db2.gz VUEGXYIMOGBJET-AOIWGVFYSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H]1C ZINC001089073661 1087631779 /nfs/dbraw/zinc/63/17/79/1087631779.db2.gz ROLFKPAPZYZUQR-ZBFHGGJFSA-N 0 1 309.413 3.060 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H]1C ZINC001089073661 1087631782 /nfs/dbraw/zinc/63/17/82/1087631782.db2.gz ROLFKPAPZYZUQR-ZBFHGGJFSA-N 0 1 309.413 3.060 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2[C@H]2CCc3ccccc32)C1 ZINC001483680326 1087641854 /nfs/dbraw/zinc/64/18/54/1087641854.db2.gz ZUPKLVPXUCLUTD-AEFFLSMTSA-N 0 1 310.441 3.221 20 30 DGEDMN C#C[C@H]1CCCN(Cc2cc(Br)c(OC)cc2O)C1 ZINC001233140418 1087651298 /nfs/dbraw/zinc/65/12/98/1087651298.db2.gz IJVYZZOECHHLDU-NSHDSACASA-N 0 1 324.218 3.009 20 30 DGEDMN CCCCCCCCCCCN1CC2(C1)COCC(=O)N2C ZINC001272454802 1087709677 /nfs/dbraw/zinc/70/96/77/1087709677.db2.gz UVUCBTXWPNEICN-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN C#CCCCCC(=O)NCC1(NCc2cc3ccccc3o2)CC1 ZINC001483729175 1087712318 /nfs/dbraw/zinc/71/23/18/1087712318.db2.gz SDKMOJDPIOHRTH-UHFFFAOYSA-N 0 1 324.424 3.365 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1CC=CCC1 ZINC001158316147 1087770989 /nfs/dbraw/zinc/77/09/89/1087770989.db2.gz BFGFLYGYMJOJRF-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@@H]2CN(C)CC#CC)CC1 ZINC001158434789 1087836276 /nfs/dbraw/zinc/83/62/76/1087836276.db2.gz MJBQGSJDBMPVSX-GOSISDBHSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)c1cccc(C)c1 ZINC001158529064 1087894859 /nfs/dbraw/zinc/89/48/59/1087894859.db2.gz DJVDJJAHDAIWEP-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN Cc1cc(C2CCN(C(=O)C=Cc3ccccc3C#N)CC2)n[nH]1 ZINC001152577610 1088008176 /nfs/dbraw/zinc/00/81/76/1088008176.db2.gz FFSDLBHLSKPYEH-SREVYHEPSA-N 0 1 320.396 3.009 20 30 DGEDMN Cc1cc(C2CCN(C(=O)C=Cc3ccccc3C#N)CC2)n[nH]1 ZINC001152577611 1088008576 /nfs/dbraw/zinc/00/85/76/1088008576.db2.gz FFSDLBHLSKPYEH-VOTSOKGWSA-N 0 1 320.396 3.009 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](F)CCCCCC ZINC001158758452 1088010806 /nfs/dbraw/zinc/01/08/06/1088010806.db2.gz PIFHSSNHDCGMCP-SJORKVTESA-N 0 1 310.457 3.241 20 30 DGEDMN Cc1nc2c(nc(Cl)nc2NC23CCC(C#N)(CC2)CC3)[nH]1 ZINC001158785546 1088028175 /nfs/dbraw/zinc/02/81/75/1088028175.db2.gz BUQDYDVQSYOKOG-UHFFFAOYSA-N 0 1 316.796 3.343 20 30 DGEDMN CCCC[C@@H](C(=O)NCCNCC#Cc1ccccc1)C(C)C ZINC001152653238 1088034370 /nfs/dbraw/zinc/03/43/70/1088034370.db2.gz UNIYDVIHJJCMRO-LJQANCHMSA-N 0 1 314.473 3.206 20 30 DGEDMN CCCC[C@H](C(=O)NCCNCC#Cc1ccccc1)C(C)C ZINC001152653236 1088034849 /nfs/dbraw/zinc/03/48/49/1088034849.db2.gz UNIYDVIHJJCMRO-IBGZPJMESA-N 0 1 314.473 3.206 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](C)CCCc1ccccc1 ZINC001152763241 1088073603 /nfs/dbraw/zinc/07/36/03/1088073603.db2.gz PCEOHHZSLIONIO-CQSZACIVSA-N 0 1 308.853 3.104 20 30 DGEDMN CCC[C@@H](CC(C)C)C(=O)NCCNCC#Cc1ccccc1 ZINC001152877005 1088102621 /nfs/dbraw/zinc/10/26/21/1088102621.db2.gz VWADXZLDGMZOJC-IBGZPJMESA-N 0 1 314.473 3.206 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)c1 ZINC001152939038 1088119259 /nfs/dbraw/zinc/11/92/59/1088119259.db2.gz MZXAALOYFPVBAP-JKSUJKDBSA-N 0 1 320.348 3.209 20 30 DGEDMN C=C(C)CCC(=O)NCCCN[C@H](C)c1nc2ccccc2o1 ZINC001159021648 1088145888 /nfs/dbraw/zinc/14/58/88/1088145888.db2.gz XHFDEXVPQRRISW-CQSZACIVSA-N 0 1 315.417 3.341 20 30 DGEDMN Cc1coc(NC(=O)c2cnc3[nH]ccc(Cl)c2-3)c1C#N ZINC001153094785 1088163711 /nfs/dbraw/zinc/16/37/11/1088163711.db2.gz FAOQTYBUPQJLAP-UHFFFAOYSA-N 0 1 300.705 3.242 20 30 DGEDMN CCCC(=O)NCCC1=CCN(Cc2ccc(C#N)s2)CC1 ZINC001159080163 1088169876 /nfs/dbraw/zinc/16/98/76/1088169876.db2.gz PZOUYLWWSPGYFY-UHFFFAOYSA-N 0 1 317.458 3.058 20 30 DGEDMN CCCCC(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159280904 1088268131 /nfs/dbraw/zinc/26/81/31/1088268131.db2.gz JLMYGIWPEBEAFY-UHFFFAOYSA-N 0 1 324.468 3.367 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2cc[nH]c2C)CC(C)(C)C1 ZINC001089354642 1088421838 /nfs/dbraw/zinc/42/18/38/1088421838.db2.gz KHPCNOQYBLVUBM-CQSZACIVSA-N 0 1 323.868 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2ccoc2)CC(C)(C)C1 ZINC001089368376 1088540287 /nfs/dbraw/zinc/54/02/87/1088540287.db2.gz PSMNGCNATOUKNY-HNNXBMFYSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2[nH]ccc2C)CC(C)(C)C1 ZINC001089375470 1088546294 /nfs/dbraw/zinc/54/62/94/1088546294.db2.gz OLIDKXDWNNTBTI-CQSZACIVSA-N 0 1 323.868 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2[nH]ccc2C)CC(C)(C)C1 ZINC001089375468 1088547497 /nfs/dbraw/zinc/54/74/97/1088547497.db2.gz OLIDKXDWNNTBTI-AWEZNQCLSA-N 0 1 323.868 3.296 20 30 DGEDMN Cn1ccc2c1ccnc2NC(=N)c1ccc2cc(O)ccc2c1 ZINC001160021340 1088606134 /nfs/dbraw/zinc/60/61/34/1088606134.db2.gz YENNZADWOQOERF-UHFFFAOYSA-N 0 1 316.364 3.469 20 30 DGEDMN Cc1ncnc(NC2(C#N)CCN(Cc3ccccc3)CC2)c1C ZINC001160100513 1088640282 /nfs/dbraw/zinc/64/02/82/1088640282.db2.gz GFQYZWCWLGYJMU-UHFFFAOYSA-N 0 1 321.428 3.064 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2ccco2)CC(C)(C)C1 ZINC001089527839 1088716243 /nfs/dbraw/zinc/71/62/43/1088716243.db2.gz VLMZPFLXUBGNTD-AWEZNQCLSA-N 0 1 324.852 3.181 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(CCC)s2)CC1 ZINC001160258229 1088727627 /nfs/dbraw/zinc/72/76/27/1088727627.db2.gz RDURVOGUZAGTMN-UHFFFAOYSA-N 0 1 316.470 3.086 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cnccc1C ZINC001154751501 1088745609 /nfs/dbraw/zinc/74/56/09/1088745609.db2.gz YSKBZHADTJEMFO-SJORKVTESA-N 0 1 317.477 3.319 20 30 DGEDMN C#CCC1(C(=O)NCCC2=CCN(C/C=C\Cl)CC2)CCC1 ZINC001160325253 1088757358 /nfs/dbraw/zinc/75/73/58/1088757358.db2.gz ZREWENYLTWGQKT-WCIBSUBMSA-N 0 1 320.864 3.071 20 30 DGEDMN C#CCC1(C(=O)NCCC2=CCN(C/C=C/Cl)CC2)CCC1 ZINC001160325252 1088757796 /nfs/dbraw/zinc/75/77/96/1088757796.db2.gz ZREWENYLTWGQKT-NYYWCZLTSA-N 0 1 320.864 3.071 20 30 DGEDMN C#CCC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)oc1C ZINC001089605064 1088806369 /nfs/dbraw/zinc/80/63/69/1088806369.db2.gz KSSJJWYQYRJQQA-MRXNPFEDSA-N 0 1 316.445 3.140 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001154957565 1088852700 /nfs/dbraw/zinc/85/27/00/1088852700.db2.gz HIARFHBFIMQHKY-MRXNPFEDSA-N 0 1 303.450 3.073 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)coc1C ZINC001089637838 1088864789 /nfs/dbraw/zinc/86/47/89/1088864789.db2.gz PUTFEFRSLQMWRW-MRXNPFEDSA-N 0 1 316.445 3.140 20 30 DGEDMN C#CCCN1CC(C)(C)CC[C@@H]1CNC(=O)c1sccc1F ZINC001089638472 1088868837 /nfs/dbraw/zinc/86/88/37/1088868837.db2.gz XTJDVVTZHVAWFY-CYBMUJFWSA-N 0 1 322.449 3.131 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](C)N(CC)Cc2ccccn2)CC1 ZINC001155036927 1088911302 /nfs/dbraw/zinc/91/13/02/1088911302.db2.gz KOEQRODTZDIIPF-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](C)N(CC)Cc2cccnc2)CC1 ZINC001155037119 1088912903 /nfs/dbraw/zinc/91/29/03/1088912903.db2.gz OLPUNQRGTVEMOL-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC1CCCC1 ZINC001483812182 1088917906 /nfs/dbraw/zinc/91/79/06/1088917906.db2.gz FJOVETZZGGLVKC-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001483874577 1088973261 /nfs/dbraw/zinc/97/32/61/1088973261.db2.gz WEXIKGNAWVWHRN-UHFFFAOYSA-N 0 1 315.392 3.029 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)c2ccccc2)C1 ZINC001483887947 1088990966 /nfs/dbraw/zinc/99/09/66/1088990966.db2.gz YLFJLWPTPAZXLA-CVEARBPZSA-N 0 1 300.446 3.287 20 30 DGEDMN CCC[C@@H](C)C(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001483925983 1089007738 /nfs/dbraw/zinc/00/77/38/1089007738.db2.gz UZWJRMWQMKEBEJ-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCCCC(=O)NCCC1=CC[N@@H+](C[C@H](F)CC)CC1 ZINC001160915048 1089015520 /nfs/dbraw/zinc/01/55/20/1089015520.db2.gz IAKXGCLZXQZYMK-GOSISDBHSA-N 0 1 322.468 3.457 20 30 DGEDMN C#CCCCCCC(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160915048 1089015539 /nfs/dbraw/zinc/01/55/39/1089015539.db2.gz IAKXGCLZXQZYMK-GOSISDBHSA-N 0 1 322.468 3.457 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001483934824 1089018633 /nfs/dbraw/zinc/01/86/33/1089018633.db2.gz QNWVVQFCASJJBS-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC[C@@H]2CCc3ccccc32)CC1 ZINC001483977371 1089064223 /nfs/dbraw/zinc/06/42/23/1089064223.db2.gz YYMCKHOVZMKOQI-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C=C)C1CCN(C/C=C\Cl)CC1 ZINC001483985424 1089070260 /nfs/dbraw/zinc/07/02/60/1089070260.db2.gz WUQAFEIVKBNQTO-YFHOEESVSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)C1CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001483985072 1089070530 /nfs/dbraw/zinc/07/05/30/1089070530.db2.gz JZYHCGFDWPSPDL-ANOSYLROSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(C)nc1)C1CCCC1 ZINC001483994453 1089081364 /nfs/dbraw/zinc/08/13/64/1089081364.db2.gz XNADQPCKXYRINR-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C2CC2)CC1)C1CCCC1 ZINC001484001065 1089087445 /nfs/dbraw/zinc/08/74/45/1089087445.db2.gz MQRNMYCDDYDBMC-HNNXBMFYSA-N 0 1 310.869 3.194 20 30 DGEDMN N#Cc1ccc(C2(C(=O)Nc3ccc(F)c(F)c3O)CC2)cc1 ZINC001161067095 1089102401 /nfs/dbraw/zinc/10/24/01/1089102401.db2.gz HMBJKFMPZUQSOQ-UHFFFAOYSA-N 0 1 314.291 3.212 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2cccc(C(C)C)n2)C1 ZINC001484034866 1089116713 /nfs/dbraw/zinc/11/67/13/1089116713.db2.gz OAZORMIDIDVGDY-LJQANCHMSA-N 0 1 315.461 3.252 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001155484463 1089130836 /nfs/dbraw/zinc/13/08/36/1089130836.db2.gz HOTXFGQBVGGETL-IEBWSBKVSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001155489496 1089134328 /nfs/dbraw/zinc/13/43/28/1089134328.db2.gz BAQJLTQWJXOEPZ-MRXNPFEDSA-N 0 1 317.477 3.319 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc2[nH]ccc2c1 ZINC001089690411 1089177434 /nfs/dbraw/zinc/17/74/34/1089177434.db2.gz PBHZVIGUOKVRCQ-KRWDZBQOSA-N 0 1 323.440 3.022 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1ocnc1CC ZINC001089690807 1089180236 /nfs/dbraw/zinc/18/02/36/1089180236.db2.gz FXKBUMWCAFBTIS-CQSZACIVSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC001161404628 1089227376 /nfs/dbraw/zinc/22/73/76/1089227376.db2.gz AKFCEOBGGXTCEH-GOSISDBHSA-N 0 1 324.468 3.260 20 30 DGEDMN N#Cc1nc2ccc(NC(=O)c3cccc4cncn43)cc2s1 ZINC001155798513 1089249599 /nfs/dbraw/zinc/24/95/99/1089249599.db2.gz JOUQIQHCVTYJDO-UHFFFAOYSA-N 0 1 319.349 3.068 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C(C2CCC2)C2CCC2)C1 ZINC001484167269 1089263184 /nfs/dbraw/zinc/26/31/84/1089263184.db2.gz QUVBEXDUOBQCEI-FQEVSTJZSA-N 0 1 316.489 3.054 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CN(CC=C(C)C)CCC1(F)F ZINC001484197312 1089286689 /nfs/dbraw/zinc/28/66/89/1089286689.db2.gz ZBHRCCBQQBYCEY-HNNXBMFYSA-N 0 1 314.420 3.382 20 30 DGEDMN COC(=O)C[C@H](c1ccnc(NC(C)=CC(C)=O)c1)C1CC1 ZINC001155890771 1089287681 /nfs/dbraw/zinc/28/76/81/1089287681.db2.gz BRLOPFJLXYCVDZ-QIENPNARSA-N 0 1 302.374 3.043 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc3sccc3c2)CC1 ZINC001161617672 1089311720 /nfs/dbraw/zinc/31/17/20/1089311720.db2.gz SLUFXEZPPLRALB-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1c(C)nsc1C ZINC001089734482 1089315193 /nfs/dbraw/zinc/31/51/93/1089315193.db2.gz RDNMIWPVRSCKJU-AWEZNQCLSA-N 0 1 321.490 3.166 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C2CCN(CCF)CC2)C1 ZINC001484322186 1089332245 /nfs/dbraw/zinc/33/22/45/1089332245.db2.gz BRSYDRMRNHUAFM-KRWDZBQOSA-N 0 1 310.457 3.263 20 30 DGEDMN CCC(=O)N(CCNCc1ccccc1C#N)Cc1ccccc1 ZINC001484397358 1089396332 /nfs/dbraw/zinc/39/63/32/1089396332.db2.gz GBIFCTZIVIZLRS-UHFFFAOYSA-N 0 1 321.424 3.087 20 30 DGEDMN C=CC[N@@H+]1CC=C(CCNC(=O)CCc2cccs2)CC1 ZINC001161843955 1089437499 /nfs/dbraw/zinc/43/74/99/1089437499.db2.gz GIOLKLLZQHLHJZ-UHFFFAOYSA-N 0 1 304.459 3.005 20 30 DGEDMN CC(C)(C)CCCC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913494 1089467172 /nfs/dbraw/zinc/46/71/72/1089467172.db2.gz AGBXVTHYUBWYFN-UHFFFAOYSA-N 0 1 311.389 3.498 20 30 DGEDMN C=C[C@@H](C(=O)NCCC1=CCN(CCF)CC1)c1ccccc1 ZINC001161925114 1089475455 /nfs/dbraw/zinc/47/54/55/1089475455.db2.gz XJVRYSLREDBDTO-GOSISDBHSA-N 0 1 316.420 3.064 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C)cc1 ZINC001484556656 1089491357 /nfs/dbraw/zinc/49/13/57/1089491357.db2.gz FLIRXTPQERFHNI-UHFFFAOYSA-N 0 1 320.436 3.380 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc3cccc(C)c3o2)CC1 ZINC001161959519 1089493081 /nfs/dbraw/zinc/49/30/81/1089493081.db2.gz VGCFXYDMBDSWLY-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CCCC)C(C)C)CC1 ZINC001161963385 1089496208 /nfs/dbraw/zinc/49/62/08/1089496208.db2.gz DEMWIRNCPGCTNU-GOSISDBHSA-N 0 1 304.478 3.220 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](CNCc2ncc(C)o2)CC1 ZINC001484571905 1089513917 /nfs/dbraw/zinc/51/39/17/1089513917.db2.gz MXGUCMPVEWVOKW-WKILWMFISA-N 0 1 319.449 3.104 20 30 DGEDMN CC(C)(Nc1nc(Cl)nc2[nH]cnc21)c1ccc(C#N)cc1 ZINC001156430335 1089519864 /nfs/dbraw/zinc/51/98/64/1089519864.db2.gz WSQAQQSPGPZMLX-UHFFFAOYSA-N 0 1 312.764 3.225 20 30 DGEDMN CC(C)(Nc1cccc(N2CCOCC2)n1)c1ccc(C#N)cc1 ZINC001156430848 1089520296 /nfs/dbraw/zinc/52/02/96/1089520296.db2.gz QBNFFIDDGIBRSI-UHFFFAOYSA-N 0 1 322.412 3.137 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001484645071 1089559631 /nfs/dbraw/zinc/55/96/31/1089559631.db2.gz CAWWPXXWSOHGEJ-UHFFFAOYSA-N 0 1 324.468 3.269 20 30 DGEDMN CN1CCC(C#N)(Nc2ccc3cccc(Cl)c3n2)CC1 ZINC001162227516 1089619961 /nfs/dbraw/zinc/61/99/61/1089619961.db2.gz VOEWNZLCDAZIJU-UHFFFAOYSA-N 0 1 300.793 3.288 20 30 DGEDMN Cc1cnc(CCNc2cc(/C=N/O)cc(C(F)(F)F)c2)nc1 ZINC001162245336 1089629790 /nfs/dbraw/zinc/62/97/90/1089629790.db2.gz TXRFDWOTRLAMKV-LSFURLLWSA-N 0 1 324.306 3.267 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)CC(C)(C)C ZINC001484732501 1089643878 /nfs/dbraw/zinc/64/38/78/1089643878.db2.gz BMHQCZQLKPDACH-UHFFFAOYSA-N 0 1 314.473 3.255 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)=C3CCC3)cc2C1 ZINC001484872880 1089714305 /nfs/dbraw/zinc/71/43/05/1089714305.db2.gz FJYUCGCXFYHTGK-UHFFFAOYSA-N 0 1 308.425 3.142 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2nc(C)sc2C)C1 ZINC001484888388 1089722479 /nfs/dbraw/zinc/72/24/79/1089722479.db2.gz HPRRHDLTMJZTER-WBMJQRKESA-N 0 1 321.490 3.053 20 30 DGEDMN Cc1nc2c(nc(Cl)nc2N[C@@H](C)c2cccc(C#N)c2)[nH]1 ZINC001156871883 1089725999 /nfs/dbraw/zinc/72/59/99/1089725999.db2.gz WCHPHKNWSFTFHQ-QMMMGPOBSA-N 0 1 312.764 3.311 20 30 DGEDMN CC1(C)CCCN(C(=O)c2ccc(CN3CCCC3)o2)[C@@H]1C#N ZINC001156965295 1089762629 /nfs/dbraw/zinc/76/26/29/1089762629.db2.gz SQXAVRNNMKUKEA-MRXNPFEDSA-N 0 1 315.417 3.030 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cncs2)CC1(C)C ZINC001484937381 1089764084 /nfs/dbraw/zinc/76/40/84/1089764084.db2.gz SWIFGMQLQWKYGE-HNNXBMFYSA-N 0 1 321.490 3.216 20 30 DGEDMN CCOC(=O)c1c[nH]c2cc(N[C@](C)(C#N)CC(C)C)ncc21 ZINC001162519135 1089769750 /nfs/dbraw/zinc/76/97/50/1089769750.db2.gz AKLZPWGBBJGVNK-KRWDZBQOSA-N 0 1 314.389 3.480 20 30 DGEDMN C=C(C)C[N@H+]1CCOC2(CCN(C(=O)C=C(CC)CC)CC2)C1 ZINC001485008619 1089843661 /nfs/dbraw/zinc/84/36/61/1089843661.db2.gz PORPYLGWNSQFKJ-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)C=C(CC)CC)CC2)C1 ZINC001485008619 1089843681 /nfs/dbraw/zinc/84/36/81/1089843681.db2.gz PORPYLGWNSQFKJ-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H]1CN(C)Cc1ccsc1 ZINC001485052497 1089890481 /nfs/dbraw/zinc/89/04/81/1089890481.db2.gz CTXGQLDSZKABGD-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1cccc(Cl)n1 ZINC001485051504 1089891222 /nfs/dbraw/zinc/89/12/22/1089891222.db2.gz WXUODMXDIBZQCC-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(CC)s1 ZINC001485168309 1089993556 /nfs/dbraw/zinc/99/35/56/1089993556.db2.gz IGOPDQVPOYNGCR-WDEREUQCSA-N 0 1 300.855 3.159 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(CC)s1 ZINC001485168307 1089994137 /nfs/dbraw/zinc/99/41/37/1089994137.db2.gz IGOPDQVPOYNGCR-MNOVXSKESA-N 0 1 300.855 3.159 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C/Cl ZINC001485179941 1090004741 /nfs/dbraw/zinc/00/47/41/1090004741.db2.gz KXCZQTBQEQWMKQ-URRBYVIQSA-N 0 1 307.265 3.391 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(Cl)cs1 ZINC001485197400 1090013678 /nfs/dbraw/zinc/01/36/78/1090013678.db2.gz DEZIKKQWSMYKQT-IUCAKERBSA-N 0 1 307.246 3.250 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ncc(C)s1 ZINC001485200031 1090015444 /nfs/dbraw/zinc/01/54/44/1090015444.db2.gz HRVZQRIAQYQBSB-QWHCGFSZSA-N 0 1 309.479 3.037 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001157546428 1090017298 /nfs/dbraw/zinc/01/72/98/1090017298.db2.gz WWWMIGMFMPTMPD-LJQANCHMSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C\Cl)C1 ZINC001485191223 1090021581 /nfs/dbraw/zinc/02/15/81/1090021581.db2.gz UFLWGFGXKUUQGA-QHABWNLFSA-N 0 1 305.249 3.145 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1cnccc1C ZINC001157705979 1090082668 /nfs/dbraw/zinc/08/26/68/1090082668.db2.gz QAMOHFOLLWTWQR-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001485335942 1090109136 /nfs/dbraw/zinc/10/91/36/1090109136.db2.gz FXZFTLMTTZEIHE-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001485354938 1090131386 /nfs/dbraw/zinc/13/13/86/1090131386.db2.gz CEASYOIBNDTEFV-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(C)c1F ZINC001157982117 1090164346 /nfs/dbraw/zinc/16/43/46/1090164346.db2.gz NBSOPEUOBNVLFM-MRXNPFEDSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccc(Cl)[nH]1 ZINC001485468524 1090168409 /nfs/dbraw/zinc/16/84/09/1090168409.db2.gz MSVPLMVYZGLGSM-NSHDSACASA-N 0 1 318.248 3.299 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1sc(C)cc1C ZINC001158005458 1090170511 /nfs/dbraw/zinc/17/05/11/1090170511.db2.gz WNBZRLIFQLJXJW-MRXNPFEDSA-N 0 1 318.486 3.315 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](C)CCC(C)(C)C ZINC001485485268 1090193148 /nfs/dbraw/zinc/19/31/48/1090193148.db2.gz PHMQBBLRQXRDBV-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001485520889 1090200917 /nfs/dbraw/zinc/20/09/17/1090200917.db2.gz UMVIQXWJUBOOEN-ZDUSSCGKSA-N 0 1 306.475 3.287 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001485535117 1090205408 /nfs/dbraw/zinc/20/54/08/1090205408.db2.gz KVDKQFJOSQATAI-QFBILLFUSA-N 0 1 312.457 3.030 20 30 DGEDMN CC(=O)C=C(C)Nc1cc(C=N[S@](=O)C(C)(C)C)cc(C)n1 ZINC001163737930 1090246111 /nfs/dbraw/zinc/24/61/11/1090246111.db2.gz MTDLSCCVFJMECI-XTTYFRGOSA-N 0 1 321.446 3.176 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccoc1Cl ZINC001485806462 1090297040 /nfs/dbraw/zinc/29/70/40/1090297040.db2.gz CMIYSFHPWSWNJI-GFCCVEGCSA-N 0 1 317.216 3.126 20 30 DGEDMN CC(C)c1ncc(CNc2cc(C(F)(F)F)cc(C#N)n2)[nH]1 ZINC001164220930 1090376703 /nfs/dbraw/zinc/37/67/03/1090376703.db2.gz PLLPXTQYQGCSLV-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN CC(C)c1ncc(CNc2nc(C(F)(F)F)ccc2C#N)[nH]1 ZINC001164226161 1090378773 /nfs/dbraw/zinc/37/87/73/1090378773.db2.gz QFIMGWKKGYTDFE-UHFFFAOYSA-N 0 1 309.295 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1c(F)cccc1F ZINC001164324638 1090400595 /nfs/dbraw/zinc/40/05/95/1090400595.db2.gz XYSNXVPMBCOSAP-ZDUSSCGKSA-N 0 1 310.388 3.163 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@H](C)CC)C1 ZINC001107753539 1090497885 /nfs/dbraw/zinc/49/78/85/1090497885.db2.gz HLPZFUJNBNWTSJ-SJLPKXTDSA-N 0 1 312.498 3.210 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C(CC)CC)C1 ZINC001107755674 1090499014 /nfs/dbraw/zinc/49/90/14/1090499014.db2.gz HZXJOIITQTULLP-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CCCC(C)(C)C)C1 ZINC001107810816 1090507473 /nfs/dbraw/zinc/50/74/73/1090507473.db2.gz HKZVMDZOFGGOQG-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCC(=O)NCCCN[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001165531739 1090528143 /nfs/dbraw/zinc/52/81/43/1090528143.db2.gz WRIFKFNJFNWUSV-AWEZNQCLSA-N 0 1 321.465 3.485 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)/C=C(/C)CC)C1 ZINC001107924447 1090538072 /nfs/dbraw/zinc/53/80/72/1090538072.db2.gz KYGZFCCOTYQNRY-RBAUTDOXSA-N 0 1 322.493 3.296 20 30 DGEDMN C=C1CCC(C(=O)NCCCNCc2c(F)cccc2F)CC1 ZINC001165906718 1090559793 /nfs/dbraw/zinc/55/97/93/1090559793.db2.gz IXFNWKTVWIZTTB-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN CC(C)(C)CCCCC(=O)NCCNCc1ccccc1C#N ZINC001128043999 1090633392 /nfs/dbraw/zinc/63/33/92/1090633392.db2.gz DHPPFKWPADQHTB-UHFFFAOYSA-N 0 1 315.461 3.371 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCC1(CNCc2nccs2)CC1 ZINC001166748060 1090650882 /nfs/dbraw/zinc/65/08/82/1090650882.db2.gz VDYCGYUHAOMJID-AWEZNQCLSA-N 0 1 321.490 3.122 20 30 DGEDMN COc1ccc([C@@H]2C[C@H](N3CC[C@@](C)(C#N)C3)CCO2)cc1 ZINC001168670943 1090691607 /nfs/dbraw/zinc/69/16/07/1090691607.db2.gz LPINCXPTJBTGIA-NJAFHUGGSA-N 0 1 300.402 3.151 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2c(F)cccc2F)[C@@H]1C ZINC001486074173 1090748599 /nfs/dbraw/zinc/74/85/99/1090748599.db2.gz PDWGNEWHAOENFJ-BLLLJJGKSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CC[NH+]1CCN(Cc2cc(Cl)cc(Cl)c2[O-])CC1 ZINC001203580600 1090760512 /nfs/dbraw/zinc/76/05/12/1090760512.db2.gz TWPRBMHDUJAHAA-UHFFFAOYSA-N 0 1 301.217 3.003 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@H](Oc3cc(F)ccc3F)C2)c1 ZINC001203760338 1090798943 /nfs/dbraw/zinc/79/89/43/1090798943.db2.gz HSFWBTVKUBKNGE-MRXNPFEDSA-N 0 1 314.335 3.490 20 30 DGEDMN COc1cccnc1O[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001203764030 1090799235 /nfs/dbraw/zinc/79/92/35/1090799235.db2.gz UQZPSMTUBPAZFQ-QGZVFWFLSA-N 0 1 323.396 3.005 20 30 DGEDMN N#Cc1cccc(CN2CCN(C3(c4ccccc4)CC3)CC2)c1 ZINC001203763863 1090799796 /nfs/dbraw/zinc/79/97/96/1090799796.db2.gz PUQRVXFIDLSWLT-UHFFFAOYSA-N 0 1 317.436 3.365 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc2ccncc2c1)C(C)C ZINC001486379241 1090814809 /nfs/dbraw/zinc/81/48/09/1090814809.db2.gz YHOSMMUVCKXVLT-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1cccnc1)C(C)(C)C ZINC001486419397 1090829308 /nfs/dbraw/zinc/82/93/08/1090829308.db2.gz AGGVLESNYRHAOY-DZGCQCFKSA-N 0 1 323.868 3.058 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CC2(C)CCCCC2)CC1 ZINC001486511815 1090863122 /nfs/dbraw/zinc/86/31/22/1090863122.db2.gz YKIGAXQRTWBSTJ-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCc1ccc(CNCC#CC)cc1F ZINC001486525082 1090868687 /nfs/dbraw/zinc/86/86/87/1090868687.db2.gz VVZHDSILSJOWDM-UHFFFAOYSA-N 0 1 316.420 3.157 20 30 DGEDMN C=CCCC(=O)NCc1ccc(CNC/C=C/Cl)cc1F ZINC001486523573 1090868761 /nfs/dbraw/zinc/86/87/61/1090868761.db2.gz XMUGVSAXAPBVDJ-XBXARRHUSA-N 0 1 310.800 3.250 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2ccc3c(c2)OCO3)CC1 ZINC001204049139 1090884652 /nfs/dbraw/zinc/88/46/52/1090884652.db2.gz CVNAFVWWRJKIQS-UHFFFAOYSA-N 0 1 320.392 3.473 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCN[C@@H](C)c1ccccc1F ZINC001128199671 1090901858 /nfs/dbraw/zinc/90/18/58/1090901858.db2.gz MTFBEFFJCRUOCQ-NSHDSACASA-N 0 1 312.816 3.371 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001276440594 1090902604 /nfs/dbraw/zinc/90/26/04/1090902604.db2.gz ZWIAGXJUNXLCRA-QAPCUYQASA-N 0 1 300.446 3.049 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCNCc1cccc(OC)c1Cl ZINC001128246443 1090923269 /nfs/dbraw/zinc/92/32/69/1090923269.db2.gz ZEZRDLHXHZXRBK-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1ccc(CC)o1 ZINC001272999896 1090978443 /nfs/dbraw/zinc/97/84/43/1090978443.db2.gz SJOATWGFMSUKNV-LJQANCHMSA-N 0 1 316.445 3.375 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001272999402 1090978934 /nfs/dbraw/zinc/97/89/34/1090978934.db2.gz MSPSRYKTJFCROM-RBSFLKMASA-N 0 1 322.836 3.106 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001276468948 1090982959 /nfs/dbraw/zinc/98/29/59/1090982959.db2.gz PIHBDEJNUNINGB-IRXDYDNUSA-N 0 1 314.473 3.268 20 30 DGEDMN CN1CC[NH+](Cc2ccc(Nc3ccc(CC#N)cc3)cc2)CC1 ZINC001204747291 1091027766 /nfs/dbraw/zinc/02/77/66/1091027766.db2.gz PZFWDTRMTVPUMM-UHFFFAOYSA-N 0 1 320.440 3.244 20 30 DGEDMN CC(C)(C)c1ccc(NN=Cc2cnc(C(C)(C)C)nc2)nn1 ZINC001117742305 1091049512 /nfs/dbraw/zinc/04/95/12/1091049512.db2.gz PPMVZCNWIDJCIM-UHFFFAOYSA-N 0 1 312.421 3.308 20 30 DGEDMN CCOC(=O)Cc1ccc(Nc2cc(C#N)c(F)cc2O)cc1 ZINC001212521373 1091095371 /nfs/dbraw/zinc/09/53/71/1091095371.db2.gz AYSVYVANJMODNE-UHFFFAOYSA-N 0 1 314.316 3.252 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C2(C)CCC2)C1 ZINC001108129415 1091117407 /nfs/dbraw/zinc/11/74/07/1091117407.db2.gz YPJVFPHLFNOEQI-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN COC(CN(C)Cc1ccc(C#Cc2ccccc2)cc1)OC ZINC001138335844 1091145336 /nfs/dbraw/zinc/14/53/36/1091145336.db2.gz DEENFIUMRPUGRE-UHFFFAOYSA-N 0 1 309.409 3.137 20 30 DGEDMN C(#Cc1ccc(CN2CCCC23COC3)cc1)c1ccccc1 ZINC001138336802 1091145516 /nfs/dbraw/zinc/14/55/16/1091145516.db2.gz ZQZKWMDMAPSYKQ-UHFFFAOYSA-N 0 1 303.405 3.451 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC001108149042 1091154128 /nfs/dbraw/zinc/15/41/28/1091154128.db2.gz QDNCFBKZIQGIPQ-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1[nH]c(=O)[nH]c(=O)c1CN(CCC#N)C1CCCCCCC1 ZINC001138467251 1091194428 /nfs/dbraw/zinc/19/44/28/1091194428.db2.gz GBIMEBCPSJESOX-UHFFFAOYSA-N 0 1 318.421 3.025 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](c2cccc(F)c2)[C@H](NCCF)C1 ZINC001205432556 1091219496 /nfs/dbraw/zinc/21/94/96/1091219496.db2.gz MVEKMTKKSBHTLK-DLBZAZTESA-N 0 1 322.399 3.036 20 30 DGEDMN CCN1CC[C@H]1CNC(=O)Nc1scc(C(C)(C)C)c1C#N ZINC001205531727 1091244789 /nfs/dbraw/zinc/24/47/89/1091244789.db2.gz PHEKWWMVKGPPNM-NSHDSACASA-N 0 1 320.462 3.133 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2cccc(Oc3ncccn3)c2)C1 ZINC001138622790 1091261522 /nfs/dbraw/zinc/26/15/22/1091261522.db2.gz VGSUCYOUAMUJOH-OAHLLOKOSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1cc(C)ccc1F)C2 ZINC001205648641 1091296536 /nfs/dbraw/zinc/29/65/36/1091296536.db2.gz WIHKKFXNJZBYEK-MJGOQNOKSA-N 0 1 319.420 3.460 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(C(C)(C)C)[nH]1 ZINC001273186129 1091358362 /nfs/dbraw/zinc/35/83/62/1091358362.db2.gz UOMDYOPIUNAGSM-HZPDHXFCSA-N 0 1 315.461 3.064 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCC1CCCC1 ZINC001098873870 1091409919 /nfs/dbraw/zinc/40/99/19/1091409919.db2.gz RNIQGAJSJCISSQ-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CCCn1cc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001139040071 1091426521 /nfs/dbraw/zinc/42/65/21/1091426521.db2.gz QUJCQYIBWAMSII-LJQANCHMSA-N 0 1 324.428 3.208 20 30 DGEDMN CCCn1cc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)cn1 ZINC001139042713 1091429219 /nfs/dbraw/zinc/42/92/19/1091429219.db2.gz HPASCECQNLQYRC-LJQANCHMSA-N 0 1 324.428 3.208 20 30 DGEDMN C=CC[N@@H+](Cc1cnc(N2CCOCC2)s1)C1CCCCC1 ZINC001139050077 1091429239 /nfs/dbraw/zinc/42/92/39/1091429239.db2.gz ZKCLCBFKQVLGGE-UHFFFAOYSA-N 0 1 321.490 3.300 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3csc(C)c3C)[C@@H]2C1 ZINC001084370392 1091484708 /nfs/dbraw/zinc/48/47/08/1091484708.db2.gz YMKPLRLOHKLQRK-GDBMZVCRSA-N 0 1 304.459 3.087 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(F)c4ccccc4c3)[C@@H]2C1 ZINC001084391524 1091486468 /nfs/dbraw/zinc/48/64/68/1091486468.db2.gz CVVAUQSAKWUHKV-DNVCBOLYSA-N 0 1 324.399 3.311 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC3CC(c4ccccc4)C3)[C@@H]2C1 ZINC001084465767 1091491846 /nfs/dbraw/zinc/49/18/46/1091491846.db2.gz TXFGYOVYKLJXTN-RDVQEIBISA-N 0 1 324.468 3.289 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(F)c(Cl)c3)[C@@H]2C1 ZINC001084618441 1091517750 /nfs/dbraw/zinc/51/77/50/1091517750.db2.gz OTLPXYCAQIMWEF-CZUORRHYSA-N 0 1 322.811 3.202 20 30 DGEDMN O=C1C=C2C[N@@H+](Cc3ccc4cc(O)ccc4c3)CCC2S1 ZINC001139336475 1091523291 /nfs/dbraw/zinc/52/32/91/1091523291.db2.gz PPZXUQICXFDZGE-QGZVFWFLSA-N 0 1 311.406 3.320 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccccc3C3(C)CC3)[C@@H]2C1 ZINC001084655606 1091527931 /nfs/dbraw/zinc/52/79/31/1091527931.db2.gz APBIYPAYSNIIGS-CRAIPNDOSA-N 0 1 310.441 3.070 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001084698006 1091541500 /nfs/dbraw/zinc/54/15/00/1091541500.db2.gz GHANNZVUNYCAOM-BHIYHBOVSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)c3ccccc3C)[C@@H]2C1 ZINC001084700260 1091543954 /nfs/dbraw/zinc/54/39/54/1091543954.db2.gz YMGMZNLNCMHIHZ-QWFCFKBJSA-N 0 1 324.468 3.045 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(C(F)F)cc3)[C@@H]2C1 ZINC001084724826 1091548167 /nfs/dbraw/zinc/54/81/67/1091548167.db2.gz WANNEEOQOBNLLI-HZPDHXFCSA-N 0 1 320.383 3.347 20 30 DGEDMN CC1(O)CCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)CC1 ZINC001139423826 1091555507 /nfs/dbraw/zinc/55/55/07/1091555507.db2.gz JNMGJUBTABECBU-UHFFFAOYSA-N 0 1 323.396 3.092 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC001084775217 1091561421 /nfs/dbraw/zinc/56/14/21/1091561421.db2.gz XQOKVKMEMIKFPD-RCCFBDPRSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(c4ccccc4)CCCC3)[C@@H]2C1 ZINC001084814585 1091578224 /nfs/dbraw/zinc/57/82/24/1091578224.db2.gz MHKROIHWFABIAO-IEBWSBKVSA-N 0 1 324.468 3.217 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1ccc(C#N)c(F)c1 ZINC001139530046 1091588333 /nfs/dbraw/zinc/58/83/33/1091588333.db2.gz INQVVVKQKCYNQQ-HNNXBMFYSA-N 0 1 318.392 3.394 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC[C@@H]3CCc4ccccc43)[C@@H]2C1 ZINC001084847390 1091588885 /nfs/dbraw/zinc/58/88/85/1091588885.db2.gz UNYJZFPICUHUQT-NLWGTHIKSA-N 0 1 324.468 3.215 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C)CCC(C)CC3)[C@@H]2C1 ZINC001084860418 1091597538 /nfs/dbraw/zinc/59/75/38/1091597538.db2.gz OUSIFFNHNWIYMW-VZDIDWBNSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCc3cc(C)sc3C)[C@@H]2C1 ZINC001084859883 1091598000 /nfs/dbraw/zinc/59/80/00/1091598000.db2.gz PZFLFBQNQNWPON-IAGOWNOFSA-N 0 1 318.486 3.016 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2cc(C#N)ccc2F)C[C@@H]1C ZINC001139650481 1091634703 /nfs/dbraw/zinc/63/47/03/1091634703.db2.gz JJDXHRZBRQBHTJ-ZFWWWQNUSA-N 0 1 318.392 3.109 20 30 DGEDMN N#CCc1ccc(CNCc2cnc(-c3ccccc3)nc2)cc1 ZINC001202956713 1091636058 /nfs/dbraw/zinc/63/60/58/1091636058.db2.gz VUSZNHBVLFVERF-UHFFFAOYSA-N 0 1 314.392 3.499 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C2(CC)CC2)C1 ZINC001108194447 1091645454 /nfs/dbraw/zinc/64/54/54/1091645454.db2.gz SUGDOZAHBYJSBJ-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3ccncc3)C2)cc1C#N ZINC001139727192 1091656704 /nfs/dbraw/zinc/65/67/04/1091656704.db2.gz IPJJOHRZDIPNAP-IBGZPJMESA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCN1CCN(Cc2sc(-c3ccccc3)nc2C)CC1 ZINC001139823023 1091679452 /nfs/dbraw/zinc/67/94/52/1091679452.db2.gz URQRQRVJHDTJNF-UHFFFAOYSA-N 0 1 313.470 3.422 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4c(c3)OCC4)sc2C1 ZINC001206653028 1091697977 /nfs/dbraw/zinc/69/79/77/1091697977.db2.gz SIVXNBURROHBKK-UHFFFAOYSA-N 0 1 311.410 3.286 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c[nH]c3nccc(Br)c23)C1 ZINC001139946652 1091720658 /nfs/dbraw/zinc/72/06/58/1091720658.db2.gz CIGJLBBOWBFIAK-LLVKDONJSA-N 0 1 318.218 3.171 20 30 DGEDMN Cc1ccnc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)c1 ZINC001206853125 1091770039 /nfs/dbraw/zinc/77/00/39/1091770039.db2.gz NMMSGOJXMKSGEM-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](OCc3ccccc3)C2)cc1C#N ZINC001207109602 1091847090 /nfs/dbraw/zinc/84/70/90/1091847090.db2.gz OBUIPDCLISVVLM-LJQANCHMSA-N 0 1 309.413 3.078 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc(Cl)c1C ZINC001085609895 1091851590 /nfs/dbraw/zinc/85/15/90/1091851590.db2.gz CULSIMLFDRMONM-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1conc1C1CCCC1 ZINC001085636876 1091864344 /nfs/dbraw/zinc/86/43/44/1091864344.db2.gz BFBZGQNZKKQYHI-OAHLLOKOSA-N 0 1 317.433 3.055 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccccc1C(C)(C)C ZINC001085661337 1091874264 /nfs/dbraw/zinc/87/42/64/1091874264.db2.gz VHFANORRICWCDF-MRXNPFEDSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN(CCN(C)Cc1cccnc1C)C(=O)OC(C)(C)C ZINC001207204766 1091887071 /nfs/dbraw/zinc/88/70/71/1091887071.db2.gz XHLPORHQOQHOBA-UHFFFAOYSA-N 0 1 319.449 3.245 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(CCCC)cc1 ZINC001085709257 1091890745 /nfs/dbraw/zinc/89/07/45/1091890745.db2.gz CRVJDZVMMOEZKH-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c(C)[nH]c2ccccc21 ZINC001085710329 1091896858 /nfs/dbraw/zinc/89/68/58/1091896858.db2.gz GIBFTJUMXCREKB-HNNXBMFYSA-N 0 1 311.429 3.199 20 30 DGEDMN CN(CCc1cccc(C(F)(F)F)n1)Cc1ccc(C#N)nc1 ZINC001140540327 1091902710 /nfs/dbraw/zinc/90/27/10/1091902710.db2.gz ROGRQAGZHKESHO-UHFFFAOYSA-N 0 1 320.318 3.042 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCN2Cc2ccc(C#N)nc2)cc1 ZINC001140547662 1091906078 /nfs/dbraw/zinc/90/60/78/1091906078.db2.gz ZHIUATMDFJPXJN-GOSISDBHSA-N 0 1 321.380 3.077 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCCC1(F)F ZINC001085741257 1091908356 /nfs/dbraw/zinc/90/83/56/1091908356.db2.gz LAZCLMZPMADJOY-HUUCEWRRSA-N 0 1 314.420 3.311 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)ccc1C1CC1 ZINC001085739945 1091908592 /nfs/dbraw/zinc/90/85/92/1091908592.db2.gz DBSQVQOGMRDABL-KRWDZBQOSA-N 0 1 310.441 3.042 20 30 DGEDMN C=CCC[C@H](CO)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001140626939 1091936392 /nfs/dbraw/zinc/93/63/92/1091936392.db2.gz LEAGKFYGGSLROD-LLVKDONJSA-N 0 1 319.788 3.256 20 30 DGEDMN C=C1CCC(C(=O)N(C)C[C@H]2CCN2Cc2ccccc2)CC1 ZINC001085798099 1091939705 /nfs/dbraw/zinc/93/97/05/1091939705.db2.gz IOEIJJDGFPIZEK-LJQANCHMSA-N 0 1 312.457 3.466 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)c(C)c1 ZINC001085797817 1091940314 /nfs/dbraw/zinc/94/03/14/1091940314.db2.gz AZCFBFBAJOMQPC-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)/C(C)=C/C)C2)C1 ZINC001276533524 1091971574 /nfs/dbraw/zinc/97/15/74/1091971574.db2.gz YDHBIXQMUUOJEB-ZHDLZBQGSA-N 0 1 320.477 3.002 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C(C)(C)C)oc1C ZINC001085845580 1091977173 /nfs/dbraw/zinc/97/71/73/1091977173.db2.gz PEJWOFGCVZUXIF-HNNXBMFYSA-N 0 1 316.445 3.055 20 30 DGEDMN Cn1cnc2ccc(CN3CCc4ccc(C#N)cc4C3)cc21 ZINC001140741123 1091981893 /nfs/dbraw/zinc/98/18/93/1091981893.db2.gz IMYNRBRNVUGBRF-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001085868233 1091988407 /nfs/dbraw/zinc/98/84/07/1091988407.db2.gz JWMGXHFMFFPRFP-YTQUADARSA-N 0 1 312.457 3.207 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1sc(CC)nc1C ZINC001085870717 1091991872 /nfs/dbraw/zinc/99/18/72/1091991872.db2.gz BOYVKVFIACSDFP-CQSZACIVSA-N 0 1 321.490 3.126 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc(CC)c(C)s1 ZINC001085962504 1092051672 /nfs/dbraw/zinc/05/16/72/1092051672.db2.gz IMMOYWMSEMNNHZ-HNNXBMFYSA-N 0 1 306.475 3.341 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C)c2c1CCC2 ZINC001085988903 1092075605 /nfs/dbraw/zinc/07/56/05/1092075605.db2.gz CRNMYFJARFWZMD-INIZCTEOSA-N 0 1 312.457 3.206 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2c(C)cccc2Cl)C1=O ZINC001273383513 1092087012 /nfs/dbraw/zinc/08/70/12/1092087012.db2.gz HIWXSNCLDZRGMN-SFHVURJKSA-N 0 1 318.848 3.401 20 30 DGEDMN N#CC1(c2ccc(C(=O)Nc3ccc(F)c(F)c3O)cc2)CC1 ZINC001299046407 1092146895 /nfs/dbraw/zinc/14/68/95/1092146895.db2.gz HOEFZHJGUDKXJS-UHFFFAOYSA-N 0 1 314.291 3.478 20 30 DGEDMN Cc1ccc(CCCN2CCN(c3ccc(C#N)cc3)CC2)o1 ZINC001207947746 1092153238 /nfs/dbraw/zinc/15/32/38/1092153238.db2.gz JGHPXAPSOIFNOY-UHFFFAOYSA-N 0 1 309.413 3.215 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cccc(F)c2F)CCC1 ZINC001491580903 1092181731 /nfs/dbraw/zinc/18/17/31/1092181731.db2.gz YBGYWKWQPKFCTF-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(C2CCC2)c1 ZINC001491639810 1092194669 /nfs/dbraw/zinc/19/46/69/1092194669.db2.gz REXFZSDEYQUAQX-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(CC[C@H](C)c2ccc(C)o2)CC1 ZINC001208167824 1092235002 /nfs/dbraw/zinc/23/50/02/1092235002.db2.gz KZOGCFBGPAGOIR-AWEZNQCLSA-N 0 1 318.417 3.251 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](C)CCCCCC)C1 ZINC001108291789 1092313735 /nfs/dbraw/zinc/31/37/35/1092313735.db2.gz XELNNNQXAPUZAG-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C2(CC)CCCC2)C1 ZINC001108313311 1092331648 /nfs/dbraw/zinc/33/16/48/1092331648.db2.gz AXMPONHICVEUAS-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@H](CC)CC(C)C)C1 ZINC001108329694 1092336417 /nfs/dbraw/zinc/33/64/17/1092336417.db2.gz UEDPNFSMCGUBQZ-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208393671 1092339292 /nfs/dbraw/zinc/33/92/92/1092339292.db2.gz WNSTTZGHSBYKED-IIAWOOMASA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001108341427 1092340461 /nfs/dbraw/zinc/34/04/61/1092340461.db2.gz ZEGROJUJZXWEMM-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350293 1092350225 /nfs/dbraw/zinc/35/02/25/1092350225.db2.gz WHDSIALIZOOKIL-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350293 1092350237 /nfs/dbraw/zinc/35/02/37/1092350237.db2.gz WHDSIALIZOOKIL-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2c(C)nsc2C)C[C@H]1C ZINC001208452268 1092357841 /nfs/dbraw/zinc/35/78/41/1092357841.db2.gz CRFKXJITGKQPIW-MLGOLLRUSA-N 0 1 321.490 3.053 20 30 DGEDMN C[C@@H]1C[C@@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168799233 1092367692 /nfs/dbraw/zinc/36/76/92/1092367692.db2.gz BWWAEVLTTGYAQD-ZIAGYGMSSA-N 0 1 307.438 3.058 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC[C@@H]1O ZINC001141973067 1092372417 /nfs/dbraw/zinc/37/24/17/1092372417.db2.gz XBCCZDUSIWQDMR-KXBFYZLASA-N 0 1 311.450 3.351 20 30 DGEDMN C[C@H]1C[C@H](NCc2ccccc2C#N)CN1C(=O)OC(C)(C)C ZINC001168810829 1092375660 /nfs/dbraw/zinc/37/56/60/1092375660.db2.gz JEGXSHOYFKYFQX-BBRMVZONSA-N 0 1 315.417 3.046 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C(C)(C)CCCCC)C1 ZINC001108414764 1092442056 /nfs/dbraw/zinc/44/20/56/1092442056.db2.gz SXLJZYUCASZXAN-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](CC)CCCCC)C1 ZINC001108414661 1092442278 /nfs/dbraw/zinc/44/22/78/1092442278.db2.gz QSZSNVJHRJFJBV-PKOBYXMFSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCOC(=O)N1CCN(CCC(C)(C)c2ccccc2)CC1 ZINC001208669155 1092447354 /nfs/dbraw/zinc/44/73/54/1092447354.db2.gz IAMILZCMODPXFA-UHFFFAOYSA-N 0 1 316.445 3.295 20 30 DGEDMN CC[C@@H](C)CCC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001168963025 1092466837 /nfs/dbraw/zinc/46/68/37/1092466837.db2.gz SSLXNYCIOQMCPO-CQSZACIVSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1cc2ccccc2o1 ZINC001492373492 1092487201 /nfs/dbraw/zinc/48/72/01/1092487201.db2.gz QEGFPRHVKZQNMI-UHFFFAOYSA-N 0 1 300.402 3.193 20 30 DGEDMN C[N@@H+](CCOCCOCc1ccccc1)Cc1cccc(C#N)c1 ZINC001208753041 1092491237 /nfs/dbraw/zinc/49/12/37/1092491237.db2.gz BYFOGNDMHJOQHT-UHFFFAOYSA-N 0 1 324.424 3.223 20 30 DGEDMN O=C1c2ccccc2C(=O)C(N=c2ccn3cc[nH]c3c2)=C1Cl ZINC001169047125 1092506666 /nfs/dbraw/zinc/50/66/66/1092506666.db2.gz BSRPDEIEUNMDOI-UHFFFAOYSA-N 0 1 323.739 3.276 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001208818699 1092523489 /nfs/dbraw/zinc/52/34/89/1092523489.db2.gz ZDFLHVOQENAOSU-RCCFBDPRSA-N 0 1 304.478 3.219 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1nocc1C ZINC001316673813 1092529608 /nfs/dbraw/zinc/52/96/08/1092529608.db2.gz CBFZLCKAZONJMT-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN CCOC(=O)c1ccc(CCCN2CC[C@@](C)(C#N)C2)cc1 ZINC001208867738 1092553522 /nfs/dbraw/zinc/55/35/22/1092553522.db2.gz QZNOYWIHPXQDAD-SFHVURJKSA-N 0 1 300.402 3.031 20 30 DGEDMN N#Cc1ccc(CCN2CCc3c([nH]nc3C(F)(F)F)C2)cc1 ZINC001208928771 1092588949 /nfs/dbraw/zinc/58/89/49/1092588949.db2.gz IGZSLWWUTZHUCD-OAHLLOKOSA-N 0 1 320.318 3.457 20 30 DGEDMN C=CCN(CCN1CCc2ccccc2[C@H]1C)C(=O)OCC ZINC001209017033 1092626460 /nfs/dbraw/zinc/62/64/60/1092626460.db2.gz JBEPCSXJEJLNAD-OAHLLOKOSA-N 0 1 302.418 3.250 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC2CCCC2)C(C)C)C1 ZINC001209105800 1092655022 /nfs/dbraw/zinc/65/50/22/1092655022.db2.gz DLAJPUBXHWOYHP-NZSAHSFTSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCCCCN1CC[C@@H](NC(=O)OC(C)(C)C)[C@H](F)C1 ZINC001209200374 1092691284 /nfs/dbraw/zinc/69/12/84/1092691284.db2.gz HCEJXJUVIUOVHG-ZIAGYGMSSA-N 0 1 300.418 3.280 20 30 DGEDMN C=CCCCCN1CC[C@](F)(CNC(=O)OC(C)(C)C)C1 ZINC001209208219 1092697008 /nfs/dbraw/zinc/69/70/08/1092697008.db2.gz NSYCHSZJDVLHSP-INIZCTEOSA-N 0 1 300.418 3.281 20 30 DGEDMN C=CCCCCC[N@H+]1CCc2onc(Cn3ccnc3)c2C1 ZINC001209423327 1092767228 /nfs/dbraw/zinc/76/72/28/1092767228.db2.gz SHTZZCXIWQVKME-UHFFFAOYSA-N 0 1 300.406 3.024 20 30 DGEDMN C=CCCCCCCN1Cc2ccnn2C[C@@H](COCC)C1 ZINC001209437083 1092774853 /nfs/dbraw/zinc/77/48/53/1092774853.db2.gz GGNFUUYPAXXZAX-KRWDZBQOSA-N 0 1 305.466 3.488 20 30 DGEDMN C=CCCCCCCCN1Cc2nnn(CC)c2[C@H](COC)C1 ZINC001209441280 1092775516 /nfs/dbraw/zinc/77/55/16/1092775516.db2.gz JIGZOORVGPEGFF-INIZCTEOSA-N 0 1 320.481 3.370 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001150561685 1092792843 /nfs/dbraw/zinc/79/28/43/1092792843.db2.gz FHFMMYUBAIXRHT-ROUUACIJSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](CCCCC)C(C)C)C1 ZINC001150561686 1092793447 /nfs/dbraw/zinc/79/34/47/1092793447.db2.gz FHFMMYUBAIXRHT-ZWKOTPCHSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cc2cc(F)ccc2s1 ZINC001150759643 1092820016 /nfs/dbraw/zinc/82/00/16/1092820016.db2.gz WDXCANFYPWLDLY-UHFFFAOYSA-N 0 1 312.797 3.112 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C1CCCC1 ZINC001151481635 1092907257 /nfs/dbraw/zinc/90/72/57/1092907257.db2.gz JYISZYHFIHFSMP-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN N#Cc1ccc(Nc2ccc3nc[nH]c3c2)c(Br)n1 ZINC001209842189 1092942154 /nfs/dbraw/zinc/94/21/54/1092942154.db2.gz FRLAZTSEWAFAQW-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1ncc(Br)cc1Nc1ccc2nc[nH]c2c1 ZINC001209843332 1092944435 /nfs/dbraw/zinc/94/44/35/1092944435.db2.gz WJWGCZACYCJIQE-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN Cc1cc(N)ncc1Nc1ccc(Br)cc1C#N ZINC001209966858 1092998572 /nfs/dbraw/zinc/99/85/72/1092998572.db2.gz GTODLFWMQODUMC-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN COc1cccc(Nc2cccc(N3CCN(C)CC3)c2)c1C#N ZINC001210123969 1093050722 /nfs/dbraw/zinc/05/07/22/1093050722.db2.gz DJRHLLWLZFGLGE-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN C=CCOC(=O)c1ccccc1Nc1ccc(O)c([N+](=O)[O-])c1 ZINC001210397831 1093153743 /nfs/dbraw/zinc/15/37/43/1093153743.db2.gz JXFKWWXKUPQXJZ-UHFFFAOYSA-N 0 1 314.297 3.387 20 30 DGEDMN C[C@@H](O)c1cccc(Nc2sc3c(c2C#N)CCN(C)C3)c1 ZINC001210503065 1093191497 /nfs/dbraw/zinc/19/14/97/1093191497.db2.gz IUJHHDASROXGFW-LLVKDONJSA-N 0 1 313.426 3.405 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H](CC)C(C)C)C1 ZINC001210737664 1093265767 /nfs/dbraw/zinc/26/57/67/1093265767.db2.gz XKIJRGIAFGIGSL-GJZGRUSLSA-N 0 1 300.874 3.392 20 30 DGEDMN CN1CCN(c2ccc(F)cc2Nc2ccccc2C#N)CC1 ZINC001210739452 1093266019 /nfs/dbraw/zinc/26/60/19/1093266019.db2.gz SELAYVIQRBOSLP-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H](CC)C(C)C)C1 ZINC001210737665 1093266352 /nfs/dbraw/zinc/26/63/52/1093266352.db2.gz XKIJRGIAFGIGSL-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#Cc1cncc(Nc2cc(F)ccc2N2CCN(C)CC2)c1 ZINC001211058625 1093368412 /nfs/dbraw/zinc/36/84/12/1093368412.db2.gz BZONMDJXVJICFT-UHFFFAOYSA-N 0 1 324.403 3.088 20 30 DGEDMN CCOc1ccc(Nc2cc(C#N)c(F)cc2O)c(OCC)n1 ZINC001211476775 1093504521 /nfs/dbraw/zinc/50/45/21/1093504521.db2.gz YCDZIYUITWZJCC-UHFFFAOYSA-N 0 1 317.320 3.339 20 30 DGEDMN C=C[C@@H](C(=O)N[C@@H](CNCC(=C)Cl)C1CC1)c1ccccc1 ZINC001276712317 1093792042 /nfs/dbraw/zinc/79/20/42/1093792042.db2.gz SYUVJJJLOVYTRN-SJORKVTESA-N 0 1 318.848 3.193 20 30 DGEDMN C=C[C@H](C(=O)N[C@@H](CNCC(=C)Cl)C1CC1)c1ccccc1 ZINC001276712316 1093792256 /nfs/dbraw/zinc/79/22/56/1093792256.db2.gz SYUVJJJLOVYTRN-IRXDYDNUSA-N 0 1 318.848 3.193 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2cc(C#N)ccc2F)CC1 ZINC001214598301 1093811534 /nfs/dbraw/zinc/81/15/34/1093811534.db2.gz IGXXPHNRQHITOE-UHFFFAOYSA-N 0 1 324.403 3.188 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001214741408 1093844649 /nfs/dbraw/zinc/84/46/49/1093844649.db2.gz JTCPBDVWTIQEAT-URFGDBDFSA-N 0 1 305.249 3.098 20 30 DGEDMN COCOc1c(C)cccc1Nc1cc(C#N)c(F)cc1O ZINC001214855123 1093865118 /nfs/dbraw/zinc/86/51/18/1093865118.db2.gz MXKWPFZQLGKOIB-UHFFFAOYSA-N 0 1 302.305 3.438 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)N2CCN(C)C(C)(C)C2)c1 ZINC001215117194 1093921510 /nfs/dbraw/zinc/92/15/10/1093921510.db2.gz CPWIVBQTRBXWJH-UHFFFAOYSA-N 0 1 317.433 3.199 20 30 DGEDMN COC(=O)c1ccc(Nc2ccc(C)c3[nH]ncc32)c(C#N)c1 ZINC001215760403 1094051015 /nfs/dbraw/zinc/05/10/15/1094051015.db2.gz NGGSZSRYLFQCKG-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN Cc1ccc(Nc2ccccc2N2CCN(C)CC2)cc1C#N ZINC001216075676 1094117484 /nfs/dbraw/zinc/11/74/84/1094117484.db2.gz AUFPPVGWMOPARZ-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CCc1cc(Nc2ccc(N3CCN(C)CC3)cn2)ccc1C#N ZINC001216313530 1094172420 /nfs/dbraw/zinc/17/24/20/1094172420.db2.gz MOACHOIAXSYJRP-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN COc1ccc(F)cc1-c1noc(-c2ccc(O)c(C#N)c2)n1 ZINC001216969997 1094285998 /nfs/dbraw/zinc/28/59/98/1094285998.db2.gz WPMJZJJERMOLHR-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135330696 1094492315 /nfs/dbraw/zinc/49/23/15/1094492315.db2.gz UUWIIAQCIVENSZ-QWHCGFSZSA-N 0 1 309.479 3.181 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCCCC(C)(C)C)[C@H]2C1 ZINC001217965331 1094532794 /nfs/dbraw/zinc/53/27/94/1094532794.db2.gz CIUNHYFFADLWRN-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1csc(C)n1 ZINC001135565608 1094579256 /nfs/dbraw/zinc/57/92/56/1094579256.db2.gz YDSYYNMBXDCHIS-QWHCGFSZSA-N 0 1 323.506 3.427 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1c2ccccc2c(O)c2ccccc21 ZINC001218199564 1094655008 /nfs/dbraw/zinc/65/50/08/1094655008.db2.gz FZLHZWUAXBGURX-SJCJKPOMSA-N 0 1 304.349 3.189 20 30 DGEDMN CC(C)(C)c1ccc(O[C@@H]2CNC[C@H]2C#N)c(Br)c1 ZINC001218200494 1094655175 /nfs/dbraw/zinc/65/51/75/1094655175.db2.gz MJJJCJBFKQHIOU-QMTHXVAHSA-N 0 1 323.234 3.237 20 30 DGEDMN Cc1cc(C)c2ccc3cccc(O[C@H]4CNC[C@@H]4C#N)c3c2n1 ZINC001218200263 1094655405 /nfs/dbraw/zinc/65/54/05/1094655405.db2.gz FPNYJZSLPBSJPD-YJBOKZPZSA-N 0 1 317.392 3.495 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1cccc2cc(Br)ccc21 ZINC001218202389 1094656752 /nfs/dbraw/zinc/65/67/52/1094656752.db2.gz LAMIWWKOUVHDQX-XHDPSFHLSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc2cc(Br)ccc2c1 ZINC001218201765 1094657257 /nfs/dbraw/zinc/65/72/57/1094657257.db2.gz VCZYQSPFZGCLHT-DOMZBBRYSA-N 0 1 317.186 3.093 20 30 DGEDMN CC(C)(C)c1cc(Br)ccc1O[C@H]1CNC[C@H]1C#N ZINC001218203928 1094658474 /nfs/dbraw/zinc/65/84/74/1094658474.db2.gz TWSCOINKXGNCGP-YGRLFVJLSA-N 0 1 323.234 3.237 20 30 DGEDMN Cc1cc(Cc2ccc(O[C@@H]3CNC[C@H]3C#N)c(C)c2)ccc1O ZINC001218204827 1094658585 /nfs/dbraw/zinc/65/85/85/1094658585.db2.gz WWISHNKVHIIAPS-YLJYHZDGSA-N 0 1 322.408 3.090 20 30 DGEDMN Cc1cc(C#N)ccc1N1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC001336571030 1094672744 /nfs/dbraw/zinc/67/27/44/1094672744.db2.gz WEHVKLNISRSIFP-HNNXBMFYSA-N 0 1 307.401 3.246 20 30 DGEDMN Cc1cc(C#N)ccc1N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC001336571030 1094672750 /nfs/dbraw/zinc/67/27/50/1094672750.db2.gz WEHVKLNISRSIFP-HNNXBMFYSA-N 0 1 307.401 3.246 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@H](CCC)C1 ZINC001121509346 1094701723 /nfs/dbraw/zinc/70/17/23/1094701723.db2.gz HFUMVCMOOHDKMM-CQSZACIVSA-N 0 1 314.437 3.179 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001135843098 1094707777 /nfs/dbraw/zinc/70/77/77/1094707777.db2.gz KXVVVHCQZZCLLG-GOSISDBHSA-N 0 1 319.424 3.120 20 30 DGEDMN CCCCCCC(=O)c1ccc(O[C@H]2CNC[C@@H]2C#N)cc1 ZINC001218409472 1094760946 /nfs/dbraw/zinc/76/09/46/1094760946.db2.gz SRVIGPKMVUQMCR-YJBOKZPZSA-N 0 1 300.402 3.330 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc2n1CCN[C@H]2C ZINC001122136954 1094910184 /nfs/dbraw/zinc/91/01/84/1094910184.db2.gz RWLBRCDMODNGLP-ZNMIVQPWSA-N 0 1 301.434 3.017 20 30 DGEDMN N#Cc1ccc(NC(=O)[C@H]2CCC[C@@H](c3ccccc3)[NH2+]2)c([O-])c1 ZINC001218992435 1094920544 /nfs/dbraw/zinc/92/05/44/1094920544.db2.gz LLKAPDYVMGLSNJ-DOTOQJQBSA-N 0 1 321.380 3.086 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(C2CCCC2)CC1 ZINC001136177169 1094925686 /nfs/dbraw/zinc/92/56/86/1094925686.db2.gz AIBBGOOYTHQCDU-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@H](F)CC ZINC001122448944 1094978337 /nfs/dbraw/zinc/97/83/37/1094978337.db2.gz QLFWRPPOWNOTBU-ZIAGYGMSSA-N 0 1 304.365 3.207 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001111892271 1095004902 /nfs/dbraw/zinc/00/49/02/1095004902.db2.gz PXWPFLBORIGRJV-BJLQDIEVSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)N[C@@H]2CNCc3ccsc32)cc1 ZINC001219829084 1095023676 /nfs/dbraw/zinc/02/36/76/1095023676.db2.gz MAJJIBPGBNEZAY-MRXNPFEDSA-N 0 1 312.438 3.441 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1c1c(F)cccc1F)c1cccc(F)n1 ZINC001122713614 1095041669 /nfs/dbraw/zinc/04/16/69/1095041669.db2.gz BWZDLRZJOLNARA-MXWKQRLJSA-N 0 1 316.282 3.479 20 30 DGEDMN Cc1noc(-c2ccccc2C(=O)[C@@H](C#N)c2cccc(F)n2)n1 ZINC001122720778 1095046704 /nfs/dbraw/zinc/04/67/04/1095046704.db2.gz FRYFTSILTPZHPL-ZDUSSCGKSA-N 0 1 322.299 3.069 20 30 DGEDMN Cc1noc(-c2ccccc2C(=O)C(C#N)c2cccc(F)n2)n1 ZINC001122720778 1095046720 /nfs/dbraw/zinc/04/67/20/1095046720.db2.gz FRYFTSILTPZHPL-ZDUSSCGKSA-N 0 1 322.299 3.069 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2cc(C)c3ncccc3c2)cc1 ZINC001170984079 1095076274 /nfs/dbraw/zinc/07/62/74/1095076274.db2.gz KKAQVWVGFKSNRC-UHFFFAOYSA-N 0 1 319.364 3.367 20 30 DGEDMN COC(=O)c1ccc(C(=N)Nc2ccc(OC(C)C)cc2)cc1 ZINC001170985257 1095079490 /nfs/dbraw/zinc/07/94/90/1095079490.db2.gz OXLFSAKVCRVHJV-UHFFFAOYSA-N 0 1 312.369 3.297 20 30 DGEDMN N#CC(C(=O)c1cnn(C2CCC2)c1)c1cnc2ccccc2n1 ZINC001122897901 1095095331 /nfs/dbraw/zinc/09/53/31/1095095331.db2.gz OHPAZOBOVOIFOL-CQSZACIVSA-N 0 1 317.352 3.041 20 30 DGEDMN N#C[C@@H](C(=O)c1cnn(C2CCC2)c1)c1cnc2ccccc2n1 ZINC001122897901 1095095342 /nfs/dbraw/zinc/09/53/42/1095095342.db2.gz OHPAZOBOVOIFOL-CQSZACIVSA-N 0 1 317.352 3.041 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2c(C#N)cnc3c(C)cc(F)cc32)[nH]n1 ZINC001337901773 1095134212 /nfs/dbraw/zinc/13/42/12/1095134212.db2.gz HZVRVMCTAVIJNR-LLVKDONJSA-N 0 1 323.375 3.051 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCCCCCCC ZINC001115161583 1095142716 /nfs/dbraw/zinc/14/27/16/1095142716.db2.gz IFZXFOJJLSQQDD-DZFIZOCASA-N 0 1 304.478 3.197 20 30 DGEDMN CCCCCCCCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001220841180 1095163171 /nfs/dbraw/zinc/16/31/71/1095163171.db2.gz MKQVIOOZUXWHHZ-MSOLQXFVSA-N 0 1 324.509 3.255 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c[nH]c2cccc(C)c12 ZINC001123236908 1095196789 /nfs/dbraw/zinc/19/67/89/1095196789.db2.gz IDYUUWZCIIDMRQ-CQSZACIVSA-N 0 1 306.369 3.112 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC001123250208 1095203558 /nfs/dbraw/zinc/20/35/58/1095203558.db2.gz LIKDKMYLCLTDPQ-NSHDSACASA-N 0 1 311.834 3.146 20 30 DGEDMN N#Cc1cccc2c1CC[C@H]2Nc1cc(O[C@@H]2CCOC2)ccn1 ZINC001171068474 1095244415 /nfs/dbraw/zinc/24/44/15/1095244415.db2.gz MPIDKEOQVMTBOZ-CRAIPNDOSA-N 0 1 321.380 3.220 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCCC(C)(C)C)[C@@H]2C1 ZINC001221569105 1095300538 /nfs/dbraw/zinc/30/05/38/1095300538.db2.gz AYNVJWRKSCXPNQ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cnc(C)o2)CCCCC1 ZINC001115701606 1095341052 /nfs/dbraw/zinc/34/10/52/1095341052.db2.gz WZPDJVFKTKJJPR-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@H]2CCN(CCC(F)(F)F)C[C@H]21 ZINC001221761084 1095347544 /nfs/dbraw/zinc/34/75/44/1095347544.db2.gz YWBWFICNWFNGEH-BFHYXJOUSA-N 0 1 318.383 3.074 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](C)CCCCC)[C@@H]2C1 ZINC001221854780 1095374987 /nfs/dbraw/zinc/37/49/87/1095374987.db2.gz FSQYLYKEKVNBQR-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCC3CCCCC3)[C@@H]2C1 ZINC001221996560 1095406616 /nfs/dbraw/zinc/40/66/16/1095406616.db2.gz FLNHXGQTSATCMC-QZTJIDSGSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C(C)(C)C)C1 ZINC001338894518 1095427754 /nfs/dbraw/zinc/42/77/54/1095427754.db2.gz JNTPZRWNLQIJSQ-CYBMUJFWSA-N 0 1 314.437 3.035 20 30 DGEDMN N#Cc1cncc(CN2CCC(n3ncc4ccccc43)CC2)c1 ZINC001144079503 1095503654 /nfs/dbraw/zinc/50/36/54/1095503654.db2.gz OOZYKGUNHBZGFE-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN N#Cc1nc(-c2cccc(OC(F)(F)F)c2)cc2[nH]cnc21 ZINC001222312681 1095545784 /nfs/dbraw/zinc/54/57/84/1095545784.db2.gz ZFXZXDAQNSNOJP-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCN2Cc2ccc(C#N)cn2)cc1 ZINC001144169779 1095547571 /nfs/dbraw/zinc/54/75/71/1095547571.db2.gz WOMGEJVLTHYHFK-GOSISDBHSA-N 0 1 321.380 3.077 20 30 DGEDMN N#C[C@H]1CCCCN1Cc1cc(Br)c(F)cc1O ZINC001144306865 1095600618 /nfs/dbraw/zinc/60/06/18/1095600618.db2.gz UUJJCFBNQTXSAH-SNVBAGLBSA-N 0 1 313.170 3.172 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2cc(C(F)(F)F)cnc2C#N)[nH]n1 ZINC001339472942 1095635666 /nfs/dbraw/zinc/63/56/66/1095635666.db2.gz XGRXRUYSQHFQFG-QMMMGPOBSA-N 0 1 309.295 3.047 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)Nc1ccc(N2CCN(C)CC2)c(C)c1 ZINC001116735228 1095645116 /nfs/dbraw/zinc/64/51/16/1095645116.db2.gz QFICJRXEHVNXDU-IBGZPJMESA-N 0 1 315.461 3.288 20 30 DGEDMN CCCN1CCCC[C@H]1C(=O)OCc1ccc(C#N)c(C)c1 ZINC001116818044 1095669508 /nfs/dbraw/zinc/66/95/08/1095669508.db2.gz KLVJRODDUISTDD-KRWDZBQOSA-N 0 1 300.402 3.174 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(Cc1cccc(C)c1F)C2 ZINC001137676139 1095708600 /nfs/dbraw/zinc/70/86/00/1095708600.db2.gz KUFJGLRWGCXRNN-IEBWSBKVSA-N 0 1 319.420 3.460 20 30 DGEDMN O=C(CCC1CC1)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001222668113 1095714978 /nfs/dbraw/zinc/71/49/78/1095714978.db2.gz ODCZKKPRCLOUMG-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C#Cc1ccc(CN2CCN(c3ccc(F)c(F)c3)CC2)cc1 ZINC001144567634 1095719256 /nfs/dbraw/zinc/71/92/56/1095719256.db2.gz WBZKBPDKRYTTPM-UHFFFAOYSA-N 0 1 312.363 3.268 20 30 DGEDMN C#Cc1ccc(CN2CCN(Cc3ccc(C)cc3)CC2)cc1 ZINC001144573734 1095722504 /nfs/dbraw/zinc/72/25/04/1095722504.db2.gz PXVPJOHYPQVPTH-UHFFFAOYSA-N 0 1 304.437 3.294 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3cccc4ncccc43)o2)CC1 ZINC001117216304 1095733351 /nfs/dbraw/zinc/73/33/51/1095733351.db2.gz YBHZERFVNOFGNY-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN Cc1cccc(N2CCN([C@@H](C)Cc3cccc(C#N)c3)CC2)n1 ZINC001171202269 1095745528 /nfs/dbraw/zinc/74/55/28/1095745528.db2.gz AOIKKPHRTVFHJV-KRWDZBQOSA-N 0 1 320.440 3.015 20 30 DGEDMN Cc1cc(CN2CCN(CCC#N)CC2)c(C)n1-c1ccccc1 ZINC001137858024 1095769197 /nfs/dbraw/zinc/76/91/97/1095769197.db2.gz TVNBLKZNXGWARC-UHFFFAOYSA-N 0 1 322.456 3.125 20 30 DGEDMN CN(c1ccc(C#N)cc1)c1ccc(CN2CC(C)(CO)C2)cc1 ZINC001137899973 1095784451 /nfs/dbraw/zinc/78/44/51/1095784451.db2.gz MESSNTKLDNKNOI-UHFFFAOYSA-N 0 1 321.424 3.140 20 30 DGEDMN C=CCC[C@@H]1CCCN1c1nnc(-c2csc(=O)[nH]2)n1CC ZINC001340242107 1095915878 /nfs/dbraw/zinc/91/58/78/1095915878.db2.gz GTDGBQFUPYDFBE-LLVKDONJSA-N 0 1 319.434 3.062 20 30 DGEDMN C=C[C@H](C)O[C@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223398383 1095958413 /nfs/dbraw/zinc/95/84/13/1095958413.db2.gz BJTKHMJVCRWDDH-RTHLEPHNSA-N 0 1 312.728 3.259 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CC[N@H+](C[C@H](F)CC)[C@H]2C1 ZINC001223586803 1096008151 /nfs/dbraw/zinc/00/81/51/1096008151.db2.gz INGAIVNACSPZJN-OAGGEKHMSA-N 0 1 310.457 3.260 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CCN(C[C@H](F)CC)[C@H]2C1 ZINC001223586803 1096008160 /nfs/dbraw/zinc/00/81/60/1096008160.db2.gz INGAIVNACSPZJN-OAGGEKHMSA-N 0 1 310.457 3.260 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](O[C@@H](C#N)c2ccccc2)C1 ZINC001223710699 1096040138 /nfs/dbraw/zinc/04/01/38/1096040138.db2.gz GWHHUAJFKKEENW-SUMDDJOVSA-N 0 1 307.397 3.498 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](O[C@@H](C#N)c2ccccc2)C1 ZINC001223710699 1096040151 /nfs/dbraw/zinc/04/01/51/1096040151.db2.gz GWHHUAJFKKEENW-SUMDDJOVSA-N 0 1 307.397 3.498 20 30 DGEDMN N#C[C@@H](O[C@H]1COCC[N@@H+](Cc2ccccc2)C1)c1ccccc1 ZINC001223710590 1096040469 /nfs/dbraw/zinc/04/04/69/1096040469.db2.gz CMJKAZPANQEGDS-WOJBJXKFSA-N 0 1 322.408 3.169 20 30 DGEDMN N#C[C@@H](O[C@H]1COCCN(Cc2ccccc2)C1)c1ccccc1 ZINC001223710590 1096040477 /nfs/dbraw/zinc/04/04/77/1096040477.db2.gz CMJKAZPANQEGDS-WOJBJXKFSA-N 0 1 322.408 3.169 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc2occc2s1 ZINC001276923614 1096073956 /nfs/dbraw/zinc/07/39/56/1096073956.db2.gz KZVPPXPJNPCZMX-LBPRGKRZSA-N 0 1 304.415 3.265 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@H](C)C#C[Si](C)(C)C)c1 ZINC001223891702 1096086786 /nfs/dbraw/zinc/08/67/86/1096086786.db2.gz QSGBWWDMYNKCOB-WGKGOADCSA-N 0 1 321.449 3.126 20 30 DGEDMN CCCC(=O)[C@@H](CCC)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223893666 1096088634 /nfs/dbraw/zinc/08/86/34/1096088634.db2.gz YIVKCFNIZXFYNJ-OTZFSBHDSA-N 0 1 323.389 3.394 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)CCC(C)(C)C)C[C@@H]21 ZINC001223922659 1096096222 /nfs/dbraw/zinc/09/62/22/1096096222.db2.gz JEJSWBASZHKPGZ-BBWFWOEESA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2ccon2)CCCC1 ZINC001146822105 1096110821 /nfs/dbraw/zinc/11/08/21/1096110821.db2.gz PWYKNDFDNVKOKT-HUUCEWRRSA-N 0 1 319.449 3.184 20 30 DGEDMN CN(C)c1ccc(C2CCN(Cc3cncc(C#N)c3)CC2)cc1 ZINC001341138240 1096122802 /nfs/dbraw/zinc/12/28/02/1096122802.db2.gz LXOFRADTDUTFNT-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN C=CCCCCCN(C)c1nnc(-c2[nH]cnc2C)n1CC ZINC001341178467 1096129792 /nfs/dbraw/zinc/12/97/92/1096129792.db2.gz HGTYGOHFCGMGPP-UHFFFAOYSA-N 0 1 302.426 3.179 20 30 DGEDMN CCc1ccc(-c2noc(COC3=C(C)O[C@H](C)C3=O)n2)cc1 ZINC001224230050 1096166943 /nfs/dbraw/zinc/16/69/43/1096166943.db2.gz CIJNZFRTAQNONQ-SNVBAGLBSA-N 0 1 314.341 3.035 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3ccncc3C#N)C2)[nH]n1 ZINC001119324664 1096167856 /nfs/dbraw/zinc/16/78/56/1096167856.db2.gz ZKLNOZLLVXXZRB-OAHLLOKOSA-N 0 1 309.417 3.179 20 30 DGEDMN Cc1ncsc1CN1C[C@H]2C[C@@H](C1)N(c1ccccc1C#N)C2 ZINC001276954260 1096168040 /nfs/dbraw/zinc/16/80/40/1096168040.db2.gz ZVOSMXRWRXAUIX-ZBFHGGJFSA-N 0 1 324.453 3.034 20 30 DGEDMN C=CCCC[C@H](COc1ccccc1)NCc1nnc(C)n1C ZINC001119439197 1096198628 /nfs/dbraw/zinc/19/86/28/1096198628.db2.gz RRKWFMRBNDQNLJ-MRXNPFEDSA-N 0 1 314.433 3.017 20 30 DGEDMN Cc1cc(Br)c(/C=C2/C(=O)c3ncnn3C2C)s1 ZINC001119554822 1096225205 /nfs/dbraw/zinc/22/52/05/1096225205.db2.gz FCNAFDZDAFSSCD-PUSOHNNWSA-N 0 1 324.203 3.251 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1oc2ccccc2c1Cl ZINC001147624085 1096247891 /nfs/dbraw/zinc/24/78/91/1096247891.db2.gz YKZIZABMYLLNLM-UHFFFAOYSA-N 0 1 313.184 3.158 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1cccc(C2CCCC2)c1 ZINC001147842303 1096280881 /nfs/dbraw/zinc/28/08/81/1096280881.db2.gz QRFNDOBMJYLDRI-UHFFFAOYSA-N 0 1 306.837 3.416 20 30 DGEDMN C=CCCC1(C(=O)N2CC3(C2)CCN(C[C@H](F)CC)C3)CC1 ZINC001148263020 1096338086 /nfs/dbraw/zinc/33/80/86/1096338086.db2.gz UCSOKSGVONWCHW-OAHLLOKOSA-N 0 1 308.441 3.015 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC001148516157 1096380949 /nfs/dbraw/zinc/38/09/49/1096380949.db2.gz DLIHGJXZMTZYPP-UHFFFAOYSA-N 0 1 319.748 3.407 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCC[N@@H+]2C[C@H](C)C(F)(F)F ZINC001273446602 1096482933 /nfs/dbraw/zinc/48/29/33/1096482933.db2.gz QLFAXJMISSUWGV-DZGCQCFKSA-N 0 1 318.383 3.218 20 30 DGEDMN CCC(=O)N1CC2(C[C@H]1C)CCN(CC#Cc1ccccc1)CC2 ZINC001086894374 1096493918 /nfs/dbraw/zinc/49/39/18/1096493918.db2.gz KXZSCLJLEIKGTG-GOSISDBHSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(C(=O)OC(C)C)cc1 ZINC001226072030 1096603648 /nfs/dbraw/zinc/60/36/48/1096603648.db2.gz FBVMGZLNEOKQMY-AWEZNQCLSA-N 0 1 304.342 3.240 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001110130784 1096604249 /nfs/dbraw/zinc/60/42/49/1096604249.db2.gz DMERUZDNTKEAJG-FYQPLNBISA-N 0 1 322.452 3.091 20 30 DGEDMN N#Cc1ccccc1C[N@H+]1CC[C@@H](Oc2ccc3cnoc3c2)C1 ZINC001226449266 1096692446 /nfs/dbraw/zinc/69/24/46/1096692446.db2.gz PDMJOKAMZUHAIT-GOSISDBHSA-N 0 1 319.364 3.353 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3cnoc3c2)C1 ZINC001226449266 1096692453 /nfs/dbraw/zinc/69/24/53/1096692453.db2.gz PDMJOKAMZUHAIT-GOSISDBHSA-N 0 1 319.364 3.353 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1nc(C)cs1 ZINC001226532685 1096715066 /nfs/dbraw/zinc/71/50/66/1096715066.db2.gz IQCDSOUVVMOCPR-HUUCEWRRSA-N 0 1 321.490 3.325 20 30 DGEDMN N#Cc1cncc(O[C@H]2CC[N@H+](Cc3ccc(Cl)cc3)C2)c1 ZINC001226686047 1096751365 /nfs/dbraw/zinc/75/13/65/1096751365.db2.gz LJUWJAYBUHTSGM-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN N#Cc1cncc(O[C@H]2CCN(Cc3ccc(Cl)cc3)C2)c1 ZINC001226686047 1096751369 /nfs/dbraw/zinc/75/13/69/1096751369.db2.gz LJUWJAYBUHTSGM-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN CCC[C@@H](C#Cc1ccccc1)Oc1nc2[nH]cnc2c(=S)[nH]1 ZINC001226781994 1096775761 /nfs/dbraw/zinc/77/57/61/1096775761.db2.gz OKQJCSLNZVWFKK-ZDUSSCGKSA-N 0 1 324.409 3.241 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)c3ccc(C)o3)CCC[C@@H]12 ZINC001094358557 1096804539 /nfs/dbraw/zinc/80/45/39/1096804539.db2.gz ZVYBYASJNAMEKB-NVXWUHKLSA-N 0 1 322.836 3.315 20 30 DGEDMN C=CCCCCCCCCN1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001277171127 1096833050 /nfs/dbraw/zinc/83/30/50/1096833050.db2.gz VUFHUBCHQTVGOA-UHFFFAOYSA-N 0 1 318.465 3.344 20 30 DGEDMN C=C[C@@]1(C)CC[C@@H](C(C)(C)Oc2nc(=O)[nH]c(C)c2Cl)O1 ZINC001227111006 1096871117 /nfs/dbraw/zinc/87/11/17/1096871117.db2.gz GXPMOBKGKBTZQE-BONVTDFDSA-N 0 1 312.797 3.425 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](Oc2nc(=O)[nH]c(C)c2Cl)C(C)(C)O1 ZINC001227111762 1096871284 /nfs/dbraw/zinc/87/12/84/1096871284.db2.gz QNGBODRYIIPIHS-BONVTDFDSA-N 0 1 312.797 3.425 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC001227220375 1096898825 /nfs/dbraw/zinc/89/88/25/1096898825.db2.gz KKWGPTWGVROPMM-HNNXBMFYSA-N 0 1 316.420 3.127 20 30 DGEDMN COc1ccc(C(=O)c2ccccc2O)c(O[C@@H](C)CS)c1 ZINC001227409321 1096926791 /nfs/dbraw/zinc/92/67/91/1096926791.db2.gz CRALEUZOSKYFJF-NSHDSACASA-N 0 1 318.394 3.329 20 30 DGEDMN C#C[C@H](CC)Oc1cc(OC)ccc1C(=O)c1ccccc1O ZINC001227407793 1096926833 /nfs/dbraw/zinc/92/68/33/1096926833.db2.gz QPHYNJREOMMTCN-CYBMUJFWSA-N 0 1 310.349 3.422 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(=O)O[C@H](C)CC)cc1 ZINC001227412976 1096927223 /nfs/dbraw/zinc/92/72/23/1096927223.db2.gz INKJBTGABKUNGA-GHMZBOCLSA-N 0 1 304.342 3.240 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCN(Cc2cncc(C)c2)CC1 ZINC001227536646 1096947047 /nfs/dbraw/zinc/94/70/47/1096947047.db2.gz VYGSQORDNHHWDA-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCCCCCCN1CC[C@H]2C[C@]21C(=O)NCC(F)F ZINC001277230860 1096967183 /nfs/dbraw/zinc/96/71/83/1096967183.db2.gz NAYJTRLROUKSNM-XJKSGUPXSA-N 0 1 302.409 3.193 20 30 DGEDMN COCc1ccc(O[C@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001227674665 1096968471 /nfs/dbraw/zinc/96/84/71/1096968471.db2.gz KMBSVPIKNMQEDV-FQEVSTJZSA-N 0 1 322.408 3.358 20 30 DGEDMN CCCCCCCCCC(=O)NCCCNCc1ccon1 ZINC001171865113 1096994510 /nfs/dbraw/zinc/99/45/10/1096994510.db2.gz SKZGDCYNMDZBNQ-UHFFFAOYSA-N 0 1 309.454 3.411 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(O)cc1Br ZINC001228207404 1097073722 /nfs/dbraw/zinc/07/37/22/1097073722.db2.gz XZUGIFPPIHQPGP-JTQLQIEISA-N 0 1 313.147 3.143 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC001228122870 1097055243 /nfs/dbraw/zinc/05/52/43/1097055243.db2.gz IBGDWSBWXAWDKH-USXIJHARSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228129369 1097057582 /nfs/dbraw/zinc/05/75/82/1097057582.db2.gz BWXYPFGXSMFMKB-FVQBIDKESA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(Cl)cc([N+](=O)[O-])cc1Cl ZINC001228199110 1097071794 /nfs/dbraw/zinc/07/17/94/1097071794.db2.gz VLVMFLWLMSMEPC-YFKPBYRVSA-N 0 1 318.112 3.500 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccccc1OCC(F)(F)F ZINC001228268484 1097085601 /nfs/dbraw/zinc/08/56/01/1097085601.db2.gz UKQCMDQBYLETDA-MRVPVSSYSA-N 0 1 302.248 3.226 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)NC1(c2cccc(F)c2)COC1 ZINC001172302962 1097095247 /nfs/dbraw/zinc/09/52/47/1097095247.db2.gz ULWTUGIQLCOBKM-AWEZNQCLSA-N 0 1 310.372 3.144 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228413810 1097116915 /nfs/dbraw/zinc/11/69/15/1097116915.db2.gz RUHJSNIAAYNGEG-BFYDXBDKSA-N 0 1 321.490 3.262 20 30 DGEDMN CCCCCCC[C@@H](CC)[NH2+][C@@H](CCC(=O)[O-])C(=O)OC ZINC001172347059 1097120683 /nfs/dbraw/zinc/12/06/83/1097120683.db2.gz QHRIXAHTNHMKJP-KGLIPLIRSA-N 0 1 301.427 3.122 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc2ccccc2c1CN(C)C ZINC001228440308 1097121702 /nfs/dbraw/zinc/12/17/02/1097121702.db2.gz BZBVEQNQSFXXSH-GFCCVEGCSA-N 0 1 311.381 3.500 20 30 DGEDMN CCCCCCC[C@@H](CC)N1CCc2c(nnn2C)[C@@H]1COC ZINC001172353633 1097123238 /nfs/dbraw/zinc/12/32/38/1097123238.db2.gz AGFQQTAHACKJBD-WBVHZDCISA-N 0 1 322.497 3.500 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](C(C)(C)Oc2nc(Cl)nc3nc[nH]c32)O1 ZINC001228510730 1097133745 /nfs/dbraw/zinc/13/37/45/1097133745.db2.gz WTPRLRNDTPMHHL-BJOHPYRUSA-N 0 1 322.796 3.287 20 30 DGEDMN C#C[C@H](COc1cccc(Cl)c1)Oc1ccc2[nH]nnc2c1 ZINC001228662437 1097161110 /nfs/dbraw/zinc/16/11/10/1097161110.db2.gz HNERGFXVDWCMPV-GFCCVEGCSA-N 0 1 313.744 3.071 20 30 DGEDMN C[C@@H](CCCC#N)N1CC[C@@H](NC(=O)OC(C)(C)C)[C@H](F)C1 ZINC001172491968 1097167796 /nfs/dbraw/zinc/16/77/96/1097167796.db2.gz INSGJMGVIGWAIA-BFHYXJOUSA-N 0 1 313.417 3.006 20 30 DGEDMN C[C@@H](CCCC#N)N1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001172494991 1097168774 /nfs/dbraw/zinc/16/87/74/1097168774.db2.gz AOERXTIBJLMLFU-SOUVJXGZSA-N 0 1 307.438 3.152 20 30 DGEDMN C[C@H](CCCC#N)N1CCCn2cnc(Cn3cccc3)c2C1 ZINC001172497684 1097170753 /nfs/dbraw/zinc/17/07/53/1097170753.db2.gz FKVXJSBLZBHQDC-MRXNPFEDSA-N 0 1 311.433 3.021 20 30 DGEDMN CC(C)COC[C@H]1CN([C@H](C)CCCC#N)Cc2ncn(C)c21 ZINC001172496917 1097170904 /nfs/dbraw/zinc/17/09/04/1097170904.db2.gz UHBDSLFNDYCKBO-HZPDHXFCSA-N 0 1 318.465 3.074 20 30 DGEDMN C[C@H](CCCC#N)N1CCC[C@H]2[C@@H]1CN2C(=O)OC(C)(C)C ZINC001172497057 1097171339 /nfs/dbraw/zinc/17/13/39/1097171339.db2.gz XDIQXJLCMIQAET-ILXRZTDVSA-N 0 1 307.438 3.152 20 30 DGEDMN C[C@H](CCCC#N)N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC001172498994 1097172311 /nfs/dbraw/zinc/17/23/11/1097172311.db2.gz UAJRZPOMTHQVNO-CQSZACIVSA-N 0 1 319.836 3.180 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cccc(C#N)c1Br ZINC001229009051 1097235971 /nfs/dbraw/zinc/23/59/71/1097235971.db2.gz ZWCGVQZMELOVKE-SNVBAGLBSA-N 0 1 322.158 3.309 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3cc(C#N)ccc3F)sc2C1 ZINC001214592815 1097260425 /nfs/dbraw/zinc/26/04/25/1097260425.db2.gz NMUMMAJKLGZJEM-UHFFFAOYSA-N 0 1 312.373 3.362 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(C#N)ccc3F)sc2C1 ZINC001214592815 1097260430 /nfs/dbraw/zinc/26/04/30/1097260430.db2.gz NMUMMAJKLGZJEM-UHFFFAOYSA-N 0 1 312.373 3.362 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001229377128 1097308809 /nfs/dbraw/zinc/30/88/09/1097308809.db2.gz PQFYPOJFAXSPRV-UHFFFAOYSA-N 0 1 306.372 3.122 20 30 DGEDMN C#C[C@H]1CCCN([C@@H](C)Cc2c(F)c(OC)ccc2[N+](=O)[O-])C1 ZINC001173195649 1097359135 /nfs/dbraw/zinc/35/91/35/1097359135.db2.gz HWAASTVMHAPNIS-STQMWFEESA-N 0 1 320.364 3.019 20 30 DGEDMN C=CCN(CC=C)[C@@H](C)Cc1c(F)c(OC)ccc1[N+](=O)[O-] ZINC001173197969 1097359746 /nfs/dbraw/zinc/35/97/46/1097359746.db2.gz XFKDUTCBXZSFQC-LBPRGKRZSA-N 0 1 308.353 3.348 20 30 DGEDMN COc1ccc(Nc2cccc(N3CCN(C)CC3)c2)c(C#N)c1 ZINC001212713703 1097370104 /nfs/dbraw/zinc/37/01/04/1097370104.db2.gz CDFRYETVVCPPCO-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN Cc1ccc(Nc2cccc(N3CCN(C)CC3)c2)cc1C#N ZINC001212714654 1097377314 /nfs/dbraw/zinc/37/73/14/1097377314.db2.gz JELWRZRPPJLLSQ-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CC1=CC(=O)c2c(OC3CCN(C(C)C)CC3)cccc2C1=O ZINC001229913839 1097410693 /nfs/dbraw/zinc/41/06/93/1097410693.db2.gz JWGDKSDREFLVGX-UHFFFAOYSA-N 0 1 313.397 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCOC(C)C ZINC001277431425 1097412561 /nfs/dbraw/zinc/41/25/61/1097412561.db2.gz FJGORMUKEQKMJN-CALCHBBNSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCOC1CCC(N2CC(OCc3ccccc3)C2)CC1 ZINC001173327083 1097418388 /nfs/dbraw/zinc/41/83/88/1097418388.db2.gz FFRTXBAIJVPNBO-UHFFFAOYSA-N 0 1 301.430 3.401 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(C=CC(=O)OCC)cc1 ZINC001230402247 1097487782 /nfs/dbraw/zinc/48/77/82/1097487782.db2.gz IALLWWGKAUGOMB-KUCQQTCKSA-N 0 1 316.353 3.251 20 30 DGEDMN C#CCC1(C(=O)NC2CCN(C/C=C\Cl)CC2)CCCCC1 ZINC001230404621 1097488786 /nfs/dbraw/zinc/48/87/86/1097488786.db2.gz LIIFGQIOVYJQJN-SDQBBNPISA-N 0 1 322.880 3.293 20 30 DGEDMN C=CCN(CCCNC(=O)[C@@H](C)[C@H](C)CC)Cc1cccnc1 ZINC001230883995 1097613712 /nfs/dbraw/zinc/61/37/12/1097613712.db2.gz ZIWIVZOABNMDJW-SJORKVTESA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCN(CCCNC(=O)CCC1(C)CC1)Cc1cccnc1 ZINC001230890398 1097615825 /nfs/dbraw/zinc/61/58/25/1097615825.db2.gz BLZCDDJBZYIXCI-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)COC1CCCC1 ZINC001230901292 1097617884 /nfs/dbraw/zinc/61/78/84/1097617884.db2.gz ZIRVNVKKKRRPRM-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN N#Cc1cc(Nc2cnn(Cc3ccccc3)c2)c(O)cc1F ZINC001174135185 1097620609 /nfs/dbraw/zinc/62/06/09/1097620609.db2.gz WTMPQTSDFRPQCE-UHFFFAOYSA-N 0 1 308.316 3.391 20 30 DGEDMN N#Cc1ncc(Br)cc1Nc1ccc2nccn2c1 ZINC001174277117 1097668113 /nfs/dbraw/zinc/66/81/13/1097668113.db2.gz JAKQPDSVDOOMJR-UHFFFAOYSA-N 0 1 314.146 3.107 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc2ncccc2c1 ZINC001231124128 1097675326 /nfs/dbraw/zinc/67/53/26/1097675326.db2.gz ZYXMTSHLMDRPIF-SFHVURJKSA-N 0 1 323.440 3.234 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1[C@@H]1CCc2c1cccc2F ZINC001231177713 1097689457 /nfs/dbraw/zinc/68/94/57/1097689457.db2.gz GTWOVSKOWZFSOK-KBXCAEBGSA-N 0 1 316.420 3.312 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](Oc2nc(C)cc(O)c2[N+](=O)[O-])C(C)(C)O1 ZINC001231264898 1097708120 /nfs/dbraw/zinc/70/81/20/1097708120.db2.gz VRMKVPLCWICEFO-LRDDRELGSA-N 0 1 322.361 3.285 20 30 DGEDMN CS(=O)(=O)c1ccc(O)c(Nc2ccc(Cl)c(C#N)c2)c1 ZINC001174404393 1097720145 /nfs/dbraw/zinc/72/01/45/1097720145.db2.gz MPHZGYRXOKDGAZ-UHFFFAOYSA-N 0 1 322.773 3.064 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2n[nH]c3cc(Br)ccc23)C1 ZINC001231616292 1097793514 /nfs/dbraw/zinc/79/35/14/1097793514.db2.gz YICLBMICADEERV-CQSZACIVSA-N 0 1 319.206 3.061 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)C[C@H]1Cc2ccccc2O1 ZINC001231622485 1097794564 /nfs/dbraw/zinc/79/45/64/1097794564.db2.gz XSJSADNQJJHCAX-QGZVFWFLSA-N 0 1 308.381 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCCCNCc1cscn1 ZINC001174684040 1097800136 /nfs/dbraw/zinc/80/01/36/1097800136.db2.gz XBVBTKWSIHNYKH-ZDUSSCGKSA-N 0 1 309.479 3.120 20 30 DGEDMN C#Cc1cccc(CN2CC[C@@H](OC(=O)OC(C)(C)C)C2)c1 ZINC001231656205 1097804969 /nfs/dbraw/zinc/80/49/69/1097804969.db2.gz JFFJCSAIOQAUPK-MRXNPFEDSA-N 0 1 301.386 3.194 20 30 DGEDMN Cc1cc(C#N)ccc1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174814578 1097844237 /nfs/dbraw/zinc/84/42/37/1097844237.db2.gz ILRBZWWPMPVEBM-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001174834358 1097852692 /nfs/dbraw/zinc/85/26/92/1097852692.db2.gz ZJEHDEGVLXXQHB-GOEBONIOSA-N 0 1 321.465 3.350 20 30 DGEDMN Cc1ccc(CN(C)Cc2ccc(N(C)CCC#N)cc2)cn1 ZINC001231821219 1097854575 /nfs/dbraw/zinc/85/45/75/1097854575.db2.gz UTWMQDTUGABISL-UHFFFAOYSA-N 0 1 308.429 3.372 20 30 DGEDMN C#CCN1CCN(Cc2sc3scc(C)c3c2C)CC1 ZINC001231832111 1097859527 /nfs/dbraw/zinc/85/95/27/1097859527.db2.gz LKWVUXMFLARUMO-UHFFFAOYSA-N 0 1 304.484 3.330 20 30 DGEDMN C#CCOc1ccc(Nc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC001174814027 1097862722 /nfs/dbraw/zinc/86/27/22/1097862722.db2.gz NBDZUFQEOBQUNT-UHFFFAOYSA-N 0 1 321.424 3.194 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(C#Cc3ccccc3)CC2)[nH]1 ZINC001232079035 1097933079 /nfs/dbraw/zinc/93/30/79/1097933079.db2.gz MEMFCOMADVGXJB-UHFFFAOYSA-N 0 1 322.408 3.065 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001175081249 1097941197 /nfs/dbraw/zinc/94/11/97/1097941197.db2.gz CUBSUGKGNJDRKZ-KSSFIOAISA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCOC[C@]12CCCO[C@@H]1CCN(Cc1ncsc1C)C2 ZINC001232200487 1097963140 /nfs/dbraw/zinc/96/31/40/1097963140.db2.gz CNDQUGPAQDWSNL-IAGOWNOFSA-N 0 1 322.474 3.025 20 30 DGEDMN C#CCN(C)Cc1ccc2c(c1)CCCN2C(=O)OC(C)(C)C ZINC001232437647 1098038421 /nfs/dbraw/zinc/03/84/21/1098038421.db2.gz QQJCECNPPQQNMA-UHFFFAOYSA-N 0 1 314.429 3.439 20 30 DGEDMN N#Cc1ccnc(CN2CC[C@H](Sc3ccc(F)cc3)C2)c1 ZINC001232480492 1098053084 /nfs/dbraw/zinc/05/30/84/1098053084.db2.gz YXXZAHYPWCQTHZ-KRWDZBQOSA-N 0 1 313.401 3.459 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001175468198 1098054284 /nfs/dbraw/zinc/05/42/84/1098054284.db2.gz CZDUIFALJXHVAI-AWEZNQCLSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001175469066 1098054315 /nfs/dbraw/zinc/05/43/15/1098054315.db2.gz MPMASWSAENGQKM-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1c2cn[nH]c2ccc1C ZINC001175523513 1098082709 /nfs/dbraw/zinc/08/27/09/1098082709.db2.gz JMUWIVBCTFNWQD-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN COc1cccc(C)c1CN1CCN(c2cccc(C#N)c2)CC1 ZINC001232623310 1098098091 /nfs/dbraw/zinc/09/80/91/1098098091.db2.gz NUNDLZIWCVHJQF-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN CCN(CCC#N)Cc1cc(F)c(O)c(Br)c1 ZINC001232633359 1098102787 /nfs/dbraw/zinc/10/27/87/1098102787.db2.gz LZPGLQBSBAGLNA-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN C#CC[N@H+](Cc1cc(C#N)ccc1[O-])[C@@H]1CCc2ccccc21 ZINC001232679415 1098113689 /nfs/dbraw/zinc/11/36/89/1098113689.db2.gz JRSDRYZDSLBWCV-LJQANCHMSA-N 0 1 302.377 3.387 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](Oc3ccccc3)C2)c1 ZINC001232679891 1098113773 /nfs/dbraw/zinc/11/37/73/1098113773.db2.gz VGQKJQOGSQZVJH-GOSISDBHSA-N 0 1 308.381 3.307 20 30 DGEDMN Cc1cccc(O[C@H]2CCC[N@@H+](Cc3cc(C#N)ccc3[O-])C2)n1 ZINC001232681243 1098113891 /nfs/dbraw/zinc/11/38/91/1098113891.db2.gz OBSFZGVNJXHXRQ-KRWDZBQOSA-N 0 1 323.396 3.011 20 30 DGEDMN CN(Cc1ccc(Br)[nH]1)Cc1ccc(C#N)cc1 ZINC001232689068 1098114776 /nfs/dbraw/zinc/11/47/76/1098114776.db2.gz IGWFSUGPLAAVDS-UHFFFAOYSA-N 0 1 304.191 3.281 20 30 DGEDMN CC(=O)Nc1ccc(Nc2ccc3n[nH]c(C)c3c2)cc1C#N ZINC001175700505 1098125389 /nfs/dbraw/zinc/12/53/89/1098125389.db2.gz MSQPMUYOYBTQGM-UHFFFAOYSA-N 0 1 305.341 3.445 20 30 DGEDMN CN(CC1(C#N)CC1)C1(CNC(=O)OC(C)(C)C)CCCCC1 ZINC001175790873 1098171793 /nfs/dbraw/zinc/17/17/93/1098171793.db2.gz VOFICHMAQXWCIM-UHFFFAOYSA-N 0 1 321.465 3.450 20 30 DGEDMN Cc1ccc(CN(C)CCCNC(=O)OC(C)(C)C)c(C#N)c1 ZINC001232963454 1098184151 /nfs/dbraw/zinc/18/41/51/1098184151.db2.gz GXMKMOMRBJDROJ-UHFFFAOYSA-N 0 1 317.433 3.213 20 30 DGEDMN O[C@H]1C[C@](NCC#Cc2cccc(Cl)c2)(c2ccccc2)C1 ZINC001175955285 1098224426 /nfs/dbraw/zinc/22/44/26/1098224426.db2.gz JRUHUVZFTKIOSA-KDURUIRLSA-N 0 1 311.812 3.331 20 30 DGEDMN CCCOC1CCN(Cc2ccc(N(C)C)c(C#N)c2F)CC1 ZINC001233101136 1098227249 /nfs/dbraw/zinc/22/72/49/1098227249.db2.gz RVYGVWJWWTUGAU-UHFFFAOYSA-N 0 1 319.424 3.154 20 30 DGEDMN CN(C)c1ccc(CN2CC[C@@H](c3ccccn3)C2)c(F)c1C#N ZINC001233100203 1098227472 /nfs/dbraw/zinc/22/74/72/1098227472.db2.gz CDVRWUZPGPFXHK-CQSZACIVSA-N 0 1 324.403 3.148 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1sccc1Cl ZINC001277770375 1098238512 /nfs/dbraw/zinc/23/85/12/1098238512.db2.gz BZQBUTHXINSOPU-LLVKDONJSA-N 0 1 300.855 3.304 20 30 DGEDMN Cc1cnsc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001233321130 1098286810 /nfs/dbraw/zinc/28/68/10/1098286810.db2.gz BKGOJIGFYIPIJL-HNNXBMFYSA-N 0 1 313.426 3.367 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2c[nH]nc2-c2cc(F)cc(F)c2)C1 ZINC001233405063 1098318406 /nfs/dbraw/zinc/31/84/06/1098318406.db2.gz JAHVEQSSIHPCCC-GFCCVEGCSA-N 0 1 316.355 3.481 20 30 DGEDMN Cc1cc(C#N)cc(Nc2ccc(CCN3CCOCC3)cc2)c1 ZINC001176383918 1098326233 /nfs/dbraw/zinc/32/62/33/1098326233.db2.gz FHUPFWZMKFJPFS-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN N#Cc1ccc(Nc2ccc(CCN3CCOCC3)cc2)s1 ZINC001176382958 1098326594 /nfs/dbraw/zinc/32/65/94/1098326594.db2.gz YZIUHDYWAHIDGN-UHFFFAOYSA-N 0 1 313.426 3.238 20 30 DGEDMN C#Cc1ccccc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001176385969 1098327108 /nfs/dbraw/zinc/32/71/08/1098327108.db2.gz NNJZFNNKMPYBHB-UHFFFAOYSA-N 0 1 306.409 3.286 20 30 DGEDMN CN(Cc1cc(C#N)cs1)Cc1ccc(-n2ccnc2)cc1 ZINC001176555020 1098385264 /nfs/dbraw/zinc/38/52/64/1098385264.db2.gz ICZKWJZTNIGURB-UHFFFAOYSA-N 0 1 308.410 3.437 20 30 DGEDMN N#Cc1cc(Nc2ccnn2Cc2ccccc2)c(O)cc1F ZINC001176707877 1098422181 /nfs/dbraw/zinc/42/21/81/1098422181.db2.gz ULJRGXZUHYBXAD-UHFFFAOYSA-N 0 1 308.316 3.391 20 30 DGEDMN C=CCC1(C(=O)NCCCNCc2ncc(C)o2)CCCCC1 ZINC001176786241 1098430194 /nfs/dbraw/zinc/43/01/94/1098430194.db2.gz VOHWSXUGLQDVGW-UHFFFAOYSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)CCCCCCCC)C1 ZINC001150626021 1098439261 /nfs/dbraw/zinc/43/92/61/1098439261.db2.gz RYJQMFWUXPOQKY-KRWDZBQOSA-N 0 1 310.482 3.130 20 30 DGEDMN C#Cc1ccc(O[C@H]2CCCN(Cc3ccccc3F)C2)nc1 ZINC001233966122 1098468446 /nfs/dbraw/zinc/46/84/46/1098468446.db2.gz REIHQVXXDJGYAW-KRWDZBQOSA-N 0 1 310.372 3.245 20 30 DGEDMN C=CCCCN1CCO[C@@H]2CCN(C(=O)CC(C)=C(C)C)C[C@@H]21 ZINC001177074074 1098471477 /nfs/dbraw/zinc/47/14/77/1098471477.db2.gz UIGHWGYMDCGBRX-ZWKOTPCHSA-N 0 1 320.477 3.001 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]ccc1C ZINC001233992558 1098472668 /nfs/dbraw/zinc/47/26/68/1098472668.db2.gz XZGROIQSVXGPFC-MRXNPFEDSA-N 0 1 305.466 3.440 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H]2OCCN(CCC(C)(C)C)[C@@H]2C1 ZINC001177089840 1098475435 /nfs/dbraw/zinc/47/54/35/1098475435.db2.gz VZLZHQUGDNWSDX-IAGOWNOFSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)c1ccccc1CN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234186985 1098512185 /nfs/dbraw/zinc/51/21/85/1098512185.db2.gz RDXOBLHUMFHLJP-IBGZPJMESA-N 0 1 324.468 3.256 20 30 DGEDMN C[C@@H](c1ccccc1)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214595 1098515699 /nfs/dbraw/zinc/51/56/99/1098515699.db2.gz DDQPNUYJVCBBRX-FUHWJXTLSA-N 0 1 312.457 3.330 20 30 DGEDMN C[C@@H](c1ccccc1)N1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214595 1098515701 /nfs/dbraw/zinc/51/57/01/1098515701.db2.gz DDQPNUYJVCBBRX-FUHWJXTLSA-N 0 1 312.457 3.330 20 30 DGEDMN C[C@@H](Cc1ccccc1)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234512060 1098574878 /nfs/dbraw/zinc/57/48/78/1098574878.db2.gz ZPZHAXVIGAVICX-LBPRGKRZSA-N 0 1 305.337 3.217 20 30 DGEDMN C=C[C@@H](C#CC(C)(C)C)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234514050 1098575251 /nfs/dbraw/zinc/57/52/51/1098575251.db2.gz RQOFIRCPSXCFJN-ZDUSSCGKSA-N 0 1 307.353 3.190 20 30 DGEDMN CC(C)[C@@H](Oc1[nH]c(=O)nc2ccc(C#N)cc21)C(F)(F)F ZINC001234515993 1098577985 /nfs/dbraw/zinc/57/79/85/1098577985.db2.gz OKGNCQPGKLOHCV-LLVKDONJSA-N 0 1 311.263 3.173 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H]1CCN1CCOCCC(C)C ZINC001234562296 1098601426 /nfs/dbraw/zinc/60/14/26/1098601426.db2.gz GMVHXORUAGCMMO-KRWDZBQOSA-N 0 1 324.509 3.184 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H]1CCN1CCOCCC(C)C ZINC001234562298 1098602239 /nfs/dbraw/zinc/60/22/39/1098602239.db2.gz GMVHXORUAGCMMO-QGZVFWFLSA-N 0 1 324.509 3.184 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H]1CCN1Cc1cccc(C)c1 ZINC001234594265 1098612959 /nfs/dbraw/zinc/61/29/59/1098612959.db2.gz LZWPJLZNNZHULU-SFHVURJKSA-N 0 1 300.446 3.384 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(Cl)cc(C(=O)OC)c1 ZINC001234624009 1098623108 /nfs/dbraw/zinc/62/31/08/1098623108.db2.gz VTRMAFIXDOWRDZ-GFCCVEGCSA-N 0 1 310.733 3.115 20 30 DGEDMN C=CC[C@H](NCc1cc2ccccc2n(C)c1=O)c1ccncc1 ZINC001177885039 1098635408 /nfs/dbraw/zinc/63/54/08/1098635408.db2.gz MHPXIZOIRFLFLY-SFHVURJKSA-N 0 1 319.408 3.341 20 30 DGEDMN CCC[C@@H](C#Cc1ccccc1)Oc1nc(F)nc2nc[nH]c21 ZINC001234693593 1098646241 /nfs/dbraw/zinc/64/62/41/1098646241.db2.gz QHOZZRDHEYEDIJ-ZDUSSCGKSA-N 0 1 310.332 3.091 20 30 DGEDMN Cc1cc(C)c(CNC(=O)C(C#N)Cc2cccs2)c(O)c1 ZINC001177916951 1098648716 /nfs/dbraw/zinc/64/87/16/1098648716.db2.gz ASBKKCDSYDPCDX-ZDUSSCGKSA-N 0 1 314.410 3.069 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1cccc(CC)c1 ZINC001277883635 1098656348 /nfs/dbraw/zinc/65/63/48/1098656348.db2.gz LWMGONZWSGXJDL-KRWDZBQOSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(Cl)c(OC)cc1OC ZINC001234944010 1098719311 /nfs/dbraw/zinc/71/93/11/1098719311.db2.gz VOPUNNYWEFJMLY-SNVBAGLBSA-N 0 1 312.749 3.345 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C/[C@]2(C)CC[C@H](C)C2(C)C)CC1 ZINC001178207608 1098747779 /nfs/dbraw/zinc/74/77/79/1098747779.db2.gz JWPPWQGVXFRLAC-HDMLDWTJSA-N 0 1 316.489 3.219 20 30 DGEDMN C#C[C@H]1CCC[N@H+](Cc2cc(Br)cc(F)c2[O-])C1 ZINC001235068966 1098783716 /nfs/dbraw/zinc/78/37/16/1098783716.db2.gz NUOHSIAMAYFPJS-JTQLQIEISA-N 0 1 312.182 3.139 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2[C@H](C)c2ccccc2)CCC1 ZINC001235223586 1098896355 /nfs/dbraw/zinc/89/63/55/1098896355.db2.gz COGRGMTYVJOJAH-MJGOQNOKSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001277932522 1098906672 /nfs/dbraw/zinc/90/66/72/1098906672.db2.gz HOGRTZKGILSHAU-CNELAYHGSA-N 0 1 305.249 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2nc(C)cs2)[C@@H]1C ZINC001178791738 1098931890 /nfs/dbraw/zinc/93/18/90/1098931890.db2.gz RKVCWQKAOKHIKO-KBPBESRZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1cccc(Cl)c1F ZINC001235436047 1099033370 /nfs/dbraw/zinc/03/33/70/1099033370.db2.gz DICZPLMSUOSZCD-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCCC(=O)NCCCN(C)Cc1oc(C(C)C)nc1C ZINC001235437147 1099035077 /nfs/dbraw/zinc/03/50/77/1099035077.db2.gz UKRXCSVXGNCKNE-UHFFFAOYSA-N 0 1 307.438 3.011 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCN[C@H](C)c1ncccn1 ZINC001179235113 1099044415 /nfs/dbraw/zinc/04/44/15/1099044415.db2.gz GHGFMGYVSUNQNA-OAHLLOKOSA-N 0 1 318.465 3.016 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)C1(C)CCCCCC1 ZINC001235455232 1099044540 /nfs/dbraw/zinc/04/45/40/1099044540.db2.gz PKXRRRUIQNFDQO-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCCCCNCc1cscn1 ZINC001179306278 1099057541 /nfs/dbraw/zinc/05/75/41/1099057541.db2.gz GCEINQXYPKFKKB-LSDHHAIUSA-N 0 1 323.506 3.368 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCCCCNCc1cscn1 ZINC001179306276 1099058216 /nfs/dbraw/zinc/05/82/16/1099058216.db2.gz GCEINQXYPKFKKB-GJZGRUSLSA-N 0 1 323.506 3.368 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179307027 1099059362 /nfs/dbraw/zinc/05/93/62/1099059362.db2.gz PAHPIUNFGCXSCA-GOEBONIOSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179306922 1099059388 /nfs/dbraw/zinc/05/93/88/1099059388.db2.gz NBKCDUAGRQCOIO-GJZGRUSLSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179335838 1099075274 /nfs/dbraw/zinc/07/52/74/1099075274.db2.gz SXBYVHHUOSUBQU-HNNXBMFYSA-N 0 1 321.465 3.352 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001179384178 1099088080 /nfs/dbraw/zinc/08/80/80/1099088080.db2.gz GBNCSKNTFRAHAX-OSXUREQPSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NCc1c(C)noc1CC ZINC001277954965 1099093774 /nfs/dbraw/zinc/09/37/74/1099093774.db2.gz UZVLSFGOSIFSDA-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=CCC1(C(=O)NCCCCCCNCc2ccon2)CCC1 ZINC001179487533 1099114356 /nfs/dbraw/zinc/11/43/56/1099114356.db2.gz SHAJEKANXOHDMM-UHFFFAOYSA-N 0 1 319.449 3.187 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1ccc(CN2CCCC2)o1 ZINC001179840337 1099216551 /nfs/dbraw/zinc/21/65/51/1099216551.db2.gz AZFUVUBQCFMDSC-HNNXBMFYSA-N 0 1 302.418 3.446 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc(C4(C#N)CC4)c3)cn2)CC1 ZINC001235974746 1099303699 /nfs/dbraw/zinc/30/36/99/1099303699.db2.gz XAOFCODYJHYCQH-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN N#Cc1ccc2ncnc(-c3c(F)cc(F)c(O)c3F)c2c1 ZINC001235986783 1099310987 /nfs/dbraw/zinc/31/09/87/1099310987.db2.gz UVPJGJIBPOOXEI-UHFFFAOYSA-N 0 1 301.227 3.291 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccccc2)[C@@H]2CCC[NH2+]C2)c([O-])c1 ZINC001236272381 1099513661 /nfs/dbraw/zinc/51/36/61/1099513661.db2.gz XLSOYTFDRLPJSE-LJQANCHMSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1cncs1 ZINC001273541146 1099531464 /nfs/dbraw/zinc/53/14/64/1099531464.db2.gz PJQRZDFDGSBKKG-UHFFFAOYSA-N 0 1 309.479 3.026 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1cc(SC)n(C)n1 ZINC001187995004 1099652187 /nfs/dbraw/zinc/65/21/87/1099652187.db2.gz YELYIWJPJIORCX-UHFFFAOYSA-N 0 1 319.496 3.244 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCC[C@@H](C)CCC)[C@@H]2C1 ZINC001188042365 1099660470 /nfs/dbraw/zinc/66/04/70/1099660470.db2.gz BQUARBNQIGFAOJ-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN CCOC(=O)c1nc2cc(-c3ccc(C#N)cc3F)ccc2[nH]1 ZINC001236532680 1099668189 /nfs/dbraw/zinc/66/81/89/1099668189.db2.gz AYIIHULNILIXOG-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3cc(C#N)ccc3OC)cnc2[nH]1 ZINC001236546189 1099674563 /nfs/dbraw/zinc/67/45/63/1099674563.db2.gz AEIRIQRORNCYEA-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1ccc(F)cn1 ZINC001273554050 1099689330 /nfs/dbraw/zinc/68/93/30/1099689330.db2.gz MENTUGBCCSGKHI-SFHVURJKSA-N 0 1 321.440 3.103 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccccc1OC(C)C ZINC001277995837 1099736816 /nfs/dbraw/zinc/73/68/16/1099736816.db2.gz WSHAGCGHDZUDTK-AWEZNQCLSA-N 0 1 324.852 3.276 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(Br)ccc2N(C)C)C1 ZINC001236704989 1099749471 /nfs/dbraw/zinc/74/94/71/1099749471.db2.gz HBESTCTTYJRMKL-CYBMUJFWSA-N 0 1 321.262 3.360 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C1CC2(CC2)C1 ZINC001273561444 1099769319 /nfs/dbraw/zinc/76/93/19/1099769319.db2.gz YWDKVSGOFFNIBS-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)CCCCCC ZINC001115111585 1099774735 /nfs/dbraw/zinc/77/47/35/1099774735.db2.gz SMGXSZPMPYIKNU-IMBTUZDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(F)c2occc21 ZINC001278017834 1099828829 /nfs/dbraw/zinc/82/88/29/1099828829.db2.gz PYMFNMXVICUSJD-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN CN(Cc1ccncc1)Cc1ccc(C#N)c(Br)c1 ZINC001236856249 1099836434 /nfs/dbraw/zinc/83/64/34/1099836434.db2.gz KFFRTOPRZXRNCY-UHFFFAOYSA-N 0 1 316.202 3.348 20 30 DGEDMN CC/C=C(\C)C(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189177455 1099966967 /nfs/dbraw/zinc/96/69/67/1099966967.db2.gz BQZQTBCBBPKZPB-BRRGFWCPSA-N 0 1 317.458 3.009 20 30 DGEDMN N#Cc1csc(CSc2nc(Cc3ccccc3)n[nH]2)n1 ZINC001189183308 1099972204 /nfs/dbraw/zinc/97/22/04/1099972204.db2.gz QYASDMVMRTWMMH-UHFFFAOYSA-N 0 1 313.411 3.016 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1)[C@H]1CCN(C(=O)/C=C\C(C)(C)C)C1 ZINC001189437165 1100057602 /nfs/dbraw/zinc/05/76/02/1100057602.db2.gz AHWUWSLUGBNEEX-IFMUVIIVSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)/C=C\C(C)(C)C)C1 ZINC001189437165 1100057607 /nfs/dbraw/zinc/05/76/07/1100057607.db2.gz AHWUWSLUGBNEEX-IFMUVIIVSA-N 0 1 324.468 3.173 20 30 DGEDMN N#Cc1cc(CN2CCC(n3cnc4ccccc43)CC2)ccn1 ZINC001237466722 1100134428 /nfs/dbraw/zinc/13/44/28/1100134428.db2.gz OHFGOIVFSRAYCP-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN Cn1cc(CN2CC3(C2)C[C@H](F)CS3)c2cc(C#N)ccc21 ZINC001237473920 1100136075 /nfs/dbraw/zinc/13/60/75/1100136075.db2.gz ZHDZYOXRJRUJKH-AWEZNQCLSA-N 0 1 315.417 3.079 20 30 DGEDMN COc1c(C)cccc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001237511650 1100154986 /nfs/dbraw/zinc/15/49/86/1100154986.db2.gz SDIROOLGROXOGZ-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN N#Cc1ccc2nc(CN3CCC4(CC(F)(F)C4)CC3)cn2c1 ZINC001237605598 1100186032 /nfs/dbraw/zinc/18/60/32/1100186032.db2.gz ZPMFIZZBRACSHG-UHFFFAOYSA-N 0 1 316.355 3.217 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1nnc[nH]1)Cc1cccc(C#N)c1 ZINC001237610912 1100187864 /nfs/dbraw/zinc/18/78/64/1100187864.db2.gz KWCRBQOXWMNQRE-HNNXBMFYSA-N 0 1 317.396 3.440 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC001237753744 1100239059 /nfs/dbraw/zinc/23/90/59/1100239059.db2.gz OBCMQRXFKQEAAK-KRWDZBQOSA-N 0 1 320.820 3.377 20 30 DGEDMN C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)CCCCC(C)(C)C)C1 ZINC001190335727 1100247788 /nfs/dbraw/zinc/24/77/88/1100247788.db2.gz AJXJMEICSSJPJD-QGZVFWFLSA-N 0 1 324.509 3.328 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2cccc(F)c2)C1 ZINC001190398455 1100259078 /nfs/dbraw/zinc/25/90/78/1100259078.db2.gz WALAOEOPJXATAY-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN N#C[C@@H]1CCCN(Cc2ccccc2Oc2cnccn2)CC1 ZINC001238012694 1100327491 /nfs/dbraw/zinc/32/74/91/1100327491.db2.gz CQYFGUFIILDMPD-OAHLLOKOSA-N 0 1 308.385 3.395 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@]23C[C@H]2CCN3CCC2CC2)c1 ZINC001278200127 1100384896 /nfs/dbraw/zinc/38/48/96/1100384896.db2.gz WTYUITLNGCHWGQ-APWZRJJASA-N 0 1 309.413 3.070 20 30 DGEDMN CCCCCN1CC[C@H]2C[C@]21C(=O)Nc1cc(C)ccc1C#N ZINC001278227976 1100433078 /nfs/dbraw/zinc/43/30/78/1100433078.db2.gz GOCIMMRNHHXSNQ-QFBILLFUSA-N 0 1 311.429 3.460 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3ccccc3cc2F)C1 ZINC001191295829 1100445825 /nfs/dbraw/zinc/44/58/25/1100445825.db2.gz OLHCGVOGGJJHOX-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC1(F)F)C1CCCC1 ZINC001278249467 1100472884 /nfs/dbraw/zinc/47/28/84/1100472884.db2.gz FFMTZFKXHGIKTE-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001191543114 1100481096 /nfs/dbraw/zinc/48/10/96/1100481096.db2.gz JTXZXJXRHRXXCV-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001191890223 1100540945 /nfs/dbraw/zinc/54/09/45/1100540945.db2.gz PKWXHCKDZQNSAE-QWHCGFSZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC001191927672 1100544186 /nfs/dbraw/zinc/54/41/86/1100544186.db2.gz CSINOLKFRXXHEU-QAPCUYQASA-N 0 1 312.413 3.465 20 30 DGEDMN CCC(C)(C)C(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001192045608 1100565877 /nfs/dbraw/zinc/56/58/77/1100565877.db2.gz GXPHICWQKBXPBQ-GOSISDBHSA-N 0 1 312.457 3.007 20 30 DGEDMN Cc1cc(C#N)ccc1NS(=O)(=O)c1cnc(Cl)cc1C ZINC001192308971 1100600930 /nfs/dbraw/zinc/60/09/30/1100600930.db2.gz ROOTUWJEKZODIK-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC(C2CCC2)C2CCC2)C1 ZINC001192377532 1100611950 /nfs/dbraw/zinc/61/19/50/1100611950.db2.gz MRBPUELAAXFIIY-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN CCCCCCCNC(=O)N1CCNC[C@H]1c1ccccc1 ZINC001239268596 1100616423 /nfs/dbraw/zinc/61/64/23/1100616423.db2.gz HTARBCBZBLJFIM-KRWDZBQOSA-N 0 1 303.450 3.313 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCNC[C@H]2CC)c1 ZINC001239285106 1100621607 /nfs/dbraw/zinc/62/16/07/1100621607.db2.gz JJLNLLLPLHDXNQ-QGZVFWFLSA-N 0 1 315.461 3.348 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2C[C@H](C)N[C@@H](C)C2)c1 ZINC001239300841 1100625732 /nfs/dbraw/zinc/62/57/32/1100625732.db2.gz VMQBTMUMGXJHHL-GJZGRUSLSA-N 0 1 315.461 3.347 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001192578015 1100637961 /nfs/dbraw/zinc/63/79/61/1100637961.db2.gz LFOUELJQUFARPR-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)CC2CCCC2)C1 ZINC001192655651 1100644580 /nfs/dbraw/zinc/64/45/80/1100644580.db2.gz NNOWTEJPTYBOMG-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)c1cc(O)cc(F)c1F ZINC001192651438 1100644857 /nfs/dbraw/zinc/64/48/57/1100644857.db2.gz ITEIKSHNNXUSNL-UHFFFAOYSA-N 0 1 319.311 3.351 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001192680300 1100650122 /nfs/dbraw/zinc/65/01/22/1100650122.db2.gz OZQMURKOZYUNMM-BXWFABGCSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)C2CCCCC2)C1 ZINC001192749370 1100661577 /nfs/dbraw/zinc/66/15/77/1100661577.db2.gz NJTVPDGHPHQCRL-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CCC1(C(=O)NCCNCc2ccccc2C#N)CCCCC1 ZINC001152059301 1100665282 /nfs/dbraw/zinc/66/52/82/1100665282.db2.gz FGGYDHODFFTNNL-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc3ccsc32)C1 ZINC001193244899 1100720523 /nfs/dbraw/zinc/72/05/23/1100720523.db2.gz VBTYYXGZBLIXJC-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)c1ccc(O)c(O)c1Cl ZINC001193230071 1100722224 /nfs/dbraw/zinc/72/22/24/1100722224.db2.gz PTWATOIQMRGJDQ-UHFFFAOYSA-N 0 1 324.670 3.153 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@@H](c2nnc[nH]2)c2ccccc2)c1 ZINC001193437299 1100738749 /nfs/dbraw/zinc/73/87/49/1100738749.db2.gz CJNIKQMZAQYUMU-MRXNPFEDSA-N 0 1 323.787 3.209 20 30 DGEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001193432036 1100744945 /nfs/dbraw/zinc/74/49/45/1100744945.db2.gz FNKZCMFTGJPNIC-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001193432036 1100744949 /nfs/dbraw/zinc/74/49/49/1100744949.db2.gz FNKZCMFTGJPNIC-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCCNC(=O)c1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1 ZINC001239983897 1100818573 /nfs/dbraw/zinc/81/85/73/1100818573.db2.gz OYACSGLZQKECPZ-UHFFFAOYSA-N 0 1 319.368 3.026 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001193877139 1100822297 /nfs/dbraw/zinc/82/22/97/1100822297.db2.gz CCHCDKMYAGINDU-MOPGFXCFSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001193877140 1100822466 /nfs/dbraw/zinc/82/24/66/1100822466.db2.gz CCHCDKMYAGINDU-OALUTQOASA-N 0 1 312.457 3.126 20 30 DGEDMN Cc1cc(O)cc(O)c1C(=O)Nc1c(C#N)oc2ccccc21 ZINC001193923204 1100842283 /nfs/dbraw/zinc/84/22/83/1100842283.db2.gz ZSXOQIJHWRVHSO-UHFFFAOYSA-N 0 1 308.293 3.276 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001194531482 1100958795 /nfs/dbraw/zinc/95/87/95/1100958795.db2.gz JXSZFKURNGRHHK-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001194531480 1100959269 /nfs/dbraw/zinc/95/92/69/1100959269.db2.gz JXSZFKURNGRHHK-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@H](C(=O)N1CC[C@H](N(C)CC#CC)C1)c1ccccc1 ZINC001194555492 1100965614 /nfs/dbraw/zinc/96/56/14/1100965614.db2.gz MKQNOQQVYYBCCV-PMACEKPBSA-N 0 1 324.468 3.292 20 30 DGEDMN COc1ccc(C#N)c(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240389152 1100973115 /nfs/dbraw/zinc/97/31/15/1100973115.db2.gz XWQKQPIQEWRWTO-UHFFFAOYSA-N 0 1 305.381 3.251 20 30 DGEDMN CC(C)(C)OC(=O)c1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1 ZINC001240437753 1100995039 /nfs/dbraw/zinc/99/50/39/1100995039.db2.gz VTOCGISLRGXNNG-UHFFFAOYSA-N 0 1 320.352 3.452 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCC[C@@H]1CC ZINC001273687881 1101046393 /nfs/dbraw/zinc/04/63/93/1101046393.db2.gz MXSLILPSDDEJDK-GJZGRUSLSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)N1CCCN(CCc2ccc(F)c(F)c2)CC1 ZINC001195191910 1101087047 /nfs/dbraw/zinc/08/70/47/1101087047.db2.gz QUENHAQICHVFGH-UHFFFAOYSA-N 0 1 322.399 3.008 20 30 DGEDMN C=C(C)c1ccc(S(=O)(=O)Nc2cc(F)cc(F)c2)cn1 ZINC001240833213 1101151442 /nfs/dbraw/zinc/15/14/42/1101151442.db2.gz RXIKYQCQAFRLEL-UHFFFAOYSA-N 0 1 310.325 3.194 20 30 DGEDMN CCC#C[C@@H](C)N1CC[C@@H](Oc2cncc(Br)c2)C1 ZINC001195577613 1101157395 /nfs/dbraw/zinc/15/73/95/1101157395.db2.gz OOCNPCSYSAAFOT-TZMCWYRMSA-N 0 1 323.234 3.099 20 30 DGEDMN N#Cc1ccc(C(=O)NCCc2ccc3ccccc3c2)c(O)c1 ZINC001195764515 1101193047 /nfs/dbraw/zinc/19/30/47/1101193047.db2.gz LQXVOSVOJCKTCG-UHFFFAOYSA-N 0 1 316.360 3.390 20 30 DGEDMN N#Cc1ccc(C(=O)NC2CCC(C(F)(F)F)CC2)c(O)c1 ZINC001195764286 1101193860 /nfs/dbraw/zinc/19/38/60/1101193860.db2.gz IPYNLYQYLVZKIF-UHFFFAOYSA-N 0 1 312.291 3.115 20 30 DGEDMN CCOC(=O)c1cc(C#N)c(C)nc1-c1c(F)cc(O)cc1F ZINC001241116437 1101260263 /nfs/dbraw/zinc/26/02/63/1101260263.db2.gz ATMGNXAEQCGUDO-UHFFFAOYSA-N 0 1 318.279 3.089 20 30 DGEDMN CCOC(=O)c1cc(-c2c(F)cc(O)cc2F)ccc1C#N ZINC001241121411 1101264061 /nfs/dbraw/zinc/26/40/61/1101264061.db2.gz JKDYAXHPAMERGC-UHFFFAOYSA-N 0 1 303.264 3.386 20 30 DGEDMN N#Cc1ccccc1NC(=S)Nc1cc(F)cc(F)c1O ZINC001196327212 1101285260 /nfs/dbraw/zinc/28/52/60/1101285260.db2.gz UHIFPCJKBULYRC-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN Cc1ccc([C@H](C#N)c2ccc(C3=CCN(C)CC3)nn2)cc1 ZINC001241307633 1101366775 /nfs/dbraw/zinc/36/67/75/1101366775.db2.gz JTPOXTZHLNLWQA-KRWDZBQOSA-N 0 1 304.397 3.159 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196829177 1101401519 /nfs/dbraw/zinc/40/15/19/1101401519.db2.gz DFGAKEVGEYHNGI-ZWKOTPCHSA-N 0 1 324.509 3.042 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC001196852902 1101419063 /nfs/dbraw/zinc/41/90/63/1101419063.db2.gz FAMGPCKDIGYLJU-DLBZAZTESA-N 0 1 300.446 3.179 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(C#N)cc3OC)ccc-2n1 ZINC001241387899 1101424244 /nfs/dbraw/zinc/42/42/44/1101424244.db2.gz LTVDRNUHQWHKHI-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CCCCC(=O)N1CCCN(Cc2sc(C)nc2C)CC1 ZINC001196984703 1101449208 /nfs/dbraw/zinc/44/92/08/1101449208.db2.gz MFXWTSDBBWRWGG-UHFFFAOYSA-N 0 1 321.490 3.151 20 30 DGEDMN N#Cc1cnc(C2=CCN(Cc3ccccc3)CC2)c(C#N)c1 ZINC001241501811 1101514818 /nfs/dbraw/zinc/51/48/18/1101514818.db2.gz VHHIGZXURVQTLD-UHFFFAOYSA-N 0 1 300.365 3.114 20 30 DGEDMN CN1CCC[C@@](C)(NC(=O)c2ccc(-c3ccc(C#N)cc3)o2)C1 ZINC001197404522 1101558155 /nfs/dbraw/zinc/55/81/55/1101558155.db2.gz WVUQSVWNWSKKAS-LJQANCHMSA-N 0 1 323.396 3.032 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2cc(F)c(O)cc2F)c1 ZINC001197717118 1101635572 /nfs/dbraw/zinc/63/55/72/1101635572.db2.gz UYCZVNLTVZJKMH-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1oc2c(cccc2C)c1C ZINC001152439836 1101825515 /nfs/dbraw/zinc/82/55/15/1101825515.db2.gz SFZPZCNYEMJFFV-UHFFFAOYSA-N 0 1 306.793 3.122 20 30 DGEDMN C=CCN[C@@H](CNC(=O)c1ccsc1)c1ccccc1OC ZINC001198522115 1101890045 /nfs/dbraw/zinc/89/00/45/1101890045.db2.gz GVUDXJFKQJOREB-HNNXBMFYSA-N 0 1 316.426 3.003 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C1=CCCC1)c1ccccc1OC ZINC001198655630 1101943376 /nfs/dbraw/zinc/94/33/76/1101943376.db2.gz GPCIFAYONCOBMH-QGZVFWFLSA-N 0 1 314.429 3.129 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)C1(C)CCC1)c1ccccc1OC ZINC001198834003 1101990954 /nfs/dbraw/zinc/99/09/54/1101990954.db2.gz GEKXCVAMEJFAEV-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCCC(C)C)c1ccccc1OC ZINC001198916506 1102027452 /nfs/dbraw/zinc/02/74/52/1102027452.db2.gz ODBMKVSMIYLXAR-KRWDZBQOSA-N 0 1 318.461 3.454 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3cccc(OC)c3C#N)ccc-2n1 ZINC001242297058 1102035215 /nfs/dbraw/zinc/03/52/15/1102035215.db2.gz KLHIBQHQUWKBFI-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C#CCC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)CC(C)=C(C)C ZINC001273823319 1102335697 /nfs/dbraw/zinc/33/56/97/1102335697.db2.gz BAXUFOPTPUMIPS-FQEVSTJZSA-N 0 1 324.468 3.299 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CC(C)=C(C)C ZINC001273823319 1102335710 /nfs/dbraw/zinc/33/57/10/1102335710.db2.gz BAXUFOPTPUMIPS-FQEVSTJZSA-N 0 1 324.468 3.299 20 30 DGEDMN COc1ccc(C#N)cc1Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001201591928 1102664191 /nfs/dbraw/zinc/66/41/91/1102664191.db2.gz SHFZWTLCMIKVKZ-UHFFFAOYSA-N 0 1 306.325 3.202 20 30 DGEDMN COc1cc(C#N)cc(-c2ccc(O)c(F)c2F)c1OC(C)=O ZINC001243342747 1102673737 /nfs/dbraw/zinc/67/37/37/1102673737.db2.gz SGKWJPBHENVEDC-UHFFFAOYSA-N 0 1 319.263 3.143 20 30 DGEDMN CCCCCCCCCCS(=O)(=O)Nc1cncc(C#N)n1 ZINC001201834016 1102741118 /nfs/dbraw/zinc/74/11/18/1102741118.db2.gz JDGGVJWUSJNHFY-UHFFFAOYSA-N 0 1 324.450 3.231 20 30 DGEDMN CC(C)(C)c1nc2nc[nH]c2c(-c2ccccc2OCC#N)n1 ZINC001243583211 1102826253 /nfs/dbraw/zinc/82/62/53/1102826253.db2.gz ATRDJPWKOXDQGB-UHFFFAOYSA-N 0 1 307.357 3.171 20 30 DGEDMN CSc1ncc(Cl)cc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001243613871 1102856517 /nfs/dbraw/zinc/85/65/17/1102856517.db2.gz KTXYTVOVRCOLTF-UHFFFAOYSA-N 0 1 301.762 3.267 20 30 DGEDMN CN1CCN(c2cccc(-c3cccc(CC#N)c3F)c2)CC1 ZINC001243669976 1102903751 /nfs/dbraw/zinc/90/37/51/1102903751.db2.gz ZDYBSWSNMOPHSQ-UHFFFAOYSA-N 0 1 309.388 3.311 20 30 DGEDMN CC(C)=CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C12CCC2 ZINC001202382188 1102969279 /nfs/dbraw/zinc/96/92/79/1102969279.db2.gz FAAQFNKWETZQDS-ROUUACIJSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)c1ccccc1C)C2 ZINC001111099331 1103044813 /nfs/dbraw/zinc/04/48/13/1103044813.db2.gz AQIQTJLTXHLGMV-RWQQGDIJSA-N 0 1 312.457 3.396 20 30 DGEDMN C=CC1(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#CC)CCCCC1 ZINC001111135302 1103052727 /nfs/dbraw/zinc/05/27/27/1103052727.db2.gz KQRPSJYAPAEYOY-KURKYZTESA-N 0 1 314.473 3.258 20 30 DGEDMN N#CC1CCC(=Nc2cn3cc(Br)ncc3n2)CC1 ZINC001212858033 1103074039 /nfs/dbraw/zinc/07/40/39/1103074039.db2.gz YOYSTYYQCHZSRR-SECBINFHSA-N 0 1 318.178 3.111 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCc1cc(F)ccc1F ZINC001488698528 1103116404 /nfs/dbraw/zinc/11/64/04/1103116404.db2.gz KEQGWVCFTAICKZ-MRXNPFEDSA-N 0 1 322.399 3.006 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001488844141 1103176361 /nfs/dbraw/zinc/17/63/61/1103176361.db2.gz NSABCGYAVUUGHV-IKDFDVDSSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@H](C)c1ccccc1 ZINC001495103507 1103237171 /nfs/dbraw/zinc/23/71/71/1103237171.db2.gz IYKXPHXLCBUWNW-BBRMVZONSA-N 0 1 308.853 3.417 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@H]1CCNCc1ccon1 ZINC001489000202 1103243102 /nfs/dbraw/zinc/24/31/02/1103243102.db2.gz XMPUUIJRWKQFBY-DOTOQJQBSA-N 0 1 319.449 3.138 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1CC ZINC001489106090 1103272047 /nfs/dbraw/zinc/27/20/47/1103272047.db2.gz MPPRHVNKVKBGLX-DLBZAZTESA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001326330436 1103276272 /nfs/dbraw/zinc/27/62/72/1103276272.db2.gz GFAUJESFZKTJII-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H](F)CC)C1 ZINC001489091292 1103290950 /nfs/dbraw/zinc/29/09/50/1103290950.db2.gz VFJMVRBWTKRRCF-FGTMMUONSA-N 0 1 318.436 3.285 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](c2ccccc2)C(C)C)[C@H]1CC ZINC001489134094 1103295629 /nfs/dbraw/zinc/29/56/29/1103295629.db2.gz OELUYIIHRLISQL-IPMKNSEASA-N 0 1 312.457 3.029 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C1CCCCCC1 ZINC001489320121 1103357835 /nfs/dbraw/zinc/35/78/35/1103357835.db2.gz QFUTYEGQXGKTQP-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(C#N)c1 ZINC001110607143 1103423299 /nfs/dbraw/zinc/42/32/99/1103423299.db2.gz FPFOEWCXPLSRKS-QRVBRYPASA-N 0 1 323.440 3.136 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)OC(C)(C)C)C[C@@H](F)C1 ZINC001258725152 1103532694 /nfs/dbraw/zinc/53/26/94/1103532694.db2.gz IOPPHSNIFBKYJC-KGLIPLIRSA-N 0 1 300.418 3.232 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001327125853 1103536731 /nfs/dbraw/zinc/53/67/31/1103536731.db2.gz LXMNSWGJQCXEMU-CECJQHFESA-N 0 1 324.468 3.365 20 30 DGEDMN CN(CCCNC(=O)CCC1(C)CC1)Cc1ccc(C#N)s1 ZINC001490261647 1103550026 /nfs/dbraw/zinc/55/00/26/1103550026.db2.gz NNSYBGSVZFLVFL-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CN(CCCN(C)C(=O)C1CCCC1)Cc1ccccc1C#N ZINC001327172510 1103566822 /nfs/dbraw/zinc/56/68/22/1103566822.db2.gz KFNUOQZDCAHDDB-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC(F)(F)C1 ZINC001490329777 1103570973 /nfs/dbraw/zinc/57/09/73/1103570973.db2.gz GSBFUYBJNFZNMP-LBPRGKRZSA-N 0 1 308.800 3.003 20 30 DGEDMN N#Cc1ccccc1CN(CCCNC(=O)C1=CCCC1)C1CC1 ZINC001490371036 1103579936 /nfs/dbraw/zinc/57/99/36/1103579936.db2.gz QFTSUYQUGCHOCI-UHFFFAOYSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(CCc2ccccc2F)C1 ZINC001490445262 1103604093 /nfs/dbraw/zinc/60/40/93/1103604093.db2.gz IDYUYNREJKFZFB-INIZCTEOSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CC[N@H+](C)CCCN(C)C(=O)C[C@H](c1ccccc1)C(C)C ZINC001327276921 1103610332 /nfs/dbraw/zinc/61/03/32/1103610332.db2.gz IWUZCTDBSWSVFB-IBGZPJMESA-N 0 1 314.473 3.230 20 30 DGEDMN C=CCN1CCC[C@H]([C@@H]2CCCCN2C(=O)CCCC(C)=O)C1 ZINC001327299625 1103618725 /nfs/dbraw/zinc/61/87/25/1103618725.db2.gz PIXAKTAYBQDXRZ-ROUUACIJSA-N 0 1 320.477 3.025 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001490548047 1103644836 /nfs/dbraw/zinc/64/48/36/1103644836.db2.gz MCHGRTNVZKJGGZ-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CCc2ccccc2Cl)C1 ZINC001490554792 1103653521 /nfs/dbraw/zinc/65/35/21/1103653521.db2.gz WLXYRGJCDRDKOZ-OAHLLOKOSA-N 0 1 320.864 3.285 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)C(C)=C1CCC1 ZINC001327506754 1103665718 /nfs/dbraw/zinc/66/57/18/1103665718.db2.gz HUDBRZQUDQKDRU-HXUWFJFHSA-N 0 1 322.452 3.053 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CCC1(C)CC1 ZINC001327514114 1103668684 /nfs/dbraw/zinc/66/86/84/1103668684.db2.gz RZUIHFAVQVBOSM-LJQANCHMSA-N 0 1 324.468 3.133 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(C)(C)C(C)C)CC1 ZINC001490596035 1103673904 /nfs/dbraw/zinc/67/39/04/1103673904.db2.gz SSRRHROFWGUMMA-AWEZNQCLSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CC3CCC2CC3)CC1 ZINC001490623940 1103684116 /nfs/dbraw/zinc/68/41/16/1103684116.db2.gz WTPVFMWFRLLWPZ-FAFZWHIHSA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1cc(Cl)cc(C)c1NS(=O)(=O)c1cncc(C#N)c1 ZINC001327596367 1103688749 /nfs/dbraw/zinc/68/87/49/1103688749.db2.gz SHZOCFLEPKFWCE-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)C1CC1 ZINC001490663284 1103697791 /nfs/dbraw/zinc/69/77/91/1103697791.db2.gz ZRVIUTBNCKBSBD-HUUCEWRRSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C[C@@H](C)CC)C1 ZINC001490758797 1103726685 /nfs/dbraw/zinc/72/66/85/1103726685.db2.gz HILDKELEKSZXQZ-ZFWWWQNUSA-N 0 1 300.874 3.346 20 30 DGEDMN CN(C)Cc1cccc(-c2nc3ncccc3cc2C#N)c1F ZINC001244863886 1103730314 /nfs/dbraw/zinc/73/03/14/1103730314.db2.gz MAJUTJAXSPYIIE-UHFFFAOYSA-N 0 1 306.344 3.369 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1[C@@H]3CCCCCC[C@@H]31)C2 ZINC001096055417 1103741763 /nfs/dbraw/zinc/74/17/63/1103741763.db2.gz CBTVDPJATGWSBQ-ZMSHIADSSA-N 0 1 302.462 3.110 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(Cl)c(F)c1)C2 ZINC001096058892 1103743938 /nfs/dbraw/zinc/74/39/38/1103743938.db2.gz JPLUQFDCPDCABR-KCXAZCMYSA-N 0 1 322.811 3.390 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(F)cc(Cl)c1)C2 ZINC001096058378 1103744166 /nfs/dbraw/zinc/74/41/66/1103744166.db2.gz JVFFEQPGPXOTHY-ILXRZTDVSA-N 0 1 308.784 3.000 20 30 DGEDMN CCc1cc(-c2cnc3[nH]c(C(=O)OC)cc3c2)ccc1C#N ZINC001244951647 1103777846 /nfs/dbraw/zinc/77/78/46/1103777846.db2.gz BBPSCKSWKLQKNO-UHFFFAOYSA-N 0 1 305.337 3.451 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(c3ccccc3)CC1(C)C)C2 ZINC001096224745 1103787999 /nfs/dbraw/zinc/78/79/99/1103787999.db2.gz DPBLEFILMPJUFX-OEMYIYORSA-N 0 1 324.468 3.262 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC[C@H](C(C)C)CC1)C2 ZINC001096239374 1103790115 /nfs/dbraw/zinc/79/01/15/1103790115.db2.gz FMLOMHCSDGEPIN-QQXKLLMISA-N 0 1 316.489 3.194 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3ccsc31)C2 ZINC001096285709 1103793502 /nfs/dbraw/zinc/79/35/02/1103793502.db2.gz BHBRLPWZFSFNNC-PVAVHDDUSA-N 0 1 324.449 3.260 20 30 DGEDMN C=CCO[C@H]1CCN(c2nccc3cc(OC)c(OC)cc32)C1 ZINC001328013174 1103802150 /nfs/dbraw/zinc/80/21/50/1103802150.db2.gz LUUZBVLCAAFOGX-AWEZNQCLSA-N 0 1 314.385 3.033 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C13CC4CC(CC(C4)C1)C3)C2 ZINC001096411768 1103825093 /nfs/dbraw/zinc/82/50/93/1103825093.db2.gz BVTAUWJPOFJKBF-HOCJAFOCSA-N 0 1 314.473 3.110 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cc3ccccc3o1)C2 ZINC001110787414 1103836041 /nfs/dbraw/zinc/83/60/41/1103836041.db2.gz DNBCHKYJWKLFAJ-NXHRZFHOSA-N 0 1 324.424 3.273 20 30 DGEDMN C=C[C@@](C)(O)CN1Cc2ccc(Br)cc2C[C@@H]1C ZINC001252562824 1103842366 /nfs/dbraw/zinc/84/23/66/1103842366.db2.gz YPLFTQAOVNFTGJ-XHDPSFHLSA-N 0 1 310.235 3.133 20 30 DGEDMN N#CCOc1ccc(CN2CC[C@@](CF)(C(F)(F)F)C2)cc1 ZINC001137115341 1103989724 /nfs/dbraw/zinc/98/97/24/1103989724.db2.gz JIPUSXIRPLTJOU-AWEZNQCLSA-N 0 1 316.298 3.313 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001328631483 1104004860 /nfs/dbraw/zinc/00/48/60/1104004860.db2.gz OPARNNJHPVLGFX-JYJNAYRXSA-N 0 1 310.869 3.146 20 30 DGEDMN N#Cc1cc(C2=CC[C@@H](N3CCOCC3)CC2)nc2ccccc12 ZINC001245419894 1104041467 /nfs/dbraw/zinc/04/14/67/1104041467.db2.gz QRLGBLBIZOXLNE-QGZVFWFLSA-N 0 1 319.408 3.375 20 30 DGEDMN COc1ccc(CC#N)cc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245423461 1104042317 /nfs/dbraw/zinc/04/23/17/1104042317.db2.gz IEYLMPVJIKHTQX-KRWDZBQOSA-N 0 1 312.413 3.029 20 30 DGEDMN COc1ccc(CC#N)cc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245423463 1104044387 /nfs/dbraw/zinc/04/43/87/1104044387.db2.gz IEYLMPVJIKHTQX-QGZVFWFLSA-N 0 1 312.413 3.029 20 30 DGEDMN COc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c(CC#N)c1 ZINC001245424438 1104046638 /nfs/dbraw/zinc/04/66/38/1104046638.db2.gz GGDHOLWMOFMNSK-KRWDZBQOSA-N 0 1 312.413 3.029 20 30 DGEDMN Cc1cccc(C(=O)N[C@@H](C)[C@@H](C)NCc2ccccc2C#N)c1 ZINC001328978999 1104113900 /nfs/dbraw/zinc/11/39/00/1104113900.db2.gz XPIUUOXVWBNXNC-CVEARBPZSA-N 0 1 321.424 3.163 20 30 DGEDMN CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001328986186 1104115537 /nfs/dbraw/zinc/11/55/37/1104115537.db2.gz FBADONAUPIFXBV-KBPBESRZSA-N 0 1 319.424 3.260 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1C[C@@H](NCc2ccccc2C#N)C12CCC2 ZINC001202723771 1104140921 /nfs/dbraw/zinc/14/09/21/1104140921.db2.gz FIAJEEKETHRDRO-CPOXWEDTSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NCc1c(F)cccc1F ZINC001329103666 1104154274 /nfs/dbraw/zinc/15/42/74/1104154274.db2.gz NCEZWEVGUPVVKO-RYUDHWBXSA-N 0 1 310.388 3.160 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2nc(C)sc2C)C1 ZINC001329124041 1104161803 /nfs/dbraw/zinc/16/18/03/1104161803.db2.gz BXHFDVPAEDCEHT-VXGBXAGGSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2c1ccn2C ZINC001329146720 1104168714 /nfs/dbraw/zinc/16/87/14/1104168714.db2.gz LNZMNIUJZRBBKJ-STQMWFEESA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cnc(C(C)C)s1 ZINC001329215158 1104191097 /nfs/dbraw/zinc/19/10/97/1104191097.db2.gz RQHFAYJXIAREGH-QWRGUYRKSA-N 0 1 315.870 3.115 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H]3CCC[C@@H]3C)cc2C1 ZINC001274027308 1104226943 /nfs/dbraw/zinc/22/69/43/1104226943.db2.gz FGVKAZALSIVDFV-FUHWJXTLSA-N 0 1 324.468 3.468 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN(Cc3ccc(Cl)o3)CC2)C1=O ZINC001274137976 1104259851 /nfs/dbraw/zinc/25/98/51/1104259851.db2.gz DVSSTMZOBZJZKG-KRWDZBQOSA-N 0 1 322.836 3.324 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1ccc2cncn2c1 ZINC001245894359 1104293030 /nfs/dbraw/zinc/29/30/30/1104293030.db2.gz IQOQGNXJSRMZAR-UHFFFAOYSA-N 0 1 319.368 3.253 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001329516101 1104293830 /nfs/dbraw/zinc/29/38/30/1104293830.db2.gz QHXKDDBSFCQODJ-WBVHZDCISA-N 0 1 317.477 3.237 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001329518045 1104294869 /nfs/dbraw/zinc/29/48/69/1104294869.db2.gz VXJGAHNKPDSRBS-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2ccsc2)C1 ZINC001278420196 1104298785 /nfs/dbraw/zinc/29/87/85/1104298785.db2.gz KQGCFYWXKLHKFC-WMLDXEAASA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2ncccc2C)CCC1 ZINC001329539104 1104307484 /nfs/dbraw/zinc/30/74/84/1104307484.db2.gz FUGJPFZGIVYFFX-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(C)(NCc2ncc(C)o2)CC1 ZINC001274362820 1104362221 /nfs/dbraw/zinc/36/22/21/1104362221.db2.gz HNVHATXBLTXOFI-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(C)(NCc2nocc2C)CC1 ZINC001274374977 1104365048 /nfs/dbraw/zinc/36/50/48/1104365048.db2.gz GREAEXUAQLGDPB-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CC[N@@H+](Cc2ccsc2)CC1(C)C ZINC001274426337 1104383781 /nfs/dbraw/zinc/38/37/81/1104383781.db2.gz SLDYCUGETLWIMY-INIZCTEOSA-N 0 1 318.486 3.124 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2ncc(C)s2)CCCCC1 ZINC001329802865 1104390645 /nfs/dbraw/zinc/39/06/45/1104390645.db2.gz LMLOAALTEACLNQ-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=CCN1CCC2(CCN(Cc3cc(Cl)cs3)CC2)C1=O ZINC001274531317 1104406497 /nfs/dbraw/zinc/40/64/97/1104406497.db2.gz JBPRRMBNHNZCET-UHFFFAOYSA-N 0 1 324.877 3.402 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc(C2CC2)c1 ZINC001274619312 1104423426 /nfs/dbraw/zinc/42/34/26/1104423426.db2.gz QWEODPPPCKIEMM-NSCUHMNNSA-N 0 1 304.821 3.192 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cncs2)[C@@H](C)C1 ZINC001274624461 1104425836 /nfs/dbraw/zinc/42/58/36/1104425836.db2.gz RCVXUKMWQNCKQE-UONOGXRCSA-N 0 1 321.490 3.215 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cnc(C)o2)[C@@H](C)C1 ZINC001274642797 1104432325 /nfs/dbraw/zinc/43/23/25/1104432325.db2.gz FPWDNOGBXSWGKD-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN CC(C)CCC(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001274676272 1104452190 /nfs/dbraw/zinc/45/21/90/1104452190.db2.gz VSJXPDHKBYGUMD-AWEZNQCLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001330072239 1104471401 /nfs/dbraw/zinc/47/14/01/1104471401.db2.gz SBVIFBSFPXAXJB-WDEREUQCSA-N 0 1 312.866 3.128 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCc2cc(C)sc2C)C1 ZINC001330086285 1104476529 /nfs/dbraw/zinc/47/65/29/1104476529.db2.gz PLQJLHNYEYICHL-AWEZNQCLSA-N 0 1 320.502 3.310 20 30 DGEDMN C=C[C@H](COC)NCc1cc2cc(Br)ccc2o1 ZINC001330298669 1104533066 /nfs/dbraw/zinc/53/30/66/1104533066.db2.gz NGYYVSGMNPWCSF-GFCCVEGCSA-N 0 1 310.191 3.486 20 30 DGEDMN C=CCCCCN1CC2(CCN2C(=O)[C@@H]2C=CCC=C2C)C1 ZINC001274973827 1104563940 /nfs/dbraw/zinc/56/39/40/1104563940.db2.gz BMAKNMMWCOVQGW-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1[nH]ccc1-c1ccccc1 ZINC001274986687 1104567198 /nfs/dbraw/zinc/56/71/98/1104567198.db2.gz UQBOOJMRFJYBKF-CYBMUJFWSA-N 0 1 317.820 3.142 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC(C)(C)C1CC1 ZINC001275063121 1104600282 /nfs/dbraw/zinc/60/02/82/1104600282.db2.gz NVUHIKXONROVJF-UYAOXDASSA-N 0 1 324.468 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2sccc2s1 ZINC001275120624 1104635094 /nfs/dbraw/zinc/63/50/94/1104635094.db2.gz GNWGBZJNBLWMJC-GFCCVEGCSA-N 0 1 318.467 3.132 20 30 DGEDMN CCCCCCCN1CC2(CCN2C(=O)CCc2ccc[nH]2)C1 ZINC001275125839 1104637170 /nfs/dbraw/zinc/63/71/70/1104637170.db2.gz CQDOCSCHBKMFFQ-UHFFFAOYSA-N 0 1 317.477 3.204 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]1C[N@@H+](C)C[C@@H]1CC(C)(C)CO1 ZINC001275135741 1104644217 /nfs/dbraw/zinc/64/42/17/1104644217.db2.gz NNCPBKCBIPIBAX-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001275274534 1104701294 /nfs/dbraw/zinc/70/12/94/1104701294.db2.gz SAJDDBNCJSFQFA-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001275274535 1104701906 /nfs/dbraw/zinc/70/19/06/1104701906.db2.gz SAJDDBNCJSFQFA-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN[C@@H](C)c2cc(F)ccc2F)C1 ZINC001275303391 1104714597 /nfs/dbraw/zinc/71/45/97/1104714597.db2.gz IZXAYLSESSFPHR-STQMWFEESA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(C(F)F)CCCC1 ZINC001275472443 1104769972 /nfs/dbraw/zinc/76/99/72/1104769972.db2.gz WTAHLPGCGFTSAY-MNOVXSKESA-N 0 1 308.800 3.047 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(C)(F)F)cc1 ZINC001275488136 1104775740 /nfs/dbraw/zinc/77/57/40/1104775740.db2.gz NZDZZXJLPMSRLC-NSHDSACASA-N 0 1 316.779 3.259 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2[nH]ccc21 ZINC001275489976 1104776870 /nfs/dbraw/zinc/77/68/70/1104776870.db2.gz AXNPNODNUJJZAT-NWDGAFQWSA-N 0 1 305.809 3.017 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2cc(F)ccc2o1 ZINC001275504208 1104782960 /nfs/dbraw/zinc/78/29/60/1104782960.db2.gz RZYJPHARPRGHGM-WDEREUQCSA-N 0 1 324.783 3.421 20 30 DGEDMN C=CCOCC(=O)N[C@H](C)CN(C)[C@H](C)c1ccccc1Cl ZINC001275520709 1104789737 /nfs/dbraw/zinc/78/97/37/1104789737.db2.gz JBHPJCFUNQFHHM-ZIAGYGMSSA-N 0 1 324.852 3.040 20 30 DGEDMN C=CCOCC(=O)N[C@@H](C)CN(C)[C@@H](C)c1ccccc1Cl ZINC001275520704 1104789994 /nfs/dbraw/zinc/78/99/94/1104789994.db2.gz JBHPJCFUNQFHHM-KBPBESRZSA-N 0 1 324.852 3.040 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1ccc(C(C)C)nc1C ZINC001275521732 1104790298 /nfs/dbraw/zinc/79/02/98/1104790298.db2.gz WJSHNGGPOCPCBB-HNNXBMFYSA-N 0 1 317.477 3.416 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1ccc(C(C)C)nc1C ZINC001275521732 1104790305 /nfs/dbraw/zinc/79/03/05/1104790305.db2.gz WJSHNGGPOCPCBB-HNNXBMFYSA-N 0 1 317.477 3.416 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001275571132 1104814246 /nfs/dbraw/zinc/81/42/46/1104814246.db2.gz NUOHVEUOBLJLDS-CABCVRRESA-N 0 1 315.461 3.367 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN(C)Cc1cc(F)cc(F)c1 ZINC001275622486 1104834879 /nfs/dbraw/zinc/83/48/79/1104834879.db2.gz PZPWRURUSHSWEI-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC(C)(C)CNCc1nc(C)c(C)o1 ZINC001275676198 1104859109 /nfs/dbraw/zinc/85/91/09/1104859109.db2.gz AREKGGUSYTXTLB-UHFFFAOYSA-N 0 1 321.465 3.222 20 30 DGEDMN C=CCCNC(=S)N1CCN(Cc2ccccc2)C[C@@H]1CC ZINC001247635910 1104946699 /nfs/dbraw/zinc/94/66/99/1104946699.db2.gz JTVADOITKZEWKJ-KRWDZBQOSA-N 0 1 317.502 3.033 20 30 DGEDMN N#Cc1ccc(Oc2ccc(-c3noc([C@@H]4CCN4)n3)cc2)cc1 ZINC001247677032 1104956949 /nfs/dbraw/zinc/95/69/49/1104956949.db2.gz QVCHMGNDJWBRKB-INIZCTEOSA-N 0 1 318.336 3.435 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C(C)(C)C(C)(F)F)CC1 ZINC001280770841 1104969612 /nfs/dbraw/zinc/96/96/12/1104969612.db2.gz YJZXKZSQIZUYFM-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cscc1Cl)C2 ZINC001095582227 1105084926 /nfs/dbraw/zinc/08/49/26/1105084926.db2.gz HBXDNELEZGOIPU-SWHYSGLUSA-N 0 1 310.850 3.313 20 30 DGEDMN N#CCCCc1nc(-c2ncccc2/C=C/N2CCCC2)no1 ZINC001248336334 1105100004 /nfs/dbraw/zinc/10/00/04/1105100004.db2.gz JLXQBBHHVGFIGP-MDWZMJQESA-N 0 1 309.373 3.044 20 30 DGEDMN CCc1cc(C#N)ccc1CN(C)CCNC(=O)OC(C)(C)C ZINC001248748753 1105154752 /nfs/dbraw/zinc/15/47/52/1105154752.db2.gz VSNMPZJTNONSCN-UHFFFAOYSA-N 0 1 317.433 3.077 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2ncccc2C)[C@@H]1C ZINC001278510517 1105185694 /nfs/dbraw/zinc/18/56/94/1105185694.db2.gz MRVNZIMAJXCCMA-IAGOWNOFSA-N 0 1 315.461 3.215 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(CNC3CCCCC3)n2)c1 ZINC001248940374 1105197504 /nfs/dbraw/zinc/19/75/04/1105197504.db2.gz CWVMLGKQAMVHRM-UHFFFAOYSA-N 0 1 300.337 3.170 20 30 DGEDMN COc1ccc([C@@H](C)N(C)Cc2cnn3ccc(C#N)cc23)cc1 ZINC001249113098 1105228174 /nfs/dbraw/zinc/22/81/74/1105228174.db2.gz DUUNOLAOXKWIBZ-CQSZACIVSA-N 0 1 320.396 3.408 20 30 DGEDMN CCNCc1nc(-c2ccc(-c3ccc(C#N)cc3)cc2)no1 ZINC001249133613 1105231639 /nfs/dbraw/zinc/23/16/39/1105231639.db2.gz SNWAQSPYLYVGLE-UHFFFAOYSA-N 0 1 304.353 3.385 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@]4(C3)CC(F)(F)CO4)[nH]c2c1 ZINC001249429765 1105285693 /nfs/dbraw/zinc/28/56/93/1105285693.db2.gz OQCBVJVRKDTPAC-MRXNPFEDSA-N 0 1 317.339 3.040 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCC[N@@H+](Cc2cccc3c2CCC3)C1 ZINC001249459511 1105291785 /nfs/dbraw/zinc/29/17/85/1105291785.db2.gz XRHJJPKFTOUVCI-QGZVFWFLSA-N 0 1 314.429 3.052 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(Cl)c1C)C2 ZINC001097112724 1105348193 /nfs/dbraw/zinc/34/81/93/1105348193.db2.gz AZPZMQMENMSIDB-WQVCFCJDSA-N 0 1 304.821 3.170 20 30 DGEDMN CN1CCN(c2cccc(F)c2Nc2ccc(C#N)cc2)CC1 ZINC001249713282 1105363391 /nfs/dbraw/zinc/36/33/91/1105363391.db2.gz QQLOEPQCKPLBEU-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C[N@H+](Cc1cccc(C#N)c1)Cc1ccc(F)c(C(=O)[O-])c1F ZINC001249780574 1105380014 /nfs/dbraw/zinc/38/00/14/1105380014.db2.gz XAHXLKYNVXGIRY-UHFFFAOYSA-N 0 1 316.307 3.167 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)Cc1ccc(F)c(C(=O)[O-])c1F ZINC001249780574 1105380019 /nfs/dbraw/zinc/38/00/19/1105380019.db2.gz XAHXLKYNVXGIRY-UHFFFAOYSA-N 0 1 316.307 3.167 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3ccccc31)C2 ZINC001097336714 1105380857 /nfs/dbraw/zinc/38/08/57/1105380857.db2.gz NRTMQKXMONHTOQ-OWYHZJEWSA-N 0 1 310.441 3.014 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(c3ccccc3)CCC1)C2 ZINC001097481663 1105400744 /nfs/dbraw/zinc/40/07/44/1105400744.db2.gz OKIZTQDEVUEMRX-KURKYZTESA-N 0 1 310.441 3.016 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3ccccc3cc1C)C2 ZINC001097562601 1105408927 /nfs/dbraw/zinc/40/89/27/1105408927.db2.gz SFDMXPXPDUJHLI-NXHRZFHOSA-N 0 1 321.424 3.064 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)c(F)c(F)c1)C2 ZINC001097907632 1105462921 /nfs/dbraw/zinc/46/29/21/1105462921.db2.gz HWXQGMOFNVIDOO-DFBGVHRSSA-N 0 1 324.346 3.015 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)ccc1Cl)C2 ZINC001097914803 1105462992 /nfs/dbraw/zinc/46/29/92/1105462992.db2.gz NMDBHQQYWHEABJ-WQVCFCJDSA-N 0 1 322.811 3.390 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(F)c1Cl)C2 ZINC001097922461 1105463540 /nfs/dbraw/zinc/46/35/40/1105463540.db2.gz CMXAPTGKRKSXCW-DFBGVHRSSA-N 0 1 322.811 3.390 20 30 DGEDMN Cc1cc(CN2CCC[C@H](Oc3ccccc3C#N)C2)ccn1 ZINC001137416439 1105518493 /nfs/dbraw/zinc/51/84/93/1105518493.db2.gz CVWNKUOQBQCFIX-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(Cl)ccc1C)C2 ZINC001098062265 1105541348 /nfs/dbraw/zinc/54/13/48/1105541348.db2.gz SJKVBAJAGGUYAW-BMFZPTHFSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001490895137 1105552404 /nfs/dbraw/zinc/55/24/04/1105552404.db2.gz VPTYOORYIFNFLV-HDJSIYSDSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3sccc3c1)C2 ZINC001098134501 1105553704 /nfs/dbraw/zinc/55/37/04/1105553704.db2.gz PMYLIKNWIZJAFJ-OAGGEKHMSA-N 0 1 312.438 3.422 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1coc3cc(C)ccc13)C2 ZINC001098335793 1105571420 /nfs/dbraw/zinc/57/14/20/1105571420.db2.gz JORCXCFFIKMLJQ-NXHRZFHOSA-N 0 1 324.424 3.191 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCCCC1(C)C)C2 ZINC001098517368 1105580975 /nfs/dbraw/zinc/58/09/75/1105580975.db2.gz BUHXUCJFPRZICZ-MLHJIOFPSA-N 0 1 316.489 3.338 20 30 DGEDMN CC(C)c1ccc(OC[C@H](O)CNCC#Cc2ccccc2)cc1 ZINC001250784286 1105582119 /nfs/dbraw/zinc/58/21/19/1105582119.db2.gz ZXCVDOLUKRBJQS-HXUWFJFHSA-N 0 1 323.436 3.191 20 30 DGEDMN N#Cc1ccc(-c2nc3ccc(OC(F)(F)F)cc3[nH]2)cn1 ZINC001250879107 1105598079 /nfs/dbraw/zinc/59/80/79/1105598079.db2.gz LMYQKTVJDDSNDF-UHFFFAOYSA-N 0 1 304.231 3.395 20 30 DGEDMN CN(C)c1ccc2nc(-c3sc(N)c(C#N)c3Cl)[nH]c2c1 ZINC001250930565 1105606043 /nfs/dbraw/zinc/60/60/43/1105606043.db2.gz DLOALJXKLCHNDI-UHFFFAOYSA-N 0 1 317.805 3.465 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001278616146 1105606710 /nfs/dbraw/zinc/60/67/10/1105606710.db2.gz UFBITOXZOVOBLE-OAGGEKHMSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@]2(C)C=CCC2)CC1 ZINC001278618013 1105610037 /nfs/dbraw/zinc/61/00/37/1105610037.db2.gz OXOMOHBIOYOPLG-KRWDZBQOSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc3occc3s2)C1 ZINC001099020457 1105629934 /nfs/dbraw/zinc/62/99/34/1105629934.db2.gz USTLVQDKBSESSH-SJCJKPOMSA-N 0 1 316.426 3.265 20 30 DGEDMN CC[C@H](F)CN1CC(C)(C)CC[C@H]1CNC(=O)C#CC(C)C ZINC001099065437 1105637653 /nfs/dbraw/zinc/63/76/53/1105637653.db2.gz SXOCPKXHZGTKFX-HOTGVXAUSA-N 0 1 310.457 3.001 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099093213 1105641622 /nfs/dbraw/zinc/64/16/22/1105641622.db2.gz NMOOFAPXUSMWEJ-OAHLLOKOSA-N 0 1 324.896 3.395 20 30 DGEDMN N#Cc1ccc(F)cc1-c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001251226064 1105641699 /nfs/dbraw/zinc/64/16/99/1105641699.db2.gz GCKUDFPVUUFIHD-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN COc1ccc2nc(-c3ccc(N(C)C)c(C#N)c3F)[nH]c2c1 ZINC001251265191 1105646220 /nfs/dbraw/zinc/64/62/20/1105646220.db2.gz XKBQEMLPPBZCIQ-UHFFFAOYSA-N 0 1 310.332 3.315 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C2CC2)CCC1 ZINC001099154301 1105650752 /nfs/dbraw/zinc/65/07/52/1105650752.db2.gz WKFYGUBOYKUNBS-QGZVFWFLSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099188473 1105655995 /nfs/dbraw/zinc/65/59/95/1105655995.db2.gz GVGVDVSGDHPRDV-IAGOWNOFSA-N 0 1 304.478 3.219 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(CCC)CCC1 ZINC001099188447 1105656093 /nfs/dbraw/zinc/65/60/93/1105656093.db2.gz GOUPQJSOSWJABE-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C(C)C)CC1 ZINC001099228958 1105659136 /nfs/dbraw/zinc/65/91/36/1105659136.db2.gz FEPRNFFAZNIPIE-INIZCTEOSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)c1cccs1 ZINC001099234379 1105660576 /nfs/dbraw/zinc/66/05/76/1105660576.db2.gz IXPKBHWVILPTKQ-HUUCEWRRSA-N 0 1 318.486 3.092 20 30 DGEDMN C=CCC1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3CCF)CCCC1 ZINC001490980500 1105730784 /nfs/dbraw/zinc/73/07/84/1105730784.db2.gz LPXGFIIPRYGLHC-MQVJKMGUSA-N 0 1 308.441 3.204 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCC[C@@H]2CN(CCF)CC[C@@H]21 ZINC001490982873 1105731898 /nfs/dbraw/zinc/73/18/98/1105731898.db2.gz DZLZVXDCJANYNG-SJORKVTESA-N 0 1 308.441 3.037 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001491046832 1105740978 /nfs/dbraw/zinc/74/09/78/1105740978.db2.gz DCWWMWYYKMVQFX-PRUIDDLISA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2nc(C)cs2)C(C)(C)C1 ZINC001278645057 1105741536 /nfs/dbraw/zinc/74/15/36/1105741536.db2.gz MQTDRFXYWQHNFA-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN CCCCCCCC[C@@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001252119829 1105743314 /nfs/dbraw/zinc/74/33/14/1105743314.db2.gz GZVJCMJHMQMLRA-JKSUJKDBSA-N 0 1 318.465 3.491 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1cncc(C)c1 ZINC001491219372 1105778572 /nfs/dbraw/zinc/77/85/72/1105778572.db2.gz QOSYCMZQADTCJK-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001491235403 1105783487 /nfs/dbraw/zinc/78/34/87/1105783487.db2.gz DLJWPXPGGFKWGU-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)[C@H]2CCCN(CCF)C2)CCCCC1 ZINC001491300616 1105802587 /nfs/dbraw/zinc/80/25/87/1105802587.db2.gz OOCRENMCUPIZQV-SJORKVTESA-N 0 1 322.468 3.146 20 30 DGEDMN C=CCC[C@H](O)CNCc1cn(Cc2ccc(Cl)cc2)cn1 ZINC001252621766 1105806206 /nfs/dbraw/zinc/80/62/06/1105806206.db2.gz HZSDOZRSLRKBBI-KRWDZBQOSA-N 0 1 319.836 3.002 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)NCc1nc(C)c(C(C)(C)C)s1 ZINC001491411103 1105821895 /nfs/dbraw/zinc/82/18/95/1105821895.db2.gz PLOWMMYMXOVYFT-LBPRGKRZSA-N 0 1 323.506 3.310 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001491414920 1105822883 /nfs/dbraw/zinc/82/28/83/1105822883.db2.gz ZAOMBLQQWSFFAJ-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)N[C@@H](C)c1cc(F)ccc1F ZINC001491451620 1105829177 /nfs/dbraw/zinc/82/91/77/1105829177.db2.gz HVGRYTBPBPIFNL-OLZOCXBDSA-N 0 1 322.399 3.170 20 30 DGEDMN N#Cc1cccc(Cl)c1NS(=O)(=O)Cc1ccccc1 ZINC001252873590 1105850021 /nfs/dbraw/zinc/85/00/21/1105850021.db2.gz QJQXYCOJCLJHIG-UHFFFAOYSA-N 0 1 306.774 3.154 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@](C)(CNC(=O)c2ccoc2CCC)C1 ZINC001278686698 1105853110 /nfs/dbraw/zinc/85/31/10/1105853110.db2.gz MKRPBHGXYTXZKU-IBGZPJMESA-N 0 1 316.445 3.087 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCC2(C1)OCCO2 ZINC001252985068 1105866001 /nfs/dbraw/zinc/86/60/01/1105866001.db2.gz LWQRNTZDQOZEAF-KRWDZBQOSA-N 0 1 313.482 3.327 20 30 DGEDMN C=CCCCCCC[C@H](O)C[NH2+]CC(=O)c1ccc([O-])cc1 ZINC001253253765 1105912812 /nfs/dbraw/zinc/91/28/12/1105912812.db2.gz KNYZQJRSWAISEI-KRWDZBQOSA-N 0 1 305.418 3.052 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CC=C(Cl)Cl)C[C@H]1C ZINC001102011738 1106009409 /nfs/dbraw/zinc/00/94/09/1106009409.db2.gz OFXGDXJONMPONH-CHWSQXEVSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@](C)(F)CCCC)[C@H](C)C1 ZINC001102105161 1106021778 /nfs/dbraw/zinc/02/17/78/1106021778.db2.gz HNBXRQDFFQWDSM-XNRPHZJLSA-N 0 1 318.864 3.341 20 30 DGEDMN COC(=O)[C@H](C)N(C)C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254357108 1106058718 /nfs/dbraw/zinc/05/87/18/1106058718.db2.gz YPEYBDLWVJGTMG-BELGNNRLSA-N 0 1 318.392 3.023 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@H](C#N)C1 ZINC001254659204 1106125148 /nfs/dbraw/zinc/12/51/48/1106125148.db2.gz LXNOAMOEWZJXGD-XHSDSOJGSA-N 0 1 321.465 3.256 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2cn(Cc3cccc(Cl)c3)cn2)C1 ZINC001254658663 1106125548 /nfs/dbraw/zinc/12/55/48/1106125548.db2.gz WTAODYPRFPBNKV-XJKSGUPXSA-N 0 1 314.820 3.367 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCC3(CC2)CC(=O)c2ccccc2O3)C1 ZINC001254669277 1106128274 /nfs/dbraw/zinc/12/82/74/1106128274.db2.gz QFIGQCYJVJSHTK-GJZGRUSLSA-N 0 1 310.397 3.179 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCC(C(=O)c3ccccc3F)CC2)C1 ZINC001254677278 1106131981 /nfs/dbraw/zinc/13/19/81/1106131981.db2.gz RRKDSMWATDPADX-ZFWWWQNUSA-N 0 1 300.377 3.413 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001254680756 1106132583 /nfs/dbraw/zinc/13/25/83/1106132583.db2.gz ZSEPYNVDGVNYAW-RVKKMQEKSA-N 0 1 301.409 3.197 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](C)c1ccc(F)c(Br)c1 ZINC001255018139 1106166931 /nfs/dbraw/zinc/16/69/31/1106166931.db2.gz BWJDXVICLTWZAB-ONGXEEELSA-N 0 1 302.187 3.440 20 30 DGEDMN CCc1ccc(S(=O)(=O)Nc2c(F)cccc2C#N)cc1 ZINC001255067553 1106174198 /nfs/dbraw/zinc/17/41/98/1106174198.db2.gz BRPJDYJZFRPVDR-UHFFFAOYSA-N 0 1 304.346 3.061 20 30 DGEDMN CC[C@@H](CC#N)NCCc1cc(OC)ccc1Br ZINC001255152296 1106192369 /nfs/dbraw/zinc/19/23/69/1106192369.db2.gz RBIPOPCQLOKOCP-LBPRGKRZSA-N 0 1 311.223 3.282 20 30 DGEDMN CC[C@H](CC#N)N[C@H]1CN(C(=O)OC(C)(C)C)CCC1(C)C ZINC001255158568 1106193950 /nfs/dbraw/zinc/19/39/50/1106193950.db2.gz KZGXDUDTDNCSOR-KGLIPLIRSA-N 0 1 309.454 3.304 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2cnc(C#N)c(C)c2)cc1 ZINC001255158452 1106194132 /nfs/dbraw/zinc/19/41/32/1106194132.db2.gz IXRXIBWBRLJGBD-UHFFFAOYSA-N 0 1 315.398 3.015 20 30 DGEDMN C=CCOC(=O)N1CCC2(CCCN([C@@H](CC)CC#N)C2)CC1 ZINC001255164217 1106195953 /nfs/dbraw/zinc/19/59/53/1106195953.db2.gz IKEJCWRUKKGCAF-INIZCTEOSA-N 0 1 319.449 3.179 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001255167170 1106197226 /nfs/dbraw/zinc/19/72/26/1106197226.db2.gz VLIRCAUPFBRMSO-QAPCUYQASA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)N1CCC(c2ccc(C(=O)OC)cc2)CC1 ZINC001255173221 1106198190 /nfs/dbraw/zinc/19/81/90/1106198190.db2.gz JNPJUSJXSJZHRB-QGZVFWFLSA-N 0 1 300.402 3.345 20 30 DGEDMN CC[C@@H](CC#N)N(CC)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001255176180 1106199638 /nfs/dbraw/zinc/19/96/38/1106199638.db2.gz XUBYCRMWVDIYDT-LSDHHAIUSA-N 0 1 309.454 3.400 20 30 DGEDMN CC[C@@H](CC#N)N1CCC2(CC[C@H]2NC(=O)OC(C)(C)C)CC1 ZINC001255177969 1106200795 /nfs/dbraw/zinc/20/07/95/1106200795.db2.gz ZGOSYPPVUOIEFY-LSDHHAIUSA-N 0 1 321.465 3.448 20 30 DGEDMN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](N1CC[C@@](C)(C#N)C1)C2 ZINC001255247953 1106209772 /nfs/dbraw/zinc/20/97/72/1106209772.db2.gz HSRFDWQTRCUDCP-BORJPKMPSA-N 0 1 319.449 3.152 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN([C@@H](C)Cc2ccccc2F)C1 ZINC001255854454 1106329175 /nfs/dbraw/zinc/32/91/75/1106329175.db2.gz DQZJIBZJGNTDSO-GOEBONIOSA-N 0 1 320.408 3.133 20 30 DGEDMN C=CCOCc1nn(C)c2c1C[N@@H+](C1CCCCCC1)CC2 ZINC001255888757 1106339086 /nfs/dbraw/zinc/33/90/86/1106339086.db2.gz DGSHPSOHADIPCR-UHFFFAOYSA-N 0 1 303.450 3.204 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](N2CCC(C)(C#N)CC2)C1 ZINC001255917055 1106343823 /nfs/dbraw/zinc/34/38/23/1106343823.db2.gz FPWWUPUHVGVODP-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN CC(C)N(CCN(C)Cc1ccc(Cl)cc1)C(=O)[C@@H](C)C#N ZINC001278899678 1106350147 /nfs/dbraw/zinc/35/01/47/1106350147.db2.gz MZTRBFQHWFAYHZ-AWEZNQCLSA-N 0 1 321.852 3.168 20 30 DGEDMN CC#CC[N@@H+](C)CCN(C(=O)c1csc(Cl)c1)C(C)C ZINC001278922008 1106396180 /nfs/dbraw/zinc/39/61/80/1106396180.db2.gz FHBNLDPFJNPOFY-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1csc(Cl)c1)C(C)C ZINC001278922008 1106396186 /nfs/dbraw/zinc/39/61/86/1106396186.db2.gz FHBNLDPFJNPOFY-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)c1ccccc1C)C(C)C ZINC001278930013 1106407218 /nfs/dbraw/zinc/40/72/18/1106407218.db2.gz RQWUUWIDCXSFFI-SFHVURJKSA-N 0 1 314.473 3.291 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)C1CCC1)c1ccccc1CC ZINC001278969472 1106498762 /nfs/dbraw/zinc/49/87/62/1106498762.db2.gz KYHGZUQFJNZJQI-DNVCBOLYSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc(CC)n1)C(C)(C)C ZINC001278973747 1106503705 /nfs/dbraw/zinc/50/37/05/1106503705.db2.gz XZVDAPPAWDHLBH-HNNXBMFYSA-N 0 1 323.868 3.131 20 30 DGEDMN CCOC(=O)CN(Cc1ccccc1)C1CCC(C#N)CC1 ZINC001256965670 1106517838 /nfs/dbraw/zinc/51/78/38/1106517838.db2.gz WZCHWXWGKPHVDX-UHFFFAOYSA-N 0 1 300.402 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1csc(C)n1)C(C)(C)C ZINC001278991200 1106540289 /nfs/dbraw/zinc/54/02/89/1106540289.db2.gz YIPUICRUOFKFDO-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN CCCN(CCC#N)[C@@H](C)Cc1ccc(C(=O)OCC)cc1 ZINC001257164211 1106546871 /nfs/dbraw/zinc/54/68/71/1106546871.db2.gz DKHATTRYXITXGK-HNNXBMFYSA-N 0 1 302.418 3.420 20 30 DGEDMN C[C@@H]1C[C@@H](N2CC[C@@](C)(C#N)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001257593508 1106610555 /nfs/dbraw/zinc/61/05/55/1106610555.db2.gz UUPCCOFWGJFELR-KRXQYRFLSA-N 0 1 321.465 3.398 20 30 DGEDMN CN(CCC#N)[C@@H]1CCC[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001257803694 1106630045 /nfs/dbraw/zinc/63/00/45/1106630045.db2.gz MLQWXOTZLUHXBD-QAPCUYQASA-N 0 1 321.465 3.402 20 30 DGEDMN C=C1C[C@@H]2CC(N3CC[C@@](O)(C(F)(F)F)[C@@H](F)C3)C[C@H](C1)C2 ZINC001257892524 1106642508 /nfs/dbraw/zinc/64/25/08/1106642508.db2.gz ZEGWJNXDMQCXGU-AWQNUYMJSA-N 0 1 321.358 3.459 20 30 DGEDMN CCCCCCC[C@@H](C)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001258043098 1106663810 /nfs/dbraw/zinc/66/38/10/1106663810.db2.gz IVQMFWPNRJKOIY-IAGOWNOFSA-N 0 1 310.482 3.059 20 30 DGEDMN N#Cc1ccccc1S(=O)(=O)Nc1ccnc(C2CCC2)c1 ZINC001258214691 1106698917 /nfs/dbraw/zinc/69/89/17/1106698917.db2.gz NXJJGXPZVYWWGL-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN COC(=O)CC[C@H](C)N1CCC(C#N)(c2ccccc2F)CC1 ZINC001258381665 1106725442 /nfs/dbraw/zinc/72/54/42/1106725442.db2.gz FQQCPXLJWGXGCM-AWEZNQCLSA-N 0 1 318.392 3.025 20 30 DGEDMN C#Cc1ccc(C(=O)NCCCN(C/C=C/Cl)C2CC2)cc1 ZINC001316923676 1106769371 /nfs/dbraw/zinc/76/93/71/1106769371.db2.gz WXOQPMCOMOVGKT-QDEBKDIKSA-N 0 1 316.832 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001316946421 1106801492 /nfs/dbraw/zinc/80/14/92/1106801492.db2.gz BQSJORKVEAZLLC-RTBURBONSA-N 0 1 314.473 3.268 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC001316946028 1106802509 /nfs/dbraw/zinc/80/25/09/1106802509.db2.gz WFAWJNCOWPUYRV-SFHVURJKSA-N 0 1 315.461 3.135 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC001316946684 1106804112 /nfs/dbraw/zinc/80/41/12/1106804112.db2.gz ZJGWSFGBEFLRKY-ABMFHFBKSA-N 0 1 324.468 3.330 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@H](N2CC[C@H](C#N)C2)CC1(C)C ZINC001258562381 1106822796 /nfs/dbraw/zinc/82/27/96/1106822796.db2.gz HUEVMVSACRKNSD-KGLIPLIRSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@@H]1CNCc1nc(C)c(C)o1 ZINC001320151902 1106903083 /nfs/dbraw/zinc/90/30/83/1106903083.db2.gz JIZDWBGHJFPQBC-HZPDHXFCSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)Cc1cc(C)ccc1C)C1CC1 ZINC001317022605 1106911376 /nfs/dbraw/zinc/91/13/76/1106911376.db2.gz IIQMJAACSRRVFB-KRWDZBQOSA-N 0 1 320.864 3.083 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C(C2CC2)C2CC2)C1 ZINC001317038325 1106937641 /nfs/dbraw/zinc/93/76/41/1106937641.db2.gz CKEFGFGFNOXMGC-CQSZACIVSA-N 0 1 324.896 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CCCn2cnc(COCC3CC3)c2C1 ZINC001258730592 1106938639 /nfs/dbraw/zinc/93/86/39/1106938639.db2.gz KLHRZTFZSBNCDN-HNNXBMFYSA-N 0 1 303.450 3.370 20 30 DGEDMN CCCCC(=O)N1CC[C@H](NCC#Cc2ccccc2Cl)C1 ZINC001317052644 1106954259 /nfs/dbraw/zinc/95/42/59/1106954259.db2.gz IHVNVOIAHYAVMD-INIZCTEOSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001317134832 1107040241 /nfs/dbraw/zinc/04/02/41/1107040241.db2.gz CYCBJRZIZZUQAJ-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@H]2CNC(=O)C=C2CCC2)cc1 ZINC001317168056 1107076094 /nfs/dbraw/zinc/07/60/94/1107076094.db2.gz ADFKPHNISPGKOH-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1scnc1C ZINC001317172277 1107083201 /nfs/dbraw/zinc/08/32/01/1107083201.db2.gz HEIYMCBJWIWJOR-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C(C)(C)C(C)(F)F ZINC001317197235 1107115153 /nfs/dbraw/zinc/11/51/53/1107115153.db2.gz QCZIRFKBCIZRSL-GFCCVEGCSA-N 0 1 322.827 3.391 20 30 DGEDMN C[C@@H](C#N)c1ccc(NS(=O)(=O)c2cc(F)cc(F)c2)cc1 ZINC001259311220 1107123665 /nfs/dbraw/zinc/12/36/65/1107123665.db2.gz KOGBOCZODSPNFY-JTQLQIEISA-N 0 1 322.336 3.393 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)Cc2ccc(C)o2)C1 ZINC001317206416 1107125097 /nfs/dbraw/zinc/12/50/97/1107125097.db2.gz XKTDGFAQCDUHRV-LSDHHAIUSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CCc2ccco2)C1 ZINC001317208314 1107126983 /nfs/dbraw/zinc/12/69/83/1107126983.db2.gz LDHJGQWXDTVDSR-CABCVRRESA-N 0 1 324.852 3.181 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2ccc(Cl)cc2F)cc1 ZINC001259512237 1107152998 /nfs/dbraw/zinc/15/29/98/1107152998.db2.gz STLVASDDNRXYOM-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001317246612 1107170812 /nfs/dbraw/zinc/17/08/12/1107170812.db2.gz VJQSBKBXZIGBLI-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@H](C)NCc1ccc(C#N)cc1F ZINC001317254027 1107176181 /nfs/dbraw/zinc/17/61/81/1107176181.db2.gz GDEBVEVZESJOPE-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1CCC(C2CC2)CC1 ZINC001320912781 1107185752 /nfs/dbraw/zinc/18/57/52/1107185752.db2.gz KCPLQVAOMJXTKQ-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(F)F)c(F)c1 ZINC001317266907 1107188458 /nfs/dbraw/zinc/18/84/58/1107188458.db2.gz YIOPGMPWKJEPJA-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN Cn1ccc(NN=C2CCOc3cc(Cl)cc(Cl)c32)n1 ZINC001321018086 1107224255 /nfs/dbraw/zinc/22/42/55/1107224255.db2.gz VBWFLSGAWCILEN-UHFFFAOYSA-N 0 1 311.172 3.326 20 30 DGEDMN CC(C)c1ncsc1NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC001260039649 1107241519 /nfs/dbraw/zinc/24/15/19/1107241519.db2.gz RODZHDRVXLAMQM-UHFFFAOYSA-N 0 1 321.427 3.080 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1[C@H](C=C(C)C)C1(C)C ZINC001321152173 1107266756 /nfs/dbraw/zinc/26/67/56/1107266756.db2.gz PUJIMQQPWOMEOU-BEWKBBBFSA-N 0 1 310.869 3.239 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001317376320 1107279073 /nfs/dbraw/zinc/27/90/73/1107279073.db2.gz OSTFYYTZQVBIDB-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)NCC[C@H](C)N[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001317386378 1107298065 /nfs/dbraw/zinc/29/80/65/1107298065.db2.gz FEPRJORRCUDBMF-KBPBESRZSA-N 0 1 321.465 3.484 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)Cc2cccc(Cl)c2)s1 ZINC001260828973 1107322851 /nfs/dbraw/zinc/32/28/51/1107322851.db2.gz LTXDGZYWEHUVCL-UHFFFAOYSA-N 0 1 312.803 3.215 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001321335010 1107326496 /nfs/dbraw/zinc/32/64/96/1107326496.db2.gz FMMDXIWHLUGDNB-PLNGDYQASA-N 0 1 316.832 3.274 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2cccc(F)c2o1 ZINC001321401910 1107340741 /nfs/dbraw/zinc/34/07/41/1107340741.db2.gz DAVXIXFQEGKFDX-IHWYPQMZSA-N 0 1 322.767 3.200 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1cc(C)on1 ZINC001317427353 1107354134 /nfs/dbraw/zinc/35/41/34/1107354134.db2.gz MZUHGOATHZTEBP-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN Cn1ccc(N=NC2CCc3sc(Br)cc32)n1 ZINC001261236248 1107367988 /nfs/dbraw/zinc/36/79/88/1107367988.db2.gz AJTLWZXIYGPTGW-UHFFFAOYSA-N 0 1 311.208 3.007 20 30 DGEDMN Cc1ccc(NC2CC(C#N)(c3ccccc3)C2)c(N(C)C)n1 ZINC001321634184 1107399064 /nfs/dbraw/zinc/39/90/64/1107399064.db2.gz XGZZHSLJBJYGEW-UHFFFAOYSA-N 0 1 306.413 3.492 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1OC1CCC1 ZINC001321646783 1107403102 /nfs/dbraw/zinc/40/31/02/1107403102.db2.gz XVPBVRWFQYOKFH-CYBMUJFWSA-N 0 1 322.836 3.078 20 30 DGEDMN Cn1ccc(NN=C2CCOc3c(Cl)cc(Cl)cc32)n1 ZINC001321825839 1107442426 /nfs/dbraw/zinc/44/24/26/1107442426.db2.gz RRHTUGRLIKNBRA-UHFFFAOYSA-N 0 1 311.172 3.326 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C(C)(C)C1CCCCC1 ZINC001317511844 1107475030 /nfs/dbraw/zinc/47/50/30/1107475030.db2.gz UQSWKDJBAYPJSW-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001322011530 1107484009 /nfs/dbraw/zinc/48/40/09/1107484009.db2.gz AIMSMVPIUPLUSE-DOTOQJQBSA-N 0 1 322.880 3.411 20 30 DGEDMN CC(C)CC(C)(C)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001322043080 1107491280 /nfs/dbraw/zinc/49/12/80/1107491280.db2.gz FTOBSMVWSBTOHQ-HNNXBMFYSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001322094142 1107507408 /nfs/dbraw/zinc/50/74/08/1107507408.db2.gz RZUIKTAYULKMDQ-ZDUSSCGKSA-N 0 1 317.820 3.142 20 30 DGEDMN C=CCO[C@H]1CCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001262010326 1107572421 /nfs/dbraw/zinc/57/24/21/1107572421.db2.gz BAUVLKKMGDKQCO-ZDUSSCGKSA-N 0 1 301.308 3.204 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1ccc(C)nc1C ZINC001322239245 1107585479 /nfs/dbraw/zinc/58/54/79/1107585479.db2.gz YVIUFAKZIRBXRI-KXBFYZLASA-N 0 1 317.477 3.237 20 30 DGEDMN CC(N=Nc1ccc(C#N)cn1)c1ccc(N2CCCC2)cc1O ZINC001262039550 1107587487 /nfs/dbraw/zinc/58/74/87/1107587487.db2.gz QLHFQJWYBJYYKN-UHFFFAOYSA-N 0 1 321.384 3.095 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001322258168 1107595904 /nfs/dbraw/zinc/59/59/04/1107595904.db2.gz LGESSJUWUWLLOZ-CQSZACIVSA-N 0 1 320.864 3.077 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ccccc1F)C(C)C ZINC001317677254 1107596035 /nfs/dbraw/zinc/59/60/35/1107596035.db2.gz DNWCXJWAEJFMBF-UHFFFAOYSA-N 0 1 312.816 3.409 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(C)(F)F)c1ccc(C(C)C)cc1 ZINC001317711436 1107614900 /nfs/dbraw/zinc/61/49/00/1107614900.db2.gz OUDGMODLPAVEFF-INIZCTEOSA-N 0 1 322.399 3.235 20 30 DGEDMN Cc1cccc(CN[C@H](CNC(=O)[C@@H](C)C#N)c2ccccc2)c1 ZINC001317715042 1107617892 /nfs/dbraw/zinc/61/78/92/1107617892.db2.gz LIOBVUAFWNKNTJ-QFBILLFUSA-N 0 1 321.424 3.102 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC(C)(C)CC)c1ccccc1 ZINC001317717662 1107620211 /nfs/dbraw/zinc/62/02/11/1107620211.db2.gz GOXZFSUEGCVXJY-KRWDZBQOSA-N 0 1 300.446 3.283 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H]1CCCC1(C)C)c1ccccc1 ZINC001317722592 1107622891 /nfs/dbraw/zinc/62/28/91/1107622891.db2.gz XCBQOHIDJCHCJN-QZTJIDSGSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccoc1C1CC1)c1ccccc1 ZINC001317722563 1107623494 /nfs/dbraw/zinc/62/34/94/1107623494.db2.gz WMEHTDKXZCWHOY-GOSISDBHSA-N 0 1 322.408 3.241 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc(C)ccc1F)c1ccccc1 ZINC001317725565 1107624625 /nfs/dbraw/zinc/62/46/25/1107624625.db2.gz VUNNYBDRLASTSN-LJQANCHMSA-N 0 1 324.399 3.218 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cccc(C)c2)C1 ZINC001317763873 1107650193 /nfs/dbraw/zinc/65/01/93/1107650193.db2.gz SYLOSBGDVLGVAS-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cncc3ccccc32)C1 ZINC001317769609 1107653981 /nfs/dbraw/zinc/65/39/81/1107653981.db2.gz GSRLKVGJFUNTOO-KRWDZBQOSA-N 0 1 323.440 3.347 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)C1 ZINC001317979362 1107777823 /nfs/dbraw/zinc/77/78/23/1107777823.db2.gz IYOSGIDSAGCVFM-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2ccc(C#N)c(Br)c2)[nH]n1 ZINC001262687190 1107779587 /nfs/dbraw/zinc/77/95/87/1107779587.db2.gz GOYVJOZGLHSFLI-VIFPVBQESA-N 0 1 319.206 3.395 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC001318041095 1107805255 /nfs/dbraw/zinc/80/52/55/1107805255.db2.gz HDBWLHCDOXAKIF-WMLDXEAASA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C)c3cccnc23)C1 ZINC001318042187 1107807088 /nfs/dbraw/zinc/80/70/88/1107807088.db2.gz RNFMNHVCSNCOPO-OAHLLOKOSA-N 0 1 323.440 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(CC)s1)C1CC1 ZINC001323034637 1107812008 /nfs/dbraw/zinc/81/20/08/1107812008.db2.gz RTHYJDIAPVOTMT-CYBMUJFWSA-N 0 1 312.866 3.161 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCCc2ccccc2)C1 ZINC001318059703 1107814704 /nfs/dbraw/zinc/81/47/04/1107814704.db2.gz UQEQEIQKCGPLSQ-MRXNPFEDSA-N 0 1 300.446 3.164 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc(C)c2Cl)C1 ZINC001318072219 1107822267 /nfs/dbraw/zinc/82/22/67/1107822267.db2.gz YRJTULFBEQSUBZ-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(CC2CCCCC2)C1)c1ccccc1 ZINC001318089619 1107835866 /nfs/dbraw/zinc/83/58/66/1107835866.db2.gz UHUIPCKGPXGYIR-LJQANCHMSA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1scnc1C ZINC001323182833 1107872739 /nfs/dbraw/zinc/87/27/39/1107872739.db2.gz RIFPXKWSOKOWBO-CQSZACIVSA-N 0 1 307.463 3.002 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc2c(c1)CCC2 ZINC001323213570 1107885080 /nfs/dbraw/zinc/88/50/80/1107885080.db2.gz DNQUYGCAUNKFHT-FQEVSTJZSA-N 0 1 324.468 3.059 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC(C)(C)c1ccc(F)cc1 ZINC001323245397 1107903308 /nfs/dbraw/zinc/90/33/08/1107903308.db2.gz DVMVAHOFACVVRP-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001318247723 1107915393 /nfs/dbraw/zinc/91/53/93/1107915393.db2.gz JDLCWSGIPBRIMX-KRWDZBQOSA-N 0 1 316.420 3.018 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2ccc(C)c(C)c2)C1 ZINC001323304182 1107924271 /nfs/dbraw/zinc/92/42/71/1107924271.db2.gz VHUYGQUNJQSQLR-SFHVURJKSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001318360061 1107958008 /nfs/dbraw/zinc/95/80/08/1107958008.db2.gz CXLHBZHPQJADMC-QZTJIDSGSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN(Cc2ccccc2Cl)C1 ZINC001318400201 1107983397 /nfs/dbraw/zinc/98/33/97/1107983397.db2.gz NAMUXEWSZLOBNH-INIZCTEOSA-N 0 1 318.848 3.176 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1nc(-c2ccccc2)c[nH]1 ZINC001323474852 1107984899 /nfs/dbraw/zinc/98/48/99/1107984899.db2.gz BBYZPNHDDGJLNJ-UHFFFAOYSA-N 0 1 320.352 3.309 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001323518138 1107996607 /nfs/dbraw/zinc/99/66/07/1107996607.db2.gz GTGUQXDSDYCEOV-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)C=Cc3nccs3)[nH]c21 ZINC001263290688 1107999751 /nfs/dbraw/zinc/99/97/51/1107999751.db2.gz ZVSZWVXUVAYQIP-MVIFTORASA-N 0 1 308.366 3.218 20 30 DGEDMN N#CC(=C([O-])C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295589 1108003413 /nfs/dbraw/zinc/00/34/13/1108003413.db2.gz FDJNNFVKZVPDJR-ATWMFIQVSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(C(=O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295589 1108003429 /nfs/dbraw/zinc/00/34/29/1108003429.db2.gz FDJNNFVKZVPDJR-ATWMFIQVSA-N 0 1 306.369 3.135 20 30 DGEDMN N#CC(=C(O)C=CC1CCCC1)c1nc(N)c2ccccc2n1 ZINC001263295589 1108003444 /nfs/dbraw/zinc/00/34/44/1108003444.db2.gz FDJNNFVKZVPDJR-ATWMFIQVSA-N 0 1 306.369 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001318486419 1108048755 /nfs/dbraw/zinc/04/87/55/1108048755.db2.gz YRVQPRSCZRRBJI-STQMWFEESA-N 0 1 306.372 3.074 20 30 DGEDMN Cc1ccccc1C(=O)C(C#N)c1nc(N)c2ccccc2n1 ZINC001263375085 1108029752 /nfs/dbraw/zinc/02/97/52/1108029752.db2.gz FKSNGSJUAUMLSH-AWEZNQCLSA-N 0 1 302.337 3.011 20 30 DGEDMN C=C(C)CCC(=O)N(CC)[C@H]1CCN(Cc2cnc(C)s2)C1 ZINC001318472451 1108033877 /nfs/dbraw/zinc/03/38/77/1108033877.db2.gz IHPLBNPZAYPMNL-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN CCCCn1ncc(C(=O)[C@H](C#N)c2cccc(F)n2)c1CC ZINC001263381294 1108034104 /nfs/dbraw/zinc/03/41/04/1108034104.db2.gz QIFMKDUCLYEIAJ-GFCCVEGCSA-N 0 1 314.364 3.270 20 30 DGEDMN CCCCn1ncc(C(=O)C(C#N)c2cccc(F)n2)c1CC ZINC001263381294 1108034119 /nfs/dbraw/zinc/03/41/19/1108034119.db2.gz QIFMKDUCLYEIAJ-GFCCVEGCSA-N 0 1 314.364 3.270 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)[C@@H]1CCN(Cc2ccccn2)C1 ZINC001318474160 1108034843 /nfs/dbraw/zinc/03/48/43/1108034843.db2.gz SFFATQYPZAKUHS-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001318486280 1108046758 /nfs/dbraw/zinc/04/67/58/1108046758.db2.gz WVAIOLWJPFVVQI-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCCC1CCCC1 ZINC001323704685 1108058836 /nfs/dbraw/zinc/05/88/36/1108058836.db2.gz HYXYPTOFAASWIK-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NC/C(Cl)=C/Cl ZINC001318558212 1108095640 /nfs/dbraw/zinc/09/56/40/1108095640.db2.gz YVEYCIQQAWUFFN-RDQDRAATSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C12CCC(CC1)C2(C)C ZINC001318580200 1108107149 /nfs/dbraw/zinc/10/71/49/1108107149.db2.gz BBHQGWWZZGEAHX-XOYHFGRZSA-N 0 1 312.885 3.440 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)Cc1ccc(Cl)s1 ZINC001263616507 1108111977 /nfs/dbraw/zinc/11/19/77/1108111977.db2.gz XYVJVLMQOMUOSV-QMMMGPOBSA-N 0 1 314.197 3.207 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001318592693 1108113729 /nfs/dbraw/zinc/11/37/29/1108113729.db2.gz IFQMQNNQOUROEZ-OWCLPIDISA-N 0 1 306.837 3.027 20 30 DGEDMN COC(=O)c1cc2[nH]c(-c3ccc(C)c(C#N)c3)nc2cc1OC ZINC000587187128 1108122794 /nfs/dbraw/zinc/12/27/94/1108122794.db2.gz BIUBNYVTRZGMKO-UHFFFAOYSA-N 0 1 321.336 3.205 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001324030728 1108153663 /nfs/dbraw/zinc/15/36/63/1108153663.db2.gz MALWFJZCMUUSNR-QGZVFWFLSA-N 0 1 312.457 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2cc(C)no2)CC1 ZINC001324318190 1108249314 /nfs/dbraw/zinc/24/93/14/1108249314.db2.gz HNOCNNIIAKDVDR-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN COC(=O)c1cccc(/C=C\C(=O)c2ccc(OC)cc2O)c1 ZINC001319123998 1108320988 /nfs/dbraw/zinc/32/09/88/1108320988.db2.gz ZVWOQSFIYXLERK-TWGQIWQCSA-N 0 1 312.321 3.084 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(CC(=C)Cl)C1 ZINC001264034101 1108345200 /nfs/dbraw/zinc/34/52/00/1108345200.db2.gz YJDYWEPGFDEIPU-QAETUUGQSA-N 0 1 322.880 3.264 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC2CCCCC2)C(C)C)[C@H]1C ZINC001264162015 1108409147 /nfs/dbraw/zinc/40/91/47/1108409147.db2.gz FFRLCZXWTFOEGR-QRQLOZEOSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001264186361 1108421718 /nfs/dbraw/zinc/42/17/18/1108421718.db2.gz ZBKLKFFFXJCNOU-SLFFLAALSA-N 0 1 324.468 3.045 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc(F)ccc1Cl ZINC001264186203 1108422443 /nfs/dbraw/zinc/42/24/43/1108422443.db2.gz SRQNSKZZYXRPNZ-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001319454418 1108475730 /nfs/dbraw/zinc/47/57/30/1108475730.db2.gz NNWLCARKJMBLSJ-KGLIPLIRSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001319462473 1108479599 /nfs/dbraw/zinc/47/95/99/1108479599.db2.gz WTZWHIVMWLINQT-ZDUSSCGKSA-N 0 1 323.868 3.182 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001319462470 1108480354 /nfs/dbraw/zinc/48/03/54/1108480354.db2.gz WTZWHIVMWLINQT-CYBMUJFWSA-N 0 1 323.868 3.182 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1cccc(C2CC2)c1 ZINC001319501266 1108496779 /nfs/dbraw/zinc/49/67/79/1108496779.db2.gz GLOCFIPUFMHUFF-AWEZNQCLSA-N 0 1 320.864 3.296 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNCc1ccc(F)cc1F ZINC001319513829 1108501177 /nfs/dbraw/zinc/50/11/77/1108501177.db2.gz DBTCKNLAMNCYEY-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl)C1 ZINC001319528990 1108510473 /nfs/dbraw/zinc/51/04/73/1108510473.db2.gz UJNYWKYTTYAVMN-WBOGTDJTSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1CCC(F)(F)CC1 ZINC001319538268 1108513448 /nfs/dbraw/zinc/51/34/48/1108513448.db2.gz QRWLTQWVQIUIBF-NSHDSACASA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCCCNC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(C)c1 ZINC001324932391 1108520520 /nfs/dbraw/zinc/52/05/20/1108520520.db2.gz GALWDXIYDQHKHF-SFHVURJKSA-N 0 1 300.446 3.432 20 30 DGEDMN C=CCCCNC(=O)[C@@H]1CCCCN1Cc1cccc(C)c1 ZINC001324932391 1108520532 /nfs/dbraw/zinc/52/05/32/1108520532.db2.gz GALWDXIYDQHKHF-SFHVURJKSA-N 0 1 300.446 3.432 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccccc2C(C)C)C1 ZINC001324944853 1108527033 /nfs/dbraw/zinc/52/70/33/1108527033.db2.gz USTQZJIJZGZBRS-HXUWFJFHSA-N 0 1 312.457 3.275 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001319593071 1108542770 /nfs/dbraw/zinc/54/27/70/1108542770.db2.gz MRMFKHHPWAWQOF-UHOFOFEASA-N 0 1 324.827 3.118 20 30 DGEDMN COC(=O)c1cccc(CN(C)[C@H]2CC[C@H](SC)C2)c1C#N ZINC001319600009 1108547205 /nfs/dbraw/zinc/54/72/05/1108547205.db2.gz PZFHTKIRBVQOHE-KBPBESRZSA-N 0 1 318.442 3.061 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC/C=C/c2ccccc2)[C@H]1CC ZINC001264618390 1108595063 /nfs/dbraw/zinc/59/50/63/1108595063.db2.gz CUPKZKMAVQZWGO-AFCAKZNGSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001264703380 1108625098 /nfs/dbraw/zinc/62/50/98/1108625098.db2.gz YUPJUBRGGIFOTP-HIFRSBDPSA-N 0 1 306.475 3.429 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1sccc1Cl ZINC001264740377 1108639566 /nfs/dbraw/zinc/63/95/66/1108639566.db2.gz PSOKVFQLLLEODX-ZDUSSCGKSA-N 0 1 324.877 3.351 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1occc1C ZINC001319834695 1108658068 /nfs/dbraw/zinc/65/80/68/1108658068.db2.gz HINZLBPMSXDPDY-SMDDNHRTSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)Cc1ccc(C)o1 ZINC001319842845 1108660401 /nfs/dbraw/zinc/66/04/01/1108660401.db2.gz DEMLZIGLGPNRFM-MLGOLLRUSA-N 0 1 324.852 3.100 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](NC/C(Cl)=C/Cl)C(C)C ZINC001319934423 1108700541 /nfs/dbraw/zinc/70/05/41/1108700541.db2.gz CCIZWRJIAVIWSL-RNQWEJQRSA-N 0 1 319.276 3.229 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)cc1 ZINC001265207782 1108775832 /nfs/dbraw/zinc/77/58/32/1108775832.db2.gz KZADAKWDQKZQCC-YJBOKZPZSA-N 0 1 313.445 3.050 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCCc2ccccc2)C1 ZINC001265224832 1108788308 /nfs/dbraw/zinc/78/83/08/1108788308.db2.gz KWUXGUIZUQBGQJ-SFHVURJKSA-N 0 1 300.446 3.166 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)Cc2ccccc2)C1 ZINC001265233470 1108793746 /nfs/dbraw/zinc/79/37/46/1108793746.db2.gz URYRBRVOEJUGSR-AEFFLSMTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001265301249 1108814343 /nfs/dbraw/zinc/81/43/43/1108814343.db2.gz GZBUTUWPFSXQRP-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001265302018 1108814443 /nfs/dbraw/zinc/81/44/43/1108814443.db2.gz WENDOJKRGCDMIQ-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)Cc1ccccc1CC)C1CC1 ZINC001265360586 1108843077 /nfs/dbraw/zinc/84/30/77/1108843077.db2.gz OFKLTTNDJPABNV-QGZVFWFLSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc(Cl)cs1)C1CC1 ZINC001265374668 1108849505 /nfs/dbraw/zinc/84/95/05/1108849505.db2.gz QLJMKJMFYRSKEO-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C(C)(C)CC(C)C)C1CC1 ZINC001265376739 1108849865 /nfs/dbraw/zinc/84/98/65/1108849865.db2.gz UDELKOYIVUDNMX-CQSZACIVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001265448353 1108875055 /nfs/dbraw/zinc/87/50/55/1108875055.db2.gz UCXQLQPDMXVERX-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN CCCC[C@H](C)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001265475872 1108884717 /nfs/dbraw/zinc/88/47/17/1108884717.db2.gz SNZVNIVJTHRTLM-WBAXXEDZSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001265495827 1108889582 /nfs/dbraw/zinc/88/95/82/1108889582.db2.gz ILLFENVSCFLIMI-JOCQHMNTSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN(Cc2ccc(F)c(F)c2)C1 ZINC001265577344 1108917933 /nfs/dbraw/zinc/91/79/33/1108917933.db2.gz HCCFNGBSJASWBY-OAHLLOKOSA-N 0 1 322.399 3.259 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCCN(C/C=C\Cl)C1 ZINC001265588776 1108922228 /nfs/dbraw/zinc/92/22/28/1108922228.db2.gz IPXAFUCXLBLENM-CLOOOTJHSA-N 0 1 310.869 3.151 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)OC1CCCCCC1 ZINC001265653507 1108950362 /nfs/dbraw/zinc/95/03/62/1108950362.db2.gz BQVZDPZZHUYGSJ-SJORKVTESA-N 0 1 322.493 3.271 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCCCC1(C)C ZINC001265660032 1108956101 /nfs/dbraw/zinc/95/61/01/1108956101.db2.gz MDPALOSCEFTGGC-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H](C)C(C)(F)F ZINC001265681626 1108963704 /nfs/dbraw/zinc/96/37/04/1108963704.db2.gz DNLDAVQALNDIOW-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](C)c1ccco1 ZINC001265681931 1108963832 /nfs/dbraw/zinc/96/38/32/1108963832.db2.gz HTMVDXTXDCPZDF-KGLIPLIRSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](C)c1ccc(C)o1 ZINC001265684352 1108965150 /nfs/dbraw/zinc/96/51/50/1108965150.db2.gz UBKLEIXAKGYVNS-CABCVRRESA-N 0 1 324.852 3.415 20 30 DGEDMN CCCCCC(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001265752640 1108992701 /nfs/dbraw/zinc/99/27/01/1108992701.db2.gz LAHAQQROPDDKKZ-OAHLLOKOSA-N 0 1 320.864 3.366 20 30 DGEDMN CCC[C@H](C)CC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001265757161 1108994843 /nfs/dbraw/zinc/99/48/43/1108994843.db2.gz RMPPAJDSUXMHQQ-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001265815681 1109013709 /nfs/dbraw/zinc/01/37/09/1109013709.db2.gz FLCJESCWIXGWFD-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC1(CC(=O)NC[C@@H](C)NCc2conc2C)CCCCC1 ZINC001265838126 1109021470 /nfs/dbraw/zinc/02/14/70/1109021470.db2.gz CUQVPJBTBXVJNP-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC(C)(C)C(=O)NCCCN(C)Cc1cc(C#N)ccc1F ZINC001265914136 1109040671 /nfs/dbraw/zinc/04/06/71/1109040671.db2.gz DAXUVFJZASZHQP-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001266069688 1109079202 /nfs/dbraw/zinc/07/92/02/1109079202.db2.gz ZJNRTRBEOJOMOV-ZIAGYGMSSA-N 0 1 307.463 3.016 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2coc(C)n2)C1 ZINC001266071366 1109079783 /nfs/dbraw/zinc/07/97/83/1109079783.db2.gz LPVRRMOSKATYLD-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2coc(C)n2)C1 ZINC001266071367 1109080387 /nfs/dbraw/zinc/08/03/87/1109080387.db2.gz LPVRRMOSKATYLD-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001266123786 1109100895 /nfs/dbraw/zinc/10/08/95/1109100895.db2.gz OLPZOLNGCYAOSZ-QGZVFWFLSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001266234032 1109134359 /nfs/dbraw/zinc/13/43/59/1109134359.db2.gz WJQRUJKMBAWOEH-ZHPQLQEBSA-N 0 1 323.440 3.161 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001280324695 1109185390 /nfs/dbraw/zinc/18/53/90/1109185390.db2.gz KRHSWFHCPQPDQZ-QZTJIDSGSA-N 0 1 312.457 3.050 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC[C@@H](C)CC)C2)CC1 ZINC001280392031 1109197516 /nfs/dbraw/zinc/19/75/16/1109197516.db2.gz MTPBRGRXBNCPLH-AWEZNQCLSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C(CC)CC)cccc2C1 ZINC001280423917 1109201358 /nfs/dbraw/zinc/20/13/58/1109201358.db2.gz GUGKKUDFACFEHT-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccc(F)cc1C ZINC001280458141 1109206407 /nfs/dbraw/zinc/20/64/07/1109206407.db2.gz QBNVPBZVMNWFIY-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)c1ccc(F)cc1 ZINC001316604178 1109221790 /nfs/dbraw/zinc/22/17/90/1109221790.db2.gz FRBTUGGVVIKERY-QGZVFWFLSA-N 0 1 318.436 3.212 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC3CCC2CC3)CCC1 ZINC001280544807 1109222265 /nfs/dbraw/zinc/22/22/65/1109222265.db2.gz GGCKWCHDCDWRGR-NRXISQOPSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2cc(F)ccc2F)CC1 ZINC001280712245 1109241444 /nfs/dbraw/zinc/24/14/44/1109241444.db2.gz WRZAEPPJZQACNF-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001280757128 1109248944 /nfs/dbraw/zinc/24/89/44/1109248944.db2.gz UAOGPEWTPSMGIS-SJLPKXTDSA-N 0 1 319.449 3.056 20 30 DGEDMN C/C=C(/C)C(=O)NC[C@H]1CCC2(CN(CCCCCCC)C2)O1 ZINC001280917456 1109272027 /nfs/dbraw/zinc/27/20/27/1109272027.db2.gz BQBAWHFLMJCSSE-HFLUPCSWSA-N 0 1 322.493 3.273 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)CC(CC)CC)CO2 ZINC001280962204 1109279139 /nfs/dbraw/zinc/27/91/39/1109279139.db2.gz OTCDBJOFWMFNIO-WBVHZDCISA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)CC(CC)CC)CO2 ZINC001280962202 1109279270 /nfs/dbraw/zinc/27/92/70/1109279270.db2.gz OTCDBJOFWMFNIO-NVXWUHKLSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NCc2nc(C)c(C)s2)C1 ZINC001281409067 1109346678 /nfs/dbraw/zinc/34/66/78/1109346678.db2.gz STOKMFKOZJKCEL-SWLSCSKDSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(C)(NCc2cscn2)CC1 ZINC001281498508 1109367401 /nfs/dbraw/zinc/36/74/01/1109367401.db2.gz RSJTWCGAMNPOHT-UHFFFAOYSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CC/C=C/c2ccccc2)C(C)(C)C1 ZINC001281553489 1109380151 /nfs/dbraw/zinc/38/01/51/1109380151.db2.gz QXUMVMIHCAXWFO-YRVHBARZSA-N 0 1 324.468 3.330 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@H]1CCc2ccccc21 ZINC001281965208 1109423546 /nfs/dbraw/zinc/42/35/46/1109423546.db2.gz PCYYUSYNEQRXKN-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CCC)c1ccccc1 ZINC001281970208 1109425577 /nfs/dbraw/zinc/42/55/77/1109425577.db2.gz SQKVFIUUMUCERB-MOPGFXCFSA-N 0 1 312.457 3.126 20 30 DGEDMN CCCCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(C#N)cc1F ZINC001282094331 1109448893 /nfs/dbraw/zinc/44/88/93/1109448893.db2.gz GYLSKLBQBBNBIL-UONOGXRCSA-N 0 1 319.424 3.260 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1nc2ccccc2o1 ZINC001282181617 1109464019 /nfs/dbraw/zinc/46/40/19/1109464019.db2.gz BBHPHZZLLGWYFA-KBPBESRZSA-N 0 1 315.417 3.167 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C2CC2)cc1 ZINC001282243545 1109475233 /nfs/dbraw/zinc/47/52/33/1109475233.db2.gz XIDABNQUTCJMGG-CHWSQXEVSA-N 0 1 306.837 3.413 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCCc1ccc(C)cc1 ZINC001282503658 1109527654 /nfs/dbraw/zinc/52/76/54/1109527654.db2.gz DDDGWNXSLGBKNO-GOSISDBHSA-N 0 1 314.473 3.168 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cccnc1C ZINC001282647537 1109542275 /nfs/dbraw/zinc/54/22/75/1109542275.db2.gz JLNKQRPNCQFDRE-CQSZACIVSA-N 0 1 309.841 3.021 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](CCCC)C(C)C ZINC001282680399 1109551168 /nfs/dbraw/zinc/55/11/68/1109551168.db2.gz ARFGGOBJWRDKCT-ZWKOTPCHSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001282715299 1109556204 /nfs/dbraw/zinc/55/62/04/1109556204.db2.gz RVDYMKWHYXPEOM-CQSZACIVSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001282741136 1109560450 /nfs/dbraw/zinc/56/04/50/1109560450.db2.gz QVVMEJOVQYPQNI-QFBILLFUSA-N 0 1 314.473 3.439 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@]2(C1)CN(CCC)CCO2 ZINC001282782889 1109563785 /nfs/dbraw/zinc/56/37/85/1109563785.db2.gz VUQRIUFUMBOBRD-IBGZPJMESA-N 0 1 324.509 3.450 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2)C(C)(C)C1 ZINC001282820204 1109570238 /nfs/dbraw/zinc/57/02/38/1109570238.db2.gz KIZZFXPVZDLFQI-ZWKOTPCHSA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1[C@H]2CN(Cc3ccc(Cl)s3)C[C@H]21 ZINC001282843649 1109573619 /nfs/dbraw/zinc/57/36/19/1109573619.db2.gz FAPBKSCWDCQOAR-ZSOGYDGISA-N 0 1 324.877 3.162 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](CCC)C(C)C)[C@@H]2C1 ZINC001282877696 1109578272 /nfs/dbraw/zinc/57/82/72/1109578272.db2.gz PECHVZOBZCBYMF-YHUYYLMFSA-N 0 1 312.885 3.105 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1cc(F)ccc1Cl ZINC001283606755 1109659903 /nfs/dbraw/zinc/65/99/03/1109659903.db2.gz ULNQGYBPUZGTCX-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)[C@@H](C)C(C)(C)C ZINC001283633061 1109664005 /nfs/dbraw/zinc/66/40/05/1109664005.db2.gz VUOFOMCQFNISFK-VXGBXAGGSA-N 0 1 319.287 3.014 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl ZINC001283662791 1109668180 /nfs/dbraw/zinc/66/81/80/1109668180.db2.gz HPZKLNKJDLITJJ-FZMZJTMJSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1csc(Cl)c1 ZINC001283677011 1109672066 /nfs/dbraw/zinc/67/20/66/1109672066.db2.gz GVOZPWKHZYOZKC-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001283678859 1109672631 /nfs/dbraw/zinc/67/26/31/1109672631.db2.gz JHEKVZCXJUSKSW-ILXRZTDVSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2sccc2Cl)C[C@H]1C ZINC001283966293 1109707273 /nfs/dbraw/zinc/70/72/73/1109707273.db2.gz SJKJGHFSWXSGLZ-NEPJUHHUSA-N 0 1 312.866 3.447 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2ncccc2C)[C@@H]1C ZINC001284129314 1109743371 /nfs/dbraw/zinc/74/33/71/1109743371.db2.gz BSNQXHFCVWYYOD-IAGOWNOFSA-N 0 1 315.461 3.215 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001284616632 1109826091 /nfs/dbraw/zinc/82/60/91/1109826091.db2.gz CQDKUJCNSWKPHB-HOCLYGCPSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C1CCC(C(=O)NCc2ccc(CNCCF)cc2F)CC1 ZINC001285015688 1109893949 /nfs/dbraw/zinc/89/39/49/1109893949.db2.gz MZIKLVHPIOCVPU-UHFFFAOYSA-N 0 1 322.399 3.247 20 30 DGEDMN CC(C)c1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)nc1 ZINC001287395555 1110111554 /nfs/dbraw/zinc/11/15/54/1110111554.db2.gz ZFJNDFKTFOSNGF-UHFFFAOYSA-N 0 1 305.341 3.205 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(c4ccccc4)CCC3)[nH]c2c1 ZINC001287394665 1110111584 /nfs/dbraw/zinc/11/15/84/1110111584.db2.gz IAGBZVWORRZFJY-UHFFFAOYSA-N 0 1 316.364 3.495 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=Cc3ccnc(Cl)c3)[nH]c2c1 ZINC001287394872 1110111688 /nfs/dbraw/zinc/11/16/88/1110111688.db2.gz KHUYJZFHAQORPS-RQOWECAXSA-N 0 1 323.743 3.135 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)cs1 ZINC001288564977 1110186079 /nfs/dbraw/zinc/18/60/79/1110186079.db2.gz IHQNPCMBESWNFM-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN N#C[C@@H](c1ccccc1)c1ccc(NC(=O)Cc2cnc[nH]2)cc1 ZINC001290877510 1110261836 /nfs/dbraw/zinc/26/18/36/1110261836.db2.gz LKPVJMDIZISGBF-SFHVURJKSA-N 0 1 316.364 3.246 20 30 DGEDMN CCCCCCCC[C@@H](C)CC(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001302936566 1111129448 /nfs/dbraw/zinc/12/94/48/1111129448.db2.gz IZXXISYXJFOBDP-CABCVRRESA-N 0 1 321.469 3.292 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C/c2ccc(C(C)(C)C)cc2)CC1 ZINC001303792949 1111153816 /nfs/dbraw/zinc/15/38/16/1111153816.db2.gz RLYZKWXWUCWPLP-DHZHZOJOSA-N 0 1 324.468 3.211 20 30 DGEDMN CC[C@@H](NC(=O)C(F)(F)c1ccccc1C#N)C(F)(F)F ZINC001306083572 1111218005 /nfs/dbraw/zinc/21/80/05/1111218005.db2.gz ZAFFQYRXORYGRV-SNVBAGLBSA-N 0 1 306.234 3.107 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2nc(-c3ccc(Cl)cc3)n[nH]2)cc1 ZINC001306474575 1111230414 /nfs/dbraw/zinc/23/04/14/1111230414.db2.gz ZOMCTLUEVQFTJS-UHFFFAOYSA-N 0 1 322.755 3.359 20 30 DGEDMN N#Cc1cncc(CSc2nc(-c3ccccc3F)n[nH]2)c1 ZINC001307246273 1111283303 /nfs/dbraw/zinc/28/33/03/1111283303.db2.gz SOGXYUFPJZPENG-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN C#CCCCCCCN1CCN(C(=O)NC2CCCCC2)CC1 ZINC001307541318 1111312535 /nfs/dbraw/zinc/31/25/35/1111312535.db2.gz FHKMRAOZCJFSCE-UHFFFAOYSA-N 0 1 319.493 3.230 20 30 DGEDMN C#CCOCCN1Cc2cc(OC)ccc2C[C@H]1c1ccccc1 ZINC001307577460 1111315550 /nfs/dbraw/zinc/31/55/50/1111315550.db2.gz MWJYGIJSQXAITF-NRFANRHFSA-N 0 1 321.420 3.444 20 30 DGEDMN Cc1cc(F)cc2c1CN(C[C@H](O)c1cccc(C#N)c1)CC2 ZINC001307715904 1111330723 /nfs/dbraw/zinc/33/07/23/1111330723.db2.gz OYIXMNPQMUWIBZ-IBGZPJMESA-N 0 1 310.372 3.098 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H](C)CC(C)(C)C)n1 ZINC001307735747 1111333758 /nfs/dbraw/zinc/33/37/58/1111333758.db2.gz IQZIOHWVXJJFLA-MRXNPFEDSA-N 0 1 305.466 3.037 20 30 DGEDMN C=CCCCCCN1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC001307865382 1111348348 /nfs/dbraw/zinc/34/83/48/1111348348.db2.gz GABCPYOJVDBOCY-IBGZPJMESA-N 0 1 312.457 3.438 20 30 DGEDMN C#CCN([C@@H]1CCCN(C(C)C)C1=O)[C@H]1CCCc2ccccc21 ZINC001308104196 1111378248 /nfs/dbraw/zinc/37/82/48/1111378248.db2.gz OPJMTQSYCXDNBX-VQTJNVASSA-N 0 1 324.468 3.399 20 30 DGEDMN Cn1cncc1C1CCN(Cc2ccc(C#N)cc2Cl)CC1 ZINC001308165883 1111388398 /nfs/dbraw/zinc/38/83/98/1111388398.db2.gz GRZMUKFQNKJIFB-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN C=CCOc1ccccc1CNCc1c(CC)nn(C)c1CC ZINC001308271352 1111408455 /nfs/dbraw/zinc/40/84/55/1111408455.db2.gz PAEGUFBRIBUVAS-UHFFFAOYSA-N 0 1 313.445 3.400 20 30 DGEDMN C#CCN(Cc1cc2c(cc1OC)OCO2)C1CCCCC1 ZINC001308590487 1111448385 /nfs/dbraw/zinc/44/83/85/1111448385.db2.gz WIKAARQOVNTUBD-UHFFFAOYSA-N 0 1 301.386 3.192 20 30 DGEDMN C[C@H](CC(C)(C)C#N)N[C@@H]1c2sccc2OC(C)(C)[C@H]1O ZINC001308812200 1111478740 /nfs/dbraw/zinc/47/87/40/1111478740.db2.gz GTTFENCWJMRIAW-QKCSRTOESA-N 0 1 308.447 3.239 20 30 DGEDMN C=CC(C)(C)NCc1ccc(C(=O)OC)cc1Br ZINC001308973413 1111502549 /nfs/dbraw/zinc/50/25/49/1111502549.db2.gz WWAQVOFFLYKKOY-UHFFFAOYSA-N 0 1 312.207 3.290 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1csc2cc(C)ccc12 ZINC001317479338 1111547203 /nfs/dbraw/zinc/54/72/03/1111547203.db2.gz KIFNSZPTKJMXSB-UHFFFAOYSA-N 0 1 314.454 3.285 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc3sccc3c2)CC1 ZINC001309987084 1111548104 /nfs/dbraw/zinc/54/81/04/1111548104.db2.gz FULREUNERNJGNX-UHFFFAOYSA-N 0 1 313.426 3.120 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc([C@H](C)CC)c2)CC1 ZINC001311020706 1111579250 /nfs/dbraw/zinc/57/92/50/1111579250.db2.gz XGSAWCIHJILQMY-OAHLLOKOSA-N 0 1 313.445 3.419 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1C[C@@H](C)N(CC)C[C@@H]1C ZINC001313002114 1111687108 /nfs/dbraw/zinc/68/71/08/1111687108.db2.gz QBLNKDJMSSGRTB-TWMKSMIVSA-N 0 1 307.482 3.245 20 30 DGEDMN CC(C)CCCC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001316851112 1111784783 /nfs/dbraw/zinc/78/47/83/1111784783.db2.gz TYDHCHVMHWXCLS-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN N#Cc1cccc(CN2CCC(Oc3ncccc3F)CC2)c1 ZINC000278254015 214049503 /nfs/dbraw/zinc/04/95/03/214049503.db2.gz HQFKFNCCPUPHMF-UHFFFAOYSA-N 0 1 311.360 3.136 20 30 DGEDMN N#Cc1sc(N[C@@H]2CCN(Cc3ccccc3)C2)nc1Cl ZINC000278713540 214346385 /nfs/dbraw/zinc/34/63/85/214346385.db2.gz OBFXWOFUYJDVPQ-GFCCVEGCSA-N 0 1 318.833 3.355 20 30 DGEDMN CC[C@@](C)(NCCOc1ccccc1C#N)c1nccs1 ZINC000173505059 529506418 /nfs/dbraw/zinc/50/64/18/529506418.db2.gz WUHFPINBXKOXHO-MRXNPFEDSA-N 0 1 301.415 3.309 20 30 DGEDMN CC[C@H](C#N)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000171005391 529586830 /nfs/dbraw/zinc/58/68/30/529586830.db2.gz VCKPLKLRBSMGLL-LJQANCHMSA-N 0 1 321.424 3.351 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(Cc1ccc(C)s1)C(C)C ZINC000065162526 432011103 /nfs/dbraw/zinc/01/11/03/432011103.db2.gz AFLGVQXZGGHSIO-CQSZACIVSA-N 0 1 321.490 3.275 20 30 DGEDMN Cc1cc(C2CCN(c3nc(Cl)c(C#N)s3)CC2)[nH]n1 ZINC000286749792 219146198 /nfs/dbraw/zinc/14/61/98/219146198.db2.gz GTAYYMMPLLUYHW-UHFFFAOYSA-N 0 1 307.810 3.084 20 30 DGEDMN C=CCc1ccccc1OC[C@@H](O)CN(C)Cc1ccoc1C ZINC000065607404 432012961 /nfs/dbraw/zinc/01/29/61/432012961.db2.gz BGLQAZFPGBLCMR-SFHVURJKSA-N 0 1 315.413 3.188 20 30 DGEDMN C#CCOc1ccc(CN[C@H](C)c2n[nH]c([C@H](C)CC)n2)cc1 ZINC000277173040 213345213 /nfs/dbraw/zinc/34/52/13/213345213.db2.gz IARCSONSUXZIAK-ZIAGYGMSSA-N 0 1 312.417 3.181 20 30 DGEDMN CCCN1CCC[C@H]1C(=O)Nc1ccc(OC(C)C)c(C#N)c1 ZINC000130786964 432028905 /nfs/dbraw/zinc/02/89/05/432028905.db2.gz MIJOZVQXXFMGAI-INIZCTEOSA-N 0 1 315.417 3.158 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2CN(C)Cc2ccc(C#N)cc2)o1 ZINC000131164619 432032950 /nfs/dbraw/zinc/03/29/50/432032950.db2.gz MCLQORPDDDXKNO-UHFFFAOYSA-N 0 1 306.369 3.482 20 30 DGEDMN N#Cc1ccc(CNCCOc2ccccc2C(F)(F)F)o1 ZINC000174365007 198325485 /nfs/dbraw/zinc/32/54/85/198325485.db2.gz NQBAYYHMHSQFQS-UHFFFAOYSA-N 0 1 310.275 3.339 20 30 DGEDMN N#CC1(C(=O)Nc2nc3ccc(C(F)(F)F)cc3[nH]2)CCC1 ZINC000153802474 283043597 /nfs/dbraw/zinc/04/35/97/283043597.db2.gz SKLNEQIOZZJZQV-UHFFFAOYSA-N 0 1 308.263 3.214 20 30 DGEDMN Cn1cc(CNCc2csc(Br)c2)cc1C#N ZINC000276955989 213201169 /nfs/dbraw/zinc/20/11/69/213201169.db2.gz KZTLECGAKCCGHU-UHFFFAOYSA-N 0 1 310.220 3.011 20 30 DGEDMN N#CCCN(Cc1cnc(-c2ccccc2)[nH]1)Cc1cccnc1 ZINC000179528732 432060740 /nfs/dbraw/zinc/06/07/40/432060740.db2.gz XYWHHLGCUJCMFG-UHFFFAOYSA-N 0 1 317.396 3.388 20 30 DGEDMN Cc1nc(CNCCSCc2ccccc2C#N)cs1 ZINC000170670820 432076255 /nfs/dbraw/zinc/07/62/55/432076255.db2.gz OXDYFYUUTUEEJW-UHFFFAOYSA-N 0 1 303.456 3.346 20 30 DGEDMN CN(C(=O)[C@@H]1CCN(Cc2ccccc2C#N)C1)c1ccccc1 ZINC000281128105 216098397 /nfs/dbraw/zinc/09/83/97/216098397.db2.gz OCHMLZIMMJTUFF-GOSISDBHSA-N 0 1 319.408 3.043 20 30 DGEDMN C[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(C#N)c(F)c1 ZINC000271908192 209315193 /nfs/dbraw/zinc/31/51/93/209315193.db2.gz CVEWXOHTKNMARU-LBPRGKRZSA-N 0 1 312.344 3.319 20 30 DGEDMN Cn1nccc1[C@@H]1CCCCN1CCCOc1cccc(C#N)c1 ZINC000281404774 216276559 /nfs/dbraw/zinc/27/65/59/216276559.db2.gz NVNCZPFMAWUGEF-IBGZPJMESA-N 0 1 324.428 3.288 20 30 DGEDMN C[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1cccc(C#N)c1 ZINC000271879006 209290506 /nfs/dbraw/zinc/29/05/06/209290506.db2.gz YORDKSWZBFZYRR-CQSZACIVSA-N 0 1 307.397 3.111 20 30 DGEDMN CC(=Cc1ccc(OC(C)C)cc1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000193615164 201088582 /nfs/dbraw/zinc/08/85/82/201088582.db2.gz VNBVIBYPEZOISK-FMIVXFBMSA-N 0 1 309.369 3.298 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2nccn2CCCc2ccccc2)C1 ZINC000442781736 239122677 /nfs/dbraw/zinc/12/26/77/239122677.db2.gz OVCYAOGIESJVPK-MSOLQXFVSA-N 0 1 308.429 3.298 20 30 DGEDMN COc1ccc2c(c1)[C@@H](NCc1ccc(C#N)c(OC)c1)CCO2 ZINC000281582129 216399237 /nfs/dbraw/zinc/39/92/37/216399237.db2.gz DKDITUSUCCPQOB-KRWDZBQOSA-N 0 1 324.380 3.189 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H]3CCC[C@@H]32)cc1Cl ZINC000444191717 239268128 /nfs/dbraw/zinc/26/81/28/239268128.db2.gz YICLJCUMAGIYQS-LRDDRELGSA-N 0 1 317.820 3.415 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2cc3ccccc3c(OC)c2)C1=O ZINC000449303758 240120604 /nfs/dbraw/zinc/12/06/04/240120604.db2.gz NKZLCNCVVJAZNY-GOSISDBHSA-N 0 1 324.424 3.067 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C[C@@](C)(O)C(F)(F)F ZINC000281898069 216616773 /nfs/dbraw/zinc/61/67/73/216616773.db2.gz PDBHFDDQSMZJHB-CQSZACIVSA-N 0 1 300.324 3.084 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2Br)C[C@H]1C ZINC000451561576 241175058 /nfs/dbraw/zinc/17/50/58/241175058.db2.gz IUGCEKRIFHDJFB-IAQYHMDHSA-N 0 1 323.234 3.178 20 30 DGEDMN C=CCN1CC[C@@H](N2CCC[C@@H]2c2ccc(F)cc2C)C1=O ZINC000451988918 241292569 /nfs/dbraw/zinc/29/25/69/241292569.db2.gz KRUMUKGDDHPPCO-IAGOWNOFSA-N 0 1 302.393 3.058 20 30 DGEDMN COc1ccccc1C[N@@H+](C[C@H](O)c1ccc(C#N)cc1)C1CC1 ZINC000268648726 432115306 /nfs/dbraw/zinc/11/53/06/432115306.db2.gz VHRCUUVTYBQKCJ-IBGZPJMESA-N 0 1 322.408 3.265 20 30 DGEDMN Cc1ccccc1CN(C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000007420765 181464897 /nfs/dbraw/zinc/46/48/97/181464897.db2.gz VDWSVIFURLTZFR-HNNXBMFYSA-N 0 1 307.397 3.326 20 30 DGEDMN C[C@H]1C[C@@H](Nc2ccc(C#N)cc2Br)CN1C1CC1 ZINC000122869391 284227674 /nfs/dbraw/zinc/22/76/74/284227674.db2.gz IEUAQEYGAOPRLU-CMPLNLGQSA-N 0 1 320.234 3.358 20 30 DGEDMN Cc1cc(CN2CCCC[C@H]2[C@@H](O)C(F)(F)F)ccc1C#N ZINC000488141775 245152822 /nfs/dbraw/zinc/15/28/22/245152822.db2.gz SCHDBODGZXTTJP-LSDHHAIUSA-N 0 1 312.335 3.144 20 30 DGEDMN Cc1cc(CN2CCCC[C@@H]2[C@H](O)C(F)(F)F)ccc1C#N ZINC000488141772 245152878 /nfs/dbraw/zinc/15/28/78/245152878.db2.gz SCHDBODGZXTTJP-CABCVRRESA-N 0 1 312.335 3.144 20 30 DGEDMN N#Cc1c(F)cccc1CSc1nc(-c2cccnc2)n[nH]1 ZINC000488258342 245168657 /nfs/dbraw/zinc/16/86/57/245168657.db2.gz ANADKKYJGMRPEK-UHFFFAOYSA-N 0 1 311.345 3.170 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(C#N)c(C)c2)[C@@H](CC)CN1C(C)=O ZINC000488447399 245192553 /nfs/dbraw/zinc/19/25/53/245192553.db2.gz JAMAHSRIEIQFAA-RBUKOAKNSA-N 0 1 313.445 3.088 20 30 DGEDMN CC[C@@H]1CN(C(C)=O)[C@H](CC)CN1Cc1ccc(C#N)c(C)c1 ZINC000488447401 245193697 /nfs/dbraw/zinc/19/36/97/245193697.db2.gz JAMAHSRIEIQFAA-RTBURBONSA-N 0 1 313.445 3.088 20 30 DGEDMN N#Cc1ccc([C@H](O)C[N@H+]2CC[C@H](c3cccc(F)c3)C2)cc1 ZINC000268704579 432123922 /nfs/dbraw/zinc/12/39/22/432123922.db2.gz RYHCGYVNYVGSKL-PKOBYXMFSA-N 0 1 310.372 3.220 20 30 DGEDMN N#Cc1cccc(NC(=O)CN2CC[C@@H](Cc3ccccc3)C2)c1 ZINC000124291471 284256874 /nfs/dbraw/zinc/25/68/74/284256874.db2.gz WWVLRGUJKASNRP-SFHVURJKSA-N 0 1 319.408 3.061 20 30 DGEDMN C#CC[C@@H](NCC(=O)NCc1ccc(C)c(F)c1)c1ccccc1 ZINC000271734826 209168202 /nfs/dbraw/zinc/16/82/02/209168202.db2.gz TVJXFITVYVCNAU-LJQANCHMSA-N 0 1 324.399 3.105 20 30 DGEDMN C=C(C)[C@@H](NC(=O)NCc1cn[nH]c1C)c1ccc(F)c(F)c1 ZINC000274830881 211968379 /nfs/dbraw/zinc/96/83/79/211968379.db2.gz HLSGKNANJMVVBB-OAHLLOKOSA-N 0 1 320.343 3.113 20 30 DGEDMN Cc1ccccc1CN(C)C(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271718161 209151811 /nfs/dbraw/zinc/15/18/11/209151811.db2.gz XFARHGBVHNIZIF-INIZCTEOSA-N 0 1 321.424 3.176 20 30 DGEDMN CS[C@@H]1CCCCN([C@@H](C)C(=O)Nc2cccc(C#N)c2)C1 ZINC000246423134 432128212 /nfs/dbraw/zinc/12/82/12/432128212.db2.gz CDSBLKFVMSXZQM-XJKSGUPXSA-N 0 1 317.458 3.103 20 30 DGEDMN CC[C@@H](NCc1ccc(C#N)o1)[C@H](O)c1c(F)cccc1F ZINC000266313679 205258940 /nfs/dbraw/zinc/25/89/40/205258940.db2.gz PZQIKZLOBBZGMQ-ZBFHGGJFSA-N 0 1 306.312 3.031 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C=Cc1cccc(C#N)c1 ZINC000493527773 246003346 /nfs/dbraw/zinc/00/33/46/246003346.db2.gz QQLKDWKUHPSEEK-HJWRWDBZSA-N 0 1 322.412 3.251 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)C=Cc1ccc(C#N)cc1 ZINC000493830771 246080104 /nfs/dbraw/zinc/08/01/04/246080104.db2.gz YUIONODLEUUGLE-MDZDMXLPSA-N 0 1 322.412 3.251 20 30 DGEDMN C[C@H](CC#N)N(C)CC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000495524502 246275699 /nfs/dbraw/zinc/27/56/99/246275699.db2.gz AUWGJJDRLQPDSR-HUUCEWRRSA-N 0 1 309.454 3.258 20 30 DGEDMN CC(C)(C#N)c1ccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000268894583 432147022 /nfs/dbraw/zinc/14/70/22/432147022.db2.gz JYORQWDBYGHTAK-OAHLLOKOSA-N 0 1 323.400 3.410 20 30 DGEDMN CCCCCC(=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000042003769 183228848 /nfs/dbraw/zinc/22/88/48/183228848.db2.gz OBZHLQCNGRCGOY-UHFFFAOYSA-N 0 1 313.445 3.173 20 30 DGEDMN C#CCN(Cc1ccc(OC)c(OCc2cccnc2)c1)C1CC1 ZINC000274133877 211386364 /nfs/dbraw/zinc/38/63/64/211386364.db2.gz PPTKNNAIKPHTFL-UHFFFAOYSA-N 0 1 322.408 3.267 20 30 DGEDMN C=CCc1cc(CN[C@H]2CCCc3c[nH]nc32)cc(OC)c1O ZINC000268873196 207041315 /nfs/dbraw/zinc/04/13/15/207041315.db2.gz VVNHLEMXTVIAIZ-HNNXBMFYSA-N 0 1 313.401 3.020 20 30 DGEDMN N#Cc1ccsc1NC(=O)CN1CCC[C@@H]1C1CCCC1 ZINC000055928028 184001367 /nfs/dbraw/zinc/00/13/67/184001367.db2.gz PBDFNMQYNYWFBY-CQSZACIVSA-N 0 1 303.431 3.213 20 30 DGEDMN CCOc1cc(C)ccc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000055644423 183993507 /nfs/dbraw/zinc/99/35/07/183993507.db2.gz PZMOGHYTFOEUHJ-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC000056317093 184009763 /nfs/dbraw/zinc/00/97/63/184009763.db2.gz RXDNXOAAQDTIBR-MRXNPFEDSA-N 0 1 315.417 3.047 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CC=C(C(F)(F)F)CC2)cc1 ZINC000270211369 208276857 /nfs/dbraw/zinc/27/68/57/208276857.db2.gz QWPGTGNBXOTCRC-UHFFFAOYSA-N 0 1 323.318 3.081 20 30 DGEDMN CN(CCOc1cccc(C#N)c1)C[C@@H]1OCCc2ccccc21 ZINC000337684197 253158960 /nfs/dbraw/zinc/15/89/60/253158960.db2.gz OXYKEZMTYZONOR-FQEVSTJZSA-N 0 1 322.408 3.183 20 30 DGEDMN CN(CCc1nccs1)Cc1ccc(C#N)cc1OC(F)F ZINC000337990876 253205747 /nfs/dbraw/zinc/20/57/47/253205747.db2.gz FLSCHBAIHAZNLQ-UHFFFAOYSA-N 0 1 323.368 3.291 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)C=Cc3cccc(C#N)c3)ccc12 ZINC000255065841 285125357 /nfs/dbraw/zinc/12/53/57/285125357.db2.gz CRMCDEVKWDTBHZ-YVMONPNESA-N 0 1 302.337 3.395 20 30 DGEDMN CN(Cc1ccc(C#N)cc1)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000339047550 253396286 /nfs/dbraw/zinc/39/62/86/253396286.db2.gz FYLOQPOPOPNSRZ-UHFFFAOYSA-N 0 1 322.393 3.282 20 30 DGEDMN COCC1(C#N)CCN(CCSc2ccc(F)cc2)CC1 ZINC000341984023 533111578 /nfs/dbraw/zinc/11/15/78/533111578.db2.gz GQTLRZJAPYSHFP-UHFFFAOYSA-N 0 1 308.422 3.170 20 30 DGEDMN COc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C#N)c2)cc1 ZINC000299445235 533113401 /nfs/dbraw/zinc/11/34/01/533113401.db2.gz XUNILFBGCFKWDK-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN Cc1nsc(NCc2ccc(CN(C)C)c(F)c2)c1C#N ZINC000342720569 254036701 /nfs/dbraw/zinc/03/67/01/254036701.db2.gz FVPIATGOPUBWKT-UHFFFAOYSA-N 0 1 304.394 3.136 20 30 DGEDMN CC[C@@H](CO)N(Cc1ccccc1)Cc1ccc(C#N)cc1OC ZINC000342634124 254022141 /nfs/dbraw/zinc/02/21/41/254022141.db2.gz OOTDFHOFTRIEHC-IBGZPJMESA-N 0 1 324.424 3.340 20 30 DGEDMN CN(Cc1nnc(-c2cccc(C#N)c2)o1)C[C@H]1CC=CCC1 ZINC000344068293 254220505 /nfs/dbraw/zinc/22/05/05/254220505.db2.gz QLSOMNKQKIUYCG-AWEZNQCLSA-N 0 1 308.385 3.396 20 30 DGEDMN N#Cc1ccc(OCC(=O)Nc2cc(Cl)c(O)cc2F)cc1 ZINC000345622880 254380493 /nfs/dbraw/zinc/38/04/93/254380493.db2.gz FNYJOVVJHXWIRE-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN CCN(CC(=O)NCc1ccccc1)[C@@H](C)c1ccc(C#N)cc1 ZINC000345197377 254339968 /nfs/dbraw/zinc/33/99/68/254339968.db2.gz CPLFVFZXRAVJQS-INIZCTEOSA-N 0 1 321.424 3.258 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(C3OCCO3)cc2)c(F)c1 ZINC000269434556 285205194 /nfs/dbraw/zinc/20/51/94/285205194.db2.gz QKDLNQVBYZYOHH-UHFFFAOYSA-N 0 1 312.344 3.033 20 30 DGEDMN COc1ccc(-c2ccc(CNCCCC#N)o2)c([N+](=O)[O-])c1 ZINC000344341341 533180201 /nfs/dbraw/zinc/18/02/01/533180201.db2.gz BWLXEAPWDOMILL-UHFFFAOYSA-N 0 1 315.329 3.257 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(OCc2nccn2C)cc1 ZINC000271556886 209009655 /nfs/dbraw/zinc/00/96/55/209009655.db2.gz WYQDXMZMFSDYFT-CYBMUJFWSA-N 0 1 305.809 3.402 20 30 DGEDMN COc1ccc(-n2ccc(CN[C@@H]3CCC[C@H](C#N)C3)n2)cc1 ZINC000185821411 533215103 /nfs/dbraw/zinc/21/51/03/533215103.db2.gz GZODQUGDPAGPFU-LSDHHAIUSA-N 0 1 310.401 3.053 20 30 DGEDMN C[C@@H](NCC(=O)N[C@@H](C)c1ccccc1)c1ccc(C#N)cc1 ZINC000271614565 209063102 /nfs/dbraw/zinc/06/31/02/209063102.db2.gz BNTWYPQRUNRACR-CABCVRRESA-N 0 1 307.397 3.086 20 30 DGEDMN Cc1cccnc1[C@H](NCC(=O)Nc1ccc(C#N)cc1)C(C)C ZINC000120965542 195273283 /nfs/dbraw/zinc/27/32/83/195273283.db2.gz OYUZQDFTOXRDMQ-GOSISDBHSA-N 0 1 322.412 3.187 20 30 DGEDMN COc1ccc2nc(SCC(=O)c3cccc(C#N)c3)[nH]c2c1 ZINC000160455402 533353902 /nfs/dbraw/zinc/35/39/02/533353902.db2.gz ZCOMQZQICDQJFR-UHFFFAOYSA-N 0 1 323.377 3.418 20 30 DGEDMN C[C@@H](CCC#N)Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC000340711233 533514462 /nfs/dbraw/zinc/51/44/62/533514462.db2.gz ZGWKPDCMMWWHEM-JTQLQIEISA-N 0 1 316.386 3.027 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)CN2[C@H](C)CC[C@H]2C)c1 ZINC000469378635 533519167 /nfs/dbraw/zinc/51/91/67/533519167.db2.gz IAXJUCTZQCJLEM-HUUCEWRRSA-N 0 1 302.418 3.453 20 30 DGEDMN COc1ccc(CN2CCSC[C@H]2C)cc1OCCCC#N ZINC000179665393 533565846 /nfs/dbraw/zinc/56/58/46/533565846.db2.gz KIXOYRFVKAKSAF-CQSZACIVSA-N 0 1 320.458 3.315 20 30 DGEDMN Cc1cc(CN2CC[C@H](Oc3ccc(C#N)cn3)C2)ccc1F ZINC000430179273 533628113 /nfs/dbraw/zinc/62/81/13/533628113.db2.gz OLFAFEMHLWSVLD-INIZCTEOSA-N 0 1 311.360 3.054 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2C[C@@H]3CCC[C@H]3C2)c1C#N ZINC000091072038 400091815 /nfs/dbraw/zinc/09/18/15/400091815.db2.gz XOVPPVPEEWLPPP-HOTGVXAUSA-N 0 1 306.413 3.284 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1CCN(c2ccc(Cl)cc2F)C1 ZINC000600615976 400108450 /nfs/dbraw/zinc/10/84/50/400108450.db2.gz WXWSJZWBAZGYBU-TZMCWYRMSA-N 0 1 320.799 3.091 20 30 DGEDMN N#CCC1CCN(C(=O)c2cccc(C(F)(F)F)c2O)CC1 ZINC000581617388 400081190 /nfs/dbraw/zinc/08/11/90/400081190.db2.gz RBICQZQTISGFSS-UHFFFAOYSA-N 0 1 312.291 3.177 20 30 DGEDMN C=CCN(CCO[C@@H]1CC1(F)F)Cc1cccc([N+](=O)[O-])c1 ZINC000595296732 400120984 /nfs/dbraw/zinc/12/09/84/400120984.db2.gz HZWADBHYDLIXGV-CQSZACIVSA-N 0 1 312.316 3.007 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CCC2(CCCCC2)CC1 ZINC000608833574 400127642 /nfs/dbraw/zinc/12/76/42/400127642.db2.gz SUFRRTWIOJUQLT-SJLPKXTDSA-N 0 1 319.493 3.476 20 30 DGEDMN N#CCCN(CC[C@@H]1Sc2ccccc2NC1=O)CC1CC1 ZINC000595331794 400128606 /nfs/dbraw/zinc/12/86/06/400128606.db2.gz CZEVIGFIMYHOCL-INIZCTEOSA-N 0 1 315.442 3.115 20 30 DGEDMN N#C[C@H](c1ccc(F)cc1)N1CCN(CCCCCF)CC1 ZINC000585753888 400138089 /nfs/dbraw/zinc/13/80/89/400138089.db2.gz INNAJDQYMTVXFE-QGZVFWFLSA-N 0 1 307.388 3.148 20 30 DGEDMN N#C[C@H](c1ccccc1)N1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000595404806 400140427 /nfs/dbraw/zinc/14/04/27/400140427.db2.gz OCJGFPZJUUAZKI-HZPDHXFCSA-N 0 1 307.401 3.126 20 30 DGEDMN N#C[C@H](c1ccccc1)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000595404806 400140431 /nfs/dbraw/zinc/14/04/31/400140431.db2.gz OCJGFPZJUUAZKI-HZPDHXFCSA-N 0 1 307.401 3.126 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(Cc2cc(C#N)ccc2F)[C@@H]1C ZINC000595559233 400187539 /nfs/dbraw/zinc/18/75/39/400187539.db2.gz MAJFJUGCXUUBRK-WOSRLPQWSA-N 0 1 318.392 3.249 20 30 DGEDMN C=CC[C@H]1CCCN(CC(=O)Nc2ccc([N+](=O)[O-])cc2C)C1 ZINC000595659995 400221301 /nfs/dbraw/zinc/22/13/01/400221301.db2.gz PTDRFOCBXCYPKC-AWEZNQCLSA-N 0 1 317.389 3.130 20 30 DGEDMN COC[C@@H](NCc1ccc(F)c(C#N)c1)c1cccc(OC)c1 ZINC000595683798 400225579 /nfs/dbraw/zinc/22/55/79/400225579.db2.gz KYGBGTHGWPRHMU-GOSISDBHSA-N 0 1 314.360 3.183 20 30 DGEDMN CC(C)[C@@H](NCC(=O)N[C@@](C)(C#N)C(C)C)c1ccccc1F ZINC000595692150 400230555 /nfs/dbraw/zinc/23/05/55/400230555.db2.gz LBBYBJLLBTZDNI-MSOLQXFVSA-N 0 1 319.424 3.167 20 30 DGEDMN COCCc1ncc(CN[C@@H](C)c2ccc(C#N)cc2)s1 ZINC000601100911 400232521 /nfs/dbraw/zinc/23/25/21/400232521.db2.gz PIQZPRZXBJETOZ-LBPRGKRZSA-N 0 1 301.415 3.054 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2ccc(C)c(C)c2)CC1 ZINC000068123577 400235055 /nfs/dbraw/zinc/23/50/55/400235055.db2.gz OLZLJNFIVKHKJI-HXUWFJFHSA-N 0 1 324.468 3.312 20 30 DGEDMN CC[C@H](O)CN(Cc1cc(C#N)cs1)Cc1ccccc1 ZINC000093688198 400254065 /nfs/dbraw/zinc/25/40/65/400254065.db2.gz BEAHYDZUDMXHBI-INIZCTEOSA-N 0 1 300.427 3.393 20 30 DGEDMN Cc1cccnc1CNC1(c2cccc(C#N)c2)CCOCC1 ZINC000595768751 400254558 /nfs/dbraw/zinc/25/45/58/400254558.db2.gz KPWVBJVXOUPVJE-UHFFFAOYSA-N 0 1 307.397 3.057 20 30 DGEDMN N#CC[C@H]1CCC[N@H+](Cc2ccc(Cl)cc2-n2cncn2)C1 ZINC000595623485 400211280 /nfs/dbraw/zinc/21/12/80/400211280.db2.gz RHHLWLNTPUYJFV-CYBMUJFWSA-N 0 1 315.808 3.046 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccc(Cl)cc2-n2cncn2)C1 ZINC000595623485 400211284 /nfs/dbraw/zinc/21/12/84/400211284.db2.gz RHHLWLNTPUYJFV-CYBMUJFWSA-N 0 1 315.808 3.046 20 30 DGEDMN CC(C)[C@@H](NC[C@@H](C#N)CCC#N)c1ccc2c(c1)OCCO2 ZINC000023675271 400211599 /nfs/dbraw/zinc/21/15/99/400211599.db2.gz XRPFDOVUBAJOPC-RDTXWAMCSA-N 0 1 313.401 3.188 20 30 DGEDMN CC1CCC(NC(=O)CNC(C)(C)c2cccc(C#N)c2)CC1 ZINC000595636554 400214084 /nfs/dbraw/zinc/21/40/84/400214084.db2.gz QGVBZDOEICBJOS-UHFFFAOYSA-N 0 1 313.445 3.078 20 30 DGEDMN N#Cc1csc(CN[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC000610488882 400263061 /nfs/dbraw/zinc/26/30/61/400263061.db2.gz QFOHIICZERWUHC-KRWDZBQOSA-N 0 1 308.410 3.347 20 30 DGEDMN COc1ccc2c(c1)OCC[C@@H]2NCc1cc(C#N)cs1 ZINC000610488827 400263332 /nfs/dbraw/zinc/26/33/32/400263332.db2.gz AGLHFUXEUXWTCR-HNNXBMFYSA-N 0 1 300.383 3.242 20 30 DGEDMN C[C@@H](NCc1c(C#N)cccc1[N+](=O)[O-])C1CCC(F)CC1 ZINC000595822673 400270696 /nfs/dbraw/zinc/27/06/96/400270696.db2.gz ZWVGCERPJRSXAA-LKSINWNRSA-N 0 1 305.353 3.473 20 30 DGEDMN CN(c1ccccc1)[C@H]1CCN(Cc2cccc(C#N)c2F)C1 ZINC000595830234 400274360 /nfs/dbraw/zinc/27/43/60/400274360.db2.gz ZJZPTNVXNCHZRL-SFHVURJKSA-N 0 1 309.388 3.408 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1cc(OC)c(F)cc1[N+](=O)[O-] ZINC000595844549 400279144 /nfs/dbraw/zinc/27/91/44/400279144.db2.gz GHRVVRYGWHWESE-BETUJISGSA-N 0 1 306.337 3.426 20 30 DGEDMN COc1ccc(CN[C@@H]2CCCc3cc(C#N)ccc32)c(OC)n1 ZINC000589350875 400291298 /nfs/dbraw/zinc/29/12/98/400291298.db2.gz UGXYSRZRPAZCED-QGZVFWFLSA-N 0 1 323.396 3.138 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1cccc2c[nH]nc21 ZINC000069009019 400305223 /nfs/dbraw/zinc/30/52/23/400305223.db2.gz YOVSVGABBMBXCV-UHFFFAOYSA-N 0 1 318.380 3.485 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(CC(C)C)n2)nc(C)n1 ZINC000069344459 400326029 /nfs/dbraw/zinc/32/60/29/400326029.db2.gz WRLGKJXNAAFVRX-GFCCVEGCSA-N 0 1 314.414 3.239 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCOCC23CCCC3)cc1 ZINC000093840593 400259829 /nfs/dbraw/zinc/25/98/29/400259829.db2.gz CARZWJNKOWPBNP-HNNXBMFYSA-N 0 1 300.402 3.122 20 30 DGEDMN CC[C@@H](C)c1cc(=O)n(-c2cc(C#N)ccc2Br)[nH]1 ZINC000601946574 400383729 /nfs/dbraw/zinc/38/37/29/400383729.db2.gz CPSQXIIRZBDMQE-SECBINFHSA-N 0 1 320.190 3.460 20 30 DGEDMN Cc1[nH]n(-c2cc(C(F)(F)F)ccc2F)c(=O)c1CCC#N ZINC000601949377 400385181 /nfs/dbraw/zinc/38/51/81/400385181.db2.gz NMVPWTWNBZDRTR-SNVBAGLBSA-N 0 1 313.254 3.487 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(Cc2cccc(C#N)c2F)C1 ZINC000611373222 400386432 /nfs/dbraw/zinc/38/64/32/400386432.db2.gz MGXXXPWYTBJENY-CQSZACIVSA-N 0 1 318.392 3.253 20 30 DGEDMN Cc1cccc(NC(=O)CN[C@@H](C)c2cccc(C#N)c2)c1C ZINC000611386234 400389097 /nfs/dbraw/zinc/38/90/97/400389097.db2.gz GPJLKDGMPCFAGE-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN C[C@@H](NCC(=O)N(C)C1CCC(C)CC1)c1cccc(C#N)c1 ZINC000611388190 400389957 /nfs/dbraw/zinc/38/99/57/400389957.db2.gz KUADRXDFHPNZNO-SWKXRBFHSA-N 0 1 313.445 3.246 20 30 DGEDMN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C#N)c2)s1 ZINC000611388766 400390159 /nfs/dbraw/zinc/39/01/59/400390159.db2.gz MRISVWPTYALBMY-NSHDSACASA-N 0 1 300.383 3.257 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3ccc(Cl)cc32)C[C@H]1C ZINC000611084725 400344004 /nfs/dbraw/zinc/34/40/04/400344004.db2.gz OKXALUHKPUBDDV-GFCCVEGCSA-N 0 1 314.820 3.290 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H](C)c1ccc(Cl)cc1 ZINC000069876109 400347590 /nfs/dbraw/zinc/34/75/90/400347590.db2.gz IFURSZBEVKACCI-LRDDRELGSA-N 0 1 307.825 3.045 20 30 DGEDMN N#CCCN1CCN(Cc2ccc(-c3ccccc3)cc2)CC1 ZINC000611175096 400354117 /nfs/dbraw/zinc/35/41/17/400354117.db2.gz SZYCGFFUWZDBFO-UHFFFAOYSA-N 0 1 305.425 3.385 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC2(CC2)CC1 ZINC000611177203 400355228 /nfs/dbraw/zinc/35/52/28/400355228.db2.gz ALAOXRGFKHNTPX-LBPRGKRZSA-N 0 1 317.820 3.415 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000072878245 400410538 /nfs/dbraw/zinc/41/05/38/400410538.db2.gz RPJJWRUEVOOPRP-MNOVXSKESA-N 0 1 300.324 3.047 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccccc1S[C@H](C)CC#N ZINC000602095496 400412249 /nfs/dbraw/zinc/41/22/49/400412249.db2.gz CLMKNXFURSPNPH-SNVBAGLBSA-N 0 1 300.387 3.365 20 30 DGEDMN CCCN(CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccc(C)cc1 ZINC000611565481 400422388 /nfs/dbraw/zinc/42/23/88/400422388.db2.gz IYCOAIHAEXSBQC-LJQANCHMSA-N 0 1 315.461 3.262 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N[C@H](c1ccc(C)cc1)C1CC1 ZINC000042289575 400428307 /nfs/dbraw/zinc/42/83/07/400428307.db2.gz GOIMBRJENAUJBR-LJQANCHMSA-N 0 1 300.446 3.460 20 30 DGEDMN C[NH+](C)[C@H]1CCc2cc(NC(=O)c3cc([O-])cc(C#N)c3)ccc21 ZINC000590874274 400452640 /nfs/dbraw/zinc/45/26/40/400452640.db2.gz YWBUPSYKNZDRQZ-SFHVURJKSA-N 0 1 321.380 3.065 20 30 DGEDMN COc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(C#N)cc2)cc1 ZINC000127521656 400503273 /nfs/dbraw/zinc/50/32/73/400503273.db2.gz ZBAQTARVKYDVBZ-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)N(C)Cc1ccccc1 ZINC000127481065 400503829 /nfs/dbraw/zinc/50/38/29/400503829.db2.gz FXBHBUUTQWUDMX-JKSUJKDBSA-N 0 1 321.424 3.256 20 30 DGEDMN COc1ccc(C#N)cc1N[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000602588617 400506409 /nfs/dbraw/zinc/50/64/09/400506409.db2.gz COIJOAYXTDEPCP-MRXNPFEDSA-N 0 1 311.389 3.418 20 30 DGEDMN CC(C)[C@H](NCc1cccc(C#N)n1)c1ccc2c(c1)OCCO2 ZINC000602504133 400481357 /nfs/dbraw/zinc/48/13/57/400481357.db2.gz SRINTQCNFMPSCF-IBGZPJMESA-N 0 1 323.396 3.211 20 30 DGEDMN CCCCCc1cc(C(=O)N(C)Cc2cccc(C#N)c2)n[nH]1 ZINC000596742438 400490850 /nfs/dbraw/zinc/49/08/50/400490850.db2.gz AYNHZEDOGMVRRQ-UHFFFAOYSA-N 0 1 310.401 3.286 20 30 DGEDMN COc1cccc(-c2n[nH]cc2CN2CCC[C@H](CC#N)C2)c1 ZINC000597172551 400576320 /nfs/dbraw/zinc/57/63/20/400576320.db2.gz CMYDNOIIQJQTGA-CQSZACIVSA-N 0 1 310.401 3.211 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N1CCC[C@](C)(C#N)C1)c1ccccc1 ZINC000612372188 400533324 /nfs/dbraw/zinc/53/33/24/400533324.db2.gz UROMASWEXBTOIU-IEBWSBKVSA-N 0 1 313.445 3.222 20 30 DGEDMN N#Cc1cccc(CN2CCC3(CC2)OCCc2ccccc23)n1 ZINC000602688492 400539172 /nfs/dbraw/zinc/53/91/72/400539172.db2.gz SEQQANDBKAKDFK-UHFFFAOYSA-N 0 1 319.408 3.017 20 30 DGEDMN C[C@@]1(C2CC2)CN(Cc2cccc(OCCCC#N)c2)CCO1 ZINC000597180966 400579244 /nfs/dbraw/zinc/57/92/44/400579244.db2.gz XZQOPENNCOHJHA-IBGZPJMESA-N 0 1 314.429 3.370 20 30 DGEDMN COc1cccc2c1OCC[C@@H]2NCc1ccc(C#N)c(C)c1 ZINC000618193506 400546844 /nfs/dbraw/zinc/54/68/44/400546844.db2.gz RFXNCHWOLKPZHY-KRWDZBQOSA-N 0 1 308.381 3.489 20 30 DGEDMN CCN(C)[C@@H](C(=O)N[C@@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000075273088 400568006 /nfs/dbraw/zinc/56/80/06/400568006.db2.gz ZANFWEXHZWQHES-HNAYVOBHSA-N 0 1 321.424 3.428 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1cccc(C#N)c1F ZINC000618548967 400619906 /nfs/dbraw/zinc/61/99/06/400619906.db2.gz ZNAJTHWSFAKICX-UHFFFAOYSA-N 0 1 300.381 3.350 20 30 DGEDMN CCN(CC(=O)NC1(C#N)CCCCC1)Cc1ccccc1C ZINC000048059397 400641022 /nfs/dbraw/zinc/64/10/22/400641022.db2.gz YKPXOMBWLIXZGV-UHFFFAOYSA-N 0 1 313.445 3.160 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2ccncc2)C2(CO)CCC2)s1 ZINC000597253829 400600170 /nfs/dbraw/zinc/60/01/70/400600170.db2.gz GBQGEBYXVFLIER-MRXNPFEDSA-N 0 1 313.426 3.008 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2ccncc2)C2(CO)CCC2)s1 ZINC000597253828 400600512 /nfs/dbraw/zinc/60/05/12/400600512.db2.gz GBQGEBYXVFLIER-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN N#Cc1csc(CN[C@@H](c2ccncc2)C2(CO)CCC2)c1 ZINC000597254652 400600589 /nfs/dbraw/zinc/60/05/89/400600589.db2.gz NRHNRPNDIGZCJU-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN C[C@H](C(=O)NCCC1=CCCCC1)N1CCC(CCC#N)CC1 ZINC000592135766 400611078 /nfs/dbraw/zinc/61/10/78/400611078.db2.gz JYPZQRZWTHOCEL-MRXNPFEDSA-N 0 1 317.477 3.397 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)CC2(C#N)CCCCC2)n[nH]1 ZINC000597561490 400647079 /nfs/dbraw/zinc/64/70/79/400647079.db2.gz IUUHAHOYIFHSHR-QWHCGFSZSA-N 0 1 317.437 3.360 20 30 DGEDMN N#CC[C@]1(O)CCN(Cc2ccc(-c3ccccc3F)s2)C1 ZINC000592524457 400663668 /nfs/dbraw/zinc/66/36/68/400663668.db2.gz XPYMLVNSENQKAE-KRWDZBQOSA-N 0 1 316.401 3.405 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2ccc(-c3cccc(F)c3)s2)C1 ZINC000592522961 400664000 /nfs/dbraw/zinc/66/40/00/400664000.db2.gz UPBHLNRYWAEMRA-QGZVFWFLSA-N 0 1 316.401 3.405 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cccc2c1OCCO2 ZINC000618741737 400680292 /nfs/dbraw/zinc/68/02/92/400680292.db2.gz HZQHHIDLADVECH-QGZVFWFLSA-N 0 1 306.365 3.107 20 30 DGEDMN Cc1nc([C@H]2CCCN(c3nc(C(C)(C)C)ccc3C#N)C2)n[nH]1 ZINC000613429628 400718286 /nfs/dbraw/zinc/71/82/86/400718286.db2.gz PHVFVDDVOKBXDN-AWEZNQCLSA-N 0 1 324.432 3.061 20 30 DGEDMN Cc1nnc([C@H]2CCCN(c3nc(C(C)(C)C)ccc3C#N)C2)[nH]1 ZINC000613429628 400718290 /nfs/dbraw/zinc/71/82/90/400718290.db2.gz PHVFVDDVOKBXDN-AWEZNQCLSA-N 0 1 324.432 3.061 20 30 DGEDMN CCOC(=O)CC[C@H]1CCCN(Cc2ccc(C#N)cc2F)C1 ZINC000162212492 400744016 /nfs/dbraw/zinc/74/40/16/400744016.db2.gz CMCMXPZLFCULPK-CQSZACIVSA-N 0 1 318.392 3.253 20 30 DGEDMN N#CC(C(=O)c1n[nH]c2c1CCCC2)c1ccc2ccccc2n1 ZINC000613290937 400691061 /nfs/dbraw/zinc/69/10/61/400691061.db2.gz PJJZLFHBHPXEPO-AWEZNQCLSA-N 0 1 316.364 3.327 20 30 DGEDMN CCC[C@H](C)NC(=O)[C@@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000051054277 400692943 /nfs/dbraw/zinc/69/29/43/400692943.db2.gz CGTOGRHKYCHAAJ-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC000051054279 400693597 /nfs/dbraw/zinc/69/35/97/400693597.db2.gz CGTOGRHKYCHAAJ-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(C#N)cc2)cc1[N+](=O)[O-] ZINC000051401081 400701513 /nfs/dbraw/zinc/70/15/13/400701513.db2.gz SATXMHCYIUHOND-UHFFFAOYSA-N 0 1 311.341 3.107 20 30 DGEDMN C[C@H](CCC#N)CN1CCc2c(ncn2CCc2ccccc2)C1 ZINC000618888168 400709738 /nfs/dbraw/zinc/70/97/38/400709738.db2.gz SLGBPWAJUQVFHE-QGZVFWFLSA-N 0 1 322.456 3.424 20 30 DGEDMN CC(C)[C@H]1CN(C)CCN1c1nc(C(C)(C)C)ccc1C#N ZINC000613426727 400716988 /nfs/dbraw/zinc/71/69/88/400716988.db2.gz LRQMIKVUOSPFOR-OAHLLOKOSA-N 0 1 300.450 3.027 20 30 DGEDMN COc1ccc(CNCc2cccc(C#N)c2)c(OC(F)F)c1 ZINC000172191049 400815228 /nfs/dbraw/zinc/81/52/28/400815228.db2.gz CCVAUILBWZPYMU-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN CCc1cccc(OCC(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000053523930 400788023 /nfs/dbraw/zinc/78/80/23/400788023.db2.gz XISQLSBJCQAPGD-UHFFFAOYSA-N 0 1 323.356 3.096 20 30 DGEDMN Cc1cccc(O[C@@H](C)C(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000053524172 400788326 /nfs/dbraw/zinc/78/83/26/400788326.db2.gz JTKYWXQCRSBXGO-LBPRGKRZSA-N 0 1 323.356 3.231 20 30 DGEDMN C=CCNC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1F)C(C)C ZINC000180255675 400882722 /nfs/dbraw/zinc/88/27/22/400882722.db2.gz IPYZNARQJGJZKI-NHYWBVRUSA-N 0 1 312.816 3.457 20 30 DGEDMN CC(C)(C)CC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC000593352634 400825857 /nfs/dbraw/zinc/82/58/57/400825857.db2.gz KGMOXDIJBLIDGF-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@@H](C#N)C(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000593388322 400836237 /nfs/dbraw/zinc/83/62/37/400836237.db2.gz DKDDMFSUVPDPAZ-GJZGRUSLSA-N 0 1 319.474 3.187 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1C[C@@H](c2ccccc2)OCC1(C)C ZINC000598591320 400838572 /nfs/dbraw/zinc/83/85/72/400838572.db2.gz ZGRGPXIMJCMQIF-IRXDYDNUSA-N 0 1 316.445 3.139 20 30 DGEDMN CC(C)(C#N)C[C@@H](O)CN[C@H](c1ccccc1)c1ccccn1 ZINC000598596284 400840053 /nfs/dbraw/zinc/84/00/53/400840053.db2.gz ZGQRCBWZLCWQHT-SJLPKXTDSA-N 0 1 309.413 3.061 20 30 DGEDMN C[C@H](c1ccccc1)[C@@H](CO)NCc1ccc(Cl)cc1C#N ZINC000614186028 400851083 /nfs/dbraw/zinc/85/10/83/400851083.db2.gz MCVAGISSLYZLIL-FZKQIMNGSA-N 0 1 314.816 3.466 20 30 DGEDMN Cc1cccc([C@@H](NC[C@@H](O)CC(C)(C)C#N)c2ccccn2)c1 ZINC000598722160 400866064 /nfs/dbraw/zinc/86/60/64/400866064.db2.gz AFTJCCRQZDSJOF-PKOBYXMFSA-N 0 1 323.440 3.370 20 30 DGEDMN C[C@@H](NCc1c(C(F)(F)F)cnn1C)c1ccc(C#N)cc1 ZINC000599061886 400944409 /nfs/dbraw/zinc/94/44/09/400944409.db2.gz TVEUAIZPVVDFOO-SNVBAGLBSA-N 0 1 308.307 3.161 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1ccc(Cl)c(Cl)c1 ZINC000594011791 400947696 /nfs/dbraw/zinc/94/76/96/400947696.db2.gz SIOLTJBYRZOBLV-QWRGUYRKSA-N 0 1 317.216 3.015 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C(=O)NCc1cc(C)[nH]n1 ZINC000606695955 400949065 /nfs/dbraw/zinc/94/90/65/400949065.db2.gz OGACZMXGMTYEHJ-UHFFFAOYSA-N 0 1 324.837 3.331 20 30 DGEDMN CCC[C@@H]1CN(C(=O)C(C)(C)C#N)CCN1Cc1ccccc1 ZINC000182490923 400893363 /nfs/dbraw/zinc/89/33/63/400893363.db2.gz WTAKPWREUASHRQ-QGZVFWFLSA-N 0 1 313.445 3.049 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC000619722997 400894035 /nfs/dbraw/zinc/89/40/35/400894035.db2.gz KBVWOKTVDKYNFE-UHFFFAOYSA-N 0 1 316.408 3.319 20 30 DGEDMN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc(C#N)cc1C ZINC000614402473 400894001 /nfs/dbraw/zinc/89/40/01/400894001.db2.gz YWFXZDYOTRDEON-MOPGFXCFSA-N 0 1 313.445 3.088 20 30 DGEDMN CCC[N@@H+](C)[C@@H](CNC(=O)[C@@H](C#N)CC)c1ccc(Cl)cc1 ZINC000593700859 400896066 /nfs/dbraw/zinc/89/60/66/400896066.db2.gz COSRXWYIZOFOFP-CJNGLKHVSA-N 0 1 321.852 3.389 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccsc1)C(=O)NC1(C#N)CCCCC1 ZINC000619746312 400897169 /nfs/dbraw/zinc/89/71/69/400897169.db2.gz MMTCZMNDCMZKAK-QWHCGFSZSA-N 0 1 305.447 3.130 20 30 DGEDMN CCc1oc(CN[C@H]2CCc3cc(C#N)ccc32)cc1C(=O)OC ZINC000619846026 400910015 /nfs/dbraw/zinc/91/00/15/400910015.db2.gz TVASSAPRFLESFC-KRWDZBQOSA-N 0 1 324.380 3.277 20 30 DGEDMN COC(=O)CCCCN1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000599303876 401016156 /nfs/dbraw/zinc/01/61/56/401016156.db2.gz GVHSSQJWHYQIMY-IRXDYDNUSA-N 0 1 300.402 3.101 20 30 DGEDMN N#Cc1c(F)cccc1N1CCN(CCc2cccs2)CC1 ZINC000057141360 400973594 /nfs/dbraw/zinc/97/35/94/400973594.db2.gz BGJNJCBODMZCNC-UHFFFAOYSA-N 0 1 315.417 3.124 20 30 DGEDMN N#Cc1ccc(C2(NCc3cc(CO)ccc3F)CCC2)cc1 ZINC000599454845 401054938 /nfs/dbraw/zinc/05/49/38/401054938.db2.gz WVHDRZRQIFMKFE-UHFFFAOYSA-N 0 1 310.372 3.359 20 30 DGEDMN CCC(C)(C)N1CCN(C(=O)c2ccc(C#N)c(Cl)c2)CC1 ZINC000594390472 401055442 /nfs/dbraw/zinc/05/54/42/401055442.db2.gz XAWAFABWGAAIKK-UHFFFAOYSA-N 0 1 319.836 3.158 20 30 DGEDMN CCc1[nH]ncc1C(=O)Nc1cccc(C#Cc2ccccn2)c1 ZINC000615403642 401075716 /nfs/dbraw/zinc/07/57/16/401075716.db2.gz HEAJHVJRUWJTLC-UHFFFAOYSA-N 0 1 316.364 3.019 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N(C)Cc1ccccc1-c1ccccc1 ZINC000060356565 401109241 /nfs/dbraw/zinc/10/92/41/401109241.db2.gz DNAVWQNQTYVTFU-MRXNPFEDSA-N 0 1 308.425 3.476 20 30 DGEDMN COc1ccc([C@H]2CCN(Cc3ccnc(C#N)c3)C2)cc1F ZINC000599680139 401112698 /nfs/dbraw/zinc/11/26/98/401112698.db2.gz RVLGJWNCPMXVOG-HNNXBMFYSA-N 0 1 311.360 3.090 20 30 DGEDMN N#CCc1cccc2c1CCN(Cc1ccc3c[nH]nc3c1)C2 ZINC000599678944 401113311 /nfs/dbraw/zinc/11/33/11/401113311.db2.gz UGELJVDIQGAFCD-UHFFFAOYSA-N 0 1 302.381 3.187 20 30 DGEDMN COC(=O)C1C[C@@H](C)N(CC#Cc2cccc(Cl)c2)[C@H](C)C1 ZINC000599696523 401120103 /nfs/dbraw/zinc/12/01/03/401120103.db2.gz OFSLTAQUSCTEBU-ZIAGYGMSSA-N 0 1 319.832 3.354 20 30 DGEDMN N#C[C@H](C(=O)c1ncc2n1CCCC2)c1ccc2ccccc2n1 ZINC000633893985 401140669 /nfs/dbraw/zinc/14/06/69/401140669.db2.gz AVFFTDURWGUSLH-HNNXBMFYSA-N 0 1 316.364 3.258 20 30 DGEDMN C[C@H](N[C@@H]1CCc2ccccc2NC1=O)c1ccc(C#N)c(F)c1 ZINC000594511769 401089045 /nfs/dbraw/zinc/08/90/45/401089045.db2.gz OKAHBRCAMWYBEO-KPZWWZAWSA-N 0 1 323.371 3.301 20 30 DGEDMN N#C[C@]1(F)CCN(C(=O)c2cc(Cl)c3ccccc3c2O)C1 ZINC000615826039 401158506 /nfs/dbraw/zinc/15/85/06/401158506.db2.gz QKKVJQNURBRXGT-MRXNPFEDSA-N 0 1 318.735 3.277 20 30 DGEDMN Cc1ccc2cccc(S(=O)(=O)Nc3cccc(C#N)c3)c2n1 ZINC000084920029 401162408 /nfs/dbraw/zinc/16/24/08/401162408.db2.gz HMXDRVLKWFIORF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN COc1ccc(-c2n[nH]c(SCC3(CC#N)CC3)n2)cc1 ZINC000594882843 401177662 /nfs/dbraw/zinc/17/76/62/401177662.db2.gz NJIOITVNVGITFB-UHFFFAOYSA-N 0 1 300.387 3.266 20 30 DGEDMN C[C@H]1CC(F)(F)CCN1CC#CCN1CCC(F)(F)C[C@@H]1C ZINC000625980780 401272495 /nfs/dbraw/zinc/27/24/95/401272495.db2.gz QHIVVKIIKPNUJH-KBPBESRZSA-N 0 1 320.374 3.229 20 30 DGEDMN COc1ccc(C#N)cc1NS(=O)(=O)c1ccc(Cl)cc1 ZINC000064479146 401240034 /nfs/dbraw/zinc/24/00/34/401240034.db2.gz JGHVZEUNSGVZFY-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=CCc1cccc(C(C)C)c1NC(=O)CCCc1nn[nH]n1 ZINC000635844223 401493640 /nfs/dbraw/zinc/49/36/40/401493640.db2.gz MWNFFVDYEHXDDZ-UHFFFAOYSA-N 0 1 313.405 3.013 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H]1CCCc2c(O)cccc21 ZINC000192348644 165290691 /nfs/dbraw/zinc/29/06/91/165290691.db2.gz SXCZTDIHRIBVAM-QGZVFWFLSA-N 0 1 308.381 3.440 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000190456031 432158656 /nfs/dbraw/zinc/15/86/56/432158656.db2.gz IVISJNXQEVZJIR-IEBWSBKVSA-N 0 1 300.446 3.370 20 30 DGEDMN CC(=O)Nc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000269605082 432187879 /nfs/dbraw/zinc/18/78/79/432187879.db2.gz JQUQRHRMTSYUPP-UHFFFAOYSA-N 0 1 305.381 3.296 20 30 DGEDMN N#CC(C(=O)c1n[nH]c2c1CCCCC2)c1nc2ccccc2[nH]1 ZINC000190776966 432188692 /nfs/dbraw/zinc/18/86/92/432188692.db2.gz GZVYPKRFVDRFJZ-LBPRGKRZSA-N 0 1 319.368 3.045 20 30 DGEDMN Cn1cc(CN[C@@H]2CCOc3c(Cl)cccc32)cc1C#N ZINC000190860465 432195539 /nfs/dbraw/zinc/19/55/39/432195539.db2.gz KVZTZSDONXKOQK-OAHLLOKOSA-N 0 1 301.777 3.164 20 30 DGEDMN CN(C)[C@H](CNc1cccc(C#N)n1)c1ccccc1Cl ZINC000172408261 432212929 /nfs/dbraw/zinc/21/29/29/432212929.db2.gz PBZWAUBBRNIKNL-OAHLLOKOSA-N 0 1 300.793 3.321 20 30 DGEDMN COc1ccccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC000190955334 432205891 /nfs/dbraw/zinc/20/58/91/432205891.db2.gz LTLIROLLPCVKGY-CYBMUJFWSA-N 0 1 303.321 3.128 20 30 DGEDMN COc1ccccc1C(=O)[C@H](C#N)c1cnc2ccccc2n1 ZINC000190955334 432205893 /nfs/dbraw/zinc/20/58/93/432205893.db2.gz LTLIROLLPCVKGY-CYBMUJFWSA-N 0 1 303.321 3.128 20 30 DGEDMN N#Cc1csc(CN2CC[C@H]3[C@@H](CCC[N@H+]3C3CC3)C2)c1 ZINC000247291234 432220448 /nfs/dbraw/zinc/22/04/48/432220448.db2.gz ZDHNVVUTPMWOBQ-YOEHRIQHSA-N 0 1 301.459 3.068 20 30 DGEDMN N#CCCn1cc(C(=O)CN2C[C@@H]3CCC[C@H]3C2)c2ccccc21 ZINC000153332129 227083977 /nfs/dbraw/zinc/08/39/77/227083977.db2.gz GDKMACYMEPQAHT-HOTGVXAUSA-N 0 1 321.424 3.470 20 30 DGEDMN N#Cc1cc(CN2CCN(Cc3ccccc3)CC2)ccc1F ZINC000160468848 228247685 /nfs/dbraw/zinc/24/76/85/228247685.db2.gz QLXBOTUUJQMKMQ-UHFFFAOYSA-N 0 1 309.388 3.015 20 30 DGEDMN Cc1cc(F)ccc1NS(=O)(=O)c1ccc(C#N)cc1Cl ZINC000169252520 228267272 /nfs/dbraw/zinc/26/72/72/228267272.db2.gz UVLUQJFGJBZKIH-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1C[C@H]2CCCC[C@@H]2C1 ZINC000177570213 228332113 /nfs/dbraw/zinc/33/21/13/228332113.db2.gz BGGTYNHUGPFEAI-ZIAGYGMSSA-N 0 1 317.820 3.272 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1ccc(Cl)cc1O ZINC000179169323 228350020 /nfs/dbraw/zinc/35/00/20/228350020.db2.gz JVQGNEOIPNHATD-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccccc2-c2nnc(C)o2)n1 ZINC000182558684 228369181 /nfs/dbraw/zinc/36/91/81/228369181.db2.gz FRABDOCUBZDNSD-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccccc2-c2nnc(C)o2)n1 ZINC000182558684 228369183 /nfs/dbraw/zinc/36/91/83/228369183.db2.gz FRABDOCUBZDNSD-ZDUSSCGKSA-N 0 1 324.365 3.300 20 30 DGEDMN Cc1c2ccccc2oc1[C@H](C)N[C@H](C)C(=O)N(C)CCC#N ZINC000183601942 228374285 /nfs/dbraw/zinc/37/42/85/228374285.db2.gz UQDJZMYQQZWRGV-UONOGXRCSA-N 0 1 313.401 3.152 20 30 DGEDMN N#CCC(=O)N1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000331484121 229031844 /nfs/dbraw/zinc/03/18/44/229031844.db2.gz GLYULBINAARFHW-CYBMUJFWSA-N 0 1 302.765 3.184 20 30 DGEDMN N#CC1(CCCN2CCc3c(F)cc(F)cc3C2)CCOCC1 ZINC000337163537 229044697 /nfs/dbraw/zinc/04/46/97/229044697.db2.gz WTQVASVPTHCUAH-UHFFFAOYSA-N 0 1 320.383 3.423 20 30 DGEDMN C[C@@](O)(CNCc1cc(C(F)(F)F)ccc1F)C(F)(F)F ZINC000340594362 229090710 /nfs/dbraw/zinc/09/07/10/229090710.db2.gz FMIBPAMKRAPFND-SNVBAGLBSA-N 0 1 319.220 3.247 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(C)c2-c2ccccc2)n1 ZINC000341507919 229099100 /nfs/dbraw/zinc/09/91/00/229099100.db2.gz IYEDXCLYNBJBGL-ZDUSSCGKSA-N 0 1 322.393 3.342 20 30 DGEDMN N#CC1(CN2CCN(Cc3cccc4ccccc43)CC2)CC1 ZINC000343258455 229123037 /nfs/dbraw/zinc/12/30/37/229123037.db2.gz IJLMEAPGPPVZLT-UHFFFAOYSA-N 0 1 305.425 3.261 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cnn4ccccc34)[nH]c21 ZINC000345439445 229151933 /nfs/dbraw/zinc/15/19/33/229151933.db2.gz VXVWFTYTAFJXSV-LBPRGKRZSA-N 0 1 315.336 3.009 20 30 DGEDMN N#CC(C(=O)c1ccc2oc(=O)[nH]c2c1)c1nc2ccccc2o1 ZINC000347737304 229191961 /nfs/dbraw/zinc/19/19/61/229191961.db2.gz BBQAESZDRZBWCV-JTQLQIEISA-N 0 1 319.276 3.165 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnc(-c3ccco3)s2)nc(C)n1 ZINC000352275036 229250738 /nfs/dbraw/zinc/25/07/38/229250738.db2.gz XYADMOJKDIUUMD-LLVKDONJSA-N 0 1 324.365 3.300 20 30 DGEDMN C[C@H](F)CCN1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000352402530 229252482 /nfs/dbraw/zinc/25/24/82/229252482.db2.gz OHMFHHMFPLAMNR-XJKSGUPXSA-N 0 1 309.816 3.270 20 30 DGEDMN N#CCCCOc1cccc(CNCc2cnn3ccccc23)c1 ZINC000353023488 229268033 /nfs/dbraw/zinc/26/80/33/229268033.db2.gz XLTMQMYHMUYRJQ-UHFFFAOYSA-N 0 1 320.396 3.307 20 30 DGEDMN N#CCc1ccccc1S(=O)(=O)Nc1cccc2[nH]ccc21 ZINC000355825836 229319592 /nfs/dbraw/zinc/31/95/92/229319592.db2.gz AQFIKUSQDVROTL-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN N#Cc1cnc(N[C@@H]2CCCN(Cc3ccccc3)C2)c(F)c1 ZINC000413090837 230129194 /nfs/dbraw/zinc/12/91/94/230129194.db2.gz JPTMGTHHQHTNQF-MRXNPFEDSA-N 0 1 310.376 3.169 20 30 DGEDMN N#Cc1cnc(N[C@H]2CCCN(Cc3ccccc3)C2)c(F)c1 ZINC000413090836 230129726 /nfs/dbraw/zinc/12/97/26/230129726.db2.gz JPTMGTHHQHTNQF-INIZCTEOSA-N 0 1 310.376 3.169 20 30 DGEDMN C[C@H](N[C@H](CO)c1cccc(F)c1)c1ccc(C#N)c(F)c1 ZINC000346134913 263967405 /nfs/dbraw/zinc/96/74/05/263967405.db2.gz KNAZNQALGACFSB-APPDUMDISA-N 0 1 302.324 3.221 20 30 DGEDMN N#Cc1cccc(F)c1Cc1nc(-c2ccc3[nH]cnc3c2)no1 ZINC000346580497 264058770 /nfs/dbraw/zinc/05/87/70/264058770.db2.gz XXOCCETUHIMGDR-UHFFFAOYSA-N 0 1 319.299 3.214 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000346838755 264106196 /nfs/dbraw/zinc/10/61/96/264106196.db2.gz FSOCIOCWBSBCQM-UHFFFAOYSA-N 0 1 322.412 3.457 20 30 DGEDMN CC[C@H](NCc1ccc(Br)cc1C#N)[C@H]1CCCO1 ZINC000347254819 264184079 /nfs/dbraw/zinc/18/40/79/264184079.db2.gz SKEHAHCDIWEKBF-LSDHHAIUSA-N 0 1 323.234 3.368 20 30 DGEDMN COc1c(CN[C@H]2CCCCc3cc(C#N)ccc32)c(C)nn1C ZINC000360883590 270004530 /nfs/dbraw/zinc/00/45/30/270004530.db2.gz NUHJGKBZEPRQKW-SFHVURJKSA-N 0 1 324.428 3.166 20 30 DGEDMN CCCN(CC(=O)NCc1ccc(C#N)cc1)Cc1ccccc1 ZINC000349142790 266044536 /nfs/dbraw/zinc/04/45/36/266044536.db2.gz KQGHGXZZHAHOIL-UHFFFAOYSA-N 0 1 321.424 3.087 20 30 DGEDMN CCN(CC(=O)N1CCCCCC1)[C@H](C)c1cccc(C#N)c1 ZINC000353202758 266103422 /nfs/dbraw/zinc/10/34/22/266103422.db2.gz PPDOWIQDIWNNNF-MRXNPFEDSA-N 0 1 313.445 3.344 20 30 DGEDMN CCN(CC(=O)NCc1cccc(C#N)c1)Cc1ccccc1C ZINC000349122061 266105792 /nfs/dbraw/zinc/10/57/92/266105792.db2.gz JZEFXWCJPXWCIR-UHFFFAOYSA-N 0 1 321.424 3.005 20 30 DGEDMN CCNc1ccc(C#N)cc1NC(=O)c1cc(F)cc2[nH]cnc21 ZINC000354089096 266173143 /nfs/dbraw/zinc/17/31/43/266173143.db2.gz XCCCTTHYXOCJAC-UHFFFAOYSA-N 0 1 323.331 3.258 20 30 DGEDMN CC[C@H](CC(F)(F)F)N[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000354537224 266394528 /nfs/dbraw/zinc/39/45/28/266394528.db2.gz NYVIFWCVZJSCHU-ZYHUDNBSSA-N 0 1 313.323 3.206 20 30 DGEDMN C[C@H](NCC(=O)NC1CC1)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000376281882 267182266 /nfs/dbraw/zinc/18/22/66/267182266.db2.gz OIJZYXYMYYXFLL-AWEZNQCLSA-N 0 1 319.408 3.154 20 30 DGEDMN Cc1cc(CN2CC[C@@H](n3cc(Cl)cn3)C2)ccc1C#N ZINC000352416443 267325081 /nfs/dbraw/zinc/32/50/81/267325081.db2.gz USPXSNYPLIRZGR-MRXNPFEDSA-N 0 1 300.793 3.164 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)[C@@H](C)c3cccc(C#N)c3)C2)n[nH]1 ZINC000360332700 267362425 /nfs/dbraw/zinc/36/24/25/267362425.db2.gz JUFOYSZEVGLBHB-WMLDXEAASA-N 0 1 322.412 3.100 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)[C@@H](C)Oc1ccc(C#N)cc1 ZINC000360416410 267367457 /nfs/dbraw/zinc/36/74/57/267367457.db2.gz HGGGCJHBXYCMIL-GFCCVEGCSA-N 0 1 320.352 3.149 20 30 DGEDMN N#Cc1ccc(Cl)c(C(=O)Nc2cccc(-c3cn[nH]n3)c2)c1 ZINC000355899610 268096707 /nfs/dbraw/zinc/09/67/07/268096707.db2.gz SAWPLGUQFZQZIL-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1cccc(CN2CC[C@@]3(C2)OCc2ccccc23)c1F ZINC000352023530 268246812 /nfs/dbraw/zinc/24/68/12/268246812.db2.gz YPEDHCZFSSMAKL-IBGZPJMESA-N 0 1 308.356 3.329 20 30 DGEDMN COc1ccc(CNCC#Cc2ccc(C(F)(F)F)cc2)cn1 ZINC000436290307 274109999 /nfs/dbraw/zinc/10/99/99/274109999.db2.gz HYQGYVXXLUERLI-UHFFFAOYSA-N 0 1 320.314 3.250 20 30 DGEDMN CN(C)CC#CCNC(=O)c1csc(C2CCCCC2)c1 ZINC001631852722 1194358432 /nfs/dbraw/zinc/35/84/32/1194358432.db2.gz HGIPGIPXJOXPFY-UHFFFAOYSA-N 0 1 304.459 3.091 20 30 DGEDMN N#CCCCN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000280760851 274916684 /nfs/dbraw/zinc/91/66/84/274916684.db2.gz OGTBQGAWIURKQM-GFCCVEGCSA-N 0 1 306.715 3.286 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])N1CC3(C1)CCCC3)CC2 ZINC000330057881 276089408 /nfs/dbraw/zinc/08/94/08/276089408.db2.gz YEIYJYVVKPBJQU-OAHLLOKOSA-N 0 1 316.449 3.111 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC[C@H]2c2ccccc2)CC1 ZINC000299316692 277687339 /nfs/dbraw/zinc/68/73/39/277687339.db2.gz HHDOPZNMEACNPU-FQEVSTJZSA-N 0 1 324.468 3.476 20 30 DGEDMN C[C@@H]1Cc2ccccc2CN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330114512 278038949 /nfs/dbraw/zinc/03/89/49/278038949.db2.gz DWXMLYKOHVNYEB-MLGOLLRUSA-N 0 1 310.401 3.148 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1ccc2cncn2c1 ZINC000339883848 278797026 /nfs/dbraw/zinc/79/70/26/278797026.db2.gz FANGBZXDJBELEK-UHFFFAOYSA-N 0 1 318.380 3.258 20 30 DGEDMN CC(C)C[C@H](C)N(C)C(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271641566 279102213 /nfs/dbraw/zinc/10/22/13/279102213.db2.gz HAYRASYIBUTUSR-LSDHHAIUSA-N 0 1 301.434 3.102 20 30 DGEDMN CCN(CC(=O)Nc1ccccc1SC[C@@H](C)C#N)C1CC1 ZINC000108358378 431135217 /nfs/dbraw/zinc/13/52/17/431135217.db2.gz ADCDJGGXTSDAAW-ZDUSSCGKSA-N 0 1 317.458 3.361 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1sccc1C#N ZINC000109813098 431179190 /nfs/dbraw/zinc/17/91/90/431179190.db2.gz DWLDWJLLMDECAX-UHFFFAOYSA-N 0 1 318.446 3.210 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)N(CCC#N)c2ccccc2)CC1 ZINC000111259082 431204846 /nfs/dbraw/zinc/20/48/46/431204846.db2.gz PQLXRQPZUJZXKM-MRXNPFEDSA-N 0 1 311.429 3.220 20 30 DGEDMN C=CC1CCN([C@@H](C)C(=O)Nc2cc(C)ccc2OC)CC1 ZINC000111259685 431205031 /nfs/dbraw/zinc/20/50/31/431205031.db2.gz XCSTXLFDYBVRMG-AWEZNQCLSA-N 0 1 302.418 3.229 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccccc2F)C1=O)c1ccc(C#N)cc1 ZINC000112366673 431269617 /nfs/dbraw/zinc/26/96/17/431269617.db2.gz ZBRJMBVPGOZRCJ-GUYCJALGSA-N 0 1 323.371 3.153 20 30 DGEDMN CC(C)N1CC[C@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1=O ZINC000114630835 431400375 /nfs/dbraw/zinc/40/03/75/431400375.db2.gz XOLBVZPDLSDOKY-SMWBLXLWSA-N 0 1 315.200 3.127 20 30 DGEDMN C=CCNC(=O)[C@H](c1cccc(C(F)(F)F)c1)N(CC)CC ZINC000074166185 431404005 /nfs/dbraw/zinc/40/40/05/431404005.db2.gz AZAYTSKZLYRVQX-AWEZNQCLSA-N 0 1 314.351 3.391 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CCc2sccc2C1 ZINC000010093197 431454134 /nfs/dbraw/zinc/45/41/34/431454134.db2.gz OPRRXGDGBIRPFC-UHFFFAOYSA-N 0 1 311.410 3.007 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cnc2ccccc2c1 ZINC000076935718 431565268 /nfs/dbraw/zinc/56/52/68/431565268.db2.gz JXJHOLOTFJOIJK-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cccc(Cl)c1O ZINC000076937841 431565403 /nfs/dbraw/zinc/56/54/03/431565403.db2.gz OWCYBDYPYWVUII-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(F)c(C#N)c1 ZINC000119135105 431651744 /nfs/dbraw/zinc/65/17/44/431651744.db2.gz FDKCRQMIUHRNJA-UHFFFAOYSA-N 0 1 323.371 3.218 20 30 DGEDMN COc1ccc(OCCN(C)Cc2ccc(C#N)s2)cc1 ZINC000119360411 431681193 /nfs/dbraw/zinc/68/11/93/431681193.db2.gz GNGXXXBDIAFPDZ-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN COc1ccc(OCCN(C)Cc2cc(C#N)cs2)cc1 ZINC000119363441 431681948 /nfs/dbraw/zinc/68/19/48/431681948.db2.gz WPCCUMUVKUXPEA-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN CCn1nc(C(C)C)cc1C(=O)C(C#N)c1nc(C)cs1 ZINC000078734159 431702842 /nfs/dbraw/zinc/70/28/42/431702842.db2.gz XOSFRYGGFNLFHZ-NSHDSACASA-N 0 1 302.403 3.281 20 30 DGEDMN Cc1ccc(CN(C)[C@H]2CCN(c3ccc(C#N)cc3)C2=O)cc1 ZINC000028746010 431711300 /nfs/dbraw/zinc/71/13/00/431711300.db2.gz DDNLJSMVOIDZCJ-IBGZPJMESA-N 0 1 319.408 3.104 20 30 DGEDMN C#CCCOc1ccc(C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)cc1 ZINC000121241825 431759508 /nfs/dbraw/zinc/75/95/08/431759508.db2.gz DWTUMNSOQJUTCG-KRWDZBQOSA-N 0 1 309.413 3.191 20 30 DGEDMN C=CCN(C)[C@@H](C)C(=O)NC(c1ccccc1)c1ccccc1 ZINC000121558888 431802625 /nfs/dbraw/zinc/80/26/25/431802625.db2.gz UVLMTYUDELRQER-INIZCTEOSA-N 0 1 308.425 3.399 20 30 DGEDMN CC[C@@H]1CCCN([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000083198525 431808338 /nfs/dbraw/zinc/80/83/38/431808338.db2.gz AFCGLRVGQCRBAN-UKRRQHHQSA-N 0 1 317.458 3.237 20 30 DGEDMN C=CCC[C@H](NC(=O)c1ccc2[nH]nnc2c1)c1ccccc1 ZINC000123044299 431853689 /nfs/dbraw/zinc/85/36/89/431853689.db2.gz HIAFWZCORQIIIV-HNNXBMFYSA-N 0 1 306.369 3.395 20 30 DGEDMN Cc1nn(-c2ccc(F)cc2)c(C)c1CN1CCC(C#N)CC1 ZINC000123760359 431874045 /nfs/dbraw/zinc/87/40/45/431874045.db2.gz PUOMOHLSDXWMMB-UHFFFAOYSA-N 0 1 312.392 3.364 20 30 DGEDMN COCc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000041544741 431860682 /nfs/dbraw/zinc/86/06/82/431860682.db2.gz AODIITCJSYOAHF-AWEZNQCLSA-N 0 1 306.321 3.464 20 30 DGEDMN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC(C#N)CC1 ZINC000123658278 431870931 /nfs/dbraw/zinc/87/09/31/431870931.db2.gz MWHLHRLHJZVNNJ-UHFFFAOYSA-N 0 1 308.429 3.284 20 30 DGEDMN CCc1cc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)sc1C ZINC000047343218 431908549 /nfs/dbraw/zinc/90/85/49/431908549.db2.gz HKPSDBPLXLWKPO-UHFFFAOYSA-N 0 1 313.386 3.435 20 30 DGEDMN C#CCCCCNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093351339 431914638 /nfs/dbraw/zinc/91/46/38/431914638.db2.gz SGAMWYOYMZXBRL-UHFFFAOYSA-N 0 1 306.316 3.426 20 30 DGEDMN N#Cc1ccc(CNc2ccccc2CN2CCSCC2)o1 ZINC000125189827 431917857 /nfs/dbraw/zinc/91/78/57/431917857.db2.gz UFQZGIRDDMUTRH-UHFFFAOYSA-N 0 1 313.426 3.312 20 30 DGEDMN C=CCC[C@@H](O)C[N@H+](C)Cc1cc(Br)ccc1F ZINC000124472464 431894347 /nfs/dbraw/zinc/89/43/47/431894347.db2.gz NZGTXYXLNKTRJM-CYBMUJFWSA-N 0 1 316.214 3.347 20 30 DGEDMN C=CCC[C@@H](O)CN(C)Cc1cc(Br)ccc1F ZINC000124472464 431894350 /nfs/dbraw/zinc/89/43/50/431894350.db2.gz NZGTXYXLNKTRJM-CYBMUJFWSA-N 0 1 316.214 3.347 20 30 DGEDMN C=CCCOCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091406703 431904141 /nfs/dbraw/zinc/90/41/41/431904141.db2.gz BFMDFUWJWKXDBS-LBPRGKRZSA-N 0 1 307.825 3.470 20 30 DGEDMN C=CCc1cc(CN2CC[C@@H](OCC(C)C)C2)cc(OC)c1O ZINC000091964278 431906042 /nfs/dbraw/zinc/90/60/42/431906042.db2.gz VEIVPPOXMUBQAP-QGZVFWFLSA-N 0 1 319.445 3.376 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@H](C)C(=O)Nc1cc(C(=O)OC)ccc1C ZINC000125948989 431939066 /nfs/dbraw/zinc/93/90/66/431939066.db2.gz ROEZPYHXDUUYSZ-CQSZACIVSA-N 0 1 318.417 3.007 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)Nc1cc(C(=O)OC)ccc1C ZINC000125948989 431939068 /nfs/dbraw/zinc/93/90/68/431939068.db2.gz ROEZPYHXDUUYSZ-CQSZACIVSA-N 0 1 318.417 3.007 20 30 DGEDMN CN(CCC1CCCCC1)CC(=O)Nc1sccc1C#N ZINC000101566046 431986482 /nfs/dbraw/zinc/98/64/82/431986482.db2.gz YBGIRATWMYTCLM-UHFFFAOYSA-N 0 1 305.447 3.460 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(C)[C@H](C)c1ccccn1 ZINC000128098803 431987200 /nfs/dbraw/zinc/98/72/00/431987200.db2.gz VVUZKTXVMWERQC-CQSZACIVSA-N 0 1 311.385 3.034 20 30 DGEDMN C=CCCC(=O)NC[C@H](c1ccc(OC)cc1)N1CCCCC1 ZINC000102218558 431992413 /nfs/dbraw/zinc/99/24/13/431992413.db2.gz AMYAIDWYGLNVSY-GOSISDBHSA-N 0 1 316.445 3.305 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000191760080 432298987 /nfs/dbraw/zinc/29/89/87/432298987.db2.gz JEHNIEUOFCTNIH-UHFFFAOYSA-N 0 1 321.314 3.401 20 30 DGEDMN C=CCN(CCOC)Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000191760080 432298990 /nfs/dbraw/zinc/29/89/90/432298990.db2.gz JEHNIEUOFCTNIH-UHFFFAOYSA-N 0 1 321.314 3.401 20 30 DGEDMN Cn1cc(CCC/N=C/c2cc(Cl)cc(Cl)c2O)cn1 ZINC000102598390 431995397 /nfs/dbraw/zinc/99/53/97/431995397.db2.gz RGSQXBSNRPPRDM-CAOOACKPSA-N 0 1 312.200 3.484 20 30 DGEDMN CCc1nc(C)c([C@H](C)N(C)Cc2cc(C#N)n(C)c2)s1 ZINC000191394377 432261146 /nfs/dbraw/zinc/26/11/46/432261146.db2.gz MVONFYZGBNMQIF-LBPRGKRZSA-N 0 1 302.447 3.417 20 30 DGEDMN Cc1cnc(C(C)(C)NCCOc2ccc(C#N)cc2)s1 ZINC000183943608 432337079 /nfs/dbraw/zinc/33/70/79/432337079.db2.gz RBFIQCRIUPMRKL-UHFFFAOYSA-N 0 1 301.415 3.227 20 30 DGEDMN C#CCN(CC)Cc1ccc(OC)c(OCc2cccnc2)c1 ZINC000271162401 432340779 /nfs/dbraw/zinc/34/07/79/432340779.db2.gz XZOJRFIUKPFCLS-UHFFFAOYSA-N 0 1 310.397 3.124 20 30 DGEDMN CN(C)[C@@H](CNc1cnccc1C#N)c1ccccc1Cl ZINC000271205759 432353353 /nfs/dbraw/zinc/35/33/53/432353353.db2.gz AHOOCEWRVPWILC-INIZCTEOSA-N 0 1 300.793 3.321 20 30 DGEDMN C#CCCCC(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000191931295 432314508 /nfs/dbraw/zinc/31/45/08/432314508.db2.gz UTNNQMKOJGDURQ-QGZVFWFLSA-N 0 1 318.848 3.397 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC(C)(C)C#N ZINC000153017817 432376988 /nfs/dbraw/zinc/37/69/88/432376988.db2.gz PXDKFJNUPUZCFA-CQSZACIVSA-N 0 1 309.454 3.258 20 30 DGEDMN CC[C@@H](NC[C@H](O)c1ccc(C#N)cc1)c1nc(C)cs1 ZINC000184368372 432384570 /nfs/dbraw/zinc/38/45/70/432384570.db2.gz VAGPSLHNNDRNDJ-CABCVRRESA-N 0 1 301.415 3.098 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@H](c2nccs2)C1 ZINC000249911606 432361875 /nfs/dbraw/zinc/36/18/75/432361875.db2.gz WGZDUTQAYRZISC-HUUCEWRRSA-N 0 1 321.490 3.136 20 30 DGEDMN C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1ccc(C#N)cc1 ZINC000175383216 432430603 /nfs/dbraw/zinc/43/06/03/432430603.db2.gz VNFPVANOEDMPEL-RCDICMHDSA-N 0 1 312.438 3.489 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1CNCc1ccc(C#N)cc1 ZINC000193006102 432439229 /nfs/dbraw/zinc/43/92/29/432439229.db2.gz YRSJFHKAOOPFKX-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN COC[C@@H](NCCCOc1cccc(C#N)c1)c1ccc(C)o1 ZINC000184681261 432421502 /nfs/dbraw/zinc/42/15/02/432421502.db2.gz UASXRPJAASVEIL-QGZVFWFLSA-N 0 1 314.385 3.206 20 30 DGEDMN COc1ccc(CN2CCC(C)(C#N)CC2)cc1OC(F)F ZINC000272494763 432512531 /nfs/dbraw/zinc/51/25/31/432512531.db2.gz FSRQELBGYWZGFD-UHFFFAOYSA-N 0 1 310.344 3.422 20 30 DGEDMN CCCOc1cccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)c1 ZINC000193615183 432484478 /nfs/dbraw/zinc/48/44/78/432484478.db2.gz AJJYVNBEBMXZII-FMIVXFBMSA-N 0 1 309.369 3.299 20 30 DGEDMN C=CCCC(=O)NC[C@@H](c1cccc(C(F)(F)F)c1)N(C)C ZINC000186121854 432560475 /nfs/dbraw/zinc/56/04/75/432560475.db2.gz RSDYPDWUFMFQQJ-AWEZNQCLSA-N 0 1 314.351 3.391 20 30 DGEDMN Cn1cc(CN2CCC(C)(C#N)CC2)c(-c2ccc(C#N)cc2)n1 ZINC000272566948 432524131 /nfs/dbraw/zinc/52/41/31/432524131.db2.gz VGBRJQFGAOJXKA-UHFFFAOYSA-N 0 1 319.412 3.084 20 30 DGEDMN C[C@@H]1COc2ccccc2CN1Cc1ccc(OCC#N)cc1 ZINC000272940654 432537028 /nfs/dbraw/zinc/53/70/28/432537028.db2.gz KZLUZJZQHHFYHR-OAHLLOKOSA-N 0 1 308.381 3.372 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@H](C(=O)[O-])C2)cc1Cl ZINC000263404351 432541565 /nfs/dbraw/zinc/54/15/65/432541565.db2.gz JDJARUSHBGPOQP-ZDUSSCGKSA-N 0 1 309.793 3.201 20 30 DGEDMN COC(=O)CCC1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000156739176 432606690 /nfs/dbraw/zinc/60/66/90/432606690.db2.gz IRFWVSDPPKKALW-UHFFFAOYSA-N 0 1 319.832 3.357 20 30 DGEDMN Cc1oc(NC(=O)CN2[C@@H](C)C[C@H]3CCCC[C@@H]32)c(C#N)c1C ZINC000156967941 432611447 /nfs/dbraw/zinc/61/14/47/432611447.db2.gz AWDYQNZGOSEMQS-PEYYIBSZSA-N 0 1 315.417 3.360 20 30 DGEDMN Cc1cc(C)c(NC(=O)CNCc2ccc(C#N)s2)c(C)c1 ZINC000176941060 432567316 /nfs/dbraw/zinc/56/73/16/432567316.db2.gz LJVFNSOBVPHJRS-UHFFFAOYSA-N 0 1 313.426 3.273 20 30 DGEDMN N#Cc1ccc(CNCc2cccc(N3CCCC3=O)c2)s1 ZINC000176947547 432569336 /nfs/dbraw/zinc/56/93/36/432569336.db2.gz WTSACZLTFUJCQS-UHFFFAOYSA-N 0 1 311.410 3.036 20 30 DGEDMN CCOc1cc(CNCc2ccc(C#N)s2)ccc1OC ZINC000176969873 432571460 /nfs/dbraw/zinc/57/14/60/432571460.db2.gz WHNWQMYSMDEJOW-UHFFFAOYSA-N 0 1 302.399 3.317 20 30 DGEDMN C=CCCC(=O)N1CCC(c2nnc(-c3ccccc3)[nH]2)CC1 ZINC000263758333 432574303 /nfs/dbraw/zinc/57/43/03/432574303.db2.gz LFKYBFKAYUQYOS-UHFFFAOYSA-N 0 1 310.401 3.144 20 30 DGEDMN CC(C)C[C@H]1CCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000177176785 432594180 /nfs/dbraw/zinc/59/41/80/432594180.db2.gz NOHCFMGQCVWDJP-UKRRQHHQSA-N 0 1 317.458 3.093 20 30 DGEDMN Cc1ccccc1N1CCN(CCOc2ccccc2C#N)CC1 ZINC000195594220 432629583 /nfs/dbraw/zinc/62/95/83/432629583.db2.gz NBFMXAAPTTUKNU-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CC[C@@H]2CC)CC1 ZINC000177665362 432648378 /nfs/dbraw/zinc/64/83/78/432648378.db2.gz UGZSVKHAZAYHQH-SFHVURJKSA-N 0 1 310.441 3.090 20 30 DGEDMN Cc1cc(C)c(NC(=O)CNCc2cc(C#N)cs2)c(C)c1 ZINC000177680434 432649696 /nfs/dbraw/zinc/64/96/96/432649696.db2.gz UYYBGQRLVLDSLG-UHFFFAOYSA-N 0 1 313.426 3.273 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000187923021 432693002 /nfs/dbraw/zinc/69/30/02/432693002.db2.gz VALBKJKUHWNFQG-YJBOKZPZSA-N 0 1 304.434 3.443 20 30 DGEDMN COc1ccc(CNCc2cccc3c2OCCCO3)cc1C#N ZINC000178170520 432702233 /nfs/dbraw/zinc/70/22/33/432702233.db2.gz PECJKSGVZUIQMU-UHFFFAOYSA-N 0 1 324.380 3.018 20 30 DGEDMN CCOc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1F ZINC000188344959 432719910 /nfs/dbraw/zinc/71/99/10/432719910.db2.gz ZPYXLJSPLGFHFA-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN N#Cc1ccc(F)c(CN(CCO)[C@@H]2CCc3ccccc32)c1 ZINC000265232482 432678414 /nfs/dbraw/zinc/67/84/14/432678414.db2.gz PSBYLTSIPDCCDM-LJQANCHMSA-N 0 1 310.372 3.179 20 30 DGEDMN C=C(C)[C@H](NCc1n[nH]c(C2CC2)n1)c1ccc(F)c(F)c1 ZINC000187790196 432684954 /nfs/dbraw/zinc/68/49/54/432684954.db2.gz HYFRNEYLZFNIPQ-HNNXBMFYSA-N 0 1 304.344 3.367 20 30 DGEDMN N#CC1CCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)CC1 ZINC000188811347 432746887 /nfs/dbraw/zinc/74/68/87/432746887.db2.gz LNEYJLRMRANQIE-UHFFFAOYSA-N 0 1 320.805 3.167 20 30 DGEDMN Cc1cccc(OC(F)F)c1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188470573 432726894 /nfs/dbraw/zinc/72/68/94/432726894.db2.gz JQWVLUDQMDVPLD-UHFFFAOYSA-N 0 1 318.279 3.426 20 30 DGEDMN C=CCC1(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CCC1 ZINC000188521759 432729994 /nfs/dbraw/zinc/72/99/94/432729994.db2.gz IUIGHXMXGUOGMU-UHFFFAOYSA-N 0 1 301.365 3.107 20 30 DGEDMN CCCNc1ccc(C#N)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188532923 432730897 /nfs/dbraw/zinc/73/08/97/432730897.db2.gz DBOIXRNSTWVXRN-UHFFFAOYSA-N 0 1 320.352 3.210 20 30 DGEDMN Cc1coc(-c2cccc(NC(=O)c3ccc(C#N)c(O)c3)c2)n1 ZINC000188656137 432737876 /nfs/dbraw/zinc/73/78/76/432737876.db2.gz DPFBXIVYERLLMK-UHFFFAOYSA-N 0 1 319.320 3.480 20 30 DGEDMN C=C(C)COc1cc(CN2CC[C@@H](O)C(C)(C)C2)ccc1OC ZINC000275684267 432741272 /nfs/dbraw/zinc/74/12/72/432741272.db2.gz ACEJQFCPIZITLI-GOSISDBHSA-N 0 1 319.445 3.243 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188780975 432745601 /nfs/dbraw/zinc/74/56/01/432745601.db2.gz YVUUXMMOIHFQLX-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN COc1cccc(CNCc2cccc(C#N)c2)c1OC(F)F ZINC000237222944 432781998 /nfs/dbraw/zinc/78/19/98/432781998.db2.gz BCTBQVSLUBGRBR-UHFFFAOYSA-N 0 1 318.323 3.458 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnn2C2CCCC2)n1 ZINC000330098304 432893476 /nfs/dbraw/zinc/89/34/76/432893476.db2.gz BQPYWFKORGVMHX-GFCCVEGCSA-N 0 1 300.387 3.253 20 30 DGEDMN O=C(NC1C2CC3CC(C2)CC1C3)N[C@@H]1CCCc2cn[nH]c21 ZINC000330142067 432894068 /nfs/dbraw/zinc/89/40/68/432894068.db2.gz YALMRZLWECHVSR-OYCZRQFBSA-N 0 1 314.433 3.115 20 30 DGEDMN CC[C@@H]1CC[C@H](C)N1CC(=O)Nc1sc(C)c(C)c1C#N ZINC000330647232 432922473 /nfs/dbraw/zinc/92/24/73/432922473.db2.gz HHYSMMXSNOEFRM-GXFFZTMASA-N 0 1 305.447 3.438 20 30 DGEDMN N#CCCN(C(=O)CN1CCC[C@H](C2CC2)C1)c1ccccc1 ZINC000289159242 432928933 /nfs/dbraw/zinc/92/89/33/432928933.db2.gz DLDAOOSMMAQCPU-KRWDZBQOSA-N 0 1 311.429 3.055 20 30 DGEDMN N#CC1(C(=O)Nc2ccc(F)c(-c3nc[nH]n3)c2)CCCCC1 ZINC000279149473 432939460 /nfs/dbraw/zinc/93/94/60/432939460.db2.gz FBRFCVWFZLSBFO-UHFFFAOYSA-N 0 1 313.336 3.023 20 30 DGEDMN C=CCC[C@@H](NC(=O)N[C@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000289338491 432946275 /nfs/dbraw/zinc/94/62/75/432946275.db2.gz ZFXVNEQWCXLYPM-IUODEOHRSA-N 0 1 313.405 3.181 20 30 DGEDMN O=C(N[C@@H]1CCn2ccnc2C1)N1CCC[C@H]1c1ccsc1 ZINC000330198776 432897752 /nfs/dbraw/zinc/89/77/52/432897752.db2.gz UNNFHKNCFSXUCA-KGLIPLIRSA-N 0 1 316.430 3.011 20 30 DGEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)Nc1[nH]nc(-c2ccc(C)o2)c1C ZINC000278732808 432899673 /nfs/dbraw/zinc/89/96/73/432899673.db2.gz QCMLPPPYCHFHEL-IUODEOHRSA-N 0 1 315.373 3.206 20 30 DGEDMN CC[C@H]1OCCC[C@@H]1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000330246303 432900058 /nfs/dbraw/zinc/90/00/58/432900058.db2.gz FBQMGKOLVRUHSY-DZGCQCFKSA-N 0 1 300.362 3.265 20 30 DGEDMN Cn1c(CN[C@@H]2CCC[C@@H](C#N)C2)nc2cc(Cl)ccc21 ZINC000278770956 432900671 /nfs/dbraw/zinc/90/06/71/432900671.db2.gz PDGKDMAMXPOOMB-DGCLKSJQSA-N 0 1 302.809 3.399 20 30 DGEDMN CC1CCC(N(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C2CC2)CC1 ZINC000330280213 432902211 /nfs/dbraw/zinc/90/22/11/432902211.db2.gz SDSHMTHMDJHWME-SHARSMKWSA-N 0 1 316.449 3.155 20 30 DGEDMN CC[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000330644155 432921199 /nfs/dbraw/zinc/92/11/99/432921199.db2.gz FMAPZIRTDJRZNY-BXUZGUMPSA-N 0 1 305.809 3.413 20 30 DGEDMN C=C[C@H](CO)N[C@@H]1CCSc2ccc(Br)cc21 ZINC000289632177 432971042 /nfs/dbraw/zinc/97/10/42/432971042.db2.gz FEQARBBDLQSMEN-ZYHUDNBSSA-N 0 1 314.248 3.123 20 30 DGEDMN C=C[C@H](CO)NCc1cc(Cl)c(OCCC)c(OCC)c1 ZINC000289924901 432977919 /nfs/dbraw/zinc/97/79/19/432977919.db2.gz SPHCNUYCDBKXAE-CYBMUJFWSA-N 0 1 313.825 3.164 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289952782 432981205 /nfs/dbraw/zinc/98/12/05/432981205.db2.gz QFYOPJJRVPJREK-WBMJQRKESA-N 0 1 300.793 3.416 20 30 DGEDMN Cc1cccc(CC2(O)CCN(Cc3ccccc3C#N)CC2)c1 ZINC000279841061 432994047 /nfs/dbraw/zinc/99/40/47/432994047.db2.gz QYBLQJRFOWZSPM-UHFFFAOYSA-N 0 1 320.436 3.436 20 30 DGEDMN C#C[C@@H](NCc1cc2cnn(C(C)C)c2nc1Cl)C(C)C ZINC000289392800 432954316 /nfs/dbraw/zinc/95/43/16/432954316.db2.gz NRWYUMBVJCHLMR-CQSZACIVSA-N 0 1 304.825 3.413 20 30 DGEDMN C#C[C@H](NC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1)C(C)C ZINC000297969428 433037582 /nfs/dbraw/zinc/03/75/82/433037582.db2.gz LUYLMUUSWYUDGK-WMLDXEAASA-N 0 1 324.428 3.376 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)N(C)C(C)C ZINC000298004673 433040924 /nfs/dbraw/zinc/04/09/24/433040924.db2.gz YHYLOOLVPFXVIH-OAHLLOKOSA-N 0 1 301.434 3.026 20 30 DGEDMN N#C[C@H](NC(=O)c1cccc2n[nH]cc21)c1ccccc1Cl ZINC000354391472 433055859 /nfs/dbraw/zinc/05/58/59/433055859.db2.gz GXCRIBYJXANGIM-HNNXBMFYSA-N 0 1 310.744 3.211 20 30 DGEDMN CC1(C#N)CN(C(=O)c2cc(Cl)c3ccccc3c2O)C1 ZINC000280544664 433082086 /nfs/dbraw/zinc/08/20/86/433082086.db2.gz TUNFRMUUJHBYPE-UHFFFAOYSA-N 0 1 300.745 3.184 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@@H](C2CC2)O1 ZINC000280562379 433086284 /nfs/dbraw/zinc/08/62/84/433086284.db2.gz KZKZJMUZJJPKBU-BEFAXECRSA-N 0 1 313.445 3.036 20 30 DGEDMN COc1cc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)ccc1C#N ZINC000290557534 433095415 /nfs/dbraw/zinc/09/54/15/433095415.db2.gz SCSJGGUNCPCCNL-MRXNPFEDSA-N 0 1 310.401 3.244 20 30 DGEDMN CN(CCCC1(C#N)CCOCC1)Cc1cc(C(C)(C)C)n[nH]1 ZINC000337186208 433209009 /nfs/dbraw/zinc/20/90/09/433209009.db2.gz DBUZSPNCTBEDIQ-UHFFFAOYSA-N 0 1 318.465 3.240 20 30 DGEDMN COc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc1C#N ZINC000447065433 433154900 /nfs/dbraw/zinc/15/49/00/433154900.db2.gz AFWSCXUXSZVYLJ-UHFFFAOYSA-N 0 1 312.417 3.219 20 30 DGEDMN C[N@H+](Cc1cccc(C#N)c1)[C@H]1CCN(Cc2ccccc2)C1 ZINC000336657404 433173455 /nfs/dbraw/zinc/17/34/55/433173455.db2.gz RKWRFDAENDQQMU-FQEVSTJZSA-N 0 1 305.425 3.265 20 30 DGEDMN Cc1oc(NC(=O)CN(C)[C@@H]2CCC(C)(C)C2)c(C#N)c1C ZINC000361470615 433191254 /nfs/dbraw/zinc/19/12/54/433191254.db2.gz OZTLXCWKBARIGU-CYBMUJFWSA-N 0 1 303.406 3.217 20 30 DGEDMN COc1cc(CN(C)[C@@H](C)c2cccc(C#N)c2)cc2c1OCO2 ZINC000425368134 433204748 /nfs/dbraw/zinc/20/47/48/433204748.db2.gz WBEHGMLMNULBHI-ZDUSSCGKSA-N 0 1 324.380 3.489 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C(C)(F)F)nc2)n1 ZINC000453597240 433227071 /nfs/dbraw/zinc/22/70/71/433227071.db2.gz YNSQAVVRWAZVHQ-JTQLQIEISA-N 0 1 307.325 3.448 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2ccc(C(C)(F)F)nc2)n1 ZINC000453597240 433227081 /nfs/dbraw/zinc/22/70/81/433227081.db2.gz YNSQAVVRWAZVHQ-JTQLQIEISA-N 0 1 307.325 3.448 20 30 DGEDMN C=CCN1CC[C@@H](N2CC=C(c3cc(C)cc(C)c3)CC2)C1=O ZINC000337188153 433211125 /nfs/dbraw/zinc/21/11/25/433211125.db2.gz QNHQDXMLECZVIH-LJQANCHMSA-N 0 1 310.441 3.179 20 30 DGEDMN COc1cc(CN[C@H](C)c2cccc(NC(C)=O)c2)ccc1C#N ZINC000281594985 433317222 /nfs/dbraw/zinc/31/72/22/433317222.db2.gz FGIUTHQJCMKZDR-CYBMUJFWSA-N 0 1 323.396 3.376 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cccc(C#N)c2)cc1[N+](=O)[O-] ZINC000341787283 433276839 /nfs/dbraw/zinc/27/68/39/433276839.db2.gz FXQQAPOECDAMPX-GFCCVEGCSA-N 0 1 311.341 3.326 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2cc3ccccc3s2)C1=O ZINC000281311053 433279065 /nfs/dbraw/zinc/27/90/65/433279065.db2.gz QKEFHBLMDMRMAA-OAHLLOKOSA-N 0 1 300.427 3.120 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3c2CN(C2CC2)C3)cc1 ZINC000374839188 433286783 /nfs/dbraw/zinc/28/67/83/433286783.db2.gz MSUZOLPZIOJVSV-UHFFFAOYSA-N 0 1 303.365 3.289 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C)c1ccc(SC)cc1 ZINC000281347202 433288566 /nfs/dbraw/zinc/28/85/66/433288566.db2.gz KGTRUUAUUKJXHQ-UONOGXRCSA-N 0 1 319.474 3.067 20 30 DGEDMN C=C[C@H]1CCCCN1[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447878562 433311502 /nfs/dbraw/zinc/31/15/02/433311502.db2.gz MKBANQQFZPBNKT-FUHWJXTLSA-N 0 1 309.413 3.094 20 30 DGEDMN COCCN(Cc1cccs1)Cc1ccc(C#N)c(F)c1 ZINC000361701020 433351682 /nfs/dbraw/zinc/35/16/82/433351682.db2.gz ZUBMOPLTTNWXMW-UHFFFAOYSA-N 0 1 304.390 3.407 20 30 DGEDMN C[C@H](c1ccccc1)N(CC(=O)N[C@@](C)(C#N)C1CC1)C1CC1 ZINC000299391910 433364425 /nfs/dbraw/zinc/36/44/25/433364425.db2.gz ROZLACIYTUDNNP-KUHUBIRLSA-N 0 1 311.429 3.020 20 30 DGEDMN CC(C)N(CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccc(F)cc1 ZINC000299392831 433364474 /nfs/dbraw/zinc/36/44/74/433364474.db2.gz RWBHTHGMOIWJGT-GOSISDBHSA-N 0 1 319.424 3.091 20 30 DGEDMN C=C(C)CCNC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000454309307 433371358 /nfs/dbraw/zinc/37/13/58/433371358.db2.gz UYTBQRSUELEMNY-UHFFFAOYSA-N 0 1 319.424 3.056 20 30 DGEDMN N#CCSCC(=O)Nc1ccc2nc(C3CCC3)[nH]c2c1 ZINC000299554886 433371541 /nfs/dbraw/zinc/37/15/41/433371541.db2.gz LNTIJSDWSLNPRT-UHFFFAOYSA-N 0 1 300.387 3.026 20 30 DGEDMN CC[C@H](CO)[N@@H+](Cc1ccccc1)Cc1ccc(C#N)c(F)c1 ZINC000361757142 433374701 /nfs/dbraw/zinc/37/47/01/433374701.db2.gz WZYBYTDUBFXEMT-GOSISDBHSA-N 0 1 312.388 3.470 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC000413983477 433337411 /nfs/dbraw/zinc/33/74/11/433337411.db2.gz HHHQDBRPBNWGPA-HZPDHXFCSA-N 0 1 309.413 3.133 20 30 DGEDMN CC(C)[C@@H](C(=O)Nc1ccc(F)c(C#N)c1)N1CCCCC1 ZINC000354894480 433343931 /nfs/dbraw/zinc/34/39/31/433343931.db2.gz XYVQJUYKMBIDDZ-INIZCTEOSA-N 0 1 303.381 3.146 20 30 DGEDMN Cn1cc([C@H](NCC(C)(C)CC#N)c2cccc(F)c2)cn1 ZINC000414159377 433427718 /nfs/dbraw/zinc/42/77/18/433427718.db2.gz BHLKRUHTPLDSEX-MRXNPFEDSA-N 0 1 300.381 3.178 20 30 DGEDMN CCN(CC#N)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000299728575 433379033 /nfs/dbraw/zinc/37/90/33/433379033.db2.gz UZPDDKWZRBAQOF-UHFFFAOYSA-N 0 1 321.424 3.304 20 30 DGEDMN COCC[C@@H](NCc1ccc(C#N)c(OC)c1)c1ccco1 ZINC000281819485 433394161 /nfs/dbraw/zinc/39/41/61/433394161.db2.gz WSHCSZJJEMGCTN-OAHLLOKOSA-N 0 1 300.358 3.027 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)C[C@@H]1Cc1ccccc1 ZINC000341981056 433395678 /nfs/dbraw/zinc/39/56/78/433395678.db2.gz DSUUFOMKRQGMAS-FQEVSTJZSA-N 0 1 323.415 3.056 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccnn3C(C)C)[nH]c21 ZINC000361806049 433399633 /nfs/dbraw/zinc/39/96/33/433399633.db2.gz DQOCFKGQZZTKPH-LBPRGKRZSA-N 0 1 307.357 3.139 20 30 DGEDMN C[C@H](NCc1cc2ccccc2n(C)c1=O)c1cccc(C#N)c1 ZINC000426310741 433465283 /nfs/dbraw/zinc/46/52/83/433465283.db2.gz CKJWDXFUKFFJKW-AWEZNQCLSA-N 0 1 317.392 3.261 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nccc3ccccc32)nc1 ZINC000361974362 433476215 /nfs/dbraw/zinc/47/62/15/433476215.db2.gz PZANSMLYXYVMTF-OAHLLOKOSA-N 0 1 303.321 3.128 20 30 DGEDMN C=CCC[C@@H](O)CN(C)[C@]1(c2ccccc2)CCCCC1=O ZINC000351490414 433525193 /nfs/dbraw/zinc/52/51/93/433525193.db2.gz DWLIPVJWCUWIAQ-MJGOQNOKSA-N 0 1 301.430 3.284 20 30 DGEDMN CC(C)N1CCC[C@H](N2CC=C(c3ccc(C#N)cc3)CC2)C1=O ZINC000362104871 433525427 /nfs/dbraw/zinc/52/54/27/433525427.db2.gz ZODVDTQMAZRTQO-IBGZPJMESA-N 0 1 323.440 3.047 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)OC(C)(C)C)CN(Cc2cc(C#N)cs2)C1 ZINC000421390913 433537524 /nfs/dbraw/zinc/53/75/24/433537524.db2.gz SYWFWGRODPJEOJ-TZMCWYRMSA-N 0 1 320.458 3.419 20 30 DGEDMN CCN(CC)c1ncc(CNCc2ccc(C#N)s2)s1 ZINC000421350432 433493631 /nfs/dbraw/zinc/49/36/31/433493631.db2.gz KUCDPKDQQOMRSA-UHFFFAOYSA-N 0 1 306.460 3.212 20 30 DGEDMN C[C@H]1C[C@@H](C(=O)OC(C)(C)C)CN(Cc2ccc(C#N)s2)C1 ZINC000421368656 433509622 /nfs/dbraw/zinc/50/96/22/433509622.db2.gz AJOPAXUYICDUOD-QWHCGFSZSA-N 0 1 320.458 3.419 20 30 DGEDMN N#Cc1cccc(CNCc2ccncc2OCC(F)(F)F)c1 ZINC000282154812 433509931 /nfs/dbraw/zinc/50/99/31/433509931.db2.gz HYPHADMVNIKCHA-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN C[C@H]1[C@H](NC(=O)Nc2ccc(CC#N)cc2Cl)CCC[N@H+]1C ZINC000455734652 433556164 /nfs/dbraw/zinc/55/61/64/433556164.db2.gz PHRIIYIYSKASBL-SMDDNHRTSA-N 0 1 320.824 3.010 20 30 DGEDMN C[C@H]1CN(Cc2ccc(OCC#N)cc2)Cc2ccccc2O1 ZINC000292451932 433565609 /nfs/dbraw/zinc/56/56/09/433565609.db2.gz POOOGJSGTYYHIP-HNNXBMFYSA-N 0 1 308.381 3.372 20 30 DGEDMN C=CCC[C@@H](N[C@H]1CCCc2c1cnn2CCO)c1ccco1 ZINC000375788751 433566960 /nfs/dbraw/zinc/56/69/60/433566960.db2.gz JQCZNQOSDAHIBA-JKSUJKDBSA-N 0 1 315.417 3.143 20 30 DGEDMN N#CCCN(C(=O)CN1CCC2(CCC2)CC1)c1ccccc1 ZINC000375738816 433546814 /nfs/dbraw/zinc/54/68/14/433546814.db2.gz SUIPANFMDWEBKX-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=CCC1(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)CCCCC1 ZINC000456375984 433627705 /nfs/dbraw/zinc/62/77/05/433627705.db2.gz YTRDUJOGJPZDRA-UHFFFAOYSA-N 0 1 304.346 3.309 20 30 DGEDMN C=C(CC)CN(CCO)Cc1ccc(Br)s1 ZINC000362244526 433602440 /nfs/dbraw/zinc/60/24/40/433602440.db2.gz MEDIDEZWKRDQKP-UHFFFAOYSA-N 0 1 304.253 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N2CCOC(C)(C)C2)CCCCC1 ZINC000448940340 433621845 /nfs/dbraw/zinc/62/18/45/433621845.db2.gz GMBZDPSVAGMGMR-UHFFFAOYSA-N 0 1 322.493 3.129 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3c(cccc3Cl)[nH]2)cc1O ZINC000342403156 433665768 /nfs/dbraw/zinc/66/57/68/433665768.db2.gz PKISVQYZXMSXPE-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN Cc1nc([C@@H]2CCCCN2CC#Cc2ccccc2Cl)n[nH]1 ZINC000338335326 293365829 /nfs/dbraw/zinc/36/58/29/293365829.db2.gz WYCWOEDIJLTSOR-INIZCTEOSA-N 0 1 314.820 3.345 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc(Cl)cc3F)no2)CC1 ZINC000293418921 433733589 /nfs/dbraw/zinc/73/35/89/433733589.db2.gz UQQMXEAWGHGGFX-UHFFFAOYSA-N 0 1 319.767 3.342 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CCN(C4CCC4)CC3)nc21 ZINC000428126129 433751776 /nfs/dbraw/zinc/75/17/76/433751776.db2.gz SGZZYFXLAHTAPZ-UHFFFAOYSA-N 0 1 324.472 3.242 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCSC2(CCCCC2)C1 ZINC000430767820 433797863 /nfs/dbraw/zinc/79/78/63/433797863.db2.gz XAIRTOFBIHUKFT-UHFFFAOYSA-N 0 1 310.507 3.163 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCC2(CC2)CC1 ZINC000376799754 433819619 /nfs/dbraw/zinc/81/96/19/433819619.db2.gz UBSMYCZQMRWOAZ-INIZCTEOSA-N 0 1 311.429 3.198 20 30 DGEDMN Cn1cc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)cc1C#N ZINC000293889673 433913198 /nfs/dbraw/zinc/91/31/98/433913198.db2.gz DPSYUHVONZJFGV-KRWDZBQOSA-N 0 1 315.804 3.203 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CCC=C(C)C1 ZINC000436250948 433915314 /nfs/dbraw/zinc/91/53/14/433915314.db2.gz HSHFOROGVAHQEN-OAHLLOKOSA-N 0 1 304.459 3.303 20 30 DGEDMN Cc1cc(C[N@H+]2CCCN(c3nccs3)CC2)ccc1C#N ZINC000362934976 433917140 /nfs/dbraw/zinc/91/71/40/433917140.db2.gz NPEYLCCWYLNPGJ-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN Cc1cc(CN2CCCN(c3nccs3)CC2)ccc1C#N ZINC000362934976 433917146 /nfs/dbraw/zinc/91/71/46/433917146.db2.gz NPEYLCCWYLNPGJ-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000285137915 433920184 /nfs/dbraw/zinc/92/01/84/433920184.db2.gz NUSDVTLZXAEIAZ-RHSMWYFYSA-N 0 1 312.373 3.293 20 30 DGEDMN COc1cccc(N2CCN(Cc3ccc(C#N)c(C)c3)CC2)c1 ZINC000362970186 433934849 /nfs/dbraw/zinc/93/48/49/433934849.db2.gz SDJQSZWZIMSTTF-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN CC[C@H]1CN(c2cccnc2C#N)CCN1Cc1ccccc1 ZINC000357445896 434024110 /nfs/dbraw/zinc/02/41/10/434024110.db2.gz WKXPJSORGJIBSF-KRWDZBQOSA-N 0 1 306.413 3.054 20 30 DGEDMN COc1ncc(CNCc2ccc(C#N)c(C)c2)cc1Cl ZINC000449459077 293947273 /nfs/dbraw/zinc/94/72/73/293947273.db2.gz KOFMGYZAKGZMTF-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN O=C(NCC#Cc1ccccc1)c1c(O)cc(Cl)cc1Cl ZINC000436753403 434099033 /nfs/dbraw/zinc/09/90/33/434099033.db2.gz DJLLFPHIKOFFTP-UHFFFAOYSA-N 0 1 320.175 3.481 20 30 DGEDMN Cc1ccsc1CN1CCN(c2ccc(C#N)cc2F)CC1 ZINC000352801197 434053082 /nfs/dbraw/zinc/05/30/82/434053082.db2.gz JJHIIHHBOCDODW-UHFFFAOYSA-N 0 1 315.417 3.390 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCN(c3cncc4ccccc43)CC2)C1 ZINC000294685052 434128962 /nfs/dbraw/zinc/12/89/62/434128962.db2.gz STVZMPCQOVZMLE-RDJZCZTQSA-N 0 1 306.413 3.049 20 30 DGEDMN C[C@H](N[C@@H](CO)c1ccc(F)cc1)c1ccc(C#N)c(F)c1 ZINC000346140334 434145565 /nfs/dbraw/zinc/14/55/65/434145565.db2.gz PAYFIAHMECBEKC-GTNSWQLSSA-N 0 1 302.324 3.221 20 30 DGEDMN N#CCCCOc1cccc(CN[C@@H]2CCCc3c[nH]nc32)c1 ZINC000363830559 434157946 /nfs/dbraw/zinc/15/79/46/434157946.db2.gz QPJGCGCLHGCYAX-QGZVFWFLSA-N 0 1 310.401 3.259 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000357770285 434169084 /nfs/dbraw/zinc/16/90/84/434169084.db2.gz FELSUOVCKFQTLG-LEWSCRJBSA-N 0 1 317.820 3.126 20 30 DGEDMN CC/C=C(\C)C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000378478727 434197599 /nfs/dbraw/zinc/19/75/99/434197599.db2.gz UJLMRHHGROWFIB-FRKPEAEDSA-N 0 1 311.429 3.017 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccccc1COC(C)(C)CC ZINC000416959589 434260852 /nfs/dbraw/zinc/26/08/52/434260852.db2.gz QFBDOCSQXNVHGX-AWEZNQCLSA-N 0 1 324.446 3.436 20 30 DGEDMN CC[C@@H](O)[C@H]1CCCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000437151984 434222629 /nfs/dbraw/zinc/22/26/29/434222629.db2.gz DYUODTAAHPGLNU-HUUCEWRRSA-N 0 1 324.371 3.285 20 30 DGEDMN CC(C)(C)c1nc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)cs1 ZINC000328940908 434333655 /nfs/dbraw/zinc/33/36/55/434333655.db2.gz KVPOBPJXIKWIOT-SECBINFHSA-N 0 1 304.419 3.026 20 30 DGEDMN CC(C)c1nc(CNc2nc3c(cc2C#N)CCCC3(C)C)n[nH]1 ZINC000450628676 434304715 /nfs/dbraw/zinc/30/47/15/434304715.db2.gz MTPRVEJUOXXQBC-UHFFFAOYSA-N 0 1 324.432 3.421 20 30 DGEDMN CCc1csc(NC(=O)N2CCC[C@H](c3cc(C)[nH]n3)C2)n1 ZINC000328762200 434311407 /nfs/dbraw/zinc/31/14/07/434311407.db2.gz YXIWLXWOEUXXCT-NSHDSACASA-N 0 1 319.434 3.162 20 30 DGEDMN CC[C@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1c(C)noc1C ZINC000328867928 434321956 /nfs/dbraw/zinc/32/19/56/434321956.db2.gz OLQZSQIXAKVNNC-STQMWFEESA-N 0 1 317.393 3.047 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CCCC12CCCCC2 ZINC000328890857 434323547 /nfs/dbraw/zinc/32/35/47/434323547.db2.gz SAGRAFLPQUXYNM-CQSZACIVSA-N 0 1 302.422 3.500 20 30 DGEDMN C[C@H](OC1CCCCCC1)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000328930293 434330697 /nfs/dbraw/zinc/33/06/97/434330697.db2.gz HLPCDAZFCMBXQW-QWHCGFSZSA-N 0 1 305.422 3.351 20 30 DGEDMN CCOC[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000437845788 434350753 /nfs/dbraw/zinc/35/07/53/434350753.db2.gz KEOJCHLXOWNIMN-ZDUSSCGKSA-N 0 1 310.344 3.018 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+][C@@H]3CCC(C)(C)C3)C2)c1 ZINC000329071742 434353533 /nfs/dbraw/zinc/35/35/33/434353533.db2.gz NWKIKUBANAHVQN-HUUCEWRRSA-N 0 1 304.438 3.321 20 30 DGEDMN C[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)CC12CCC2 ZINC000329108590 434357670 /nfs/dbraw/zinc/35/76/70/434357670.db2.gz FLPUHOUOFNVUPU-OCCSQVGLSA-N 0 1 302.422 3.213 20 30 DGEDMN CN(CCn1cccn1)C([O-])=[NH+][C@@H]1CCCCC12CCCC2 ZINC000329611243 434442314 /nfs/dbraw/zinc/44/23/14/434442314.db2.gz JPJGOJRTNLRVTP-OAHLLOKOSA-N 0 1 304.438 3.232 20 30 DGEDMN N#Cc1cccc(CN2CCCN(c3ccccc3)CC2)c1F ZINC000340949107 434447129 /nfs/dbraw/zinc/44/71/29/434447129.db2.gz LQCYWKCELZTXLH-UHFFFAOYSA-N 0 1 309.388 3.410 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000359442318 434419462 /nfs/dbraw/zinc/41/94/62/434419462.db2.gz UAKPYPGSIWNOMQ-UHFFFAOYSA-N 0 1 314.776 3.272 20 30 DGEDMN CN(CCn1cccn1)C([O-])=[NH+][C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000329497639 434422253 /nfs/dbraw/zinc/42/22/53/434422253.db2.gz XQSKRUSMHZMJIT-HRCADAONSA-N 0 1 304.438 3.088 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)Nc1ncn(C2CCCCC2)n1 ZINC000329751137 434472864 /nfs/dbraw/zinc/47/28/64/434472864.db2.gz IQXNKLVCKJWWEA-STQMWFEESA-N 0 1 305.426 3.244 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000458080787 434474734 /nfs/dbraw/zinc/47/47/34/434474734.db2.gz VGUTYYLUIMCYFK-AWEZNQCLSA-N 0 1 307.438 3.174 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CC[C@@H]2CCC[C@@H]2C1)N1CC[C@@H](n2cccn2)C1 ZINC000329776766 434478739 /nfs/dbraw/zinc/47/87/39/434478739.db2.gz OKMOQMMVCUJTKY-ZJIFWQFVSA-N 0 1 302.422 3.013 20 30 DGEDMN CCC1(CC)CCCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)CC1 ZINC000329824542 434486214 /nfs/dbraw/zinc/48/62/14/434486214.db2.gz BMEUZWZRRWEKIJ-OAHLLOKOSA-N 0 1 318.465 3.404 20 30 DGEDMN CCSc1nnc(NC(=O)[C@@H]2CCCc3[nH]ncc32)s1 ZINC000329855390 434492232 /nfs/dbraw/zinc/49/22/32/434492232.db2.gz XILSWHJZCKRIPJ-SSDOTTSWSA-N 0 1 309.420 3.081 20 30 DGEDMN CC[C@H](C)[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329863419 434496114 /nfs/dbraw/zinc/49/61/14/434496114.db2.gz RWNJUWXYWIRZKI-NOLJZWGESA-N 0 1 304.438 3.082 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCC[C@H](C)CC3)C2)c1 ZINC000329656485 434454033 /nfs/dbraw/zinc/45/40/33/434454033.db2.gz MOCKUILFTGOPLE-NUEKZKHPSA-N 0 1 304.438 3.321 20 30 DGEDMN N#Cc1ccc(CNCc2cccc3c2OCCCO3)cc1F ZINC000404765458 434520393 /nfs/dbraw/zinc/52/03/93/434520393.db2.gz RBYDBJLZJQNWFJ-UHFFFAOYSA-N 0 1 312.344 3.148 20 30 DGEDMN COc1cc(CN[C@@H]2CCOc3c(OC)cccc32)ccc1C#N ZINC000366929882 434531436 /nfs/dbraw/zinc/53/14/36/434531436.db2.gz MZWZVXIXUWFHMA-MRXNPFEDSA-N 0 1 324.380 3.189 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](c3ccccc3)C[C@@H]2C)CC1 ZINC000366951964 434532796 /nfs/dbraw/zinc/53/27/96/434532796.db2.gz KYTKSIXFNATMRF-PXNSSMCTSA-N 0 1 324.468 3.126 20 30 DGEDMN CCC(CC)(CC(=O)OC)NCc1ccc(Cl)cc1C#N ZINC000360142965 434532867 /nfs/dbraw/zinc/53/28/67/434532867.db2.gz YTIQZGZRRBEMCP-UHFFFAOYSA-N 0 1 308.809 3.423 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCOc2ccccc2)CC1 ZINC000369214743 434590761 /nfs/dbraw/zinc/59/07/61/434590761.db2.gz LOWXQIAORQHQNE-UHFFFAOYSA-N 0 1 307.397 3.018 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H]1c1ccccc1 ZINC000329728282 295384027 /nfs/dbraw/zinc/38/40/27/295384027.db2.gz PAJPTMOKJQUEMD-PBHICJAKSA-N 0 1 310.401 3.018 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@H](c3ncc[nH]3)C2)c(Cl)c1 ZINC000439431063 434602296 /nfs/dbraw/zinc/60/22/96/434602296.db2.gz LEIGSFHQXVHKEO-AWEZNQCLSA-N 0 1 300.793 3.314 20 30 DGEDMN Cc1cc(O)c(CN2CCO[C@@H](c3cccc(C#N)c3)C2)cc1C ZINC000371285197 434663088 /nfs/dbraw/zinc/66/30/88/434663088.db2.gz YDTFNIVVIHQYQQ-HXUWFJFHSA-N 0 1 322.408 3.454 20 30 DGEDMN C[C@@H](C#N)N(C)C(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000410884022 434685592 /nfs/dbraw/zinc/68/55/92/434685592.db2.gz BUOZWDDRLMCFSN-INIZCTEOSA-N 0 1 321.424 3.303 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)NC(C)(C)CC ZINC000411165387 434735636 /nfs/dbraw/zinc/73/56/36/434735636.db2.gz KCTLQVABYLAKSS-HNNXBMFYSA-N 0 1 315.461 3.464 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000424566644 434742904 /nfs/dbraw/zinc/74/29/04/434742904.db2.gz RUWCQFWWFUBFMP-INIZCTEOSA-N 0 1 304.434 3.016 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2C[C@H](C)[C@H](C)[C@H]2C)c(C#N)c1C ZINC000419368763 434779121 /nfs/dbraw/zinc/77/91/21/434779121.db2.gz GMUWKSUSTQKRDY-DJIHRAIXSA-N 0 1 303.406 3.071 20 30 DGEDMN C=CC[C@H]1N([C@H]2CCN(CC(F)(F)F)C2=O)CCCC1(C)C ZINC000444778948 434790798 /nfs/dbraw/zinc/79/07/98/434790798.db2.gz KCENCGNDSQEYJN-QWHCGFSZSA-N 0 1 318.383 3.216 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)N(C)CC(F)(F)F)CCCC1(C)C ZINC000444779425 434791170 /nfs/dbraw/zinc/79/11/70/434791170.db2.gz YIFOPJLYJIKZJN-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN O[C@@H]1C[C@H](c2ccccc2)N(CC#Cc2ccc(Cl)cc2)C1 ZINC000411936646 434846456 /nfs/dbraw/zinc/84/64/56/434846456.db2.gz ITOOQYXQNMRQSU-RTBURBONSA-N 0 1 311.812 3.499 20 30 DGEDMN Cc1ccc2nc(CNC(=O)C=Cc3ccc(C#N)cc3)[nH]c2c1 ZINC000491932482 434885072 /nfs/dbraw/zinc/88/50/72/434885072.db2.gz AEISSSWTEKEYLP-VQHVLOKHSA-N 0 1 316.364 3.073 20 30 DGEDMN Cc1nc(N2CCC(c3ccn[nH]3)CC2)c(Cl)cc1C#N ZINC000573653702 434914475 /nfs/dbraw/zinc/91/44/75/434914475.db2.gz SYOSNAZAHTXMJI-UHFFFAOYSA-N 0 1 301.781 3.022 20 30 DGEDMN CC[C@H](C)c1ccc(S(=O)(=O)Nc2cccc(C#N)n2)cc1 ZINC000573965910 434938401 /nfs/dbraw/zinc/93/84/01/434938401.db2.gz KFQRDQRZCJQPDR-LBPRGKRZSA-N 0 1 315.398 3.268 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=Cc1cccc(C#N)c1 ZINC000492366239 434967961 /nfs/dbraw/zinc/96/79/61/434967961.db2.gz TXJHUOWYEVIQCZ-AATRIKPKSA-N 0 1 302.284 3.179 20 30 DGEDMN N#CCc1ccccc1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000555525472 434976538 /nfs/dbraw/zinc/97/65/38/434976538.db2.gz ZYUQXEZIPOTNCN-UHFFFAOYSA-N 0 1 302.337 3.395 20 30 DGEDMN CC[C@H](NCc1ccc(C#N)cn1)c1ccc(OC)c(OC)c1 ZINC000554361020 434923330 /nfs/dbraw/zinc/92/33/30/434923330.db2.gz YFMFYRXHPSVPFV-INIZCTEOSA-N 0 1 311.385 3.211 20 30 DGEDMN C[C@H](CN(C)[C@H](C(N)=O)c1ccc(C#N)cc1)C1CCCCC1 ZINC000528773648 435028968 /nfs/dbraw/zinc/02/89/68/435028968.db2.gz MSEUMPCVHMXJLL-KDOFPFPSSA-N 0 1 313.445 3.233 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2[C@H](C3CC3)[C@@H]2C)cc1 ZINC000528918591 435030367 /nfs/dbraw/zinc/03/03/67/435030367.db2.gz JFVXVLGBPSFOKO-RGXXSHLXSA-N 0 1 310.397 3.188 20 30 DGEDMN N#CC(C(=O)c1cnn(C2CC2)c1)c1cc(C(F)(F)F)ccn1 ZINC000529021804 435031850 /nfs/dbraw/zinc/03/18/50/435031850.db2.gz PSGOXKDYVXAUQD-GFCCVEGCSA-N 0 1 320.274 3.122 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cnn(C3CC3)c2)s1 ZINC000529009233 435032130 /nfs/dbraw/zinc/03/21/30/435032130.db2.gz MXBJEKALCYRPPM-LBPRGKRZSA-N 0 1 314.414 3.462 20 30 DGEDMN CC(C)[C@@]1(CO)CCCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000555711774 434984076 /nfs/dbraw/zinc/98/40/76/434984076.db2.gz BLBXEEJXBQDWOO-SFHVURJKSA-N 0 1 305.849 3.422 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000464728114 434995768 /nfs/dbraw/zinc/99/57/68/434995768.db2.gz XIAFSSVVJWDFSP-CRAIPNDOSA-N 0 1 316.420 3.409 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCCC2(C1)CCCCCC2 ZINC000464767260 434998160 /nfs/dbraw/zinc/99/81/60/434998160.db2.gz VTCTVETWXPCQSX-GOSISDBHSA-N 0 1 319.493 3.477 20 30 DGEDMN N#CCCC1CCN(Cc2cncn2Cc2ccccc2)CC1 ZINC000575744640 435051945 /nfs/dbraw/zinc/05/19/45/435051945.db2.gz CPQGJELMPMEOFI-UHFFFAOYSA-N 0 1 308.429 3.447 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1sc2c(c1C#N)CCCCC2 ZINC000467292548 435116666 /nfs/dbraw/zinc/11/66/66/435116666.db2.gz CQEMJSFFCXWIQH-UHFFFAOYSA-N 0 1 317.458 3.335 20 30 DGEDMN Cc1ccccc1[C@H](C)N1CCN(c2cnccc2C#N)CC1 ZINC000559259259 435125911 /nfs/dbraw/zinc/12/59/11/435125911.db2.gz VOOGREOFZSTYOU-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)Cc2cnc3c(C#N)cnn3c2)c(C)c1 ZINC000579953407 435195750 /nfs/dbraw/zinc/19/57/50/435195750.db2.gz BDZQGXLSBSHGCG-OAHLLOKOSA-N 0 1 319.412 3.411 20 30 DGEDMN N#Cc1cncc(N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000561454366 435218106 /nfs/dbraw/zinc/21/81/06/435218106.db2.gz JXHFAGPIQUGDHC-CQSZACIVSA-N 0 1 324.775 3.219 20 30 DGEDMN C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)NCc1ccc(C#N)cc1F ZINC000496951245 435276509 /nfs/dbraw/zinc/27/65/09/435276509.db2.gz UKWZBVDGOZPWHQ-NWDGAFQWSA-N 0 1 321.396 3.089 20 30 DGEDMN C[C@@H](c1nc(-c2cccnc2)no1)N1CCC(CCC#N)CC1 ZINC000565536705 435280121 /nfs/dbraw/zinc/28/01/21/435280121.db2.gz CIPXDFFAROPUJY-ZDUSSCGKSA-N 0 1 311.389 3.208 20 30 DGEDMN N#CCC1(CN2CCC[C@H](C(=O)c3ccc(F)cc3)C2)CC1 ZINC000639495927 435319563 /nfs/dbraw/zinc/31/95/63/435319563.db2.gz JTNMGWCMYBENOH-HNNXBMFYSA-N 0 1 300.377 3.414 20 30 DGEDMN CCN(CCc1ccccc1)Cc1cccc(C(=O)OC)c1C#N ZINC000535980453 435366773 /nfs/dbraw/zinc/36/67/73/435366773.db2.gz JXWZOKPNRKASAG-UHFFFAOYSA-N 0 1 322.408 3.409 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2cc(C)nc(C)n2)nn1[C@H](C)C1CC1 ZINC000538580181 435505682 /nfs/dbraw/zinc/50/56/82/435505682.db2.gz PTGCGUNYHNPEFY-IUODEOHRSA-N 0 1 323.400 3.059 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2cc(C)nc(C)n2)nn1[C@H](C)C1CC1 ZINC000538580181 435505688 /nfs/dbraw/zinc/50/56/88/435505688.db2.gz PTGCGUNYHNPEFY-IUODEOHRSA-N 0 1 323.400 3.059 20 30 DGEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](C#N)c1ccc(C(C)(C)C)cc1 ZINC000516935752 435562760 /nfs/dbraw/zinc/56/27/60/435562760.db2.gz ILHUDDBYMLQRQA-SUMWQHHRSA-N 0 1 324.428 3.267 20 30 DGEDMN N#CCC1CCN(CC(=O)NCCCC2CCCCC2)CC1 ZINC000541952698 435645260 /nfs/dbraw/zinc/64/52/60/435645260.db2.gz VSMXNLGHFIKQCH-UHFFFAOYSA-N 0 1 305.466 3.089 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@H]([C@@H](C)O)C2)cc1Cl ZINC000541890751 435645711 /nfs/dbraw/zinc/64/57/11/435645711.db2.gz BQNRUYBTRFNILN-HIFRSBDPSA-N 0 1 309.837 3.498 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000570824412 435653574 /nfs/dbraw/zinc/65/35/74/435653574.db2.gz YLQJLZDYHMPLRL-YJBOKZPZSA-N 0 1 310.401 3.475 20 30 DGEDMN C=C1CCN(CCCC(=O)Nc2ccccc2C(C)=O)CC1 ZINC000571175986 435684244 /nfs/dbraw/zinc/68/42/44/435684244.db2.gz PZNHTQKWBZDLGJ-UHFFFAOYSA-N 0 1 300.402 3.260 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2oc3c(cccc3C)c2C)C1=O ZINC000649820091 435714678 /nfs/dbraw/zinc/71/46/78/435714678.db2.gz QROVKEPRYRNSDS-MRXNPFEDSA-N 0 1 312.413 3.268 20 30 DGEDMN C=C(C)[C@@H](CO)N1CCC(c2nc(C(F)(F)F)cs2)CC1 ZINC000649842262 435721623 /nfs/dbraw/zinc/72/16/23/435721623.db2.gz WAKHCWXVJFLPQJ-LLVKDONJSA-N 0 1 320.380 3.278 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCC[C@@H]2C(C)(C)C)CC1 ZINC000491263725 435722811 /nfs/dbraw/zinc/72/28/11/435722811.db2.gz BJUOTPYQURIOGW-DLBZAZTESA-N 0 1 304.478 3.005 20 30 DGEDMN C#C[C@H](C)N(C)Cc1cc(Br)c(O)c(OCC)c1 ZINC000491716209 435798904 /nfs/dbraw/zinc/79/89/04/435798904.db2.gz MJOZFEXHHPIVBQ-JTQLQIEISA-N 0 1 312.207 3.007 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCC[C@@H]2c2ccccc2)CC1 ZINC000491781847 435811558 /nfs/dbraw/zinc/81/15/58/435811558.db2.gz KVKCYKPYIVIJMZ-UXHICEINSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](CC(C)(C)C)C2(C)C)CC1 ZINC000491565663 435772689 /nfs/dbraw/zinc/77/26/89/435772689.db2.gz BFYFLWBTKPWCJA-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN C#C[C@H](C)N(C)Cc1ccc(OC)c(OCc2cccnc2)c1 ZINC000491566910 435774831 /nfs/dbraw/zinc/77/48/31/435774831.db2.gz ITHYZKRBRGTFPC-HNNXBMFYSA-N 0 1 310.397 3.123 20 30 DGEDMN CN(CCOc1ccccc1Cl)Cc1ccc(C#N)cn1 ZINC000572082687 435775992 /nfs/dbraw/zinc/77/59/92/435775992.db2.gz MNAXFPWSWUQQRC-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@H](CC2CC2)C1 ZINC000550029573 435785886 /nfs/dbraw/zinc/78/58/86/435785886.db2.gz VZJXGEQJVARVGA-CYBMUJFWSA-N 0 1 317.820 3.272 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000660932330 435927355 /nfs/dbraw/zinc/92/73/55/435927355.db2.gz YYRWZFFEJCLGFS-XNRPHZJLSA-N 0 1 304.438 3.083 20 30 DGEDMN C=CC[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cc(OC)cc(OC)c2)C1 ZINC000656760715 436030061 /nfs/dbraw/zinc/03/00/61/436030061.db2.gz YBONLPZKHVSGKH-SUMWQHHRSA-N 0 1 319.401 3.118 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2csc(-c3cnn(C)c3)n2)C1 ZINC000661378898 436049056 /nfs/dbraw/zinc/04/90/56/436049056.db2.gz OATIGTHEXXKGSJ-CYBMUJFWSA-N 0 1 302.447 3.332 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)N(CC(F)(F)F)C(C)C)C1 ZINC000661381143 436050666 /nfs/dbraw/zinc/05/06/66/436050666.db2.gz WEPUKJGWEJEZIB-CYBMUJFWSA-N 0 1 306.372 3.074 20 30 DGEDMN Fc1ccc(CNCCOCC(F)(F)C(F)F)c(F)c1F ZINC000657450802 436208704 /nfs/dbraw/zinc/20/87/04/436208704.db2.gz VYRGMLGRYHQJAE-UHFFFAOYSA-N 0 1 319.220 3.111 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@H](c3ccccc3F)C2)nn1 ZINC000657455120 436210385 /nfs/dbraw/zinc/21/03/85/436210385.db2.gz PTXWRWOONQUIAK-HDJSIYSDSA-N 0 1 300.381 3.029 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC000662077955 436265916 /nfs/dbraw/zinc/26/59/16/436265916.db2.gz GLDWLGZRGZABRX-WNRNVDISSA-N 0 1 302.462 3.351 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NCc1nn(C)cc1Br ZINC000658027947 436355641 /nfs/dbraw/zinc/35/56/41/436355641.db2.gz ANQQXJIUYUMOTL-YPMHNXCESA-N 0 1 312.255 3.407 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(N(C)C)c(Cl)c2)n1 ZINC000654968876 436478458 /nfs/dbraw/zinc/47/84/58/436478458.db2.gz QIDOPWLMQLEVIG-JTQLQIEISA-N 0 1 320.805 3.056 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@@H](c2ccsc2)N(C)C)C1 ZINC000660313687 436622715 /nfs/dbraw/zinc/62/27/15/436622715.db2.gz ZHEUOEFNFPFQEF-ZBFHGGJFSA-N 0 1 321.490 3.349 20 30 DGEDMN N#Cc1cccc(CN2CCN(c3cccc4[nH]ccc43)CC2)c1 ZINC000528763439 313075853 /nfs/dbraw/zinc/07/58/53/313075853.db2.gz RUSDVGFKIPOFGN-UHFFFAOYSA-N 0 1 316.408 3.362 20 30 DGEDMN Cc1ccc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)cc1C ZINC000551712280 314571736 /nfs/dbraw/zinc/57/17/36/314571736.db2.gz BFVNIMRBAQKETN-UHFFFAOYSA-N 0 1 319.408 3.393 20 30 DGEDMN CCc1ccc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)cc1 ZINC000554848176 314701900 /nfs/dbraw/zinc/70/19/00/314701900.db2.gz IWFKNWAFLTXGOZ-CQSZACIVSA-N 0 1 307.397 3.410 20 30 DGEDMN N#Cc1cnn2cc(CNCc3ccc4ccccc4c3)cnc12 ZINC000559853044 314893255 /nfs/dbraw/zinc/89/32/55/314893255.db2.gz NBPNNXIPTFNLGV-UHFFFAOYSA-N 0 1 313.364 3.044 20 30 DGEDMN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1ccc(C#N)cn1 ZINC000571654334 315736204 /nfs/dbraw/zinc/73/62/04/315736204.db2.gz OSACXUYIUJCUMH-SJKOYZFVSA-N 0 1 315.804 3.472 20 30 DGEDMN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1ccc(C#N)cn1 ZINC000571654331 315736518 /nfs/dbraw/zinc/73/65/18/315736518.db2.gz OSACXUYIUJCUMH-PXAZEXFGSA-N 0 1 315.804 3.472 20 30 DGEDMN C[C@@H](NCCOC[C@@H]1CCCO1)c1ccc(C#N)cc1Cl ZINC000575738161 316057462 /nfs/dbraw/zinc/05/74/62/316057462.db2.gz YLGCVKSEZLLQLU-OCCSQVGLSA-N 0 1 308.809 3.058 20 30 DGEDMN N#Cc1ccc(-c2nc(C3(N)CCCCC3)no2)cc1Cl ZINC000577382909 316230731 /nfs/dbraw/zinc/23/07/31/316230731.db2.gz YYPSRWLZDLKCEU-UHFFFAOYSA-N 0 1 302.765 3.380 20 30 DGEDMN COc1cc(C(=O)Nc2sc3c(c2C#N)CCCCC3)on1 ZINC000183323104 323334127 /nfs/dbraw/zinc/33/41/27/323334127.db2.gz KVVSOQADDIZCIA-UHFFFAOYSA-N 0 1 317.370 3.138 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC000581308004 324103035 /nfs/dbraw/zinc/10/30/35/324103035.db2.gz QBJGPUYJYYCMSL-SFHVURJKSA-N 0 1 308.381 3.220 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)nc1 ZINC000583531965 324365756 /nfs/dbraw/zinc/36/57/56/324365756.db2.gz FIFTZEIYHXQPAC-SJORKVTESA-N 0 1 313.788 3.226 20 30 DGEDMN C[C@@H](NC(=O)CN1CCC(CCC#N)CC1)c1ccc(F)cc1 ZINC000570925854 332843703 /nfs/dbraw/zinc/84/37/03/332843703.db2.gz MPRGUVYBJKCEAN-CQSZACIVSA-N 0 1 317.408 3.019 20 30 DGEDMN C=CCOCCCC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000531067279 332245097 /nfs/dbraw/zinc/24/50/97/332245097.db2.gz FGDOMECPGQOPIM-INIZCTEOSA-N 0 1 324.852 3.042 20 30 DGEDMN N#CCCC1CCN(CC(=O)N2CCC[C@H]3CCCC[C@H]32)CC1 ZINC000580187165 332992964 /nfs/dbraw/zinc/99/29/64/332992964.db2.gz DTGGNXRZHINXPO-QZTJIDSGSA-N 0 1 317.477 3.183 20 30 DGEDMN N#CCC[C@@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000269583409 334002356 /nfs/dbraw/zinc/00/23/56/334002356.db2.gz AKVLMUPNMUTREQ-CVEARBPZSA-N 0 1 323.400 3.131 20 30 DGEDMN N#CCCOCCN1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000293556569 334199185 /nfs/dbraw/zinc/19/91/85/334199185.db2.gz RPMYPRDRQBARNN-UHFFFAOYSA-N 0 1 320.820 3.165 20 30 DGEDMN C=CCNC(=O)CN1CCc2ccccc2[C@H]1Cc1ccccc1 ZINC000534552598 334281984 /nfs/dbraw/zinc/28/19/84/334281984.db2.gz ATMYGZVBSHCQMB-HXUWFJFHSA-N 0 1 320.436 3.131 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cc(CC#N)ccn3)[nH]n2)cc1 ZINC000531925228 334293492 /nfs/dbraw/zinc/29/34/92/334293492.db2.gz MTRMGEGOPAHGQO-UHFFFAOYSA-N 0 1 317.352 3.099 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@@H](c2ccc(F)cc2)N(C)C)CC1 ZINC000111834431 334358206 /nfs/dbraw/zinc/35/82/06/334358206.db2.gz ITFRJDUIVJHOMD-KRWDZBQOSA-N 0 1 319.424 3.036 20 30 DGEDMN N#CCCCC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000520677882 336011074 /nfs/dbraw/zinc/01/10/74/336011074.db2.gz VWRPRMIWFCRDDU-INIZCTEOSA-N 0 1 319.836 3.287 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)C3(CCCCC3)C2)CC1 ZINC000373318950 336956318 /nfs/dbraw/zinc/95/63/18/336956318.db2.gz ORFRNKUVEXSGTH-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000503429547 339065094 /nfs/dbraw/zinc/06/50/94/339065094.db2.gz JIOUNHRYDMBULG-RDTXWAMCSA-N 0 1 318.848 3.365 20 30 DGEDMN C=CCSc1ccccc1NC(=O)CN1C[C@H](C)[C@H](C)C1 ZINC000464851093 339157685 /nfs/dbraw/zinc/15/76/85/339157685.db2.gz PYZNQCZJWFWKDZ-OKILXGFUSA-N 0 1 304.459 3.491 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@]1(c2cccc(C)c2)C[C@@H]1CC ZINC000502513240 340106613 /nfs/dbraw/zinc/10/66/13/340106613.db2.gz SOGYKQWQZZWSIT-PXNSSMCTSA-N 0 1 312.457 3.410 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@]1(c2cccc(C)c2)C[C@H]1CC ZINC000502513242 340106646 /nfs/dbraw/zinc/10/66/46/340106646.db2.gz SOGYKQWQZZWSIT-YLJYHZDGSA-N 0 1 312.457 3.410 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC000509264295 340264944 /nfs/dbraw/zinc/26/49/44/340264944.db2.gz QDQHLRZTGRIPKA-UHFFFAOYSA-N 0 1 300.446 3.075 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)c2ccc3ccccc3c2)CC1 ZINC000067143619 340855151 /nfs/dbraw/zinc/85/51/51/340855151.db2.gz UENIXJHNUYAQGU-UHFFFAOYSA-N 0 1 306.409 3.148 20 30 DGEDMN N#CCC1CCN(Cc2cc(Cl)c3c(c2)OCCO3)CC1 ZINC000540995751 340955063 /nfs/dbraw/zinc/95/50/63/340955063.db2.gz RRRXWDWYBHSHPR-UHFFFAOYSA-N 0 1 306.793 3.237 20 30 DGEDMN Cc1ccccc1[C@@H](C)NC(=O)[C@@H](C)N1CCC(CC#N)CC1 ZINC000541024608 340956635 /nfs/dbraw/zinc/95/66/35/340956635.db2.gz OPYGAUFQXYBZSX-HZPDHXFCSA-N 0 1 313.445 3.186 20 30 DGEDMN C=CC[C@@H](C)NC(=O)N1CCN(Cc2ccccc2)[C@@H](CC)C1 ZINC000543698981 341053904 /nfs/dbraw/zinc/05/39/04/341053904.db2.gz HLTDBFXXSDYZKX-AEFFLSMTSA-N 0 1 315.461 3.257 20 30 DGEDMN C[C@H](C(=O)N[C@@H](C)c1ccc(F)cc1)N1CCC(CC#N)CC1 ZINC000543810626 341060199 /nfs/dbraw/zinc/06/01/99/341060199.db2.gz IYKROFJHCAQSAO-UONOGXRCSA-N 0 1 317.408 3.017 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000545940629 341147463 /nfs/dbraw/zinc/14/74/63/341147463.db2.gz NOFHPUXMVSEAJE-MRXNPFEDSA-N 0 1 306.837 3.253 20 30 DGEDMN C=CCCCC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000546110836 341153953 /nfs/dbraw/zinc/15/39/53/341153953.db2.gz YDRZNYQDDZABJV-GOSISDBHSA-N 0 1 300.446 3.466 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000079191973 341255137 /nfs/dbraw/zinc/25/51/37/341255137.db2.gz JKXRQSIOYRTQBG-MRXNPFEDSA-N 0 1 319.449 3.414 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(-c2ccc(Cl)cc2)cc1 ZINC000551236376 341334731 /nfs/dbraw/zinc/33/47/31/341334731.db2.gz NQHXLHMUPONTRG-UHFFFAOYSA-N 0 1 314.816 3.399 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc3c(c2)CCCC3=O)n1 ZINC000551790839 341351873 /nfs/dbraw/zinc/35/18/73/341351873.db2.gz YRQVWNDACGAGDS-AWEZNQCLSA-N 0 1 310.378 3.461 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@H]1CCN(c2ccc(F)c(Cl)c2)C1 ZINC000554191064 341446503 /nfs/dbraw/zinc/44/65/03/341446503.db2.gz CAKXEICWJMWYEV-STQMWFEESA-N 0 1 320.799 3.091 20 30 DGEDMN C=CCOc1cccc(CN2CCC(C(=O)OCC)CC2)c1 ZINC000158082150 341651053 /nfs/dbraw/zinc/65/10/53/341651053.db2.gz DASAOOZGAUAWPX-UHFFFAOYSA-N 0 1 303.402 3.027 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000577587405 341920909 /nfs/dbraw/zinc/92/09/09/341920909.db2.gz JJTAUVULMLYQBM-QYZOEREBSA-N 0 1 322.408 3.300 20 30 DGEDMN Cc1ccc(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)cc1F ZINC000430182564 533949529 /nfs/dbraw/zinc/94/95/29/533949529.db2.gz WWLJHOMGUJRTNU-MRXNPFEDSA-N 0 1 311.360 3.054 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CC[C@H](c2ccccc2)C1 ZINC000324241564 534297495 /nfs/dbraw/zinc/29/74/95/534297495.db2.gz AWWPKUWORUGEAJ-QAPCUYQASA-N 0 1 319.408 3.375 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C(=O)C(C)(C)C#N)C3)[nH]c2c1 ZINC000171195578 534364139 /nfs/dbraw/zinc/36/41/39/534364139.db2.gz HXJDRWGJXUNGAO-ZDUSSCGKSA-N 0 1 310.401 3.127 20 30 DGEDMN C=C(CC)CNCc1cn(-c2ccccc2)nc1C(=O)OCC ZINC000449736123 534416477 /nfs/dbraw/zinc/41/64/77/534416477.db2.gz VZQPVKMHUZLCAJ-UHFFFAOYSA-N 0 1 313.401 3.105 20 30 DGEDMN C=C(CC)CNCc1ccc(OC)c(O)c1Br ZINC000449737387 534417589 /nfs/dbraw/zinc/41/75/89/534417589.db2.gz ZONDPZIAGKBOEM-UHFFFAOYSA-N 0 1 300.196 3.219 20 30 DGEDMN Cc1noc(C[C@H](NCc2cccc(C#N)c2)c2ccccc2)n1 ZINC000428645352 534423826 /nfs/dbraw/zinc/42/38/26/534423826.db2.gz CCWWJJRHNPGNCY-SFHVURJKSA-N 0 1 318.380 3.323 20 30 DGEDMN N#CC1(F)CCN(C[C@H](O)Cc2ccc3ccccc3c2)CC1 ZINC000352448417 534566934 /nfs/dbraw/zinc/56/69/34/534566934.db2.gz HALDVCUSTKPTDP-GOSISDBHSA-N 0 1 312.388 3.071 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1cc(C#N)ccc1Br ZINC000451679110 534573203 /nfs/dbraw/zinc/57/32/03/534573203.db2.gz GSDOYUVCELVNAD-CABCVRRESA-N 0 1 321.218 3.144 20 30 DGEDMN Cc1sc(NC(=O)CN(C)[C@H]2CC[C@H](C)C2)c(C#N)c1C ZINC000429575868 534608957 /nfs/dbraw/zinc/60/89/57/534608957.db2.gz AXGWJPNVZMLWDX-GWCFXTLKSA-N 0 1 305.447 3.296 20 30 DGEDMN N#CCCCNC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000354339514 534610463 /nfs/dbraw/zinc/61/04/63/534610463.db2.gz FRCMAWRLLWARBL-UHFFFAOYSA-N 0 1 310.382 3.325 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000184978207 526296685 /nfs/dbraw/zinc/29/66/85/526296685.db2.gz COIXNGKCBGVQPO-INIZCTEOSA-N 0 1 302.418 3.116 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1ccc2cncn2c1 ZINC000339829239 526345625 /nfs/dbraw/zinc/34/56/25/526345625.db2.gz GDNBOPSMMGHUHU-UHFFFAOYSA-N 0 1 316.364 3.034 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000428045154 526357160 /nfs/dbraw/zinc/35/71/60/526357160.db2.gz XKYKNHVMQRLCMZ-UHFFFAOYSA-N 0 1 312.325 3.129 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cccs2)CC1 ZINC000132075164 526362285 /nfs/dbraw/zinc/36/22/85/526362285.db2.gz NGSAAIUETVIBMP-UHFFFAOYSA-N 0 1 304.459 3.088 20 30 DGEDMN CNC(=O)c1ccc(NC(=O)CN2[C@H](C)CC[C@H]2C)cc1Cl ZINC000330959542 526408130 /nfs/dbraw/zinc/40/81/30/526408130.db2.gz AFSSXFJQWQLDTF-GHMZBOCLSA-N 0 1 323.824 3.086 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(Cl)cc2F)CC1 ZINC000352051399 526446630 /nfs/dbraw/zinc/44/66/30/526446630.db2.gz ZSBXXNWXOJPHIP-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCN1CC[C@@H](N2CC=C(c3ccc(CC)cc3)CC2)C1=O ZINC000337179570 526476082 /nfs/dbraw/zinc/47/60/82/526476082.db2.gz LIZMTFJBEFRIFK-LJQANCHMSA-N 0 1 310.441 3.125 20 30 DGEDMN C=CCN1CC[C@H](N[C@@H](CC)c2ccccc2OC(F)F)C1=O ZINC000337167607 526512527 /nfs/dbraw/zinc/51/25/27/526512527.db2.gz AVGQRNFAEAWIOL-KBPBESRZSA-N 0 1 324.371 3.116 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H](c2ccccc2F)C1 ZINC000337534462 526525090 /nfs/dbraw/zinc/52/50/90/526525090.db2.gz UXZBSUIIWRCLRM-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)Cc1ccc(OCC)cc1 ZINC000346589539 526541771 /nfs/dbraw/zinc/54/17/71/526541771.db2.gz YJDJITPIDRUOPK-INIZCTEOSA-N 0 1 318.461 3.330 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc(CC#N)cc1 ZINC000352814339 526560059 /nfs/dbraw/zinc/56/00/59/526560059.db2.gz HMSCWEBPAXPAJT-UHFFFAOYSA-N 0 1 310.397 3.416 20 30 DGEDMN C#CCCCCC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000179781196 526687546 /nfs/dbraw/zinc/68/75/46/526687546.db2.gz QWLZVIRGBHGEIO-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCOCCCN1CCc2ccc(Br)cc2C1 ZINC000342129783 526758413 /nfs/dbraw/zinc/75/84/13/526758413.db2.gz LZRKUNPVFLRSDZ-UHFFFAOYSA-N 0 1 310.235 3.400 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cnn(CCF)c2)s1 ZINC000344920738 526827910 /nfs/dbraw/zinc/82/79/10/526827910.db2.gz RTCBMTUSGLHXEC-LLVKDONJSA-N 0 1 320.393 3.097 20 30 DGEDMN CC(C)(C)c1csc(NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC000443340206 526838030 /nfs/dbraw/zinc/83/80/30/526838030.db2.gz BQQLAUHQJDAINF-UHFFFAOYSA-N 0 1 301.371 3.270 20 30 DGEDMN C=CCOc1ccc(CN2CCC[C@@H]2CC(=O)OC)cc1Cl ZINC000353748380 526868258 /nfs/dbraw/zinc/86/82/58/526868258.db2.gz RPBLSGIMQHXCPS-CQSZACIVSA-N 0 1 323.820 3.432 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2ccccc2C(F)(F)F)CC1 ZINC000343522118 526902735 /nfs/dbraw/zinc/90/27/35/526902735.db2.gz GZPKJSFKQDEAFJ-CYBMUJFWSA-N 0 1 315.335 3.097 20 30 DGEDMN C=CCOc1ccccc1CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000343542702 526950783 /nfs/dbraw/zinc/95/07/83/526950783.db2.gz YDZZAUJEGOSERU-GOSISDBHSA-N 0 1 320.396 3.249 20 30 DGEDMN C=CCCC1(C(=O)NC[C@H](c2cccc(OC)c2)N(C)C)CC1 ZINC000353827488 527090724 /nfs/dbraw/zinc/09/07/24/527090724.db2.gz OCPREIHYJFWEEV-QGZVFWFLSA-N 0 1 316.445 3.161 20 30 DGEDMN C=CCCCCCN(C)C(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000128764383 527127241 /nfs/dbraw/zinc/12/72/41/527127241.db2.gz AFUKZBSRQYKSKB-UHFFFAOYSA-N 0 1 316.361 3.290 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000346314855 527154385 /nfs/dbraw/zinc/15/43/85/527154385.db2.gz KTHOOPSDPSBQJM-HNNXBMFYSA-N 0 1 307.413 3.041 20 30 DGEDMN CC(C)(COc1ccc(C(F)(F)F)cn1)NCC1(C#N)CC1 ZINC000355233562 527223625 /nfs/dbraw/zinc/22/36/25/527223625.db2.gz DDIQYPSVTPMRHC-UHFFFAOYSA-N 0 1 313.323 3.151 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NCCN1CCc2ccccc2C1 ZINC000342791209 527265669 /nfs/dbraw/zinc/26/56/69/527265669.db2.gz SWBULECOMMRIEW-MRXNPFEDSA-N 0 1 315.461 3.089 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CCCc2ccccc21 ZINC000348125399 527331957 /nfs/dbraw/zinc/33/19/57/527331957.db2.gz WDWSHPZPSIOKDF-ZDUSSCGKSA-N 0 1 301.415 3.382 20 30 DGEDMN CC(=O)c1cccc(C(=O)C(C#N)c2nc3ccccc3[nH]2)c1 ZINC000340969805 527352659 /nfs/dbraw/zinc/35/26/59/527352659.db2.gz NQKDIIDTOHEUFR-AWEZNQCLSA-N 0 1 303.321 3.256 20 30 DGEDMN C=CCC[C@@H](O)CN(C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000351490413 527453379 /nfs/dbraw/zinc/45/33/79/527453379.db2.gz DWLIPVJWCUWIAQ-IEBWSBKVSA-N 0 1 301.430 3.284 20 30 DGEDMN C=CCC[C@H](NC(=O)c1ncn[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000358467240 527483038 /nfs/dbraw/zinc/48/30/38/527483038.db2.gz LHUMJPSLODGHNS-LBPRGKRZSA-N 0 1 324.306 3.261 20 30 DGEDMN C=CCC[C@H](NC(=O)c1nc[nH]n1)c1ccc(C(F)(F)F)cc1 ZINC000358467240 527483039 /nfs/dbraw/zinc/48/30/39/527483039.db2.gz LHUMJPSLODGHNS-LBPRGKRZSA-N 0 1 324.306 3.261 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H](C)c1cc(C)ccc1OC ZINC000073736557 527628738 /nfs/dbraw/zinc/62/87/38/527628738.db2.gz GUDZZXODIXOTJZ-HZPDHXFCSA-N 0 1 316.445 3.243 20 30 DGEDMN CC(C)[C@H]1CCCN([C@@H]2CCN(c3sccc3C#N)C2=O)C1 ZINC000444764996 527780260 /nfs/dbraw/zinc/78/02/60/527780260.db2.gz CZVXLHWITQQENL-LSDHHAIUSA-N 0 1 317.458 3.093 20 30 DGEDMN CCN1C[C@@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@H](C)C1 ZINC000344422022 527926804 /nfs/dbraw/zinc/92/68/04/527926804.db2.gz LKUZQEAJVMVNQC-HUUCEWRRSA-N 0 1 313.445 3.042 20 30 DGEDMN CCCCCCCC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000150852736 528120816 /nfs/dbraw/zinc/12/08/16/528120816.db2.gz HJUYEUOYXSUERH-UHFFFAOYSA-N 0 1 319.449 3.146 20 30 DGEDMN CC1=CCN(CCNc2nc(C(F)(F)F)ccc2C#N)CC1 ZINC000302151293 528120897 /nfs/dbraw/zinc/12/08/97/528120897.db2.gz LSWYTHYOTDYCGT-UHFFFAOYSA-N 0 1 310.323 3.036 20 30 DGEDMN CCOc1ccc(N(CC)C(=O)c2ccc(C#N)c(O)c2)cc1 ZINC000442867265 528479932 /nfs/dbraw/zinc/47/99/32/528479932.db2.gz KZZUQJXDLKMPOC-UHFFFAOYSA-N 0 1 310.353 3.329 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C)c1cc(C)sc1C ZINC000182980528 528626652 /nfs/dbraw/zinc/62/66/52/528626652.db2.gz VGTSILUHSVXJOU-WCQYABFASA-N 0 1 307.463 3.024 20 30 DGEDMN CCNC(=O)c1ccc(C)c(NC(=O)CN2[C@H](C)CC[C@@H]2C)c1 ZINC000330917826 528828484 /nfs/dbraw/zinc/82/84/84/528828484.db2.gz WQZMTZWYFNJUOP-OKILXGFUSA-N 0 1 317.433 3.131 20 30 DGEDMN CC(C)N1CCc2nc(CN(C[C@H](C)C#N)C3CC3)sc2C1 ZINC000346231678 529084528 /nfs/dbraw/zinc/08/45/28/529084528.db2.gz XYYITFLMTGXTDE-CYBMUJFWSA-N 0 1 318.490 3.034 20 30 DGEDMN N#CC(C(=O)c1coc(C2CCC2)n1)c1nc2ccccc2[nH]1 ZINC000195261530 546253958 /nfs/dbraw/zinc/25/39/58/546253958.db2.gz YRHKMYAABKLIQJ-LLVKDONJSA-N 0 1 306.325 3.308 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000294735949 546423866 /nfs/dbraw/zinc/42/38/66/546423866.db2.gz BZXOGQHVYCYIST-UHFFFAOYSA-N 0 1 300.362 3.021 20 30 DGEDMN N#CCCCCN1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348310999 546588095 /nfs/dbraw/zinc/58/80/95/546588095.db2.gz YIJXMTZOZZWPKG-KRWDZBQOSA-N 0 1 316.836 3.216 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1occ2c1CCC2 ZINC000671745381 547823610 /nfs/dbraw/zinc/82/36/10/547823610.db2.gz SPXZOEWAYTUREF-CYBMUJFWSA-N 0 1 305.337 3.145 20 30 DGEDMN C=C[C@H](C)NC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000674972338 548142941 /nfs/dbraw/zinc/14/29/41/548142941.db2.gz QYSUZPHOZONCLQ-BBRMVZONSA-N 0 1 321.852 3.351 20 30 DGEDMN OCCN(CC#Cc1ccccc1Cl)CCc1ccccc1 ZINC000676715866 548400995 /nfs/dbraw/zinc/40/09/95/548400995.db2.gz YJSJLTWYRVOWES-UHFFFAOYSA-N 0 1 313.828 3.229 20 30 DGEDMN COCC#CCN1CCC[C@@H](COc2ccccc2Cl)C1 ZINC000677820008 548560759 /nfs/dbraw/zinc/56/07/59/548560759.db2.gz TVFTUDWTHVAQFJ-OAHLLOKOSA-N 0 1 307.821 3.081 20 30 DGEDMN C=CCOc1ccc(CN2CCc3cn[nH]c3C2)cc1Cl ZINC000678272816 548664702 /nfs/dbraw/zinc/66/47/02/548664702.db2.gz BFJRFRRSHRHDJJ-UHFFFAOYSA-N 0 1 303.793 3.186 20 30 DGEDMN COCC#CCN[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000679946816 548970415 /nfs/dbraw/zinc/97/04/15/548970415.db2.gz TUKBTTITIZLBPV-GOSISDBHSA-N 0 1 314.816 3.258 20 30 DGEDMN COc1cc2ccccc2cc1C(=O)[C@@H](C#N)c1ccncn1 ZINC000680617021 549076168 /nfs/dbraw/zinc/07/61/68/549076168.db2.gz AXQOAYZWCLQCKT-HNNXBMFYSA-N 0 1 303.321 3.128 20 30 DGEDMN COc1cc2ccccc2cc1C(=O)C(C#N)c1ccncn1 ZINC000680617021 549076172 /nfs/dbraw/zinc/07/61/72/549076172.db2.gz AXQOAYZWCLQCKT-HNNXBMFYSA-N 0 1 303.321 3.128 20 30 DGEDMN N#C[C@@H](C(=O)c1n[nH]c2c1CCCC2)c1nccc2ccccc21 ZINC000682995269 549410258 /nfs/dbraw/zinc/41/02/58/549410258.db2.gz RXWLBCCWLHYOEZ-OAHLLOKOSA-N 0 1 316.364 3.327 20 30 DGEDMN N#CC(C(=O)c1n[nH]c2c1CCCC2)c1nccc2ccccc21 ZINC000682995269 549410259 /nfs/dbraw/zinc/41/02/59/549410259.db2.gz RXWLBCCWLHYOEZ-OAHLLOKOSA-N 0 1 316.364 3.327 20 30 DGEDMN CCC#C[C@H](C)N[C@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)cc1 ZINC000683147613 549439454 /nfs/dbraw/zinc/43/94/54/549439454.db2.gz YYJBZGKPDYPOII-NUTKFTJISA-N 0 1 304.821 3.003 20 30 DGEDMN FC(F)(F)c1ccc(C#CCN2CCC23CCOCC3)cc1 ZINC000683527313 549498700 /nfs/dbraw/zinc/49/87/00/549498700.db2.gz OUTGBCVIPKLGSQ-UHFFFAOYSA-N 0 1 309.331 3.312 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2cc(-c3ccccc3)n[nH]2)cc1 ZINC000731012583 574541640 /nfs/dbraw/zinc/54/16/40/574541640.db2.gz QSKWCDABRDNMPB-UHFFFAOYSA-N 0 1 317.348 3.341 20 30 DGEDMN CCOc1ccc(C=NNc2ccc(F)cn2)cc1OCC ZINC000731321040 574547121 /nfs/dbraw/zinc/54/71/21/574547121.db2.gz CEESMNIMMGDPKX-UHFFFAOYSA-N 0 1 303.337 3.464 20 30 DGEDMN C=CC1CC[NH+](Cc2cc(=O)oc3cc([O-])c(CC)cc23)CC1 ZINC000732205941 574568277 /nfs/dbraw/zinc/56/82/77/574568277.db2.gz JSUFARVJYCHEGP-UHFFFAOYSA-N 0 1 313.397 3.459 20 30 DGEDMN CCn1cc(C=CC(=O)c2[nH]c(-c3ccccc3)nc2C)cn1 ZINC000732530185 574575676 /nfs/dbraw/zinc/57/56/76/574575676.db2.gz JWQPJBXIXAHKSX-MDZDMXLPSA-N 0 1 306.369 3.498 20 30 DGEDMN C#CCOc1ccc(C(C)=NNc2cnnc3ccccc32)cc1 ZINC000733748424 574636603 /nfs/dbraw/zinc/63/66/03/574636603.db2.gz WKBBOBJADOAPDE-UHFFFAOYSA-N 0 1 316.364 3.478 20 30 DGEDMN Cc1ccc(N=NC2CC[N@@H+](Cc3ccccc3)[C@@H](C)C2)nn1 ZINC000734299351 574655201 /nfs/dbraw/zinc/65/52/01/574655201.db2.gz NMHAFBHHTUIEKR-HNNXBMFYSA-N 0 1 309.417 3.237 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1cc(C#N)ccc1OCC ZINC000735652742 574711185 /nfs/dbraw/zinc/71/11/85/574711185.db2.gz RXWOPDOAGNUXKR-UHFFFAOYSA-N 0 1 317.433 3.408 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@H]2CSc3ccccc32)nc(C)n1 ZINC000735769226 574713685 /nfs/dbraw/zinc/71/36/85/574713685.db2.gz HQDZEOVFZRXOCL-KGLIPLIRSA-N 0 1 309.394 3.159 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3CC3(Cl)Cl)[nH]c21 ZINC000735790580 574714969 /nfs/dbraw/zinc/71/49/69/574714969.db2.gz NMIZOKQRTFQLGY-IUCAKERBSA-N 0 1 308.168 3.241 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@@H]3COc4ccccc43)[nH]c21 ZINC000735793495 574715371 /nfs/dbraw/zinc/71/53/71/574715371.db2.gz UGAGJTPWXPMGLP-UONOGXRCSA-N 0 1 317.348 3.224 20 30 DGEDMN Cc1cccc2[nH]c(C(C#N)C(=O)[C@@H]3COc4ccccc43)nc21 ZINC000735793495 574715374 /nfs/dbraw/zinc/71/53/74/574715374.db2.gz UGAGJTPWXPMGLP-UONOGXRCSA-N 0 1 317.348 3.224 20 30 DGEDMN Cc1noc(C)c1CCC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000735793325 574715654 /nfs/dbraw/zinc/71/56/54/574715654.db2.gz SJCUCQOZFYGJNX-AWEZNQCLSA-N 0 1 322.368 3.285 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC[C@@H]3CCCCO3)[nH]c21 ZINC000735792147 574715721 /nfs/dbraw/zinc/71/57/21/574715721.db2.gz INGFLLVHMHPSSE-UONOGXRCSA-N 0 1 311.385 3.397 20 30 DGEDMN N#Cc1ccc(COC(=O)c2c(F)cc(O)cc2F)c(F)c1 ZINC000735950957 574722634 /nfs/dbraw/zinc/72/26/34/574722634.db2.gz RYQWXTZYHDAXPK-UHFFFAOYSA-N 0 1 307.227 3.038 20 30 DGEDMN CC[N@H+](Cc1c(O)c(C(=O)[O-])cc2ccccc21)C[C@H](C)C#N ZINC000737101823 574823749 /nfs/dbraw/zinc/82/37/49/574823749.db2.gz JNMYWIGTABDKOR-GFCCVEGCSA-N 0 1 312.369 3.225 20 30 DGEDMN CC(=NNc1ccc(F)cn1)c1c(Cl)nc2ccccn21 ZINC000737431382 574843211 /nfs/dbraw/zinc/84/32/11/574843211.db2.gz GOTIKLGANYTENR-UHFFFAOYSA-N 0 1 303.728 3.358 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737472651 574847388 /nfs/dbraw/zinc/84/73/88/574847388.db2.gz UTEMXGBTGTUCKK-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN C[N@@H+](Cc1cccc(Cl)c1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737486417 574848020 /nfs/dbraw/zinc/84/80/20/574848020.db2.gz KQLGBAKLNUYYRC-INIZCTEOSA-N 0 1 314.772 3.469 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@@H+]2[C@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581171 574874109 /nfs/dbraw/zinc/87/41/09/574874109.db2.gz CBYVJGRUOUSDFY-RDJZCZTQSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@@H]2CCC[N@H+]2[C@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581171 574874111 /nfs/dbraw/zinc/87/41/11/574874111.db2.gz CBYVJGRUOUSDFY-RDJZCZTQSA-N 0 1 310.353 3.423 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](c3cccc(F)c3)C2)cc1 ZINC000739105324 574892366 /nfs/dbraw/zinc/89/23/66/574892366.db2.gz KGLCMPSXEKSCCZ-WMZOPIPTSA-N 0 1 324.355 3.313 20 30 DGEDMN C=C(Br)CN(CCC)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000741054452 574950389 /nfs/dbraw/zinc/95/03/89/574950389.db2.gz OMQGKQXSIXOREN-UPJWGTAASA-N 0 1 302.256 3.421 20 30 DGEDMN CC(=NN=c1[nH]c2cc(F)c(F)cc2[nH]1)c1cnc(C)nc1C ZINC000741458525 574958427 /nfs/dbraw/zinc/95/84/27/574958427.db2.gz NPEWZUKAPDSNST-UHFFFAOYSA-N 0 1 316.315 3.084 20 30 DGEDMN CC(=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1)c1cnc(C)nc1C ZINC000741458525 574958428 /nfs/dbraw/zinc/95/84/28/574958428.db2.gz NPEWZUKAPDSNST-UHFFFAOYSA-N 0 1 316.315 3.084 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC4(CCCC4)C3)o2)c1 ZINC000743864475 575066576 /nfs/dbraw/zinc/06/65/76/575066576.db2.gz LNMORHPMXZBSEL-UHFFFAOYSA-N 0 1 308.385 3.374 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)c1ccc(OC)c2ccccc12 ZINC000729809750 575263367 /nfs/dbraw/zinc/26/33/67/575263367.db2.gz PAZTWFBPOGOGQT-OAHLLOKOSA-N 0 1 323.392 3.103 20 30 DGEDMN Cc1ccc(NN=Cc2cc(Br)ccc2F)nn1 ZINC000729936006 575267282 /nfs/dbraw/zinc/26/72/82/575267282.db2.gz AUCODNCVXUEVIQ-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN C#CCN(CC)Cc1cc(=O)oc2cc(CC)c(Cl)cc12 ZINC000746457958 575453243 /nfs/dbraw/zinc/45/32/43/575453243.db2.gz WVWIUDUVJKZXFJ-UHFFFAOYSA-N 0 1 303.789 3.464 20 30 DGEDMN C#CCNCC(=O)N[C@@H](c1ccc([C@H](C)CC)cc1)C(C)C ZINC000746514205 575457321 /nfs/dbraw/zinc/45/73/21/575457321.db2.gz VMSBNYHUBZMUGY-DNVCBOLYSA-N 0 1 300.446 3.236 20 30 DGEDMN COc1ccc(CNCc2ccc(C)c([N+](=O)[O-])c2)cc1C#N ZINC000746743552 575473565 /nfs/dbraw/zinc/47/35/65/575473565.db2.gz ZXXQEFCBAYVOKF-UHFFFAOYSA-N 0 1 311.341 3.073 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc3c(c2)CCCC3)c1 ZINC000748001718 575556051 /nfs/dbraw/zinc/55/60/51/575556051.db2.gz CSKRAYVNNNAARZ-UHFFFAOYSA-N 0 1 306.365 3.324 20 30 DGEDMN C[C@@H]1CCc2c(F)cccc2C1=NN=c1cc[nH]c(N(C)C)n1 ZINC000748252840 575575924 /nfs/dbraw/zinc/57/59/24/575575924.db2.gz XZIUSENKRGVJAY-LLVKDONJSA-N 0 1 313.380 3.080 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C2CC(OCc3ccccc3)C2)c1 ZINC000748410071 575585096 /nfs/dbraw/zinc/58/50/96/575585096.db2.gz AKMCFGWRMNNBFD-UHFFFAOYSA-N 0 1 322.364 3.198 20 30 DGEDMN Clc1ccc(Cl)c2c1OCCC2=NNc1cnccn1 ZINC000748585206 575595138 /nfs/dbraw/zinc/59/51/38/575595138.db2.gz DDFIMHLNASZJMO-UHFFFAOYSA-N 0 1 309.156 3.382 20 30 DGEDMN CC(C)CCCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000749026378 575622410 /nfs/dbraw/zinc/62/24/10/575622410.db2.gz SCNVYMSQESDESM-CQSZACIVSA-N 0 1 301.390 3.335 20 30 DGEDMN COc1ccc2c(c1)OCC2N=Nc1ccc(C(F)(F)F)cn1 ZINC000749157312 575631091 /nfs/dbraw/zinc/63/10/91/575631091.db2.gz YDUDSPFAAWUOLS-UHFFFAOYSA-N 0 1 323.274 3.318 20 30 DGEDMN Cc1ccc([N+](=O)[O-])cc1NN=Cc1cc(F)c(O)c(F)c1 ZINC000749855574 575683458 /nfs/dbraw/zinc/68/34/58/575683458.db2.gz PISZCBKLGWSINU-UHFFFAOYSA-N 0 1 307.256 3.333 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C2CCCC2)cc1 ZINC000750687218 575745978 /nfs/dbraw/zinc/74/59/78/575745978.db2.gz LXKJBOBRMNNHBO-WBMJQRKESA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C2CCCC2)cc1 ZINC000750687217 575746157 /nfs/dbraw/zinc/74/61/57/575746157.db2.gz LXKJBOBRMNNHBO-MLGOLLRUSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1sc(C(C)C)nc1C ZINC000751130466 575771468 /nfs/dbraw/zinc/77/14/68/575771468.db2.gz LPQPZLYGEHOWRV-UHFFFAOYSA-N 0 1 315.406 3.077 20 30 DGEDMN COCCOc1ccccc1CN=Nc1ccc(F)cc1F ZINC000751516024 575787975 /nfs/dbraw/zinc/78/79/75/575787975.db2.gz DNOMEPPWTSLAJG-UHFFFAOYSA-N 0 1 306.312 3.436 20 30 DGEDMN CN(C)c1nccc(N=NCc2c(Cl)cccc2Cl)n1 ZINC000739422508 575915222 /nfs/dbraw/zinc/91/52/22/575915222.db2.gz VKPIOCRMKQFBOR-UHFFFAOYSA-N 0 1 310.188 3.295 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NNc1ccc(F)cc1C)O2 ZINC000750938117 576168553 /nfs/dbraw/zinc/16/85/53/576168553.db2.gz BWRQWFASXFFONS-UHFFFAOYSA-N 0 1 300.289 3.149 20 30 DGEDMN C=CCN(CC(=O)N[C@@H](c1ccc(OC)cc1)C(C)C)C(C)C ZINC000917070889 620655384 /nfs/dbraw/zinc/65/53/84/620655384.db2.gz JIGHOSBNAPLRGZ-LJQANCHMSA-N 0 1 318.461 3.405 20 30 DGEDMN CCOc1ccc(/C=N/C[C@H]2CCN2Cc2ccccc2)c(O)c1 ZINC000852997644 620658428 /nfs/dbraw/zinc/65/84/28/620658428.db2.gz AECXDPPSQJAWIF-KOMLCTQHSA-N 0 1 324.424 3.484 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc(N2CCCC2)cc1)C(C)C ZINC000917167066 620659218 /nfs/dbraw/zinc/65/92/18/620659218.db2.gz RGMFVNMQJFRSHL-UHFFFAOYSA-N 0 1 301.434 3.122 20 30 DGEDMN C#CCOCCSc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000853016443 620660619 /nfs/dbraw/zinc/66/06/19/620660619.db2.gz DLKYJHQWXLVJFV-UHFFFAOYSA-N 0 1 300.305 3.324 20 30 DGEDMN Clc1cccnc1N=NC1CCN(Cc2ccccc2)C1 ZINC000917546997 620673530 /nfs/dbraw/zinc/67/35/30/620673530.db2.gz XTFTZSYRADJPLG-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN Fc1ccccc1-c1ccc(C=NNc2ncnc3nc[nH]c32)o1 ZINC000917555124 620675378 /nfs/dbraw/zinc/67/53/78/620675378.db2.gz PMHFSUXNHNZHMX-UHFFFAOYSA-N 0 1 322.303 3.198 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1ccccc1C(F)(F)F ZINC000917555743 620675415 /nfs/dbraw/zinc/67/54/15/620675415.db2.gz NWPUZUPOIYXPRE-UHFFFAOYSA-N 0 1 320.278 3.208 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC[C@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000853254227 620682321 /nfs/dbraw/zinc/68/23/21/620682321.db2.gz NGUOXGNGAVOYFU-VHSXEESVSA-N 0 1 302.300 3.257 20 30 DGEDMN CC(C)(C)n1nnc(CN=Nc2cccc(Cl)c2Cl)n1 ZINC000853356930 620689224 /nfs/dbraw/zinc/68/92/24/620689224.db2.gz MQEMVAGNJARAEX-UHFFFAOYSA-N 0 1 313.192 3.181 20 30 DGEDMN C[C@@H]1CCCCN1N=C1CCN(Cc2ccccn2)C[C@@H]1C ZINC000853379169 620690303 /nfs/dbraw/zinc/69/03/03/620690303.db2.gz DVUFNPSVNWOSKS-JKSUJKDBSA-N 0 1 300.450 3.154 20 30 DGEDMN Cc1nnc(N=NCc2cc(C(F)(F)F)ccc2Cl)n1C ZINC000853432630 620694141 /nfs/dbraw/zinc/69/41/41/620694141.db2.gz BWBLIALKZWPSRD-UHFFFAOYSA-N 0 1 317.702 3.242 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)C(C)(C)[C@H]1c1ccncc1 ZINC000853598149 620714074 /nfs/dbraw/zinc/71/40/74/620714074.db2.gz MDPURAQVSWYZLM-IXDOHACOSA-N 0 1 311.429 3.132 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cn1c(C)c(C)n(CCOC)c1=S ZINC000853598135 620714130 /nfs/dbraw/zinc/71/41/30/620714130.db2.gz LRTZFRAUJCAPOS-IYBDPMFKSA-N 0 1 321.490 3.423 20 30 DGEDMN CC(N=Nc1ccccc1Cl)c1ccc(-n2cnnn2)cc1 ZINC000918707532 620720935 /nfs/dbraw/zinc/72/09/35/620720935.db2.gz KYYLWIJULLUVTE-UHFFFAOYSA-N 0 1 312.764 3.152 20 30 DGEDMN Clc1ccc2c(C=NNCCN3CCCCC3)c[nH]c2c1 ZINC000918712345 620721686 /nfs/dbraw/zinc/72/16/86/620721686.db2.gz KYVDLKLLUNBOED-UHFFFAOYSA-N 0 1 304.825 3.231 20 30 DGEDMN Oc1ccc(F)c(CN=Nc2nccnc2C2CCC2)c1F ZINC000807991099 617753033 /nfs/dbraw/zinc/75/30/33/617753033.db2.gz GCPDORJKUJOJFT-UHFFFAOYSA-N 0 1 304.300 3.174 20 30 DGEDMN C=C[C@@H](C)ONC(=O)[C@H](C)c1ccc(Br)s1 ZINC000846546108 617769743 /nfs/dbraw/zinc/76/97/43/617769743.db2.gz LLKZBBCEOPRQSZ-HTQZYQBOSA-N 0 1 304.209 3.236 20 30 DGEDMN C=C1CCN(C(=O)NC[C@H](c2ccco2)N2CCCCC2)CC1 ZINC000891497689 617816319 /nfs/dbraw/zinc/81/63/19/617816319.db2.gz GBUQLZJECYJAEY-MRXNPFEDSA-N 0 1 317.433 3.168 20 30 DGEDMN N#Cc1ccc(CN(Cc2cn3ccnc3s2)C2CC2)cc1 ZINC000891596869 617847629 /nfs/dbraw/zinc/84/76/29/617847629.db2.gz ZJLDSAOZIXNCRG-UHFFFAOYSA-N 0 1 308.410 3.432 20 30 DGEDMN CCn1cc(CN2CCC(Oc3cccc(C#N)c3)CC2)c(C)n1 ZINC000891721929 617879967 /nfs/dbraw/zinc/87/99/67/617879967.db2.gz KAFDCFULHRJRFM-UHFFFAOYSA-N 0 1 324.428 3.127 20 30 DGEDMN N#Cc1cc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)cs1 ZINC000892224434 617989314 /nfs/dbraw/zinc/98/93/14/617989314.db2.gz VMTKGTDNWCUEFT-DZGCQCFKSA-N 0 1 302.399 3.301 20 30 DGEDMN COC1CCC(CN2CCO[C@@H](c3cccc(C#N)c3)C2)CC1 ZINC000892245027 617993829 /nfs/dbraw/zinc/99/38/29/617993829.db2.gz OQJWMYBTWLVWBX-XUJQIHCRSA-N 0 1 314.429 3.137 20 30 DGEDMN C(#Cc1ccccc1)CNc1ccc(CCN2CCOCC2)cc1 ZINC000892269866 618004488 /nfs/dbraw/zinc/00/44/88/618004488.db2.gz AWALHFZYKXGMTO-UHFFFAOYSA-N 0 1 320.436 3.025 20 30 DGEDMN N#Cc1cc(Cl)nc(N=NCc2scnc2C2CC2)c1 ZINC000782266579 618051320 /nfs/dbraw/zinc/05/13/20/618051320.db2.gz KNHAIMNHDKMYRT-UHFFFAOYSA-N 0 1 303.778 3.387 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@@H]1CCC2(CCC2)O1 ZINC000892420619 618062256 /nfs/dbraw/zinc/06/22/56/618062256.db2.gz UMBOTPOTUHQUCV-UONOGXRCSA-N 0 1 323.396 3.241 20 30 DGEDMN CCCCCNC(=O)[C@@H](C#N)C(=O)CC(C)(C)CC(F)(F)F ZINC000892464695 618072121 /nfs/dbraw/zinc/07/21/21/618072121.db2.gz ISZZTXTYIXJYJH-NSHDSACASA-N 0 1 320.355 3.370 20 30 DGEDMN CCc1ccc(NC(=O)[C@@H](C#N)C(=O)c2cnc(C3CC3)o2)cc1 ZINC000892488993 618080087 /nfs/dbraw/zinc/08/00/87/618080087.db2.gz BRTPBLNWRVGZCF-AWEZNQCLSA-N 0 1 323.352 3.076 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2cnc(C3CC3)o2)cc1 ZINC000892488993 618080090 /nfs/dbraw/zinc/08/00/90/618080090.db2.gz BRTPBLNWRVGZCF-AWEZNQCLSA-N 0 1 323.352 3.076 20 30 DGEDMN CC[C@H](C)NC(=O)c1cccc(CNCC#Cc2ccccc2)c1 ZINC000892603740 618116972 /nfs/dbraw/zinc/11/69/72/618116972.db2.gz SLMCLHQKOZXDLF-KRWDZBQOSA-N 0 1 320.436 3.356 20 30 DGEDMN C[C@@H](OC(=O)CSCC#N)c1nc2ccc(Cl)cc2[nH]1 ZINC000111989801 620778154 /nfs/dbraw/zinc/77/81/54/620778154.db2.gz YAYRRKPHHJFSDK-MRVPVSSYSA-N 0 1 309.778 3.077 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNc1nccn1C1CC1 ZINC000840886938 618131682 /nfs/dbraw/zinc/13/16/82/618131682.db2.gz OLPBPVHQGCKIIL-UHFFFAOYSA-N 0 1 301.777 3.496 20 30 DGEDMN Cc1cc(CON=C(N)c2ccc(N(C)C)cc2)c(C)s1 ZINC000920401241 620798693 /nfs/dbraw/zinc/79/86/93/620798693.db2.gz GTLDQQFKTYYXNZ-UHFFFAOYSA-N 0 1 303.431 3.268 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cnc(F)c(C)c2)c1 ZINC000892973582 618375246 /nfs/dbraw/zinc/37/52/46/618375246.db2.gz SCKOUPZASWBNRL-MRXNPFEDSA-N 0 1 313.376 3.500 20 30 DGEDMN N#Cc1cc(CN2CCC(CO)(c3ccccc3)CC2)cs1 ZINC000894605885 618397026 /nfs/dbraw/zinc/39/70/26/618397026.db2.gz PCNNGVBUHSBWEV-UHFFFAOYSA-N 0 1 312.438 3.146 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)Cc1n[nH]c(C)c1C ZINC000894748060 618443604 /nfs/dbraw/zinc/44/36/04/618443604.db2.gz HUOVJJMKCGPVSW-UHFFFAOYSA-N 0 1 301.438 3.382 20 30 DGEDMN COc1ccc(CNCc2ccc(CC(C)C)nc2)cc1C#N ZINC000893194883 618469423 /nfs/dbraw/zinc/46/94/23/618469423.db2.gz NMIGLEIAEOUPIL-UHFFFAOYSA-N 0 1 309.413 3.450 20 30 DGEDMN C#C[C@H](NCC1=CCCOC1)c1ccc(Br)cc1 ZINC000894871932 618484369 /nfs/dbraw/zinc/48/43/69/618484369.db2.gz YLCPZRNRYYVWTM-HNNXBMFYSA-N 0 1 306.203 3.060 20 30 DGEDMN C[C@@H](O)CN(C)N=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000841652910 618499874 /nfs/dbraw/zinc/49/98/74/618499874.db2.gz SFQVYULSPIMVAW-CQSZACIVSA-N 0 1 317.458 3.031 20 30 DGEDMN COc1nccc(CN[C@H]2CCCc3cc(C#N)ccc32)c1F ZINC000894964756 618504257 /nfs/dbraw/zinc/50/42/57/618504257.db2.gz XPRUVCNTNKVQNS-INIZCTEOSA-N 0 1 311.360 3.268 20 30 DGEDMN Clc1nc2ccccn2c1CN=Nc1cccc(Cl)n1 ZINC000793174971 618520631 /nfs/dbraw/zinc/52/06/31/618520631.db2.gz PBWCAJFWBCESQT-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN C[C@H]1CN(CCC(C)(C)C#N)C[C@H](C)N1C(=O)OC(C)(C)C ZINC000776581278 618530037 /nfs/dbraw/zinc/53/00/37/618530037.db2.gz ANMLMQZCRHCIJG-KBPBESRZSA-N 0 1 309.454 3.256 20 30 DGEDMN O=C1[C@H](NCc2ccc(F)c(-c3cccs3)c2)CCCN1O ZINC000895166854 618562508 /nfs/dbraw/zinc/56/25/08/618562508.db2.gz SKZTVWWXUSUJIY-CQSZACIVSA-N 0 1 320.389 3.024 20 30 DGEDMN CCN(CCC#N)CC1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000893922724 618676842 /nfs/dbraw/zinc/67/68/42/618676842.db2.gz FXRNAIPYEWFPOL-MQVJKMGUSA-N 0 1 321.465 3.400 20 30 DGEDMN C=CC[C@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccncc1 ZINC000788864026 625283550 /nfs/dbraw/zinc/28/35/50/625283550.db2.gz RLYSMXNVXLKSPB-HNNXBMFYSA-N 0 1 310.401 3.121 20 30 DGEDMN N#Cc1cc(CN2CCC[C@](CO)(c3ccccc3)C2)cs1 ZINC000894269229 618747023 /nfs/dbraw/zinc/74/70/23/618747023.db2.gz RIBFXSNHCUACNJ-SFHVURJKSA-N 0 1 312.438 3.146 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)CC1 ZINC000895462514 618787799 /nfs/dbraw/zinc/78/77/99/618787799.db2.gz XUQYQMRDGGJRLS-KRWDZBQOSA-N 0 1 304.409 3.291 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3cccc(C#N)c3)C2)cc1 ZINC000895495530 618792604 /nfs/dbraw/zinc/79/26/04/618792604.db2.gz RNSWGUNDRPAOSV-GOSISDBHSA-N 0 1 305.381 3.150 20 30 DGEDMN C=CCC1(O)CCN(Cc2cnc(CC(F)(F)F)s2)CC1 ZINC000896057459 618867694 /nfs/dbraw/zinc/86/76/94/618867694.db2.gz HKVSJGBWFQGRJH-UHFFFAOYSA-N 0 1 320.380 3.151 20 30 DGEDMN CCN(C(=O)[C@H]1CCN1C(C)(C)C)[C@@H](C)c1ccc(C#N)cc1 ZINC000896671571 618942725 /nfs/dbraw/zinc/94/27/25/618942725.db2.gz FICGZKXCLQJOPV-WMLDXEAASA-N 0 1 313.445 3.341 20 30 DGEDMN COC(=O)[C@H]1C[C@@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1 ZINC000840712577 619016332 /nfs/dbraw/zinc/01/63/32/619016332.db2.gz JRUGYAXAQRLVCZ-LHZXPHROSA-N 0 1 302.157 3.070 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1cc(C)nn1C ZINC000789004287 625321348 /nfs/dbraw/zinc/32/13/48/625321348.db2.gz RKOMRCXMPKCAMZ-UHFFFAOYSA-N 0 1 307.741 3.126 20 30 DGEDMN CN(CCOc1ccccc1Cl)Cc1cncc(C#N)c1 ZINC000929096532 619153806 /nfs/dbraw/zinc/15/38/06/619153806.db2.gz JULUPBPIQDKAMD-UHFFFAOYSA-N 0 1 301.777 3.117 20 30 DGEDMN CCc1cccc(CN2CCO[C@H](c3ccc(C#N)cc3)C2)c1O ZINC000897596717 619164888 /nfs/dbraw/zinc/16/48/88/619164888.db2.gz UUZKIAGFAIYAOU-IBGZPJMESA-N 0 1 322.408 3.400 20 30 DGEDMN CC1(C)[C@H](NCc2nc(C#N)cs2)C[C@H]1Oc1ccccc1 ZINC000897625872 619168156 /nfs/dbraw/zinc/16/81/56/619168156.db2.gz JHWBHMPHZYDDGV-HUUCEWRRSA-N 0 1 313.426 3.350 20 30 DGEDMN CC1(C)[C@@H](NCc2nc(C#N)cs2)C[C@@H]1Oc1ccccc1 ZINC000897625871 619168269 /nfs/dbraw/zinc/16/82/69/619168269.db2.gz JHWBHMPHZYDDGV-GJZGRUSLSA-N 0 1 313.426 3.350 20 30 DGEDMN N#Cc1cnc(Cl)c(CNCCCOc2cccc(F)c2)c1 ZINC000898445917 619276737 /nfs/dbraw/zinc/27/67/37/619276737.db2.gz WMVQHCAVFJKBEA-UHFFFAOYSA-N 0 1 319.767 3.304 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)[C@H]1CCN1C(C)(C)C ZINC000899147067 619314410 /nfs/dbraw/zinc/31/44/10/619314410.db2.gz IJYYDIQUXBNPMO-QGZVFWFLSA-N 0 1 313.445 3.168 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N\CC1CC2(C1)OCCO2 ZINC000899227581 619319941 /nfs/dbraw/zinc/31/99/41/619319941.db2.gz NWDWZABOIWULEU-IUXPMGMMSA-N 0 1 316.184 3.271 20 30 DGEDMN C#CC[C@@H]1C(=O)N(c2ccc(Br)cc2C)N=C1C ZINC000899350862 619329248 /nfs/dbraw/zinc/32/92/48/619329248.db2.gz RWYRXVNZRUSGTD-LBPRGKRZSA-N 0 1 305.175 3.120 20 30 DGEDMN C#CCc1c(C)[nH]n(-c2ccc(Br)cc2C)c1=O ZINC000899350862 619329250 /nfs/dbraw/zinc/32/92/50/619329250.db2.gz RWYRXVNZRUSGTD-LBPRGKRZSA-N 0 1 305.175 3.120 20 30 DGEDMN Cc1[nH]n(-c2cc(Br)ccc2F)c(=O)c1CCC#N ZINC000899361731 619330799 /nfs/dbraw/zinc/33/07/99/619330799.db2.gz BVTITGLWYYJUIW-JTQLQIEISA-N 0 1 324.153 3.231 20 30 DGEDMN O=C(/C=C/c1cc(F)c(O)c(F)c1)c1cnn(C2CCC2)c1 ZINC000899405740 619337436 /nfs/dbraw/zinc/33/74/36/619337436.db2.gz GFHLITGPBLJYJQ-SNAWJCMRSA-N 0 1 304.296 3.488 20 30 DGEDMN CC#CC[C@@H](CO)Nc1ccnc2cc(OC(F)(F)F)ccc21 ZINC000899874603 619380208 /nfs/dbraw/zinc/38/02/08/619380208.db2.gz FMSXWEUJYXJQOF-NSHDSACASA-N 0 1 324.302 3.320 20 30 DGEDMN CC(C)N(Cc1cn([C@@H](C)c2ccc(C#N)cc2)nn1)C(C)C ZINC000900547908 619434695 /nfs/dbraw/zinc/43/46/95/619434695.db2.gz YEARUTYWFUDXJX-HNNXBMFYSA-N 0 1 311.433 3.378 20 30 DGEDMN Cc1cc(C(C)N=Nc2ccc(C#N)cc2C(F)(F)F)n(C)n1 ZINC000789063650 625347132 /nfs/dbraw/zinc/34/71/32/625347132.db2.gz VKHJMVVGZBSYGV-UHFFFAOYSA-N 0 1 321.306 3.455 20 30 DGEDMN Cn1cc(C=NNc2ccc(C(F)(F)F)cn2)c(C2CC2)n1 ZINC000901131140 619473911 /nfs/dbraw/zinc/47/39/11/619473911.db2.gz WIMKCCZLZXAPAU-UHFFFAOYSA-N 0 1 309.295 3.157 20 30 DGEDMN Cc1nc(Br)c(C=NNCCCc2ccccc2)[nH]1 ZINC000901189657 619475822 /nfs/dbraw/zinc/47/58/22/619475822.db2.gz OKYSPJSBPQGZJD-UHFFFAOYSA-N 0 1 321.222 3.037 20 30 DGEDMN Cc1nc(Cl)cc(NN=Cc2c(Cl)n[nH]c2C2CC2)n1 ZINC000901195358 619476943 /nfs/dbraw/zinc/47/69/43/619476943.db2.gz FVXKFILOTZGVEU-UHFFFAOYSA-N 0 1 311.176 3.138 20 30 DGEDMN Brc1cccc(NN=Cc2ccnn2C2CCC2)n1 ZINC000901248804 619480172 /nfs/dbraw/zinc/48/01/72/619480172.db2.gz JSNNTRVHYSVXLY-UHFFFAOYSA-N 0 1 320.194 3.212 20 30 DGEDMN C[C@@H]1C[C@@H](NN=C2CC(C)(C)Oc3ccc(N)cc32)C[C@H](C)O1 ZINC000901304236 619483229 /nfs/dbraw/zinc/48/32/29/619483229.db2.gz ROLOEWVBPLZYEU-DABQJJPHSA-N 0 1 317.433 3.080 20 30 DGEDMN Oc1cc(Cl)c(C=Nn2nnc3c2CCCC3)cc1Cl ZINC000901330715 619485184 /nfs/dbraw/zinc/48/51/84/619485184.db2.gz BAOPGGZGIHYTRE-UHFFFAOYSA-N 0 1 311.172 3.052 20 30 DGEDMN CC(C)(C)n1cc(/C=C/C(=O)c2ccc(O)cc2Cl)nn1 ZINC000901577587 619521315 /nfs/dbraw/zinc/52/13/15/619521315.db2.gz CGWJRCDNHFPWCB-QPJJXVBHSA-N 0 1 305.765 3.288 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ccc(O[C@H](C)C(=O)[O-])cc2)C1 ZINC000902280812 619610365 /nfs/dbraw/zinc/61/03/65/619610365.db2.gz FTVFBMXXWUOZAA-CABCVRRESA-N 0 1 303.402 3.327 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC000902349550 619620137 /nfs/dbraw/zinc/62/01/37/619620137.db2.gz FYJNYBRLIVPLTJ-NVXWUHKLSA-N 0 1 308.466 3.040 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@@H](C)[C@H](CC(=O)[O-])C2)cc1Cl ZINC000902559016 619651718 /nfs/dbraw/zinc/65/17/18/619651718.db2.gz YKWYNKWPLWFTMD-TZMCWYRMSA-N 0 1 323.820 3.447 20 30 DGEDMN C[C@H]1CCCCN1CC(N)=NOCCCCC1(C#N)CCC1 ZINC000902705108 619664562 /nfs/dbraw/zinc/66/45/62/619664562.db2.gz SILCWPLBWCZLTG-HNNXBMFYSA-N 0 1 306.454 3.223 20 30 DGEDMN C[C@H](NC[C@H]1COC2(CCCCCC2)O1)c1cc(C#N)ccn1 ZINC000902926628 619694081 /nfs/dbraw/zinc/69/40/81/619694081.db2.gz MSKMJPUZSUFXRV-HOCLYGCPSA-N 0 1 315.417 3.070 20 30 DGEDMN C[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cc(C#N)ccn1 ZINC000902989673 619700043 /nfs/dbraw/zinc/70/00/43/619700043.db2.gz KUAPOMXDXKFKEY-ACJLOTCBSA-N 0 1 312.392 3.046 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(CC)s1)[C@@]1(C)CCCCO1 ZINC000902995751 619702720 /nfs/dbraw/zinc/70/27/20/619702720.db2.gz GRYJLYJGFIVCCY-CZUORRHYSA-N 0 1 309.479 3.484 20 30 DGEDMN C[C@@H](NCC1(C#N)CCC1)c1cc(Br)ccc1O ZINC000903032193 619704965 /nfs/dbraw/zinc/70/49/65/619704965.db2.gz FYWVRNZTUNTFOA-SNVBAGLBSA-N 0 1 309.207 3.499 20 30 DGEDMN COCCOc1ccc([C@@H](C)NCC2(C#N)CCCC2)cc1 ZINC000903108147 619717914 /nfs/dbraw/zinc/71/79/14/619717914.db2.gz NMLCHHRWFXBWJM-OAHLLOKOSA-N 0 1 302.418 3.446 20 30 DGEDMN Cc1ccc([C@H](CCn2cncn2)NCC2(C#N)CCCC2)cc1 ZINC000903109011 619718225 /nfs/dbraw/zinc/71/82/25/619718225.db2.gz KERRFRIAUIVXEX-SFHVURJKSA-N 0 1 323.444 3.392 20 30 DGEDMN CC(=O)N1CC[C@@H](NCC2(C#N)CCCC2)c2ccccc2C1 ZINC000903108435 619718440 /nfs/dbraw/zinc/71/84/40/619718440.db2.gz CRDXNNAALKTSPZ-GOSISDBHSA-N 0 1 311.429 3.153 20 30 DGEDMN CCCc1ccc(S(=O)(=O)Nc2cc(C#N)cc(C)n2)cc1 ZINC000903141426 619724934 /nfs/dbraw/zinc/72/49/34/619724934.db2.gz RFOGDZPAALUADA-UHFFFAOYSA-N 0 1 315.398 3.015 20 30 DGEDMN CC(=O)OCc1cccc([C@@H](C)NCC2(C#N)CCCC2)c1 ZINC000903221885 619741369 /nfs/dbraw/zinc/74/13/69/619741369.db2.gz UHAFQEOWSSGXAL-CQSZACIVSA-N 0 1 300.402 3.484 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@H+]2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)c1 ZINC000903402775 619773914 /nfs/dbraw/zinc/77/39/14/619773914.db2.gz XJPUEWZLUGBGAA-BPUTZDHNSA-N 0 1 318.804 3.429 20 30 DGEDMN CC(C)(C)c1ccc(NS(=O)(=O)c2cccc(C#N)c2)cn1 ZINC000903689214 619795891 /nfs/dbraw/zinc/79/58/91/619795891.db2.gz WNAPNOKJUYHSMK-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN CC(C)(C)[C@](C)(C#N)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000904179280 619888411 /nfs/dbraw/zinc/88/84/11/619888411.db2.gz GJSFKIVVAMOKKB-SFHVURJKSA-N 0 1 317.433 3.324 20 30 DGEDMN C[C@@](O)(CNCc1ccc(F)cc1F)C(F)(F)C(F)(F)F ZINC000905036457 619965467 /nfs/dbraw/zinc/96/54/67/619965467.db2.gz HTWVONCNDMQDLE-SNVBAGLBSA-N 0 1 319.220 3.003 20 30 DGEDMN CCOCc1nc(C)cc(N=NC(C)c2c(F)cccc2F)n1 ZINC000905505630 620003032 /nfs/dbraw/zinc/00/30/32/620003032.db2.gz CGHATKNJBOJJCR-UHFFFAOYSA-N 0 1 320.343 3.436 20 30 DGEDMN CCOCc1nc(C)cc(NN=C(C)c2cc(C)oc2C)n1 ZINC000905505879 620003046 /nfs/dbraw/zinc/00/30/46/620003046.db2.gz FZJVYUCPUOZLKD-UHFFFAOYSA-N 0 1 302.378 3.367 20 30 DGEDMN C[C@@H](C#N)Oc1ccccc1NC(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000907264102 620147967 /nfs/dbraw/zinc/14/79/67/620147967.db2.gz WWYHGJSWXPHDAP-MBNYWOFBSA-N 0 1 324.384 3.005 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1 ZINC000908400373 620204915 /nfs/dbraw/zinc/20/49/15/620204915.db2.gz GXQSEFQOELRLRN-UHFFFAOYSA-N 0 1 321.384 3.180 20 30 DGEDMN C=CCC1(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CCCCC1 ZINC000909221936 620246241 /nfs/dbraw/zinc/24/62/41/620246241.db2.gz BGMFLDMLPCIMBW-CJNGLKHVSA-N 0 1 301.434 3.471 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)c2n[nH]c(C)c2C(F)(F)F)C1 ZINC000912389856 620384192 /nfs/dbraw/zinc/38/41/92/620384192.db2.gz WDACXIWITABPQF-SNVBAGLBSA-N 0 1 301.312 3.165 20 30 DGEDMN Fc1cc2[nH]c([N-]N=Cc3cnc4ccccc4n3)[nH+]c2cc1F ZINC000912822734 620408482 /nfs/dbraw/zinc/40/84/82/620408482.db2.gz LDTIGYRYUDAKOL-UHFFFAOYSA-N 0 1 324.294 3.230 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CC[C@@H](N2CCC2)C1)c1ccccc1 ZINC000912903644 620413721 /nfs/dbraw/zinc/41/37/21/620413721.db2.gz OJXYILZRVXHNNB-MOPGFXCFSA-N 0 1 312.457 3.433 20 30 DGEDMN N#CCCCCCC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000913511576 620446636 /nfs/dbraw/zinc/44/66/36/620446636.db2.gz WVIRUCFNMMOIIA-IBGZPJMESA-N 0 1 322.412 3.358 20 30 DGEDMN CCCCCCCC[C@H](C)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913745396 620476394 /nfs/dbraw/zinc/47/63/94/620476394.db2.gz CEVKCNPXGAKDJM-AWEZNQCLSA-N 0 1 321.469 3.292 20 30 DGEDMN CC1(C)CCCC[C@H]1CC(=O)[C@@H](C#N)C(=O)NC1CCCC1 ZINC000152458340 620508029 /nfs/dbraw/zinc/50/80/29/620508029.db2.gz RVTGNSWQJUOGEV-DZGCQCFKSA-N 0 1 304.434 3.361 20 30 DGEDMN Clc1cncc(NN=Cc2csc(Br)c2)n1 ZINC000914560271 620541748 /nfs/dbraw/zinc/54/17/48/620541748.db2.gz VUGVJZDHJFMLSL-UHFFFAOYSA-N 0 1 317.599 3.400 20 30 DGEDMN Clc1ccc(NN=Cc2csc(Br)c2)nn1 ZINC000914554813 620542193 /nfs/dbraw/zinc/54/21/93/620542193.db2.gz URZWIVRLGICXRY-UHFFFAOYSA-N 0 1 317.599 3.400 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000153273603 620545414 /nfs/dbraw/zinc/54/54/14/620545414.db2.gz BZTPGBFDRKLHEZ-JKSUJKDBSA-N 0 1 300.402 3.084 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000153273691 620545577 /nfs/dbraw/zinc/54/55/77/620545577.db2.gz BZTPGBFDRKLHEZ-HZPDHXFCSA-N 0 1 300.402 3.084 20 30 DGEDMN CC1(C)OCC[C@H]1NN=Cc1cc(Cl)c(O)cc1Cl ZINC000835016261 620552582 /nfs/dbraw/zinc/55/25/82/620552582.db2.gz JKWDKYXYMSLLCS-GFCCVEGCSA-N 0 1 303.189 3.190 20 30 DGEDMN Cc1cccc(C(C)N=Nc2ccc(S(C)(=O)=O)cc2)c1 ZINC000914835767 620556488 /nfs/dbraw/zinc/55/64/88/620556488.db2.gz PUGBJELSDWQHRB-UHFFFAOYSA-N 0 1 302.399 3.235 20 30 DGEDMN CC[C@H](C)OCC(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000153381464 620557252 /nfs/dbraw/zinc/55/72/52/620557252.db2.gz HGTLDWHNQWULTI-WCQYABFASA-N 0 1 315.398 3.196 20 30 DGEDMN CC(C)c1ccc2cc(C(=O)NC3(C#N)CCN(C)CC3)[nH]c2c1 ZINC000915259568 620577165 /nfs/dbraw/zinc/57/71/65/620577165.db2.gz XJEBHYOUWBWYKK-UHFFFAOYSA-N 0 1 324.428 3.009 20 30 DGEDMN O=[N+]([O-])c1ccc(CN=Nc2ccc(C(F)(F)F)cn2)o1 ZINC000915917721 620606710 /nfs/dbraw/zinc/60/67/10/620606710.db2.gz LPKMABYLDAPUQS-UHFFFAOYSA-N 0 1 300.196 3.048 20 30 DGEDMN N#Cc1cccc(NN=Cc2cccc(Br)c2O)c1 ZINC000915958379 620608351 /nfs/dbraw/zinc/60/83/51/620608351.db2.gz AXNJJRUMFXHYIT-UHFFFAOYSA-N 0 1 316.158 3.472 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2[nH]1)c1ccc2c(c1)CCC(=O)N2 ZINC000915937952 620608768 /nfs/dbraw/zinc/60/87/68/620608768.db2.gz VIPYNVPNWKQFAY-UHFFFAOYSA-N 0 1 319.368 3.284 20 30 DGEDMN Cn1c2ccccc2nc1N=NC1CCN(Cc2ccccc2)C1 ZINC000915971423 620610072 /nfs/dbraw/zinc/61/00/72/620610072.db2.gz UPKIEZDIBKZMSX-UHFFFAOYSA-N 0 1 319.412 3.247 20 30 DGEDMN CCOc1ccc(O)c(C=NNc2nc3ccccc3n2C)c1 ZINC000915971051 620610076 /nfs/dbraw/zinc/61/00/76/620610076.db2.gz AMFHYHYPVAAZBO-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc2ccccc2c1O ZINC000915974997 620610283 /nfs/dbraw/zinc/61/02/83/620610283.db2.gz YTSSUTYPFHIEFJ-UHFFFAOYSA-N 0 1 311.429 3.345 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc(OC(F)F)cc1 ZINC000915973959 620610361 /nfs/dbraw/zinc/61/03/61/620610361.db2.gz ORFSTXTVZHCNDZ-UHFFFAOYSA-N 0 1 311.376 3.088 20 30 DGEDMN COCCn1c(C)cc(C=NNc2ccc(F)cc2F)c1C ZINC000916250580 620622705 /nfs/dbraw/zinc/62/27/05/620622705.db2.gz FXSGCLKANLVKPZ-UHFFFAOYSA-N 0 1 307.344 3.476 20 30 DGEDMN COc1cc(CN=Nc2cccc(Cl)c2F)cc(O)c1O ZINC000921138011 620838561 /nfs/dbraw/zinc/83/85/61/620838561.db2.gz ZJQMRDDOQMGGND-UHFFFAOYSA-N 0 1 310.712 3.345 20 30 DGEDMN C[C@@H](NCc1cccc2c1OCC2)c1ccc(OCC#N)cc1 ZINC000921692807 620935834 /nfs/dbraw/zinc/93/58/34/620935834.db2.gz FWJASIOCUMJRMH-CQSZACIVSA-N 0 1 308.381 3.375 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnc(C)s2)c1 ZINC000113961467 620969207 /nfs/dbraw/zinc/96/92/07/620969207.db2.gz CMZITIBWTCMJQY-AWEZNQCLSA-N 0 1 301.415 3.422 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cnn(CC2CCC2)c1 ZINC000922073960 620985570 /nfs/dbraw/zinc/98/55/70/620985570.db2.gz XRBHZZAJLKRARU-IBGZPJMESA-N 0 1 306.413 3.332 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(C3CCC3)c2)cc1Cl ZINC000922108081 620989808 /nfs/dbraw/zinc/98/98/08/620989808.db2.gz BIGRJFRHKXFZGN-UHFFFAOYSA-N 0 1 300.793 3.423 20 30 DGEDMN CCC[C@@H](CNCc1cc(C#N)ccc1Br)OC ZINC000922151444 620996138 /nfs/dbraw/zinc/99/61/38/620996138.db2.gz KDKRNSOWBHEYEO-ZDUSSCGKSA-N 0 1 311.223 3.225 20 30 DGEDMN CC1(C)CC[C@@H](CO)N(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000856803610 621023311 /nfs/dbraw/zinc/02/33/11/621023311.db2.gz IRBQDWRMWYBLBF-HNNXBMFYSA-N 0 1 322.836 3.225 20 30 DGEDMN CCN(C(=O)CNCc1ccc(C#N)c(C)c1)c1ccccc1 ZINC000922474992 621043101 /nfs/dbraw/zinc/04/31/01/621043101.db2.gz QUWWREQUMIEWNU-UHFFFAOYSA-N 0 1 307.397 3.009 20 30 DGEDMN CCCC[C@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000857010453 621044138 /nfs/dbraw/zinc/04/41/38/621044138.db2.gz OJSKKXGEMPIWNG-DLBZAZTESA-N 0 1 314.429 3.474 20 30 DGEDMN CCSc1ccccc1CCNCc1nc(C#N)cs1 ZINC000922710026 621087345 /nfs/dbraw/zinc/08/73/45/621087345.db2.gz NQKHWGWBHAZCBR-UHFFFAOYSA-N 0 1 303.456 3.459 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cc(C(F)(F)F)nn1C ZINC000922713465 621088211 /nfs/dbraw/zinc/08/82/11/621088211.db2.gz CGILIHZKDYSELP-UHFFFAOYSA-N 0 1 322.334 3.217 20 30 DGEDMN C#CCC1(NCc2ncc(C3OCCO3)s2)CCCCC1 ZINC000922980732 621143312 /nfs/dbraw/zinc/14/33/12/621143312.db2.gz ADNXAZWZKJCBTO-UHFFFAOYSA-N 0 1 306.431 3.004 20 30 DGEDMN C(#Cc1ccccc1)CN1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858051697 621149138 /nfs/dbraw/zinc/14/91/38/621149138.db2.gz MGOPKCRXPZPYIR-HXUWFJFHSA-N 0 1 318.420 3.464 20 30 DGEDMN N#CC(C(=O)CCC1CC1)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000115904802 621157646 /nfs/dbraw/zinc/15/76/46/621157646.db2.gz VFLHCRJGMOWMAS-LLVKDONJSA-N 0 1 308.740 3.317 20 30 DGEDMN N#CC(C(=O)CCC1CC1)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000115904798 621157848 /nfs/dbraw/zinc/15/78/48/621157848.db2.gz VFLHCRJGMOWMAS-NSHDSACASA-N 0 1 308.740 3.317 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CCC2CC2)cc1Cl ZINC000115904875 621157866 /nfs/dbraw/zinc/15/78/66/621157866.db2.gz LVFQFBITBJKQTL-LBPRGKRZSA-N 0 1 320.776 3.186 20 30 DGEDMN C#CC[C@H](CCOC)Nc1nccc2cc(OC)c(OC)cc21 ZINC000858858859 621226459 /nfs/dbraw/zinc/22/64/59/621226459.db2.gz SQAXNAVPJKWMGP-CQSZACIVSA-N 0 1 314.385 3.092 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000838253667 621228041 /nfs/dbraw/zinc/22/80/41/621228041.db2.gz VDXGFAGTMGCAMJ-CHWSQXEVSA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000838253667 621228043 /nfs/dbraw/zinc/22/80/43/621228043.db2.gz VDXGFAGTMGCAMJ-CHWSQXEVSA-N 0 1 322.430 3.243 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2)c1nccc2ccccc21 ZINC000838869004 621293846 /nfs/dbraw/zinc/29/38/46/621293846.db2.gz SBKWENKWHIDWOA-SRMUXQRQSA-N 0 1 304.349 3.026 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2)c1nccc2ccccc21 ZINC000838868996 621294115 /nfs/dbraw/zinc/29/41/15/621294115.db2.gz SBKWENKWHIDWOA-AEAUEXCSSA-N 0 1 304.349 3.026 20 30 DGEDMN C=CCN1CC[C@@H](C(F)(F)F)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000859602680 621298042 /nfs/dbraw/zinc/29/80/42/621298042.db2.gz CLBALVUWMRCQKA-NWDGAFQWSA-N 0 1 322.371 3.198 20 30 DGEDMN Cc1ccc(CNCc2ccc(N(C)CCC#N)cc2)nc1C ZINC000839164341 621340984 /nfs/dbraw/zinc/34/09/84/621340984.db2.gz PDLFPKXSBSMIGJ-UHFFFAOYSA-N 0 1 308.429 3.338 20 30 DGEDMN N#CCCCCN1CC[C@@H](O)[C@H]1c1ccc(Br)cc1 ZINC000860049483 621359038 /nfs/dbraw/zinc/35/90/38/621359038.db2.gz WNAURWHUCFVREQ-HUUCEWRRSA-N 0 1 323.234 3.251 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2C=NNc2nc3ccccc3[nH]2)o1 ZINC000839388361 621365946 /nfs/dbraw/zinc/36/59/46/621365946.db2.gz ZHGJELPKEUHKCZ-UHFFFAOYSA-N 0 1 306.329 3.300 20 30 DGEDMN c1ccc(C[N@H+]2CCC(N=Nc3nc4ccccc4[nH]3)C2)cc1 ZINC000839386977 621366035 /nfs/dbraw/zinc/36/60/35/621366035.db2.gz GOCDVHLZMUKGMQ-UHFFFAOYSA-N 0 1 305.385 3.237 20 30 DGEDMN c1ccc(CN2CCC(N=Nc3nc4ccccc4[nH]3)C2)cc1 ZINC000839386977 621366038 /nfs/dbraw/zinc/36/60/38/621366038.db2.gz GOCDVHLZMUKGMQ-UHFFFAOYSA-N 0 1 305.385 3.237 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)c2cnn(C(C)C)c2Cl)nc(C)n1 ZINC000860465663 621407943 /nfs/dbraw/zinc/40/79/43/621407943.db2.gz MDNOXRPVWSNOHZ-LLVKDONJSA-N 0 1 317.780 3.014 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cnn(C(C)C)c2Cl)nc(C)n1 ZINC000860465663 621407944 /nfs/dbraw/zinc/40/79/44/621407944.db2.gz MDNOXRPVWSNOHZ-LLVKDONJSA-N 0 1 317.780 3.014 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000860478325 621409482 /nfs/dbraw/zinc/40/94/82/621409482.db2.gz VQDIILQZJQYOET-JFIYKMOQSA-N 0 1 324.424 3.338 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@H]1c1ccco1)=C(O)C1(c2ccccc2)CC1 ZINC000860852963 621450730 /nfs/dbraw/zinc/45/07/30/621450730.db2.gz BOEPPOBELLXAFS-OAGGEKHMSA-N 0 1 319.360 3.393 20 30 DGEDMN C#C[C@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccc(C)cc1 ZINC000860961473 621462738 /nfs/dbraw/zinc/46/27/38/621462738.db2.gz CYHAGIGGKATEPV-QFBILLFUSA-N 0 1 317.404 3.425 20 30 DGEDMN C[C@@H]1CSCCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000753837282 621467732 /nfs/dbraw/zinc/46/77/32/621467732.db2.gz KHMFDUDXIPPUQZ-LBPRGKRZSA-N 0 1 310.850 3.427 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1ccc2c(c1)CCCC2 ZINC000753929763 621472282 /nfs/dbraw/zinc/47/22/82/621472282.db2.gz RWSVHMLABBUNLR-HDICACEKSA-N 0 1 310.445 3.149 20 30 DGEDMN OCC[C@@H](NCC#Cc1ccccc1Cl)c1cccs1 ZINC000754382981 621507178 /nfs/dbraw/zinc/50/71/78/621507178.db2.gz CHXOBSJYISWWKD-OAHLLOKOSA-N 0 1 305.830 3.466 20 30 DGEDMN C#CCN(Cc1cccc(C(=O)OCC)n1)C1CCCCC1 ZINC000862235091 621564827 /nfs/dbraw/zinc/56/48/27/621564827.db2.gz NAIMQSLYNWHKSD-UHFFFAOYSA-N 0 1 300.402 3.026 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@H]1C[C@@H]1c1ccccc1 ZINC000755616702 621581175 /nfs/dbraw/zinc/58/11/75/621581175.db2.gz FUZJPHVSGOEMFQ-UKRRQHHQSA-N 0 1 321.405 3.323 20 30 DGEDMN Cc1ncc(C=NNc2cc(C)nc(C)n2)n1-c1ccccc1 ZINC000755647673 621583538 /nfs/dbraw/zinc/58/35/38/621583538.db2.gz BVOPZFCGYLAGOZ-UHFFFAOYSA-N 0 1 306.373 3.034 20 30 DGEDMN Cc1nc[nH]c1C=NNC(=S)Nc1ccccc1C(C)C ZINC000755683344 621586060 /nfs/dbraw/zinc/58/60/60/621586060.db2.gz PDXTXEONKARPSX-UHFFFAOYSA-N 0 1 301.419 3.162 20 30 DGEDMN C#CCOc1ccccc1CN1CCc2c(CC#N)cccc2C1 ZINC000862542153 621598933 /nfs/dbraw/zinc/59/89/33/621598933.db2.gz GXKJJXBEEXMGPB-UHFFFAOYSA-N 0 1 316.404 3.323 20 30 DGEDMN Cc1cccc(NN=Cc2ccc(OC[C@H]3CCCO3)cc2)n1 ZINC000863161739 621643661 /nfs/dbraw/zinc/64/36/61/621643661.db2.gz IXJMUPPFVLYODN-QGZVFWFLSA-N 0 1 311.385 3.394 20 30 DGEDMN COc1cc(C(C)=NNc2cccc(C)n2)cc(OC)c1OC ZINC000863162053 621644113 /nfs/dbraw/zinc/64/41/13/621644113.db2.gz QVRVZACJCCPYEP-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H](C)C3CCOCC3)[nH]c21 ZINC000756559517 621648260 /nfs/dbraw/zinc/64/82/60/621648260.db2.gz BAQPVLPOSWOBCI-TZMCWYRMSA-N 0 1 311.385 3.110 20 30 DGEDMN Clc1cnccc1N=NCc1ccccc1OCC1CC1 ZINC000756842364 621667622 /nfs/dbraw/zinc/66/76/22/621667622.db2.gz OIXJOBSYCFLALB-UHFFFAOYSA-N 0 1 301.777 3.392 20 30 DGEDMN CCOc1cccc(/C=N/[C@@H]2CN(C)Cc3ccccc32)c1O ZINC000756840706 621667679 /nfs/dbraw/zinc/66/76/79/621667679.db2.gz GJISIAYCGANHQI-MLHZQLSASA-N 0 1 310.397 3.397 20 30 DGEDMN COC(=O)c1ccc(NN=C(C)c2cccc(O)c2)c(OC)c1 ZINC000756897518 621670794 /nfs/dbraw/zinc/67/07/94/621670794.db2.gz BUSZNFRPBOMBGR-UHFFFAOYSA-N 0 1 314.341 3.024 20 30 DGEDMN CC(C)C[C@H](C#N)NC(=O)C(F)(F)c1c(F)cccc1F ZINC000756964115 621676363 /nfs/dbraw/zinc/67/63/63/621676363.db2.gz AJJAXTCEPGTVKA-SECBINFHSA-N 0 1 302.271 3.111 20 30 DGEDMN CC(=NNc1ccc([N+](=O)[O-])cc1Cl)c1ccc(O)cc1O ZINC000757460785 621713112 /nfs/dbraw/zinc/71/31/12/621713112.db2.gz GIRBEDRXOVGVOJ-UHFFFAOYSA-N 0 1 321.720 3.496 20 30 DGEDMN COCCOc1ccc(/C=C\C(=O)c2cc(F)ccc2O)cc1 ZINC000757468613 621714588 /nfs/dbraw/zinc/71/45/88/621714588.db2.gz SNOBMFXXCHAMRG-YWEYNIOJSA-N 0 1 316.328 3.453 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccc(S(C)(=O)=O)cc2)c(O)c1 ZINC000757475807 621716026 /nfs/dbraw/zinc/71/60/26/621716026.db2.gz MCMZLJAILIRDJC-POHAHGRESA-N 0 1 316.378 3.000 20 30 DGEDMN Cc1cc(N=NC2C[C@H](C)N(Cc3ccccc3)C2)nc(C)n1 ZINC000757576923 621725787 /nfs/dbraw/zinc/72/57/87/621725787.db2.gz HUSXWNPGYQIGLW-AWEZNQCLSA-N 0 1 309.417 3.156 20 30 DGEDMN Cc1ccnc(N=NCc2cc(O)c(O)c(Br)c2)c1 ZINC000757584526 621726433 /nfs/dbraw/zinc/72/64/33/621726433.db2.gz PEMBZBXCOJICEU-UHFFFAOYSA-N 0 1 322.162 3.010 20 30 DGEDMN C#CCOc1cc(F)ccc1NCc1ccc(F)c(F)c1O ZINC000864024211 621728804 /nfs/dbraw/zinc/72/88/04/621728804.db2.gz WTIUFCZOFBBHCU-UHFFFAOYSA-N 0 1 307.271 3.434 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(N(C)CCC#N)cc2)cn1 ZINC000757917563 621746781 /nfs/dbraw/zinc/74/67/81/621746781.db2.gz IXRMCHBLRXWQBQ-UHFFFAOYSA-N 0 1 324.428 3.072 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NOCc1ccc(F)cc1 ZINC000758142869 621761005 /nfs/dbraw/zinc/76/10/05/621761005.db2.gz IOBRDCMMHAMLCS-UHFFFAOYSA-N 0 1 310.332 3.266 20 30 DGEDMN C#CCNC(=S)Nc1ccc(-c2nc3ccc(F)cc3[nH]2)cc1 ZINC000758229324 621768564 /nfs/dbraw/zinc/76/85/64/621768564.db2.gz KZWKKIAQIRCVGE-UHFFFAOYSA-N 0 1 324.384 3.289 20 30 DGEDMN COc1cc2c(cc1/C=C\C(=O)c1cc(F)ccc1O)OCO2 ZINC000758929925 621811901 /nfs/dbraw/zinc/81/19/01/621811901.db2.gz FZZAGOHRWWXAHH-RQOWECAXSA-N 0 1 316.284 3.165 20 30 DGEDMN CC(=[NH+]Nc1cccc(C(=O)[O-])c1)c1ccc(F)cc1N(C)C ZINC000759179064 621832353 /nfs/dbraw/zinc/83/23/53/621832353.db2.gz IHLIZSHKJNSIDP-UHFFFAOYSA-N 0 1 315.348 3.426 20 30 DGEDMN COc1ccc(C2(C(=O)Nc3cc(C#N)ccc3O)CCC2)cc1 ZINC000759713634 621885286 /nfs/dbraw/zinc/88/52/86/621885286.db2.gz PITSAMQNWGAGQL-UHFFFAOYSA-N 0 1 322.364 3.333 20 30 DGEDMN N#Cc1ccc2nc(NCc3ccnn3CC3CCC3)[nH]c2c1 ZINC000865295391 621892300 /nfs/dbraw/zinc/89/23/00/621892300.db2.gz FYDVUUJVHFCQTE-UHFFFAOYSA-N 0 1 306.373 3.043 20 30 DGEDMN CCOCc1ccccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865408237 621913037 /nfs/dbraw/zinc/91/30/37/621913037.db2.gz UDVTZLLUPBSXCF-UHFFFAOYSA-N 0 1 323.440 3.451 20 30 DGEDMN C[C@H](Oc1ccc(C(C)(C)C)cc1)C(=O)C(C#N)c1ccncn1 ZINC000760346545 621933978 /nfs/dbraw/zinc/93/39/78/621933978.db2.gz RLDUKWGUYWLPAJ-BBRMVZONSA-N 0 1 323.396 3.418 20 30 DGEDMN COc1ccc2sc(C(=O)C(C#N)c3ccncn3)c(C)c2c1 ZINC000760343222 621934003 /nfs/dbraw/zinc/93/40/03/621934003.db2.gz BURYGMGVZMXAFL-ZDUSSCGKSA-N 0 1 323.377 3.498 20 30 DGEDMN Cc1cc(O[C@H](C)C(=O)C(C#N)c2ccncn2)ccc1Cl ZINC000760352822 621934729 /nfs/dbraw/zinc/93/47/29/621934729.db2.gz NVPHPBZSDZIGHE-YPMHNXCESA-N 0 1 315.760 3.082 20 30 DGEDMN N#C[C@H](C(=O)Cc1c(Cl)cccc1Cl)c1ccncn1 ZINC000760360492 621935202 /nfs/dbraw/zinc/93/52/02/621935202.db2.gz ZJQQSOYXFXIJSI-JTQLQIEISA-N 0 1 306.152 3.202 20 30 DGEDMN N#C[C@H](C(=O)Cc1ccc(Cl)cc1Cl)c1ccncn1 ZINC000760360140 621935275 /nfs/dbraw/zinc/93/52/75/621935275.db2.gz WNHSJTBPWWNVBZ-NSHDSACASA-N 0 1 306.152 3.202 20 30 DGEDMN Cc1ccc(Cl)c(O[C@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760357084 621935281 /nfs/dbraw/zinc/93/52/81/621935281.db2.gz JZGVJGOGWOMTJG-VXGBXAGGSA-N 0 1 315.760 3.082 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1cc(Cl)ccc1OC(F)F ZINC000790300332 621962189 /nfs/dbraw/zinc/96/21/89/621962189.db2.gz RWCGPARRTHJXEH-UHFFFAOYSA-N 0 1 300.696 3.121 20 30 DGEDMN COc1ccc(NN=C(C)c2cnc(C)s2)c([N+](=O)[O-])c1 ZINC000761267141 621997785 /nfs/dbraw/zinc/99/77/85/621997785.db2.gz OIYGDSFIRRZAIE-UHFFFAOYSA-N 0 1 306.347 3.204 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(C(F)(F)F)cc2[N+](=O)[O-])CN1C ZINC000761792820 622029893 /nfs/dbraw/zinc/02/98/93/622029893.db2.gz HFIPDKFWUKXBQV-MRVPVSSYSA-N 0 1 316.283 3.106 20 30 DGEDMN C[C@@H]([NH2+]C1CCN(C(C)(C)C)CC1)c1cccc(C#N)c1[O-] ZINC000866289600 622085998 /nfs/dbraw/zinc/08/59/98/622085998.db2.gz ILHGMELXZUNWTG-CYBMUJFWSA-N 0 1 301.434 3.177 20 30 DGEDMN CC(C)c1nc([C@H](C)NCc2ccc(O[C@H](C)C#N)cc2)n[nH]1 ZINC000762624380 622087863 /nfs/dbraw/zinc/08/78/63/622087863.db2.gz AXKFYLYNMMMTLK-OLZOCXBDSA-N 0 1 313.405 3.070 20 30 DGEDMN CON=Cc1ccc(C(=O)Nc2cc(Cl)c(O)cc2F)cc1 ZINC000805255580 622093394 /nfs/dbraw/zinc/09/33/94/622093394.db2.gz SSHADTIHDZXSIP-UHFFFAOYSA-N 0 1 322.723 3.417 20 30 DGEDMN N#Cc1cc(F)ccc1COC(=O)c1ccc(O)c(Cl)c1 ZINC000762829847 622102923 /nfs/dbraw/zinc/10/29/23/622102923.db2.gz KZBVDQYGRHGWPM-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN COc1cc(C#N)ccc1COC(=O)c1ccc(O)c(Cl)c1 ZINC000762829628 622103028 /nfs/dbraw/zinc/10/30/28/622103028.db2.gz JJWBOCXQUIILPE-UHFFFAOYSA-N 0 1 317.728 3.283 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3CCC(=O)C[C@@H]3C)[nH]c21 ZINC000763013964 622116506 /nfs/dbraw/zinc/11/65/06/622116506.db2.gz PTXJCXCQHJRJJS-FPMFFAJLSA-N 0 1 309.369 3.053 20 30 DGEDMN Cc1cc(NN=Cc2ccc(Br)c(F)c2)nc(C)n1 ZINC000763169848 622127614 /nfs/dbraw/zinc/12/76/14/622127614.db2.gz VWXMFCRQQVPRAU-UHFFFAOYSA-N 0 1 323.169 3.441 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2nc(C(F)(F)F)n3c2CCCC3)C1 ZINC000866560289 622137915 /nfs/dbraw/zinc/13/79/15/622137915.db2.gz RNUXHNWVTDYIKM-MNOVXSKESA-N 0 1 312.339 3.020 20 30 DGEDMN c1cc2c3c(c1)CCC[C@@H]3CC2=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000763369300 622141454 /nfs/dbraw/zinc/14/14/54/622141454.db2.gz DWGJGVZUAFQVIP-FVQBIDKESA-N 0 1 308.429 3.074 20 30 DGEDMN Fc1ccccc1CC(Cc1ccccc1F)=Nn1cnnc1 ZINC000764641241 622209396 /nfs/dbraw/zinc/20/93/96/622209396.db2.gz QYRKZOVWUPDNHO-UHFFFAOYSA-N 0 1 312.323 3.246 20 30 DGEDMN Nc1ncccc1C=[NH+][N-]c1ncc(C(F)(F)F)cc1Cl ZINC000764658769 622210860 /nfs/dbraw/zinc/21/08/60/622210860.db2.gz PXBZNORZBHVSAV-UHFFFAOYSA-N 0 1 315.686 3.177 20 30 DGEDMN CN(C)c1ccc(C=[NH+][N-]c2ncnc3ccsc32)s1 ZINC000764835816 622224770 /nfs/dbraw/zinc/22/47/70/622224770.db2.gz SHZNRUZZUANRGC-UHFFFAOYSA-N 0 1 303.416 3.265 20 30 DGEDMN Cc1cc(N=NCc2sccc2Br)nc(C)n1 ZINC000764905284 622231591 /nfs/dbraw/zinc/23/15/91/622231591.db2.gz SEPZVTIUMLYTSM-UHFFFAOYSA-N 0 1 311.208 3.363 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2cc(CCc3ccccc3)ccc2O)NO1 ZINC000765369268 622261247 /nfs/dbraw/zinc/26/12/47/622261247.db2.gz PRVWOEXCQASGDA-CYBMUJFWSA-N 0 1 324.380 3.030 20 30 DGEDMN CCN(CC)c1ccc(C=NNCCOCC(F)(F)F)cc1 ZINC000765605632 622276588 /nfs/dbraw/zinc/27/65/88/622276588.db2.gz VTKBKUURQZJSSX-UHFFFAOYSA-N 0 1 317.355 3.035 20 30 DGEDMN C=C(C)[C@H](CC(=O)OC[C@@H]1CCCN1Cc1ccco1)OCC ZINC000765971591 622297454 /nfs/dbraw/zinc/29/74/54/622297454.db2.gz MPIYJCABDOOBEW-RDJZCZTQSA-N 0 1 321.417 3.159 20 30 DGEDMN C#CCN(Cn1ccc(-c2nccs2)n1)C1CCCCC1 ZINC000766069006 622303515 /nfs/dbraw/zinc/30/35/15/622303515.db2.gz LOYXSQHASPPAPO-UHFFFAOYSA-N 0 1 300.431 3.232 20 30 DGEDMN CCC[C@@H](C)[C@H]1CCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766634510 622343757 /nfs/dbraw/zinc/34/37/57/622343757.db2.gz XDGBQFXSMZEWAX-RDTXWAMCSA-N 0 1 313.445 3.067 20 30 DGEDMN C#CCCN(CC(F)(F)F)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000767152543 622378388 /nfs/dbraw/zinc/37/83/88/622378388.db2.gz RWHWJNLQLGWKGW-UHFFFAOYSA-N 0 1 321.302 3.105 20 30 DGEDMN CCCCOc1ccccc1C=NNCCN1CCCCC1 ZINC000767371125 622394192 /nfs/dbraw/zinc/39/41/92/622394192.db2.gz SBGUUVLXKWQXAT-UHFFFAOYSA-N 0 1 303.450 3.275 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H]2CCC[C@@H](CC)C2)n1 ZINC000789761548 625563274 /nfs/dbraw/zinc/56/32/74/625563274.db2.gz GTKVXTHJWRHDER-MSOLQXFVSA-N 0 1 317.477 3.181 20 30 DGEDMN CCc1cccc(NC(=O)CNCc2ccc(C#N)c(C)c2)c1 ZINC000767687036 622415443 /nfs/dbraw/zinc/41/54/43/622415443.db2.gz BZXZFSGFCDAVLO-UHFFFAOYSA-N 0 1 307.397 3.157 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cc1 ZINC000870139087 622436866 /nfs/dbraw/zinc/43/68/66/622436866.db2.gz MUCRIBDTSXXUIS-JSPVNYKOSA-N 0 1 310.397 3.188 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2C(C)(C)C)c1 ZINC000870138983 622437076 /nfs/dbraw/zinc/43/70/76/622437076.db2.gz QOVJZEMYQHZCKB-RBSFLKMASA-N 0 1 314.385 3.025 20 30 DGEDMN CC(=O)Nc1ccsc1C=NNc1cc(C#N)cc(Cl)n1 ZINC000768380306 622453729 /nfs/dbraw/zinc/45/37/29/622453729.db2.gz MYHKSGAQHFZTPU-UHFFFAOYSA-N 0 1 319.777 3.073 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000870314923 622455800 /nfs/dbraw/zinc/45/58/00/622455800.db2.gz WIUUIXXTMHGQQF-IFXJQAMLSA-N 0 1 323.396 3.401 20 30 DGEDMN C=CCC(F)(F)C(=O)NCC(F)(F)c1cc(F)cc(F)c1 ZINC000881833544 625573341 /nfs/dbraw/zinc/57/33/41/625573341.db2.gz AIPOVYOQBOZIPK-UHFFFAOYSA-N 0 1 311.225 3.384 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CC[C@@H](C(F)(F)F)C3)[nH]c2c1 ZINC000871073069 622543884 /nfs/dbraw/zinc/54/38/84/622543884.db2.gz RLMMKJOPDZOQAP-VHSXEESVSA-N 0 1 322.290 3.352 20 30 DGEDMN CCn1nc(/C=C/C(=O)c2ccc(O)cc2O)c2ccccc21 ZINC000769746119 622556260 /nfs/dbraw/zinc/55/62/60/622556260.db2.gz FSPWZURRWAIAAQ-MDZDMXLPSA-N 0 1 308.337 3.364 20 30 DGEDMN COc1ccsc1C(=O)/C=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000769745062 622556509 /nfs/dbraw/zinc/55/65/09/622556509.db2.gz XRAQDDOHVAACGB-DJWKRKHSSA-N 0 1 305.311 3.267 20 30 DGEDMN CC(=NNc1ccc(F)c([N+](=O)[O-])c1)c1ccc(F)cc1O ZINC000769822945 622565343 /nfs/dbraw/zinc/56/53/43/622565343.db2.gz SVSYGJAJFGSUMJ-UHFFFAOYSA-N 0 1 307.256 3.415 20 30 DGEDMN COc1ccc(CNc2ccc(CN(C)C)c(F)c2)cc1C#N ZINC000770601253 622650669 /nfs/dbraw/zinc/65/06/69/622650669.db2.gz LTQSDVJIIVSKJE-UHFFFAOYSA-N 0 1 313.376 3.380 20 30 DGEDMN Cc1nc(COC(=O)c2ccccc2-c2ccccc2C#N)n[nH]1 ZINC000770643071 622656039 /nfs/dbraw/zinc/65/60/39/622656039.db2.gz PKBBOYNCQRPVJT-UHFFFAOYSA-N 0 1 318.336 3.009 20 30 DGEDMN N#C[C@@H](C(=O)C1(CCOCc2ccccc2)CC1)c1ccncn1 ZINC000770916056 622685077 /nfs/dbraw/zinc/68/50/77/622685077.db2.gz CXWBLYLTIKUFGG-MRXNPFEDSA-N 0 1 321.380 3.040 20 30 DGEDMN CC[C@@H](NCc1cccc(C#N)c1)C(=O)Nc1ccc(C)cc1 ZINC000871871778 622724779 /nfs/dbraw/zinc/72/47/79/622724779.db2.gz UQKSLWUACGLIIR-GOSISDBHSA-N 0 1 307.397 3.374 20 30 DGEDMN CC(=NNc1ccc(Br)cn1)c1cnccc1C ZINC000771564045 622776269 /nfs/dbraw/zinc/77/62/69/622776269.db2.gz PZXQAIWOUWEEPY-UHFFFAOYSA-N 0 1 305.179 3.384 20 30 DGEDMN C=CCSc1nc2ccccc2n1CN(C)CCOCC ZINC000771642160 622783592 /nfs/dbraw/zinc/78/35/92/622783592.db2.gz OHUBJRJDZJCMSZ-UHFFFAOYSA-N 0 1 305.447 3.240 20 30 DGEDMN CN1CCN(Cc2ccc(C3(C#N)CC3)cc2)Cc2cccnc21 ZINC000872267490 622873759 /nfs/dbraw/zinc/87/37/59/622873759.db2.gz SIVDIGKLDORNGV-UHFFFAOYSA-N 0 1 318.424 3.089 20 30 DGEDMN c1cnc2cccc(N=NC3CCCN4CCSC[C@H]34)c2c1 ZINC000872341463 622892875 /nfs/dbraw/zinc/89/28/75/622892875.db2.gz AYOFNXUQZVXKKO-QGZVFWFLSA-N 0 1 312.442 3.214 20 30 DGEDMN CC(C)N(C)c1ccc(C=NNC[C@@H]2CCCC2(F)F)cn1 ZINC000872407288 622906415 /nfs/dbraw/zinc/90/64/15/622906415.db2.gz ZTWGREHNQHFUSC-AWEZNQCLSA-N 0 1 310.392 3.285 20 30 DGEDMN Cc1nn(C(C)C)cc1C=NN=c1[nH]c2ccncc2s1 ZINC000872413403 622908952 /nfs/dbraw/zinc/90/89/52/622908952.db2.gz XZDVLPLOXLCQAF-UHFFFAOYSA-N 0 1 300.391 3.223 20 30 DGEDMN Cc1nn(C(C)C)cc1C=[NH+][N-]c1nc2ccncc2s1 ZINC000872413403 622908956 /nfs/dbraw/zinc/90/89/56/622908956.db2.gz XZDVLPLOXLCQAF-UHFFFAOYSA-N 0 1 300.391 3.223 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CN(C)c3ccccc3)[nH]c21 ZINC000772668046 622926056 /nfs/dbraw/zinc/92/60/56/622926056.db2.gz ZCOQQOSPXXYRBY-OAHLLOKOSA-N 0 1 318.380 3.184 20 30 DGEDMN Cc1cccc2[nH]c(C(C#N)C(=O)CN(C)c3ccccc3)nc21 ZINC000772668046 622926061 /nfs/dbraw/zinc/92/60/61/622926061.db2.gz ZCOQQOSPXXYRBY-OAHLLOKOSA-N 0 1 318.380 3.184 20 30 DGEDMN Cc1cc(C#N)cc(N2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)n1 ZINC000872647008 622947275 /nfs/dbraw/zinc/94/72/75/622947275.db2.gz BRLKZZZMBOXVRJ-OAHLLOKOSA-N 0 1 309.417 3.492 20 30 DGEDMN C[C@H](O)c1ncc(C=NNc2ncccc2C(F)(F)F)s1 ZINC000773035688 622970864 /nfs/dbraw/zinc/97/08/64/622970864.db2.gz WMULBAKAZOWHDQ-ZETCQYMHSA-N 0 1 316.308 3.056 20 30 DGEDMN COCC(=NN=c1[nH]c2cc(F)c(F)cc2[nH]1)c1ccccc1 ZINC000790153401 625610450 /nfs/dbraw/zinc/61/04/50/625610450.db2.gz FUZGFIQRKACCFH-UHFFFAOYSA-N 0 1 316.311 3.304 20 30 DGEDMN COCC(=N[N-]c1[nH]c2cc(F)c(F)cc2[nH+]1)c1ccccc1 ZINC000790153401 625610453 /nfs/dbraw/zinc/61/04/53/625610453.db2.gz FUZGFIQRKACCFH-UHFFFAOYSA-N 0 1 316.311 3.304 20 30 DGEDMN COCC(N=Nc1ccc([N+](=O)[O-])cc1OC)c1ccccc1 ZINC000790165594 625612120 /nfs/dbraw/zinc/61/21/20/625612120.db2.gz PNVXPTULDXEFPD-UHFFFAOYSA-N 0 1 315.329 3.066 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1nccn1-c1ccccc1 ZINC000790171545 625612285 /nfs/dbraw/zinc/61/22/85/625612285.db2.gz XLRPJDZUAMJKOG-UHFFFAOYSA-N 0 1 320.352 3.105 20 30 DGEDMN CCCCn1cc(CNCc2ccc(OC)c(C#N)c2)c(C)n1 ZINC000773408509 623023784 /nfs/dbraw/zinc/02/37/84/623023784.db2.gz HHRPBQDVBJZMTE-UHFFFAOYSA-N 0 1 312.417 3.162 20 30 DGEDMN C#CCCCCC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000773770895 623071850 /nfs/dbraw/zinc/07/18/50/623071850.db2.gz PQYQEDQWHRNNIQ-GFCCVEGCSA-N 0 1 302.761 3.181 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)C1(F)CCCC1 ZINC000773973587 623095072 /nfs/dbraw/zinc/09/50/72/623095072.db2.gz GJRRJGGIXHPXJI-GFCCVEGCSA-N 0 1 308.740 3.270 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC2(C)C)c1 ZINC000773977307 623095222 /nfs/dbraw/zinc/09/52/22/623095222.db2.gz XDTTYBYHEGIWCG-HUUCEWRRSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@H]1CC(=NOC2CCOCC2)CN(Cc2ccccc2)C1 ZINC000774452689 623147159 /nfs/dbraw/zinc/14/71/59/623147159.db2.gz MZFPWUXWUNEWNW-HNNXBMFYSA-N 0 1 302.418 3.080 20 30 DGEDMN CCC(CC)CNN=C1CCN(Cc2ccccn2)C[C@@H]1C ZINC000774461724 623148690 /nfs/dbraw/zinc/14/86/90/623148690.db2.gz JCFBEFPLQHVBSD-HNNXBMFYSA-N 0 1 302.466 3.305 20 30 DGEDMN C[C@@H]1CCCCN1CC(N)=NOCc1ccc(Cl)cc1C#N ZINC000774762793 623187949 /nfs/dbraw/zinc/18/79/49/623187949.db2.gz TWPHMRZBHWOJCY-GFCCVEGCSA-N 0 1 320.824 3.085 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1cccc(C#N)c1F)N1CCCCC1 ZINC000774963274 623211775 /nfs/dbraw/zinc/21/17/75/623211775.db2.gz VZOGHSVNSGDMLJ-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN Cn1ccnc1NN=Cc1c(Cl)cccc1OC(F)F ZINC000790299904 625631345 /nfs/dbraw/zinc/63/13/45/625631345.db2.gz JULGASWJEIYQSD-UHFFFAOYSA-N 0 1 300.696 3.121 20 30 DGEDMN Cc1cc(CN2CCCN(c3ncccc3F)CC2)ccc1C#N ZINC000775107526 623232426 /nfs/dbraw/zinc/23/24/26/623232426.db2.gz NSFPGAQEBMQNIB-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN C[C@H](NCC(=O)NC1CCCCCCC1)c1ccc(C#N)cc1 ZINC000775163111 623236835 /nfs/dbraw/zinc/23/68/35/623236835.db2.gz NZZPMOSCDKTSGD-HNNXBMFYSA-N 0 1 313.445 3.438 20 30 DGEDMN Cc1nc(CN=Nc2cccc(F)c2[N+](=O)[O-])c2ccccn12 ZINC000790306025 625633453 /nfs/dbraw/zinc/63/34/53/625633453.db2.gz CDFFVVARPSDZDJ-UHFFFAOYSA-N 0 1 313.292 3.136 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1ccc(F)c(F)c1)c1ccccc1 ZINC000775400907 623268464 /nfs/dbraw/zinc/26/84/64/623268464.db2.gz UAOXBIFRPHLNMQ-QGZVFWFLSA-N 0 1 314.335 3.258 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)CC1CCCCC1)c1ccccc1 ZINC000775399327 623269016 /nfs/dbraw/zinc/26/90/16/623269016.db2.gz BRFFHVULXDYCHM-IBGZPJMESA-N 0 1 312.457 3.379 20 30 DGEDMN C#C[C@H](N[C@H]1CCc2c1cccc2Br)[C@@H]1CCCO1 ZINC000775572671 623291998 /nfs/dbraw/zinc/29/19/98/623291998.db2.gz SVGOPPYVOBSZDF-JYJNAYRXSA-N 0 1 320.230 3.207 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cccc(Br)c1)[C@@H]1CCCO1 ZINC000775575516 623292611 /nfs/dbraw/zinc/29/26/11/623292611.db2.gz YEKCOGRUKDXILL-CQDKDKBSSA-N 0 1 308.219 3.281 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cccc(Br)c1)[C@@H]1CCCO1 ZINC000775575518 623292664 /nfs/dbraw/zinc/29/26/64/623292664.db2.gz YEKCOGRUKDXILL-UGFHNGPFSA-N 0 1 308.219 3.281 20 30 DGEDMN C#C[C@@H](NCCOc1cc(Cl)ccc1Cl)[C@@H]1CCCO1 ZINC000775802209 623334231 /nfs/dbraw/zinc/33/42/31/623334231.db2.gz ZIYPGCJYPDHYIO-KGLIPLIRSA-N 0 1 314.212 3.143 20 30 DGEDMN C=C(Br)CN[C@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000125136791 623336522 /nfs/dbraw/zinc/33/65/22/623336522.db2.gz GNGTZDJBFRIKQH-GFCCVEGCSA-N 0 1 323.234 3.373 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000776275048 623404902 /nfs/dbraw/zinc/40/49/02/623404902.db2.gz IWVZHMQTAXCRKW-MRXNPFEDSA-N 0 1 318.398 3.378 20 30 DGEDMN C[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)Nc2ccccc2Cl)C1 ZINC000776519102 623437639 /nfs/dbraw/zinc/43/76/39/623437639.db2.gz YFHUJNJRJJQIDB-IJLUTSLNSA-N 0 1 304.777 3.424 20 30 DGEDMN Cc1cc2ccccc2nc1N=NCc1cnc2ncccn12 ZINC000776786217 623463463 /nfs/dbraw/zinc/46/34/63/623463463.db2.gz RYPYJPAHAFPFBE-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000777057098 623491551 /nfs/dbraw/zinc/49/15/51/623491551.db2.gz RKWDQCQYOJHMTH-KFWWJZLASA-N 0 1 300.402 3.171 20 30 DGEDMN CC(N=Nc1ccccn1)c1ncc(Br)cc1F ZINC000777424755 623523550 /nfs/dbraw/zinc/52/35/50/623523550.db2.gz VQRTXDLIQCGQOQ-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN CC(C)[C@@H](Cc1ccccc1)NN=Cc1cnc(N(C)C)s1 ZINC000777448147 623527007 /nfs/dbraw/zinc/52/70/07/623527007.db2.gz MDYRBNZCFSDUHJ-MRXNPFEDSA-N 0 1 316.474 3.400 20 30 DGEDMN C[C@H]1CCSCCN1Cn1ccc(-c2ccc(C#N)cc2)n1 ZINC000790478524 625659846 /nfs/dbraw/zinc/65/98/46/625659846.db2.gz QRDMLMTUSXAWOU-AWEZNQCLSA-N 0 1 312.442 3.207 20 30 DGEDMN COc1ccc2nc(N=NC(C)c3cnn(C)c3)c(C)cc2c1 ZINC000777912038 623584599 /nfs/dbraw/zinc/58/45/99/623584599.db2.gz QTHFAZMBBHMRSY-UHFFFAOYSA-N 0 1 309.373 3.121 20 30 DGEDMN COc1ccc2[nH]c(=NN=C(C)c3cnn(C)c3)c(C)cc2c1 ZINC000777912038 623584602 /nfs/dbraw/zinc/58/46/02/623584602.db2.gz QTHFAZMBBHMRSY-UHFFFAOYSA-N 0 1 309.373 3.121 20 30 DGEDMN C#CCCN1CCN(Cc2ccc(OC(C)C)c(Cl)c2)CC1 ZINC000778095683 623611153 /nfs/dbraw/zinc/61/11/53/623611153.db2.gz PSKRLTIUDPSGAM-UHFFFAOYSA-N 0 1 320.864 3.268 20 30 DGEDMN N#Cc1c(F)cccc1C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000778645706 623679594 /nfs/dbraw/zinc/67/95/94/623679594.db2.gz KIZPJQKDDCEAQN-HNNXBMFYSA-N 0 1 324.355 3.129 20 30 DGEDMN CC[C@@H](C(=O)O[C@H](C#N)Cc1ccc(F)cc1F)N(CC)CC ZINC000778711368 623685857 /nfs/dbraw/zinc/68/58/57/623685857.db2.gz KFIBSKOITWODEV-HOCLYGCPSA-N 0 1 324.371 3.063 20 30 DGEDMN CN(C)c1cccc([C@@H]2CCCN2Cc2cccc(C#N)n2)c1 ZINC000876750563 623696843 /nfs/dbraw/zinc/69/68/43/623696843.db2.gz WRMPWTOCQFCKJR-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN C#CC[C@@H](NCc1ccc([N+](=O)[O-])c(OC)c1)c1ccccc1 ZINC000778960123 623715482 /nfs/dbraw/zinc/71/54/82/623715482.db2.gz JSQLPUKWXNHOGD-MRXNPFEDSA-N 0 1 310.353 3.458 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H](OC)CC1 ZINC000779165703 623737497 /nfs/dbraw/zinc/73/74/97/623737497.db2.gz LFCBGTKZVDARRG-CQSZACIVSA-N 0 1 307.821 3.353 20 30 DGEDMN CN(C)[C@@H](CNCc1cc(C#N)cnc1Cl)c1ccsc1 ZINC000876912863 623743155 /nfs/dbraw/zinc/74/31/55/623743155.db2.gz ZGZTUXSZSYPTBJ-AWEZNQCLSA-N 0 1 320.849 3.061 20 30 DGEDMN C[C@@H](COc1cccc(F)c1)NCc1cc(C#N)cnc1Cl ZINC000876958052 623755032 /nfs/dbraw/zinc/75/50/32/623755032.db2.gz PODYEDNNDCOODN-NSHDSACASA-N 0 1 319.767 3.303 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2ccccc2-c2ccno2)c1 ZINC000779460466 623772425 /nfs/dbraw/zinc/77/24/25/623772425.db2.gz PDGKHERKXRZZOR-UHFFFAOYSA-N 0 1 324.361 3.124 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnc(OC)s2)c1 ZINC000877046044 623775350 /nfs/dbraw/zinc/77/53/50/623775350.db2.gz HIZOUEWGZXQVRQ-ZDUSSCGKSA-N 0 1 317.414 3.122 20 30 DGEDMN C[C@H](C[C@@H](O)c1ccccc1)NCc1cc(C#N)cnc1Cl ZINC000877127397 623798043 /nfs/dbraw/zinc/79/80/43/623798043.db2.gz GFLCPKHFUMHUHJ-MLGOLLRUSA-N 0 1 315.804 3.208 20 30 DGEDMN COc1cccc(N=NCc2coc(-c3ccc(C)cc3)n2)n1 ZINC000779816860 623813086 /nfs/dbraw/zinc/81/30/86/623813086.db2.gz KEGSNZJDFUZJCV-UHFFFAOYSA-N 0 1 308.341 3.500 20 30 DGEDMN COc1cccc(NN=Cc2ccc(SC)c(OC)c2)n1 ZINC000779816526 623813176 /nfs/dbraw/zinc/81/31/76/623813176.db2.gz CJLHYIMSUQASPJ-UHFFFAOYSA-N 0 1 303.387 3.267 20 30 DGEDMN C#CCCCCCC(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000779834490 623818054 /nfs/dbraw/zinc/81/80/54/623818054.db2.gz UTSSXRPIZIWKKU-KRWDZBQOSA-N 0 1 312.457 3.133 20 30 DGEDMN CC[C@@H](C(=O)OCc1ccc(Cl)cc1C#N)N(CC)CC ZINC000780039914 623853932 /nfs/dbraw/zinc/85/39/32/623853932.db2.gz OKNPQPUTTBCGHA-HNNXBMFYSA-N 0 1 308.809 3.375 20 30 DGEDMN CC(C)O[C@@H]1C[C@H](NCc2cc(C#N)cnc2Cl)C1(C)C ZINC000877378861 623863393 /nfs/dbraw/zinc/86/33/93/623863393.db2.gz CJLYVTJPBLESKB-UONOGXRCSA-N 0 1 307.825 3.288 20 30 DGEDMN COCCN(Cc1cc(C#N)cnc1Cl)[C@H]1CC[C@@H](C)C1 ZINC000877474344 623899651 /nfs/dbraw/zinc/89/96/51/623899651.db2.gz TZVVRTHDPVVANP-DOMZBBRYSA-N 0 1 307.825 3.244 20 30 DGEDMN COCCN(Cc1cc(C#N)cnc1Cl)[C@@H]1CC[C@@H](C)C1 ZINC000877474345 623899768 /nfs/dbraw/zinc/89/97/68/623899768.db2.gz TZVVRTHDPVVANP-IUODEOHRSA-N 0 1 307.825 3.244 20 30 DGEDMN COc1ccc(N=NC2CN(Cc3ccccc3)C[C@@H]2C)nc1 ZINC000780266842 623900651 /nfs/dbraw/zinc/90/06/51/623900651.db2.gz DFZYYHPSGSYVKO-AWEZNQCLSA-N 0 1 310.401 3.010 20 30 DGEDMN COc1ccc(NN=Cc2cccn2Cc2cccs2)nc1 ZINC000780269968 623901767 /nfs/dbraw/zinc/90/17/67/623901767.db2.gz ARSVWFYAMQAVFH-UHFFFAOYSA-N 0 1 312.398 3.448 20 30 DGEDMN CN1CC[C@H](NCCC#N)C[C@@H]1c1ccc(Br)cc1 ZINC000877484123 623905835 /nfs/dbraw/zinc/90/58/35/623905835.db2.gz VXWQASCEZAQHMQ-LSDHHAIUSA-N 0 1 322.250 3.088 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2c[nH]nc2-c2ccsc2)c1 ZINC000780526366 623944100 /nfs/dbraw/zinc/94/41/00/623944100.db2.gz CXFHEXKAUOBPQM-UHFFFAOYSA-N 0 1 312.373 3.439 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(Br)cc2)nc1 ZINC000780555094 623950083 /nfs/dbraw/zinc/95/00/83/623950083.db2.gz NQHWAMSKSKELNY-UHFFFAOYSA-N 0 1 302.175 3.006 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc([C@H]3CCCOC3)cc2)c1 ZINC000780605303 623959011 /nfs/dbraw/zinc/95/90/11/623959011.db2.gz TYZHQDLUOWGMSH-INIZCTEOSA-N 0 1 322.364 3.410 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CC[C@@H](c3ccc(O)cc3)C2)c1 ZINC000877659856 623981160 /nfs/dbraw/zinc/98/11/60/623981160.db2.gz FIKHBBXCDOQUQC-CQSZACIVSA-N 0 1 313.788 3.302 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1nn(C)c2ccccc12 ZINC000877703852 623998882 /nfs/dbraw/zinc/99/88/82/623998882.db2.gz FQLNUJQWXUNDNW-UHFFFAOYSA-N 0 1 304.397 3.119 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cccc2nc[nH]c21 ZINC000781036345 624012067 /nfs/dbraw/zinc/01/20/67/624012067.db2.gz LZYOGNCSRLHSRY-LLVKDONJSA-N 0 1 306.325 3.106 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1ccccc1O[C@H](C)C#N ZINC000781035209 624012226 /nfs/dbraw/zinc/01/22/26/624012226.db2.gz WJMVIMKRBOEKPR-OAHLLOKOSA-N 0 1 317.433 3.428 20 30 DGEDMN N#Cc1ccc(C(=O)/C=C\c2cc(O)ccc2[N+](=O)[O-])c(F)c1 ZINC000781109926 624023583 /nfs/dbraw/zinc/02/35/83/624023583.db2.gz CDILBWUTVLJNLE-KXFIGUGUSA-N 0 1 312.256 3.207 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3cccc(C#N)n3)C2)[nH]n1 ZINC000877784052 624035062 /nfs/dbraw/zinc/03/50/62/624035062.db2.gz NYMFGQDCNIRSRF-CQSZACIVSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(Cc3cccc(C#N)n3)C2)n[nH]1 ZINC000877784052 624035064 /nfs/dbraw/zinc/03/50/64/624035064.db2.gz NYMFGQDCNIRSRF-CQSZACIVSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(=O)Nc1ccc2c(c1)CN(Cc1ccc(C#N)c(C)c1)CC2 ZINC000877817099 624048572 /nfs/dbraw/zinc/04/85/72/624048572.db2.gz PXXXTDMPRKRXNP-UHFFFAOYSA-N 0 1 319.408 3.383 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCc2ccccc2C1)c1ccccc1 ZINC000877901643 624084105 /nfs/dbraw/zinc/08/41/05/624084105.db2.gz QHAKYSPFLMEZOG-NRFANRHFSA-N 0 1 318.420 3.485 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)Nc1ccc(C2CC2)c(C#N)c1 ZINC000882315529 625697239 /nfs/dbraw/zinc/69/72/39/625697239.db2.gz VTQLQYNIIOHQIE-BBATYDOGSA-N 0 1 320.396 3.463 20 30 DGEDMN COc1cc(C)c(C=NNc2ccccc2[N+](=O)[O-])c(C)n1 ZINC000781573332 624095584 /nfs/dbraw/zinc/09/55/84/624095584.db2.gz BRTIUWAXZMQVTQ-UHFFFAOYSA-N 0 1 300.318 3.061 20 30 DGEDMN C=CC[C@H](C(=O)OC)N(C)C[C@@H]1CCCO[C@@H]1c1ccccc1 ZINC000878018880 624136668 /nfs/dbraw/zinc/13/66/68/624136668.db2.gz SSEGKAXNNQERHK-RCCFBDPRSA-N 0 1 317.429 3.204 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCO[C@@H](C(C)C)C2)nc(C)n1 ZINC000781759472 624139214 /nfs/dbraw/zinc/13/92/14/624139214.db2.gz GTRYSUBTDCBKSR-RVKKMQEKSA-N 0 1 315.417 3.111 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H]1CCC(C)(C)C1 ZINC000781767466 624142066 /nfs/dbraw/zinc/14/20/66/624142066.db2.gz OWXMTKKOGFJXKZ-CHWSQXEVSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@@H]1CN(CC[C@@](C#N)(C(C)=O)c2ccccc2)CCS1 ZINC000878152033 624190472 /nfs/dbraw/zinc/19/04/72/624190472.db2.gz UGWSOWCKHCJPRR-QZTJIDSGSA-N 0 1 316.470 3.254 20 30 DGEDMN CCC(CC)CN(CCC#N)C[C@@H]1CCC2(CCOCC2)O1 ZINC000878159823 624193770 /nfs/dbraw/zinc/19/37/70/624193770.db2.gz XEHDGWARNVJSEW-KRWDZBQOSA-N 0 1 308.466 3.366 20 30 DGEDMN C#CCCCCCN1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000878196165 624210302 /nfs/dbraw/zinc/21/03/02/624210302.db2.gz WFCQZIGSIWGKIE-OALUTQOASA-N 0 1 301.430 3.005 20 30 DGEDMN C#CCCCCCN1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000878196164 624210351 /nfs/dbraw/zinc/21/03/51/624210351.db2.gz WFCQZIGSIWGKIE-MOPGFXCFSA-N 0 1 301.430 3.005 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1sccc1Cl ZINC000782183727 624215971 /nfs/dbraw/zinc/21/59/71/624215971.db2.gz BVDIQSOECFJQPZ-UHFFFAOYSA-N 0 1 321.814 3.282 20 30 DGEDMN COc1cc(C(C)=NN[C@@H]2CCCC2(C)C)cc([N+](=O)[O-])c1O ZINC000782301024 624236200 /nfs/dbraw/zinc/23/62/00/624236200.db2.gz NRROFPZXJSPKFZ-CQSZACIVSA-N 0 1 321.377 3.201 20 30 DGEDMN C#CC[C@@H](NCc1cc(C(=O)OC)ccc1OC)c1ccccc1 ZINC000878363130 624256808 /nfs/dbraw/zinc/25/68/08/624256808.db2.gz RTFCMULWBHDPKJ-GOSISDBHSA-N 0 1 323.392 3.336 20 30 DGEDMN C[C@H](NCc1cn(C)nc1-c1ccc(C#N)cc1)c1cncs1 ZINC000782783719 624281767 /nfs/dbraw/zinc/28/17/67/624281767.db2.gz ONEFTNJTBONVFU-LBPRGKRZSA-N 0 1 323.425 3.266 20 30 DGEDMN Cc1cc(CONC(=O)C(C)(C)c2ccccc2)ccc1C#N ZINC000783025291 624301402 /nfs/dbraw/zinc/30/14/02/624301402.db2.gz BOEDPLLRTFGDHO-UHFFFAOYSA-N 0 1 308.381 3.392 20 30 DGEDMN CSCCCN(C)CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000878552550 624306349 /nfs/dbraw/zinc/30/63/49/624306349.db2.gz GYNKWBQLHULBNZ-QGZVFWFLSA-N 0 1 304.459 3.112 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000878606730 624320887 /nfs/dbraw/zinc/32/08/87/624320887.db2.gz PIWYOXPRSJMALW-WBAXXEDZSA-N 0 1 305.418 3.108 20 30 DGEDMN CN(C[C@H](O)c1ccccc1Br)CC1(CC#N)CC1 ZINC000878692089 624340653 /nfs/dbraw/zinc/34/06/53/624340653.db2.gz NGWLGAQQSOHMET-AWEZNQCLSA-N 0 1 323.234 3.108 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CC[C@@]2(CC2(F)F)C1)c1ccccc1 ZINC000878813717 624365479 /nfs/dbraw/zinc/36/54/79/624365479.db2.gz ODLCAPFKORDCKO-IAGOWNOFSA-N 0 1 318.367 3.158 20 30 DGEDMN CCc1cc(Br)ccc1NN=Cc1[nH]cnc1C ZINC000783871215 624402844 /nfs/dbraw/zinc/40/28/44/624402844.db2.gz BYOFFNJFWOQLRM-UHFFFAOYSA-N 0 1 307.195 3.489 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccc2ccc(Cl)cc2n1 ZINC000878999521 624405351 /nfs/dbraw/zinc/40/53/51/624405351.db2.gz OSIBYYFRHDMSNA-UHFFFAOYSA-N 0 1 302.805 3.360 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc2ccc(Cl)cc2n1 ZINC000878999521 624405354 /nfs/dbraw/zinc/40/53/54/624405354.db2.gz OSIBYYFRHDMSNA-UHFFFAOYSA-N 0 1 302.805 3.360 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC000879223134 624453741 /nfs/dbraw/zinc/45/37/41/624453741.db2.gz MOKXULBPDSYWBX-BBRMVZONSA-N 0 1 315.417 3.046 20 30 DGEDMN CCN(C)C(=O)OC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC000805761306 624527550 /nfs/dbraw/zinc/52/75/50/624527550.db2.gz RWELPNOFLCXVHE-KRWDZBQOSA-N 0 1 315.417 3.001 20 30 DGEDMN CC(C)N(C(=O)[C@H](C)N(C)CCc1cccc(C#N)c1)C(C)C ZINC000879435094 624546322 /nfs/dbraw/zinc/54/63/22/624546322.db2.gz VZNINHAAJFLVKU-INIZCTEOSA-N 0 1 315.461 3.066 20 30 DGEDMN CC(C)(C#N)CCN1CCN(Cc2ccc(O)c(Cl)c2)CC1 ZINC000879448013 624551834 /nfs/dbraw/zinc/55/18/34/624551834.db2.gz VOHXNICMISELHQ-UHFFFAOYSA-N 0 1 321.852 3.103 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@@H]3CC=CC[C@@H]3C2)c(C#N)c1C ZINC000879529684 624579042 /nfs/dbraw/zinc/57/90/42/624579042.db2.gz BYXKHTKMZGPPRW-OKILXGFUSA-N 0 1 315.442 3.073 20 30 DGEDMN C[C@H](OC(=O)Cc1n[nH]c2c1CCCC2)c1ccc(C#N)cc1 ZINC000784812611 624583716 /nfs/dbraw/zinc/58/37/16/624583716.db2.gz JXDUXLNMCHCOBL-LBPRGKRZSA-N 0 1 309.369 3.007 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)OCC#Cc1cccc(Cl)c1 ZINC000785744789 624722797 /nfs/dbraw/zinc/72/27/97/624722797.db2.gz WPKBNOJPFLMPBU-KBPBESRZSA-N 0 1 305.805 3.108 20 30 DGEDMN C=CCCC[C@H](C(=O)N[C@@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000879965818 624738967 /nfs/dbraw/zinc/73/89/67/624738967.db2.gz AWQNUFJHPYWWGY-BBRMVZONSA-N 0 1 312.417 3.430 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786535296 624913360 /nfs/dbraw/zinc/91/33/60/624913360.db2.gz PWQJNGZFMVJYMH-SLEUVZQESA-N 0 1 320.820 3.347 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@H]2CCC[C@H]2C)c1 ZINC000786541089 624915013 /nfs/dbraw/zinc/91/50/13/624915013.db2.gz KBXHCIUZFNQKFD-DJIMGWMZSA-N 0 1 312.413 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCC[C@@H](C)C2)c1 ZINC000786545257 624916625 /nfs/dbraw/zinc/91/66/25/624916625.db2.gz FFTJWSTYLJTHIA-HYVNUMGLSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC[C@@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786548949 624918521 /nfs/dbraw/zinc/91/85/21/624918521.db2.gz CWMKDGXYRHDACK-CZUORRHYSA-N 0 1 300.402 3.146 20 30 DGEDMN C/C(=C\c1cccc([N+](=O)[O-])c1)CNCc1ccc(C#N)cn1 ZINC000786820167 624956328 /nfs/dbraw/zinc/95/63/28/624956328.db2.gz WJLNIIDRNOMSED-NTUHNPAUSA-N 0 1 308.341 3.055 20 30 DGEDMN N#C[C@H](OC(=O)[C@@H]1CCCN1C1CCCC1)C1CCCCC1 ZINC000786889848 624961364 /nfs/dbraw/zinc/96/13/64/624961364.db2.gz KMDWKYUJQZEZMV-IRXDYDNUSA-N 0 1 304.434 3.409 20 30 DGEDMN N#Cc1ccc(COC(=O)[C@H]2CCCN2C2CCCC2)cc1F ZINC000786889595 624961897 /nfs/dbraw/zinc/96/18/97/624961897.db2.gz NCALZVSFTYWJDA-QGZVFWFLSA-N 0 1 316.376 3.148 20 30 DGEDMN C#CCC1(C(=O)NCc2nc3cccc(C)c3[nH]2)CCCCC1 ZINC000788236511 625103182 /nfs/dbraw/zinc/10/31/82/625103182.db2.gz OGIGUEJGGLALTA-UHFFFAOYSA-N 0 1 309.413 3.461 20 30 DGEDMN C=C(CSc1nc(C=Cc2ccccc2)n[nH]1)C(=O)OCC ZINC000788809939 625273946 /nfs/dbraw/zinc/27/39/46/625273946.db2.gz QXWKMYCVNDBZEZ-KTKRTIGZSA-N 0 1 315.398 3.187 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C=Cc1ccccc1C#N ZINC000791056545 625745701 /nfs/dbraw/zinc/74/57/01/625745701.db2.gz GCAXNCUPJQDMFV-SREVYHEPSA-N 0 1 302.337 3.395 20 30 DGEDMN CC[C@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000791891724 625879202 /nfs/dbraw/zinc/87/92/02/625879202.db2.gz UHPQJFJFDQOBKT-SMDDNHRTSA-N 0 1 306.793 3.101 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nccc2ccccc21 ZINC000792094833 625909508 /nfs/dbraw/zinc/90/95/08/625909508.db2.gz HJCYCDIZJAWXSJ-RTRPANQVSA-N 0 1 306.365 3.394 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)C(=O)[C@@H](F)CC1CCCCC1 ZINC000796653455 626070407 /nfs/dbraw/zinc/07/04/07/626070407.db2.gz IDUINMMFAXKTTL-KGLIPLIRSA-N 0 1 317.364 3.037 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC2CC(C)(C)C2)cc1 ZINC000796744321 626078375 /nfs/dbraw/zinc/07/83/75/626078375.db2.gz IEYCGXKIKGAIQY-HNNXBMFYSA-N 0 1 314.385 3.169 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000796786131 626082843 /nfs/dbraw/zinc/08/28/43/626082843.db2.gz BRPPKFDAOZSMTG-WFASDCNBSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000796786126 626082863 /nfs/dbraw/zinc/08/28/63/626082863.db2.gz BRPPKFDAOZSMTG-IUODEOHRSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000796792127 626083665 /nfs/dbraw/zinc/08/36/65/626083665.db2.gz IOGKVEBLDKILKT-SWLSCSKDSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@@H](C)CCC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000796791299 626083708 /nfs/dbraw/zinc/08/37/08/626083708.db2.gz APRHYDGWZUCQLU-CHWSQXEVSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC(C)(C)C1CCC2(C[C@H]2C(=O)C(C#N)C(=O)NC)CC1 ZINC000796797757 626084360 /nfs/dbraw/zinc/08/43/60/626084360.db2.gz KTILOYUPYQLHTO-NTXUUIFSSA-N 0 1 304.434 3.074 20 30 DGEDMN CCC(C)(C)C1CCC2(C[C@@H]2C(=O)C(C#N)C(=O)NC)CC1 ZINC000796797759 626084377 /nfs/dbraw/zinc/08/43/77/626084377.db2.gz KTILOYUPYQLHTO-OOWFEOPPSA-N 0 1 304.434 3.074 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC(F)(F)C1)C(=O)Nc1ccccc1 ZINC000797943505 626183100 /nfs/dbraw/zinc/18/31/00/626183100.db2.gz RNVWVQAPAGKUNX-AAEUAGOBSA-N 0 1 306.312 3.159 20 30 DGEDMN COc1ccc(CN[C@@H](c2cncc(F)c2)C2CC2)cc1C#N ZINC000798931932 626260975 /nfs/dbraw/zinc/26/09/75/626260975.db2.gz QFFBWIMGWYRQPW-GOSISDBHSA-N 0 1 311.360 3.342 20 30 DGEDMN CCc1nc2ccccc2n1N=Cc1cc(C(F)(F)F)n[nH]1 ZINC000799476603 626304006 /nfs/dbraw/zinc/30/40/06/626304006.db2.gz OQGKBCXKGKLXEY-UHFFFAOYSA-N 0 1 307.279 3.223 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1cnn(C(C)(C)C)c1 ZINC000800816728 626376433 /nfs/dbraw/zinc/37/64/33/626376433.db2.gz HNWYQKRBPCWGEN-UHFFFAOYSA-N 0 1 318.352 3.010 20 30 DGEDMN CC#CC(=O)Nc1ccccc1-c1nc(-c2ccccc2)n[nH]1 ZINC000801136793 626395432 /nfs/dbraw/zinc/39/54/32/626395432.db2.gz XAYPKPCCFBJRLP-UHFFFAOYSA-N 0 1 302.337 3.101 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000801912027 626424909 /nfs/dbraw/zinc/42/49/09/626424909.db2.gz GGXCFDOGRJMSGP-UHFFFAOYSA-N 0 1 323.327 3.275 20 30 DGEDMN Cc1cccc(CN(CCO[C@H](C)C#N)Cc2ccccn2)c1 ZINC000801933353 626426756 /nfs/dbraw/zinc/42/67/56/626426756.db2.gz OXZXAWKDXIXETJ-QGZVFWFLSA-N 0 1 309.413 3.321 20 30 DGEDMN C[C@H](C#N)OCCN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000801937217 626426982 /nfs/dbraw/zinc/42/69/82/626426982.db2.gz HOOSJADBJAEQHS-ZWNOBZJWSA-N 0 1 304.287 3.337 20 30 DGEDMN CCOc1ccc(C2=CCN(CCO[C@@H](C)C#N)CC2)cc1 ZINC000801940937 626427228 /nfs/dbraw/zinc/42/72/28/626427228.db2.gz VDQDZSKXAFBFTH-HNNXBMFYSA-N 0 1 300.402 3.103 20 30 DGEDMN Cc1cc(C)nc(C(=O)C(C#N)c2nc3ccccc3n2C)c1 ZINC000802031504 626429449 /nfs/dbraw/zinc/42/94/49/626429449.db2.gz GKFYCLZLONKDLJ-CYBMUJFWSA-N 0 1 304.353 3.075 20 30 DGEDMN C[C@H](Cn1ccc2ccccc21)C(=O)Nc1cc(C#N)ccc1O ZINC000802890627 626580975 /nfs/dbraw/zinc/58/09/75/626580975.db2.gz DVLVOWVCWJFEPJ-CYBMUJFWSA-N 0 1 319.364 3.493 20 30 DGEDMN Cc1cc(C#N)nc(N[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)n1 ZINC000804449366 626680882 /nfs/dbraw/zinc/68/08/82/626680882.db2.gz ZAIBIKXPOFUFCO-VIFPVBQESA-N 0 1 312.764 3.360 20 30 DGEDMN C#Cc1ccc(C(=O)NCc2cc(Cl)cc(Cl)c2O)cn1 ZINC000807840816 626771168 /nfs/dbraw/zinc/77/11/68/626771168.db2.gz LBDZHRJJOLPGNU-UHFFFAOYSA-N 0 1 321.163 3.005 20 30 DGEDMN CC(=CN=NC1=NC[C@H](C)N1)Cc1cccc(C(F)(F)F)c1 ZINC000807981061 626782038 /nfs/dbraw/zinc/78/20/38/626782038.db2.gz GUNRDNPFDZAEJJ-BZMZRWPFSA-N 0 1 310.323 3.032 20 30 DGEDMN C#CCn1ccnc1C=NNc1c(Cl)cc(F)cc1Cl ZINC000807979962 626782261 /nfs/dbraw/zinc/78/22/61/626782261.db2.gz MBQAOAQRGXABSQ-UHFFFAOYSA-N 0 1 311.147 3.408 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccnc(C(F)(F)F)c2)n1 ZINC000808977522 626872511 /nfs/dbraw/zinc/87/25/11/626872511.db2.gz NAENBNYEANQOMU-SECBINFHSA-N 0 1 311.288 3.355 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnc(C(F)(F)F)c2)n1 ZINC000808977522 626872513 /nfs/dbraw/zinc/87/25/13/626872513.db2.gz NAENBNYEANQOMU-SECBINFHSA-N 0 1 311.288 3.355 20 30 DGEDMN C#Cc1ccc(CN2CCCN(C(=O)OC(C)(C)C)CC2)cc1 ZINC000809544905 626918082 /nfs/dbraw/zinc/91/80/82/626918082.db2.gz UYIJSRFXBOWCDS-UHFFFAOYSA-N 0 1 314.429 3.111 20 30 DGEDMN C#C[C@H](C)NCc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC000809684150 626936283 /nfs/dbraw/zinc/93/62/83/626936283.db2.gz PMFKCZRSLHKVSA-AWEZNQCLSA-N 0 1 308.381 3.059 20 30 DGEDMN N#Cc1csc(C[NH2+]Cc2cc(Br)ccc2[O-])c1 ZINC000809713701 626940107 /nfs/dbraw/zinc/94/01/07/626940107.db2.gz YPIRWEKFGFQFMA-UHFFFAOYSA-N 0 1 323.215 3.378 20 30 DGEDMN Cc1cn[nH]c1CNc1ccc(Oc2ccnc(C#N)c2)cc1 ZINC000810166962 626983440 /nfs/dbraw/zinc/98/34/40/626983440.db2.gz UAURZEYMMDUPNP-UHFFFAOYSA-N 0 1 305.341 3.389 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)O[C@H](C#N)C1CCCCC1 ZINC000885258666 626994965 /nfs/dbraw/zinc/99/49/65/626994965.db2.gz ISXZCPHYCFCIBI-LYRGGWFBSA-N 0 1 301.390 3.091 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)OCCC1(C#N)CCCCC1 ZINC000885258995 626995057 /nfs/dbraw/zinc/99/50/57/626995057.db2.gz KITNQOABGYLHSM-XJKSGUPXSA-N 0 1 315.417 3.483 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)O[C@@H](C#N)C1CCCCC1 ZINC000885258668 626995197 /nfs/dbraw/zinc/99/51/97/626995197.db2.gz ISXZCPHYCFCIBI-XFBWCDHKSA-N 0 1 301.390 3.091 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810639645 627030044 /nfs/dbraw/zinc/03/00/44/627030044.db2.gz XBIRBTLWDDCTBK-SFHVURJKSA-N 0 1 318.392 3.122 20 30 DGEDMN Cc1nc([C@H]2CCCN2C[C@@H](O)CC2(C#N)CCC2)cs1 ZINC000886143272 627112109 /nfs/dbraw/zinc/11/21/09/627112109.db2.gz YDSKDSLMQNHAEN-DZGCQCFKSA-N 0 1 305.447 3.033 20 30 DGEDMN CSc1ccc(CNCc2ccnn2C(C)C)cc1C#N ZINC000886277296 627129671 /nfs/dbraw/zinc/12/96/71/627129671.db2.gz MHUVCGLBAXRCRT-UHFFFAOYSA-N 0 1 300.431 3.347 20 30 DGEDMN C#CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000886353700 627141607 /nfs/dbraw/zinc/14/16/07/627141607.db2.gz NPXZVIZHGLSPSJ-KBPBESRZSA-N 0 1 302.443 3.081 20 30 DGEDMN Cc1nc(NS(=O)(=O)c2ccc(CCC#N)cc2)sc1C ZINC000886393887 627145838 /nfs/dbraw/zinc/14/58/38/627145838.db2.gz HCGFNHNLPLKREF-UHFFFAOYSA-N 0 1 321.427 3.017 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc(-c3ncco3)cc2F)CC1 ZINC000886778493 627203492 /nfs/dbraw/zinc/20/34/92/627203492.db2.gz CRJQUOPUDXTCTN-UHFFFAOYSA-N 0 1 316.376 3.384 20 30 DGEDMN C=CCC1(O)CCN(Cc2cnc(-c3ccccc3)nc2)CC1 ZINC000886774277 627203664 /nfs/dbraw/zinc/20/36/64/627203664.db2.gz KUTHVTQRAAZTKL-UHFFFAOYSA-N 0 1 309.413 3.047 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@H]1CC1(O)CCCCC1 ZINC000887035549 627219102 /nfs/dbraw/zinc/21/91/02/627219102.db2.gz RDAHQFDARITGDZ-SFHVURJKSA-N 0 1 313.445 3.393 20 30 DGEDMN C#CCC1(NCc2c(F)c(F)c(F)c(F)c2F)CCOCC1 ZINC000887122089 627226712 /nfs/dbraw/zinc/22/67/12/627226712.db2.gz KMPFUCRNIGUTLZ-UHFFFAOYSA-N 0 1 319.273 3.044 20 30 DGEDMN C#CCSCCNCc1cc(Cl)cc(Cl)c1[N+](=O)[O-] ZINC000811481054 627279483 /nfs/dbraw/zinc/27/94/83/627279483.db2.gz NDUFSGWBKLYWCN-UHFFFAOYSA-N 0 1 319.213 3.358 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000887925086 627342797 /nfs/dbraw/zinc/34/27/97/627342797.db2.gz HRYNQPQBWSBHFI-JTQLQIEISA-N 0 1 318.327 3.378 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C(=O)c1c(Cl)[nH]nc1C1CC1 ZINC000887964964 627348724 /nfs/dbraw/zinc/34/87/24/627348724.db2.gz SHYVMJKBPHFWGW-UHFFFAOYSA-N 0 1 320.805 3.146 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cc(F)ccc2OC)c(O)c1 ZINC000812242995 627364435 /nfs/dbraw/zinc/36/44/35/627364435.db2.gz AIMJJHVZBSIXES-XVNBXDOJSA-N 0 1 302.301 3.445 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1c[nH]nc1-c1cccs1 ZINC000812372769 627376251 /nfs/dbraw/zinc/37/62/51/627376251.db2.gz PTFIMRGKJHSPHJ-UHFFFAOYSA-N 0 1 313.342 3.492 20 30 DGEDMN CCOc1c(CN=Nc2ccccn2)c(C)nn1CC(C)C ZINC000812396193 627377574 /nfs/dbraw/zinc/37/75/74/627377574.db2.gz MMKBQSQPPNLJNG-UHFFFAOYSA-N 0 1 301.394 3.087 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2c3c(nn2C)CCCC3)cc1 ZINC000812737907 627414835 /nfs/dbraw/zinc/41/48/35/627414835.db2.gz ULXOPUOZKULIEL-HNNXBMFYSA-N 0 1 323.440 3.162 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000889695208 627458691 /nfs/dbraw/zinc/45/86/91/627458691.db2.gz FNACJJAMPCZRIK-LSDHHAIUSA-N 0 1 321.465 3.057 20 30 DGEDMN CC(=NOCC1CC1)c1cc(Br)c(F)cc1O ZINC000814243961 627554002 /nfs/dbraw/zinc/55/40/02/627554002.db2.gz WPUIYGCZRIVOLG-UHFFFAOYSA-N 0 1 302.143 3.444 20 30 DGEDMN Cc1ccc(N=NCc2ccc(Cl)cc2-n2cncn2)nc1 ZINC000814831562 627596313 /nfs/dbraw/zinc/59/63/13/627596313.db2.gz HPSCCLZDGJBSJA-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN CCC(=[NH+][N-]c1cccc(F)c1C(=O)OC)c1cccc(N)c1 ZINC000814923569 627608166 /nfs/dbraw/zinc/60/81/66/627608166.db2.gz UNWZLZMVUHUCBK-UHFFFAOYSA-N 0 1 315.348 3.421 20 30 DGEDMN CC(C)c1c(Cl)ncnc1NN=Cc1cnn(C)c1Cl ZINC000814922612 627608240 /nfs/dbraw/zinc/60/82/40/627608240.db2.gz PSVHJEWPFDLYOV-UHFFFAOYSA-N 0 1 313.192 3.086 20 30 DGEDMN Fc1ccc(N=NCc2ccc3c(c2)OCCO3)c(F)c1F ZINC000814945293 627612061 /nfs/dbraw/zinc/61/20/61/627612061.db2.gz UTMBSMWHFPHVMK-UHFFFAOYSA-N 0 1 308.259 3.321 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(OC)cc2OC)c(O)c1 ZINC000033916856 627614032 /nfs/dbraw/zinc/61/40/32/627614032.db2.gz ZTIPWOFLPVWZDX-UITAMQMPSA-N 0 1 314.337 3.314 20 30 DGEDMN CC(C)Oc1ccc(C(C)N=Nc2cnnn2C)cc1Cl ZINC000814981792 627615446 /nfs/dbraw/zinc/61/54/46/627615446.db2.gz IEXSLKYHRWTNSH-UHFFFAOYSA-N 0 1 307.785 3.092 20 30 DGEDMN Cc1ccsc1C(Cc1ccccc1)N=Nc1cnnn1C ZINC000814983548 627615893 /nfs/dbraw/zinc/61/58/93/627615893.db2.gz MEFOHAQCFJQGGW-UHFFFAOYSA-N 0 1 311.414 3.244 20 30 DGEDMN CC(C)[C@H]1CN([C@@H]2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000815098830 627626269 /nfs/dbraw/zinc/62/62/69/627626269.db2.gz NONHMWHJXWFZJI-BZUAXINKSA-N 0 1 321.465 3.256 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(C#N)o1)c1nc2ccc(Cl)cc2[nH]1 ZINC000815570884 627685450 /nfs/dbraw/zinc/68/54/50/627685450.db2.gz OFOSTAWYRSRSAS-MRVPVSSYSA-N 0 1 314.732 3.172 20 30 DGEDMN Cc1ccc2nc(C(=O)Nc3cc(C#N)ccc3O)ccc2c1 ZINC000815878040 627709019 /nfs/dbraw/zinc/70/90/19/627709019.db2.gz QHYURWREQBBXHU-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)CC(C)(C)C2CC2)c1 ZINC000815907033 627711234 /nfs/dbraw/zinc/71/12/34/627711234.db2.gz PXZGDUZRHSWCEV-OAHLLOKOSA-N 0 1 314.385 3.169 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)[C@@H]2CC3CCC2CC3)c1 ZINC000815907512 627711615 /nfs/dbraw/zinc/71/16/15/627711615.db2.gz CDPKUDQPVRXPSN-MMADZMRASA-N 0 1 310.397 3.469 20 30 DGEDMN N#CC(C(=O)[C@H]1CC2CCC1CC2)c1nnc2n1CCCCC2 ZINC000815914497 627712208 /nfs/dbraw/zinc/71/22/08/627712208.db2.gz DQTPJHUSVWCGFT-PFSRBDOWSA-N 0 1 312.417 3.007 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F)c1ccccc1 ZINC000816039312 627729455 /nfs/dbraw/zinc/72/94/55/627729455.db2.gz TUMGGDUMTULTDW-UBHSHLNASA-N 0 1 322.355 3.254 20 30 DGEDMN C[C@@H]1[C@H](OC(=O)c2ccc(C#N)o2)CCCN1Cc1ccccc1 ZINC000816469776 627793139 /nfs/dbraw/zinc/79/31/39/627793139.db2.gz CWTVHFMEXVOWKH-RHSMWYFYSA-N 0 1 324.380 3.361 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1CN[C@@H](c1ccccn1)c1ccccc1OC ZINC000926611079 627921462 /nfs/dbraw/zinc/92/14/62/627921462.db2.gz IPFDBFJIEPARGX-ZAAXVRCTSA-N 0 1 324.424 3.360 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)[C@H]1CC12CC2 ZINC000817714614 627937634 /nfs/dbraw/zinc/93/76/34/627937634.db2.gz VHMSRSANDASARC-HUUCEWRRSA-N 0 1 304.349 3.287 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1)c1ccccc1 ZINC000817731507 627941115 /nfs/dbraw/zinc/94/11/15/627941115.db2.gz ZSTAGAJAXSQZPL-RZFLPCETSA-N 0 1 324.424 3.399 20 30 DGEDMN Cn1nc(C(F)(F)F)cc1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000926926996 627983622 /nfs/dbraw/zinc/98/36/22/627983622.db2.gz DALONKFBCPYGLC-CQSZACIVSA-N 0 1 320.318 3.088 20 30 DGEDMN CC(C)n1cc2c(n1)[C@@H](NC[C@@H](O)c1ccc(C#N)cc1)CCC2 ZINC000819218576 628114664 /nfs/dbraw/zinc/11/46/64/628114664.db2.gz QBMWEGOJUTYZSI-ZWKOTPCHSA-N 0 1 324.428 3.036 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2[C@@H](C)c2ccccc2)CC1 ZINC000928388102 628146521 /nfs/dbraw/zinc/14/65/21/628146521.db2.gz WHSIYIPFGHWNNN-FXAWDEMLSA-N 0 1 324.468 3.126 20 30 DGEDMN CN(CCc1ccccc1)CC(=O)Nc1ccc(CCC#N)cc1 ZINC000928398800 628148166 /nfs/dbraw/zinc/14/81/66/628148166.db2.gz BYJOLCSPSFNXHO-UHFFFAOYSA-N 0 1 321.424 3.256 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1nc2c(s1)CN(C(C)(C)C)CC2 ZINC000819679045 628178749 /nfs/dbraw/zinc/17/87/49/628178749.db2.gz VEWLEOSWTQCOIU-UHFFFAOYSA-N 0 1 319.474 3.288 20 30 DGEDMN C[C@@H](NN=C1CCN(CCC(F)(F)F)CC1)c1ccncc1 ZINC000819816753 628193372 /nfs/dbraw/zinc/19/33/72/628193372.db2.gz OMMIERFUEZRWGM-GFCCVEGCSA-N 0 1 314.355 3.136 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC000929131196 628214284 /nfs/dbraw/zinc/21/42/84/628214284.db2.gz NXCVVDXTKFQXBQ-DYEKYZERSA-N 0 1 313.850 3.193 20 30 DGEDMN N#CC1(CCCCN2CCN(c3nccs3)CC2)CCC1 ZINC000929200568 628224399 /nfs/dbraw/zinc/22/43/99/628224399.db2.gz GUZMWFRPPUDHOA-UHFFFAOYSA-N 0 1 304.463 3.129 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(CN2CCCCC2)o1)c1ccccc1 ZINC000823476244 628566807 /nfs/dbraw/zinc/56/68/07/628566807.db2.gz XBECNBBJQGAGFH-GOSISDBHSA-N 0 1 322.408 3.370 20 30 DGEDMN C=CCN(Cc1ccsc1)Cc1cccc(-c2nn[nH]n2)c1 ZINC000823919401 628610224 /nfs/dbraw/zinc/61/02/24/628610224.db2.gz DZEVCBLVWJNSCI-UHFFFAOYSA-N 0 1 311.414 3.116 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@H](OC)[C@@H](C)C1 ZINC000824815539 628696986 /nfs/dbraw/zinc/69/69/86/628696986.db2.gz SLCGBARAZOKDAH-BBRMVZONSA-N 0 1 307.821 3.209 20 30 DGEDMN C[C@H](C#N)C[N@H+](C)[C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967717 628816321 /nfs/dbraw/zinc/81/63/21/628816321.db2.gz ZERHBTROYIZJKK-PRHODGIISA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@H](C#N)C[N@@H+](C)[C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967717 628816322 /nfs/dbraw/zinc/81/63/22/628816322.db2.gz ZERHBTROYIZJKK-PRHODGIISA-N 0 1 301.173 3.211 20 30 DGEDMN CC(C)[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000826735268 628880461 /nfs/dbraw/zinc/88/04/61/628880461.db2.gz CQUXBMUKIMWQLI-NVXWUHKLSA-N 0 1 300.402 3.442 20 30 DGEDMN C#C[C@H](NCc1cc(C(F)(F)F)ccc1F)C1CCOCC1 ZINC000827569477 628972134 /nfs/dbraw/zinc/97/21/34/628972134.db2.gz BRWATWOLAZJEIV-HNNXBMFYSA-N 0 1 315.310 3.363 20 30 DGEDMN C[C@@H]([NH2+][C@@H](C(=O)[O-])c1cccc(C#N)c1)c1c(F)cccc1F ZINC000827717694 628985005 /nfs/dbraw/zinc/98/50/05/628985005.db2.gz KTUXTNPRPQXVER-QLJPJBMISA-N 0 1 316.307 3.313 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(N(C)c2ccccc2)nc1 ZINC000827827630 628994931 /nfs/dbraw/zinc/99/49/31/628994931.db2.gz VZOBNBWMVZJERO-UHFFFAOYSA-N 0 1 323.440 3.321 20 30 DGEDMN N#C[C@@H](NC(=O)c1ccc(CN2CCCCC2)o1)C1CCCC1 ZINC000829371627 629153730 /nfs/dbraw/zinc/15/37/30/629153730.db2.gz WTUSPGAKDHTPJY-MRXNPFEDSA-N 0 1 315.417 3.078 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)Nc2cccc(SC)c2)C1 ZINC000829768472 629190201 /nfs/dbraw/zinc/19/02/01/629190201.db2.gz DNKBYWUDVXNHMF-CQSZACIVSA-N 0 1 302.443 3.082 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)c2nc(Cc3ccccc3)no2)C1 ZINC000829783756 629192467 /nfs/dbraw/zinc/19/24/67/629192467.db2.gz BRWJMDGMBWNMGV-RDJZCZTQSA-N 0 1 309.413 3.457 20 30 DGEDMN CCS[C@H]1CCCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000830163972 629232806 /nfs/dbraw/zinc/23/28/06/629232806.db2.gz LFXAEGQOVSZOTE-HOTGVXAUSA-N 0 1 318.442 3.292 20 30 DGEDMN CCS[C@@H]1CCCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000830163973 629233068 /nfs/dbraw/zinc/23/30/68/629233068.db2.gz LFXAEGQOVSZOTE-HZPDHXFCSA-N 0 1 318.442 3.292 20 30 DGEDMN C#CC1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)CC1 ZINC000830321215 629252511 /nfs/dbraw/zinc/25/25/11/629252511.db2.gz BIDCCSQGFYYFIT-UHFFFAOYSA-N 0 1 302.324 3.465 20 30 DGEDMN C[N@@H+](CCCOc1cccc(C#N)c1)[C@H](C(=O)[O-])c1ccccc1 ZINC000830777194 629304726 /nfs/dbraw/zinc/30/47/26/629304726.db2.gz URIFYRZNYKTIPU-SFHVURJKSA-N 0 1 324.380 3.085 20 30 DGEDMN CCC(F)(F)C(=O)N[C@@H](c1cccc(C#N)c1)C(F)(F)F ZINC000831095699 629337800 /nfs/dbraw/zinc/33/78/00/629337800.db2.gz KOHVHGALDWYPPH-JTQLQIEISA-N 0 1 306.234 3.323 20 30 DGEDMN COC(=O)C1(C#N)CCN(CCc2ccc3ccccc3c2)CC1 ZINC000831691570 629405163 /nfs/dbraw/zinc/40/51/63/629405163.db2.gz RFZDTDSWCRKAJO-UHFFFAOYSA-N 0 1 322.408 3.161 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(C(=O)CCCCC#N)C2)n[nH]1 ZINC000831880302 629421609 /nfs/dbraw/zinc/42/16/09/629421609.db2.gz TXRTYUQNNBGHTM-AWEZNQCLSA-N 0 1 302.422 3.323 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(C(=O)C#Cc3ccccc3)C2)n[nH]1 ZINC000831905071 629426439 /nfs/dbraw/zinc/42/64/39/629426439.db2.gz QBAXHAUZWXWTDI-QGZVFWFLSA-N 0 1 321.424 3.291 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C/c2ccc(C(=O)[O-])o2)cc1 ZINC000833009029 629547986 /nfs/dbraw/zinc/54/79/86/629547986.db2.gz JBTBAULZTXOOCK-SOFGYWHQSA-N 0 1 322.320 3.368 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](Cc3ccccc3)C2)c1 ZINC000833074481 629555426 /nfs/dbraw/zinc/55/54/26/629555426.db2.gz YSXHIBXIDOPGGC-MJGOQNOKSA-N 0 1 320.392 3.249 20 30 DGEDMN C#CC[N@H+](Cc1ccccc1CC(=O)[O-])[C@@H]1CCc2ccccc21 ZINC000833364264 629592431 /nfs/dbraw/zinc/59/24/31/629592431.db2.gz IOKRGFOSMOIAJO-HXUWFJFHSA-N 0 1 319.404 3.436 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1ccc(F)cc1C(=O)[O-] ZINC000833374216 629594596 /nfs/dbraw/zinc/59/45/96/629594596.db2.gz CPFZZWCSXPEEBS-UHFFFAOYSA-N 0 1 303.333 3.348 20 30 DGEDMN CC[N@@H+](C[C@@H]1CC(C(=O)[O-])=C(C)O1)[C@H](C)c1ccc(C#N)cc1 ZINC000833654114 629636208 /nfs/dbraw/zinc/63/62/08/629636208.db2.gz BNUQNCQNEFKWKP-WBMJQRKESA-N 0 1 314.385 3.089 20 30 DGEDMN CCS[C@@H]1CC[C@@H]([N@@H+](C)Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC000833667866 629638502 /nfs/dbraw/zinc/63/85/02/629638502.db2.gz NAVBRFHGRJEKMQ-ZIAGYGMSSA-N 0 1 318.442 3.362 20 30 DGEDMN CC(C)n1nccc1C=NNc1cccc(Br)n1 ZINC000835023673 629826856 /nfs/dbraw/zinc/82/68/56/629826856.db2.gz NMVPNUHSGZLUDN-UHFFFAOYSA-N 0 1 308.183 3.068 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@H]2C[C@H]21)C(=O)Nc1ccc(Cl)cc1 ZINC000835060430 629840595 /nfs/dbraw/zinc/84/05/95/629840595.db2.gz LONGVQBLUVVUHS-UOVKNHIHSA-N 0 1 316.788 3.424 20 30 DGEDMN CCC[C@@H]1CCC[C@@H]1C(=O)[C@@H](C#N)C(=O)NC1CCCCC1 ZINC000835064709 629842282 /nfs/dbraw/zinc/84/22/82/629842282.db2.gz YHFSXQKINVCQES-VNQPRFMTSA-N 0 1 304.434 3.361 20 30 DGEDMN C#CCO[C@H](C)C(=O)C(C#N)c1nc2cc(Cl)ccc2s1 ZINC000835071937 629844046 /nfs/dbraw/zinc/84/40/46/629844046.db2.gz ABRCCMABHXEEDP-MWLCHTKSSA-N 0 1 318.785 3.164 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC3CC(F)(F)C3)[nH]c21 ZINC000835171274 629868856 /nfs/dbraw/zinc/86/88/56/629868856.db2.gz FUOYABPXNNWUOC-LLVKDONJSA-N 0 1 303.312 3.483 20 30 DGEDMN C[C@H](CC(=O)[C@H](C#N)C(=O)NC1CCCC1)C1CCCCC1 ZINC000102122265 629951520 /nfs/dbraw/zinc/95/15/20/629951520.db2.gz RGFSCLWVPLPYOQ-CJNGLKHVSA-N 0 1 304.434 3.361 20 30 DGEDMN CC(C)(C)C#CC(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000837374589 630249999 /nfs/dbraw/zinc/24/99/99/630249999.db2.gz YVSSRGTUPFLMQI-HNNXBMFYSA-N 0 1 311.385 3.402 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000837499407 630267131 /nfs/dbraw/zinc/26/71/31/630267131.db2.gz LCJAERVVVXGIBH-OAHLLOKOSA-N 0 1 322.412 3.185 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000837499407 630267133 /nfs/dbraw/zinc/26/71/33/630267133.db2.gz LCJAERVVVXGIBH-OAHLLOKOSA-N 0 1 322.412 3.185 20 30 DGEDMN Cc1sc2ncnc(NN=Cc3cnc(C4CC4)nc3)c2c1C ZINC000841607187 630448140 /nfs/dbraw/zinc/44/81/40/630448140.db2.gz BNFCUMNLJSKCFI-UHFFFAOYSA-N 0 1 324.413 3.422 20 30 DGEDMN CCc1ncc(CN=Nc2ncnc(Cl)c2C(C)C)cn1 ZINC000841635612 630449892 /nfs/dbraw/zinc/44/98/92/630449892.db2.gz DWJPPFCXVGQCSD-UHFFFAOYSA-N 0 1 304.785 3.052 20 30 DGEDMN CC[C@@H](CC1CCCCC1)C(=O)C(C#N)C(=O)N1CCCC1 ZINC000842285004 630510775 /nfs/dbraw/zinc/51/07/75/630510775.db2.gz MGCCHWYURKWNAV-JKSUJKDBSA-N 0 1 304.434 3.314 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc(C3CC3)c2)nc(C)n1 ZINC000842285589 630511406 /nfs/dbraw/zinc/51/14/06/630511406.db2.gz QZYDRUYSLJNBKE-QGZVFWFLSA-N 0 1 305.381 3.390 20 30 DGEDMN CCO[C@H](CC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000842295013 630512354 /nfs/dbraw/zinc/51/23/54/630512354.db2.gz QXYPYUOLQSUJIG-GXTWGEPZSA-N 0 1 315.398 3.196 20 30 DGEDMN C#C[C@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccccc1 ZINC000842363777 630523974 /nfs/dbraw/zinc/52/39/74/630523974.db2.gz OQZICGUQUGKLER-YJBOKZPZSA-N 0 1 303.377 3.117 20 30 DGEDMN C#CCOc1ccc(CN[C@H](CCO)c2ccccc2F)cc1 ZINC000842762183 630572983 /nfs/dbraw/zinc/57/29/83/630572983.db2.gz BPZOMFFSMQXLIY-LJQANCHMSA-N 0 1 313.372 3.051 20 30 DGEDMN N#C[C@H](C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940784 630596500 /nfs/dbraw/zinc/59/65/00/630596500.db2.gz DVSUNXDEWWDOJB-FNVMNULWSA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(C(=O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940784 630596501 /nfs/dbraw/zinc/59/65/01/630596501.db2.gz DVSUNXDEWWDOJB-FNVMNULWSA-N 0 1 302.399 3.180 20 30 DGEDMN N#CC(=C(O)C=CC1CCOCC1)c1nc(C2CC2)cs1 ZINC000842940784 630596503 /nfs/dbraw/zinc/59/65/03/630596503.db2.gz DVSUNXDEWWDOJB-FNVMNULWSA-N 0 1 302.399 3.180 20 30 DGEDMN C[C@@H](Oc1ccccn1)C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000842943232 630597049 /nfs/dbraw/zinc/59/70/49/630597049.db2.gz RCPALQGVNBJPNH-ZYHUDNBSSA-N 0 1 313.382 3.059 20 30 DGEDMN C[C@H](Oc1ccccn1)C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000842943229 630597137 /nfs/dbraw/zinc/59/71/37/630597137.db2.gz RCPALQGVNBJPNH-CMPLNLGQSA-N 0 1 313.382 3.059 20 30 DGEDMN C#CC[C@@H]1CCCN(Cc2c(C(C)C)nc3sc(C)nn23)C1 ZINC000843423197 630656350 /nfs/dbraw/zinc/65/63/50/630656350.db2.gz AAFDNVWCGOGDGL-CQSZACIVSA-N 0 1 316.474 3.458 20 30 DGEDMN C#CC[C@@H]1CCC[N@H+](Cc2cccc(Br)c2[O-])C1 ZINC000843423772 630656421 /nfs/dbraw/zinc/65/64/21/630656421.db2.gz JPGAUNDLIQCPEM-GFCCVEGCSA-N 0 1 308.219 3.390 20 30 DGEDMN C#C[C@H](NC(=O)[C@H](c1ccccc1)N(C)CC)c1ccccc1 ZINC000843640802 630682747 /nfs/dbraw/zinc/68/27/47/630682747.db2.gz HOPRRYPAVLMTHI-OALUTQOASA-N 0 1 306.409 3.170 20 30 DGEDMN Cc1cc(CN(C)C[C@H](O)c2cccc(C#N)c2)cc(Cl)n1 ZINC000844372465 630722183 /nfs/dbraw/zinc/72/21/83/630722183.db2.gz ZLDBNVYKMXCAJB-INIZCTEOSA-N 0 1 315.804 3.081 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](CC)OC[C@@H]2C)c1O ZINC000844729178 630816252 /nfs/dbraw/zinc/81/62/52/630816252.db2.gz GMRHTMDESOVMRH-BBRMVZONSA-N 0 1 305.418 3.129 20 30 DGEDMN Cc1ocnc1C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000105757550 631057515 /nfs/dbraw/zinc/05/75/15/631057515.db2.gz CKOZVXCZOGVEPM-AWEZNQCLSA-N 0 1 319.320 3.097 20 30 DGEDMN N#CC(C(=O)CCC(F)(F)F)=C(O)C1(c2ccccc2)CC1 ZINC000845840786 631198629 /nfs/dbraw/zinc/19/86/29/631198629.db2.gz FRTNSSCYSREMRZ-GFCCVEGCSA-N 0 1 309.287 3.339 20 30 DGEDMN CS[C@@H](C)CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842851 631198685 /nfs/dbraw/zinc/19/86/85/631198685.db2.gz WLQSALBJFRYSSF-GXTWGEPZSA-N 0 1 301.411 3.138 20 30 DGEDMN C=CCOc1ccccc1CN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000846005787 631205176 /nfs/dbraw/zinc/20/51/76/631205176.db2.gz HHMBLCBTMRHWSO-IBGZPJMESA-N 0 1 322.408 3.288 20 30 DGEDMN COc1ccccc1NC(=O)C[C@@H](C)NCC1(C#N)CCCC1 ZINC000846011034 631205689 /nfs/dbraw/zinc/20/56/89/631205689.db2.gz QSTUEAONJUXQHL-CQSZACIVSA-N 0 1 315.417 3.086 20 30 DGEDMN C=CC[N@H+](CCCOc1cccc(C(C)(C)C)c1)CC(=O)[O-] ZINC000846263710 631217624 /nfs/dbraw/zinc/21/76/24/631217624.db2.gz UTQNMQKPUDDUCH-UHFFFAOYSA-N 0 1 305.418 3.326 20 30 DGEDMN N#CC(C(=O)Cc1coc(-c2cccs2)n1)c1ccccn1 ZINC000106353742 631246942 /nfs/dbraw/zinc/24/69/42/631246942.db2.gz NBOWOLMUUVXXFZ-GFCCVEGCSA-N 0 1 309.350 3.217 20 30 DGEDMN Cc1cc(C#N)ccc1NC1CCN(Cc2ccccn2)CC1 ZINC000846746253 631293223 /nfs/dbraw/zinc/29/32/23/631293223.db2.gz WNOSVGSNGBCFJW-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N[C@H](C)c2ccc(Cl)cc2)C1 ZINC000847024273 631383990 /nfs/dbraw/zinc/38/39/90/631383990.db2.gz QFLCNGGAWZZYON-QLFBSQMISA-N 0 1 318.848 3.251 20 30 DGEDMN N#Cc1ccc(C(=O)OCc2cc(C3CC3)[nH]n2)cc1Cl ZINC000848011023 631595296 /nfs/dbraw/zinc/59/52/96/631595296.db2.gz AUYKQJNUYMQPKO-UHFFFAOYSA-N 0 1 301.733 3.169 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000848278037 631637858 /nfs/dbraw/zinc/63/78/58/631637858.db2.gz AEMQYXJAUSRFFE-SNVBAGLBSA-N 0 1 319.817 3.277 20 30 DGEDMN O=C([O-])c1cc(N=NC2CCC[N@H+]3CCCC[C@H]23)ccc1Cl ZINC000848399019 631653654 /nfs/dbraw/zinc/65/36/54/631653654.db2.gz HZFVTUCFFCEROR-OAHLLOKOSA-N 0 1 321.808 3.454 20 30 DGEDMN Fc1nc(F)c(F)c(N=NC2CCCN3CCCC[C@H]23)c1F ZINC000848402299 631654967 /nfs/dbraw/zinc/65/49/67/631654967.db2.gz AHLOQEFTWLKXBT-SECBINFHSA-N 0 1 316.302 3.054 20 30 DGEDMN CC(C[NH+]1Cc2ccccc2C1)N=Nc1ccc(CC(=O)[O-])cc1 ZINC000848414011 631657509 /nfs/dbraw/zinc/65/75/09/631657509.db2.gz HIKGWDLZPAACKM-UHFFFAOYSA-N 0 1 323.396 3.117 20 30 DGEDMN C=CCCCN(C)Cn1cc(-c2ccccc2[N+](=O)[O-])cn1 ZINC000848478874 631668457 /nfs/dbraw/zinc/66/84/57/631668457.db2.gz NRZCHKNNHXOOLU-UHFFFAOYSA-N 0 1 300.362 3.314 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnc(Cl)n2C)c1 ZINC000848536412 631682896 /nfs/dbraw/zinc/68/28/96/631682896.db2.gz OQRQKVXYVHFQMP-AWEZNQCLSA-N 0 1 318.808 3.044 20 30 DGEDMN COC(=O)/C=C\c1ccc(CN[C@H]2CCc3cc(C#N)ccc32)o1 ZINC000848907378 631781544 /nfs/dbraw/zinc/78/15/44/631781544.db2.gz MUDJAUYQQINYCH-KKMIYCERSA-N 0 1 322.364 3.115 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(NC(=O)OC(C)(C)C)nc2)C1 ZINC000848924658 631790125 /nfs/dbraw/zinc/79/01/25/631790125.db2.gz XRDKAUIOFOYVPE-CQSZACIVSA-N 0 1 315.417 3.274 20 30 DGEDMN C=CCNC(=O)C(C#N)C(=O)CC1CCC(c2ccccc2)CC1 ZINC000108624574 631909250 /nfs/dbraw/zinc/90/92/50/631909250.db2.gz QIIHMQKGPSIVML-VMWRSERWSA-N 0 1 324.424 3.362 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2ccnn2CC2CC2)c1 ZINC000850137775 632063807 /nfs/dbraw/zinc/06/38/07/632063807.db2.gz WGIVXWFHOJCNLR-GOSISDBHSA-N 0 1 324.428 3.264 20 30 DGEDMN C=CCOCCC[NH2+][C@H](C)c1ccc(Br)cc1[O-] ZINC000850533014 632183155 /nfs/dbraw/zinc/18/31/55/632183155.db2.gz ZPRWNPXIHJSOKM-LLVKDONJSA-N 0 1 314.223 3.398 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CC[C@@H]2CC2(Cl)Cl)nc(C)n1 ZINC000850754047 632215804 /nfs/dbraw/zinc/21/58/04/632215804.db2.gz HQJALRMGOFIYOC-GHMZBOCLSA-N 0 1 312.200 3.244 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850759593 632216874 /nfs/dbraw/zinc/21/68/74/632216874.db2.gz QFEQVOJFUOWDOZ-FYLLDIAZSA-N 0 1 320.751 3.173 20 30 DGEDMN CC1(C)CC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000850760529 632217755 /nfs/dbraw/zinc/21/77/55/632217755.db2.gz UFDQVTMGHUTJGP-WDEREUQCSA-N 0 1 304.777 3.424 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000850986012 632278043 /nfs/dbraw/zinc/27/80/43/632278043.db2.gz MACHJLSJILVJJW-UGSOOPFHSA-N 0 1 309.369 3.011 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)=C(O)C1(c2ccccc2)CC1 ZINC000851110043 632313221 /nfs/dbraw/zinc/31/32/21/632313221.db2.gz PLDVTNFOBIBUAX-MXASKKJJSA-N 0 1 307.393 3.432 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)OC[C@@H]1CCN1Cc1ccccc1 ZINC000851469063 632419478 /nfs/dbraw/zinc/41/94/78/632419478.db2.gz RGGKZOATVDOZFE-HKUYNNGSSA-N 0 1 317.429 3.033 20 30 DGEDMN C#CCOCCN1CCc2sccc2[C@H]1c1cccs1 ZINC000851710908 632472015 /nfs/dbraw/zinc/47/20/15/632472015.db2.gz SXDMCIGRYXVPAJ-INIZCTEOSA-N 0 1 303.452 3.407 20 30 DGEDMN C#C[C@](C)(CC)NCC(=O)N1CC[C@H](C)Sc2ccccc21 ZINC000852097624 632553492 /nfs/dbraw/zinc/55/34/92/632553492.db2.gz SQRZDDDBUUWDGU-KBXCAEBGSA-N 0 1 316.470 3.295 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)N(Cc1ccco1)c1ccccc1 ZINC000852098796 632554197 /nfs/dbraw/zinc/55/41/97/632554197.db2.gz WLTMODVHMKFAAA-IBGZPJMESA-N 0 1 310.397 3.204 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001032093969 660194350 /nfs/dbraw/zinc/19/43/50/660194350.db2.gz AIACDYGXLCZUEX-UHFFFAOYSA-N 0 1 311.429 3.022 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(C)c2)CC1(C)C ZINC000995394182 660201892 /nfs/dbraw/zinc/20/18/92/660201892.db2.gz DYTKXYRWTSWULJ-HNNXBMFYSA-N 0 1 306.837 3.188 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H](C)C2CCC2)CC1 ZINC000948542753 660210132 /nfs/dbraw/zinc/21/01/32/660210132.db2.gz UQVVPWZXXBOSDD-ZBFHGGJFSA-N 0 1 312.885 3.488 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H](C)C1 ZINC000944193322 660215145 /nfs/dbraw/zinc/21/51/45/660215145.db2.gz UZTYHHJRGNFOPX-UZLBHIALSA-N 0 1 322.452 3.110 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cscc2C(F)(F)F)C1 ZINC001032230346 660238720 /nfs/dbraw/zinc/23/87/20/660238720.db2.gz HYYPODODXHHZAU-UHFFFAOYSA-N 0 1 318.364 3.005 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(C)cc2F)CC1(C)C ZINC000996349443 660280303 /nfs/dbraw/zinc/28/03/03/660280303.db2.gz XPLSTALABNMMGI-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(C2CC2)C2CC2)CC1(C)C ZINC000996681716 660290230 /nfs/dbraw/zinc/29/02/30/660290230.db2.gz SNKVRAGWHKLRBO-INIZCTEOSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC000996952525 660303495 /nfs/dbraw/zinc/30/34/95/660303495.db2.gz BMSIFDKSFXUEKU-KBXCAEBGSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nccc(C)c2c1 ZINC001032423200 660320299 /nfs/dbraw/zinc/32/02/99/660320299.db2.gz ZVAURUIZRZNXMU-IRXDYDNUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2c1cccc2Cl ZINC001038519289 660321773 /nfs/dbraw/zinc/32/17/73/660321773.db2.gz WPIZRVWZTIRHBV-CJNGLKHVSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)c2ccccc2n1 ZINC001032480883 660329038 /nfs/dbraw/zinc/32/90/38/660329038.db2.gz XZBQLKUEAFZRIH-HOTGVXAUSA-N 0 1 321.424 3.018 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c(Cl)c1F ZINC001032536431 660339501 /nfs/dbraw/zinc/33/95/01/660339501.db2.gz FABZFBZYNJWYKB-STQMWFEESA-N 0 1 322.811 3.262 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)C2CC=CC2)CC1 ZINC000997963397 660360511 /nfs/dbraw/zinc/36/05/11/660360511.db2.gz DTJDRPGEURDNCT-MRXNPFEDSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(CC)C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC000997963722 660360548 /nfs/dbraw/zinc/36/05/48/660360548.db2.gz BWSYDNDSGCLIEZ-NCOADZHNSA-N 0 1 324.896 3.488 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2ccc(Cl)[nH]2)CC1 ZINC000998112216 660370704 /nfs/dbraw/zinc/37/07/04/660370704.db2.gz SJPAROXYSFBPHL-CQSZACIVSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)C1CCCCC1 ZINC001032680990 660411813 /nfs/dbraw/zinc/41/18/13/660411813.db2.gz WMSNVBURYDAECG-SZMVWBNQSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](c1ccccc1)C(C)C ZINC001032652551 660407664 /nfs/dbraw/zinc/40/76/64/660407664.db2.gz XTHSUIQRAFBMKJ-FHWLQOOXSA-N 0 1 312.457 3.287 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCC=CCCC2)C1 ZINC000999476121 660419497 /nfs/dbraw/zinc/41/94/97/660419497.db2.gz PSLDWSYBGZLXLH-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc(C)cc(C)c1 ZINC001032716690 660420618 /nfs/dbraw/zinc/42/06/18/660420618.db2.gz XEGAEGIVYIHLMX-OALUTQOASA-N 0 1 312.457 3.097 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cc(C)cc(C)c1 ZINC001032716690 660420621 /nfs/dbraw/zinc/42/06/21/660420621.db2.gz XEGAEGIVYIHLMX-OALUTQOASA-N 0 1 312.457 3.097 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2CCC3(CC3)CC2)C1 ZINC000999718114 660425474 /nfs/dbraw/zinc/42/54/74/660425474.db2.gz HVIRXFXVXYIZHO-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2cccc(F)c2F)C1 ZINC000999900668 660430806 /nfs/dbraw/zinc/43/08/06/660430806.db2.gz RSAQQXYCCRSPND-CQSZACIVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc[nH]c2C(C)C)C1 ZINC000999977147 660432883 /nfs/dbraw/zinc/43/28/83/660432883.db2.gz SDONCYPGCVHNGO-ZDUSSCGKSA-N 0 1 309.841 3.085 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(C(F)F)CCCC2)C1 ZINC000999997078 660433981 /nfs/dbraw/zinc/43/39/81/660433981.db2.gz DXHJMGMINMUIQZ-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]cc1-c1ccccc1 ZINC001032781187 660443927 /nfs/dbraw/zinc/44/39/27/660443927.db2.gz MHCIVYUSAJIFDF-IRXDYDNUSA-N 0 1 321.424 3.157 20 30 DGEDMN C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)CCC3CCC3)CC[C@@H]2C1 ZINC000946539518 660444550 /nfs/dbraw/zinc/44/45/50/660444550.db2.gz RXOLXEFADUMGSS-CALCHBBNSA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(CC)(CC)c1ccccc1 ZINC001032796507 660449614 /nfs/dbraw/zinc/44/96/14/660449614.db2.gz DGRYKTPRHWADSS-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CC1CCC(C)(C)CC1 ZINC001032822472 660458995 /nfs/dbraw/zinc/45/89/95/660458995.db2.gz NVQOINVOUBOJOR-IRXDYDNUSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001016381191 660489580 /nfs/dbraw/zinc/48/95/80/660489580.db2.gz CRKWIKSSKAUMKW-CQSZACIVSA-N 0 1 306.837 3.454 20 30 DGEDMN C#CCN1CCC(NC(=O)c2sc3ccccc3c2C)CC1 ZINC001003272082 660490551 /nfs/dbraw/zinc/49/05/51/660490551.db2.gz OXYGBAHBVARBHQ-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2coc3cc(C)c(C)cc23)CC1 ZINC001003380474 660492203 /nfs/dbraw/zinc/49/22/03/660492203.db2.gz LUMWCVPLJDDMBN-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001003804041 660509885 /nfs/dbraw/zinc/50/98/85/660509885.db2.gz BRECGWHURJWHMJ-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(Cl)c(CCC)c2)CC1 ZINC001003966358 660519945 /nfs/dbraw/zinc/51/99/45/660519945.db2.gz AOLDDSVJKUTSJZ-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2coc3ccc(CC)cc23)C1 ZINC001033081334 660537141 /nfs/dbraw/zinc/53/71/41/660537141.db2.gz IKUHUJVVDUUROG-INIZCTEOSA-N 0 1 324.424 3.165 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C3CCC3)cc2)C1 ZINC001033129483 660553843 /nfs/dbraw/zinc/55/38/43/660553843.db2.gz ALJAMELEMZIHRY-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001033166560 660571187 /nfs/dbraw/zinc/57/11/87/660571187.db2.gz TVWUMXQTHYWBAI-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001033185306 660582585 /nfs/dbraw/zinc/58/25/85/660582585.db2.gz NNVHEOSNCNYCMF-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001033230620 660594001 /nfs/dbraw/zinc/59/40/01/660594001.db2.gz QRHSUQWTDMANKG-INIZCTEOSA-N 0 1 316.445 3.151 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001033422806 660621042 /nfs/dbraw/zinc/62/10/42/660621042.db2.gz UFMOVYRFZBKBBM-GFCCVEGCSA-N 0 1 312.335 3.096 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C)c3ccccc23)C1 ZINC001033456870 660624493 /nfs/dbraw/zinc/62/44/93/660624493.db2.gz BUGOAJPZCIHPAV-MRXNPFEDSA-N 0 1 308.425 3.481 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001033567739 660631644 /nfs/dbraw/zinc/63/16/44/660631644.db2.gz RRACEHCSGRPYOR-DOTOQJQBSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001033567744 660631755 /nfs/dbraw/zinc/63/17/55/660631755.db2.gz RRACEHCSGRPYOR-RDJZCZTQSA-N 0 1 316.445 3.196 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001033564973 660633050 /nfs/dbraw/zinc/63/30/50/660633050.db2.gz ILGXNPIZESCNCE-QWHCGFSZSA-N 0 1 324.877 3.061 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001033578160 660633514 /nfs/dbraw/zinc/63/35/14/660633514.db2.gz UPOCAJRKMIVBSS-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc(C3CCC3)c2)C1 ZINC001033593188 660635691 /nfs/dbraw/zinc/63/56/91/660635691.db2.gz GXYMDUFKGDZTSG-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001033600501 660637140 /nfs/dbraw/zinc/63/71/40/660637140.db2.gz DZIDNQJNZNNFDS-MOPGFXCFSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2c(C)csc2Cl)C1 ZINC001033613169 660636587 /nfs/dbraw/zinc/63/65/87/660636587.db2.gz KLZYHNWVOLTNQW-GFCCVEGCSA-N 0 1 312.866 3.432 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc(C3CCCC3)no2)C1 ZINC001033670542 660647877 /nfs/dbraw/zinc/64/78/77/660647877.db2.gz ZTSOGWZJRKZMHD-HNNXBMFYSA-N 0 1 317.433 3.055 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001033609460 660638992 /nfs/dbraw/zinc/63/89/92/660638992.db2.gz MTAXOBCGYLXIDW-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(Cl)c2Cl)C1 ZINC001033611665 660639632 /nfs/dbraw/zinc/63/96/32/660639632.db2.gz ZUUKQIBIWZSYBO-LLVKDONJSA-N 0 1 313.228 3.326 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2sccc2C(F)F)C1 ZINC001033624600 660640995 /nfs/dbraw/zinc/64/09/95/660640995.db2.gz OEUCJFIYFWFPRJ-NSHDSACASA-N 0 1 314.401 3.408 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3cccc(C)c3o2)C1 ZINC001033687141 660650094 /nfs/dbraw/zinc/65/00/94/660650094.db2.gz YRWNDYGBMMEARA-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001033690893 660651242 /nfs/dbraw/zinc/65/12/42/660651242.db2.gz IFFYBRWRUDMDFV-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700256 660651935 /nfs/dbraw/zinc/65/19/35/660651935.db2.gz VNCXZGAYVGDAFN-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700256 660651937 /nfs/dbraw/zinc/65/19/37/660651937.db2.gz VNCXZGAYVGDAFN-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(CC)c(CC)c2)C1 ZINC001033727120 660656620 /nfs/dbraw/zinc/65/66/20/660656620.db2.gz HATLFLIAGYUFKD-SFHVURJKSA-N 0 1 300.446 3.144 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc(F)ccc2F)C1 ZINC001033859364 660671877 /nfs/dbraw/zinc/67/18/77/660671877.db2.gz WHCUVXSQCXVRDZ-CQSZACIVSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2coc3cc(F)ccc23)C1 ZINC001033875111 660672912 /nfs/dbraw/zinc/67/29/12/660672912.db2.gz CELVGKCBIVEXLW-CQSZACIVSA-N 0 1 316.376 3.294 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCC=CCCC2)C1 ZINC001033874451 660674169 /nfs/dbraw/zinc/67/41/69/660674169.db2.gz YVYDDLHJLUFCLP-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC=CCCC2)C1 ZINC001033874451 660674170 /nfs/dbraw/zinc/67/41/70/660674170.db2.gz YVYDDLHJLUFCLP-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc(CC)s2)C1 ZINC001033889030 660674529 /nfs/dbraw/zinc/67/45/29/660674529.db2.gz UJINKZOATMVOLL-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001033880029 660674813 /nfs/dbraw/zinc/67/48/13/660674813.db2.gz YCCFHXCFTIUXGS-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001033880029 660674816 /nfs/dbraw/zinc/67/48/16/660674816.db2.gz YCCFHXCFTIUXGS-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001033938161 660682348 /nfs/dbraw/zinc/68/23/48/660682348.db2.gz NCHVFGGCIXNELO-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cccc(F)c2F)C1 ZINC001033938734 660684311 /nfs/dbraw/zinc/68/43/11/660684311.db2.gz CFAQTDLMRLUVHY-CYBMUJFWSA-N 0 1 308.372 3.077 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001033900025 660677149 /nfs/dbraw/zinc/67/71/49/660677149.db2.gz LQLCKYQHPGVJAK-WSTZPKSXSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cccc(F)c2Cl)C1 ZINC001033975178 660687820 /nfs/dbraw/zinc/68/78/20/660687820.db2.gz KCWGPYKMGHIRSQ-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(C(F)F)cc2)C1 ZINC001033992812 660691290 /nfs/dbraw/zinc/69/12/90/660691290.db2.gz YQJFNJXCYGZTAU-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ccoc2CCC)C1 ZINC001034013852 660693734 /nfs/dbraw/zinc/69/37/34/660693734.db2.gz FLRQZWYNCZUJNO-OAHLLOKOSA-N 0 1 304.434 3.345 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2CC(C(C)(C)C)C2)C1 ZINC001034052492 660699539 /nfs/dbraw/zinc/69/95/39/660699539.db2.gz JHSYVQQYKJHZAW-OFLPRAFFSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001034047919 660701204 /nfs/dbraw/zinc/70/12/04/660701204.db2.gz RBYNTXQHHZPCCS-AZUAARDMSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3cccc(F)c3o2)C1 ZINC001034047451 660701526 /nfs/dbraw/zinc/70/15/26/660701526.db2.gz JQTVRZQKJKKMPR-AWEZNQCLSA-N 0 1 316.376 3.294 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2CCC(C)(C)CC2)C1 ZINC001034050183 660701804 /nfs/dbraw/zinc/70/18/04/660701804.db2.gz GKWGAYLSNOFKPV-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2ccc(C(C)C)s2)C1 ZINC001034050303 660702322 /nfs/dbraw/zinc/70/23/22/660702322.db2.gz JCSQWSWFRWZSMA-OAHLLOKOSA-N 0 1 318.486 3.431 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001034030354 660696600 /nfs/dbraw/zinc/69/66/00/660696600.db2.gz ACVIEKAUXASJNL-NVXWUHKLSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3c(s2)CCCC3)C1 ZINC001034034965 660697728 /nfs/dbraw/zinc/69/77/28/660697728.db2.gz IBGXZUDCBMVJRW-OAHLLOKOSA-N 0 1 318.486 3.349 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001034269507 660730985 /nfs/dbraw/zinc/73/09/85/660730985.db2.gz FWOCNNIDBXVYSZ-AZUAARDMSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2sc(C3CC3)nc2C)C1 ZINC001034203956 660722778 /nfs/dbraw/zinc/72/27/78/660722778.db2.gz KREGWBYFBVVFFW-AWEZNQCLSA-N 0 1 319.474 3.099 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001034208748 660723582 /nfs/dbraw/zinc/72/35/82/660723582.db2.gz FKTRXZXQOIEWSK-ZCNNSNEGSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2ccoc2C(F)(F)F)C1 ZINC001034226174 660725013 /nfs/dbraw/zinc/72/50/13/660725013.db2.gz ASGOWYBSUHZWTJ-LLVKDONJSA-N 0 1 316.323 3.069 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(C)=C(C)C2)C1 ZINC001034224782 660727160 /nfs/dbraw/zinc/72/71/60/660727160.db2.gz BUBLRAQIXRSHGO-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001034258934 660729123 /nfs/dbraw/zinc/72/91/23/660729123.db2.gz JUDDKQKCQCSBDX-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC001034253321 660729743 /nfs/dbraw/zinc/72/97/43/660729743.db2.gz HUBOKYYJMNLKIM-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN C=C(C)CN1CCCC[C@@H](NC(=O)c2cc(COC)cs2)C1 ZINC001034292049 660733239 /nfs/dbraw/zinc/73/32/39/660733239.db2.gz PKQYJWHKNFSSGE-OAHLLOKOSA-N 0 1 322.474 3.055 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001034301048 660734090 /nfs/dbraw/zinc/73/40/90/660734090.db2.gz FWLHRPDESYENMQ-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001034305665 660734774 /nfs/dbraw/zinc/73/47/74/660734774.db2.gz CUGWOFHWIPBUCN-UXHICEINSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCCN1CCCC[C@@H](NC(=O)c2cc3occc3s2)C1 ZINC001034394498 660747224 /nfs/dbraw/zinc/74/72/24/660747224.db2.gz OIYGSPJSZKXWLN-CYBMUJFWSA-N 0 1 316.426 3.102 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001034414500 660750119 /nfs/dbraw/zinc/75/01/19/660750119.db2.gz BQSFCISNPFCYRO-INDMIFKZSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC001034421468 660751780 /nfs/dbraw/zinc/75/17/80/660751780.db2.gz VFFCTDNPAPEFBF-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccn(C(CC)CC)n2)C1 ZINC001034436754 660753894 /nfs/dbraw/zinc/75/38/94/660753894.db2.gz BQMLJNGBYLCTNS-HNNXBMFYSA-N 0 1 318.465 3.015 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001034450870 660755995 /nfs/dbraw/zinc/75/59/95/660755995.db2.gz MZSZHVFCRDBADH-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001034457707 660756338 /nfs/dbraw/zinc/75/63/38/660756338.db2.gz HHPVAZXXLIWTFL-KZNAEPCWSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001034474172 660756538 /nfs/dbraw/zinc/75/65/38/660756538.db2.gz PEEDWZXXWLSSDN-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001034474172 660756540 /nfs/dbraw/zinc/75/65/40/660756540.db2.gz PEEDWZXXWLSSDN-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001034475931 660757102 /nfs/dbraw/zinc/75/71/02/660757102.db2.gz YKFBLGPDEZBLAK-MRXNPFEDSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001034479096 660757651 /nfs/dbraw/zinc/75/76/51/660757651.db2.gz RKBASKRBDNQHNR-STQMWFEESA-N 0 1 324.877 3.109 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc3ccsc32)C1 ZINC001034470907 660758475 /nfs/dbraw/zinc/75/84/75/660758475.db2.gz CDWSSOYMYYEILB-HNNXBMFYSA-N 0 1 312.438 3.119 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC001034498905 660760708 /nfs/dbraw/zinc/76/07/08/660760708.db2.gz QHBPQSSEYBPXIW-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001034516515 660765550 /nfs/dbraw/zinc/76/55/50/660765550.db2.gz FFIAYNPRCKHUPC-CQSZACIVSA-N 0 1 306.475 3.389 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001034517533 660766276 /nfs/dbraw/zinc/76/62/76/660766276.db2.gz ATUHZISOZDKCFK-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001034525165 660766809 /nfs/dbraw/zinc/76/68/09/660766809.db2.gz YBHMPGGANNUMDH-INIZCTEOSA-N 0 1 318.486 3.227 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC001034543740 660771082 /nfs/dbraw/zinc/77/10/82/660771082.db2.gz JNNXYZKMZZXKIB-MRXNPFEDSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001034545413 660772095 /nfs/dbraw/zinc/77/20/95/660772095.db2.gz VUFAJRPDMLAQIQ-QUCCMNQESA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2csc(CC(C)C)n2)C1 ZINC001034546297 660772371 /nfs/dbraw/zinc/77/23/71/660772371.db2.gz DGRCMNGDRUQOMO-CQSZACIVSA-N 0 1 321.490 3.112 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](c2ccccc2)C2CC2)CC1 ZINC001005703414 660791289 /nfs/dbraw/zinc/79/12/89/660791289.db2.gz GROLPWDJHZLKNM-FQEVSTJZSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1=CCCC1)CC2 ZINC001035175866 660822231 /nfs/dbraw/zinc/82/22/31/660822231.db2.gz SXBMFKGIJCCNDX-UHFFFAOYSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@]13C[C@H]1CCC3)CC2 ZINC001035207975 660829410 /nfs/dbraw/zinc/82/94/10/660829410.db2.gz LCWNMLLZYGUVFB-CRAIPNDOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC2(CCN(CC(=C)Cl)C2)CC1 ZINC001035210878 660830173 /nfs/dbraw/zinc/83/01/73/660830173.db2.gz FDCRMHRFPDHIBE-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(Cl)c1C)CC2 ZINC001035709770 660846193 /nfs/dbraw/zinc/84/61/93/660846193.db2.gz KUQNSVNJAMKGTI-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC[C@@H]1CC)CC2 ZINC001035733431 660847974 /nfs/dbraw/zinc/84/79/74/660847974.db2.gz CEJGOPGXXMFNNK-PKOBYXMFSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCc3ccccc31)CC2 ZINC001035733419 660848114 /nfs/dbraw/zinc/84/81/14/660848114.db2.gz BWQMTSKSIYOHFD-LJQANCHMSA-N 0 1 324.468 3.217 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC[C@H]1CCC)CC2 ZINC001035737796 660848321 /nfs/dbraw/zinc/84/83/21/660848321.db2.gz OBQGWWFHHQQWHM-SJORKVTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001035767524 660849709 /nfs/dbraw/zinc/84/97/09/660849709.db2.gz SLFQWOAZJXPATR-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1occc1C(C)C)CC2 ZINC001035773512 660853063 /nfs/dbraw/zinc/85/30/63/660853063.db2.gz AXMXGHDMLVTMII-UHFFFAOYSA-N 0 1 302.418 3.127 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)C1CCCCC1)CC2 ZINC001035817254 660853895 /nfs/dbraw/zinc/85/38/95/660853895.db2.gz ITNAIUKWQIFLIM-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(Cl)cs1)CC2 ZINC001035810123 660855031 /nfs/dbraw/zinc/85/50/31/660855031.db2.gz GSTFDMPZMNRKCO-UHFFFAOYSA-N 0 1 310.850 3.126 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@]1(CC)C(C)C)CC2 ZINC001035827335 660856110 /nfs/dbraw/zinc/85/61/10/660856110.db2.gz MWYCJJPTJZYKDH-FXAWDEMLSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(CC)CCCCC1)CC2 ZINC001035857002 660860491 /nfs/dbraw/zinc/86/04/91/660860491.db2.gz YDXFVQYELPMRSX-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccsc3C)CC[C@H]21 ZINC001036708987 660960245 /nfs/dbraw/zinc/96/02/45/660960245.db2.gz OOCUNJSSVBMPSV-DZGCQCFKSA-N 0 1 324.877 3.345 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cccc(-c3ccoc3)c2)CC1 ZINC001006260582 660971642 /nfs/dbraw/zinc/97/16/42/660971642.db2.gz ULUISBNAQQVJTJ-UHFFFAOYSA-N 0 1 322.408 3.116 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CC[C@]2(C1)CCCOC2 ZINC000792939938 660995497 /nfs/dbraw/zinc/99/54/97/660995497.db2.gz NHQBWJZIRNHTCG-KRWDZBQOSA-N 0 1 320.820 3.245 20 30 DGEDMN CCc1cc(NN=Cc2oc(C)nc2C)nc(-c2ccccn2)n1 ZINC000792997655 660999068 /nfs/dbraw/zinc/99/90/68/660999068.db2.gz BGGPIJYLKFMSAJ-UHFFFAOYSA-N 0 1 322.372 3.152 20 30 DGEDMN Clc1cccc(NN=Cc2ccc(Br)cn2)n1 ZINC000793175648 661010362 /nfs/dbraw/zinc/01/03/62/661010362.db2.gz UQPFIICDRYAFCR-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2cc(C)nn2C)c1 ZINC000793543041 661044247 /nfs/dbraw/zinc/04/42/47/661044247.db2.gz YAMMWFZQFFLKBI-ZBFHGGJFSA-N 0 1 312.417 3.260 20 30 DGEDMN CCN(Cn1cc2c(c(C#N)c1=O)CCCC2)C1CCCCC1 ZINC000793468378 661037466 /nfs/dbraw/zinc/03/74/66/661037466.db2.gz IUBJOBCKZGGBSR-UHFFFAOYSA-N 0 1 313.445 3.211 20 30 DGEDMN CC(=NNc1ccc(F)cn1)c1ccc(Br)cn1 ZINC000794509490 661088019 /nfs/dbraw/zinc/08/80/19/661088019.db2.gz AHVDVBDRCLBNHK-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN CCCOc1c(Cl)cc(CN=Nc2cncnc2)cc1OC ZINC000794976421 661118099 /nfs/dbraw/zinc/11/80/99/661118099.db2.gz KMUXZEJTSXNRFJ-UHFFFAOYSA-N 0 1 320.780 3.373 20 30 DGEDMN COc1ccc(C(C)=NNc2cncnc2)c(Br)c1 ZINC000794979840 661118571 /nfs/dbraw/zinc/11/85/71/661118571.db2.gz VSPIFQZFSQJSIG-UHFFFAOYSA-N 0 1 321.178 3.084 20 30 DGEDMN COc1cc(C=NNc2cccc(F)n2)cc(Cl)c1OC ZINC000795006058 661120817 /nfs/dbraw/zinc/12/08/17/661120817.db2.gz CMXKXGAZDGGCSK-UHFFFAOYSA-N 0 1 309.728 3.337 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2c(F)ccc(O)c2F)c(O)c1 ZINC000794941030 661115208 /nfs/dbraw/zinc/11/52/08/661115208.db2.gz IROBWWVZASCCJK-XQRVVYSFSA-N 0 1 306.264 3.281 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1sccc1COC ZINC000969115913 655524569 /nfs/dbraw/zinc/52/45/69/655524569.db2.gz XJULJFVJRUPXLJ-OAHLLOKOSA-N 0 1 322.474 3.055 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969130504 655526797 /nfs/dbraw/zinc/52/67/97/655526797.db2.gz LMXFDLHGSKEKTI-CYBMUJFWSA-N 0 1 324.371 3.215 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc2cc(C)ccc2o1 ZINC000969155610 655528865 /nfs/dbraw/zinc/52/88/65/655528865.db2.gz BZDMCJJLXJXZOB-KRWDZBQOSA-N 0 1 324.424 3.349 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC000969334306 655539844 /nfs/dbraw/zinc/53/98/44/655539844.db2.gz XYFCWZFSJOROMN-SJORKVTESA-N 0 1 318.848 3.123 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C2=CCCC2)CCN1CC#Cc1ccccc1 ZINC000947190943 655542048 /nfs/dbraw/zinc/54/20/48/655542048.db2.gz KNDPOTJWDNICME-YLJYHZDGSA-N 0 1 322.452 3.118 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC000969584403 655551518 /nfs/dbraw/zinc/55/15/18/655551518.db2.gz IJQWGSPTANAOAJ-CHWSQXEVSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(Cl)c2F)C1 ZINC000969795393 655558221 /nfs/dbraw/zinc/55/82/21/655558221.db2.gz HLIDUMMEAYVYOW-SNVBAGLBSA-N 0 1 317.191 3.036 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(Cl)sc2Cl)C1 ZINC000969843986 655559827 /nfs/dbraw/zinc/55/98/27/655559827.db2.gz DSIWAJYLNVGZDM-MRVPVSSYSA-N 0 1 319.257 3.291 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc3c2CCCCC3)C1 ZINC000969912887 655563295 /nfs/dbraw/zinc/56/32/95/655563295.db2.gz QBXSEOSQPDARIB-HNNXBMFYSA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cccc(C)c3s2)C1 ZINC000969992572 655567308 /nfs/dbraw/zinc/56/73/08/655567308.db2.gz MWANEQJTNBANGT-ZDUSSCGKSA-N 0 1 314.454 3.446 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1)c1ccccn1 ZINC000091811465 655569417 /nfs/dbraw/zinc/56/94/17/655569417.db2.gz CRIUYLLJSJINOE-WMZOPIPTSA-N 0 1 323.371 3.062 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2csc(C(F)(F)F)c2)C1 ZINC000970045225 655569907 /nfs/dbraw/zinc/56/99/07/655569907.db2.gz PQWQAVHVXTZWLE-VIFPVBQESA-N 0 1 318.364 3.003 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC000970221948 655589755 /nfs/dbraw/zinc/58/97/55/655589755.db2.gz CAZHUEHZMNFMQF-CQSZACIVSA-N 0 1 311.429 3.021 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3occc3s2)C1 ZINC000970414580 655598120 /nfs/dbraw/zinc/59/81/20/655598120.db2.gz LRDKFNYJLXJSRZ-NSHDSACASA-N 0 1 304.415 3.121 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC000970500397 655603189 /nfs/dbraw/zinc/60/31/89/655603189.db2.gz DIYNQCAPFFQCBO-LSDHHAIUSA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC000970508167 655603792 /nfs/dbraw/zinc/60/37/92/655603792.db2.gz FIXRLAMVNXCZDS-GFCCVEGCSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)cc2)C1 ZINC000970558568 655606202 /nfs/dbraw/zinc/60/62/02/655606202.db2.gz UYQBCOVXUSUZGI-MRXNPFEDSA-N 0 1 306.837 3.367 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC000970627719 655610159 /nfs/dbraw/zinc/61/01/59/655610159.db2.gz IDNHKOCQOUXZFI-OAHLLOKOSA-N 0 1 312.457 3.058 20 30 DGEDMN CCCC(=O)N1CCC[C@H]2CN(CC#Cc3ccccc3)CC[C@@H]21 ZINC001021499834 655620454 /nfs/dbraw/zinc/62/04/54/655620454.db2.gz PDKPNFLMJAUBND-PMACEKPBSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2c(Cl)cccc2Cl)C1 ZINC000970791324 655621018 /nfs/dbraw/zinc/62/10/18/655621018.db2.gz OYQGHLUJUGJKKY-JTQLQIEISA-N 0 1 313.228 3.230 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC000970791751 655621022 /nfs/dbraw/zinc/62/10/22/655621022.db2.gz VKNINNCRDSOFEX-JTQLQIEISA-N 0 1 313.228 3.230 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(CCC)c(C)s2)C1 ZINC000970852336 655629857 /nfs/dbraw/zinc/62/98/57/655629857.db2.gz XLSGCZGLEQAUHI-GFCCVEGCSA-N 0 1 306.475 3.245 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@@H]2[C@H]2CCN(CCF)C2)CC1 ZINC001039228518 655632205 /nfs/dbraw/zinc/63/22/05/655632205.db2.gz ISRQGMNKSHNGPZ-ZWKOTPCHSA-N 0 1 322.468 3.405 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(F)c(C)cc2F)C[C@@H]1C ZINC000947417250 655637380 /nfs/dbraw/zinc/63/73/80/655637380.db2.gz NZMNYTVGTJKQNI-STQMWFEESA-N 0 1 308.372 3.042 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1(C)CCCCC1 ZINC001039404046 655697288 /nfs/dbraw/zinc/69/72/88/655697288.db2.gz CXEAEWIUFMEFBF-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN COc1ccc(OC)c(/C=C\C(=O)c2ccc(O)c(F)c2)c1 ZINC000255311315 655697512 /nfs/dbraw/zinc/69/75/12/655697512.db2.gz XRGIIIILOMNALZ-XQRVVYSFSA-N 0 1 302.301 3.445 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc3cc(Cl)ccc3[nH]2)CC1 ZINC000949586784 655738101 /nfs/dbraw/zinc/73/81/01/655738101.db2.gz QMZLKUMRTPJBPJ-UHFFFAOYSA-N 0 1 317.820 3.155 20 30 DGEDMN C[C@H](C#N)Oc1ccc(/C=C\C(=O)c2ccc(O)cc2O)cc1 ZINC000255470405 655757399 /nfs/dbraw/zinc/75/73/99/655757399.db2.gz KYWJEQMTNKHPLR-TWLAMCEISA-N 0 1 309.321 3.285 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC000949639302 655777132 /nfs/dbraw/zinc/77/71/32/655777132.db2.gz LDGZPTLFVGQQST-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCN(CCCc2ccc(C)cc2)CC1 ZINC000949667127 655790815 /nfs/dbraw/zinc/79/08/15/655790815.db2.gz MTFGQHVBZHVLQL-UHFFFAOYSA-N 0 1 314.473 3.284 20 30 DGEDMN O=C(/C=C\c1ccn(-c2ccccc2)n1)c1ccc(O)cc1O ZINC000255565414 655802546 /nfs/dbraw/zinc/80/25/46/655802546.db2.gz MBKVEMAUMDRCAX-TWGQIWQCSA-N 0 1 306.321 3.180 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2ccccc2C(C)(C)C)C1 ZINC001023438530 655833545 /nfs/dbraw/zinc/83/35/45/655833545.db2.gz YYKAPVNEKFHBKR-MRXNPFEDSA-N 0 1 312.457 3.059 20 30 DGEDMN CC(C)COc1ccc(CC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176815405 655847289 /nfs/dbraw/zinc/84/72/89/655847289.db2.gz KLZQRZYFBUBIJK-UHFFFAOYSA-N 0 1 324.380 3.480 20 30 DGEDMN C[C@@H](Oc1ccccc1Cl)C(=O)Nc1cc(C#N)ccc1O ZINC000176820913 655847865 /nfs/dbraw/zinc/84/78/65/655847865.db2.gz BLEHBPZTOWJBLN-SNVBAGLBSA-N 0 1 316.744 3.323 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2sccc2Br)c1 ZINC000176818854 655847947 /nfs/dbraw/zinc/84/79/47/655847947.db2.gz HGUSBGIQOULGKX-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2cccc(C(F)(F)F)c2)c1 ZINC000176824254 655849301 /nfs/dbraw/zinc/84/93/01/655849301.db2.gz KVXUDSRNVVNJKK-UHFFFAOYSA-N 0 1 320.270 3.464 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCn2ccc3ccccc32)c1 ZINC000176823786 655849524 /nfs/dbraw/zinc/84/95/24/655849524.db2.gz UQGDXGJYHWDYNV-UHFFFAOYSA-N 0 1 305.337 3.247 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C34CCC(CC3)C4)CC[C@@H]21 ZINC001036750913 661195630 /nfs/dbraw/zinc/19/56/30/661195630.db2.gz UWZGYIXRMMCCGD-LPMVOBIJSA-N 0 1 322.880 3.242 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccccc2O)cc(OC)c1O ZINC000255614167 655872031 /nfs/dbraw/zinc/87/20/31/655872031.db2.gz AWWVPQJFPTWXME-FPLPWBNLSA-N 0 1 300.310 3.011 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001024453308 655906472 /nfs/dbraw/zinc/90/64/72/655906472.db2.gz HOPBVBKFUAIBNA-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCOc1ccccc1C(=O)NC[C@H]1CCCCN1CC=C ZINC001024514729 655912640 /nfs/dbraw/zinc/91/26/40/655912640.db2.gz DIBLUEJZWHQOEF-MRXNPFEDSA-N 0 1 314.429 3.022 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2SCCc3sccc32)c1 ZINC000179729056 655912798 /nfs/dbraw/zinc/91/27/98/655912798.db2.gz NCIWJAOJYAPYIP-CQSZACIVSA-N 0 1 316.407 3.294 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccccc1CCC ZINC001024542823 655915275 /nfs/dbraw/zinc/91/52/75/655915275.db2.gz BZEZUPJPRRHJSH-SFHVURJKSA-N 0 1 312.457 3.247 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCCCN2C[C@H](F)CC)cc1 ZINC001024587539 655921117 /nfs/dbraw/zinc/92/11/17/655921117.db2.gz LCULIMXAXOXJSS-MSOLQXFVSA-N 0 1 316.420 3.000 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001024593029 655921730 /nfs/dbraw/zinc/92/17/30/655921730.db2.gz YJUGGXBPBOTKGG-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC3CC(C)(C)C3)CC[C@@H]21 ZINC001036800464 661203943 /nfs/dbraw/zinc/20/39/43/661203943.db2.gz UAJLIXGPUNHKJK-HOTGVXAUSA-N 0 1 324.896 3.488 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(C)c2ccccc12 ZINC001024679788 655929721 /nfs/dbraw/zinc/92/97/21/655929721.db2.gz AQIQNXMPBCIOGO-KRWDZBQOSA-N 0 1 320.436 3.366 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1F ZINC001024702146 655931837 /nfs/dbraw/zinc/93/18/37/655931837.db2.gz OVKLLYHTPIOEAA-HYVNUMGLSA-N 0 1 316.420 3.086 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC001024741978 655934558 /nfs/dbraw/zinc/93/45/58/655934558.db2.gz DWUYEZALPZSOBR-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001024760322 655935976 /nfs/dbraw/zinc/93/59/76/655935976.db2.gz SJAKFXNFYZJQQA-HNNXBMFYSA-N 0 1 316.470 3.233 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccccc1OC(C)C ZINC001024765358 655936617 /nfs/dbraw/zinc/93/66/17/655936617.db2.gz GDOMAONAKVPUCV-MRXNPFEDSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1coc2ccc(F)cc12 ZINC001024777502 655938306 /nfs/dbraw/zinc/93/83/06/655938306.db2.gz KMRPISNCIQRLCR-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2ccccc2n1 ZINC001024776511 655938406 /nfs/dbraw/zinc/93/84/06/655938406.db2.gz ZTIUWUYQLPJAJI-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001024790572 655939866 /nfs/dbraw/zinc/93/98/66/655939866.db2.gz MSFTXUWHTBGGLK-OAHLLOKOSA-N 0 1 318.486 3.397 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCCC1(C)C ZINC001024816562 655942733 /nfs/dbraw/zinc/94/27/33/655942733.db2.gz MJMUGVLKCYUFBS-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ccc(Cl)o1 ZINC001025199315 655976551 /nfs/dbraw/zinc/97/65/51/655976551.db2.gz VQNHRHDIXHXWMV-KBPBESRZSA-N 0 1 320.820 3.025 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)C1CCCCCC1 ZINC001025207957 655978638 /nfs/dbraw/zinc/97/86/38/655978638.db2.gz BWCOOCSVVGLGIA-RBUKOAKNSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ccoc1Cl ZINC001025224324 655981104 /nfs/dbraw/zinc/98/11/04/655981104.db2.gz OINZIKIUWRTJLU-CABCVRRESA-N 0 1 320.820 3.025 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)c1occc1Cl ZINC001025264459 655986183 /nfs/dbraw/zinc/98/61/83/655986183.db2.gz AJVYEKAQIRXHCB-LSDHHAIUSA-N 0 1 320.820 3.025 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1(F)CCCCC1 ZINC001025284396 655988997 /nfs/dbraw/zinc/98/89/97/655988997.db2.gz GRWBUTTVWDFCOY-SJORKVTESA-N 0 1 320.452 3.138 20 30 DGEDMN C[C@H](NC(=O)C1CCC1)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001025317420 655992872 /nfs/dbraw/zinc/99/28/72/655992872.db2.gz QIYIYDMMXBIQRO-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2cc(C)[nH]c2C)C1 ZINC001025547020 656034146 /nfs/dbraw/zinc/03/41/46/656034146.db2.gz WVCYFGRPRNKYNK-UKRRQHHQSA-N 0 1 323.868 3.214 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001007699735 656034249 /nfs/dbraw/zinc/03/42/49/656034249.db2.gz OOKFKCVQQMEPPM-ZDUSSCGKSA-N 0 1 322.811 3.005 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)c2cccn2CC)C1 ZINC001025618563 656049427 /nfs/dbraw/zinc/04/94/27/656049427.db2.gz CDNPWTUMQCCKHO-GJZGRUSLSA-N 0 1 323.868 3.091 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001007845033 656098345 /nfs/dbraw/zinc/09/83/45/656098345.db2.gz MZXSMSJOWXCDQW-IBGZPJMESA-N 0 1 314.473 3.194 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(CC)CC)CC[C@@H]21 ZINC001036900595 661225624 /nfs/dbraw/zinc/22/56/24/661225624.db2.gz GULHDHJNNKFJKJ-CABCVRRESA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(C)(CC)CC)CC[C@H]21 ZINC001036900597 661225781 /nfs/dbraw/zinc/22/57/81/661225781.db2.gz GULHDHJNNKFJKJ-HUUCEWRRSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2sccc2Cl)C(C)(C)C1 ZINC000974629780 656217314 /nfs/dbraw/zinc/21/73/14/656217314.db2.gz KFGCFCNWDMFVTH-GFCCVEGCSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC001008657622 656219455 /nfs/dbraw/zinc/21/94/55/656219455.db2.gz HWZDXCBJWDGQTA-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C3CCC3)cc2)C(C)(C)C1 ZINC000974657495 656219854 /nfs/dbraw/zinc/21/98/54/656219854.db2.gz OOBDZSBIOCVGSY-IBGZPJMESA-N 0 1 324.468 3.418 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2coc3c2cccc3C)C(C)(C)C1 ZINC000974688752 656222171 /nfs/dbraw/zinc/22/21/71/656222171.db2.gz ILXIELKZKWLKHK-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)C2CCCC2)C(C)(C)C1 ZINC000974700011 656223390 /nfs/dbraw/zinc/22/33/90/656223390.db2.gz OEEXLMXFDRKZNV-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C(C)(C)C1 ZINC000974759701 656227428 /nfs/dbraw/zinc/22/74/28/656227428.db2.gz WMZZLHHDNNVCOO-GUDVDZBRSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)cc(Cl)c2)C(C)(C)C1 ZINC000974829751 656232474 /nfs/dbraw/zinc/23/24/74/656232474.db2.gz RTJQAFRBFGWMPZ-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cc(C)ccc2C2CC2)C(C)(C)C1 ZINC000974865452 656236293 /nfs/dbraw/zinc/23/62/93/656236293.db2.gz UDGZROGNELROMW-LJQANCHMSA-N 0 1 324.468 3.336 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)C(C)(C)C1 ZINC000974890538 656239512 /nfs/dbraw/zinc/23/95/12/656239512.db2.gz BVNODWKXEZBGQP-MRXNPFEDSA-N 0 1 315.461 3.140 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)nc2C(C)C)C(C)(C)C1 ZINC000974890538 656239513 /nfs/dbraw/zinc/23/95/13/656239513.db2.gz BVNODWKXEZBGQP-MRXNPFEDSA-N 0 1 315.461 3.140 20 30 DGEDMN C=CC[N@H+]1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C(C)(C)C1 ZINC000974990304 656248749 /nfs/dbraw/zinc/24/87/49/656248749.db2.gz ZYTJWTOWBTYLFT-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)C(C)(C)C1 ZINC000974990304 656248750 /nfs/dbraw/zinc/24/87/50/656248750.db2.gz ZYTJWTOWBTYLFT-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)CCC2CCCCCC2)C1 ZINC001027493612 656317247 /nfs/dbraw/zinc/31/72/47/656317247.db2.gz KSAGFTIDBAFOLJ-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2cc(C)oc2C)C(C)(C)C1 ZINC000977347615 656324065 /nfs/dbraw/zinc/32/40/65/656324065.db2.gz IVGKPNHTZADMDP-XJKSGUPXSA-N 0 1 304.434 3.013 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001027519237 656328101 /nfs/dbraw/zinc/32/81/01/656328101.db2.gz ICTIVEXDVGELGI-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001027521962 656328822 /nfs/dbraw/zinc/32/88/22/656328822.db2.gz OGSJTNRRZABMOH-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCC[N@H+]1C[C@@H](NC(=O)c2cccc(C3CCC3)c2)C(C)(C)C1 ZINC000977452060 656330507 /nfs/dbraw/zinc/33/05/07/656330507.db2.gz KJVNRZWGVOLWMI-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C#CCC[N@H+]1C[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000977451076 656330616 /nfs/dbraw/zinc/33/06/16/656330616.db2.gz HJATWKRBEAXIDT-IBGZPJMESA-N 0 1 322.452 3.110 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccc(C)cc2)C(C)(C)C1 ZINC000977517887 656336680 /nfs/dbraw/zinc/33/66/80/656336680.db2.gz UYVDWZOZYXOVAS-WBVHZDCISA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C(C)(C)C1 ZINC000977526106 656338383 /nfs/dbraw/zinc/33/83/83/656338383.db2.gz OSUSOKBUVDIKAR-KRWDZBQOSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C23CC4CC(CC(C4)C2)C3)C(C)(C)C1 ZINC000977537260 656339726 /nfs/dbraw/zinc/33/97/26/656339726.db2.gz ZMAIVQNMCXWTOB-VQBCORBOSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)n(C(C)C)c2C)C(C)(C)C1 ZINC000977577054 656344368 /nfs/dbraw/zinc/34/43/68/656344368.db2.gz VSVSOAXDRFAJDX-QGZVFWFLSA-N 0 1 317.477 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)n(C(C)C)c2C)C(C)(C)C1 ZINC000977577049 656344498 /nfs/dbraw/zinc/34/44/98/656344498.db2.gz VSVSOAXDRFAJDX-KRWDZBQOSA-N 0 1 317.477 3.312 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CC)c(C)s2)C(C)(C)C1 ZINC000977580665 656346445 /nfs/dbraw/zinc/34/64/45/656346445.db2.gz VLBXSSPHMYQSKC-MRXNPFEDSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](C)c2ccc(F)c(F)c2)C(C)(C)C1 ZINC000977604425 656347083 /nfs/dbraw/zinc/34/70/83/656347083.db2.gz NOUVHTOCVVHKKQ-WBMJQRKESA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c3c2CCC3)C(C)(C)C1 ZINC000977635327 656349703 /nfs/dbraw/zinc/34/97/03/656349703.db2.gz KSBFCHGMDKDQID-GOSISDBHSA-N 0 1 312.457 3.110 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc3c(cccc3C)o2)C(C)(C)C1 ZINC000977644504 656351333 /nfs/dbraw/zinc/35/13/33/656351333.db2.gz GJKDXZAYXSMZSE-KRWDZBQOSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2csc(C3CC3)n2)C(C)(C)C1 ZINC000977642743 656351753 /nfs/dbraw/zinc/35/17/53/656351753.db2.gz SWGODYSRGGGCKV-AWEZNQCLSA-N 0 1 319.474 3.037 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977656766 656353642 /nfs/dbraw/zinc/35/36/42/656353642.db2.gz CFVHRQBWVMRNKJ-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2CCC(C)(C)CC2)C(C)(C)C1 ZINC000977667202 656355046 /nfs/dbraw/zinc/35/50/46/656355046.db2.gz ACVVUCRHWOCQRZ-QGZVFWFLSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCC4(CC4)C3)[C@@H]2C1 ZINC000978124350 656366983 /nfs/dbraw/zinc/36/69/83/656366983.db2.gz BRBQGPKHHHMFRV-YHUYYLMFSA-N 0 1 322.880 3.003 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(CCC)c(C)s2)CC1 ZINC001006378171 661258652 /nfs/dbraw/zinc/25/86/52/661258652.db2.gz UUWZVNLZJYBNQM-UHFFFAOYSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2ccsc2)CC1 ZINC001052173337 656419935 /nfs/dbraw/zinc/41/99/35/656419935.db2.gz OPFPEEDSGFVIEO-AWEZNQCLSA-N 0 1 312.866 3.014 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC001052395678 656432657 /nfs/dbraw/zinc/43/26/57/656432657.db2.gz XUQBCRMPADSPRG-LTIDMASMSA-N 0 1 322.880 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@@H]2C=CCCC2)CC1 ZINC001052487986 656439045 /nfs/dbraw/zinc/43/90/45/656439045.db2.gz FRQVRBFXDQICTP-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@@H]2CC=CCC2)CC1 ZINC001052624619 656450602 /nfs/dbraw/zinc/45/06/02/656450602.db2.gz LWJXPHCRIBRSJU-HZPDHXFCSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc[nH]c2C(C)C)CC1 ZINC001052645358 656452700 /nfs/dbraw/zinc/45/27/00/656452700.db2.gz NDJGJRICZKRXMT-AWEZNQCLSA-N 0 1 323.868 3.475 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(C(F)F)ccc1F ZINC001027885561 656459672 /nfs/dbraw/zinc/45/96/72/656459672.db2.gz GIBBGQFOOHNYJK-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(F)F)ccc1F ZINC001027885561 656459673 /nfs/dbraw/zinc/45/96/73/656459673.db2.gz GIBBGQFOOHNYJK-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)cs1 ZINC001039372353 656489606 /nfs/dbraw/zinc/48/96/06/656489606.db2.gz SONZFVFLCBFELX-CABCVRRESA-N 0 1 304.459 3.312 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001039379341 656490183 /nfs/dbraw/zinc/49/01/83/656490183.db2.gz LQEZNNNBXLHNRN-KURKYZTESA-N 0 1 302.462 3.374 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(F)c2ccccc2c1 ZINC001027922505 656492301 /nfs/dbraw/zinc/49/23/01/656492301.db2.gz CYKQGIZMUTUGOK-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN CCCCCCCC(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000105310742 656495503 /nfs/dbraw/zinc/49/55/03/656495503.db2.gz GFOAWXQVXIICER-UHFFFAOYSA-N 0 1 318.465 3.132 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1Cl ZINC001039442307 656502208 /nfs/dbraw/zinc/50/22/08/656502208.db2.gz YTNDYUBEYOKZAO-KGLIPLIRSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1=CCCC1)C2 ZINC001048517008 656505171 /nfs/dbraw/zinc/50/51/71/656505171.db2.gz FZUQANYNIZBYCW-RBVVOMGSSA-N 0 1 308.853 3.208 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(CC)o1 ZINC001039459678 656506237 /nfs/dbraw/zinc/50/62/37/656506237.db2.gz IDNHIXGFDUFKNK-CVEARBPZSA-N 0 1 316.445 3.405 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(F)F)c1 ZINC001039461038 656506488 /nfs/dbraw/zinc/50/64/88/656506488.db2.gz SMIZKGJUYUGWDF-CVEARBPZSA-N 0 1 320.383 3.489 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1CC ZINC001039473817 656510644 /nfs/dbraw/zinc/51/06/44/656510644.db2.gz DUARIPBAZWMBQZ-CABCVRRESA-N 0 1 304.459 3.175 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CC(C(C)(C)C)C1 ZINC001039476043 656511850 /nfs/dbraw/zinc/51/18/50/656511850.db2.gz WXUWTTFCBDSRIC-BACDZXNISA-N 0 1 304.478 3.310 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C2CC2)c1 ZINC001039475245 656511885 /nfs/dbraw/zinc/51/18/85/656511885.db2.gz KXOBGYOFUVMMCR-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@@H](C)F ZINC001039822117 656535924 /nfs/dbraw/zinc/53/59/24/656535924.db2.gz BTGIFDMPXOHVGR-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](CC)OC ZINC001039896856 656540334 /nfs/dbraw/zinc/54/03/34/656540334.db2.gz SLHSMWOHODHYQG-SFNKJDCFSA-N 0 1 322.493 3.079 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001027965540 656549145 /nfs/dbraw/zinc/54/91/45/656549145.db2.gz XXRDPXLQOXYOFI-AQNXPRMDSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001027975158 656561086 /nfs/dbraw/zinc/56/10/86/656561086.db2.gz MOHKZNDPBALPFS-OAHLLOKOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCN(CCCc2ccccc2)CC1 ZINC000950055190 656581558 /nfs/dbraw/zinc/58/15/58/656581558.db2.gz IDPGQKRBJOTWLE-FQEVSTJZSA-N 0 1 314.473 3.366 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049337731 656606971 /nfs/dbraw/zinc/60/69/71/656606971.db2.gz APUANBJRKSATFZ-DFQSSKMNSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H]3C[C@@H]3C(C)C)C2)CC1 ZINC001040899095 656613830 /nfs/dbraw/zinc/61/38/30/656613830.db2.gz JKCCUGGFVJXONP-HZPDHXFCSA-N 0 1 324.896 3.346 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sccc1Cl ZINC001049490743 656628736 /nfs/dbraw/zinc/62/87/36/656628736.db2.gz NOIBNPLNEKNLKD-KGLIPLIRSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1csc(C)c1C ZINC001049497672 656631379 /nfs/dbraw/zinc/63/13/79/656631379.db2.gz IATHSVXKBXQHPL-DLBZAZTESA-N 0 1 316.470 3.067 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(C2CCC2)cc1 ZINC001049512077 656634347 /nfs/dbraw/zinc/63/43/47/656634347.db2.gz QVXMEVQLMRFLGN-PMACEKPBSA-N 0 1 322.452 3.266 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581029 656643719 /nfs/dbraw/zinc/64/37/19/656643719.db2.gz XPFZDWSVLLLFSW-CABCVRRESA-N 0 1 304.459 3.175 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1CCCCCCC1 ZINC001049586383 656645882 /nfs/dbraw/zinc/64/58/82/656645882.db2.gz SHMSKGJUMMCXFF-MSOLQXFVSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001041639544 656661184 /nfs/dbraw/zinc/66/11/84/656661184.db2.gz WMDXRCBQMZXVPR-BRBWODKKSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@]3(CCN(C/C=C\Cl)C3)C2)C1 ZINC001041653377 656663325 /nfs/dbraw/zinc/66/33/25/656663325.db2.gz RFCPYPKBXKTJJW-SVEBXFOSSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C\Cl ZINC001049697505 656667294 /nfs/dbraw/zinc/66/72/94/656667294.db2.gz DBBDKHHNFPWSJW-UHDBVEMPSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)C1CCCCC1 ZINC001049773797 656684944 /nfs/dbraw/zinc/68/49/44/656684944.db2.gz SGCBPVYVBOOLAP-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc(Cl)c1 ZINC001049855397 656699523 /nfs/dbraw/zinc/69/95/23/656699523.db2.gz CDKAVIKPQKBRIB-IRXDYDNUSA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2ccccc2c1 ZINC001049860757 656703088 /nfs/dbraw/zinc/70/30/88/656703088.db2.gz CSLUNCAOBHNZON-VQTJNVASSA-N 0 1 318.420 3.152 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(C(C)C)cc1 ZINC001049866129 656705302 /nfs/dbraw/zinc/70/53/02/656705302.db2.gz ZATDHXJYEVXCNR-MOPGFXCFSA-N 0 1 310.441 3.122 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@]2(C)[C@@H]3Cc4ccccc4[C@@H]32)CC1 ZINC000981504185 656710373 /nfs/dbraw/zinc/71/03/73/656710373.db2.gz YCMKGCAIDNZWPZ-SVFBPWRDSA-N 0 1 324.468 3.073 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049885705 656713808 /nfs/dbraw/zinc/71/38/08/656713808.db2.gz OOFPTMYRZNPIAB-LSDHHAIUSA-N 0 1 304.459 3.230 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001049923335 656724845 /nfs/dbraw/zinc/72/48/45/656724845.db2.gz KPCNVSYBPOLKRL-NSHGMRRFSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(C)CN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(F)s1 ZINC001049927764 656725957 /nfs/dbraw/zinc/72/59/57/656725957.db2.gz YHHPJGRXJSCFNL-CHWSQXEVSA-N 0 1 308.422 3.142 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(CC)c(CC)c1 ZINC001049935793 656727746 /nfs/dbraw/zinc/72/77/46/656727746.db2.gz GXMWVKUEKVIZMV-WOJBJXKFSA-N 0 1 324.468 3.124 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(Cl)ccc2F)CC1 ZINC000981579793 656727832 /nfs/dbraw/zinc/72/78/32/656727832.db2.gz MCIOCOGFLNSKKE-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2occc2-c2ccccc2)CC1 ZINC000981583130 656728411 /nfs/dbraw/zinc/72/84/11/656728411.db2.gz QMJWPBZSUUZDNU-UHFFFAOYSA-N 0 1 322.408 3.118 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(c3ccccc3)CCC2)CC1 ZINC000981582854 656728725 /nfs/dbraw/zinc/72/87/25/656728725.db2.gz MOKLUTBBBRSJKW-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN CCN(Cc1nc2ccccc2c(=O)[nH]1)CC1CCC(C#N)CC1 ZINC000929237929 661290409 /nfs/dbraw/zinc/29/04/09/661290409.db2.gz QSZLWNLRIMHXOQ-UHFFFAOYSA-N 0 1 324.428 3.487 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2CCC(=C)CC2)CC1 ZINC001052682833 656748061 /nfs/dbraw/zinc/74/80/61/656748061.db2.gz ZUPJXVCXOIVNTK-MRXNPFEDSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C)nc2C(C)C)CC1 ZINC000981701257 656751973 /nfs/dbraw/zinc/75/19/73/656751973.db2.gz HRFVRDABUHEVMI-UHFFFAOYSA-N 0 1 315.461 3.237 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc(C)c(Cl)c2)CC1 ZINC000981707752 656753201 /nfs/dbraw/zinc/75/32/01/656753201.db2.gz BRTHERQPOXMZGL-UHFFFAOYSA-N 0 1 320.864 3.301 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052726468 656754010 /nfs/dbraw/zinc/75/40/10/656754010.db2.gz NSFMPMFMPXRJHU-VAMGGRTRSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C(C)(C)C)cc3)C[C@@H]21 ZINC001042325605 656754021 /nfs/dbraw/zinc/75/40/21/656754021.db2.gz DTSMKEUDVDBMAZ-LPHOPBHVSA-N 0 1 324.468 3.154 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(C)c(CC)s3)C[C@H]21 ZINC001042340964 656760524 /nfs/dbraw/zinc/76/05/24/656760524.db2.gz INSDHRVEAYPXOL-HUUCEWRRSA-N 0 1 318.486 3.341 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3sccc3C(C)C)C[C@H]21 ZINC001042367320 656764900 /nfs/dbraw/zinc/76/49/00/656764900.db2.gz FQJQDGUTIUOUQD-GOEBONIOSA-N 0 1 316.470 3.041 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H](C)c2ccc(C)o2)CC1 ZINC001052772934 656766496 /nfs/dbraw/zinc/76/64/96/656766496.db2.gz CUOJETAUSCPEQA-CABCVRRESA-N 0 1 324.852 3.415 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccn(C(C)C)c2C)CC1 ZINC000981805172 656775425 /nfs/dbraw/zinc/77/54/25/656775425.db2.gz YSUXYBNCTLLCKP-UHFFFAOYSA-N 0 1 303.450 3.101 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cccc(OC)c2)CC1 ZINC001052893420 656785373 /nfs/dbraw/zinc/78/53/73/656785373.db2.gz YOINPKBCXQECBE-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCC3CCCCCC3)C2)C1 ZINC000981894047 656797255 /nfs/dbraw/zinc/79/72/55/656797255.db2.gz YHWGWCZAQSRNIO-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3csc4ccccc34)C2)C1 ZINC000981922369 656804213 /nfs/dbraw/zinc/80/42/13/656804213.db2.gz RCLYPJHUMWOOKX-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H]2CCCN(C(=O)CCC)C2)C1 ZINC001052999221 656805580 /nfs/dbraw/zinc/80/55/80/656805580.db2.gz IXGRRJLBMYHDAI-HZPDHXFCSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccccc2CCC)C1 ZINC001043297481 656821453 /nfs/dbraw/zinc/82/14/53/656821453.db2.gz NCFVNJIVBVMGDM-UHFFFAOYSA-N 0 1 300.446 3.362 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2cc(F)ccc2o1 ZINC001028179126 656837003 /nfs/dbraw/zinc/83/70/03/656837003.db2.gz XERBHAOMVLZTJC-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccc(C(C)C)nc2CC)CC1 ZINC000981976227 656842525 /nfs/dbraw/zinc/84/25/25/656842525.db2.gz JBALUMINRZMYGB-UHFFFAOYSA-N 0 1 315.461 3.101 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CC[C@H](C(F)(F)F)C2)C1 ZINC001043652986 656845581 /nfs/dbraw/zinc/84/55/81/656845581.db2.gz JOQIUDCGOXFYAD-OLZOCXBDSA-N 0 1 318.383 3.074 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)C1CC(C)C1 ZINC001054037323 656888755 /nfs/dbraw/zinc/88/87/55/656888755.db2.gz ZXIZVGQPJBKPRF-PPSBMQLTSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H]1CC1(C)C ZINC001054038019 656891299 /nfs/dbraw/zinc/89/12/99/656891299.db2.gz UBELKWFDZFTDFJ-MSOLQXFVSA-N 0 1 312.457 3.152 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccc(C)s2)C1 ZINC001044185659 656893896 /nfs/dbraw/zinc/89/38/96/656893896.db2.gz WZTWLGRJCFHUGC-CQSZACIVSA-N 0 1 306.475 3.269 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)CC1 ZINC000982132279 656903124 /nfs/dbraw/zinc/90/31/24/656903124.db2.gz NWFBVOZSAQXDFT-DLBZAZTESA-N 0 1 318.848 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C[C@@H]1C=CCC1)C2 ZINC001054082516 656906848 /nfs/dbraw/zinc/90/68/48/656906848.db2.gz LIXDZZUJTIJHGK-SJLPKXTDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CCC1CC1)C2 ZINC001054104229 656910881 /nfs/dbraw/zinc/91/08/81/656910881.db2.gz WYRQUOMUXWBIDF-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)/C=C\C1CC1)C2 ZINC001054105946 656910893 /nfs/dbraw/zinc/91/08/93/656910893.db2.gz HCOLEASCIRLFDI-OSJSJHBBSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(c3ccccc3C)CC2)C1 ZINC001044368150 656912751 /nfs/dbraw/zinc/91/27/51/656912751.db2.gz OBOQZKYEKGFYIH-UHFFFAOYSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C(C)(C)c2ccccc2C)C1 ZINC001044371867 656912805 /nfs/dbraw/zinc/91/28/05/656912805.db2.gz MIVOBKRKHMZKPJ-UHFFFAOYSA-N 0 1 314.473 3.381 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(-c2ccccc2)nc1 ZINC001028224143 656924124 /nfs/dbraw/zinc/92/41/24/656924124.db2.gz XXUIPCVXVXOGKE-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C1(C)CC=CC1)C2 ZINC001054189630 656930854 /nfs/dbraw/zinc/93/08/54/656930854.db2.gz ONLDPYGDWLWFFW-GOSISDBHSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H](C)C(C)C)C2 ZINC001054206900 656937914 /nfs/dbraw/zinc/93/79/14/656937914.db2.gz OGSLZCSQCWOSRX-DOTOQJQBSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3C[C@]3(C)C(C)C)cc2C1 ZINC001054287426 656961948 /nfs/dbraw/zinc/96/19/48/656961948.db2.gz KFUPPTMZCRKIOH-TZIWHRDSSA-N 0 1 324.468 3.324 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CC[C@H](C)CC3)cc2C1 ZINC001054290131 656962901 /nfs/dbraw/zinc/96/29/01/656962901.db2.gz ADVAVLGLVMCLBE-SAABIXHNSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CC34CCCC4)cc2C1 ZINC001054288481 656963312 /nfs/dbraw/zinc/96/33/12/656963312.db2.gz YCJSKYKGLNCSJU-IBGZPJMESA-N 0 1 322.452 3.222 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCCc2ccccc21 ZINC001028339451 656997546 /nfs/dbraw/zinc/99/75/46/656997546.db2.gz UPQNHIRIWQNDFC-ICSRJNTNSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1coc(C2CCCCC2)n1 ZINC001028344839 657001045 /nfs/dbraw/zinc/00/10/45/657001045.db2.gz PKCVKLONMJTNTE-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)(F)F)cc1 ZINC001028358276 657007052 /nfs/dbraw/zinc/00/70/52/657007052.db2.gz UJBSZDRMMBDXGW-INIZCTEOSA-N 0 1 320.383 3.016 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc([C@H]3C[C@H]3C)o2)CC1 ZINC001045436127 657022781 /nfs/dbraw/zinc/02/27/81/657022781.db2.gz IVXXEYKBBVCCHD-CABCVRRESA-N 0 1 314.429 3.011 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2occc2C(C)C)C[C@H]1C ZINC001055002243 657030570 /nfs/dbraw/zinc/03/05/70/657030570.db2.gz UKMHNNDFBXHEAX-RISCZKNCSA-N 0 1 310.825 3.206 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(F)F)CCCC2)C[C@@H]1C ZINC001055002917 657030623 /nfs/dbraw/zinc/03/06/23/657030623.db2.gz DGJKFBGXRGQTLU-CMPLNLGQSA-N 0 1 320.811 3.001 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(C)cc2Cl)CC1 ZINC001045567296 657037624 /nfs/dbraw/zinc/03/76/24/657037624.db2.gz XQKHXXNYGBQGHR-UHFFFAOYSA-N 0 1 318.848 3.256 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C1CCC1)C2 ZINC001045907642 657084160 /nfs/dbraw/zinc/08/41/60/657084160.db2.gz CFHLOQVNVSBHBM-KRWDZBQOSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)c1ccco1)C2 ZINC001045910163 657086843 /nfs/dbraw/zinc/08/68/43/657086843.db2.gz TZSXLLMCOHINLU-QGZVFWFLSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)c1ccoc1)C2 ZINC001045913205 657087812 /nfs/dbraw/zinc/08/78/12/657087812.db2.gz BTZNWYRMYUIPHK-KRWDZBQOSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H](C)C1CC1)C2 ZINC001045927902 657095746 /nfs/dbraw/zinc/09/57/46/657095746.db2.gz VGUDXUQZAULZAS-MAUKXSAKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)c2ccsc2)C1 ZINC001000170554 657100773 /nfs/dbraw/zinc/10/07/73/657100773.db2.gz NJVMQYFIKVZBFJ-OCCSQVGLSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C1CCC(C(=O)NC2(C3CCN(CCF)CC3)CC2)CC1 ZINC001000247769 657106278 /nfs/dbraw/zinc/10/62/78/657106278.db2.gz HYBMAJDFVPHOJF-UHFFFAOYSA-N 0 1 308.441 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001000401646 657124272 /nfs/dbraw/zinc/12/42/72/657124272.db2.gz FWTFXTSJBQOIPW-UONOGXRCSA-N 0 1 310.825 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2ccccc2F)C1 ZINC001000481853 657130121 /nfs/dbraw/zinc/13/01/21/657130121.db2.gz AOZYQVDMXOMJAM-ZIAGYGMSSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccoc2CCC)C1 ZINC001000696072 657144175 /nfs/dbraw/zinc/14/41/75/657144175.db2.gz RZDSREMLWTXXAY-CYBMUJFWSA-N 0 1 310.825 3.179 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001046314876 657145133 /nfs/dbraw/zinc/14/51/33/657145133.db2.gz OSDSSGAVXHIHDA-FQEVSTJZSA-N 0 1 324.424 3.213 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001046361976 657152747 /nfs/dbraw/zinc/15/27/47/657152747.db2.gz KATXZHXRRKDBAI-FQEVSTJZSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001046405146 657156897 /nfs/dbraw/zinc/15/68/97/657156897.db2.gz MSTLLKIOTZXGER-HXUWFJFHSA-N 0 1 312.457 3.202 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C)c(Cl)cc2C)C1 ZINC001046532959 657173933 /nfs/dbraw/zinc/17/39/33/657173933.db2.gz BSNDUGGOJFGQQJ-KRWDZBQOSA-N 0 1 306.837 3.337 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001046532619 657174029 /nfs/dbraw/zinc/17/40/29/657174029.db2.gz YHBYEOUNNHKMTM-QGZVFWFLSA-N 0 1 317.820 3.202 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(F)F)c(F)c2)C1 ZINC001046619359 657189540 /nfs/dbraw/zinc/18/95/40/657189540.db2.gz PPSXETXBFPRWCS-MRXNPFEDSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3occc3s2)C1 ZINC001046619896 657189582 /nfs/dbraw/zinc/18/95/82/657189582.db2.gz DFUOSTGNXBUBRF-MRXNPFEDSA-N 0 1 304.415 3.265 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001046686638 657204068 /nfs/dbraw/zinc/20/40/68/657204068.db2.gz GBUHIHBPEDDELA-QKNQBKEWSA-N 0 1 324.468 3.011 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001046779873 657217274 /nfs/dbraw/zinc/21/72/74/657217274.db2.gz RPZOURHABSVAFW-IBGZPJMESA-N 0 1 312.413 3.430 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001046792406 657219569 /nfs/dbraw/zinc/21/95/69/657219569.db2.gz UJSJMCGRVWYEEP-HNNXBMFYSA-N 0 1 313.228 3.374 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cccc(C3CCCC3)c2)C1 ZINC001028929901 657224286 /nfs/dbraw/zinc/22/42/86/657224286.db2.gz MMYPQNNVISSFLW-KRWDZBQOSA-N 0 1 324.468 3.419 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2sc(C(C)C)nc2C)C1 ZINC001046832682 657233179 /nfs/dbraw/zinc/23/31/79/657233179.db2.gz YGPDXTPABUKIAQ-QGZVFWFLSA-N 0 1 321.490 3.345 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001046858705 657237904 /nfs/dbraw/zinc/23/79/04/657237904.db2.gz VYUAEUOMFMVQEV-FPOVZHCZSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001046856264 657238064 /nfs/dbraw/zinc/23/80/64/657238064.db2.gz VVKIPISJAZRZAI-OXJNMPFZSA-N 0 1 312.457 3.418 20 30 DGEDMN C[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(SC(F)F)cc1 ZINC000126597265 657260111 /nfs/dbraw/zinc/26/01/11/657260111.db2.gz ZFLHVNVIOXVSFA-JFUSQASVSA-N 0 1 324.352 3.305 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc4cccc(C)c4o3)[C@@H]2C1 ZINC001050209928 657271082 /nfs/dbraw/zinc/27/10/82/657271082.db2.gz INVHPMFOOFICJK-DLBZAZTESA-N 0 1 324.424 3.464 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)C3CCC3)C2)CC1 ZINC001047215445 657279307 /nfs/dbraw/zinc/27/93/07/657279307.db2.gz KIJFJSHHXVWSCW-QGZVFWFLSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](C2CCN(CCF)CC2)C1 ZINC001047251260 657286434 /nfs/dbraw/zinc/28/64/34/657286434.db2.gz HRAIFLIVQGVOQA-MRXNPFEDSA-N 0 1 310.457 3.119 20 30 DGEDMN CC[C@@H](C)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)CC2 ZINC001050437907 657308425 /nfs/dbraw/zinc/30/84/25/657308425.db2.gz MGCKCOTXNYFUEZ-GOSISDBHSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)C2)CC1 ZINC001050577843 657326605 /nfs/dbraw/zinc/32/66/05/657326605.db2.gz YAOVDLGHSGZVBK-OAGGEKHMSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(C)(C)C(=C)C)C2)CC1 ZINC001050614413 657331280 /nfs/dbraw/zinc/33/12/80/657331280.db2.gz ZNHJWGBYZAGQJH-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCC(C)(C)C3)C2)CC1 ZINC001050654824 657338797 /nfs/dbraw/zinc/33/87/97/657338797.db2.gz BVHFXBNNSYGHJI-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3CC34CCCC4)cccc2C1 ZINC001051306103 657385167 /nfs/dbraw/zinc/38/51/67/657385167.db2.gz LPGOCWVUILBSEH-LJQANCHMSA-N 0 1 324.468 3.427 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C(C)C ZINC001029323059 657396894 /nfs/dbraw/zinc/39/68/94/657396894.db2.gz NBCZHAQIGDKUQW-GASCZTMLSA-N 0 1 310.869 3.407 20 30 DGEDMN C[C@@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000249734285 657426073 /nfs/dbraw/zinc/42/60/73/657426073.db2.gz VKKGGLZRPZMNKA-MKCWBWRRSA-N 0 1 311.168 3.297 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968579239 657432021 /nfs/dbraw/zinc/43/20/21/657432021.db2.gz LSMKAEBVYHXICX-HNAYVOBHSA-N 0 1 308.425 3.466 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc(C)c2C)C1 ZINC000968607144 657441121 /nfs/dbraw/zinc/44/11/21/657441121.db2.gz OZUBZZVRKUFBAR-CRAIPNDOSA-N 0 1 300.446 3.320 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)s2)C1 ZINC000968609937 657441672 /nfs/dbraw/zinc/44/16/72/657441672.db2.gz MKNKGORCJSDPQU-NEPJUHHUSA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCCN1CCN(C(=O)c2c[nH]cc2-c2ccccc2)CC1 ZINC000957653178 657444707 /nfs/dbraw/zinc/44/47/07/657444707.db2.gz ITVZLCHDSJMAJZ-UHFFFAOYSA-N 0 1 309.413 3.016 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC000968620299 657444954 /nfs/dbraw/zinc/44/49/54/657444954.db2.gz SNVSCAIRTTUZQX-DZGCQCFKSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC000968648394 657450853 /nfs/dbraw/zinc/45/08/53/657450853.db2.gz SYLQDMHIRVXORT-BEFAXECRSA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)c2cccc(Cl)c2)CC1 ZINC000957712541 657453919 /nfs/dbraw/zinc/45/39/19/657453919.db2.gz VLSSCVWLDMGORU-CQSZACIVSA-N 0 1 306.837 3.164 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC000968680514 657454998 /nfs/dbraw/zinc/45/49/98/657454998.db2.gz AOITWSBNFIKQQI-KBXCAEBGSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(CC2CC(C)C2)CC1)c1ccccc1 ZINC000957744096 657456399 /nfs/dbraw/zinc/45/63/99/657456399.db2.gz JWJRZFXZOQRPEZ-TVPLGVNVSA-N 0 1 312.457 3.147 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692295 657460596 /nfs/dbraw/zinc/46/05/96/657460596.db2.gz MMGDWFUNCQXASG-WINGESFGSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnc(CC)s2)C1 ZINC000968691933 657461087 /nfs/dbraw/zinc/46/10/87/657461087.db2.gz KGMWMHKTHMCJAF-KGLIPLIRSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)Cc2ccc(C)cc2)CC1 ZINC000957811921 657464433 /nfs/dbraw/zinc/46/44/33/657464433.db2.gz FICITWNEMBFXHG-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2(c3ccccc3C)CC2)C1 ZINC000968706373 657465164 /nfs/dbraw/zinc/46/51/64/657465164.db2.gz OJMBVDZOKYSOBF-AEFFLSMTSA-N 0 1 312.457 3.039 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](CC)c2ccc(F)cc2)CC1 ZINC000957819113 657465674 /nfs/dbraw/zinc/46/56/74/657465674.db2.gz DNRYLYMLCINZBC-SFHVURJKSA-N 0 1 318.436 3.430 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC000968711382 657467427 /nfs/dbraw/zinc/46/74/27/657467427.db2.gz YFMNRRYEMYVSOE-KXBFYZLASA-N 0 1 314.473 3.438 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3ccc(C)cc3)CCC2)CC1 ZINC000957842263 657468747 /nfs/dbraw/zinc/46/87/47/657468747.db2.gz HMFPPKNDWHVWGP-UHFFFAOYSA-N 0 1 312.457 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c(C)oc3ccccc32)C1 ZINC000968779827 657485479 /nfs/dbraw/zinc/48/54/79/657485479.db2.gz HJDSJSGNMVUGJV-CYBMUJFWSA-N 0 1 318.804 3.298 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1sccc1Cl ZINC000968869539 657506737 /nfs/dbraw/zinc/50/67/37/657506737.db2.gz SLBNABDDMDDBSW-CYBMUJFWSA-N 0 1 324.877 3.399 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1c(C)onc1C1CC1 ZINC000968954531 657522571 /nfs/dbraw/zinc/52/25/71/657522571.db2.gz FPHMZGLCVFCATA-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1c(C)onc1C1CC1 ZINC000968954531 657522578 /nfs/dbraw/zinc/52/25/78/657522578.db2.gz FPHMZGLCVFCATA-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000968962434 657523467 /nfs/dbraw/zinc/52/34/67/657523467.db2.gz FCACIQALRUTRJZ-ZJPYXAASSA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC000968976644 657525376 /nfs/dbraw/zinc/52/53/76/657525376.db2.gz GKBQOYCGBDNYDE-KRWDZBQOSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC000968980156 657526780 /nfs/dbraw/zinc/52/67/80/657526780.db2.gz SHDSZBOAXASVRJ-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1cc[nH]c1CCC ZINC000968998027 657529718 /nfs/dbraw/zinc/52/97/18/657529718.db2.gz JEGRJSUDEGHVNH-OAHLLOKOSA-N 0 1 303.450 3.128 20 30 DGEDMN C=CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1cc[nH]c1C1CC1 ZINC000969004757 657531150 /nfs/dbraw/zinc/53/11/50/657531150.db2.gz BNIBMGPNRMKMDL-OAHLLOKOSA-N 0 1 301.434 3.053 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccccc1C1(C)CC1 ZINC000969024499 657537076 /nfs/dbraw/zinc/53/70/76/657537076.db2.gz ARNNVUIREXPMKX-KRWDZBQOSA-N 0 1 324.468 3.346 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccsc1Cl ZINC000969059170 657544820 /nfs/dbraw/zinc/54/48/20/657544820.db2.gz HTLOTDIMHVHRAH-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1scnc1C1CC1 ZINC000969062706 657546690 /nfs/dbraw/zinc/54/66/90/657546690.db2.gz QZHKXFLQRWIEHL-CQSZACIVSA-N 0 1 319.474 3.181 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC000969064484 657548965 /nfs/dbraw/zinc/54/89/65/657548965.db2.gz IGDBTJUMBDLNRY-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC000969064484 657548967 /nfs/dbraw/zinc/54/89/67/657548967.db2.gz IGDBTJUMBDLNRY-HXUWFJFHSA-N 0 1 322.452 3.254 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001007346243 657597214 /nfs/dbraw/zinc/59/72/14/657597214.db2.gz MACGSAVBBCEVKR-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001007438512 657607900 /nfs/dbraw/zinc/60/79/00/657607900.db2.gz ROBOFKGLYMLYMT-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC001007443185 657609554 /nfs/dbraw/zinc/60/95/54/657609554.db2.gz ILQHBQKKGQYPMB-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007467799 657611808 /nfs/dbraw/zinc/61/18/08/657611808.db2.gz BJHNYGIEYLPQCZ-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007467799 657611814 /nfs/dbraw/zinc/61/18/14/657611814.db2.gz BJHNYGIEYLPQCZ-MRXNPFEDSA-N 0 1 312.388 3.359 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007468105 657612233 /nfs/dbraw/zinc/61/22/33/657612233.db2.gz FJGQXBWFZODWPX-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001007468105 657612235 /nfs/dbraw/zinc/61/22/35/657612235.db2.gz FJGQXBWFZODWPX-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001007535863 657617229 /nfs/dbraw/zinc/61/72/29/657617229.db2.gz XOIHVGHUONJTBA-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001007783526 657632303 /nfs/dbraw/zinc/63/23/03/657632303.db2.gz BDGQWJLOCAIJSS-INIZCTEOSA-N 0 1 316.445 3.082 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC001007868921 657642505 /nfs/dbraw/zinc/64/25/05/657642505.db2.gz YCRWJKILTBHUAY-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2csc(C(C)C)n2)C[C@@H]1C ZINC000947813650 657665696 /nfs/dbraw/zinc/66/56/96/657665696.db2.gz ADZJNNQJUXFOCM-STQMWFEESA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC001008341523 657684668 /nfs/dbraw/zinc/68/46/68/657684668.db2.gz JHGWAQYAEGHFQL-NZSAHSFTSA-N 0 1 312.457 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC001008626696 657711553 /nfs/dbraw/zinc/71/15/53/657711553.db2.gz GIOXTXZNJJUHLE-CQSZACIVSA-N 0 1 306.837 3.419 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001008624500 657711833 /nfs/dbraw/zinc/71/18/33/657711833.db2.gz WPUDUHDXYGODOG-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001008688305 657720845 /nfs/dbraw/zinc/72/08/45/657720845.db2.gz KWYNIXNNQKAJNT-HNNXBMFYSA-N 0 1 316.445 3.103 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001008711508 657723521 /nfs/dbraw/zinc/72/35/21/657723521.db2.gz SQCRZKRENHLFSG-CVEARBPZSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC001008999917 657742281 /nfs/dbraw/zinc/74/22/81/657742281.db2.gz AONMEAILBYHLPC-MRXNPFEDSA-N 0 1 315.461 3.374 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001009053918 657744731 /nfs/dbraw/zinc/74/47/31/657744731.db2.gz WRDYJWKJBLIBHI-HNNXBMFYSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001009104747 657748225 /nfs/dbraw/zinc/74/82/25/657748225.db2.gz PAMYNHLUVYIBOZ-RDJZCZTQSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3F)CCC2)C1 ZINC001009159030 657753868 /nfs/dbraw/zinc/75/38/68/657753868.db2.gz DTLRVQLNWJHPBN-HNNXBMFYSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001009308523 657765545 /nfs/dbraw/zinc/76/55/45/657765545.db2.gz OADUJHAAINGSOY-CQSZACIVSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cccc3ccccc32)C1 ZINC001009308888 657766926 /nfs/dbraw/zinc/76/69/26/657766926.db2.gz RICDJTDHMYKAAI-GOSISDBHSA-N 0 1 308.425 3.149 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC23CC4CC(CC(C4)C2)C3)C1 ZINC001009316163 657768011 /nfs/dbraw/zinc/76/80/11/657768011.db2.gz FFKRSWAYHKXYFF-AZRLCKCBSA-N 0 1 316.489 3.360 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)csc2Cl)C1 ZINC001009329586 657769924 /nfs/dbraw/zinc/76/99/24/657769924.db2.gz OOOLPWJDLDOKLR-LBPRGKRZSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCCC2(C)C)CC1 ZINC000985584736 657771972 /nfs/dbraw/zinc/77/19/72/657771972.db2.gz GCDHWFYROXLKNQ-OAHLLOKOSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2Cc3ccc(Cl)cc32)C1 ZINC001009376535 657775110 /nfs/dbraw/zinc/77/51/10/657775110.db2.gz IZPLXTJDZIZBGZ-NVXWUHKLSA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc3c2CC[C@@H](C)C3)C1 ZINC001009417502 657781361 /nfs/dbraw/zinc/78/13/61/657781361.db2.gz KCBMKSIDUPNAPW-KGLIPLIRSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCCC[C@H]2C)CC1 ZINC000985613100 657784226 /nfs/dbraw/zinc/78/42/26/657784226.db2.gz OZDYPYHTDZKZJN-CZUORRHYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001009436665 657785619 /nfs/dbraw/zinc/78/56/19/657785619.db2.gz OSXAQBOYROZPDT-KRWDZBQOSA-N 0 1 300.446 3.382 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001009431057 657786122 /nfs/dbraw/zinc/78/61/22/657786122.db2.gz DEIQXXAQXQYUHR-CQSZACIVSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(CC(C)C)cc2)C1 ZINC001009470533 657790959 /nfs/dbraw/zinc/79/09/59/657790959.db2.gz LJYAODHRPJZXPH-SFHVURJKSA-N 0 1 300.446 3.265 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960384045 657802007 /nfs/dbraw/zinc/80/20/07/657802007.db2.gz MQPYOZTTYDXLCB-CQSZACIVSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)Cc2ccco2)CC1 ZINC000985695549 657810348 /nfs/dbraw/zinc/81/03/48/657810348.db2.gz YVOMAHCVGAFDOT-CYBMUJFWSA-N 0 1 324.852 3.134 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(F)CCCCC2)CC1 ZINC000985738452 657828284 /nfs/dbraw/zinc/82/82/84/657828284.db2.gz USXLMTGQFXMOAZ-UHFFFAOYSA-N 0 1 316.848 3.334 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1ccsc1 ZINC000960792714 657877527 /nfs/dbraw/zinc/87/75/27/657877527.db2.gz VRQZJTIMRSEOIE-HNNXBMFYSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC000961088982 657944116 /nfs/dbraw/zinc/94/41/16/657944116.db2.gz OZPVPEYDPHGKCO-HOTGVXAUSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CC(C)C)C2)C1 ZINC000961106406 657951248 /nfs/dbraw/zinc/95/12/48/657951248.db2.gz BXSASQYNEACOKF-HZPDHXFCSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CC3CCC3)C2)C1 ZINC000961131007 657957714 /nfs/dbraw/zinc/95/77/14/657957714.db2.gz QMUFHPGNNPSOJF-IRXDYDNUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)/C=C\C3CC3)C2)C1 ZINC000961136028 657961001 /nfs/dbraw/zinc/96/10/01/657961001.db2.gz GTGBQRFTRYHSFM-SEDCNLEPSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001014480510 658019206 /nfs/dbraw/zinc/01/92/06/658019206.db2.gz XYWQMDBXUNLLQE-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2c(C)cccc2C)[C@@H]1C ZINC000986317693 658037619 /nfs/dbraw/zinc/03/76/19/658037619.db2.gz PZQRXTRUTLBFNE-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3c(o2)CCCC3)[C@@H]1C ZINC000986342326 658041039 /nfs/dbraw/zinc/04/10/39/658041039.db2.gz OWOWRTHTVYGRHE-TZMCWYRMSA-N 0 1 322.836 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3ccsc3[nH]2)[C@H]1C ZINC000986628900 658084596 /nfs/dbraw/zinc/08/45/96/658084596.db2.gz OFPACTWKFRNJJI-CMPLNLGQSA-N 0 1 323.849 3.175 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3ccc(CC)cc3)C2)C1 ZINC001015672365 658164103 /nfs/dbraw/zinc/16/41/03/658164103.db2.gz RLNFSKWQXFWRPR-GOSISDBHSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(Cl)cs2)[C@H]1C ZINC000987127908 658164176 /nfs/dbraw/zinc/16/41/76/658164176.db2.gz ZYJCXMLNGWVMID-GXSJLCMTSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001015776078 658185117 /nfs/dbraw/zinc/18/51/17/658185117.db2.gz QUDPEVXRTXKMJK-HZPDHXFCSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(Cl)c2)[C@H]1C ZINC000987386726 658197228 /nfs/dbraw/zinc/19/72/28/658197228.db2.gz METXJSLQPZYWNG-SMDDNHRTSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)cc2C)[C@H]1C ZINC000987443701 658207140 /nfs/dbraw/zinc/20/71/40/658207140.db2.gz JEDLEVQSFUPXJF-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C)cc2C)[C@@H]1C ZINC000987443700 658207164 /nfs/dbraw/zinc/20/71/64/658207164.db2.gz JEDLEVQSFUPXJF-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)c(C)s2)[C@@H]1C ZINC000987492518 658220743 /nfs/dbraw/zinc/22/07/43/658220743.db2.gz KMQSYCDHTNGRMW-DGCLKSJQSA-N 0 1 312.866 3.310 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001016151268 658244350 /nfs/dbraw/zinc/24/43/50/658244350.db2.gz XKSOIHNUAJXEDD-IBGZPJMESA-N 0 1 306.409 3.344 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001016230994 658259820 /nfs/dbraw/zinc/25/98/20/658259820.db2.gz WWOSWYZZNQWSEB-UKRRQHHQSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC001016560810 658300786 /nfs/dbraw/zinc/30/07/86/658300786.db2.gz WAQWJJMZOIZULM-XUWVNRHRSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001016740336 658321771 /nfs/dbraw/zinc/32/17/71/658321771.db2.gz GKDYKGDHGNCRGM-HRCADAONSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2(C)CC(=C)C2)CC1 ZINC001016812974 658335073 /nfs/dbraw/zinc/33/50/73/658335073.db2.gz WNXPNAYKSMVVDH-CQSZACIVSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2csc(C)c2C)C1 ZINC000988874914 658413037 /nfs/dbraw/zinc/41/30/37/658413037.db2.gz GEVLNRPWLWSRJD-GWCFXTLKSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2sc(C)cc2C)C1 ZINC000988899368 658416799 /nfs/dbraw/zinc/41/67/99/658416799.db2.gz PSPLJZXMOVIEHL-WCQYABFASA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)=C1CCCC1 ZINC001017474758 658434740 /nfs/dbraw/zinc/43/47/40/658434740.db2.gz LLJZRSHUSBPDCC-IYBDPMFKSA-N 0 1 306.425 3.425 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2occc2c1 ZINC001017574349 658441047 /nfs/dbraw/zinc/44/10/47/658441047.db2.gz KGXXVYNPOSCEMS-CALCHBBNSA-N 0 1 310.397 3.298 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(C)n(CC)c2C)C1 ZINC000989142877 658443064 /nfs/dbraw/zinc/44/30/64/658443064.db2.gz VFIGXIKAJASEDL-DOMZBBRYSA-N 0 1 323.868 3.070 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)C2CCC3(CC3)CC2)C1 ZINC000989197562 658450062 /nfs/dbraw/zinc/45/00/62/658450062.db2.gz AQDNGXFMSLFRRI-DZGCQCFKSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(C)C)n1 ZINC001017705263 658452494 /nfs/dbraw/zinc/45/24/94/658452494.db2.gz XEPYMRVBJBDFFD-IYBDPMFKSA-N 0 1 313.445 3.070 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc3ccsc3[nH]2)C1 ZINC000989267500 658460393 /nfs/dbraw/zinc/46/03/93/658460393.db2.gz UVOSCVUSKMYHOH-PWSUYJOCSA-N 0 1 323.849 3.175 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occc1C(C)C ZINC001017839923 658465778 /nfs/dbraw/zinc/46/57/78/658465778.db2.gz VCUMRNWWQGOJQU-GASCZTMLSA-N 0 1 302.418 3.268 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2csc(C)c2C)C1 ZINC000966326448 658467466 /nfs/dbraw/zinc/46/74/66/658467466.db2.gz OYBMKTGQJDRPNM-LRDDRELGSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(OCCC)c2)C1 ZINC000966392148 658470522 /nfs/dbraw/zinc/47/05/22/658470522.db2.gz WXSGTJBISBYPDS-QAPCUYQASA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(OCCC)c2)C1 ZINC000966392152 658470568 /nfs/dbraw/zinc/47/05/68/658470568.db2.gz WXSGTJBISBYPDS-YJBOKZPZSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2cc(C)ccc2C)C1 ZINC000989408347 658474609 /nfs/dbraw/zinc/47/46/09/658474609.db2.gz QATLGENVGORDFO-RDJZCZTQSA-N 0 1 320.864 3.177 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966571328 658483041 /nfs/dbraw/zinc/48/30/41/658483041.db2.gz XYHUXACYBIQGOH-QFBILLFUSA-N 0 1 312.457 3.274 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc[nH]c2C2CCC2)C1 ZINC000989446998 658487189 /nfs/dbraw/zinc/48/71/89/658487189.db2.gz GCKDXUHNVMWRFS-JSGCOSHPSA-N 0 1 321.852 3.227 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccccc1F ZINC001018077681 658501406 /nfs/dbraw/zinc/50/14/06/658501406.db2.gz AVNSRGHCXGCPHE-HRCADAONSA-N 0 1 316.420 3.181 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2cc3cc(C)c(C)cc3o2)CC1 ZINC000989497905 658501856 /nfs/dbraw/zinc/50/18/56/658501856.db2.gz MDTMMCNZVXUAPD-UHFFFAOYSA-N 0 1 324.424 3.221 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncsc1C(C)C ZINC001018166022 658509386 /nfs/dbraw/zinc/50/93/86/658509386.db2.gz BHDFFOJHJOILRO-OKILXGFUSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1ccco1 ZINC001018177412 658510637 /nfs/dbraw/zinc/51/06/37/658510637.db2.gz CCAFZIQICPDLCX-HRCADAONSA-N 0 1 302.418 3.025 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2c(c1)CCCC2 ZINC001018240951 658524388 /nfs/dbraw/zinc/52/43/88/658524388.db2.gz SFDYUDIQGSAXHM-BGYRXZFFSA-N 0 1 324.468 3.430 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1ccccc1 ZINC001018249367 658526029 /nfs/dbraw/zinc/52/60/29/658526029.db2.gz ORZUPCBLVJTHDU-HDICACEKSA-N 0 1 312.457 3.216 20 30 DGEDMN C=CCN1CCCN(C(=O)C2(c3ccccc3)CCCC2)CC1 ZINC000989612334 658529827 /nfs/dbraw/zinc/52/98/27/658529827.db2.gz OHTLIWCDVJYXJK-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc(OCC(C)C)c2)CC1 ZINC000989633859 658530810 /nfs/dbraw/zinc/53/08/10/658530810.db2.gz CNQBYHUYRZFDCT-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C)C(C)(C)C1(C)C ZINC001018343744 658541007 /nfs/dbraw/zinc/54/10/07/658541007.db2.gz ZGYHXUMTXJLXQN-GASCZTMLSA-N 0 1 304.478 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(F)cc2C)C1 ZINC000989699447 658545338 /nfs/dbraw/zinc/54/53/38/658545338.db2.gz HJQWFOXYDJKKJA-JSGCOSHPSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cccnc2C(F)F)C1 ZINC000967291940 658564535 /nfs/dbraw/zinc/56/45/35/658564535.db2.gz PHVQRFLTKDGICB-OCCSQVGLSA-N 0 1 323.387 3.036 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)c2ccccc2CC(C)C)CC1 ZINC000989765516 658566616 /nfs/dbraw/zinc/56/66/16/658566616.db2.gz GHEWRASISMWMOT-UHFFFAOYSA-N 0 1 300.446 3.219 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccccc2CC(C)C)CC1 ZINC000989765516 658566620 /nfs/dbraw/zinc/56/66/20/658566620.db2.gz GHEWRASISMWMOT-UHFFFAOYSA-N 0 1 300.446 3.219 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H]2Cc3c2cccc3Cl)CC1 ZINC000989767889 658568584 /nfs/dbraw/zinc/56/85/84/658568584.db2.gz NRAFYXJNERNXGW-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(C(F)F)cc2)C1 ZINC000967338907 658570761 /nfs/dbraw/zinc/57/07/61/658570761.db2.gz SHPVEOQVSRONSS-BBRMVZONSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C)c(C)c2)C1 ZINC000989753303 658571348 /nfs/dbraw/zinc/57/13/48/658571348.db2.gz UYFQZNDZUXJLSA-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc3c(c2)CCC3)C1 ZINC000989778189 658576182 /nfs/dbraw/zinc/57/61/82/658576182.db2.gz ASFHPTKGTQPWQZ-DYVFJYSZSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)ccc2C2CC2)C1 ZINC000967424401 658580303 /nfs/dbraw/zinc/58/03/03/658580303.db2.gz RJGHJPYXCVHJPU-DNVCBOLYSA-N 0 1 312.457 3.499 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccn2C(C)C)C1 ZINC000989807629 658584483 /nfs/dbraw/zinc/58/44/83/658584483.db2.gz YDZWDTBHPBJELE-KGLIPLIRSA-N 0 1 309.841 3.014 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2coc3ccccc23)C1 ZINC000989858135 658599570 /nfs/dbraw/zinc/59/95/70/658599570.db2.gz XMAVAUWWVVZDIP-OLZOCXBDSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2oc(C(C)C)nc2C)C1 ZINC001019238324 658618757 /nfs/dbraw/zinc/61/87/57/658618757.db2.gz WRGVQYPLBXXSMO-AWEZNQCLSA-N 0 1 319.449 3.009 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2[C@@H]3CCCCCC[C@@H]23)C1 ZINC001019426555 658643832 /nfs/dbraw/zinc/64/38/32/658643832.db2.gz FELPHCTUDFRYHW-RRFJBIMHSA-N 0 1 310.869 3.146 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C(C2CCC2)C2CCC2)C1 ZINC000968034007 658656019 /nfs/dbraw/zinc/65/60/19/658656019.db2.gz GFMNOOOBGTUOHY-QAPCUYQASA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968083256 658666183 /nfs/dbraw/zinc/66/61/83/658666183.db2.gz WULJJURXGWOOEZ-APWZRJJASA-N 0 1 312.457 3.103 20 30 DGEDMN CC#CC[N@H+]1CC[C@@]2(NC(=O)C(C)=C3CCCC3)CCC[C@@H]12 ZINC000990205203 658668452 /nfs/dbraw/zinc/66/84/52/658668452.db2.gz IQLAXWIIHODKRH-MJGOQNOKSA-N 0 1 300.446 3.013 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(OC(C)C)cc2)C1 ZINC001019734912 658670333 /nfs/dbraw/zinc/67/03/33/658670333.db2.gz RFCLKMJJMVZDGQ-HNNXBMFYSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001019837390 658677986 /nfs/dbraw/zinc/67/79/86/658677986.db2.gz QHZQWZYAWKCHPQ-PBHICJAKSA-N 0 1 320.864 3.117 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)cc2C)C1 ZINC000968179200 658678553 /nfs/dbraw/zinc/67/85/53/658678553.db2.gz STDGMNSKJIPXON-MLGOLLRUSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)cc2F)C1 ZINC000968349627 658706373 /nfs/dbraw/zinc/70/63/73/658706373.db2.gz MPBMCWMVMCKKPO-RHSMWYFYSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cccc(C(F)F)c1F ZINC001038251322 658737073 /nfs/dbraw/zinc/73/70/73/658737073.db2.gz FSNJXRDDDZFUMA-LLVKDONJSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2occc2s1 ZINC001038691520 658784513 /nfs/dbraw/zinc/78/45/13/658784513.db2.gz HXPVLALQRCCDQY-LBPRGKRZSA-N 0 1 304.415 3.265 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001038735700 658791359 /nfs/dbraw/zinc/79/13/59/658791359.db2.gz RXXFPKOYDXBGFR-MRXNPFEDSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001038814282 658808032 /nfs/dbraw/zinc/80/80/32/658808032.db2.gz GSCKLYGTMCWEKL-CYBMUJFWSA-N 0 1 307.463 3.037 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(-c2ccco2)s1 ZINC001038860331 658948386 /nfs/dbraw/zinc/94/83/86/658948386.db2.gz BFJMICZNUAXQAO-ZDUSSCGKSA-N 0 1 316.426 3.388 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(Cl)ccc1Cl ZINC001038949463 658962147 /nfs/dbraw/zinc/96/21/47/658962147.db2.gz GEFXHXZWKNNTAJ-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1csc2c1CC[C@@H](C)C2 ZINC001038993376 658975210 /nfs/dbraw/zinc/97/52/10/658975210.db2.gz ZBSYGQOVXYIYJL-ZIAGYGMSSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001038995402 658976311 /nfs/dbraw/zinc/97/63/11/658976311.db2.gz HEGGOMJSQMMVCF-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CCC(CCCC)CC1 ZINC001039001541 658978015 /nfs/dbraw/zinc/97/80/15/658978015.db2.gz VOUNRYKFXOOOKC-DAWZGUTISA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccccc1CC(C)C ZINC001039061719 658990848 /nfs/dbraw/zinc/99/08/48/658990848.db2.gz SXMZUEKGZOYQMD-QGZVFWFLSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1CC(c2cccc(F)c2)C1 ZINC001039082460 658995167 /nfs/dbraw/zinc/99/51/67/658995167.db2.gz OLVKPJKDPIXZBT-LEOMRAHMSA-N 0 1 316.420 3.086 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cscc1C(F)(F)F ZINC001039092043 658996844 /nfs/dbraw/zinc/99/68/44/658996844.db2.gz KEZKHNWWHDHSSJ-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)c2cc[nH]c2)C1 ZINC001039201428 659036529 /nfs/dbraw/zinc/03/65/29/659036529.db2.gz CHBPPGNZTLNLFL-HOTGVXAUSA-N 0 1 321.852 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)/C(C)=C\C)C1 ZINC001039201931 659038209 /nfs/dbraw/zinc/03/82/09/659038209.db2.gz CUZFMFRPRNQXDG-NESTXBQXSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3ccc(CC)cc23)C[C@@H]1C ZINC000947315092 659044416 /nfs/dbraw/zinc/04/44/16/659044416.db2.gz MPQCNTZZSFYRLV-GOEBONIOSA-N 0 1 324.424 3.211 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@H]1C ZINC000947750842 659063839 /nfs/dbraw/zinc/06/38/39/659063839.db2.gz RUMXRHFPZQQAJN-UKRRQHHQSA-N 0 1 316.445 3.101 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@H]1C ZINC000947750842 659063848 /nfs/dbraw/zinc/06/38/48/659063848.db2.gz RUMXRHFPZQQAJN-UKRRQHHQSA-N 0 1 316.445 3.101 20 30 DGEDMN CN(Cc1cccc(C#N)c1)Cn1cnc2cc(F)c(F)cc21 ZINC000046477109 659122502 /nfs/dbraw/zinc/12/25/02/659122502.db2.gz PMVJLPZXIKTYRS-UHFFFAOYSA-N 0 1 312.323 3.276 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000129919607 659202295 /nfs/dbraw/zinc/20/22/95/659202295.db2.gz WVFWYBZRTVDBKC-CYBMUJFWSA-N 0 1 302.374 3.313 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc(C)cc(Cl)c3)CCC[C@@H]12 ZINC000991863699 659244869 /nfs/dbraw/zinc/24/48/69/659244869.db2.gz SMWNVBFVUHEJRG-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@@H](C)CC(C)C ZINC000171036797 659255692 /nfs/dbraw/zinc/25/56/92/659255692.db2.gz PPYUREKFTPMZJE-UONOGXRCSA-N 0 1 316.401 3.415 20 30 DGEDMN N#CC(C(=O)Cc1cccc(Br)c1)c1ccccn1 ZINC000171328711 659274233 /nfs/dbraw/zinc/27/42/33/659274233.db2.gz HVMYCBLCMSTWJY-ZDUSSCGKSA-N 0 1 315.170 3.263 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@]2(NC(=O)c3ccoc3C3CC3)CCC[C@@H]12 ZINC000992137796 659471517 /nfs/dbraw/zinc/47/15/17/659471517.db2.gz PZZRNYUOPFONJR-VQIMIIECSA-N 0 1 314.429 3.460 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ccoc3C3CC3)CCC[C@@H]12 ZINC000992137359 659471634 /nfs/dbraw/zinc/47/16/34/659471634.db2.gz NIIIOMGTXFURCI-QAPCUYQASA-N 0 1 300.402 3.070 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ccc(F)c(C)c3)CCC[C@H]12 ZINC000992151899 659474409 /nfs/dbraw/zinc/47/44/09/659474409.db2.gz IHFARCZWTASZDZ-FUHWJXTLSA-N 0 1 302.393 3.047 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc(Cl)cc3C)CCC[C@H]12 ZINC000992158224 659476075 /nfs/dbraw/zinc/47/60/75/659476075.db2.gz BBDZBEUMODEZNS-WMZOPIPTSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C)cc3Cl)CCC[C@@H]12 ZINC000992263024 659502788 /nfs/dbraw/zinc/50/27/88/659502788.db2.gz LSLNRLCHBVBXFG-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C(C)C)c3)CCC[C@H]12 ZINC000992383706 659538214 /nfs/dbraw/zinc/53/82/14/659538214.db2.gz SLYHRVVDVWNIDM-ICSRJNTNSA-N 0 1 310.441 3.170 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4sccc4c3)CCC[C@@H]12 ZINC000992397610 659540632 /nfs/dbraw/zinc/54/06/32/659540632.db2.gz WYOBMZHIJRYEMJ-MJGOQNOKSA-N 0 1 324.449 3.261 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccccc3Cl)CCC[C@@H]12 ZINC000992430321 659548284 /nfs/dbraw/zinc/54/82/84/659548284.db2.gz YXSRNHCBPJEKLA-SJLPKXTDSA-N 0 1 316.832 3.090 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3sccc3CC)CCC[C@H]12 ZINC000992488870 659562251 /nfs/dbraw/zinc/56/22/51/659562251.db2.gz BHQXAXXVTJFSCT-WMLDXEAASA-N 0 1 304.459 3.223 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3sccc3CC)CCC[C@@H]12 ZINC000992488859 659562291 /nfs/dbraw/zinc/56/22/91/659562291.db2.gz BHQXAXXVTJFSCT-PBHICJAKSA-N 0 1 304.459 3.223 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3cc(C)c(F)c(C)c3)CCC[C@@H]12 ZINC000992508605 659566800 /nfs/dbraw/zinc/56/68/00/659566800.db2.gz JWNNSQFUXMJYSU-APWZRJJASA-N 0 1 316.420 3.355 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cc4cccc(C)c4o3)CCC[C@H]12 ZINC000992531320 659571889 /nfs/dbraw/zinc/57/18/89/659571889.db2.gz VCDGODYZNZNSGT-PXNSSMCTSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(CC)c(CC)c3)CCC[C@H]12 ZINC000992528653 659572033 /nfs/dbraw/zinc/57/20/33/659572033.db2.gz NPILAKFCYKKNPW-PZJWPPBQSA-N 0 1 324.468 3.171 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)c1 ZINC000929810263 659617609 /nfs/dbraw/zinc/61/76/09/659617609.db2.gz PZBCAWZDBOUZJM-JKSUJKDBSA-N 0 1 313.426 3.103 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2sccc2C(C)C)C[C@@H]1C ZINC000948060739 659680227 /nfs/dbraw/zinc/68/02/27/659680227.db2.gz IYNORQUEUXFXQU-UONOGXRCSA-N 0 1 304.459 3.087 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C=C2CCC2)CCCN1Cc1cccc(C#N)c1 ZINC000993185069 659692998 /nfs/dbraw/zinc/69/29/98/659692998.db2.gz LBDBXQZHLBHEGC-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001031243396 659713210 /nfs/dbraw/zinc/71/32/10/659713210.db2.gz MBTMPALVYTUTQJ-UHFFFAOYSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C(C)(C)C)n2)[C@@H]1C ZINC000993321960 659714793 /nfs/dbraw/zinc/71/47/93/659714793.db2.gz BQUIPAQAVWAJNR-KBPBESRZSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccoc2C(F)(F)F)[C@H]1C ZINC000993403941 659727936 /nfs/dbraw/zinc/72/79/36/659727936.db2.gz ZGTWJHWFVZSAAR-ZYHUDNBSSA-N 0 1 316.323 3.067 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(o2)CCCC3)[C@@H]1C ZINC000993436264 659739533 /nfs/dbraw/zinc/73/95/33/659739533.db2.gz XSSUHCDNTVHRFH-HOCLYGCPSA-N 0 1 316.445 3.317 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C)(C)C2CCCC2)[C@H]1C ZINC000993442074 659741579 /nfs/dbraw/zinc/74/15/79/659741579.db2.gz IZCOHPRBRBMOLH-NVXWUHKLSA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(C)CCCCCC2)[C@@H]1C ZINC000993439551 659741809 /nfs/dbraw/zinc/74/18/09/659741809.db2.gz GHNHUVKTSSMLBD-DLBZAZTESA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3ccc(C)nc32)[C@H]1C ZINC000993477848 659747147 /nfs/dbraw/zinc/74/71/47/659747147.db2.gz KFXBIICNTYCUNP-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(C)CCC(F)(F)CC2)[C@@H]1C ZINC000993493169 659754311 /nfs/dbraw/zinc/75/43/11/659754311.db2.gz ANKRYILUHMIESJ-KBPBESRZSA-N 0 1 314.420 3.357 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccccc2CCC)[C@@H]1C ZINC000993560236 659761755 /nfs/dbraw/zinc/76/17/55/659761755.db2.gz HGNNRNZGAMHQFK-LPHOPBHVSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-n3cccc3)cc2)[C@@H]1C ZINC000993561905 659763143 /nfs/dbraw/zinc/76/31/43/659763143.db2.gz ZSYRASJVZWUGAG-LPHOPBHVSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2F)[C@H]1C ZINC000993563493 659763366 /nfs/dbraw/zinc/76/33/66/659763366.db2.gz GFKSEWKWFJMQLT-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3ccccc3c2C)[C@@H]1C ZINC000993608997 659765346 /nfs/dbraw/zinc/76/53/46/659765346.db2.gz XFRLOLQBSLVXOL-YJBOKZPZSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H]1C ZINC000993666782 659773889 /nfs/dbraw/zinc/77/38/89/659773889.db2.gz OIJZIORUSBETDS-GDBMZVCRSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@H]1C ZINC000993666788 659774454 /nfs/dbraw/zinc/77/44/54/659774454.db2.gz OIJZIORUSBETDS-ZBFHGGJFSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)nc2C(C)C)[C@@H]1C ZINC000993658462 659777164 /nfs/dbraw/zinc/77/71/64/659777164.db2.gz SJJRWKKODNWRME-RDJZCZTQSA-N 0 1 315.461 3.282 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C2CCC2)C2CCC2)[C@H]1C ZINC000993703229 659782042 /nfs/dbraw/zinc/78/20/42/659782042.db2.gz LWKCPRPIKZHJLW-CRAIPNDOSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ncccc3c2)[C@H]1C ZINC000993731307 659786078 /nfs/dbraw/zinc/78/60/78/659786078.db2.gz MNLVXIAZFQRIEX-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2C)[C@H]1C ZINC000993733013 659787551 /nfs/dbraw/zinc/78/75/51/659787551.db2.gz PYEPVDLAYQTCIL-PBHICJAKSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H]1C ZINC000993859229 659793468 /nfs/dbraw/zinc/79/34/68/659793468.db2.gz IZXMAWBCYOEESC-WBMJQRKESA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H]1C ZINC000993880331 659797279 /nfs/dbraw/zinc/79/72/79/659797279.db2.gz SWSTYDBVGYIJAZ-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccn(C(CC)CC)n2)[C@H]1C ZINC000993933560 659799914 /nfs/dbraw/zinc/79/99/14/659799914.db2.gz XWSXWNGNASZRCM-ZBFHGGJFSA-N 0 1 318.465 3.013 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(C(C)C)nc2C)[C@H]1C ZINC000993966314 659803830 /nfs/dbraw/zinc/80/38/30/659803830.db2.gz VZBIYKJXXNJBAO-HUUCEWRRSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)c(OC)c2)[C@H]1C ZINC000993938174 659805867 /nfs/dbraw/zinc/80/58/67/659805867.db2.gz LMNWTBYPFWTDBE-DOMZBBRYSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc(C)c2Cl)[C@H]1C ZINC000994016169 659809389 /nfs/dbraw/zinc/80/93/89/659809389.db2.gz CHZDTGWWJUORST-ZBFHGGJFSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC)cc2Cl)[C@H]1C ZINC000994010819 659809418 /nfs/dbraw/zinc/80/94/18/659809418.db2.gz QSMSGIRFRFQDGT-WBMJQRKESA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(Cl)ccc2C)[C@@H]1C ZINC000994014512 659809460 /nfs/dbraw/zinc/80/94/60/659809460.db2.gz ZKEIZFJUANVUJZ-BBRMVZONSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C)c2Cl)[C@H]1C ZINC000994016163 659809679 /nfs/dbraw/zinc/80/96/79/659809679.db2.gz CHZDTGWWJUORST-GDBMZVCRSA-N 0 1 318.848 3.254 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[C@H]1C ZINC000994086928 659811422 /nfs/dbraw/zinc/81/14/22/659811422.db2.gz ATPOSFIUQZFZNK-BRSBDYLESA-N 0 1 304.478 3.051 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)cc(OC)c2)[C@@H]1C ZINC000994067622 659812797 /nfs/dbraw/zinc/81/27/97/659812797.db2.gz GIEFZVSZDWLHRK-BLLLJJGKSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(C)C)oc2C)[C@H]1C ZINC000994126363 659813640 /nfs/dbraw/zinc/81/36/40/659813640.db2.gz PNNPXUHYHVNZJL-CZUORRHYSA-N 0 1 304.434 3.480 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2ncsc2C(C)C)[C@@H]1C ZINC000994217853 659820430 /nfs/dbraw/zinc/82/04/30/659820430.db2.gz IUDKEYNTJAYHCA-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ncsc2C(C)C)[C@@H]1C ZINC000994217853 659820432 /nfs/dbraw/zinc/82/04/32/659820432.db2.gz IUDKEYNTJAYHCA-QWHCGFSZSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(CC)cc2C)[C@H]1C ZINC000994231025 659822585 /nfs/dbraw/zinc/82/25/85/659822585.db2.gz MXOGYXKTKRDSAI-ZBFHGGJFSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(CC)c(CC)o2)[C@H]1C ZINC000994258566 659827232 /nfs/dbraw/zinc/82/72/32/659827232.db2.gz VRROHUGFLZQQGC-UKRRQHHQSA-N 0 1 304.434 3.173 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)[C@H]1C ZINC000994285876 659832240 /nfs/dbraw/zinc/83/22/40/659832240.db2.gz ZDRVIRFKMNVYKQ-TVFCKZIOSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)csc2Cl)[C@@H]1C ZINC000994294094 659834541 /nfs/dbraw/zinc/83/45/41/659834541.db2.gz CRQLRDWMLIOHLA-RYUDHWBXSA-N 0 1 312.866 3.479 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3[nH]ccc3s2)[C@@H]1C ZINC000994294124 659834917 /nfs/dbraw/zinc/83/49/17/659834917.db2.gz DIFJKXUSPWJZTE-QWHCGFSZSA-N 0 1 317.458 3.388 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCCC3)[C@@H]1C ZINC000994347457 659840888 /nfs/dbraw/zinc/84/08/88/659840888.db2.gz JXTDFAUWBMDMOW-HNAYVOBHSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)cc2Cl)[C@@H]1C ZINC000994342720 659841948 /nfs/dbraw/zinc/84/19/48/659841948.db2.gz AXAJADMJNXWDJQ-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@@H]1C ZINC000994367571 659845579 /nfs/dbraw/zinc/84/55/79/659845579.db2.gz UHXXVOODXQYNMA-KBPBESRZSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1C ZINC000994367583 659845673 /nfs/dbraw/zinc/84/56/73/659845673.db2.gz UHXXVOODXQYNMA-KGLIPLIRSA-N 0 1 306.475 3.388 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)cc(C)cc2C)[C@@H]1C ZINC000994371932 659845819 /nfs/dbraw/zinc/84/58/19/659845819.db2.gz FGYHNBFNIYVFAX-DLBZAZTESA-N 0 1 300.446 3.381 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)[C@@H]1C ZINC000994367600 659845966 /nfs/dbraw/zinc/84/59/66/659845966.db2.gz UJOIGAOKDMGMJZ-SWLSCSKDSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)cc(C)cc2C)[C@H]1C ZINC000994374226 659846598 /nfs/dbraw/zinc/84/65/98/659846598.db2.gz IGDAKGYTRAUCQS-QZTJIDSGSA-N 0 1 312.457 3.218 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC000994380410 659849122 /nfs/dbraw/zinc/84/91/22/659849122.db2.gz RGZOPJQDNIBIHD-TZMCWYRMSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2)[C@@H]1C ZINC000994382027 659850403 /nfs/dbraw/zinc/85/04/03/659850403.db2.gz ZSPHFXRHQYNEOY-DOTOQJQBSA-N 0 1 300.446 3.119 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@H]1C ZINC000994386290 659850769 /nfs/dbraw/zinc/85/07/69/659850769.db2.gz JHHAPHZDQIAOTB-CRAIPNDOSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cccc(F)c3o2)[C@@H]1C ZINC000994444816 659855287 /nfs/dbraw/zinc/85/52/87/659855287.db2.gz UUEJAAHKYBENCN-SWLSCSKDSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C)c3c2CCC3)[C@H]1C ZINC000994481439 659859547 /nfs/dbraw/zinc/85/95/47/659859547.db2.gz ZCQYRKZUEDRBRS-UZLBHIALSA-N 0 1 324.468 3.090 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)[C@H]1C ZINC000994481808 659859651 /nfs/dbraw/zinc/85/96/51/659859651.db2.gz CQYHKPUKYSGQKB-QAPCUYQASA-N 0 1 323.440 3.082 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)Cc2ccc(C)s2)[C@H]1C ZINC000994489021 659860782 /nfs/dbraw/zinc/86/07/82/659860782.db2.gz SEZRCLGTDMHYJR-ZBFHGGJFSA-N 0 1 306.475 3.144 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3cccc(C)c3)CC2)[C@@H]1C ZINC000994496538 659862953 /nfs/dbraw/zinc/86/29/53/659862953.db2.gz HXOVUBXAJOYIQC-WMZOPIPTSA-N 0 1 312.457 3.182 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2[C@H](C)c2ccccc2)cc1 ZINC001038581239 659879289 /nfs/dbraw/zinc/87/92/89/659879289.db2.gz HAHWJSAKABNYQW-UZLBHIALSA-N 0 1 318.420 3.233 20 30 DGEDMN Cn1ccnc1[C@@H]1CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000444797244 659887265 /nfs/dbraw/zinc/88/72/65/659887265.db2.gz XWKKNPZDOOLWKO-OAHLLOKOSA-N 0 1 314.820 3.325 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3(CC)CC3)C2)CC1 ZINC001040836051 659901152 /nfs/dbraw/zinc/90/11/52/659901152.db2.gz OCMOJVXRPHRJLA-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C3CC4(CC4)C3)C2)CC1 ZINC001040844737 659905400 /nfs/dbraw/zinc/90/54/00/659905400.db2.gz ASPZJDYGSSWVOF-UHFFFAOYSA-N 0 1 322.880 3.244 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2sccc2-n2cccc2)c1 ZINC000179736261 659940144 /nfs/dbraw/zinc/94/01/44/659940144.db2.gz QDPIHKKVZCTMKQ-UHFFFAOYSA-N 0 1 309.350 3.368 20 30 DGEDMN C=CCOc1ccccc1CN(C(=O)CN)c1ccc(C)c(C)c1 ZINC000237780148 659941838 /nfs/dbraw/zinc/94/18/38/659941838.db2.gz PPNIFOLYGIOHMG-UHFFFAOYSA-N 0 1 324.424 3.360 20 30 DGEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@@H](C)Cc1ccc(Cl)cc1 ZINC000179795928 659942071 /nfs/dbraw/zinc/94/20/71/659942071.db2.gz AWAUSOOQEOUCJP-SWLSCSKDSA-N 0 1 320.820 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2cc(C)cc(C)c2)C[C@@H]1C ZINC000939054996 659979283 /nfs/dbraw/zinc/97/92/83/659979283.db2.gz RXWNIHGMXNRALN-WMLDXEAASA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3ccc(F)cc3s2)C1 ZINC001031823208 660000859 /nfs/dbraw/zinc/00/08/59/660000859.db2.gz SUOIIRHKZDFRIC-UHFFFAOYSA-N 0 1 318.417 3.278 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC(C)(NCc2ncc(C)o2)CC1 ZINC000940248944 660033444 /nfs/dbraw/zinc/03/34/44/660033444.db2.gz GLCGFHIUQIKZEV-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C(C)(C)C1 ZINC000941120007 660087163 /nfs/dbraw/zinc/08/71/63/660087163.db2.gz PGRFQGGGCGQUHF-BZSNNMDCSA-N 0 1 318.505 3.299 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cc3ccccc3o2)C(C)(C)C1 ZINC000941197525 660093891 /nfs/dbraw/zinc/09/38/91/660093891.db2.gz CINGOKCIBOVKIA-GOSISDBHSA-N 0 1 324.424 3.286 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)[C@@]2(C)CC=C(C)CC2)C(C)(C)C1 ZINC000941232861 660096095 /nfs/dbraw/zinc/09/60/95/660096095.db2.gz PTHLCNIETRPADK-PXNSSMCTSA-N 0 1 316.489 3.363 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(C)CCC(C)CC2)C(C)(C)C1 ZINC000941244486 660097807 /nfs/dbraw/zinc/09/78/07/660097807.db2.gz LIKAXUVHCTVDHF-WUJZJPHMSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2sccc2CC)C(C)(C)C1 ZINC000941237127 660098671 /nfs/dbraw/zinc/09/86/71/660098671.db2.gz IMEPWMJGNXUAGC-HNNXBMFYSA-N 0 1 318.486 3.164 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2coc3ccccc23)C(C)(C)C1 ZINC000941252804 660098688 /nfs/dbraw/zinc/09/86/88/660098688.db2.gz KWRJGBICAMUHEB-GOSISDBHSA-N 0 1 324.424 3.286 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)c1cc(F)cc2[nH]cnc21 ZINC000183101953 660101704 /nfs/dbraw/zinc/10/17/04/660101704.db2.gz NBIVESYOMSQVMF-SNVBAGLBSA-N 0 1 324.315 3.245 20 30 DGEDMN Cn1nccc1[C@H]1CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC000929292670 661294518 /nfs/dbraw/zinc/29/45/18/661294518.db2.gz GXUODOHEQFCRFD-HNNXBMFYSA-N 0 1 314.820 3.325 20 30 DGEDMN N#CC1CCC(CN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000929350755 661299908 /nfs/dbraw/zinc/29/99/08/661299908.db2.gz BDKFQYQVAPWMSV-UHFFFAOYSA-N 0 1 317.477 3.041 20 30 DGEDMN CN(C)c1cccc([C@@H]2CCCN2Cc2cncc(C#N)c2)c1 ZINC000929718787 661331795 /nfs/dbraw/zinc/33/17/95/661331795.db2.gz TVCNHDVBDDNMJK-IBGZPJMESA-N 0 1 306.413 3.356 20 30 DGEDMN N#Cc1cncc(CN2CCC(c3c[nH]c4ncccc34)CC2)c1 ZINC000929981280 661355827 /nfs/dbraw/zinc/35/58/27/661355827.db2.gz KEHQCGFCFAWKLJ-UHFFFAOYSA-N 0 1 317.396 3.209 20 30 DGEDMN C[C@H](C#N)CN(C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C)C1CC1 ZINC000930088097 661365232 /nfs/dbraw/zinc/36/52/32/661365232.db2.gz PXLIGLOFYBWCTL-RBSFLKMASA-N 0 1 321.465 3.113 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)c1cccc(C)c1 ZINC000930455241 661399825 /nfs/dbraw/zinc/39/98/25/661399825.db2.gz JCUBYXWVEKFQQB-LJQANCHMSA-N 0 1 315.413 3.192 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCN(c2cccc(F)n2)CC1 ZINC000930633827 661417313 /nfs/dbraw/zinc/41/73/13/661417313.db2.gz GSQGLSHFVFHVBP-UHFFFAOYSA-N 0 1 324.403 3.031 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@H](n3ccnn3)CC2)c1 ZINC000931007843 661445125 /nfs/dbraw/zinc/44/51/25/661445125.db2.gz WPHWBSDGKJCVPL-INIZCTEOSA-N 0 1 315.808 3.030 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cnc(C4CC4)o3)CC2)nc1 ZINC000931113618 661453057 /nfs/dbraw/zinc/45/30/57/661453057.db2.gz RPFWKMJAGSKDIX-UHFFFAOYSA-N 0 1 308.385 3.198 20 30 DGEDMN C#CC1(O)CCN([C@H](CC)c2ccc(Br)cc2)CC1 ZINC000931145008 661456604 /nfs/dbraw/zinc/45/66/04/661456604.db2.gz AHXIYACPBFXBJU-OAHLLOKOSA-N 0 1 322.246 3.360 20 30 DGEDMN COc1ccc([C@H]2CCN(Cc3cncc(C#N)c3)C2)cc1F ZINC000931334682 661468439 /nfs/dbraw/zinc/46/84/39/661468439.db2.gz QUNUEKNHYCOLLU-INIZCTEOSA-N 0 1 311.360 3.090 20 30 DGEDMN COC(=O)CC[C@@H]1CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC000931620930 661493788 /nfs/dbraw/zinc/49/37/88/661493788.db2.gz SKXAGFOBQWQHPA-ZDUSSCGKSA-N 0 1 320.820 3.377 20 30 DGEDMN Cc1csc(C[C@H]2CCCN(Cc3cncc(C#N)c3)C2)n1 ZINC000931750487 661505354 /nfs/dbraw/zinc/50/53/54/661505354.db2.gz PDZMDVNVAFLMNR-CQSZACIVSA-N 0 1 312.442 3.173 20 30 DGEDMN N#CCSCCCN1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000931795181 661509620 /nfs/dbraw/zinc/50/96/20/661509620.db2.gz TUARWJQQZVJFPH-KRWDZBQOSA-N 0 1 312.442 3.004 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)c1cc(F)cc(F)c1O ZINC000932041150 661532654 /nfs/dbraw/zinc/53/26/54/661532654.db2.gz SXKCCLOXVVFQRO-UHFFFAOYSA-N 0 1 310.344 3.462 20 30 DGEDMN Cn1ccc([C@H]2CCCN(CC#Cc3ccc(Cl)cc3)C2)n1 ZINC000932132910 661540841 /nfs/dbraw/zinc/54/08/41/661540841.db2.gz PLLCTNBUJNTJCB-INIZCTEOSA-N 0 1 313.832 3.305 20 30 DGEDMN C[C@@H](N[C@@H](c1ccccn1)C1CC1)C(=O)Nc1cccc(C#N)c1 ZINC000932377816 661563699 /nfs/dbraw/zinc/56/36/99/661563699.db2.gz QPXPDWODIXVDGB-FZKQIMNGSA-N 0 1 320.396 3.021 20 30 DGEDMN C[C@H](N[C@@H](c1ccccn1)C1CC1)C(=O)Nc1ccccc1C#N ZINC000932381248 661564297 /nfs/dbraw/zinc/56/42/97/661564297.db2.gz PSDKCCNZMKRYPQ-SCLBCKFNSA-N 0 1 320.396 3.021 20 30 DGEDMN C#CCCCCCNC1(c2ncc(Br)cn2)CCC1 ZINC000933320916 661629038 /nfs/dbraw/zinc/62/90/38/661629038.db2.gz VJDWTOPOFYDASS-UHFFFAOYSA-N 0 1 322.250 3.402 20 30 DGEDMN CCc1nc([C@@H](C)N2CCC(c3ccc(C#N)cc3)CC2)n[nH]1 ZINC000933606305 661644414 /nfs/dbraw/zinc/64/44/14/661644414.db2.gz IIBGZXUDYCQBMU-CYBMUJFWSA-N 0 1 309.417 3.179 20 30 DGEDMN COc1ccc(CN2CCC(c3ccc(C#N)cc3)CC2)nc1 ZINC000933613284 661644879 /nfs/dbraw/zinc/64/48/79/661644879.db2.gz XSCGURIFFCXZBM-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CC[C@@H](C)NC(=O)[C@@H](C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933614370 661645322 /nfs/dbraw/zinc/64/53/22/661645322.db2.gz OIDIRIWOWFRRAD-HUUCEWRRSA-N 0 1 313.445 3.041 20 30 DGEDMN COc1ccc(CCN2CCO[C@H](c3ccc(C#N)cc3)C2)cc1 ZINC000933626717 661645900 /nfs/dbraw/zinc/64/59/00/661645900.db2.gz ZXWSXBHRJPYPEJ-FQEVSTJZSA-N 0 1 322.408 3.183 20 30 DGEDMN C[C@@H]1C[C@H](CN2CCO[C@H](c3ccc(C#N)cc3)C2)C[C@H](C)O1 ZINC000933631743 661646920 /nfs/dbraw/zinc/64/69/20/661646920.db2.gz KYLYOFLJQMIRBG-MGTHKWJCSA-N 0 1 314.429 3.135 20 30 DGEDMN Cc1nc(C(C)C)ccc1CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933647847 661647872 /nfs/dbraw/zinc/64/78/72/661647872.db2.gz MZTUQGYONIUJJR-UHFFFAOYSA-N 0 1 314.429 3.351 20 30 DGEDMN O=C1C=COC2(CCN(CCc3ccccc3Cl)CC2)C1 ZINC000933653223 661648760 /nfs/dbraw/zinc/64/87/60/661648760.db2.gz WEXZUHYHLIQPLU-UHFFFAOYSA-N 0 1 305.805 3.220 20 30 DGEDMN COc1ccc2c(c1)C[C@H](CN(C)Cc1cc(C#N)cs1)O2 ZINC000933771027 661656680 /nfs/dbraw/zinc/65/66/80/661656680.db2.gz VBYKKKZHPORAEZ-OAHLLOKOSA-N 0 1 314.410 3.064 20 30 DGEDMN CN(CCOc1ccccc1[N+](=O)[O-])Cc1cc(C#N)cs1 ZINC000933777705 661657583 /nfs/dbraw/zinc/65/75/83/661657583.db2.gz NQZMECSECCZMFR-UHFFFAOYSA-N 0 1 317.370 3.039 20 30 DGEDMN CCc1cc(CNC(=O)Nc2ccc(C#N)cc2C2CC2)n[nH]1 ZINC000933838059 661661596 /nfs/dbraw/zinc/66/15/96/661661596.db2.gz LLXGRBBYXBEKQG-UHFFFAOYSA-N 0 1 309.373 3.043 20 30 DGEDMN C=CC[C@H]1CCN1[C@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000934467284 661709066 /nfs/dbraw/zinc/70/90/66/661709066.db2.gz VQSTVQFCYUILTR-QAPCUYQASA-N 0 1 313.445 3.264 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)N[C@H]1CCN(C)[C@H]1c1ccccc1 ZINC000935120229 661761476 /nfs/dbraw/zinc/76/14/76/661761476.db2.gz VMVIIVIDGPRGNX-OALUTQOASA-N 0 1 319.408 3.042 20 30 DGEDMN N#CC(C(=O)[C@@H]1COc2ccc(Cl)cc2C1)c1ccccn1 ZINC000041492673 661834628 /nfs/dbraw/zinc/83/46/28/661834628.db2.gz INALRKNIEIRFSK-JSGCOSHPSA-N 0 1 312.756 3.163 20 30 DGEDMN N#CC(C(=O)Cc1ccc(OC(F)F)cc1)c1ccccn1 ZINC000041493161 661834632 /nfs/dbraw/zinc/83/46/32/661834632.db2.gz BEZMHEQGRXNWAZ-ZDUSSCGKSA-N 0 1 302.280 3.102 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C=C2CCC2)CCN1CC#Cc1ccccc1 ZINC000947185746 661895350 /nfs/dbraw/zinc/89/53/50/661895350.db2.gz NUIKMNRKHHNUIW-YLJYHZDGSA-N 0 1 322.452 3.118 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C=C2CCC2)CCN1Cc1ccccc1C#N ZINC000947187716 661897996 /nfs/dbraw/zinc/89/79/96/661897996.db2.gz YTZJXBFQKPWYFF-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C[C@H]2C=CCC2)CC1 ZINC000948173684 661957322 /nfs/dbraw/zinc/95/73/22/661957322.db2.gz RPQMADCSWLIYAE-HOTGVXAUSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CC1 ZINC000948269415 661963945 /nfs/dbraw/zinc/96/39/45/661963945.db2.gz NQUBOWSWMURGMC-MWDXBVQZSA-N 0 1 324.896 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C2C=CC=CC=C2)CC1 ZINC000948416536 661974627 /nfs/dbraw/zinc/97/46/27/661974627.db2.gz IMRPEUCLYGSTCF-KRWDZBQOSA-N 0 1 320.864 3.350 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2CCC2(F)F)CC1 ZINC000948473184 661977435 /nfs/dbraw/zinc/97/74/35/661977435.db2.gz BLBNSEDMRIGOLA-OLZOCXBDSA-N 0 1 320.811 3.097 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948680228 661990352 /nfs/dbraw/zinc/99/03/52/661990352.db2.gz FCQQVYKSDAJEJP-YZGWKJHDSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1cccc2nn[nH]c21 ZINC000189896391 661995889 /nfs/dbraw/zinc/99/58/89/661995889.db2.gz GSUODAPZRLNBQA-UHFFFAOYSA-N 0 1 322.368 3.108 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)c1cc[nH]c1C ZINC000948902137 662006022 /nfs/dbraw/zinc/00/60/22/662006022.db2.gz MYOCOFLYIFWHSK-IBGZPJMESA-N 0 1 323.440 3.228 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2(c3ccccc3)CCC2)CC1 ZINC000949181956 662012570 /nfs/dbraw/zinc/01/25/70/662012570.db2.gz UDOOJGRGVMLHHO-UHFFFAOYSA-N 0 1 312.457 3.219 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc3c4c(cccc42)CC3)CC1 ZINC000949201023 662012749 /nfs/dbraw/zinc/01/27/49/662012749.db2.gz ASZSFHVMLGKADL-UHFFFAOYSA-N 0 1 320.436 3.272 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(CCCC3CCC3)CC2)cc1 ZINC000949597041 662020436 /nfs/dbraw/zinc/02/04/36/662020436.db2.gz KOIXSHNVPCLUGB-UHFFFAOYSA-N 0 1 310.441 3.006 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@@](C)(CC)C2)c1O ZINC000190328842 662028539 /nfs/dbraw/zinc/02/85/39/662028539.db2.gz KURBXWDIRWWJNT-SFHVURJKSA-N 0 1 305.418 3.130 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C)c3c2CCC3)CC1 ZINC001006452216 662047962 /nfs/dbraw/zinc/04/79/62/662047962.db2.gz RECSCFRVDGHBAK-UHFFFAOYSA-N 0 1 324.468 3.043 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCC[C@H]2C(F)F)C1 ZINC000950505665 662069639 /nfs/dbraw/zinc/06/96/39/662069639.db2.gz ZZGUNWFEDZRADB-HUUCEWRRSA-N 0 1 314.420 3.167 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCCCC2(C)C)CC1 ZINC001006478662 662142134 /nfs/dbraw/zinc/14/21/34/662142134.db2.gz QERDBIBTNAHJPH-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C1CCC(C(=O)N(CC)C2CN(CC3CC(C)C3)C2)CC1 ZINC000951619248 662142939 /nfs/dbraw/zinc/14/29/39/662142939.db2.gz FNAPRRQEIVBZGQ-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc[nH]c2C2CCC2)C1 ZINC000951750100 662150094 /nfs/dbraw/zinc/15/00/94/662150094.db2.gz PURXPWFPQXPKQS-UHFFFAOYSA-N 0 1 315.461 3.395 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](C)c2ccc(CC)cc2)CC1 ZINC000951773412 662151073 /nfs/dbraw/zinc/15/10/73/662151073.db2.gz ODXLLFPHXZRCHT-MRXNPFEDSA-N 0 1 300.446 3.073 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3[nH]ccc32)C1 ZINC000952314279 662181474 /nfs/dbraw/zinc/18/14/74/662181474.db2.gz OUQXVQHMLVWKGA-UHFFFAOYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCCN1CCN(C(=O)C(C)(C)c2ccc(CC)cc2)CC1 ZINC000957117268 662351258 /nfs/dbraw/zinc/35/12/58/662351258.db2.gz BBNKREIARPCWBQ-UHFFFAOYSA-N 0 1 314.473 3.247 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC000970466565 685334195 /nfs/dbraw/zinc/33/41/95/685334195.db2.gz IIGRLKAZWOJMJB-AWEZNQCLSA-N 0 1 310.397 3.183 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccn(C(C)C)c2C)C(C)(C)C1 ZINC000974953415 685339406 /nfs/dbraw/zinc/33/94/06/685339406.db2.gz KZBMULRSEZUIJL-INIZCTEOSA-N 0 1 303.450 3.004 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cccc(F)c2C)C1 ZINC000988869351 685355732 /nfs/dbraw/zinc/35/57/32/685355732.db2.gz YBOKAYXTYQUDDL-YPMHNXCESA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001046384524 685400085 /nfs/dbraw/zinc/40/00/85/685400085.db2.gz DTACWNQDHAIHEK-INIZCTEOSA-N 0 1 312.360 3.296 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)c(OC)c(C)c2)CC[C@@H]1C ZINC001071522795 686676952 /nfs/dbraw/zinc/67/69/52/686676952.db2.gz UPXSMVFYFDVICO-DOTOQJQBSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(Cl)c2C)CC[C@@H]1C ZINC001071527663 686680378 /nfs/dbraw/zinc/68/03/78/686680378.db2.gz GYOYWGLKSWUIQE-GXTWGEPZSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2conc2C2CCCC2)CC[C@H]1C ZINC001071562037 686689083 /nfs/dbraw/zinc/68/90/83/686689083.db2.gz YRHGDDVDKGCPLX-UKRRQHHQSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2C3CC4CC(C3)CC2C4)CC[C@H]1C ZINC001071572614 686692212 /nfs/dbraw/zinc/69/22/12/686692212.db2.gz YGACNPDYWNIYMV-YUPKVTIOSA-N 0 1 316.489 3.214 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2coc3c2cccc3C)CC[C@H]1C ZINC001071572711 686692227 /nfs/dbraw/zinc/69/22/27/686692227.db2.gz ZYOZDCZVPGGURM-CVEARBPZSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3c2CCCCC3)CC[C@@H]1C ZINC001071590862 686695937 /nfs/dbraw/zinc/69/59/37/686695937.db2.gz JEQXWDMUSBMQSZ-WMZOPIPTSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(F)cc2F)CC[C@@H]1C ZINC001071602307 686698234 /nfs/dbraw/zinc/69/82/34/686698234.db2.gz IGMYVSRICFIALI-STQMWFEESA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-n3cccc3)cc2)CC[C@@H]1C ZINC001071654224 686713620 /nfs/dbraw/zinc/71/36/20/686713620.db2.gz DJRVKDRXLYHFAY-FUHWJXTLSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccnc3ccccc32)CC[C@H]1C ZINC001071662895 686716313 /nfs/dbraw/zinc/71/63/13/686716313.db2.gz FVCXTINSRPKGSK-CABCVRRESA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccccc2CCC)CC[C@H]1C ZINC001071672923 686720576 /nfs/dbraw/zinc/72/05/76/686720576.db2.gz GIHXPILTVVEQQY-SJLPKXTDSA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(-c3ccco3)o2)CC[C@H]1C ZINC001071674490 686720594 /nfs/dbraw/zinc/72/05/94/686720594.db2.gz VAGNHQVADTZOMM-ZIAGYGMSSA-N 0 1 314.385 3.308 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(C(C)(C)C)on2)CC[C@H]1C ZINC001071675742 686721042 /nfs/dbraw/zinc/72/10/42/686721042.db2.gz HDHKRFKCSVPHMK-ZIAGYGMSSA-N 0 1 319.449 3.131 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)Cc2cccc(C3CC3)c2)CC[C@H]1C ZINC001071701606 686727223 /nfs/dbraw/zinc/72/72/23/686727223.db2.gz LLNDJHMQJAQBCF-UZLBHIALSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)nc2C(C)C)CC[C@@H]1C ZINC001071715778 686732289 /nfs/dbraw/zinc/73/22/89/686732289.db2.gz KEYCEXKTPMUDJE-JKSUJKDBSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)c(Cl)[nH]2)CC[C@@H]1C ZINC001071718868 686733411 /nfs/dbraw/zinc/73/34/11/686733411.db2.gz RGTUMSZKFXQVPT-UWVGGRQHSA-N 0 1 316.232 3.090 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)CC[C@H]1C ZINC001071739866 686738634 /nfs/dbraw/zinc/73/86/34/686738634.db2.gz ZIQAJWKRNDRDQJ-ZBFHGGJFSA-N 0 1 323.440 3.001 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)c2cccs2)CC[C@@H]1C ZINC001071741752 686739164 /nfs/dbraw/zinc/73/91/64/686739164.db2.gz JWMNOZPXHZDSOW-LSDHHAIUSA-N 0 1 318.486 3.018 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccn(C(C)C)c2C)CC[C@H]1C ZINC001071761738 686742431 /nfs/dbraw/zinc/74/24/31/686742431.db2.gz BVNDWJOJOJVCKK-ZBFHGGJFSA-N 0 1 303.450 3.146 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@@H]1C ZINC001071775745 686748545 /nfs/dbraw/zinc/74/85/45/686748545.db2.gz HMRUBHKAVDWNJP-WCQYABFASA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@H]1C ZINC001071796204 686756608 /nfs/dbraw/zinc/75/66/08/686756608.db2.gz QKIUKABCTBAHPE-OLZOCXBDSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)C)nc2C)CC[C@@H]1C ZINC001071828724 686763237 /nfs/dbraw/zinc/76/32/37/686763237.db2.gz SSDPUWNNAIGQAA-GOEBONIOSA-N 0 1 315.461 3.282 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2ccc(C)c3ccccc23)CC[C@@H]1C ZINC001071847397 686770940 /nfs/dbraw/zinc/77/09/40/686770940.db2.gz KOCAXUZOQXTLMV-IRXDYDNUSA-N 0 1 320.436 3.364 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(C)cc2OC)CC[C@H]1C ZINC001071869286 686776636 /nfs/dbraw/zinc/77/66/36/686776636.db2.gz PGWCSMYIUJTCOX-TZMCWYRMSA-N 0 1 322.474 3.224 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@H]1C ZINC001071880048 686779404 /nfs/dbraw/zinc/77/94/04/686779404.db2.gz OIPJWUCQBYOIPB-NZEHOUKNSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2csc(C(C)C)n2)CC[C@H]1C ZINC001071901672 686787754 /nfs/dbraw/zinc/78/77/54/686787754.db2.gz UVNPHGJSSARGIN-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C3CC3)s2)CC[C@H]1C ZINC001071987466 686804725 /nfs/dbraw/zinc/80/47/25/686804725.db2.gz DDZZQENMLAQZLE-TZMCWYRMSA-N 0 1 304.459 3.394 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC(C)C)cc2)CC[C@H]1C ZINC001072049962 686844417 /nfs/dbraw/zinc/84/44/17/686844417.db2.gz SBFWDOVBXORERR-WBVHZDCISA-N 0 1 316.445 3.243 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3ccccc3)CCCC2)CC[C@@H]1C ZINC001072052838 686847459 /nfs/dbraw/zinc/84/74/59/686847459.db2.gz PLRMCLWVFLDDNB-PKOBYXMFSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@@H]1C ZINC001072105766 686869299 /nfs/dbraw/zinc/86/92/99/686869299.db2.gz LNKXEJRANVYMPD-JKSUJKDBSA-N 0 1 312.457 3.252 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cnc(C(C)C)s2)CC[C@@H]1C ZINC001072125905 686876528 /nfs/dbraw/zinc/87/65/28/686876528.db2.gz HKVALJPRVFNFDL-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C3=CCCC3)cc2)CC[C@@H]1C ZINC001072123528 686877239 /nfs/dbraw/zinc/87/72/39/686877239.db2.gz SBHHZLBGMXHXSH-OXJNMPFZSA-N 0 1 322.452 3.470 20 30 DGEDMN CCC(CC)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001072482824 686921378 /nfs/dbraw/zinc/92/13/78/686921378.db2.gz YQKIOMOXDOPNRW-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)[C@H]1C ZINC001074650317 687289277 /nfs/dbraw/zinc/28/92/77/687289277.db2.gz GXRMFJPQPHRWLY-ZNMIVQPWSA-N 0 1 310.869 3.288 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2C[C@@H]2c2ccccc2)[C@H]1C ZINC001074947268 687319582 /nfs/dbraw/zinc/31/95/82/687319582.db2.gz CLOMLPZWQOESLY-ORQFMDKSSA-N 0 1 318.848 3.122 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)C3CCCCC3)[C@@H]2C1 ZINC001076353618 687512855 /nfs/dbraw/zinc/51/28/55/687512855.db2.gz OAMQEGGRPSNZLQ-NZSAHSFTSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc4sccc4c3)[C@@H]2C1 ZINC001076418592 687520998 /nfs/dbraw/zinc/52/09/98/687520998.db2.gz GHKXYHCPEVJUNY-DLBZAZTESA-N 0 1 324.449 3.071 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C)c(F)cc3Cl)[C@@H]2C1 ZINC001076507034 687531728 /nfs/dbraw/zinc/53/17/28/687531728.db2.gz PARNRUOCWWVLCH-BLLLJJGKSA-N 0 1 322.811 3.120 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4c(cccc4C)o3)[C@@H]2C1 ZINC001076620637 687545446 /nfs/dbraw/zinc/54/54/46/687545446.db2.gz JLBQMBNPLQUUBF-GOEBONIOSA-N 0 1 310.397 3.074 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC)CC[C@H]1c1ccc(F)cc1 ZINC001079148022 687794973 /nfs/dbraw/zinc/79/49/73/687794973.db2.gz WOGARFGJBCZPCA-JKSUJKDBSA-N 0 1 324.827 3.262 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2O[C@H](C)CC)C1 ZINC001080530816 687993252 /nfs/dbraw/zinc/99/32/52/687993252.db2.gz WJKPXAKIGDUVEB-BFYDXBDKSA-N 0 1 316.445 3.100 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001080541376 687994360 /nfs/dbraw/zinc/99/43/60/687994360.db2.gz QLSICTQZEOSHAF-IUODEOHRSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)CC)C[C@H]1c1ccccc1 ZINC001081090262 688050457 /nfs/dbraw/zinc/05/04/57/688050457.db2.gz AHMVTDUXJNYQEJ-RRQGHBQHSA-N 0 1 320.864 3.369 20 30 DGEDMN CCOc1ccccc1[C@H](N)CC(=O)Nc1cccc(CC#N)c1 ZINC001578204058 1191932418 /nfs/dbraw/zinc/93/24/18/1191932418.db2.gz QRQHIGDEVPKYOP-QGZVFWFLSA-N 0 1 323.396 3.180 20 30 DGEDMN N#Cc1cc(NC(=O)[C@@H]2NCCc3ccccc32)ccc1C1CC1 ZINC001580062622 1192005244 /nfs/dbraw/zinc/00/52/44/1192005244.db2.gz KSAPRCLGUHOMDA-LJQANCHMSA-N 0 1 317.392 3.261 20 30 DGEDMN NCc1ccc(C(=O)Nc2cccc(C#Cc3ccccc3)c2)o1 ZINC001580337424 1192022205 /nfs/dbraw/zinc/02/22/05/1192022205.db2.gz XYGPESGGGZXVRN-UHFFFAOYSA-N 0 1 316.360 3.390 20 30 DGEDMN C=C[C@](C)(CC)C(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001586151934 1192312267 /nfs/dbraw/zinc/31/22/67/1192312267.db2.gz FCHQUXKOCAVOLV-QGZVFWFLSA-N 0 1 315.373 3.280 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccccc1C#Cc1ccccc1 ZINC001587735640 1192414938 /nfs/dbraw/zinc/41/49/38/1192414938.db2.gz DNMURANJMDHDBJ-UHFFFAOYSA-N 0 1 306.409 3.367 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2cc(Cl)cc(-c3nn[nH]n3)c2)C1 ZINC001588559961 1192450353 /nfs/dbraw/zinc/45/03/53/1192450353.db2.gz MLRVHEAWBQJOMF-LBPRGKRZSA-N 0 1 317.824 3.308 20 30 DGEDMN N#CCC[C@@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccccc1 ZINC001620868194 1192491345 /nfs/dbraw/zinc/49/13/45/1192491345.db2.gz SNCQQPWUOBDFEK-OAHLLOKOSA-N 0 1 306.369 3.403 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H](CN(C)CC(=C)Cl)C1 ZINC001664812317 1197062127 /nfs/dbraw/zinc/06/21/27/1197062127.db2.gz QHPGNJIDAQVXDS-OAHLLOKOSA-N 0 1 310.869 3.288 20 30 DGEDMN Cc1cnc(Cl)cc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595740404 1192668437 /nfs/dbraw/zinc/66/84/37/1192668437.db2.gz UAAAMYZZZSTDIF-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CC(C)Oc1ccccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001595733949 1192667541 /nfs/dbraw/zinc/66/75/41/1192667541.db2.gz GLZLDOAYYOZQIS-UHFFFAOYSA-N 0 1 320.352 3.474 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C2(CCC)CC2)C1 ZINC001671968735 1176023323 /nfs/dbraw/zinc/02/33/23/1176023323.db2.gz OIACRFCXQHNURU-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1cccc(C)c1)C1CC1 ZINC001670529832 1176160559 /nfs/dbraw/zinc/16/05/59/1176160559.db2.gz WSXGJQKUXTZUED-PBHICJAKSA-N 0 1 320.864 3.335 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001670677866 1176213862 /nfs/dbraw/zinc/21/38/62/1176213862.db2.gz WGQKZGKUMGAAAO-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cccc(C)c1)c1ccccc1 ZINC001691771851 1176236171 /nfs/dbraw/zinc/23/61/71/1176236171.db2.gz GGHYWDHXYMCTEZ-LJQANCHMSA-N 0 1 306.409 3.079 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001691075232 1176297765 /nfs/dbraw/zinc/29/77/65/1176297765.db2.gz QJFCNWGXHLMLSF-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001691075232 1176297768 /nfs/dbraw/zinc/29/77/68/1176297768.db2.gz QJFCNWGXHLMLSF-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1cnc(C)s1 ZINC001691082167 1176299815 /nfs/dbraw/zinc/29/98/15/1176299815.db2.gz GPHNWVHFIMUBLQ-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001691099620 1176311903 /nfs/dbraw/zinc/31/19/03/1176311903.db2.gz DHRUIRBPJLXSIH-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C1(C)CCC1 ZINC001691187699 1176370332 /nfs/dbraw/zinc/37/03/32/1176370332.db2.gz RGKORNUQXRQXBJ-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691199859 1176378982 /nfs/dbraw/zinc/37/89/82/1176378982.db2.gz JPXHPRXOSVDJFS-ZVDOUQERSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[N@H+](Cc1cncc(C)c1)C1CC1 ZINC001691227842 1176403741 /nfs/dbraw/zinc/40/37/41/1176403741.db2.gz JLYRURXTJXKDBP-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(Cc1cncc(C)c1)C1CC1 ZINC001691227842 1176403748 /nfs/dbraw/zinc/40/37/48/1176403748.db2.gz JLYRURXTJXKDBP-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1c(F)cccc1F ZINC001691395309 1176463114 /nfs/dbraw/zinc/46/31/14/1176463114.db2.gz IMTCAPPILIXUJR-AWEZNQCLSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C(C)(C)CC(C)(C)C ZINC001691416453 1176475428 /nfs/dbraw/zinc/47/54/28/1176475428.db2.gz JQQHJADROHNCNY-MRXNPFEDSA-N 0 1 324.509 3.184 20 30 DGEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)C(C)(C)CC(C)(C)C ZINC001691416453 1176475431 /nfs/dbraw/zinc/47/54/31/1176475431.db2.gz JQQHJADROHNCNY-MRXNPFEDSA-N 0 1 324.509 3.184 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001754567153 1176607557 /nfs/dbraw/zinc/60/75/57/1176607557.db2.gz HAYVOJKBCWUUSX-MPNRVQBSSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1ccccc1C#N ZINC001752077258 1176658368 /nfs/dbraw/zinc/65/83/68/1176658368.db2.gz YXVZWPVXLWUOSC-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CCN(C[C@H](F)CC)CC1 ZINC001752301765 1176722230 /nfs/dbraw/zinc/72/22/30/1176722230.db2.gz SAOUHJQRSDSWDT-MRXNPFEDSA-N 0 1 310.457 3.426 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CCC)CC(C)C)C(C)(C)C1 ZINC001755143025 1176773762 /nfs/dbraw/zinc/77/37/62/1176773762.db2.gz GZGZXBXENLTTIT-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cscc1Cl ZINC001671313734 1176804792 /nfs/dbraw/zinc/80/47/92/1176804792.db2.gz UTQINKUKSCOQTJ-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN CC(C)(C)C#CC(=O)NCC1(NCc2sccc2Cl)CC1 ZINC001670781922 1176837217 /nfs/dbraw/zinc/83/72/17/1176837217.db2.gz QNSFATHWBWQULT-UHFFFAOYSA-N 0 1 324.877 3.189 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C(C)(C)c1ccccc1)C1CC1 ZINC001752494029 1176857718 /nfs/dbraw/zinc/85/77/18/1176857718.db2.gz MMCUYGCTMDDRKV-MRXNPFEDSA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](CC)CC(F)(F)F ZINC001753720713 1176939229 /nfs/dbraw/zinc/93/92/29/1176939229.db2.gz FOPXMNNXPXSEKQ-QWRGUYRKSA-N 0 1 314.779 3.154 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@](C)(OC)C1 ZINC001621303493 1192778918 /nfs/dbraw/zinc/77/89/18/1192778918.db2.gz AABIIYLZQOFJIU-KRWDZBQOSA-N 0 1 307.821 3.353 20 30 DGEDMN CCC(CC)C(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC000586064038 1177028450 /nfs/dbraw/zinc/02/84/50/1177028450.db2.gz JZIIQGUZCGUNQJ-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001752822914 1177204620 /nfs/dbraw/zinc/20/46/20/1177204620.db2.gz QPIIBNZQBCQEPU-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001670833270 1177217579 /nfs/dbraw/zinc/21/75/79/1177217579.db2.gz STWAMGJSRVWVCO-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)C1CCN(C/C=C/Cl)CC1 ZINC001755848674 1177283204 /nfs/dbraw/zinc/28/32/04/1177283204.db2.gz WYVXDTFEIQBURK-USYSOWRXSA-N 0 1 310.869 3.149 20 30 DGEDMN Cc1cc(C)cc(C(=O)N(C)CCNCc2ccccc2C#N)c1 ZINC001691574877 1177316178 /nfs/dbraw/zinc/31/61/78/1177316178.db2.gz VFBFTWGEHAZZOB-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1scnc1C(C)C ZINC001700770739 1177371390 /nfs/dbraw/zinc/37/13/90/1177371390.db2.gz ILLMDXDDRAARSX-NSHDSACASA-N 0 1 315.870 3.069 20 30 DGEDMN Cc1cc(C[NH2+]Cc2ccc(C#N)c(Cl)c2)oc1C(=O)[O-] ZINC001600209558 1192808440 /nfs/dbraw/zinc/80/84/40/1192808440.db2.gz ONACLPFPKDITNK-UHFFFAOYSA-N 0 1 304.733 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001752923855 1177443391 /nfs/dbraw/zinc/44/33/91/1177443391.db2.gz HGLRLZOWNRWPTN-RGDDUWESSA-N 0 1 306.837 3.245 20 30 DGEDMN CCCCC(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001671398735 1177545957 /nfs/dbraw/zinc/54/59/57/1177545957.db2.gz RQEFZHOOOMNREY-UHFFFAOYSA-N 0 1 319.474 3.281 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1[C@@H]1CCN(CCF)C1 ZINC001670924497 1177546727 /nfs/dbraw/zinc/54/67/27/1177546727.db2.gz ZSXZWXTYHNTUCJ-HZPDHXFCSA-N 0 1 310.457 3.261 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1cccc(F)c1 ZINC001691682147 1177684723 /nfs/dbraw/zinc/68/47/23/1177684723.db2.gz ISZGLTPGGVHDOL-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN Cn1ccnc1C[C@@H](NCc1csc(C#N)c1)c1ccccc1 ZINC001621016649 1192833620 /nfs/dbraw/zinc/83/36/20/1192833620.db2.gz RGHLUEYWLLOWFM-QGZVFWFLSA-N 0 1 322.437 3.427 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2ncc(C)s2)CCCC1 ZINC001275476282 1177930040 /nfs/dbraw/zinc/93/00/40/1177930040.db2.gz LDIZZDQMZGNWCW-ZDUSSCGKSA-N 0 1 321.490 3.182 20 30 DGEDMN Cc1ccnc(C(C)C)c1NS(=O)(=O)c1cccc(C#N)c1 ZINC001259119135 1178082316 /nfs/dbraw/zinc/08/23/16/1178082316.db2.gz JQTUUSAIBSIGFX-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C[C@H]1CCN(Cc2ccccc2)CC1N=Nc1ccc(C#N)cn1 ZINC001330911600 1178091034 /nfs/dbraw/zinc/09/10/34/1178091034.db2.gz TUYOJVJVNNUDGR-HNNXBMFYSA-N 0 1 319.412 3.263 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1C#N ZINC001331256812 1178166084 /nfs/dbraw/zinc/16/60/84/1178166084.db2.gz FDMNRNLDEWVGHE-CQSZACIVSA-N 0 1 313.788 3.392 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@@H](C)NCc1c(C)noc1CC ZINC001331456756 1178217500 /nfs/dbraw/zinc/21/75/00/1178217500.db2.gz BWIXEZAKHJMIPP-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN Cc1nc2ccc(CN3C[C@H](C)N(CC#N)[C@@H](C)C3)cc2s1 ZINC001331580618 1178252273 /nfs/dbraw/zinc/25/22/73/1178252273.db2.gz DFVSPQQNNNMJCU-STQMWFEESA-N 0 1 314.458 3.023 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2(C)CCCCC2)[C@@H]1C ZINC001332185204 1178386133 /nfs/dbraw/zinc/38/61/33/1178386133.db2.gz OCHQPPGLMMPZIF-IRXDYDNUSA-N 0 1 304.478 3.339 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)oc1C)C(C)(C)C ZINC001332756937 1178493733 /nfs/dbraw/zinc/49/37/33/1178493733.db2.gz NXNPCXAGBKQMAR-CQSZACIVSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CN[C@H](C)c1cnccn1)C(C)(C)C ZINC001332811772 1178501325 /nfs/dbraw/zinc/50/13/25/1178501325.db2.gz WVPUUCJZYIZAHL-ZBFHGGJFSA-N 0 1 318.465 3.014 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCSC3(CCOCC3)C2)c1 ZINC001332886619 1178515826 /nfs/dbraw/zinc/51/58/26/1178515826.db2.gz ZRCWIXPQHYWMIY-UHFFFAOYSA-N 0 1 322.861 3.310 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C[C@H](CC)C(C)C)c(F)c1 ZINC001333481033 1178612844 /nfs/dbraw/zinc/61/28/44/1178612844.db2.gz ZMOAPVVFYQCXNA-INIZCTEOSA-N 0 1 318.436 3.237 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C[C@@H](CC)C(C)C)c(F)c1 ZINC001333481034 1178612934 /nfs/dbraw/zinc/61/29/34/1178612934.db2.gz ZMOAPVVFYQCXNA-MRXNPFEDSA-N 0 1 318.436 3.237 20 30 DGEDMN COCn1ncc(C)c1CN1CCC(c2ccc(C#N)cc2)CC1 ZINC001333823338 1178679364 /nfs/dbraw/zinc/67/93/64/1178679364.db2.gz HNRIDIOGKSXVNC-UHFFFAOYSA-N 0 1 324.428 3.047 20 30 DGEDMN Cn1cc(-c2ccc(CNCc3cc(C#N)ccc3F)cc2)cn1 ZINC001334276394 1178744001 /nfs/dbraw/zinc/74/40/01/1178744001.db2.gz ISCVDMDQFKSDGS-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN N#CC1CCC(C[N@H+](CCC(=O)[O-])Cc2ccccc2)CC1 ZINC001601058220 1192915377 /nfs/dbraw/zinc/91/53/77/1192915377.db2.gz JVDJPZYYWRYLIE-UHFFFAOYSA-N 0 1 300.402 3.293 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](Cc1ccc(F)cc1C(=O)[O-])C2 ZINC001601077631 1192916354 /nfs/dbraw/zinc/91/63/54/1192916354.db2.gz HHNPGFGKNKWTEV-UHFFFAOYSA-N 0 1 324.355 3.148 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)cc1F ZINC001601100989 1192918484 /nfs/dbraw/zinc/91/84/84/1192918484.db2.gz UPYMFIMWBXHHJW-VYDXJSESSA-N 0 1 316.376 3.163 20 30 DGEDMN N#CC1(CNC[C@@H](O)c2cccc(C(F)(F)F)c2)CCCC1 ZINC001335447707 1178911458 /nfs/dbraw/zinc/91/14/58/1178911458.db2.gz IQMXJOWAKQUFCB-CQSZACIVSA-N 0 1 312.335 3.412 20 30 DGEDMN C#CCN(C(=O)c1n[nH]c(C(F)(F)F)c1C)C1CCCCC1 ZINC001335742366 1178948096 /nfs/dbraw/zinc/94/80/96/1178948096.db2.gz WAHHLVKSQCZYJR-UHFFFAOYSA-N 0 1 313.323 3.145 20 30 DGEDMN Cn1nc2c(c1C(=O)C(C#N)c1nc3ccccc3s1)CCC2 ZINC001341839989 1179070330 /nfs/dbraw/zinc/07/03/30/1179070330.db2.gz ZFUQMURSHIWMJE-NSHDSACASA-N 0 1 322.393 3.009 20 30 DGEDMN Cn1nc2c(c1C(=O)[C@H](C#N)c1nc3ccccc3s1)CCC2 ZINC001341839989 1179070338 /nfs/dbraw/zinc/07/03/38/1179070338.db2.gz ZFUQMURSHIWMJE-NSHDSACASA-N 0 1 322.393 3.009 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1CCCCC(F)(F)F ZINC001479240048 1179112323 /nfs/dbraw/zinc/11/23/23/1179112323.db2.gz ZNNHIOYCBHZWKC-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN N#CC(C(=O)CSC(F)F)c1nccn1Cc1ccccc1 ZINC001342268019 1179123907 /nfs/dbraw/zinc/12/39/07/1179123907.db2.gz JNQAMCFPCDKKDC-GFCCVEGCSA-N 0 1 321.352 3.063 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC001342326459 1179130537 /nfs/dbraw/zinc/13/05/37/1179130537.db2.gz QWEJWQFZAHNFSP-GFCCVEGCSA-N 0 1 301.308 3.204 20 30 DGEDMN N#C[C@H](C(=O)C[C@@H]1C=CCCC1)c1nc(N)c2ccccc2n1 ZINC001342595219 1179158035 /nfs/dbraw/zinc/15/80/35/1179158035.db2.gz IRSBDKNILLIBJP-TZMCWYRMSA-N 0 1 306.369 3.135 20 30 DGEDMN N#C[C@H](C(=O)c1cc(C2CCCCC2)[nH]n1)c1cccc(F)n1 ZINC001342642073 1179165456 /nfs/dbraw/zinc/16/54/56/1179165456.db2.gz NZKYUAZSUGUYGB-LBPRGKRZSA-N 0 1 312.348 3.482 20 30 DGEDMN N#CC(C(=O)c1cc(C2CCCCC2)[nH]n1)c1cccc(F)n1 ZINC001342642073 1179165459 /nfs/dbraw/zinc/16/54/59/1179165459.db2.gz NZKYUAZSUGUYGB-LBPRGKRZSA-N 0 1 312.348 3.482 20 30 DGEDMN N#CC(C(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O)c1cccc(F)n1 ZINC001342717066 1179177922 /nfs/dbraw/zinc/17/79/22/1179177922.db2.gz MOMRZPIMXTUWOX-QNMSZWNNSA-N 0 1 314.360 3.182 20 30 DGEDMN O=C(Nc1ccc(CNCC#Cc2ccccc2)cc1)C1CC1 ZINC001342899240 1179205756 /nfs/dbraw/zinc/20/57/56/1179205756.db2.gz WGEMOXDRQGRFPH-UHFFFAOYSA-N 0 1 304.393 3.176 20 30 DGEDMN C=CC[C@H]1N(C(=O)c2ccn(-c3ccncc3)n2)CCCC1(C)C ZINC001343812668 1179334036 /nfs/dbraw/zinc/33/40/36/1179334036.db2.gz GPWHFYJQKWHSKL-QGZVFWFLSA-N 0 1 324.428 3.474 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001344321970 1179412163 /nfs/dbraw/zinc/41/21/63/1179412163.db2.gz FMCCHNBCKIYYCH-XNJGSVPQSA-N 0 1 313.788 3.444 20 30 DGEDMN Cn1c(Cl)cnc1[C@@H](C#N)C(=O)C1(C(F)F)CCCC1 ZINC001344863094 1179487524 /nfs/dbraw/zinc/48/75/24/1179487524.db2.gz DUSSRMVHGBTVOJ-QMMMGPOBSA-N 0 1 301.724 3.075 20 30 DGEDMN CC[C@H](C)n1nccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC001344869575 1179488513 /nfs/dbraw/zinc/48/85/13/1179488513.db2.gz QOIVEOGJVWQSDQ-QWHCGFSZSA-N 0 1 319.368 3.287 20 30 DGEDMN CC[C@H](C)n1nccc1C(=O)[C@H](C#N)c1cnc2ccccc2n1 ZINC001344869575 1179488516 /nfs/dbraw/zinc/48/85/16/1179488516.db2.gz QOIVEOGJVWQSDQ-QWHCGFSZSA-N 0 1 319.368 3.287 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3ccccc3Cl)o2)CC1 ZINC001345635855 1179584560 /nfs/dbraw/zinc/58/45/60/1179584560.db2.gz GUEAPELQBUDWLS-UHFFFAOYSA-N 0 1 301.777 3.203 20 30 DGEDMN C[C@H]1[C@H](OC(=O)c2ccc(C#N)[nH]2)CCC[N@H+]1Cc1ccccc1 ZINC001345776080 1179605128 /nfs/dbraw/zinc/60/51/28/1179605128.db2.gz MRLIGFQKWLBZPQ-KBXCAEBGSA-N 0 1 323.396 3.096 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C(C)(C)c1cc(F)cc(C#N)c1 ZINC001346095574 1179651352 /nfs/dbraw/zinc/65/13/52/1179651352.db2.gz GOFHZAHIAZQZLC-OAHLLOKOSA-N 0 1 324.359 3.068 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C12CCC(CC1)C2(C)C ZINC001346095182 1179651578 /nfs/dbraw/zinc/65/15/78/1179651578.db2.gz ACGODRRQLRJDBD-CSJGVYOVSA-N 0 1 305.809 3.466 20 30 DGEDMN C#CCN1CCC(OC(=O)c2csc(C(F)(F)F)c2)CC1 ZINC001346151568 1179662598 /nfs/dbraw/zinc/66/25/98/1179662598.db2.gz SZKJKNXZORUROQ-UHFFFAOYSA-N 0 1 317.332 3.021 20 30 DGEDMN Cc1c(C(=O)OCCC#Cc2ccccc2)ccc2cncn21 ZINC001347152993 1179784618 /nfs/dbraw/zinc/78/46/18/1179784618.db2.gz PVUUTWUAVLPLLV-UHFFFAOYSA-N 0 1 304.349 3.241 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1cn(C)c2ccccc12 ZINC001347337196 1179797065 /nfs/dbraw/zinc/79/70/65/1179797065.db2.gz DUZAYWZCFJOUKK-DZGCQCFKSA-N 0 1 320.396 3.375 20 30 DGEDMN CSCc1ccc(C(O)=C(C#N)c2ncc(Cl)n2C)cc1 ZINC001347337608 1179797533 /nfs/dbraw/zinc/79/75/33/1179797533.db2.gz KNOHCSQJIPJADQ-GFCCVEGCSA-N 0 1 319.817 3.427 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1cn(C)c2ccccc12 ZINC001347337200 1179797545 /nfs/dbraw/zinc/79/75/45/1179797545.db2.gz DUZAYWZCFJOUKK-UKRRQHHQSA-N 0 1 320.396 3.375 20 30 DGEDMN C#C[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001602681538 1192994421 /nfs/dbraw/zinc/99/44/21/1192994421.db2.gz NJTQBZQURROLBF-YGRLFVJLSA-N 0 1 312.196 3.464 20 30 DGEDMN C[C@@](N)(C(=O)Nc1ccc(C2CC2)c(C#N)c1)c1ccccc1 ZINC001349884624 1179971166 /nfs/dbraw/zinc/97/11/66/1179971166.db2.gz GIDGJGQFZRXWBN-IBGZPJMESA-N 0 1 305.381 3.248 20 30 DGEDMN C=CC[C@@H]1CC[N@H+](Cc2c3cc(F)ccc3n(C)c2C(=O)[O-])C1 ZINC001602855098 1193000019 /nfs/dbraw/zinc/00/00/19/1193000019.db2.gz GQEICKTZJRBIJD-GFCCVEGCSA-N 0 1 316.376 3.414 20 30 DGEDMN C=CCOc1ccc(CN(Cc2nnc[nH]2)C(C)(C)C)cc1 ZINC001350365510 1180061349 /nfs/dbraw/zinc/06/13/49/1180061349.db2.gz TWTKXFHYRNWIHL-UHFFFAOYSA-N 0 1 300.406 3.170 20 30 DGEDMN C=CC[N@@H+](Cc1oc(Br)cc1C(=O)[O-])C(C)C ZINC001602894712 1193002017 /nfs/dbraw/zinc/00/20/17/1193002017.db2.gz VABZUIATXWFQBL-UHFFFAOYSA-N 0 1 302.168 3.137 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1[nH]cc(C(=O)[O-])c1C1CC1 ZINC001602893922 1193002252 /nfs/dbraw/zinc/00/22/52/1193002252.db2.gz OYRGPSROZJHWPC-UHFFFAOYSA-N 0 1 314.385 3.414 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cccc(Cl)c1F ZINC001480517156 1180585533 /nfs/dbraw/zinc/58/55/33/1180585533.db2.gz FHHQIKQRNIUFSU-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=CCCCN(CC)C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC001355215116 1181012796 /nfs/dbraw/zinc/01/27/96/1181012796.db2.gz RFDSOVQRBALYEC-HNNXBMFYSA-N 0 1 309.479 3.349 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2nc(N)c3ccccc3n2)ccc1F ZINC001356004059 1181125088 /nfs/dbraw/zinc/12/50/88/1181125088.db2.gz VGUCMJLLFJLRGU-ZDUSSCGKSA-N 0 1 320.327 3.150 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc(N)c3ccccc3n2)ccc1F ZINC001356004059 1181125103 /nfs/dbraw/zinc/12/51/03/1181125103.db2.gz VGUCMJLLFJLRGU-ZDUSSCGKSA-N 0 1 320.327 3.150 20 30 DGEDMN CC[C@@](O)(CN[C@@H](CCC#N)c1ccccc1)C(F)(F)F ZINC001356031679 1181129291 /nfs/dbraw/zinc/12/92/91/1181129291.db2.gz DANPALHGHLGLMF-UONOGXRCSA-N 0 1 300.324 3.324 20 30 DGEDMN C[C@]1(C#N)CCC[N@H+](Cc2ccnc3c(C(=O)[O-])cccc23)C1 ZINC001604482341 1193075796 /nfs/dbraw/zinc/07/57/96/1193075796.db2.gz HXUKESNXTOTZIS-GOSISDBHSA-N 0 1 309.369 3.059 20 30 DGEDMN C[C@]1(C#N)CCC[N@@H+](Cc2ccnc3c(C(=O)[O-])cccc23)C1 ZINC001604482341 1193075799 /nfs/dbraw/zinc/07/57/99/1193075799.db2.gz HXUKESNXTOTZIS-GOSISDBHSA-N 0 1 309.369 3.059 20 30 DGEDMN N#CCn1c(C(O)=C(C#N)c2cccc(F)n2)cc2ccccc21 ZINC001357599485 1181412729 /nfs/dbraw/zinc/41/27/29/1181412729.db2.gz VYCCNOPBAFKISV-CYBMUJFWSA-N 0 1 318.311 3.189 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1ccc(CC#N)cc1)CC1CC1 ZINC001604889637 1193098409 /nfs/dbraw/zinc/09/84/09/1193098409.db2.gz OYFMJQGSGWPYOM-GOSISDBHSA-N 0 1 300.402 3.218 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H](C)CCC)CC1 ZINC001571328741 1181714303 /nfs/dbraw/zinc/71/43/03/1181714303.db2.gz FZOGZSUWOQYIDO-ZDUSSCGKSA-N 0 1 300.874 3.488 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)c1cc(-c2ccccc2O)n[nH]1 ZINC001445219640 1182140631 /nfs/dbraw/zinc/14/06/31/1182140631.db2.gz ZWNQMJDJNYOEME-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN Cc1ccc([N+](=O)[O-])c(C)c1C(=O)Nc1cc(C#N)ccc1O ZINC001447063811 1182182745 /nfs/dbraw/zinc/18/27/45/1182182745.db2.gz OHQXNJCWTOPWTO-UHFFFAOYSA-N 0 1 311.297 3.041 20 30 DGEDMN N#C[C@@H](Sc1nc(-c2ccccc2O)n[nH]1)C1CCCC1 ZINC001458859206 1182556095 /nfs/dbraw/zinc/55/60/95/1182556095.db2.gz DBXUMBUOKPLKRF-CYBMUJFWSA-N 0 1 300.387 3.352 20 30 DGEDMN C#CCN(CCOC1CCSCC1)Cc1ccc(F)cc1 ZINC001459406536 1182584890 /nfs/dbraw/zinc/58/48/90/1182584890.db2.gz OBAPJFCMODARFX-UHFFFAOYSA-N 0 1 307.434 3.173 20 30 DGEDMN C#CCOCCN1CCC[C@H]1c1ccccc1Br ZINC001459668479 1182602824 /nfs/dbraw/zinc/60/28/24/1182602824.db2.gz GXBQGBUNQBBKRL-HNNXBMFYSA-N 0 1 308.219 3.236 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccn1)c1ccc(NC(=O)C2CC2)cc1 ZINC001459830601 1182620981 /nfs/dbraw/zinc/62/09/81/1182620981.db2.gz LMOJQGFBPQPJNI-ZDUSSCGKSA-N 0 1 320.396 3.153 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CCC(CC(F)(F)F)CC2)c1 ZINC001460085204 1182649536 /nfs/dbraw/zinc/64/95/36/1182649536.db2.gz GAIKGKGSFJDZET-OAHLLOKOSA-N 0 1 312.335 3.256 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001460288565 1182672735 /nfs/dbraw/zinc/67/27/35/1182672735.db2.gz FCEFBZWTNCXXCR-ZDUSSCGKSA-N 0 1 305.805 3.362 20 30 DGEDMN CN(c1ccccc1)[C@H]1CCN(CC#Cc2ccc(F)cc2)C1 ZINC001460526446 1182693381 /nfs/dbraw/zinc/69/33/81/1182693381.db2.gz ACLNKUCTKXPYLJ-FQEVSTJZSA-N 0 1 308.400 3.388 20 30 DGEDMN CCc1ccc2c(c1)CC[N@@H+]([C@@H](C(=O)[O-])c1ccc(C#N)cc1)C2 ZINC001605824680 1193176449 /nfs/dbraw/zinc/17/64/49/1193176449.db2.gz LWGWRELCTKIELS-LJQANCHMSA-N 0 1 320.392 3.305 20 30 DGEDMN Cn1ccc2ccc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)cc21 ZINC001461923056 1182878634 /nfs/dbraw/zinc/87/86/34/1182878634.db2.gz VRWVJOGTOQPXDL-UHFFFAOYSA-N 0 1 315.336 3.179 20 30 DGEDMN C=C[C@H]1CCCCN1Cc1cc(C(=O)OC)c2n1CCCC2 ZINC001462162429 1182916885 /nfs/dbraw/zinc/91/68/85/1182916885.db2.gz LCDQLTUXKITULM-AWEZNQCLSA-N 0 1 302.418 3.152 20 30 DGEDMN Cc1ccc2c(c1)CCN(Cc1cc(C#N)ccc1N(C)C)C2 ZINC001462385260 1182944350 /nfs/dbraw/zinc/94/43/50/1182944350.db2.gz LRQIYEVKYATSDU-UHFFFAOYSA-N 0 1 305.425 3.491 20 30 DGEDMN COC(=O)/C=C/c1ccc(CN[C@@H](C)c2cccc(C#N)c2)o1 ZINC001462581491 1182971586 /nfs/dbraw/zinc/97/15/86/1182971586.db2.gz ALCJOCAVZAVXBO-XEHSLEBBSA-N 0 1 310.353 3.188 20 30 DGEDMN Cc1csc2nc(C)c(CNCc3ccc(C)c(C#N)c3)n12 ZINC001462581125 1182971816 /nfs/dbraw/zinc/97/18/16/1182971816.db2.gz WBRIAIBEDWFZIE-UHFFFAOYSA-N 0 1 310.426 3.483 20 30 DGEDMN N#Cc1ccc(Oc2ccc(NC(=O)Nc3c[nH]nn3)cc2)cc1 ZINC001465437992 1183149762 /nfs/dbraw/zinc/14/97/62/1183149762.db2.gz XYTWKUPHQHYUKH-UHFFFAOYSA-N 0 1 320.312 3.113 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)c1ccc(Cl)cc1O ZINC001465545448 1183157332 /nfs/dbraw/zinc/15/73/32/1183157332.db2.gz IRJLEKOTOVNNQH-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN Cc1[nH]c(C#N)cc1C(=O)NCc1cc(Cl)cc(Cl)c1O ZINC001468668687 1183350007 /nfs/dbraw/zinc/35/00/07/1183350007.db2.gz LFTSDYRUOINUSX-UHFFFAOYSA-N 0 1 324.167 3.137 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3c(c2)C(=O)CCCC3)cc1O ZINC001469110386 1183383742 /nfs/dbraw/zinc/38/37/42/1183383742.db2.gz XZWSEJJVTXSEOO-UHFFFAOYSA-N 0 1 320.348 3.425 20 30 DGEDMN C[C@@H](C(=O)N([O-])Cc1ccccc1Cl)[NH+]1[C@H](C)CC[C@H]1C ZINC001472619016 1183657010 /nfs/dbraw/zinc/65/70/10/1183657010.db2.gz SBYPDVBNMVJNDS-UPJWGTAASA-N 0 1 310.825 3.319 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)c3ccccc3Cl)n2)c1 ZINC001472633196 1183658537 /nfs/dbraw/zinc/65/85/37/1183658537.db2.gz IZKUANJFTHXDMS-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN C=C1CCC(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)CC1 ZINC001472636215 1183659141 /nfs/dbraw/zinc/65/91/41/1183659141.db2.gz YPUAOJFMGJDMNK-UHFFFAOYSA-N 0 1 307.357 3.028 20 30 DGEDMN Cc1ccc(F)c(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1 ZINC001472635313 1183659791 /nfs/dbraw/zinc/65/97/91/1183659791.db2.gz ISRRWBGCVNJPCU-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN CC[C@@H](NCC(=O)Nc1ccc(C#N)cc1)c1ccc(F)cc1 ZINC001473301431 1183741796 /nfs/dbraw/zinc/74/17/96/1183741796.db2.gz RSBBQOODIMTSMG-QGZVFWFLSA-N 0 1 311.360 3.377 20 30 DGEDMN CC[C@](C)(C(=O)OC)N(Cc1ccc(C#N)cc1C)CC1CC1 ZINC001473571751 1183775339 /nfs/dbraw/zinc/77/53/39/1183775339.db2.gz POEQUFYMQXPMPF-LJQANCHMSA-N 0 1 314.429 3.420 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1ccc([N+](=O)[O-])cc1F ZINC001473732981 1183795795 /nfs/dbraw/zinc/79/57/95/1183795795.db2.gz ZXMNUICTVPVGEH-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN CCC#C[C@@H](C)N1CCC[C@@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC001473842496 1183810572 /nfs/dbraw/zinc/81/05/72/1183810572.db2.gz YUNOGWGEIPEBCP-RHSMWYFYSA-N 0 1 318.848 3.336 20 30 DGEDMN CCC#C[C@@H](C)N1CCC[C@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC001473842495 1183811026 /nfs/dbraw/zinc/81/10/26/1183811026.db2.gz YUNOGWGEIPEBCP-PBHICJAKSA-N 0 1 318.848 3.336 20 30 DGEDMN N#CCc1ccc(CNCc2ccc(Br)nc2)cc1 ZINC001474192436 1183879770 /nfs/dbraw/zinc/87/97/70/1183879770.db2.gz QLRQXKNWPWRBQP-UHFFFAOYSA-N 0 1 316.202 3.200 20 30 DGEDMN C=C[C@H](CO)NCc1ccccc1Sc1cccc(OC)c1 ZINC001474217977 1183884564 /nfs/dbraw/zinc/88/45/64/1183884564.db2.gz RNXXFSILLRBRRK-OAHLLOKOSA-N 0 1 315.438 3.483 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNCc1cc(Cl)ccc1F ZINC001496048573 1184044801 /nfs/dbraw/zinc/04/48/01/1184044801.db2.gz FPPLWXNISIMWBA-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C1CCC(CNC(=O)NC[C@H](c2cccs2)N(C)C)CC1 ZINC001477243027 1184075859 /nfs/dbraw/zinc/07/58/59/1184075859.db2.gz JNYYFNHELQBIIL-OAHLLOKOSA-N 0 1 321.490 3.396 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001479269805 1184223563 /nfs/dbraw/zinc/22/35/63/1184223563.db2.gz BFAZODMAOKQFEK-PBHICJAKSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1c(C)oc2ccccc21 ZINC001479274586 1184226262 /nfs/dbraw/zinc/22/62/62/1184226262.db2.gz LYDQAZSIUJUYTJ-HNNXBMFYSA-N 0 1 312.413 3.002 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1C[C@@H](NCc2nc(C)cs2)C1 ZINC001479312950 1184231559 /nfs/dbraw/zinc/23/15/59/1184231559.db2.gz IRFUBABLTZVLHZ-SOUVJXGZSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](C)C[C@H]1CNC/C(Cl)=C/Cl ZINC001479555029 1184268903 /nfs/dbraw/zinc/26/89/03/1184268903.db2.gz ATJJCUPTYUEKGM-HSWBZUAGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(CCF)CC(C)(C)C1 ZINC001479745417 1184310795 /nfs/dbraw/zinc/31/07/95/1184310795.db2.gz KJMQRWSIINHUJW-MRXNPFEDSA-N 0 1 310.457 3.331 20 30 DGEDMN C=CC[N@H+]1CCC2(CN(C(=O)[C@H]3CCC[C@@H]3c3ccccc3)C2)C1 ZINC001479758400 1184314144 /nfs/dbraw/zinc/31/41/44/1184314144.db2.gz HFFZIDOBDCBDKA-MOPGFXCFSA-N 0 1 324.468 3.291 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173069 1193280729 /nfs/dbraw/zinc/28/07/29/1193280729.db2.gz AXGZIEMQLGUZHO-ZWKOTPCHSA-N 0 1 321.380 3.052 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173069 1193280732 /nfs/dbraw/zinc/28/07/32/1193280732.db2.gz AXGZIEMQLGUZHO-ZWKOTPCHSA-N 0 1 321.380 3.052 20 30 DGEDMN N#CC1(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@@H]32)CCCCC1 ZINC001608175121 1193280885 /nfs/dbraw/zinc/28/08/85/1193280885.db2.gz PSFKRVODWLYSAF-JYJNAYRXSA-N 0 1 304.434 3.426 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001479910197 1184376721 /nfs/dbraw/zinc/37/67/21/1184376721.db2.gz LDLDTYDLGVRJFU-RHSMWYFYSA-N 0 1 304.409 3.086 20 30 DGEDMN N#Cc1ccc(C[N@@H+](C2CC2)C2(C(=O)[O-])CCC2)c(Cl)c1 ZINC001608205139 1193283043 /nfs/dbraw/zinc/28/30/43/1193283043.db2.gz WOYBDUSSEHISLU-UHFFFAOYSA-N 0 1 304.777 3.183 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CCSC3(CCC3)CC2)c1 ZINC001608214598 1193284042 /nfs/dbraw/zinc/28/40/42/1193284042.db2.gz CUZIRDINUKMGOZ-OAHLLOKOSA-N 0 1 316.426 3.046 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)C2CCC2)C1 ZINC001480078066 1184426843 /nfs/dbraw/zinc/42/68/43/1184426843.db2.gz IULJXNQGCYBKHY-HUUCEWRRSA-N 0 1 312.885 3.346 20 30 DGEDMN CC(C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1)=C1CCCC1 ZINC001480107849 1184437158 /nfs/dbraw/zinc/43/71/58/1184437158.db2.gz QIAPQWUPMDEIHZ-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001480154581 1184446532 /nfs/dbraw/zinc/44/65/32/1184446532.db2.gz PSXBVFZYUMIHFQ-JOCQHMNTSA-N 0 1 308.372 3.058 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2C[C@H](NC/C(Cl)=C\Cl)C2)CC1 ZINC001480157317 1184447340 /nfs/dbraw/zinc/44/73/40/1184447340.db2.gz SSWHPTBLCXVDNP-GTNNCCICSA-N 0 1 317.260 3.289 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1scnc1C ZINC001480321965 1184491882 /nfs/dbraw/zinc/49/18/82/1184491882.db2.gz CCXXINASRLDISW-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](CC)OC2CCCCC2)CC1 ZINC001480356777 1184504167 /nfs/dbraw/zinc/50/41/67/1184504167.db2.gz NFHVQLOJUWOBSG-GOSISDBHSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001480382873 1184516114 /nfs/dbraw/zinc/51/61/14/1184516114.db2.gz LQGOTVUZABNTLP-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cc(F)ccc1Cl ZINC001480469540 1184533966 /nfs/dbraw/zinc/53/39/66/1184533966.db2.gz STYPKZHTWRBFGB-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccsc1C(F)F ZINC001480579837 1184553208 /nfs/dbraw/zinc/55/32/08/1184553208.db2.gz JDSSVSRBUZQBFZ-MRVPVSSYSA-N 0 1 308.781 3.146 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NCc1csc(CC)n1 ZINC001480783516 1184605985 /nfs/dbraw/zinc/60/59/85/1184605985.db2.gz IJEMYVGDSSNTMI-ZDUSSCGKSA-N 0 1 323.506 3.292 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(CC(C)C)cc1)C1CC1 ZINC001493447579 1184708404 /nfs/dbraw/zinc/70/84/04/1184708404.db2.gz UAOVLMZRGXPBNR-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001493622830 1184720838 /nfs/dbraw/zinc/72/08/38/1184720838.db2.gz XWURTVDIEOAVPJ-DLBZAZTESA-N 0 1 320.864 3.371 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C1CCC1)C1CCC1)c1ccccc1 ZINC001493899291 1184761426 /nfs/dbraw/zinc/76/14/26/1184761426.db2.gz ZJHBXJSURGTPHW-LJQANCHMSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC001493964021 1184778744 /nfs/dbraw/zinc/77/87/44/1184778744.db2.gz IQBCKCPOXLWKKK-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CCCC23CC3)C1 ZINC001494144825 1184817255 /nfs/dbraw/zinc/81/72/55/1184817255.db2.gz GLQCCWVXCBGHMU-LSDHHAIUSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC[C@@H]2CCc3ccccc32)C1 ZINC001494436026 1184869634 /nfs/dbraw/zinc/86/96/34/1184869634.db2.gz VAAGHEIMCZZDFN-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCCC(=O)NCC1CN(CCCc2ccccc2Cl)C1 ZINC001494534630 1184883662 /nfs/dbraw/zinc/88/36/62/1184883662.db2.gz WIYWUHKQZBHPCE-UHFFFAOYSA-N 0 1 320.864 3.287 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001494723140 1184912805 /nfs/dbraw/zinc/91/28/05/1184912805.db2.gz DCGITZBPEQDVEB-DOQDTIJOSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001494849151 1184941161 /nfs/dbraw/zinc/94/11/61/1184941161.db2.gz WQVDNEPIXNWIEW-HKUYNNGSSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001494853554 1184946031 /nfs/dbraw/zinc/94/60/31/1184946031.db2.gz RZGCKYMJUAQSDF-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001494890692 1184955990 /nfs/dbraw/zinc/95/59/90/1184955990.db2.gz BPRCKPSCMWLDKD-QGZVFWFLSA-N 0 1 316.420 3.052 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(OC(C)C)cc1 ZINC001495103804 1184997419 /nfs/dbraw/zinc/99/74/19/1184997419.db2.gz LUMDQXMBZYSLGY-HNNXBMFYSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(CCNCc2coc(C)n2)CC1 ZINC001495415817 1185049336 /nfs/dbraw/zinc/04/93/36/1185049336.db2.gz MBZKJZTZDYHGGT-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc(C)c1C ZINC001495592976 1185077207 /nfs/dbraw/zinc/07/72/07/1185077207.db2.gz FYMOSQZSMXAIPD-OAHLLOKOSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001495869855 1185108866 /nfs/dbraw/zinc/10/88/66/1185108866.db2.gz HEVAAWRPWFFJRS-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001496003997 1185124296 /nfs/dbraw/zinc/12/42/96/1185124296.db2.gz LHJAKLPIOAXIIU-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001496052735 1185129463 /nfs/dbraw/zinc/12/94/63/1185129463.db2.gz YZYFFSGPFVTAEW-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001496052736 1185129707 /nfs/dbraw/zinc/12/97/07/1185129707.db2.gz YZYFFSGPFVTAEW-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H](C)CNCc1c(C)onc1CC ZINC001496132847 1185138522 /nfs/dbraw/zinc/13/85/22/1185138522.db2.gz UCDBKGHTRWUCPA-CYBMUJFWSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)Cc1ccsc1C(=O)[O-] ZINC001609248381 1193332490 /nfs/dbraw/zinc/33/24/90/1193332490.db2.gz RPHIUSJXKGPNRK-UHFFFAOYSA-N 0 1 321.398 3.110 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)Cc1ccsc1C(=O)[O-] ZINC001609248381 1193332491 /nfs/dbraw/zinc/33/24/91/1193332491.db2.gz RPHIUSJXKGPNRK-UHFFFAOYSA-N 0 1 321.398 3.110 20 30 DGEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1CC=C(c2ccccc2C(F)(F)F)CC1 ZINC001609262084 1193333109 /nfs/dbraw/zinc/33/31/09/1193333109.db2.gz FAIFRTKXHFMXFB-AWEZNQCLSA-N 0 1 311.303 3.434 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(C)c(C)o1 ZINC001496262843 1185156812 /nfs/dbraw/zinc/15/68/12/1185156812.db2.gz CPCWBCNJPKLCMS-AWEZNQCLSA-N 0 1 312.841 3.383 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C=C(CC)CC)CC[C@H]21 ZINC001496858310 1185259790 /nfs/dbraw/zinc/25/97/90/1185259790.db2.gz LCBMEQWNIKNTQR-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001497812280 1185396524 /nfs/dbraw/zinc/39/65/24/1185396524.db2.gz LSXLREYDULEQMJ-IKESIWSLSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1cccc(F)c1Cl ZINC001497877405 1185403021 /nfs/dbraw/zinc/40/30/21/1185403021.db2.gz OINQDFNBIQMASO-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H]1CCCc2ccccc21 ZINC001498168893 1185442848 /nfs/dbraw/zinc/44/28/48/1185442848.db2.gz RZXYXNDKIGWPAV-GOEBONIOSA-N 0 1 320.864 3.343 20 30 DGEDMN C[C@@H]([NH2+]Cc1ccc(C#N)c(Cl)c1)c1ncc(C(=O)[O-])s1 ZINC001609776958 1193355489 /nfs/dbraw/zinc/35/54/89/1193355489.db2.gz CKVOMDUGKSFFRJ-MRVPVSSYSA-N 0 1 321.789 3.217 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1ncc(C)s1)C1CC1 ZINC001498817651 1185551642 /nfs/dbraw/zinc/55/16/42/1185551642.db2.gz MAJQYWTYMHGZEL-WMLDXEAASA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1cccc(OC)c1C ZINC001499047258 1185598699 /nfs/dbraw/zinc/59/86/99/1185598699.db2.gz DRXUARRPTHAZIF-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC[C@@H](C)c1ccccc1 ZINC001499511460 1185660667 /nfs/dbraw/zinc/66/06/67/1185660667.db2.gz HBDQODMYPVBDLH-RLLQIKCJSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H](C)CCC)C2)CC1 ZINC001499583040 1185672682 /nfs/dbraw/zinc/67/26/82/1185672682.db2.gz DGRJITULNHWMRP-CQSZACIVSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@]2(CCN(C/C=C/Cl)C2)C1 ZINC001499604551 1185676696 /nfs/dbraw/zinc/67/66/96/1185676696.db2.gz VUSVWEHWVLYOMR-YPHWWSSASA-N 0 1 322.880 3.432 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CO[C@@H]2CCCC[C@@H]2CC)C1 ZINC001499664771 1185683358 /nfs/dbraw/zinc/68/33/58/1185683358.db2.gz OJBFJROMEFZGEW-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001499685374 1185684885 /nfs/dbraw/zinc/68/48/85/1185684885.db2.gz DLKNIIJDPXZYQG-UHFFFAOYSA-N 0 1 316.489 3.168 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](F)c2ccccc2)C1 ZINC001499751947 1185692041 /nfs/dbraw/zinc/69/20/41/1185692041.db2.gz OWKVFTIOVFZFII-PBHICJAKSA-N 0 1 304.409 3.195 20 30 DGEDMN C=CCC1(C(=O)N(C)C2CN(CC3CC(C)C3)C2)CCCC1 ZINC001499806531 1185697490 /nfs/dbraw/zinc/69/74/90/1185697490.db2.gz QXZNWGGFBAIEII-UHFFFAOYSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccoc1C1CC1 ZINC001499920500 1185716933 /nfs/dbraw/zinc/71/69/33/1185716933.db2.gz GZFFMFLDZDSOKA-ZDUSSCGKSA-N 0 1 308.809 3.104 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001500250885 1185764633 /nfs/dbraw/zinc/76/46/33/1185764633.db2.gz GQQVCRVMDDJBLP-JYKCAJKKSA-N 0 1 323.440 3.161 20 30 DGEDMN C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)[C@H]1CCc2ccccc21 ZINC001610122618 1193377735 /nfs/dbraw/zinc/37/77/35/1193377735.db2.gz ABCIPMPTOAFFOA-SFHVURJKSA-N 0 1 306.365 3.376 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C2(CCCC)CC2)C1 ZINC001500613560 1185829960 /nfs/dbraw/zinc/82/99/60/1185829960.db2.gz KEQIYMUBSKDUKG-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C1CC1)c1ccccc1CC ZINC001501021728 1185857109 /nfs/dbraw/zinc/85/71/09/1185857109.db2.gz JNOURZRJGOUKGS-SFHVURJKSA-N 0 1 312.457 3.065 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@@H](C)C1CC1 ZINC001501193567 1185881378 /nfs/dbraw/zinc/88/13/78/1185881378.db2.gz IWVRMORPPIFSJX-HNNXBMFYSA-N 0 1 320.864 3.403 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](CCC)C(C)C)C[C@@H]2C1 ZINC001501232216 1185886408 /nfs/dbraw/zinc/88/64/08/1185886408.db2.gz CHYZYMIECDUERT-OWCLPIDISA-N 0 1 312.885 3.201 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)CC(C)(C)C ZINC001501408403 1185901553 /nfs/dbraw/zinc/90/15/53/1185901553.db2.gz WHTCKZVRAAMWFC-HOTGVXAUSA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1CCCCCC1 ZINC001501660973 1185918095 /nfs/dbraw/zinc/91/80/95/1185918095.db2.gz HADKAMQGVYCQNP-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2ccccc2F)CCC1 ZINC001501988569 1185943720 /nfs/dbraw/zinc/94/37/20/1185943720.db2.gz LSYASPJRLUCNPG-UHFFFAOYSA-N 0 1 304.409 3.166 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](F)C(C)C ZINC001502532578 1185992904 /nfs/dbraw/zinc/99/29/04/1185992904.db2.gz TXCZELRNEBRBHH-ROUUACIJSA-N 0 1 318.436 3.100 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2cc(C)ns2)CC1(C)C ZINC001502820073 1186016830 /nfs/dbraw/zinc/01/68/30/1186016830.db2.gz GZSYVKWWJOOAKZ-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC1(CC(=O)NCC[C@H](C)NCc2ccon2)CCCCC1 ZINC001503517870 1186046241 /nfs/dbraw/zinc/04/62/41/1186046241.db2.gz MNTIDPVNCZBKJA-HNNXBMFYSA-N 0 1 319.449 3.186 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C(C)(C)CC=C ZINC001503692444 1186051754 /nfs/dbraw/zinc/05/17/54/1186051754.db2.gz VEBQWUDNIFQKRN-LJQANCHMSA-N 0 1 324.468 3.328 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)C1CCC1 ZINC001503677883 1186051835 /nfs/dbraw/zinc/05/18/35/1186051835.db2.gz LIDWBACQYCUFCK-JXFKEZNVSA-N 0 1 324.468 3.162 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)[C@@H](C)NCc1ccc(C#N)cc1F ZINC001505569606 1186105417 /nfs/dbraw/zinc/10/54/17/1186105417.db2.gz GGLWQBVCIFCYOW-BARLUBHISA-N 0 1 317.408 3.036 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001505612886 1186114077 /nfs/dbraw/zinc/11/40/77/1186114077.db2.gz ANPIMDKWMUFBQU-CABCVRRESA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1cccc(C2CC2)c1 ZINC001505659469 1186120609 /nfs/dbraw/zinc/12/06/09/1186120609.db2.gz FSXAEDLDSQMSAO-ZIAGYGMSSA-N 0 1 320.864 3.342 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001505671269 1186122166 /nfs/dbraw/zinc/12/21/66/1186122166.db2.gz PHKLQCUYLJEDLC-LDXCAOIPSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(Cl)c(C)c1 ZINC001505680953 1186123299 /nfs/dbraw/zinc/12/32/99/1186123299.db2.gz HKNAAPRVOJUTTK-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001505697706 1186126342 /nfs/dbraw/zinc/12/63/42/1186126342.db2.gz KRYKORTWYLEJCA-NWDGAFQWSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2cccc(F)c2o1 ZINC001505761221 1186135514 /nfs/dbraw/zinc/13/55/14/1186135514.db2.gz RLJPAZPQHOHOJC-WDEREUQCSA-N 0 1 324.783 3.421 20 30 DGEDMN C#CCN(C)[C@@H](C)CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC001506065345 1186192441 /nfs/dbraw/zinc/19/24/41/1186192441.db2.gz NMXLTKDMYPMJPJ-GTPINHCMSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001506537191 1186241327 /nfs/dbraw/zinc/24/13/27/1186241327.db2.gz CSACGULTWPGEFP-CAOSSQGBSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1csnc1C ZINC001506543078 1186243949 /nfs/dbraw/zinc/24/39/49/1186243949.db2.gz VCXOLGGCBZZDHY-LBPRGKRZSA-N 0 1 315.870 3.082 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C(C)(C)C2CCCCC2)C1 ZINC001506663795 1186271580 /nfs/dbraw/zinc/27/15/80/1186271580.db2.gz WTVGWGIPIVBVLU-HNNXBMFYSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)[C@H](C)CCCC)C2)C1 ZINC001506718855 1186277549 /nfs/dbraw/zinc/27/75/49/1186277549.db2.gz BAQKBHXGUNROLV-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CCCC(C)C)C2)C1 ZINC001506730502 1186281040 /nfs/dbraw/zinc/28/10/40/1186281040.db2.gz NXILFNKDISIFHU-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N1CCC(N(C)Cc2nc(C)c(C)s2)CC1 ZINC001506956448 1186324084 /nfs/dbraw/zinc/32/40/84/1186324084.db2.gz UGAGXZWHMVQEGT-UHFFFAOYSA-N 0 1 321.490 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)c1ccccc1F ZINC001507138457 1186369738 /nfs/dbraw/zinc/36/97/38/1186369738.db2.gz PWFBUXWSNMHFRW-CHWSQXEVSA-N 0 1 312.816 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2cccnc2)[C@H]1C ZINC001507372674 1186406816 /nfs/dbraw/zinc/40/68/16/1186406816.db2.gz CNESBGOXPARDCA-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2cccc(F)c2)[C@@H]1C ZINC001507379198 1186409660 /nfs/dbraw/zinc/40/96/60/1186409660.db2.gz ZUTUMSGBCUWFAH-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(C(C)(C)C)c1)C(C)C ZINC001507849855 1186450365 /nfs/dbraw/zinc/45/03/65/1186450365.db2.gz RCOKZPYMDDPFQI-UHFFFAOYSA-N 0 1 314.473 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1ccc(F)cn1)C(C)(C)C ZINC001507948778 1186460866 /nfs/dbraw/zinc/46/08/66/1186460866.db2.gz NLPYRYKAPKIHGA-MRXNPFEDSA-N 0 1 321.440 3.198 20 30 DGEDMN C=C[C@@H](C(=O)N[C@H](C)C1CCN(CCF)CC1)c1ccccc1 ZINC001508069816 1186476290 /nfs/dbraw/zinc/47/62/90/1186476290.db2.gz PHRCTCIYKPWQMY-CRAIPNDOSA-N 0 1 318.436 3.142 20 30 DGEDMN O=C([C@H]1CCN1C1CCCC1)N(O)CCCCc1ccccc1 ZINC001611653151 1193439070 /nfs/dbraw/zinc/43/90/70/1193439070.db2.gz FOWMHECYKNSGGM-GOSISDBHSA-N 0 1 316.445 3.244 20 30 DGEDMN N#Cc1ccc(C(=O)N(O)CCCCc2ccccc2)cc1O ZINC001611653263 1193439124 /nfs/dbraw/zinc/43/91/24/1193439124.db2.gz KHKURHMNXDCKGJ-UHFFFAOYSA-N 0 1 310.353 3.118 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(F)cccc1Cl ZINC001520035777 1186911800 /nfs/dbraw/zinc/91/18/00/1186911800.db2.gz GLFSDYKNPZDOLB-NSHDSACASA-N 0 1 305.740 3.114 20 30 DGEDMN C=CC1CCN(c2nnc(-c3ccc4nc[nH]c4c3)n2CC)CC1 ZINC001520738325 1186964697 /nfs/dbraw/zinc/96/46/97/1186964697.db2.gz IVELWBJJPPCAET-UHFFFAOYSA-N 0 1 322.416 3.244 20 30 DGEDMN C#CCN1CCC[C@@H](Nc2ccc(C(F)(F)F)c(Cl)n2)C1 ZINC001612894757 1193463538 /nfs/dbraw/zinc/46/35/38/1193463538.db2.gz YBEGHPZGAUIGLW-SNVBAGLBSA-N 0 1 317.742 3.263 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C(C)(C)c1ccc(Cl)cc1F ZINC001524257080 1187229888 /nfs/dbraw/zinc/22/98/88/1187229888.db2.gz RBKLUUJRDMGZKY-LLVKDONJSA-N 0 1 319.767 3.367 20 30 DGEDMN N#C[C@@H]1CC[C@@H](OC(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC001525006355 1187289916 /nfs/dbraw/zinc/28/99/16/1187289916.db2.gz DLZUXVMPORTELO-ZIAGYGMSSA-N 0 1 302.374 3.115 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)[C@@H](c2ccccc2)N(CC)CC)C1 ZINC001526527910 1187371437 /nfs/dbraw/zinc/37/14/37/1187371437.db2.gz VYZIEUNLUDORRR-IEBWSBKVSA-N 0 1 312.457 3.331 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)[C@@H](c2ccccc2)N(CC)CC)C1 ZINC001526527913 1187371606 /nfs/dbraw/zinc/37/16/06/1187371606.db2.gz VYZIEUNLUDORRR-PKOBYXMFSA-N 0 1 312.457 3.331 20 30 DGEDMN C#C[C@H](NC(=O)c1cccc(F)c1O)c1ccc(Cl)cc1F ZINC001528109837 1187469683 /nfs/dbraw/zinc/46/96/83/1187469683.db2.gz UHWUKVNWTPUIFO-AWEZNQCLSA-N 0 1 321.710 3.428 20 30 DGEDMN Cc1c(C(=O)N(C)[C@H](C)c2cccc(C#N)c2)ccc2cncn21 ZINC001529665603 1187572367 /nfs/dbraw/zinc/57/23/67/1187572367.db2.gz GNALSVBBGNOBPB-CYBMUJFWSA-N 0 1 318.380 3.348 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccc4cccnc4c3)[nH]c2c1 ZINC001530625646 1187638300 /nfs/dbraw/zinc/63/83/00/1187638300.db2.gz FYYAHZNYVIRWDM-UHFFFAOYSA-N 0 1 313.320 3.235 20 30 DGEDMN CCc1ccc(F)cc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001530626235 1187638629 /nfs/dbraw/zinc/63/86/29/1187638629.db2.gz MUNJYIYMWMINNL-UHFFFAOYSA-N 0 1 308.316 3.388 20 30 DGEDMN Cc1cccc(CCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001534067924 1187873282 /nfs/dbraw/zinc/87/32/82/1187873282.db2.gz NQBYZKHMJDJFFQ-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN C=C[C@H](CC(=O)NCc1ccc2cncn2c1)c1ccccc1 ZINC001535354021 1187953389 /nfs/dbraw/zinc/95/33/89/1187953389.db2.gz RJTHGSGJMBYTOS-MRXNPFEDSA-N 0 1 305.381 3.310 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2nc3cc(Cl)c(F)cc3[nH]2)c1 ZINC001539952149 1188210426 /nfs/dbraw/zinc/21/04/26/1188210426.db2.gz YBFNHSZHDXNBEC-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3C[C@@]3(F)c3ccccc3)[nH]c2c1 ZINC001543407650 1188331607 /nfs/dbraw/zinc/33/16/07/1188331607.db2.gz JASAOVXSPBBVKI-FZKQIMNGSA-N 0 1 320.327 3.258 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1scnc1C ZINC001565817437 1188979180 /nfs/dbraw/zinc/97/91/80/1188979180.db2.gz PGVJPXQUXFPUOK-OAHLLOKOSA-N 0 1 321.490 3.344 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@@H](C)Oc1cccc(Cl)c1 ZINC001556466157 1189001979 /nfs/dbraw/zinc/00/19/79/1189001979.db2.gz LDPYFFDSMKNOCT-CQSZACIVSA-N 0 1 324.852 3.074 20 30 DGEDMN N#Cc1cccc2[nH]cc(C(=O)Nc3cc(O)c(F)cc3F)c21 ZINC001556920336 1189029301 /nfs/dbraw/zinc/02/93/01/1189029301.db2.gz NJYIIQFJCVQYNJ-UHFFFAOYSA-N 0 1 313.263 3.276 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H]1CCc2ccccc21 ZINC001567854349 1189146063 /nfs/dbraw/zinc/14/60/63/1189146063.db2.gz CFWCOOXBQRDWIR-BJLQDIEVSA-N 0 1 322.452 3.153 20 30 DGEDMN N#CC[C@@H](C(=O)Nc1ncc(C(F)(F)F)[nH]1)c1ccccc1 ZINC001558981139 1189161423 /nfs/dbraw/zinc/16/14/23/1189161423.db2.gz ZZDWGGHFMJZZIQ-SNVBAGLBSA-N 0 1 308.263 3.064 20 30 DGEDMN C=C(C)[C@@H](CO)N[C@@H](C)c1ccc(OC)cc1Br ZINC001559709065 1189232729 /nfs/dbraw/zinc/23/27/29/1189232729.db2.gz OZZWQTFITJNUBF-IINYFYTJSA-N 0 1 314.223 3.045 20 30 DGEDMN N#Cc1ccc(OCCCN2CCOCC23CCCCC3)cc1 ZINC001559839333 1189247362 /nfs/dbraw/zinc/24/73/62/1189247362.db2.gz YSNWULVNSIPWQM-UHFFFAOYSA-N 0 1 314.429 3.362 20 30 DGEDMN C#CC[C@H]1CCN([C@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC001560136547 1189283172 /nfs/dbraw/zinc/28/31/72/1189283172.db2.gz WUQDZUCPCXAAPR-YOEHRIQHSA-N 0 1 316.832 3.181 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1cc(OC)ccc1OC ZINC001560200514 1189289576 /nfs/dbraw/zinc/28/95/76/1189289576.db2.gz ZHBPGRWVORMTKI-AWEZNQCLSA-N 0 1 318.417 3.073 20 30 DGEDMN N#CCc1cccc(S(=O)(=O)Nc2c[nH]c3ccccc23)c1 ZINC001561962110 1189468187 /nfs/dbraw/zinc/46/81/87/1189468187.db2.gz RDWOGUDEVIEFEZ-UHFFFAOYSA-N 0 1 311.366 3.035 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCc1ccccc1C ZINC001565828440 1189680066 /nfs/dbraw/zinc/68/00/66/1189680066.db2.gz OGAHNNWLWNWUBU-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC[C@H](C)c1ccccc1 ZINC001565828880 1189680568 /nfs/dbraw/zinc/68/05/68/1189680568.db2.gz SVAPNHOGDKGKHF-FUHWJXTLSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H](C)CCC)C1 ZINC001565880986 1189699148 /nfs/dbraw/zinc/69/91/48/1189699148.db2.gz JZYCLHOGQXBOGN-RYQLBKOJSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001565890337 1189700186 /nfs/dbraw/zinc/70/01/86/1189700186.db2.gz HQHMQKGDPLLXIN-NUBPSFNZSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001566021706 1189717205 /nfs/dbraw/zinc/71/72/05/1189717205.db2.gz MTGOROIBQCNMEC-SCTDSRPQSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cncs2)[C@@H]1CC ZINC001566020097 1189717418 /nfs/dbraw/zinc/71/74/18/1189717418.db2.gz CESUZWIBXMKRDJ-CWRNSKLLSA-N 0 1 321.490 3.215 20 30 DGEDMN CCCC[C@@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001566449581 1189773643 /nfs/dbraw/zinc/77/36/43/1189773643.db2.gz TVKJYXOVLHXPBT-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1csc(C)n1 ZINC001566526760 1189791714 /nfs/dbraw/zinc/79/17/14/1189791714.db2.gz XPUMQWFSNXESHZ-CZUORRHYSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1ccc(C)s1 ZINC001566576702 1189809684 /nfs/dbraw/zinc/80/96/84/1189809684.db2.gz XWYLTHOKJBWAGJ-UHFFFAOYSA-N 0 1 314.882 3.180 20 30 DGEDMN CC1(C)C[C@@H]1C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001566585986 1189811783 /nfs/dbraw/zinc/81/17/83/1189811783.db2.gz YGAXXSMNVJBFHI-LJQANCHMSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(CCOCCC(C)C)C1 ZINC001566626652 1189831624 /nfs/dbraw/zinc/83/16/24/1189831624.db2.gz UXJGYRUWLYQHBP-KRWDZBQOSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001566664129 1189847815 /nfs/dbraw/zinc/84/78/15/1189847815.db2.gz CPYFKHGOSNAUHP-MRXNPFEDSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566671685 1189851457 /nfs/dbraw/zinc/85/14/57/1189851457.db2.gz DTVZDEWDXSKHFX-QAPCUYQASA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@@H](C)C2CC2)CC1 ZINC001566694535 1189861959 /nfs/dbraw/zinc/86/19/59/1189861959.db2.gz IXNZYCYFXFMOEP-IUODEOHRSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1CCC2(CC2)CC1)C1CC1 ZINC001566716547 1189872290 /nfs/dbraw/zinc/87/22/90/1189872290.db2.gz SLEWRJAYWCKTAH-OAHLLOKOSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1occc1C(C)C)C1CC1 ZINC001566719427 1189873500 /nfs/dbraw/zinc/87/35/00/1189873500.db2.gz KZAOFQKPCUDGIW-AWEZNQCLSA-N 0 1 310.825 3.254 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC001566918055 1189949786 /nfs/dbraw/zinc/94/97/86/1189949786.db2.gz JMXIAIIYACPEKH-KRWDZBQOSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001566927303 1189956831 /nfs/dbraw/zinc/95/68/31/1189956831.db2.gz OHHHHLONSZAVDS-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)Cc1cccc(F)c1 ZINC001566929666 1189958341 /nfs/dbraw/zinc/95/83/41/1189958341.db2.gz GOXMRBJSOODDIN-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C(C)(C)C2CC2)C1 ZINC001566963090 1189973156 /nfs/dbraw/zinc/97/31/56/1189973156.db2.gz PRWDNPBREXLZNL-KBPBESRZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cccc(C(C)C)n1 ZINC001567020661 1189996772 /nfs/dbraw/zinc/99/67/72/1189996772.db2.gz XEPOFQPYYKDLGQ-HNNXBMFYSA-N 0 1 303.450 3.156 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NCc1cc(Cl)ccc1F ZINC001567043109 1190005468 /nfs/dbraw/zinc/00/54/68/1190005468.db2.gz FUOKVWCGNNILNC-LLVKDONJSA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)C[C@@H](CC)C(C)C ZINC001567071302 1190020057 /nfs/dbraw/zinc/02/00/57/1190020057.db2.gz NFJDWLGTJQJETM-CHWSQXEVSA-N 0 1 319.287 3.062 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)Cc1c(C)cccc1Cl ZINC001567422796 1190182132 /nfs/dbraw/zinc/18/21/32/1190182132.db2.gz AYRAMMYVKFLPHX-CQSZACIVSA-N 0 1 321.852 3.136 20 30 DGEDMN CCCCC(=O)NCCN(CC#Cc1ccccc1)CCC ZINC001567421769 1190182804 /nfs/dbraw/zinc/18/28/04/1190182804.db2.gz CSXOAKWIHFDOHX-UHFFFAOYSA-N 0 1 300.446 3.057 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)/C=C(/C)C1CC1 ZINC001567423534 1190183907 /nfs/dbraw/zinc/18/39/07/1190183907.db2.gz QTPLGULTRPCYGT-ZCXUNETKSA-N 0 1 324.468 3.223 20 30 DGEDMN CCCCCC(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001567466349 1190205923 /nfs/dbraw/zinc/20/59/23/1190205923.db2.gz ZCLLGPASCMGJHR-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2ccc(F)cc2Cl)C1 ZINC001567558379 1190218418 /nfs/dbraw/zinc/21/84/18/1190218418.db2.gz LCLFPGBXIXNDOU-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cc(C)cc(C)c1 ZINC001567668160 1190243345 /nfs/dbraw/zinc/24/33/45/1190243345.db2.gz PQLHDXCTXMXSHP-KDURUIRLSA-N 0 1 312.457 3.445 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2sc(C)cc2C)C1 ZINC001567815516 1190308262 /nfs/dbraw/zinc/30/82/62/1190308262.db2.gz QVGYIJKQBGYMHV-CYBMUJFWSA-N 0 1 306.475 3.063 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001567835619 1190314723 /nfs/dbraw/zinc/31/47/23/1190314723.db2.gz DCTSCSXUDOOJSC-DHZHZOJOSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccccc1CC ZINC001567852295 1190320949 /nfs/dbraw/zinc/32/09/49/1190320949.db2.gz XMWWENWMADIOPX-OALUTQOASA-N 0 1 312.457 3.043 20 30 DGEDMN CCC/C=C\CCN1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001567856405 1190323352 /nfs/dbraw/zinc/32/33/52/1190323352.db2.gz QNBYCYVRIMJECJ-IIAQQRLMSA-N 0 1 302.462 3.067 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1OC ZINC001567863587 1190327560 /nfs/dbraw/zinc/32/75/60/1190327560.db2.gz GSGXWLMFOPXKAX-RDBSUJKOSA-N 0 1 320.458 3.010 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN([C@H](C)c2cccc(OC)c2)C1 ZINC001567876905 1190330889 /nfs/dbraw/zinc/33/08/89/1190330889.db2.gz WEJVDFPBLPODMV-ZBFHGGJFSA-N 0 1 316.445 3.159 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001567880571 1190332367 /nfs/dbraw/zinc/33/23/67/1190332367.db2.gz VCGHEJJYIUMIKY-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001567911416 1190346768 /nfs/dbraw/zinc/34/67/68/1190346768.db2.gz OBWVHJJESWRUMA-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1cccc(F)c1F ZINC001567962133 1190378169 /nfs/dbraw/zinc/37/81/69/1190378169.db2.gz NKSYLQVMWAJWKS-AWEZNQCLSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(Cl)cc1F ZINC001567967997 1190380981 /nfs/dbraw/zinc/38/09/81/1190380981.db2.gz SJARHJPNPQBLDP-LLVKDONJSA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1coc2ccccc21 ZINC001567990612 1190390251 /nfs/dbraw/zinc/39/02/51/1190390251.db2.gz LGGVHKIDOPRVBQ-LBPRGKRZSA-N 0 1 306.793 3.283 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)C[C@H](C)SC)CC1 ZINC001568124722 1190429480 /nfs/dbraw/zinc/42/94/80/1190429480.db2.gz SJBSNACTDYRHOE-ZDUSSCGKSA-N 0 1 318.914 3.099 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001568129881 1190431514 /nfs/dbraw/zinc/43/15/14/1190431514.db2.gz JSSYPBRZSPVKAU-GFCCVEGCSA-N 0 1 322.827 3.249 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1oc(CC)nc1C ZINC001568273746 1190469886 /nfs/dbraw/zinc/46/98/86/1190469886.db2.gz FTIKIRZCAAVNKM-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cnc2ccsc2c1 ZINC001568291150 1190474866 /nfs/dbraw/zinc/47/48/66/1190474866.db2.gz CPENRKZRPNFXIP-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)CC[C@@H](C)CC ZINC001568306730 1190479061 /nfs/dbraw/zinc/47/90/61/1190479061.db2.gz KDUFBJQIOBIJAG-WCQYABFASA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001568302514 1190479625 /nfs/dbraw/zinc/47/96/25/1190479625.db2.gz BIHOCJHDRIQJGA-DOTOQJQBSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(C)CCC(C)CC1 ZINC001568320626 1190489263 /nfs/dbraw/zinc/48/92/63/1190489263.db2.gz LWSQYKGLEHVWLJ-UGWHAMFMSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)CC(=O)N[C@H](CNCc1ccccc1C#N)CC(C)C ZINC001568323740 1190490246 /nfs/dbraw/zinc/49/02/46/1190490246.db2.gz AOSFAEDTYSKQDK-SFHVURJKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1cc(C)no1)C1CCCCC1 ZINC001568342043 1190497954 /nfs/dbraw/zinc/49/79/54/1190497954.db2.gz GWDYEQMMKMCCDY-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](F)CC)C1CCCCC1 ZINC001568345203 1190498459 /nfs/dbraw/zinc/49/84/59/1190498459.db2.gz FBYYDEPQGBUSPT-UONOGXRCSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001568430227 1190517975 /nfs/dbraw/zinc/51/79/75/1190517975.db2.gz SWHJCAOALYQPDF-NZBFACKJSA-N 0 1 310.869 3.002 20 30 DGEDMN CC(C)[C@@H](CNC(=O)c1ccccc1)NCc1ccccc1C#N ZINC001568442523 1190523316 /nfs/dbraw/zinc/52/33/16/1190523316.db2.gz XSZQAGWCVIHQRV-LJQANCHMSA-N 0 1 321.424 3.102 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1ncc(C)s1)C(C)C ZINC001568460269 1190528651 /nfs/dbraw/zinc/52/86/51/1190528651.db2.gz GJDIPZOBKWRCBV-PBHICJAKSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCN(C)Cc2cccc(F)c2)CC1 ZINC001568495448 1190547649 /nfs/dbraw/zinc/54/76/49/1190547649.db2.gz LTHRARRCSGDESY-UHFFFAOYSA-N 0 1 318.436 3.462 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1csc(CC)n1 ZINC001568665333 1190575573 /nfs/dbraw/zinc/57/55/73/1190575573.db2.gz ZYWZONZRJQFTDY-ZDUSSCGKSA-N 0 1 323.506 3.292 20 30 DGEDMN CCCCCC(=O)N[C@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001569105481 1190623900 /nfs/dbraw/zinc/62/39/00/1190623900.db2.gz RNHJAYWGNGOVTH-CQSZACIVSA-N 0 1 319.424 3.214 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1CNCc1ccon1 ZINC001569164928 1190647877 /nfs/dbraw/zinc/64/78/77/1190647877.db2.gz QQIWFRXGSQOHOM-GOEBONIOSA-N 0 1 319.449 3.042 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@H]1CNCc1cc(C)on1 ZINC001569163302 1190647919 /nfs/dbraw/zinc/64/79/19/1190647919.db2.gz CMUAAAVNYHUUQL-RDJZCZTQSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CNCc1cc(F)ccc1F)C1CC1 ZINC001569304632 1190662565 /nfs/dbraw/zinc/66/25/65/1190662565.db2.gz OTOMEINSSHZYDQ-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC001569373223 1190681257 /nfs/dbraw/zinc/68/12/57/1190681257.db2.gz LIFGHWOSAULIQD-MOPGFXCFSA-N 0 1 314.473 3.268 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC(C1CCC1)C1CCC1 ZINC001569374579 1190682556 /nfs/dbraw/zinc/68/25/56/1190682556.db2.gz MQZGFGOOHMBBKQ-KRWDZBQOSA-N 0 1 304.478 3.360 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](CC)CC1CCCCC1 ZINC001569376333 1190683310 /nfs/dbraw/zinc/68/33/10/1190683310.db2.gz IPFMMCQUEVIRSE-ZWKOTPCHSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CCC)CC1CCCC1 ZINC001569377418 1190684120 /nfs/dbraw/zinc/68/41/20/1190684120.db2.gz WMFYWTRCQNUUJG-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN C[C@@H]1CC[C@H](CC(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001569414446 1190693302 /nfs/dbraw/zinc/69/33/02/1190693302.db2.gz MAXAXSKDHUJJDE-MJGOQNOKSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCCC(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC001569469792 1190704405 /nfs/dbraw/zinc/70/44/05/1190704405.db2.gz DLUVQXDCNWJNDY-UHFFFAOYSA-N 0 1 307.357 3.315 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001569477115 1190705248 /nfs/dbraw/zinc/70/52/48/1190705248.db2.gz BASQUVCQDIMQHH-AJFISWQDSA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCCCCC(=O)N(C)C1CN(CC2CCC(C)CC2)C1 ZINC001569487386 1190706772 /nfs/dbraw/zinc/70/67/72/1190706772.db2.gz MBUWKEKCZFFTKU-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)C#CC(=O)N(C)C1CN(CC[C@@H]2CCC[C@H](C)C2)C1 ZINC001569488916 1190707402 /nfs/dbraw/zinc/70/74/02/1190707402.db2.gz BDZJOZJWHFWBNI-IRXDYDNUSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CC2(c3ccccc3)CC2)C1 ZINC001569492846 1190707922 /nfs/dbraw/zinc/70/79/22/1190707922.db2.gz MLRLJISSKWINML-UHFFFAOYSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc(C)c(F)cc2F)C1 ZINC001569493251 1190708103 /nfs/dbraw/zinc/70/81/03/1190708103.db2.gz ANGJVZYSRIFFIS-CYBMUJFWSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CNCc1c(F)cccc1F ZINC001569534954 1190713956 /nfs/dbraw/zinc/71/39/56/1190713956.db2.gz UBSNMMBVVNUNBP-ZDUSSCGKSA-N 0 1 308.372 3.012 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001569549451 1190715533 /nfs/dbraw/zinc/71/55/33/1190715533.db2.gz WXHDYDSXEDFBNS-QKPAOTATSA-N 0 1 310.869 3.146 20 30 DGEDMN C#CCN(C(=O)[C@H]1CC=CCC1)C1CCN(C/C=C/Cl)CC1 ZINC001569582096 1190720071 /nfs/dbraw/zinc/72/00/71/1190720071.db2.gz VMGLUUWLFBUOFG-RFKZRZAASA-N 0 1 320.864 3.021 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CC(C)(C)C)C1CCN(CC#C)CC1 ZINC001569593279 1190720678 /nfs/dbraw/zinc/72/06/78/1190720678.db2.gz UJFSLLVBXFBYKB-QGZVFWFLSA-N 0 1 316.489 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCCC#CC)C1CCCC1 ZINC001569610713 1190723387 /nfs/dbraw/zinc/72/33/87/1190723387.db2.gz WMJUELACLIGUKC-INIZCTEOSA-N 0 1 310.869 3.197 20 30 DGEDMN C=C[C@H](C(=O)NCC1(C)CCN(CCF)CC1)c1ccccc1 ZINC001569707265 1190744317 /nfs/dbraw/zinc/74/43/17/1190744317.db2.gz RPYVUAAHVNCVOL-KRWDZBQOSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CCCN(Cc2scnc2C)C1 ZINC001569712429 1190746571 /nfs/dbraw/zinc/74/65/71/1190746571.db2.gz VTTVOMNUWGJXMD-QGZVFWFLSA-N 0 1 321.490 3.136 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)c2ccccc2)C1 ZINC001569741302 1190752812 /nfs/dbraw/zinc/75/28/12/1190752812.db2.gz VROQUCZVJOUYHG-GDBMZVCRSA-N 0 1 322.399 3.050 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1CC ZINC001569801874 1190756424 /nfs/dbraw/zinc/75/64/24/1190756424.db2.gz JTZUQEQTSKDLHF-XFKSJGNHSA-N 0 1 324.468 3.065 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]([C@@H](C)NCc2ncc(C(C)C)o2)C1 ZINC001569807242 1190757402 /nfs/dbraw/zinc/75/74/02/1190757402.db2.gz VIRBGYYBBIAEFY-HUUCEWRRSA-N 0 1 319.449 3.091 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)CCCCC ZINC001569914638 1190773094 /nfs/dbraw/zinc/77/30/94/1190773094.db2.gz IBQRSCHBVZZCSE-SJORKVTESA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCCC(=O)N(C)CCCN(C)Cc1ccccc1Cl ZINC001570195690 1190815587 /nfs/dbraw/zinc/81/55/87/1190815587.db2.gz UVWJSBAPOFFQJA-UHFFFAOYSA-N 0 1 320.864 3.424 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1cccc(Cl)n1 ZINC001570204295 1190819071 /nfs/dbraw/zinc/81/90/71/1190819071.db2.gz MDQPBSLRWNEJPG-UHFFFAOYSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1oc(C)nc1C ZINC001570204558 1190819395 /nfs/dbraw/zinc/81/93/95/1190819395.db2.gz VIEDMPDPQCEGAP-UHFFFAOYSA-N 0 1 321.465 3.174 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1cnc(C)s1 ZINC001570207209 1190820094 /nfs/dbraw/zinc/82/00/94/1190820094.db2.gz IRPVHFQQHDDDFI-KRWDZBQOSA-N 0 1 323.506 3.334 20 30 DGEDMN C=CCCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ccco1 ZINC001570267666 1190832999 /nfs/dbraw/zinc/83/29/99/1190832999.db2.gz XYNURGPQIOOCMZ-GOSISDBHSA-N 0 1 324.424 3.403 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2scnc2C)CC1(C)C ZINC001570389290 1190850036 /nfs/dbraw/zinc/85/00/36/1190850036.db2.gz WGSJUADFGQSFJR-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cnc2ccccc2c1C ZINC001570535546 1190878734 /nfs/dbraw/zinc/87/87/34/1190878734.db2.gz AQTJXVJBGRXZDG-INIZCTEOSA-N 0 1 323.440 3.266 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1C[N@@H+](C)CC#Cc1ccccc1 ZINC001570540382 1190880749 /nfs/dbraw/zinc/88/07/49/1190880749.db2.gz OGHPYIBRMNUTLT-HSALFYBXSA-N 0 1 324.468 3.007 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001570540382 1190880752 /nfs/dbraw/zinc/88/07/52/1190880752.db2.gz OGHPYIBRMNUTLT-HSALFYBXSA-N 0 1 324.468 3.007 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CCC)CC(C)C ZINC001570549387 1190886586 /nfs/dbraw/zinc/88/65/86/1190886586.db2.gz XTAMKDXRWYFDEA-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1Cl ZINC001570597970 1190897329 /nfs/dbraw/zinc/89/73/29/1190897329.db2.gz FZBMYLPEIVYZJZ-STQMWFEESA-N 0 1 324.827 3.265 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cncc2sccc21 ZINC001570627934 1190905503 /nfs/dbraw/zinc/90/55/03/1190905503.db2.gz PHDRZAIARIKEAT-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1coc2ccccc12 ZINC001570642736 1190908879 /nfs/dbraw/zinc/90/88/79/1190908879.db2.gz XFAHOQFUXDPGRS-OLZOCXBDSA-N 0 1 320.820 3.211 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2c(c1)CCC=C2 ZINC001570645320 1190909146 /nfs/dbraw/zinc/90/91/46/1190909146.db2.gz VQWDSSNVFJQMOW-KGLIPLIRSA-N 0 1 318.848 3.495 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001570661133 1190912939 /nfs/dbraw/zinc/91/29/39/1190912939.db2.gz MYOSMYYQLYKCMG-UHFFFAOYSA-N 0 1 319.449 3.136 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2cccc(Cl)c2o1 ZINC001570755484 1190945657 /nfs/dbraw/zinc/94/56/57/1190945657.db2.gz RIDFLXSNBDOCPO-GFCCVEGCSA-N 0 1 318.804 3.160 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@]2(C1)CN(CCCCCC)CCO2 ZINC001570884375 1190970329 /nfs/dbraw/zinc/97/03/29/1190970329.db2.gz JILBBGPFSDHTSL-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(C)[C@@H](C(C)(C)C)C1)c1ccccc1 ZINC001570914472 1190975762 /nfs/dbraw/zinc/97/57/62/1190975762.db2.gz FIDHQXDLIXPQSX-IAGOWNOFSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccoc1C1CC1 ZINC001571366519 1191003421 /nfs/dbraw/zinc/00/34/21/1191003421.db2.gz QRTMGBXTYSFFJV-HNNXBMFYSA-N 0 1 322.836 3.350 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl ZINC001571399109 1191013791 /nfs/dbraw/zinc/01/37/91/1191013791.db2.gz KJQSHLDZIDMEGZ-LLVKDONJSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc2ncccc21 ZINC001571399799 1191014188 /nfs/dbraw/zinc/01/41/88/1191014188.db2.gz YXGPSTKVKSKBKB-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NCc1cc(F)ccc1F ZINC001571401102 1191014418 /nfs/dbraw/zinc/01/44/18/1191014418.db2.gz XIKDPJUGRSYUAH-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCCCC1(C)C ZINC001571419058 1191020581 /nfs/dbraw/zinc/02/05/81/1191020581.db2.gz KDSXYZZLEWPYGV-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C[C@@H](C)NCC(=C)Cl)c1ccccc1 ZINC001571417787 1191020747 /nfs/dbraw/zinc/02/07/47/1191020747.db2.gz KAWALHWYNKHEIV-GDBMZVCRSA-N 0 1 306.837 3.145 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(Cc2cncc(C)c2)[C@@H]1C ZINC001571519028 1191040180 /nfs/dbraw/zinc/04/01/80/1191040180.db2.gz NFTQJVOLAKQFJE-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN CC[C@H](C)C(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001571610279 1191056779 /nfs/dbraw/zinc/05/67/79/1191056779.db2.gz LFYGBPWYAQEFLD-INIZCTEOSA-N 0 1 315.461 3.273 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C)n(C(C)C)c1C)C(C)C ZINC001571616083 1191063225 /nfs/dbraw/zinc/06/32/25/1191063225.db2.gz PWMKLVDAHXHVHS-UHFFFAOYSA-N 0 1 317.477 3.101 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001571620291 1191065239 /nfs/dbraw/zinc/06/52/39/1191065239.db2.gz HTTZJCSNGBOPTC-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)cc(C)n1)C(C)(C)C ZINC001571627581 1191067787 /nfs/dbraw/zinc/06/77/87/1191067787.db2.gz WQLKUPXHLFGZIV-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCCCc2ccccc2)CC1 ZINC001571694015 1191084054 /nfs/dbraw/zinc/08/40/54/1191084054.db2.gz UMBOKLYVQRBRGN-UHFFFAOYSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CC[C@]1(C(=O)OCc2ccc(OCCC)cc2)CCCN1 ZINC001574897818 1191456721 /nfs/dbraw/zinc/45/67/21/1191456721.db2.gz YEXZFJVCCRAFBX-GOSISDBHSA-N 0 1 303.402 3.217 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(OCC(C)C)c(Cl)c1 ZINC001574969332 1191460462 /nfs/dbraw/zinc/46/04/62/1191460462.db2.gz GDATZWALPOWONB-AWEZNQCLSA-N 0 1 308.809 3.054 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1cc2oc3ccccc3c2cc1OC ZINC001574972910 1191462004 /nfs/dbraw/zinc/46/20/04/1191462004.db2.gz QXVDTWLHPJUWQW-AWEZNQCLSA-N 0 1 322.364 3.274 20 30 DGEDMN C=CCCCCCCCNC(=O)NC1(c2c[nH]nn2)CCCC1 ZINC001575094454 1191465247 /nfs/dbraw/zinc/46/52/47/1191465247.db2.gz YMDBLJJGFYQUHS-UHFFFAOYSA-N 0 1 319.453 3.400 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)C(=O)[C@H](CC)C1CCC(C)CC1 ZINC001575119169 1191466927 /nfs/dbraw/zinc/46/69/27/1191466927.db2.gz VDBAAIZVZXYAAH-VMBOVVBDSA-N 0 1 316.449 3.009 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)C(=O)[C@H](CC)CC1CCCCC1 ZINC001575119223 1191467281 /nfs/dbraw/zinc/46/72/81/1191467281.db2.gz XCDGSRGVYNVDJG-MRXNPFEDSA-N 0 1 316.449 3.153 20 30 DGEDMN C=CCOc1ccc(CNCC(=O)NC2CCCC2)cc1Cl ZINC001620775615 1191507599 /nfs/dbraw/zinc/50/75/99/1191507599.db2.gz JRHZRQXDEIOFDZ-UHFFFAOYSA-N 0 1 322.836 3.053 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@H](Cc2c[nH]nn2)C1 ZINC001576396141 1191587920 /nfs/dbraw/zinc/58/79/20/1191587920.db2.gz VNMRFHVUJMWVTG-OAHLLOKOSA-N 0 1 306.454 3.336 20 30 DGEDMN CCCCCCCCCC(=O)N1CC[C@H](Cc2cnn[nH]2)C1 ZINC001576396141 1191587924 /nfs/dbraw/zinc/58/79/24/1191587924.db2.gz VNMRFHVUJMWVTG-OAHLLOKOSA-N 0 1 306.454 3.336 20 30 DGEDMN CCCCCCC[C@H](C)CNC(=O)C(C)(C)Cc1c[nH]nn1 ZINC001576396115 1191589305 /nfs/dbraw/zinc/58/93/05/1191589305.db2.gz VJEIWUGABSJEOM-AWEZNQCLSA-N 0 1 308.470 3.486 20 30 DGEDMN CCCCCCC[C@H](C)CNC(=O)C(C)(C)Cc1cnn[nH]1 ZINC001576396115 1191589306 /nfs/dbraw/zinc/58/93/06/1191589306.db2.gz VJEIWUGABSJEOM-AWEZNQCLSA-N 0 1 308.470 3.486 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N2c3ccccc3CC[C@@H]2C)C1 ZINC001618916691 1193754452 /nfs/dbraw/zinc/75/44/52/1193754452.db2.gz VJIFMABGUVOLOF-GVDBMIGSSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2nc(Cc3ccc(F)cc3)no2)C1 ZINC001620451789 1193768795 /nfs/dbraw/zinc/76/87/95/1193768795.db2.gz MSOYNBWCUSYCPG-CQSZACIVSA-N 0 1 301.365 3.198 20 30 DGEDMN C#CCC1(NCc2cc([N+](=O)[O-])ccc2Br)CCC1 ZINC001620605254 1193783374 /nfs/dbraw/zinc/78/33/74/1193783374.db2.gz FAOOHVZLCDBYSE-UHFFFAOYSA-N 0 1 323.190 3.393 20 30 DGEDMN N#C[C@H](NC(=O)c1cc(F)cc(F)c1O)c1ccc(Cl)cc1 ZINC001627003945 1194106850 /nfs/dbraw/zinc/10/68/50/1194106850.db2.gz MRKYDUOITFTMKO-ZDUSSCGKSA-N 0 1 322.698 3.318 20 30 DGEDMN CCCCCCCCC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001627474969 1194131059 /nfs/dbraw/zinc/13/10/59/1194131059.db2.gz XHGWDUWEPFNUKG-CALCHBBNSA-N 0 1 312.498 3.305 20 30 DGEDMN C=CC[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1ccncc1 ZINC001628149445 1194162952 /nfs/dbraw/zinc/16/29/52/1194162952.db2.gz XVCJRYOPJXGMHH-CQSZACIVSA-N 0 1 304.296 3.113 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2n[nH]c3cc(O)ccc32)cc1Cl ZINC001628215117 1194166458 /nfs/dbraw/zinc/16/64/58/1194166458.db2.gz ODDPNKGBNLAVMU-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN CC[C@@H](C#N)C(=O)N[C@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001629099829 1194214220 /nfs/dbraw/zinc/21/42/20/1194214220.db2.gz OOKCAFFQMWIURN-SCLBCKFNSA-N 0 1 318.380 3.318 20 30 DGEDMN Cc1cc(C(=O)Nc2cc(F)cc(F)c2O)cc(C)c1C#N ZINC001630316334 1194280232 /nfs/dbraw/zinc/28/02/32/1194280232.db2.gz NDFUSWCCFSOYMX-UHFFFAOYSA-N 0 1 302.280 3.411 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)[C@H]2CCCC[N@@H+]2Cc2cccc(C)c2)C1 ZINC001631298662 1194328169 /nfs/dbraw/zinc/32/81/69/1194328169.db2.gz NMUGZDKSHFULMT-UYAOXDASSA-N 0 1 324.468 3.221 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)[C@H]2CCCCN2Cc2cccc(C)c2)C1 ZINC001631298662 1194328172 /nfs/dbraw/zinc/32/81/72/1194328172.db2.gz NMUGZDKSHFULMT-UYAOXDASSA-N 0 1 324.468 3.221 20 30 DGEDMN C#Cc1ccc(C(=O)Nc2[nH]nc(-c3ccncc3)c2CC)cc1 ZINC001632475959 1194391367 /nfs/dbraw/zinc/39/13/67/1194391367.db2.gz BTSRPCLWUHQIQJ-UHFFFAOYSA-N 0 1 316.364 3.268 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2ncc3ccccc3c2O)c1 ZINC001633505738 1194440232 /nfs/dbraw/zinc/44/02/32/1194440232.db2.gz XVIZFOIFQABTTP-UHFFFAOYSA-N 0 1 318.332 3.205 20 30 DGEDMN C[C@@]1(C#N)CCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001633641369 1194448235 /nfs/dbraw/zinc/44/82/35/1194448235.db2.gz XOSYIPKDKXPJRN-INIZCTEOSA-N 0 1 314.776 3.106 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)C(=O)C=Cc1ccc(F)cc1 ZINC001634009635 1194469616 /nfs/dbraw/zinc/46/96/16/1194469616.db2.gz QXKWMRXVENGIGS-QPJJXVBHSA-N 0 1 323.327 3.231 20 30 DGEDMN C=C[C@H](C(=O)N1CC(N(C)Cc2ccccc2)C1)c1ccccc1 ZINC001635208526 1194537955 /nfs/dbraw/zinc/53/79/55/1194537955.db2.gz UDUVZYQMGJKUQW-FQEVSTJZSA-N 0 1 320.436 3.299 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@@H]2c2cc(F)ccc2F)c1 ZINC001635506803 1194555370 /nfs/dbraw/zinc/55/53/70/1194555370.db2.gz UPDJLYFSKCZUNG-UKRRQHHQSA-N 0 1 314.291 3.050 20 30 DGEDMN C[C@@H](Cn1ccc2ccccc21)C(=O)NOc1cccc(C#N)c1 ZINC001635511140 1194555959 /nfs/dbraw/zinc/55/59/59/1194555959.db2.gz SPIJMCRHCXEHHU-AWEZNQCLSA-N 0 1 319.364 3.259 20 30 DGEDMN C=CCC1(CNC(=O)c2[nH]nc3c2C[C@@H](C)CC3)CCCC1 ZINC001636474803 1194615036 /nfs/dbraw/zinc/61/50/36/1194615036.db2.gz UQGYESWYONTCBW-ZDUSSCGKSA-N 0 1 301.434 3.401 20 30 DGEDMN N#Cc1cc(NC(=O)[C@@H]2CCN2C2CCCC2)ccc1C1CC1 ZINC001636741456 1194631532 /nfs/dbraw/zinc/63/15/32/1194631532.db2.gz BOGLHXGZIPZPFA-SFHVURJKSA-N 0 1 309.413 3.391 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC001638168651 1194704792 /nfs/dbraw/zinc/70/47/92/1194704792.db2.gz OGZSVOIGCYMKJN-INIZCTEOSA-N 0 1 309.498 3.351 20 30 DGEDMN CN(CC(=O)N(O)Cc1cc(Cl)cc(Cl)c1)C1CCC1 ZINC001639040223 1194747652 /nfs/dbraw/zinc/74/76/52/1194747652.db2.gz FJIPMAJONSODPC-UHFFFAOYSA-N 0 1 317.216 3.196 20 30 DGEDMN C[C@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CCCC1 ZINC001639135703 1194752587 /nfs/dbraw/zinc/75/25/87/1194752587.db2.gz OUBAEDAMWRXKMO-NSHDSACASA-N 0 1 309.373 3.108 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@@H](C)c2nc3ccc(OC)cc3[nH]2)cc1 ZINC001639165497 1194753742 /nfs/dbraw/zinc/75/37/42/1194753742.db2.gz AYNPHHILHLKCRH-LBPRGKRZSA-N 0 1 319.364 3.044 20 30 DGEDMN CCCCCCCCCC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC001639430259 1194766053 /nfs/dbraw/zinc/76/60/53/1194766053.db2.gz CHXNTKJYVQDRLC-SJORKVTESA-N 0 1 312.498 3.041 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1[nH]nc2ccccc21 ZINC001639667280 1194776339 /nfs/dbraw/zinc/77/63/39/1194776339.db2.gz DXEUNJUSYANOIH-GFCCVEGCSA-N 0 1 304.353 3.268 20 30 DGEDMN CCc1ncc(CNc2c(Br)cccc2C#N)[nH]1 ZINC001640468907 1194815523 /nfs/dbraw/zinc/81/55/23/1194815523.db2.gz RAMCJRJCPBBTKZ-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN C=CCNc1nnc(SCc2cc(O)ccc2[N+](=O)[O-])s1 ZINC001640813748 1194828894 /nfs/dbraw/zinc/82/88/94/1194828894.db2.gz KZBLTBRBYPMGAI-UHFFFAOYSA-N 0 1 324.387 3.042 20 30 DGEDMN C=CC[C@H](C)Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC001641024745 1194836261 /nfs/dbraw/zinc/83/62/61/1194836261.db2.gz KYLUQOAGIVAZOR-JTQLQIEISA-N 0 1 303.387 3.300 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)[C@@H](c2ccccc2)N(C)CC)C1 ZINC001641029896 1194836449 /nfs/dbraw/zinc/83/64/49/1194836449.db2.gz MWXSOLUBDSQBQZ-SJLPKXTDSA-N 0 1 300.446 3.494 20 30 DGEDMN C=C(C)CCNC(=O)c1cc(Br)cc2nc[nH]c21 ZINC001641110576 1194839956 /nfs/dbraw/zinc/83/99/56/1194839956.db2.gz AIWNTVKUHAANAY-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001641526850 1194858563 /nfs/dbraw/zinc/85/85/63/1194858563.db2.gz WSPOLYCYVZTAJX-UHFFFAOYSA-N 0 1 309.413 3.466 20 30 DGEDMN CCOC(=O)C1(N(C)CCc2ccc(C#N)cc2)CCCCC1 ZINC001642091991 1194887877 /nfs/dbraw/zinc/88/78/77/1194887877.db2.gz FQDTVGKFGRGMAL-UHFFFAOYSA-N 0 1 314.429 3.299 20 30 DGEDMN CCc1cc(CNc2cc(Br)c(C#N)cc2F)n[nH]1 ZINC001642250949 1194896050 /nfs/dbraw/zinc/89/60/50/1194896050.db2.gz FSSMQLKGKJWFKZ-UHFFFAOYSA-N 0 1 323.169 3.357 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1CN1CCC[C@@](C)(C#N)C1 ZINC001642374125 1194905814 /nfs/dbraw/zinc/90/58/14/1194905814.db2.gz GDKDKVBSKCNYPI-RKVPGOIHSA-N 0 1 321.465 3.115 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001642494345 1194914369 /nfs/dbraw/zinc/91/43/69/1194914369.db2.gz XOLXMTQJQIWPGY-OAHLLOKOSA-N 0 1 309.413 3.466 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2CCCCC2(C)C)C1 ZINC001671916588 1194923823 /nfs/dbraw/zinc/92/38/23/1194923823.db2.gz OUFKNFNRWCIJLK-VQIMIIECSA-N 0 1 304.478 3.054 20 30 DGEDMN COC(=Cc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001642732586 1194933567 /nfs/dbraw/zinc/93/35/67/1194933567.db2.gz CEFHYVQDCUISJM-YBEGLDIGSA-N 0 1 318.336 3.061 20 30 DGEDMN C=CC[C@@H]1N(CC(=O)Nc2ccnn2CCC)CCCC1(C)C ZINC001642917492 1194953695 /nfs/dbraw/zinc/95/36/95/1194953695.db2.gz AXXIEONJZBFYBZ-HNNXBMFYSA-N 0 1 318.465 3.298 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N1CCC(C2CCC2)CC1 ZINC001643752724 1195052942 /nfs/dbraw/zinc/05/29/42/1195052942.db2.gz KVMYSSJLLJOHJJ-CQSZACIVSA-N 0 1 311.429 3.397 20 30 DGEDMN C#CCN(CCc1ccc(OC(F)(F)F)cc1)C1CSC1 ZINC001643771356 1195053301 /nfs/dbraw/zinc/05/33/01/1195053301.db2.gz LLECDHDVMXJKCN-UHFFFAOYSA-N 0 1 315.360 3.178 20 30 DGEDMN C=C[C@H](C)O[N-]C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)C[C@H]1CCC ZINC001644300725 1195097084 /nfs/dbraw/zinc/09/70/84/1195097084.db2.gz SITKTKGYFTYABS-CGTJXYLNSA-N 0 1 316.445 3.157 20 30 DGEDMN C=C[C@H](C)O[N-]C(=O)[C@@H]1C[N@H+](Cc2ccccc2)C[C@H]1CCC ZINC001644300725 1195097088 /nfs/dbraw/zinc/09/70/88/1195097088.db2.gz SITKTKGYFTYABS-CGTJXYLNSA-N 0 1 316.445 3.157 20 30 DGEDMN Cc1nc(C(C)(C)NC[C@@H](O)c2cccc(C#N)c2)sc1C ZINC001644885872 1195140705 /nfs/dbraw/zinc/14/07/05/1195140705.db2.gz RFHPJWSMORVZJK-OAHLLOKOSA-N 0 1 315.442 3.190 20 30 DGEDMN N#Cc1sccc1N1CCN(CC[C@@H]2C[C@H]2C2CC2)CC1 ZINC001647749219 1195250823 /nfs/dbraw/zinc/25/08/23/1195250823.db2.gz UJKOIORVASFGST-CABCVRRESA-N 0 1 301.459 3.178 20 30 DGEDMN CC(C)c1ncc(CNC(=O)C[C@H](CC#N)c2ccccc2)[nH]1 ZINC001647824424 1195256904 /nfs/dbraw/zinc/25/69/04/1195256904.db2.gz DYNUJGHFZKEKRL-HNNXBMFYSA-N 0 1 310.401 3.237 20 30 DGEDMN CCCCCCCCCCN1CCC[C@@H]2OCCNC(=O)[C@H]21 ZINC001648123982 1195278149 /nfs/dbraw/zinc/27/81/49/1195278149.db2.gz MFIPQVAMEOBCTC-IRXDYDNUSA-N 0 1 310.482 3.107 20 30 DGEDMN C#CCN(CC(=O)N(Cc1ccc(C)cc1)C1CC1)C(C)(C)C ZINC001648370551 1195294744 /nfs/dbraw/zinc/29/47/44/1195294744.db2.gz VJEXPVSXEJRDGM-UHFFFAOYSA-N 0 1 312.457 3.220 20 30 DGEDMN Cc1cc(F)cc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1 ZINC001651267636 1195434355 /nfs/dbraw/zinc/43/43/55/1195434355.db2.gz CNWGAHWHAVEVCO-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCc2n[nH]c(-c3ccccc3)n2)c1 ZINC001651480532 1195469359 /nfs/dbraw/zinc/46/93/59/1195469359.db2.gz KDOMAXIXOJIZJF-UHFFFAOYSA-N 0 1 307.332 3.403 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCc2nc(-c3ccccc3)n[nH]2)c1 ZINC001651480532 1195469365 /nfs/dbraw/zinc/46/93/65/1195469365.db2.gz KDOMAXIXOJIZJF-UHFFFAOYSA-N 0 1 307.332 3.403 20 30 DGEDMN N#Cc1ccc(F)cc1NCc1n[nH]c(COc2ccccc2)n1 ZINC001651763632 1195506606 /nfs/dbraw/zinc/50/66/06/1195506606.db2.gz MXEOQVICBQTGQS-UHFFFAOYSA-N 0 1 323.331 3.007 20 30 DGEDMN C=CCN1C(=O)N=NC1SCC[C@@H]1CCc2ccccc21 ZINC001651873425 1195519233 /nfs/dbraw/zinc/51/92/33/1195519233.db2.gz JLXYGEVNKJBDEW-ZDUSSCGKSA-N 0 1 301.415 3.382 20 30 DGEDMN C=CCN(CCOC)CCN(C(=O)OC(C)(C)C)C(C)(C)C ZINC001652377564 1195589896 /nfs/dbraw/zinc/58/98/96/1195589896.db2.gz HGKYPDIHLDDYSE-UHFFFAOYSA-N 0 1 314.470 3.156 20 30 DGEDMN CC[C@@](C)(NC[C@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001652830626 1195657189 /nfs/dbraw/zinc/65/71/89/1195657189.db2.gz QDLQZICNXQRZAL-DOTOQJQBSA-N 0 1 315.442 3.272 20 30 DGEDMN CC[C@@](C)(NC[C@@H](O)c1cccc(C#N)c1)c1nc(C)cs1 ZINC001652830627 1195657799 /nfs/dbraw/zinc/65/77/99/1195657799.db2.gz QDLQZICNXQRZAL-NVXWUHKLSA-N 0 1 315.442 3.272 20 30 DGEDMN C#CCOCCN(CCC)Cc1ccccc1Br ZINC001653238098 1195699102 /nfs/dbraw/zinc/69/91/02/1195699102.db2.gz NVKVRHLWSGNPBC-UHFFFAOYSA-N 0 1 310.235 3.311 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(Cc2cc(F)cc(F)c2)CC1 ZINC001654461077 1195805619 /nfs/dbraw/zinc/80/56/19/1195805619.db2.gz FSWSGJIDFJZHSF-KRWDZBQOSA-N 0 1 323.383 3.337 20 30 DGEDMN CCCCCCCCCCN1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001654718505 1195832442 /nfs/dbraw/zinc/83/24/42/1195832442.db2.gz GXQWHZZXWKAKHW-DLBZAZTESA-N 0 1 315.523 3.494 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H](c3nc4c(s3)CCC4)C2)c1 ZINC001654820254 1195843806 /nfs/dbraw/zinc/84/38/06/1195843806.db2.gz MKQXOHCHNWGUEK-AWEZNQCLSA-N 0 1 324.453 3.278 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c3ccccc3oc2C(=O)OCC)C1 ZINC001654867914 1195849236 /nfs/dbraw/zinc/84/92/36/1195849236.db2.gz MNENEJQJLSYWBN-AWEZNQCLSA-N 0 1 311.381 3.455 20 30 DGEDMN C[C@H]1CN(C[C@@H](O)c2cccc(C#N)c2)CC2(CCCCC2)O1 ZINC001654896543 1195852136 /nfs/dbraw/zinc/85/21/36/1195852136.db2.gz JPHQMXOVILSTOA-MAUKXSAKSA-N 0 1 314.429 3.015 20 30 DGEDMN CCCCCCCN1Cc2cccnc2N2C[C@H](OC)C[C@H]2C1 ZINC001655317917 1195903157 /nfs/dbraw/zinc/90/31/57/1195903157.db2.gz LJHSUEMQCIBVPH-ZWKOTPCHSA-N 0 1 317.477 3.461 20 30 DGEDMN C=CC[C@@H](NCc1ccc(OC)c([N+](=O)[O-])c1)c1ccncc1 ZINC001655641280 1195943313 /nfs/dbraw/zinc/94/33/13/1195943313.db2.gz LRNPKZYPQDVVNS-OAHLLOKOSA-N 0 1 313.357 3.405 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccccc1-n1cncn1 ZINC001655637527 1195943696 /nfs/dbraw/zinc/94/36/96/1195943696.db2.gz IETQOHQBKSNEIY-UHFFFAOYSA-N 0 1 320.396 3.122 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(C)CCC(F)(F)CC1 ZINC001656078287 1195995522 /nfs/dbraw/zinc/99/55/22/1195995522.db2.gz YACIHISSMWKXTL-CQSZACIVSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCc2ccccc21 ZINC001656096527 1196000488 /nfs/dbraw/zinc/00/04/88/1196000488.db2.gz BULHURSTIZFVJI-ZWKOTPCHSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccccc1C ZINC001656109203 1196003637 /nfs/dbraw/zinc/00/36/37/1196003637.db2.gz GOUDGAZQALBBBC-SJORKVTESA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1cccc(F)c1 ZINC001656110172 1196004537 /nfs/dbraw/zinc/00/45/37/1196004537.db2.gz ZQACCIZRMFKLLS-WMLDXEAASA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCOc1cccc(CNCc2cccc(NC(C)=O)c2)c1 ZINC001656131843 1196008342 /nfs/dbraw/zinc/00/83/42/1196008342.db2.gz VVTUOVJPBFMTSA-UHFFFAOYSA-N 0 1 310.397 3.500 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001656216549 1196019532 /nfs/dbraw/zinc/01/95/32/1196019532.db2.gz UUSZKUVNEPPTAE-VPULCZNWSA-N 0 1 323.440 3.065 20 30 DGEDMN COC(=O)Nc1cccc(CNCc2csc(C#N)c2)c1 ZINC001656232245 1196021122 /nfs/dbraw/zinc/02/11/22/1196021122.db2.gz RBDMQHSPBBQKSK-UHFFFAOYSA-N 0 1 301.371 3.088 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@@H](CC)SC ZINC001656531248 1196047483 /nfs/dbraw/zinc/04/74/83/1196047483.db2.gz HIDKYYCCUSSGKL-KWCYVHTRSA-N 0 1 318.914 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H](C)c1ccco1 ZINC001656572039 1196051675 /nfs/dbraw/zinc/05/16/75/1196051675.db2.gz XZFRGIIEJXWIHR-YUELXQCFSA-N 0 1 324.852 3.352 20 30 DGEDMN N#Cc1cc(CN[C@H](Cn2ccnc2)c2ccccc2)cs1 ZINC001656845140 1196075589 /nfs/dbraw/zinc/07/55/89/1196075589.db2.gz YKBOSENSXNSJQD-QGZVFWFLSA-N 0 1 308.410 3.347 20 30 DGEDMN CN(C/C=C\Cl)C[C@@H]1CCCCN1C(=O)C#CC(C)(C)C ZINC001657063549 1196099157 /nfs/dbraw/zinc/09/91/57/1196099157.db2.gz SESBLLAFKWEJGZ-QARUFBMTSA-N 0 1 310.869 3.101 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](N(C)c2ccc(C)cc2)C1 ZINC001657911567 1196193387 /nfs/dbraw/zinc/19/33/87/1196193387.db2.gz BMCMCEHKNWCMKR-QZTJIDSGSA-N 0 1 316.445 3.013 20 30 DGEDMN C#Cc1ccc(CN(C)[C@@H]2CCCN(c3ccccc3)C2=O)cc1 ZINC001658494046 1196265379 /nfs/dbraw/zinc/26/53/79/1196265379.db2.gz CPGKSWGYWRAHES-HXUWFJFHSA-N 0 1 318.420 3.295 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(C)C[C@@H]1CCCC[C@H]1O ZINC001658604851 1196279901 /nfs/dbraw/zinc/27/99/01/1196279901.db2.gz ANEPFFPVHPYCQO-WMLDXEAASA-N 0 1 321.848 3.335 20 30 DGEDMN N#Cc1c(F)cccc1CN[C@H]1COc2c(F)cc(F)cc2C1 ZINC001659082071 1196342159 /nfs/dbraw/zinc/34/21/59/1196342159.db2.gz QAZZOSDRTCNQFI-CYBMUJFWSA-N 0 1 318.298 3.069 20 30 DGEDMN COc1cc(CN2CCO[C@@H](C(C)(C)C)CC2)ccc1C#N ZINC001659115054 1196347229 /nfs/dbraw/zinc/34/72/29/1196347229.db2.gz WNGBCILSXVCAQB-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN C#CCCCCCCN1CCN(c2cccc(F)c2C#N)CC1 ZINC001659160619 1196355018 /nfs/dbraw/zinc/35/50/18/1196355018.db2.gz LLIJMDWAUCWSGX-UHFFFAOYSA-N 0 1 313.420 3.403 20 30 DGEDMN C#C[C@H]1CCCN(CC(=O)N[C@@H](CC)c2ccc(Cl)cc2)C1 ZINC001659178251 1196356845 /nfs/dbraw/zinc/35/68/45/1196356845.db2.gz PXKCSQXCIJRBEO-YOEHRIQHSA-N 0 1 318.848 3.253 20 30 DGEDMN C#C[C@H](N[C@H](C)[C@H]1CCCO1)c1ccc(Br)cc1 ZINC001659303994 1196369512 /nfs/dbraw/zinc/36/95/12/1196369512.db2.gz DLYQRCWIMFOSNZ-BYCMXARLSA-N 0 1 308.219 3.281 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1cccn1C(F)F ZINC001659346616 1196374000 /nfs/dbraw/zinc/37/40/00/1196374000.db2.gz INJOPLXQFLJOKF-UHFFFAOYSA-N 0 1 319.355 3.403 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001660290406 1196467534 /nfs/dbraw/zinc/46/75/34/1196467534.db2.gz DBTDDRUAWNCXGR-HXUWFJFHSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C1CCC(F)CC1 ZINC001660586299 1196499991 /nfs/dbraw/zinc/49/99/91/1196499991.db2.gz LOSNVFVHAGUHSC-UHFFFAOYSA-N 0 1 304.837 3.095 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001660794820 1196526019 /nfs/dbraw/zinc/52/60/19/1196526019.db2.gz VYJSRXVLJQVXJL-LJQANCHMSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccn(C(C)C)c1C)C1CC1 ZINC001661156006 1196573559 /nfs/dbraw/zinc/57/35/59/1196573559.db2.gz AGZZQWSMRWIAMT-INIZCTEOSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1CCc1ccns1 ZINC001661866902 1196655609 /nfs/dbraw/zinc/65/56/09/1196655609.db2.gz XBEDDQBMJGMEKX-OAHLLOKOSA-N 0 1 321.490 3.013 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)/C=C(/C)C2CC2)C1 ZINC001661982280 1196670024 /nfs/dbraw/zinc/67/00/24/1196670024.db2.gz FXGZUBWJMAQSFL-RTOQZUMUSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(CCF)CC2)C1 ZINC001661994865 1196673010 /nfs/dbraw/zinc/67/30/10/1196673010.db2.gz ALRIMZLCTNBXCW-UONOGXRCSA-N 0 1 316.848 3.095 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1cccc(Cl)c1F ZINC001662220071 1196696884 /nfs/dbraw/zinc/69/68/84/1196696884.db2.gz UAYLDBGKKRYVLP-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1coc2c1cccc2C ZINC001662239096 1196698453 /nfs/dbraw/zinc/69/84/53/1196698453.db2.gz LUHWJXNCXMLULG-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001662303069 1196704868 /nfs/dbraw/zinc/70/48/68/1196704868.db2.gz HZBOFZHTGQPDHE-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCCCCCCCNS(=O)(=O)c1cc(O)cc(F)c1 ZINC001662337488 1196709479 /nfs/dbraw/zinc/70/94/79/1196709479.db2.gz PKIJJFSQNSNHEH-UHFFFAOYSA-N 0 1 315.410 3.336 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1c(C)oc2ccccc21 ZINC001662437751 1196722613 /nfs/dbraw/zinc/72/26/13/1196722613.db2.gz VSASEXAGPHLARV-LBPRGKRZSA-N 0 1 320.820 3.131 20 30 DGEDMN C#CCOc1ccc(CNCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC001662487942 1196725622 /nfs/dbraw/zinc/72/56/22/1196725622.db2.gz INDFIVSFRPSFIJ-UHFFFAOYSA-N 0 1 317.392 3.379 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@@H]([N@@H+](C)Cc2cccc(F)c2)C1 ZINC001662833545 1196758219 /nfs/dbraw/zinc/75/82/19/1196758219.db2.gz IFHKDITZQUTSIJ-GOSISDBHSA-N 0 1 316.420 3.052 20 30 DGEDMN CC/C(C)=C/C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001662914453 1196772198 /nfs/dbraw/zinc/77/21/98/1196772198.db2.gz MWMXSYGQVIJTSB-KADHNRKRSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2csc(C)n2)CCC1 ZINC001662970740 1196778135 /nfs/dbraw/zinc/77/81/35/1196778135.db2.gz MMFNXCKMWIRXMK-CYBMUJFWSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC001663517948 1196891275 /nfs/dbraw/zinc/89/12/75/1196891275.db2.gz DBUYMMZLXKGRBC-MRXNPFEDSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001663547415 1196902050 /nfs/dbraw/zinc/90/20/50/1196902050.db2.gz GOSHTQSPWIJVLQ-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)Cc1cccc(Cl)c1 ZINC001663554315 1196903046 /nfs/dbraw/zinc/90/30/46/1196903046.db2.gz ZXTMSPVVFOQCSH-PBHICJAKSA-N 0 1 320.864 3.285 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C/C=C\c1ccc(C)cc1 ZINC001663553601 1196903656 /nfs/dbraw/zinc/90/36/56/1196903656.db2.gz VGSWAPSNROZLMO-SUOMESGTSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001663613603 1196914354 /nfs/dbraw/zinc/91/43/54/1196914354.db2.gz NKALZRNRXVVFMR-HNNXBMFYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CCC)Cc2cccnc2C)C1 ZINC001663679927 1196927222 /nfs/dbraw/zinc/92/72/22/1196927222.db2.gz SHUAYYKCSVPVOD-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1ccc(C(=O)OCC)[nH]1 ZINC001663962089 1196961394 /nfs/dbraw/zinc/96/13/94/1196961394.db2.gz HUSGQWQVDVRRTG-UHFFFAOYSA-N 0 1 312.413 3.422 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1sccc1Cl ZINC001663959856 1196961413 /nfs/dbraw/zinc/96/14/13/1196961413.db2.gz AMWXYTDMVRCDOA-UHFFFAOYSA-N 0 1 300.855 3.306 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)(F)F)c1ccc(C(C)C)cc1 ZINC001664562080 1197013764 /nfs/dbraw/zinc/01/37/64/1197013764.db2.gz VNUFNWWAFNVMCH-INIZCTEOSA-N 0 1 322.399 3.235 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(C=C)cc1)c1ccccc1 ZINC001664593506 1197019167 /nfs/dbraw/zinc/01/91/67/1197019167.db2.gz ZENCGSXMRCRITH-IBGZPJMESA-N 0 1 304.393 3.024 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cccc(CC)c1 ZINC001664608953 1197021022 /nfs/dbraw/zinc/02/10/22/1197021022.db2.gz SNMVLGNOUMRUIE-KDURUIRLSA-N 0 1 312.457 3.390 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cc[nH]c2C(C)C)C1 ZINC001664677806 1197032985 /nfs/dbraw/zinc/03/29/85/1197032985.db2.gz HTQCPMWPEBVLPP-MRXNPFEDSA-N 0 1 315.461 3.088 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CCCC(F)(F)F)C1 ZINC001664683233 1197034264 /nfs/dbraw/zinc/03/42/64/1197034264.db2.gz FBHQAHMNJHWXTE-AWEZNQCLSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(F)cc2C)C1 ZINC001664687617 1197036748 /nfs/dbraw/zinc/03/67/48/1197036748.db2.gz VDBHWFDUCBPWRO-MRXNPFEDSA-N 0 1 304.409 3.247 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2sccc2F)C1 ZINC001664713082 1197041444 /nfs/dbraw/zinc/04/14/44/1197041444.db2.gz VEJOWQHSFXGIJL-LLVKDONJSA-N 0 1 316.829 3.082 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC(C)(C)CC)C1 ZINC001664812345 1197062307 /nfs/dbraw/zinc/06/23/07/1197062307.db2.gz QZLSITYRYCVLQR-CQSZACIVSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CCCC2(C)C)C1 ZINC001664831017 1197065276 /nfs/dbraw/zinc/06/52/76/1197065276.db2.gz YPASTPONYDTRJV-GJZGRUSLSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CCCCCF)CCC1 ZINC001665413297 1197133783 /nfs/dbraw/zinc/13/37/83/1197133783.db2.gz QLGATBZMGHURJM-HOTGVXAUSA-N 0 1 308.441 3.158 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001665664511 1197167020 /nfs/dbraw/zinc/16/70/20/1197167020.db2.gz NPLUTECZRQKRIU-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001665703588 1197177136 /nfs/dbraw/zinc/17/71/36/1197177136.db2.gz NJEOXKOYDBYOHJ-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)[C@H]1CCN(Cc2cccnc2)C1 ZINC001665725909 1197181500 /nfs/dbraw/zinc/18/15/00/1197181500.db2.gz KXXXWZMDWGVKJW-WMZOPIPTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)Cc2cccc(Cl)c2F)C1 ZINC001665730215 1197182423 /nfs/dbraw/zinc/18/24/23/1197182423.db2.gz ACODFDIFNPPOTP-AWEZNQCLSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)[C@@H](C)C(C)(C)C ZINC001665810075 1197200707 /nfs/dbraw/zinc/20/07/07/1197200707.db2.gz OFNPAPMUXMQKJW-NEPJUHHUSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1coc(C(F)F)c1 ZINC001665832906 1197203802 /nfs/dbraw/zinc/20/38/02/1197203802.db2.gz AAVQKESZTCATKX-JTQLQIEISA-N 0 1 306.740 3.068 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2cc[nH]c2c1 ZINC001665849589 1197206625 /nfs/dbraw/zinc/20/66/25/1197206625.db2.gz BKUMMFFNUSDIFC-AWEZNQCLSA-N 0 1 305.809 3.018 20 30 DGEDMN C/C=C(/C)C(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001665914789 1197215813 /nfs/dbraw/zinc/21/58/13/1197215813.db2.gz WCNIGTHZZQZKPW-CQPUUCJISA-N 0 1 311.429 3.043 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2cscn2)CCCC1 ZINC001665944072 1197219874 /nfs/dbraw/zinc/21/98/74/1197219874.db2.gz AADZSVXTBRWKCD-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cc(C)no2)CCCC[C@H]1C ZINC001666049688 1197231652 /nfs/dbraw/zinc/23/16/52/1197231652.db2.gz MOWNKVMTZYRLEE-RDTXWAMCSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001666302624 1197249984 /nfs/dbraw/zinc/24/99/84/1197249984.db2.gz MLKYXYWHGPXOCN-JYJNAYRXSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2cocc2C)cc1 ZINC001666341283 1197253558 /nfs/dbraw/zinc/25/35/58/1197253558.db2.gz RMZDCMWEZHCTLA-UHFFFAOYSA-N 0 1 318.804 3.360 20 30 DGEDMN CCCC(=O)NCC1(NCc2cc(F)ccc2C#N)CCCC1 ZINC001666738138 1197281205 /nfs/dbraw/zinc/28/12/05/1197281205.db2.gz PQCWYPHQSPRMTI-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1c(C)noc1CC ZINC001667003622 1197308048 /nfs/dbraw/zinc/30/80/48/1197308048.db2.gz ZHTHGSGJGZLVJY-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(F)F)cc1 ZINC001667013458 1197308057 /nfs/dbraw/zinc/30/80/57/1197308057.db2.gz PDCHFSKMGYOREA-LLVKDONJSA-N 0 1 316.779 3.427 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@H]1CCNCc1ccon1 ZINC001667278795 1197334920 /nfs/dbraw/zinc/33/49/20/1197334920.db2.gz DXZWPIOTKLPMFO-RDJZCZTQSA-N 0 1 319.449 3.138 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccccc1-c1ccccc1 ZINC001667574721 1197363727 /nfs/dbraw/zinc/36/37/27/1197363727.db2.gz MBVXPRGTLIWFIR-UHFFFAOYSA-N 0 1 307.397 3.040 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001667615429 1197369461 /nfs/dbraw/zinc/36/94/61/1197369461.db2.gz TZBNDAQMKFWHFN-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C#CCCOC(=O)N1CCN(Cc2ccccc2)[C@@H](CCC)C1 ZINC001668253186 1197406372 /nfs/dbraw/zinc/40/63/72/1197406372.db2.gz WCGUQMCANXIFEP-SFHVURJKSA-N 0 1 314.429 3.133 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2cc(C)cn2c1 ZINC001668450298 1197414863 /nfs/dbraw/zinc/41/48/63/1197414863.db2.gz ZOJNBMKVRKGZEL-UHFFFAOYSA-N 0 1 319.836 3.052 20 30 DGEDMN O=C(NC/C=C\CNCC#Cc1ccccc1)C1CCCCCC1 ZINC001668737674 1197427162 /nfs/dbraw/zinc/42/71/62/1197427162.db2.gz AYJHOWDPHSRXHG-HJWRWDBZSA-N 0 1 324.468 3.271 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1ccc(Cl)cc1F ZINC001668976945 1197435687 /nfs/dbraw/zinc/43/56/87/1197435687.db2.gz CPIPNZSWSSOSLD-AATRIKPKSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCc1cc(F)cc(F)c1 ZINC001669063895 1197438980 /nfs/dbraw/zinc/43/89/80/1197438980.db2.gz NXAZIXICZAWCMJ-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN CCC[C@H](CC)C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001669106777 1197440337 /nfs/dbraw/zinc/44/03/37/1197440337.db2.gz ILHRNVUHVVVPEJ-QWUACUGRSA-N 0 1 312.457 3.126 20 30 DGEDMN CCC(C)(CC)C(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001669195135 1197443394 /nfs/dbraw/zinc/44/33/94/1197443394.db2.gz JYWGGIIRTRDYDN-VOTSOKGWSA-N 0 1 319.474 3.208 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001669208117 1197443995 /nfs/dbraw/zinc/44/39/95/1197443995.db2.gz QXUGSXJVTQSQRS-XVWMLYKFSA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)CC1CCCCC1 ZINC001669682444 1197470902 /nfs/dbraw/zinc/47/09/02/1197470902.db2.gz WSNADWQUIZRQQH-OCCSQVGLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)c1cc2ccccc2o1 ZINC001669710508 1197472282 /nfs/dbraw/zinc/47/22/82/1197472282.db2.gz BRYFPHJRTSGTDD-QWHCGFSZSA-N 0 1 320.820 3.383 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccccc1-c1ccccc1 ZINC001669920849 1197504669 /nfs/dbraw/zinc/50/46/69/1197504669.db2.gz NWSUFGRZXDZZJZ-QGZVFWFLSA-N 0 1 320.436 3.427 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1CNCc1coc(C)n1 ZINC001669968912 1197512669 /nfs/dbraw/zinc/51/26/69/1197512669.db2.gz ZXYCYYZOXGTTQH-DOTOQJQBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc(OCC)c1 ZINC001671351382 1197535925 /nfs/dbraw/zinc/53/59/25/1197535925.db2.gz NTYKJZITIRYDHG-HNNXBMFYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccn1CC)C1CCCC1 ZINC001671569763 1197549562 /nfs/dbraw/zinc/54/95/62/1197549562.db2.gz NIKGMKSBCHBVLL-HNNXBMFYSA-N 0 1 323.868 3.139 20 30 DGEDMN CC1(CNC(=O)C2CCC2)CCN(CC#Cc2ccccc2)CC1 ZINC001671821597 1197573044 /nfs/dbraw/zinc/57/30/44/1197573044.db2.gz YZNFBOIIJRSTCR-UHFFFAOYSA-N 0 1 324.468 3.057 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CCC(F)F)CC1 ZINC001671846908 1197574950 /nfs/dbraw/zinc/57/49/50/1197574950.db2.gz SRYSLTJZJALYFM-UHFFFAOYSA-N 0 1 308.800 3.003 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1CCCC1)c1ccccc1CC ZINC001672171130 1197594359 /nfs/dbraw/zinc/59/43/59/1197594359.db2.gz NJFBAHBGGAMTHO-LJQANCHMSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCC=CCC1)c1ccccc1CC ZINC001672183156 1197595481 /nfs/dbraw/zinc/59/54/81/1197595481.db2.gz MWXZWXYPNVSGDV-FQEVSTJZSA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CC(C)C)CCCC1 ZINC001672537667 1197615996 /nfs/dbraw/zinc/61/59/96/1197615996.db2.gz JSDMRIZZIKQKGO-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1c(C)cccc1C ZINC001672929426 1197629066 /nfs/dbraw/zinc/62/90/66/1197629066.db2.gz HUHDRZRTVAFGTC-UHFFFAOYSA-N 0 1 320.436 3.298 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cncs2)CC1 ZINC001673392266 1197655524 /nfs/dbraw/zinc/65/55/24/1197655524.db2.gz XMQRAOJACVQKEN-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1cnc(C)s1 ZINC001673438853 1197660811 /nfs/dbraw/zinc/66/08/11/1197660811.db2.gz CBWIBCPKYFPEMB-UHFFFAOYSA-N 0 1 309.479 3.088 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(Br)c(Cl)c1 ZINC000390639066 1197713866 /nfs/dbraw/zinc/71/38/66/1197713866.db2.gz MKDODYXUSWKVAB-JTQLQIEISA-N 0 1 304.615 3.393 20 30 DGEDMN Cc1ccc(-c2cc(NC(=O)Nc3cc(C)c(C#N)cn3)[nH]n2)o1 ZINC001673981273 1197715153 /nfs/dbraw/zinc/71/51/53/1197715153.db2.gz ZUOMCMWHTRYUHZ-UHFFFAOYSA-N 0 1 322.328 3.197 20 30 DGEDMN CC[C@H](F)CN1CC[C@@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001674018412 1197722479 /nfs/dbraw/zinc/72/24/79/1197722479.db2.gz MKSNPFXPEFTXHC-LSDHHAIUSA-N 0 1 310.457 3.001 20 30 DGEDMN C=C(C)CONC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001674081543 1197728120 /nfs/dbraw/zinc/72/81/20/1197728120.db2.gz YPDWIHXFXNEYNJ-UHFFFAOYSA-N 0 1 323.481 3.036 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H](C)C1 ZINC001674115472 1197733198 /nfs/dbraw/zinc/73/31/98/1197733198.db2.gz QKGMLRGEJZJIIB-KBPBESRZSA-N 0 1 319.474 3.279 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1c2ccccc2CC[N@@H+]1C(C)C ZINC001674271087 1197754628 /nfs/dbraw/zinc/75/46/28/1197754628.db2.gz BNDQFXOADQYSLP-QGZVFWFLSA-N 0 1 300.446 3.323 20 30 DGEDMN C=CCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC/C=C\Cl ZINC001674419691 1197770468 /nfs/dbraw/zinc/77/04/68/1197770468.db2.gz BMSRZDPDNCMALT-ULEBIONPSA-N 0 1 304.821 3.077 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1scc(C)c1Cl ZINC001674457843 1197779165 /nfs/dbraw/zinc/77/91/65/1197779165.db2.gz OIMOINZMGXYNIZ-CYBMUJFWSA-N 0 1 324.877 3.270 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2ccccc2n1C ZINC001674723197 1197848399 /nfs/dbraw/zinc/84/83/99/1197848399.db2.gz WLXREAXDKUNCCR-CHWSQXEVSA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)c(C)s1 ZINC001674766687 1197867208 /nfs/dbraw/zinc/86/72/08/1197867208.db2.gz PMWXPKLZRGPLHD-GHMZBOCLSA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1conc1C ZINC001675253544 1198021133 /nfs/dbraw/zinc/02/11/33/1198021133.db2.gz TWDZYCJYJYSACA-QGZVFWFLSA-N 0 1 319.449 3.200 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC/C=C/c2ccccc2)C(C)(C)C1 ZINC001675473361 1198076679 /nfs/dbraw/zinc/07/66/79/1198076679.db2.gz LLJIKLGAGCNJIH-XWGMLZDCSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)NC[C@H](c1cccs1)N(C)C ZINC001675738874 1198130523 /nfs/dbraw/zinc/13/05/23/1198130523.db2.gz OPBNMDDIZFPZJR-LSDHHAIUSA-N 0 1 321.490 3.491 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001675781932 1198140338 /nfs/dbraw/zinc/14/03/38/1198140338.db2.gz MXJGFXZDZNETHE-FZKCQIBNSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C\Cl)C1 ZINC001675777597 1198140403 /nfs/dbraw/zinc/14/04/03/1198140403.db2.gz WGISVRLSQUXGRR-XAXNIESVSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001676398140 1198309750 /nfs/dbraw/zinc/30/97/50/1198309750.db2.gz YXYBUVSQOBGRMZ-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@H]1C ZINC001676757349 1198382375 /nfs/dbraw/zinc/38/23/75/1198382375.db2.gz XQNWKXOTPDBZCW-VXGBXAGGSA-N 0 1 305.249 3.241 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](CNCc2ncc(C(C)C)o2)C1 ZINC001676915067 1198434988 /nfs/dbraw/zinc/43/49/88/1198434988.db2.gz ZDEQWXZDDYWUAY-HUUCEWRRSA-N 0 1 319.449 3.139 20 30 DGEDMN CC(C)=CC(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001677226982 1198494184 /nfs/dbraw/zinc/49/41/84/1198494184.db2.gz HQTASLWWIUVKAL-QGZVFWFLSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001677272753 1198505750 /nfs/dbraw/zinc/50/57/50/1198505750.db2.gz YJLSDKQRPJFFGN-CYBMUJFWSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CCN(C/C=C\Cl)CC2)C1 ZINC001677338177 1198517339 /nfs/dbraw/zinc/51/73/39/1198517339.db2.gz UKOQPGFDPVKNSH-HJHHMULASA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCCC[C@@H](NC(=O)NCCN1CCC1)c1ccccc1 ZINC001684989569 1199432502 /nfs/dbraw/zinc/43/25/02/1199432502.db2.gz YXNWYOYQYNTNBG-QGZVFWFLSA-N 0 1 301.434 3.089 20 30 DGEDMN C[C@@H](CC1CCCCC1)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000114618502 1199706497 /nfs/dbraw/zinc/70/64/97/1199706497.db2.gz SGOLFEMHVOJIJK-XJKSGUPXSA-N 0 1 304.434 3.361 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CCN([C@@H](C)c2cccc3ccccc32)CC1 ZINC001688691823 1199833762 /nfs/dbraw/zinc/83/37/62/1199833762.db2.gz WUJGAJIYPRHCSD-HOTGVXAUSA-N 0 1 321.424 3.205 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)c1cc2ccccc2o1 ZINC001688893893 1199946590 /nfs/dbraw/zinc/94/65/90/1199946590.db2.gz MVEKXDQVQAHYQN-WBVHZDCISA-N 0 1 324.424 3.092 20 30 DGEDMN C=CC1(CC(=O)N(C)C[C@@H]2CCN2CCOCC)CCCCC1 ZINC001688891944 1199946815 /nfs/dbraw/zinc/94/68/15/1199946815.db2.gz ZZFCKALBWVSPFE-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2C[C@@H](NC/C(Cl)=C/Cl)C2)C1 ZINC001688911095 1199955173 /nfs/dbraw/zinc/95/51/73/1199955173.db2.gz ZUKKKGCMYWVDSC-FYPZJVOZSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NCc2nc(C)cs2)C(C)C)C1 ZINC001753837138 1199987710 /nfs/dbraw/zinc/98/77/10/1199987710.db2.gz APWLETLXVYQDQD-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001753861168 1200010870 /nfs/dbraw/zinc/01/08/70/1200010870.db2.gz WJAMRJBFVFHQPP-HNNXBMFYSA-N 0 1 306.425 3.318 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@H]1CNCc1ncc(C(C)C)o1 ZINC001753881970 1200031869 /nfs/dbraw/zinc/03/18/69/1200031869.db2.gz GJORIRFTEGPLQA-GJZGRUSLSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@@H](NCc2csc(C)n2)C1 ZINC001689257298 1200071597 /nfs/dbraw/zinc/07/15/97/1200071597.db2.gz ZCJLMHDUOGNVJZ-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2ccc(Cl)cc2)[C@@H]1C ZINC001689289371 1200084691 /nfs/dbraw/zinc/08/46/91/1200084691.db2.gz OKOFRJMMZXEVOL-PBHICJAKSA-N 0 1 318.848 3.223 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1cccc(Cl)n1 ZINC001754157327 1200117742 /nfs/dbraw/zinc/11/77/42/1200117742.db2.gz UBORKODTFZWWML-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001754211638 1200131910 /nfs/dbraw/zinc/13/19/10/1200131910.db2.gz KOIARALMHOXFRA-AATRIKPKSA-N 0 1 310.869 3.217 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)[C@@H](C)C(CC)CC ZINC001754232289 1200143514 /nfs/dbraw/zinc/14/35/14/1200143514.db2.gz NSFUDWAJFRCSIW-NEPJUHHUSA-N 0 1 319.287 3.062 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001754302009 1200184674 /nfs/dbraw/zinc/18/46/74/1200184674.db2.gz ZFEDCGJIAREPJN-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001689825256 1200299208 /nfs/dbraw/zinc/29/92/08/1200299208.db2.gz NFLINFLSWKKNJJ-GOSISDBHSA-N 0 1 312.457 3.079 20 30 DGEDMN C=CCC[C@H](C)N1CC[C@@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001754503730 1200320657 /nfs/dbraw/zinc/32/06/57/1200320657.db2.gz ANRJNBVVDRGCPP-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CC[C@H](C(=O)NCC1(NCC(=C)Cl)CC1)c1ccccc1 ZINC001754534181 1200345766 /nfs/dbraw/zinc/34/57/66/1200345766.db2.gz HBWJHTNDAVBEFH-INIZCTEOSA-N 0 1 318.848 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccc(F)cc2)C1 ZINC001690051705 1200387923 /nfs/dbraw/zinc/38/79/23/1200387923.db2.gz OVRUSXBQNUVBPU-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001754614127 1200396554 /nfs/dbraw/zinc/39/65/54/1200396554.db2.gz LWXZGHLTMQZPKA-KGTBHZDVSA-N 0 1 305.249 3.098 20 30 DGEDMN C#CCN(C(=O)CCC(C)C)C1CCN(C/C=C/Cl)CC1 ZINC001754634222 1200407823 /nfs/dbraw/zinc/40/78/23/1200407823.db2.gz LQWNYIMVYWKVNZ-BJMVGYQFSA-N 0 1 310.869 3.101 20 30 DGEDMN C#CCN(C(=O)C1CC(C)(C)C1)C1CCN(C/C=C/Cl)CC1 ZINC001754635681 1200409438 /nfs/dbraw/zinc/40/94/38/1200409438.db2.gz KLUVIZYFZIJVQY-VMPITWQZSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc[nH]c1CC)C1CCCC1 ZINC001754648192 1200414363 /nfs/dbraw/zinc/41/43/63/1200414363.db2.gz WFRQEJOGTNWWJA-MRXNPFEDSA-N 0 1 323.868 3.208 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CC2(CC2)C1)C1CCCC1 ZINC001754649111 1200416110 /nfs/dbraw/zinc/41/61/10/1200416110.db2.gz DFIQXACBOJJCBW-OAHLLOKOSA-N 0 1 310.869 3.194 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001754686159 1200445739 /nfs/dbraw/zinc/44/57/39/1200445739.db2.gz ZTPWLAVMRYYZIW-ROUKRRSUSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cccc1F ZINC001690215658 1200456458 /nfs/dbraw/zinc/45/64/58/1200456458.db2.gz YBMRRDSSGZSIGJ-OKILXGFUSA-N 0 1 320.383 3.220 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@]2(C)CCCN(C[C@@H](F)CC)C2)C1 ZINC001754730917 1200474853 /nfs/dbraw/zinc/47/48/53/1200474853.db2.gz NQYMWRHSPUKPKI-DOTOQJQBSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@H](NC/C(Cl)=C/Cl)C1 ZINC001690288994 1200479768 /nfs/dbraw/zinc/47/97/68/1200479768.db2.gz PXWJAWCZOZXQRM-FFGPIHNSSA-N 0 1 305.249 3.145 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H](C)CC)c1ccccc1CC ZINC001754785202 1200487158 /nfs/dbraw/zinc/48/71/58/1200487158.db2.gz JIEZMTWBBNOXPJ-QAPCUYQASA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@@H](C)CC)c1ccccc1CC ZINC001754785203 1200488027 /nfs/dbraw/zinc/48/80/27/1200488027.db2.gz JIEZMTWBBNOXPJ-YJBOKZPZSA-N 0 1 300.446 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCC1(C)CC1)c1ccccc1CC ZINC001754789224 1200490605 /nfs/dbraw/zinc/49/06/05/1200490605.db2.gz JNQJZNFPJNIHGW-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)C(C)(C)C ZINC001754945915 1200547971 /nfs/dbraw/zinc/54/79/71/1200547971.db2.gz NJNACYIOUGKLGX-OAHLLOKOSA-N 0 1 300.446 3.050 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1C[C@H]1C(C)C ZINC001754946661 1200548970 /nfs/dbraw/zinc/54/89/70/1200548970.db2.gz RBZOHJOTHCXPAG-RBUKOAKNSA-N 0 1 312.457 3.050 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C=C(\C)C3CC3)cccc2C1 ZINC001754974590 1200563014 /nfs/dbraw/zinc/56/30/14/1200563014.db2.gz QCYCHYBUSUPPPN-DTQAZKPQSA-N 0 1 322.452 3.041 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H](C=C)CC)cccc2C1 ZINC001754975554 1200564030 /nfs/dbraw/zinc/56/40/30/1200564030.db2.gz BXRUQFLECUJVCF-INIZCTEOSA-N 0 1 312.457 3.449 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2)CCC1 ZINC001690451041 1200564880 /nfs/dbraw/zinc/56/48/80/1200564880.db2.gz VXUJDKHSUNIIFL-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001690454324 1200567046 /nfs/dbraw/zinc/56/70/46/1200567046.db2.gz BROLPHVIHUCNPB-MOPGFXCFSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](CC)CC1CCCC1 ZINC001690455101 1200569277 /nfs/dbraw/zinc/56/92/77/1200569277.db2.gz PYCJEKPUKXJZJK-QZTJIDSGSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H]1CCCN(Cc2ccns2)C1 ZINC001690499563 1200595494 /nfs/dbraw/zinc/59/54/94/1200595494.db2.gz XNHCPBPGOOKTMF-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN CN(CCCN(C)C(=O)C1CCCC1)Cc1ccc(C#N)s1 ZINC001755032364 1200597410 /nfs/dbraw/zinc/59/74/10/1200597410.db2.gz IVQCMBMIQLZNPH-UHFFFAOYSA-N 0 1 319.474 3.090 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)C(C)(CC)CC)O2 ZINC001755056583 1200619797 /nfs/dbraw/zinc/61/97/97/1200619797.db2.gz FQCFHFXEKRHMJD-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001690557368 1200625145 /nfs/dbraw/zinc/62/51/45/1200625145.db2.gz QQJRWMNMGAHJQE-OWTLUSIOSA-N 0 1 318.848 3.142 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001690557366 1200625600 /nfs/dbraw/zinc/62/56/00/1200625600.db2.gz QQJRWMNMGAHJQE-CKNOQMABSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1ccc(F)cc1Cl ZINC001690610172 1200646771 /nfs/dbraw/zinc/64/67/71/1200646771.db2.gz IBMHMSDJSYUIJT-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(C)(NCc2nc(C)oc2C)CC1 ZINC001755129839 1200648044 /nfs/dbraw/zinc/64/80/44/1200648044.db2.gz UTSZFLRAVXKERW-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](c1ccccc1)C(C)C ZINC001690626796 1200657146 /nfs/dbraw/zinc/65/71/46/1200657146.db2.gz LWWNIPULOZIUNA-GDBMZVCRSA-N 0 1 308.853 3.273 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cncc2sccc21 ZINC001755197968 1200695214 /nfs/dbraw/zinc/69/52/14/1200695214.db2.gz QVUGORXDUXGGEN-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C[C@@H](C)CC(C)(C)C ZINC001755198607 1200696711 /nfs/dbraw/zinc/69/67/11/1200696711.db2.gz CZBYBXQPMWLTNT-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](C)CC(C)(C)C ZINC001755198607 1200696718 /nfs/dbraw/zinc/69/67/18/1200696718.db2.gz CZBYBXQPMWLTNT-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NCc2ncc(C(C)C)o2)C1 ZINC001690766976 1200705075 /nfs/dbraw/zinc/70/50/75/1200705075.db2.gz WXHKNIWPWUXUIE-CABCVRRESA-N 0 1 319.449 3.281 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1Cl ZINC001755228477 1200716869 /nfs/dbraw/zinc/71/68/69/1200716869.db2.gz PDUMZICCRYOMDN-NWDGAFQWSA-N 0 1 312.816 3.428 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001755227797 1200717592 /nfs/dbraw/zinc/71/75/92/1200717592.db2.gz KSAOADRHXYCQAR-GNZFURHMSA-N 0 1 317.408 3.036 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001755232288 1200721048 /nfs/dbraw/zinc/72/10/48/1200721048.db2.gz XSGRUUOLKLUNRN-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001755248424 1200733541 /nfs/dbraw/zinc/73/35/41/1200733541.db2.gz IAOMOYOHZDOBCZ-CHWSQXEVSA-N 0 1 309.841 3.074 20 30 DGEDMN C=CCCC(=O)N(C)C1CCC(NCc2nc(C)c(C)o2)CC1 ZINC001755273114 1200755065 /nfs/dbraw/zinc/75/50/65/1200755065.db2.gz NUBDQTXYYUKIGK-UHFFFAOYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1CC12CCC2 ZINC001691845270 1200834176 /nfs/dbraw/zinc/83/41/76/1200834176.db2.gz ZMUMCFJSGYEZLU-VHDGCEQUSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2cc(C)no2)CCCCC1 ZINC001755350665 1200835508 /nfs/dbraw/zinc/83/55/08/1200835508.db2.gz BDLHMCOWDHEUBP-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001691852497 1200838207 /nfs/dbraw/zinc/83/82/07/1200838207.db2.gz HMNWVRCRFSJYDY-IRXDYDNUSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(F)c2C)C1 ZINC001691852709 1200838762 /nfs/dbraw/zinc/83/87/62/1200838762.db2.gz KWAVIKODFQFBNX-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001691864505 1200844310 /nfs/dbraw/zinc/84/43/10/1200844310.db2.gz NUVSJPCHZPXOKU-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001755374458 1200862106 /nfs/dbraw/zinc/86/21/06/1200862106.db2.gz LHSLOIPFHDEMES-AWEZNQCLSA-N 0 1 304.409 3.037 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001755385976 1200867958 /nfs/dbraw/zinc/86/79/58/1200867958.db2.gz HTVYQBFLBKQKIQ-LPHOPBHVSA-N 0 1 314.473 3.124 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](CCC)c2ccccc2)C1 ZINC001755390070 1200873995 /nfs/dbraw/zinc/87/39/95/1200873995.db2.gz KJYGAGXLWXMQTJ-MAUKXSAKSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@]2(C1)CN(CCCCCC)CCO2 ZINC001755402761 1200875337 /nfs/dbraw/zinc/87/53/37/1200875337.db2.gz ZZLGWDHAIISKAL-IBGZPJMESA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCCCC(=O)N1CC[C@]2(C1)CN(CCCCCC)CCO2 ZINC001755402762 1200875849 /nfs/dbraw/zinc/87/58/49/1200875849.db2.gz ZZLGWDHAIISKAL-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](C)C(C)(C)C)C1 ZINC001691969324 1200890356 /nfs/dbraw/zinc/89/03/56/1200890356.db2.gz XCQIHRRDOMZUAR-UONOGXRCSA-N 0 1 300.874 3.201 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cc(C)c(C)o2)CC1 ZINC001692006465 1200908155 /nfs/dbraw/zinc/90/81/55/1200908155.db2.gz GRECAQLNAQRTST-UHFFFAOYSA-N 0 1 324.852 3.433 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001692146982 1200948210 /nfs/dbraw/zinc/94/82/10/1200948210.db2.gz SSGUARVFFMPAID-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)s2)[C@@H]1CC ZINC001692170285 1200957508 /nfs/dbraw/zinc/95/75/08/1200957508.db2.gz JSSBLQBUYUSMIN-JKSUJKDBSA-N 0 1 317.458 3.055 20 30 DGEDMN C[C@@H](CN(C)C(=O)/C=C/C(C)(C)C)NCc1ccccc1C#N ZINC001755581001 1200968010 /nfs/dbraw/zinc/96/80/10/1200968010.db2.gz FILWNIJYKPSILY-NKSUMMKUSA-N 0 1 313.445 3.097 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001755582256 1200969384 /nfs/dbraw/zinc/96/93/84/1200969384.db2.gz OSPMZHWGFSPRTO-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1cc(C#N)ccc1F ZINC001755582258 1200969467 /nfs/dbraw/zinc/96/94/67/1200969467.db2.gz OSPMZHWGFSPRTO-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H](C)c2ccccc2C)[C@H]1C ZINC001692208811 1200970990 /nfs/dbraw/zinc/97/09/90/1200970990.db2.gz YQMUDWKYAPQSSY-LMMKCTJWSA-N 0 1 312.457 3.091 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)Cc1ccccc1 ZINC001755618576 1200994968 /nfs/dbraw/zinc/99/49/68/1200994968.db2.gz WTVUZTURVADWTP-UKRRQHHQSA-N 0 1 308.853 3.054 20 30 DGEDMN C#CCC1(C(=O)NC2CN([C@@H](C)CCC=C)C2)CCCCC1 ZINC001692270876 1200997244 /nfs/dbraw/zinc/99/72/44/1200997244.db2.gz RUOXBLPCTHPJLS-INIZCTEOSA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cc(O)ccc2Cl)C[C@H]1C ZINC001755675249 1201010294 /nfs/dbraw/zinc/01/02/94/1201010294.db2.gz WKAUEGRESNVADE-OCCSQVGLSA-N 0 1 322.836 3.091 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2sc(C)nc2C)[C@H]1C ZINC001755715447 1201036852 /nfs/dbraw/zinc/03/68/52/1201036852.db2.gz ZENVVNOMYHTSER-DZGCQCFKSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)CC(F)(F)F)[C@H]1C ZINC001755721649 1201041868 /nfs/dbraw/zinc/04/18/68/1201041868.db2.gz PBNUNUDZTIQAFT-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1nc(C)c(C)s1 ZINC001692384658 1201046495 /nfs/dbraw/zinc/04/64/95/1201046495.db2.gz VKIMTPDHLRIPBB-CQDKDKBSSA-N 0 1 319.474 3.013 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2cccc(F)c2)CC1 ZINC001755887799 1201120805 /nfs/dbraw/zinc/12/08/05/1201120805.db2.gz CYDNSQBIXIDHSF-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C2(C3CCCCC3)CC2)CC1 ZINC001755898235 1201126284 /nfs/dbraw/zinc/12/62/84/1201126284.db2.gz DZVYTUWIVJRLTR-UHFFFAOYSA-N 0 1 314.473 3.119 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCc1ccc(CNCC#CC)cc1F ZINC001755902770 1201126963 /nfs/dbraw/zinc/12/69/63/1201126963.db2.gz YECRCPDEHYEAPH-LJQANCHMSA-N 0 1 316.420 3.157 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001698568276 1201236450 /nfs/dbraw/zinc/23/64/50/1201236450.db2.gz YXMQGVMIIDZUBR-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001698569706 1201239879 /nfs/dbraw/zinc/23/98/79/1201239879.db2.gz SOMQCYKGVIHJCK-MRXNPFEDSA-N 0 1 318.436 3.379 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001698585870 1201258905 /nfs/dbraw/zinc/25/89/05/1201258905.db2.gz SQHFCOFUGGJTLV-KGLIPLIRSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NCc1ccncc1Cl ZINC001698601101 1201272224 /nfs/dbraw/zinc/27/22/24/1201272224.db2.gz PSYBWFOLTUJSQT-AWEZNQCLSA-N 0 1 323.868 3.322 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C(C1CCC1)C1CCC1 ZINC001698610304 1201279894 /nfs/dbraw/zinc/27/98/94/1201279894.db2.gz JTTKNXQMQCGPQD-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CC)NC/C(Cl)=C\Cl ZINC001698613819 1201284475 /nfs/dbraw/zinc/28/44/75/1201284475.db2.gz PKKKDIATPBVIDG-MNFCOICASA-N 0 1 307.265 3.392 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](CC)CCCC)CC2 ZINC001698806291 1201438283 /nfs/dbraw/zinc/43/82/83/1201438283.db2.gz HPYVXKKAVJMKBC-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](CC)CC(F)(F)F)CC2 ZINC001698811677 1201449595 /nfs/dbraw/zinc/44/95/95/1201449595.db2.gz RBZBDYPJYNMERM-ZDUSSCGKSA-N 0 1 318.383 3.075 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNCc1cccc(Cl)c1F ZINC001698820271 1201470977 /nfs/dbraw/zinc/47/09/77/1201470977.db2.gz GPTGHADWXPPTFF-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cncc2ccccc21 ZINC001698861309 1201518734 /nfs/dbraw/zinc/51/87/34/1201518734.db2.gz OSOWWKPNMHXVKU-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001698864311 1201520188 /nfs/dbraw/zinc/52/01/88/1201520188.db2.gz RZGGHLHXWOOAFV-ZBFHGGJFSA-N 0 1 322.880 3.363 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1c(C)noc1C)CC(C)C ZINC001698870365 1201529249 /nfs/dbraw/zinc/52/92/49/1201529249.db2.gz FTPIKXLTKGKEPM-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1ccccc1 ZINC001698872136 1201533261 /nfs/dbraw/zinc/53/32/61/1201533261.db2.gz ZIDOXBHBELCQQA-INIZCTEOSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001698878850 1201543507 /nfs/dbraw/zinc/54/35/07/1201543507.db2.gz OBLVAPPGJFRYQR-PHPOFCCKSA-N 0 1 324.896 3.152 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001699003002 1201671559 /nfs/dbraw/zinc/67/15/59/1201671559.db2.gz PXQSWXZQRQVJGQ-UHFFFAOYSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2cc(C)no2)CC(C)(C)C1 ZINC001750942013 1201732141 /nfs/dbraw/zinc/73/21/41/1201732141.db2.gz LYZKLHYSKYLEEZ-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C#CC(C)(C)C)CC(C)(C)C1 ZINC001750947414 1201737243 /nfs/dbraw/zinc/73/72/43/1201737243.db2.gz XGPKSBUMKJJIHT-HNNXBMFYSA-N 0 1 324.896 3.395 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H]1CCCc2ccccc21 ZINC001699274222 1201773967 /nfs/dbraw/zinc/77/39/67/1201773967.db2.gz IOPOKOFINJUVPQ-CHYADLBTSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(C2CCCCC2)CC1 ZINC001699338619 1201795003 /nfs/dbraw/zinc/79/50/03/1201795003.db2.gz SZVWYGALGIDSFA-AATRIKPKSA-N 0 1 310.869 3.361 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)Cc2cccs2)CC1 ZINC001752432729 1201837279 /nfs/dbraw/zinc/83/72/79/1201837279.db2.gz YBTAODJTIVSCLA-UHFFFAOYSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)c1ccccc1OC ZINC001699427709 1201860457 /nfs/dbraw/zinc/86/04/57/1201860457.db2.gz KTVAGZLNMBGLBS-OCCSQVGLSA-N 0 1 324.852 3.036 20 30 DGEDMN CC[C@@H](C)C(=O)NC[C@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001752813494 1201935495 /nfs/dbraw/zinc/93/54/95/1201935495.db2.gz FULGGWGYHKFBTP-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2coc(C)n2)CCCC1 ZINC001699555221 1201947555 /nfs/dbraw/zinc/94/75/55/1201947555.db2.gz KSWMREKWSDOZCK-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCCF ZINC001752832172 1201959046 /nfs/dbraw/zinc/95/90/46/1201959046.db2.gz UFZSSGVKTVHKNN-GJZGRUSLSA-N 0 1 316.848 3.334 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(CCC)cc1)C1CC1 ZINC001699595130 1201963521 /nfs/dbraw/zinc/96/35/21/1201963521.db2.gz VPHLYHVNOOIGGH-KRWDZBQOSA-N 0 1 320.864 3.490 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1ccccc1C)C1CC1 ZINC001699602152 1201969547 /nfs/dbraw/zinc/96/95/47/1201969547.db2.gz JBQFZIHSBHJGEM-PBHICJAKSA-N 0 1 320.864 3.335 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001699628019 1201989492 /nfs/dbraw/zinc/98/94/92/1201989492.db2.gz UHOIWFDRGZIDRY-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001752891634 1201996897 /nfs/dbraw/zinc/99/68/97/1201996897.db2.gz NZKDIGQAIZWIPA-OAHLLOKOSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1cccc(C(C)C)n1 ZINC001752891633 1201997254 /nfs/dbraw/zinc/99/72/54/1201997254.db2.gz NZKDIGQAIZWIPA-HNNXBMFYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1csc(C(C)C)n1 ZINC001699634268 1201997617 /nfs/dbraw/zinc/99/76/17/1201997617.db2.gz UHGDSGSGFATBES-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001699636038 1202000631 /nfs/dbraw/zinc/00/06/31/1202000631.db2.gz VGPRGQLLERNLDK-ROUUACIJSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001699663732 1202023048 /nfs/dbraw/zinc/02/30/48/1202023048.db2.gz VJNNMNRDIZGOKG-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)CC(C)(C)C)CC1 ZINC001699670421 1202026392 /nfs/dbraw/zinc/02/63/92/1202026392.db2.gz JBZLVQMNPUPTAV-UHFFFAOYSA-N 0 1 300.874 3.440 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@](C)(CC)CCC ZINC001699682436 1202031373 /nfs/dbraw/zinc/03/13/73/1202031373.db2.gz MVLLVILPTDQXTO-ZIFCJYIRSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1ccccc1 ZINC001699688817 1202035648 /nfs/dbraw/zinc/03/56/48/1202035648.db2.gz UCIZQOJLIGKKKS-BGYRXZFFSA-N 0 1 324.468 3.446 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC[C@H]2CCC[C@H](C)C2)C1 ZINC001699711942 1202046363 /nfs/dbraw/zinc/04/63/63/1202046363.db2.gz CFSGFFGUXJKANP-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@H]2CCCC2(F)F)C1 ZINC001699717800 1202050140 /nfs/dbraw/zinc/05/01/40/1202050140.db2.gz KYZWHCIJODEEKZ-UONOGXRCSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(F)cc2C)C1 ZINC001699722131 1202052984 /nfs/dbraw/zinc/05/29/84/1202052984.db2.gz BYGDFGUEHLZRKD-CQSZACIVSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2(c3cccc(C)c3)CC2)C1 ZINC001699725507 1202055495 /nfs/dbraw/zinc/05/54/95/1202055495.db2.gz HTGNNJARQYWCDW-UHFFFAOYSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1cc(C)ccc1C ZINC001699758586 1202067746 /nfs/dbraw/zinc/06/77/46/1202067746.db2.gz QYHDAPMCUAUKDZ-KRWDZBQOSA-N 0 1 320.864 3.179 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2cncs2)CC1 ZINC001699772586 1202074691 /nfs/dbraw/zinc/07/46/91/1202074691.db2.gz NXXGRPUURFUBHR-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)c2cc3ccccc3o2)CC1 ZINC001699773734 1202075381 /nfs/dbraw/zinc/07/53/81/1202075381.db2.gz CZZVPZZFQPFDIL-OAHLLOKOSA-N 0 1 324.424 3.140 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C(/C)C1CC1)C1CCCC1 ZINC001699793574 1202080738 /nfs/dbraw/zinc/08/07/38/1202080738.db2.gz TYYPAGNYMWWSTL-HMWXGYMHSA-N 0 1 310.869 3.360 20 30 DGEDMN CCCCC1(C(=O)NCCN(C)Cc2cccc(C#N)c2)CC1 ZINC001753063166 1202091774 /nfs/dbraw/zinc/09/17/74/1202091774.db2.gz JXUBIOQNSCSHSH-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C/C=C(/C)C(=O)NCC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001699858632 1202117153 /nfs/dbraw/zinc/11/71/53/1202117153.db2.gz MFMPTESHTKLZQO-LMXLVEHLSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](CC)CCCCC)C1 ZINC001699879052 1202134762 /nfs/dbraw/zinc/13/47/62/1202134762.db2.gz HHONNKXANOXYHV-IEBWSBKVSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC(C)(C)C2CC2)C1 ZINC001699889993 1202137748 /nfs/dbraw/zinc/13/77/48/1202137748.db2.gz OVLGNHCQSACNCY-AWEZNQCLSA-N 0 1 314.420 3.072 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCC[N@H+]1Cc1cc2ccccc2o1 ZINC001753106380 1202137752 /nfs/dbraw/zinc/13/77/52/1202137752.db2.gz XEWLASUKJJFQJY-KRWDZBQOSA-N 0 1 324.424 3.173 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1Cc1cc2ccccc2o1 ZINC001753106380 1202137755 /nfs/dbraw/zinc/13/77/55/1202137755.db2.gz XEWLASUKJJFQJY-KRWDZBQOSA-N 0 1 324.424 3.173 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CCNC/C(Cl)=C\Cl)C1 ZINC001700064364 1202171093 /nfs/dbraw/zinc/17/10/93/1202171093.db2.gz BXOPMMVWRYVMLV-OZYJXZHSSA-N 0 1 319.276 3.490 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CCC1 ZINC001700134330 1202188248 /nfs/dbraw/zinc/18/82/48/1202188248.db2.gz UPKFNHRYGZYSLS-QKDCVEJESA-N 0 1 310.869 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001700177300 1202196162 /nfs/dbraw/zinc/19/61/62/1202196162.db2.gz QBRDCGMLZMVSMG-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)CCC1CC1 ZINC001700182754 1202199727 /nfs/dbraw/zinc/19/97/27/1202199727.db2.gz AWSLCIXJMRZCKI-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001753310911 1202202773 /nfs/dbraw/zinc/20/27/73/1202202773.db2.gz VXPAFUYRBWUFAE-HDICACEKSA-N 0 1 312.457 3.464 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCc2ccccc2)C1 ZINC001753323100 1202209876 /nfs/dbraw/zinc/20/98/76/1202209876.db2.gz LDJWBSQCTBKFIK-GOSISDBHSA-N 0 1 300.446 3.118 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCC2(CN(CCCCC)C2)O1 ZINC001700205323 1202210133 /nfs/dbraw/zinc/21/01/33/1202210133.db2.gz NUAHLFGULSRNRG-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)CCC(C)C)O2 ZINC001700204610 1202210457 /nfs/dbraw/zinc/21/04/57/1202210457.db2.gz FXZVZXDJEXIBLM-IRXDYDNUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCC2(CN(CCCCCC)C2)O1 ZINC001700204089 1202210796 /nfs/dbraw/zinc/21/07/96/1202210796.db2.gz BYULSBPJHGQGFI-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)C=C(CC)CC)CO2 ZINC001700211466 1202211005 /nfs/dbraw/zinc/21/10/05/1202211005.db2.gz XZDYXDJQWNYMMA-DOTOQJQBSA-N 0 1 320.477 3.047 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001700292676 1202228183 /nfs/dbraw/zinc/22/81/83/1202228183.db2.gz MQQLBPNUIHNDFP-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NCc1cc(C(C)(C)C)on1 ZINC001700326046 1202237536 /nfs/dbraw/zinc/23/75/36/1202237536.db2.gz XIFWOSKAMGYZNC-ZDUSSCGKSA-N 0 1 321.465 3.169 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCCc2sccc2C)C1 ZINC001753392830 1202239751 /nfs/dbraw/zinc/23/97/51/1202239751.db2.gz WLOUBKGLEREFCJ-UHFFFAOYSA-N 0 1 306.475 3.146 20 30 DGEDMN CC(C)C#CC(=O)NCC1CN(Cc2ccc(C3CCC3)cc2)C1 ZINC001753402015 1202242126 /nfs/dbraw/zinc/24/21/26/1202242126.db2.gz ZEHVVBHNWRZVQR-UHFFFAOYSA-N 0 1 324.468 3.162 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)Cc2ccc(CCCC)cc2)C1 ZINC001753406024 1202242747 /nfs/dbraw/zinc/24/27/47/1202242747.db2.gz IWJSEERXIHCJOA-UHFFFAOYSA-N 0 1 314.473 3.196 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CC2CC(F)(F)C2)CCC1 ZINC001753424180 1202249089 /nfs/dbraw/zinc/24/90/89/1202249089.db2.gz NOQUQDUWTVHPMG-GJZGRUSLSA-N 0 1 324.415 3.063 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001753437062 1202254003 /nfs/dbraw/zinc/25/40/03/1202254003.db2.gz RWPLUAQTDUEBOL-KRWDZBQOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001700378580 1202254963 /nfs/dbraw/zinc/25/49/63/1202254963.db2.gz PYMBZVQXQIBVEI-KVULBXGLSA-N 0 1 321.490 3.038 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC001700391548 1202260439 /nfs/dbraw/zinc/26/04/39/1202260439.db2.gz AXQSKWZGKPKBAX-QWHCGFSZSA-N 0 1 324.827 3.121 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1cc(C2CC2)no1 ZINC001700411366 1202265777 /nfs/dbraw/zinc/26/57/77/1202265777.db2.gz GKBAIXYRGJDTAO-QWHCGFSZSA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(F)F)o1 ZINC001700425551 1202269603 /nfs/dbraw/zinc/26/96/03/1202269603.db2.gz PNCQGDGBKIPICY-RKDXNWHRSA-N 0 1 306.740 3.066 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1ccc(C)cc1C ZINC001753480959 1202270337 /nfs/dbraw/zinc/27/03/37/1202270337.db2.gz GTTVPVQYZRWASF-KRWDZBQOSA-N 0 1 322.880 3.473 20 30 DGEDMN CC[C@H](CNC(=O)C1(C)CCCC1)NCc1ccccc1C#N ZINC001753480724 1202270773 /nfs/dbraw/zinc/27/07/73/1202270773.db2.gz BIRFPINXNBMIAQ-QGZVFWFLSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1(CC(C)C)CCC1 ZINC001753702515 1202316714 /nfs/dbraw/zinc/31/67/14/1202316714.db2.gz YNEAYJMEXIPBKS-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl ZINC001753711698 1202319207 /nfs/dbraw/zinc/31/92/07/1202319207.db2.gz VSHDIOIVPVMRJW-NDBUSZBKSA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001700461254 1202281995 /nfs/dbraw/zinc/28/19/95/1202281995.db2.gz RTNNFRITEOPSOG-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2c(s1)CCCCC2 ZINC001700484447 1202293400 /nfs/dbraw/zinc/29/34/00/1202293400.db2.gz BBLAYHFIRIHOJB-CQSZACIVSA-N 0 1 318.486 3.090 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)CNCc1ncc(C(C)C)o1 ZINC001753625307 1202302088 /nfs/dbraw/zinc/30/20/88/1202302088.db2.gz HATLOYUMBLZQML-AWEZNQCLSA-N 0 1 321.465 3.242 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC001753651315 1202306738 /nfs/dbraw/zinc/30/67/38/1202306738.db2.gz KYGARIREYNHIKZ-IAGOWNOFSA-N 0 1 324.509 3.088 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2nccs2)CCCC1 ZINC001753664627 1202308518 /nfs/dbraw/zinc/30/85/18/1202308518.db2.gz YVEBELJNZCRIJF-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C[C@@H](NC(=O)C#CC(C)(C)C)C1CN(C/C=C\c2ccccc2)C1 ZINC001700539562 1202309407 /nfs/dbraw/zinc/30/94/07/1202309407.db2.gz UGECQRAMEBEBLK-SDMNGIDPSA-N 0 1 324.468 3.186 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001700550619 1202311935 /nfs/dbraw/zinc/31/19/35/1202311935.db2.gz MQULPNAKWGVXNQ-HNNXBMFYSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCc2ccc(C)s2)C1 ZINC001700552470 1202312417 /nfs/dbraw/zinc/31/24/17/1202312417.db2.gz GOZHXRHBAHPLLU-AWEZNQCLSA-N 0 1 306.475 3.002 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CN(Cc2ccsc2)CC1(C)C ZINC001700575699 1202317989 /nfs/dbraw/zinc/31/79/89/1202317989.db2.gz YLSINBSUWAMHKF-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001753709104 1202318083 /nfs/dbraw/zinc/31/80/83/1202318083.db2.gz RVVKRMDYCIZTOS-WFASDCNBSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001700576928 1202318478 /nfs/dbraw/zinc/31/84/78/1202318478.db2.gz SQMUWMBULJEYOD-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H]1CN(CC#CC)CC1(C)C)c1ccccc1 ZINC001700576927 1202318622 /nfs/dbraw/zinc/31/86/22/1202318622.db2.gz SQMUWMBULJEYOD-OALUTQOASA-N 0 1 324.468 3.196 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)C(C)(CC)CC ZINC001753729721 1202322335 /nfs/dbraw/zinc/32/23/35/1202322335.db2.gz PUTGUICOABVNKG-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cc(C)cc(C)n1 ZINC001753735650 1202324824 /nfs/dbraw/zinc/32/48/24/1202324824.db2.gz AVVLWOILBNSGMM-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)SC)C1CCCCC1 ZINC001753745123 1202327687 /nfs/dbraw/zinc/32/76/87/1202327687.db2.gz SQXFTIYJAPLXJN-JSGCOSHPSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@H](C)[C@H]1CNCC(=C)Cl ZINC001753810034 1202337287 /nfs/dbraw/zinc/33/72/87/1202337287.db2.gz VAIYBLDKBDWANH-AFYSSFTJSA-N 0 1 310.869 3.478 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@H](C)NCc2cc(C)on2)C1 ZINC001753814283 1202338439 /nfs/dbraw/zinc/33/84/39/1202338439.db2.gz GSXDBGRFQUVLBH-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc[nH]c1CCC ZINC001700729681 1202344205 /nfs/dbraw/zinc/34/42/05/1202344205.db2.gz XMFAWTVGRRDABY-MRXNPFEDSA-N 0 1 323.868 3.160 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001700741787 1202346570 /nfs/dbraw/zinc/34/65/70/1202346570.db2.gz VRUFPVRFFUYOTK-STQMWFEESA-N 0 1 310.388 3.429 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001700763514 1202350187 /nfs/dbraw/zinc/35/01/87/1202350187.db2.gz CUMUZSCOTBGQTO-CYBMUJFWSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccsc1Cl ZINC001700769841 1202351963 /nfs/dbraw/zinc/35/19/63/1202351963.db2.gz ZWVPLJODMBPEKV-VIFPVBQESA-N 0 1 307.246 3.204 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccsc1Cl ZINC001700769840 1202352066 /nfs/dbraw/zinc/35/20/66/1202352066.db2.gz ZWVPLJODMBPEKV-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN CC[C@H](C)C(=O)N[C@]12CCC[C@@H]1N(CC#Cc1ccccc1)CC2 ZINC001700856717 1202360171 /nfs/dbraw/zinc/36/01/71/1202360171.db2.gz VEPRPVLCNHSBQE-CUWPLCDZSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](CNCc2cscn2)C1 ZINC001700905797 1202368474 /nfs/dbraw/zinc/36/84/74/1202368474.db2.gz VUFTVJRJHIEOKX-ZIAGYGMSSA-N 0 1 321.490 3.120 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](C)c1ccc(F)cc1)C(C)C ZINC001700979517 1202375750 /nfs/dbraw/zinc/37/57/50/1202375750.db2.gz DARSTUAWAPGJRI-INIZCTEOSA-N 0 1 318.436 3.121 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](C)c1ccc(F)cc1)C(C)C ZINC001700979518 1202375950 /nfs/dbraw/zinc/37/59/50/1202375950.db2.gz DARSTUAWAPGJRI-MRXNPFEDSA-N 0 1 318.436 3.121 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](CC)C2CCC(C)CC2)CC1 ZINC001701049492 1202384994 /nfs/dbraw/zinc/38/49/94/1202384994.db2.gz BHBUWQFDFCWULE-KVZIAJEVSA-N 0 1 316.489 3.220 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1cccc(C(F)F)c1 ZINC001707046294 1202568834 /nfs/dbraw/zinc/56/88/34/1202568834.db2.gz MWTLICIWFKKIPI-INIZCTEOSA-N 0 1 322.399 3.276 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1nc(C)oc1C ZINC001707059119 1202570725 /nfs/dbraw/zinc/57/07/25/1202570725.db2.gz UYVZZDNQUZHIAG-MRXNPFEDSA-N 0 1 321.465 3.408 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001707157343 1202574675 /nfs/dbraw/zinc/57/46/75/1202574675.db2.gz JDWHFKKIMLEOQK-GAUGTXIDSA-N 0 1 310.869 3.002 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCN1Cc1ccsc1 ZINC001707193550 1202576204 /nfs/dbraw/zinc/57/62/04/1202576204.db2.gz PJXKIEHAZDKIIF-JKSUJKDBSA-N 0 1 318.486 3.267 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001707405490 1202582884 /nfs/dbraw/zinc/58/28/84/1202582884.db2.gz GXPKQQGSRGAVKL-UHFFFAOYSA-N 0 1 319.474 3.160 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001723932552 1202596253 /nfs/dbraw/zinc/59/62/53/1202596253.db2.gz WCFYWLHIRANEMI-ZVHGMHCTSA-N 0 1 317.260 3.080 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@H](C)C2CCCCC2)CC1 ZINC001713057166 1202613496 /nfs/dbraw/zinc/61/34/96/1202613496.db2.gz DMKQDSYNXZOKNX-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2ccsc2)C1 ZINC001713063103 1202614145 /nfs/dbraw/zinc/61/41/45/1202614145.db2.gz KDZVKYKVOYGRFJ-HNNXBMFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc(C)c1C)C1CC1 ZINC001713124068 1202619110 /nfs/dbraw/zinc/61/91/10/1202619110.db2.gz HVOVZWQMGNFHCM-MRXNPFEDSA-N 0 1 306.837 3.154 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713213350 1202627743 /nfs/dbraw/zinc/62/77/43/1202627743.db2.gz OHIUMTAJQAOZBV-POLXVDLBSA-N 0 1 324.468 3.223 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(Cc2ccccc2)CC1 ZINC001713252734 1202631354 /nfs/dbraw/zinc/63/13/54/1202631354.db2.gz FYIABMTTYSNBNR-LJQANCHMSA-N 0 1 324.468 3.003 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001713258470 1202632705 /nfs/dbraw/zinc/63/27/05/1202632705.db2.gz XXMKYLADMKJEHZ-RTBURBONSA-N 0 1 314.473 3.412 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713273926 1202634536 /nfs/dbraw/zinc/63/45/36/1202634536.db2.gz PIDSXAGITVVBON-DKILMHPGSA-N 0 1 324.468 3.221 20 30 DGEDMN CCC(=CC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F)CC ZINC001713302858 1202637488 /nfs/dbraw/zinc/63/74/88/1202637488.db2.gz CYOKTXRASVSXJM-CYBMUJFWSA-N 0 1 317.408 3.038 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001713305419 1202637790 /nfs/dbraw/zinc/63/77/90/1202637790.db2.gz PYMPTNVIILLZCQ-KBPBESRZSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@@H]1CCCN1Cc1nocc1C ZINC001713433090 1202649199 /nfs/dbraw/zinc/64/91/99/1202649199.db2.gz RDXMHFVXZXIPJA-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN CCCN(CCNC(=O)C#CC1CC1)Cc1ccc(Cl)s1 ZINC001713546789 1202668154 /nfs/dbraw/zinc/66/81/54/1202668154.db2.gz YSMXTFNECXHZRE-UHFFFAOYSA-N 0 1 324.877 3.143 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1cccc(C(C)C)n1 ZINC001713621343 1202673697 /nfs/dbraw/zinc/67/36/97/1202673697.db2.gz KFAWCWFHGLSZCC-UHFFFAOYSA-N 0 1 317.477 3.355 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCCOC(C)C ZINC001713710128 1202679300 /nfs/dbraw/zinc/67/93/00/1202679300.db2.gz HENGFTYNIWZYRS-CALCHBBNSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc[nH]c2C(C)C)C1 ZINC001713719208 1202680812 /nfs/dbraw/zinc/68/08/12/1202680812.db2.gz WLAOGZGBVRSSNW-HNNXBMFYSA-N 0 1 303.450 3.251 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C/C=C\Cc2ccccc2)C1 ZINC001713717990 1202680836 /nfs/dbraw/zinc/68/08/36/1202680836.db2.gz XCQNXPFDUMANFD-GWQNQTGSSA-N 0 1 324.468 3.122 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2ccncc2Cl)C1 ZINC001713725683 1202682841 /nfs/dbraw/zinc/68/28/41/1202682841.db2.gz YVLNYLQNHXGHOD-CQSZACIVSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CSC(C)C)CC1 ZINC001713761130 1202687754 /nfs/dbraw/zinc/68/77/54/1202687754.db2.gz MZRLXWHICFNIBY-UHFFFAOYSA-N 0 1 318.914 3.051 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001713829633 1202693649 /nfs/dbraw/zinc/69/36/49/1202693649.db2.gz RCGHMEDFESGYEV-GOEBONIOSA-N 0 1 306.475 3.349 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cscc2C)CC1 ZINC001713853522 1202695904 /nfs/dbraw/zinc/69/59/04/1202695904.db2.gz IWKAIVVLYPBMKH-UHFFFAOYSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCCC(=O)NCC1CN(Cc2cc3ccccc3s2)C1 ZINC001713893018 1202700103 /nfs/dbraw/zinc/70/01/03/1202700103.db2.gz PZGPMPGACHVOOE-UHFFFAOYSA-N 0 1 314.454 3.416 20 30 DGEDMN C#CCCCCC(=O)NCC1CN(Cc2cc(C)sc2C)C1 ZINC001713899401 1202700573 /nfs/dbraw/zinc/70/05/73/1202700573.db2.gz ZIKOKMNQIRQBAE-UHFFFAOYSA-N 0 1 318.486 3.107 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)oc(C)c1C ZINC001713908648 1202701314 /nfs/dbraw/zinc/70/13/14/1202701314.db2.gz RYJJGPJZGYMCNT-CALCHBBNSA-N 0 1 316.445 3.460 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CC/C=C/CC)CCC1 ZINC001713935769 1202703540 /nfs/dbraw/zinc/70/35/40/1202703540.db2.gz BZQKKNDKLRKSJN-CSLAMCDYSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2c(F)cccc2F)C1 ZINC001713958898 1202706213 /nfs/dbraw/zinc/70/62/13/1202706213.db2.gz UGCUFHTWVFKGRQ-LXIYXOSZSA-N 0 1 322.399 3.304 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@H](NC/C(Cl)=C/Cl)C2)CCC1 ZINC001713958869 1202706351 /nfs/dbraw/zinc/70/63/51/1202706351.db2.gz SJPGINPWIALIFN-RLNRARFGSA-N 0 1 317.260 3.289 20 30 DGEDMN C#CCCCCCC(=O)N(C)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC001714011108 1202726763 /nfs/dbraw/zinc/72/67/63/1202726763.db2.gz IZMQGQCLIITFMV-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CC)NCc1cc(C2CC2)no1 ZINC001714096035 1202771775 /nfs/dbraw/zinc/77/17/75/1202771775.db2.gz RWJSMUPJSNJYPV-CQSZACIVSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2cc[nH]c21 ZINC001714106000 1202779883 /nfs/dbraw/zinc/77/98/83/1202779883.db2.gz HTPWOJFQJBXMBK-CYBMUJFWSA-N 0 1 305.809 3.018 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)c1ccccc1F ZINC001714113785 1202785101 /nfs/dbraw/zinc/78/51/01/1202785101.db2.gz COSPVFBMYMMFEK-HUUCEWRRSA-N 0 1 304.409 3.086 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1CNCc1ccc(F)cn1 ZINC001714134788 1202795990 /nfs/dbraw/zinc/79/59/90/1202795990.db2.gz MUXAXFRSTVYQMI-KRWDZBQOSA-N 0 1 319.424 3.048 20 30 DGEDMN CCCC[C@H](C)C(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001714228647 1202840718 /nfs/dbraw/zinc/84/07/18/1202840718.db2.gz MWJDRPOHZCUIML-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN CCCC[C@@H](C)C(=O)NC[C@H](C)NCc1cc(C#N)ccc1F ZINC001714228645 1202840878 /nfs/dbraw/zinc/84/08/78/1202840878.db2.gz MWJDRPOHZCUIML-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001714263408 1202853281 /nfs/dbraw/zinc/85/32/81/1202853281.db2.gz BTUUNZULPQECIX-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCC=C(Cl)Cl)CCC1 ZINC001714272037 1202858001 /nfs/dbraw/zinc/85/80/01/1202858001.db2.gz BCWACFOSELUYFD-NSHDSACASA-N 0 1 305.249 3.146 20 30 DGEDMN CCC(CC)(CC)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001714286116 1202863066 /nfs/dbraw/zinc/86/30/66/1202863066.db2.gz IWVDEYGIYWLCHC-QGZVFWFLSA-N 0 1 314.473 3.349 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@@H](NCc2ncc(CC)o2)C1 ZINC001714401801 1202913671 /nfs/dbraw/zinc/91/36/71/1202913671.db2.gz RCTFPFCSBNQRHT-CABCVRRESA-N 0 1 319.449 3.110 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@](C)(CC)CCC)CC2 ZINC001714523315 1202977186 /nfs/dbraw/zinc/97/71/86/1202977186.db2.gz JUBHJMHPKODGGS-GOSISDBHSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1cscn1 ZINC001714522258 1202977509 /nfs/dbraw/zinc/97/75/09/1202977509.db2.gz NSKWTLRSDJDHJW-HNNXBMFYSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](CCC)CC(C)C)CC2 ZINC001714526411 1202978194 /nfs/dbraw/zinc/97/81/94/1202978194.db2.gz VVKNRQFTSPCTQP-SFHVURJKSA-N 0 1 318.505 3.397 20 30 DGEDMN C[C@@H](c1ccc(F)c(Cl)c1)N(C)CCNC(=O)C#CC1CC1 ZINC001714538137 1202985970 /nfs/dbraw/zinc/98/59/70/1202985970.db2.gz ZEHMJUFQRPECOQ-LBPRGKRZSA-N 0 1 322.811 3.002 20 30 DGEDMN C[C@H](c1ccc(F)c(Cl)c1)N(C)CCNC(=O)C#CC1CC1 ZINC001714538136 1202986654 /nfs/dbraw/zinc/98/66/54/1202986654.db2.gz ZEHMJUFQRPECOQ-GFCCVEGCSA-N 0 1 322.811 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1ccc(Cl)c(OC)c1 ZINC001714551735 1202995954 /nfs/dbraw/zinc/99/59/54/1202995954.db2.gz JOZYZAXPWDVIPU-UHFFFAOYSA-N 0 1 324.852 3.109 20 30 DGEDMN CCC[C@H](CC)C(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001714568769 1203009781 /nfs/dbraw/zinc/00/97/81/1203009781.db2.gz NFFMDBSMRIYTER-HNNXBMFYSA-N 0 1 319.424 3.072 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001714586158 1203024910 /nfs/dbraw/zinc/02/49/10/1203024910.db2.gz GBLMGKKFQWFJMT-UHFFFAOYSA-N 0 1 306.494 3.300 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNCc1nc(C)sc1C ZINC001714629944 1203063353 /nfs/dbraw/zinc/06/33/53/1203063353.db2.gz DWPXMXTWAMYWMY-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@H](C)c2c(F)cccc2F)C1 ZINC001714631741 1203064098 /nfs/dbraw/zinc/06/40/98/1203064098.db2.gz RCICVCSGAUIMSP-KGLIPLIRSA-N 0 1 322.399 3.430 20 30 DGEDMN CCCN(CCNC(=O)[C@H](C)C#N)Cc1ccc(C)cc1Cl ZINC001714643101 1203069300 /nfs/dbraw/zinc/06/93/00/1203069300.db2.gz BFHDNVDVAWXSCQ-CQSZACIVSA-N 0 1 321.852 3.136 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@@H](C)NCc2coc(C)n2)CC1 ZINC001714811013 1203134598 /nfs/dbraw/zinc/13/45/98/1203134598.db2.gz FBKWPHZZGCKVBY-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H](C)c1ccccc1 ZINC001714845448 1203149530 /nfs/dbraw/zinc/14/95/30/1203149530.db2.gz MUNLBOCQLWMTPZ-YTQUADARSA-N 0 1 310.441 3.082 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(C)=C2CCCC2)C1 ZINC001714861937 1203160571 /nfs/dbraw/zinc/16/05/71/1203160571.db2.gz CQLGSDUZRGKTOE-OAHLLOKOSA-N 0 1 310.869 3.458 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(OC)c(C)c2)C1 ZINC001714862769 1203161907 /nfs/dbraw/zinc/16/19/07/1203161907.db2.gz TWXIKUPGWQXOBH-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001714866134 1203163740 /nfs/dbraw/zinc/16/37/40/1203163740.db2.gz OIGROSFCMYFPDO-WCXIOVBPSA-N 0 1 322.880 3.169 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001714879122 1203174264 /nfs/dbraw/zinc/17/42/64/1203174264.db2.gz QCWPYIZKGZBWLI-IGEOTXOUSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cc(C)ccc2F)C1 ZINC001714883610 1203175977 /nfs/dbraw/zinc/17/59/77/1203175977.db2.gz UCHVNYSSBOEEQI-CQSZACIVSA-N 0 1 324.827 3.328 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(F)cc2Cl)C1 ZINC001714950896 1203213766 /nfs/dbraw/zinc/21/37/66/1203213766.db2.gz DACUYPMMXNSAHK-NSHDSACASA-N 0 1 310.800 3.248 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC001714970519 1203221666 /nfs/dbraw/zinc/22/16/66/1203221666.db2.gz LVFAKRBHAXMBAC-QGZVFWFLSA-N 0 1 314.473 3.454 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001714980958 1203225458 /nfs/dbraw/zinc/22/54/58/1203225458.db2.gz FVCVNLGOOBIJGE-GOSISDBHSA-N 0 1 312.457 3.121 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2CC/C=C\c1ccccc1 ZINC001714986621 1203227051 /nfs/dbraw/zinc/22/70/51/1203227051.db2.gz MBRZHZJHJMZOMU-VZDLESTCSA-N 0 1 322.452 3.034 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2CC/C=C/c1ccccc1 ZINC001714986620 1203227324 /nfs/dbraw/zinc/22/73/24/1203227324.db2.gz MBRZHZJHJMZOMU-UPBJVAEDSA-N 0 1 322.452 3.034 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2ccc(OC)c(C)c2)C1 ZINC001715004630 1203233868 /nfs/dbraw/zinc/23/38/68/1203233868.db2.gz RUXPHJCHAGEOKU-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001715051211 1203255258 /nfs/dbraw/zinc/25/52/58/1203255258.db2.gz SQXXWPSHTDSJRG-MSXUNZPYSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(CC)s1 ZINC001715065621 1203260174 /nfs/dbraw/zinc/26/01/74/1203260174.db2.gz FRAHDWQDUIMILO-LLVKDONJSA-N 0 1 300.855 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001715072633 1203262896 /nfs/dbraw/zinc/26/28/96/1203262896.db2.gz FZMIKLFJQMYSNI-JZXOWHBKSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C(C)(C)CC(F)(F)F ZINC001715079696 1203265410 /nfs/dbraw/zinc/26/54/10/1203265410.db2.gz AXMYSSYHNREPFG-JTQLQIEISA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1c[nH]c2ccccc12 ZINC001715210816 1203296669 /nfs/dbraw/zinc/29/66/69/1203296669.db2.gz BDRRWNSYMWWZPO-CYBMUJFWSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@@H]1CCCC1(F)F ZINC001715212402 1203296884 /nfs/dbraw/zinc/29/68/84/1203296884.db2.gz HTRSLLZQOXUCAT-QWHCGFSZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)C(C)=C2CCC2)C1 ZINC001715276501 1203307000 /nfs/dbraw/zinc/30/70/00/1203307000.db2.gz GIYSFWNOLBUUFU-GOSISDBHSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2scnc2c1 ZINC001715360072 1203324100 /nfs/dbraw/zinc/32/41/00/1203324100.db2.gz BJDOTNPAFLGGAO-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1cnc(C)o1 ZINC001715482036 1203348782 /nfs/dbraw/zinc/34/87/82/1203348782.db2.gz ZLLQCEXONRGZNE-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)[C@@H](CNC(=O)C#CC(C)(C)C)NC/C(Cl)=C\Cl ZINC001715494449 1203351903 /nfs/dbraw/zinc/35/19/03/1203351903.db2.gz NUGKTLUWEUYTIK-YQCJOKCJSA-N 0 1 319.276 3.085 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1cc(C)ccc1F ZINC001715752203 1203387607 /nfs/dbraw/zinc/38/76/07/1203387607.db2.gz YEDQQRINGVMOJF-UHFFFAOYSA-N 0 1 306.425 3.284 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)C(C)(C)CCC ZINC001715753531 1203388528 /nfs/dbraw/zinc/38/85/28/1203388528.db2.gz FOEVWCAIZQXOII-UHFFFAOYSA-N 0 1 319.287 3.159 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(CC(C)C)c[nH]1 ZINC001715768401 1203390194 /nfs/dbraw/zinc/39/01/94/1203390194.db2.gz VLJYAVCXJKXKAI-UHFFFAOYSA-N 0 1 311.857 3.017 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2c(c1)CCCC2 ZINC001715773418 1203391115 /nfs/dbraw/zinc/39/11/15/1203391115.db2.gz ZOCNQCDRCXOXEM-UHFFFAOYSA-N 0 1 320.864 3.370 20 30 DGEDMN CCC(C)(C)CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001715820205 1203395700 /nfs/dbraw/zinc/39/57/00/1203395700.db2.gz MFCQOFAZRYMSEJ-HJWRWDBZSA-N 0 1 312.457 3.126 20 30 DGEDMN C[C@@H]1CCCC[C@H]1C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001715863846 1203398587 /nfs/dbraw/zinc/39/85/87/1203398587.db2.gz OGKLMSGRLRMRQA-HTJOGQSXSA-N 0 1 324.468 3.126 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1C(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001715863850 1203398863 /nfs/dbraw/zinc/39/88/63/1203398863.db2.gz OGKLMSGRLRMRQA-TWPWWVQHSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2cnoc2C)CCCCC1 ZINC001715963278 1203412230 /nfs/dbraw/zinc/41/22/30/1203412230.db2.gz XCTRZYPYCREZJA-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CCC[C@H](CC)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715978210 1203415089 /nfs/dbraw/zinc/41/50/89/1203415089.db2.gz SRCPFGZGBXYDDI-SFHVURJKSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001715987840 1203416364 /nfs/dbraw/zinc/41/63/64/1203416364.db2.gz WYVKWOREFXSALN-NSHDSACASA-N 0 1 322.836 3.495 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)Cc1ccccc1 ZINC001716000232 1203418956 /nfs/dbraw/zinc/41/89/56/1203418956.db2.gz LUHDMYHTJPICFV-AWEZNQCLSA-N 0 1 308.853 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2cnc(C)o2)CCCCC1 ZINC001716010351 1203421056 /nfs/dbraw/zinc/42/10/56/1203421056.db2.gz ZSBVMXYCNGQOBG-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C2=CCCC2)cc1 ZINC001716035696 1203430445 /nfs/dbraw/zinc/43/04/45/1203430445.db2.gz PIMBPBGZAQHGEM-UHFFFAOYSA-N 0 1 310.441 3.281 20 30 DGEDMN CCN(CCN[C@H](C)c1cc(F)ccc1F)C(=O)C#CC(C)C ZINC001716217062 1203450568 /nfs/dbraw/zinc/45/05/68/1203450568.db2.gz VUEGFNWSLVVIKJ-CQSZACIVSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCC[C@@H]1C1CC1 ZINC001716231422 1203451999 /nfs/dbraw/zinc/45/19/99/1203451999.db2.gz OHJHFNROXFNFGW-CVEARBPZSA-N 0 1 312.885 3.393 20 30 DGEDMN C[C@H](CNCc1cc(Cl)ccc1F)NC(=O)C#CC(C)(C)C ZINC001716396531 1203466628 /nfs/dbraw/zinc/46/66/28/1203466628.db2.gz XBQRSYMQPOFCKQ-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2ccc(F)cc2Cl)C1 ZINC001716452279 1203476679 /nfs/dbraw/zinc/47/66/79/1203476679.db2.gz WWLSZTLEGVBHNR-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCCC(=O)N[C@@H](CN[C@@H](C)c1c(F)cccc1F)C1CC1 ZINC001716606690 1203505767 /nfs/dbraw/zinc/50/57/67/1203505767.db2.gz UNGBYZNYBGBTHR-LRDDRELGSA-N 0 1 322.399 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CCC(C2CC2)CC1)C1CC1 ZINC001716627565 1203508549 /nfs/dbraw/zinc/50/85/49/1203508549.db2.gz UUJJZZIAEMUCAP-BDVYOWHSSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCc1ccc(C)cc1 ZINC001716661821 1203517009 /nfs/dbraw/zinc/51/70/09/1203517009.db2.gz UDZWOKBCEBUTMK-GOSISDBHSA-N 0 1 300.446 3.084 20 30 DGEDMN C=CCCC(=O)NCC1(N[C@H]2CCc3c2c(F)ccc3F)CC1 ZINC001716677019 1203523331 /nfs/dbraw/zinc/52/33/31/1203523331.db2.gz LPXZZLPIAKNZQU-HNNXBMFYSA-N 0 1 320.383 3.157 20 30 DGEDMN C[C@@H]1CCC[C@@H]1CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716683555 1203524392 /nfs/dbraw/zinc/52/43/92/1203524392.db2.gz HVECOVMNFBZQDN-IEBWSBKVSA-N 0 1 324.468 3.103 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC=C)c1ccccc1 ZINC001716712483 1203529995 /nfs/dbraw/zinc/52/99/95/1203529995.db2.gz MNXQPIOSZKSFKM-HSALFYBXSA-N 0 1 322.452 3.045 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)CCCc2ccc(C)cc2)C1 ZINC001716747913 1203536226 /nfs/dbraw/zinc/53/62/26/1203536226.db2.gz QXFTUYGKXZLHNC-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2cccnc2C)C1 ZINC001716750120 1203536880 /nfs/dbraw/zinc/53/68/80/1203536880.db2.gz XQEGHMPSUPZZMO-UHFFFAOYSA-N 0 1 317.477 3.046 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001716749401 1203537011 /nfs/dbraw/zinc/53/70/11/1203537011.db2.gz YUGHSSZAFGJQBI-SFHVURJKSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ncc(CC)o2)C[C@@H]1C ZINC001716761648 1203539818 /nfs/dbraw/zinc/53/98/18/1203539818.db2.gz NKYKZGRNKFECGY-GJZGRUSLSA-N 0 1 319.449 3.062 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1sccc1Cl ZINC001716778402 1203541180 /nfs/dbraw/zinc/54/11/80/1203541180.db2.gz RMNQXRNKMJEMGF-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001716839351 1203551763 /nfs/dbraw/zinc/55/17/63/1203551763.db2.gz ZFNVMZVAWJIROC-BEFAXECRSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CC(C)(C)C)CC1 ZINC001716881733 1203560463 /nfs/dbraw/zinc/56/04/63/1203560463.db2.gz HNECJFOYPBXSEP-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1(C)CC=CC1)C2 ZINC001716998973 1203575268 /nfs/dbraw/zinc/57/52/68/1203575268.db2.gz MSDJQSALXFTUGN-MUJYYYPQSA-N 0 1 322.880 3.454 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)c1ccco1 ZINC001717003779 1203576304 /nfs/dbraw/zinc/57/63/04/1203576304.db2.gz LREZYGOBNQQAPW-UHFFFAOYSA-N 0 1 318.804 3.264 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@]12C[C@H]1CCCC2 ZINC001717113815 1203586072 /nfs/dbraw/zinc/58/60/72/1203586072.db2.gz PNEOVSFBUHMKGS-TZIWHRDSSA-N 0 1 324.468 3.338 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccc(F)cc2F)CCC1 ZINC001717148816 1203591470 /nfs/dbraw/zinc/59/14/70/1203591470.db2.gz ZBRVCVHIBGFCBD-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(C)c2C)CCC1 ZINC001717161429 1203594232 /nfs/dbraw/zinc/59/42/32/1203594232.db2.gz DIIZPHDPPDWDSW-UHFFFAOYSA-N 0 1 306.837 3.298 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCC2(CN(CCCCC)C2)O1 ZINC001717224864 1203606628 /nfs/dbraw/zinc/60/66/28/1203606628.db2.gz VGVAYSXSOHEOBH-AEFFLSMTSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H](C)N[C@H](C)c1ncc(C)o1 ZINC001717341068 1203621320 /nfs/dbraw/zinc/62/13/20/1203621320.db2.gz KBYPRJVJMAILCX-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cc(C)cs1 ZINC001717360821 1203625343 /nfs/dbraw/zinc/62/53/43/1203625343.db2.gz QRQGOPDAHAMCIV-SJLPKXTDSA-N 0 1 324.449 3.065 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001717367082 1203627070 /nfs/dbraw/zinc/62/70/70/1203627070.db2.gz BXVQXVMJOBSWQK-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCC1CCCCCC1 ZINC001717368832 1203627772 /nfs/dbraw/zinc/62/77/72/1203627772.db2.gz GVZDOUKUPUSPDO-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)CCC(C)(C)C ZINC001717373039 1203628824 /nfs/dbraw/zinc/62/88/24/1203628824.db2.gz FGMHJFWQFWLTST-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H]1CCCc2ccccc21 ZINC001717375130 1203630681 /nfs/dbraw/zinc/63/06/81/1203630681.db2.gz URQYPYDEMPHEOP-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC001717407868 1203637865 /nfs/dbraw/zinc/63/78/65/1203637865.db2.gz CPTVAWKHQPFIAO-OLZOCXBDSA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(F)cc(C)cc1F ZINC001717418489 1203640132 /nfs/dbraw/zinc/64/01/32/1203640132.db2.gz HLKGHTVPXCJOFC-QWRGUYRKSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2nc(C)c(C)s2)C1 ZINC001717438569 1203644259 /nfs/dbraw/zinc/64/42/59/1203644259.db2.gz IOSIGKMYTUVOEI-RYUDHWBXSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccccc1F ZINC001717529485 1203673069 /nfs/dbraw/zinc/67/30/69/1203673069.db2.gz VGZAVRDNVRRTCS-CYBMUJFWSA-N 0 1 312.816 3.456 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001717542744 1203678105 /nfs/dbraw/zinc/67/81/05/1203678105.db2.gz LAASWFJDBVUHGV-MRXNPFEDSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](CCC)CC(C)C ZINC001717542616 1203678323 /nfs/dbraw/zinc/67/83/23/1203678323.db2.gz IRESXBYOCYOQFV-ZWKOTPCHSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2scnc2C)CC1(C)C ZINC001717584775 1203686719 /nfs/dbraw/zinc/68/67/19/1203686719.db2.gz COHFZPBDVQQLFF-OAHLLOKOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(C)C)cn1 ZINC001717741742 1203707982 /nfs/dbraw/zinc/70/79/82/1203707982.db2.gz VVCTUULMJVRNQC-ZDUSSCGKSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC1(C)C)C(C)(C)C ZINC001717965911 1203736968 /nfs/dbraw/zinc/73/69/68/1203736968.db2.gz YCHHBQMTYZPTTF-STQMWFEESA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC12CCCC2)C(C)(C)C ZINC001717970316 1203738099 /nfs/dbraw/zinc/73/80/99/1203738099.db2.gz NJVOGFLMJKDUCN-UONOGXRCSA-N 0 1 312.885 3.440 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc([C@H](C)CC)cc1 ZINC001722917801 1203951692 /nfs/dbraw/zinc/95/16/92/1203951692.db2.gz XGXRRCMIMXVPPE-CVEARBPZSA-N 0 1 300.446 3.274 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CNC(=O)c1c(C)noc1C ZINC001723397638 1203967538 /nfs/dbraw/zinc/96/75/38/1203967538.db2.gz LLMSGGSXGDIISM-OAHLLOKOSA-N 0 1 307.438 3.066 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1c(C)noc1C ZINC001723397638 1203967540 /nfs/dbraw/zinc/96/75/40/1203967540.db2.gz LLMSGGSXGDIISM-OAHLLOKOSA-N 0 1 307.438 3.066 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)ccc1C ZINC001723424642 1203970439 /nfs/dbraw/zinc/97/04/39/1203970439.db2.gz ZFHHARIQCRTWFN-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN C=CCC[C@@H](C)N1CC[C@@H]1CNC(=O)c1cc(COC)cs1 ZINC001723437090 1203971487 /nfs/dbraw/zinc/97/14/87/1203971487.db2.gz CETCMOYWZOBFKV-UKRRQHHQSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001723451756 1203973621 /nfs/dbraw/zinc/97/36/21/1203973621.db2.gz RDCFTMKWKPZBBN-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2Cc2ccc(C)cc2)CCC1 ZINC001723452202 1203973630 /nfs/dbraw/zinc/97/36/30/1203973630.db2.gz DMWMCDOOKLFRDA-GOSISDBHSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CC1C2CC3CC(C2)CC1C3 ZINC001723480571 1203977538 /nfs/dbraw/zinc/97/75/38/1203977538.db2.gz BFCPYYABHNBBMN-YXOSVLIBSA-N 0 1 316.489 3.215 20 30 DGEDMN CCC(CC)CC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001723580041 1203983774 /nfs/dbraw/zinc/98/37/74/1203983774.db2.gz XVHIHAOAGLUUJN-UHFFFAOYSA-N 0 1 319.474 3.184 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C(CC)CC ZINC001723634276 1203986133 /nfs/dbraw/zinc/98/61/33/1203986133.db2.gz WVWQBEFDFJSUCV-JQHSSLGASA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC=C)CCCC1 ZINC001723640164 1203986755 /nfs/dbraw/zinc/98/67/55/1203986755.db2.gz RXMLVNZHYRMYRU-SJORKVTESA-N 0 1 302.462 3.374 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccc(Cl)cc2)C1 ZINC001723782058 1203992611 /nfs/dbraw/zinc/99/26/11/1203992611.db2.gz PELFZUMODLZLMF-UHFFFAOYSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001723782257 1203992770 /nfs/dbraw/zinc/99/27/70/1203992770.db2.gz IVDDHDZWINJYLK-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1cc(F)ccc1F ZINC001723905271 1203998434 /nfs/dbraw/zinc/99/84/34/1203998434.db2.gz IUBKPJKPEDOHSP-INIZCTEOSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)c1ccccc1F ZINC001723950925 1203999464 /nfs/dbraw/zinc/99/94/64/1203999464.db2.gz IPKYZGYZBZJCAF-KBPBESRZSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNC/C(Cl)=C\Cl ZINC001723978789 1204000287 /nfs/dbraw/zinc/00/02/87/1204000287.db2.gz ZTMUUJQCPPILAS-BUUHPPLQSA-N 0 1 319.276 3.488 20 30 DGEDMN C[C@@]1(CNC(=O)C2CCC2)CCCN(CC#Cc2ccccc2)C1 ZINC001724309380 1204019289 /nfs/dbraw/zinc/01/92/89/1204019289.db2.gz DTLYQMLUZJICFA-NRFANRHFSA-N 0 1 324.468 3.057 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@]1(C)CCCN(Cc2ccccn2)C1 ZINC001724328806 1204021835 /nfs/dbraw/zinc/02/18/35/1204021835.db2.gz ALRZIIPPPKVNON-LJQANCHMSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)c2ccccc2)C1 ZINC001724381672 1204025817 /nfs/dbraw/zinc/02/58/17/1204025817.db2.gz VROQUCZVJOUYHG-HOCLYGCPSA-N 0 1 322.399 3.050 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=C)Cl)C2 ZINC001724503882 1204026531 /nfs/dbraw/zinc/02/65/31/1204026531.db2.gz LZVMUQSKYBTOIJ-KKUMJFAQSA-N 0 1 310.869 3.310 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](NCC#CC)c1ccccc1CC ZINC001724510968 1204026885 /nfs/dbraw/zinc/02/68/85/1204026885.db2.gz CMCSAHJAOQMOHG-HXUWFJFHSA-N 0 1 324.468 3.213 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC[C@@H](C)CC ZINC001724742853 1204042386 /nfs/dbraw/zinc/04/23/86/1204042386.db2.gz UJCADCQPBIMPAD-INIZCTEOSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCC3(CC3)C2)CCC1 ZINC001724784221 1204046705 /nfs/dbraw/zinc/04/67/05/1204046705.db2.gz CJQDVPYYIUTPEN-CQSZACIVSA-N 0 1 310.869 3.338 20 30 DGEDMN CC(C)(C(=O)NCC1(NCC#Cc2ccccc2)CCC1)C1CC1 ZINC001724796282 1204048475 /nfs/dbraw/zinc/04/84/75/1204048475.db2.gz LTFVTAVQHFMDCU-UHFFFAOYSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(OCC)cc2)CCC1 ZINC001724803206 1204049439 /nfs/dbraw/zinc/04/94/39/1204049439.db2.gz UYGOUARGEQWNHC-UHFFFAOYSA-N 0 1 322.836 3.080 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cnc(C)o2)CC1(C)C ZINC001724965345 1204072287 /nfs/dbraw/zinc/07/22/87/1204072287.db2.gz HCQPHSBLCWXRAK-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CCC2CCCCC2)C(C)(C)C1 ZINC001724972305 1204073465 /nfs/dbraw/zinc/07/34/65/1204073465.db2.gz SORLXLYAUIHQOM-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001725040451 1204084342 /nfs/dbraw/zinc/08/43/42/1204084342.db2.gz JDWSSTSZDHDAKE-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001725044825 1204084974 /nfs/dbraw/zinc/08/49/74/1204084974.db2.gz WUZTWTUCNJDXFD-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@@H]([C@@H]2CCN(CC(=C)Cl)C2)C1 ZINC001725057637 1204087572 /nfs/dbraw/zinc/08/75/72/1204087572.db2.gz JYZJHJVBHDQLJA-IAGOWNOFSA-N 0 1 322.880 3.103 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001725095768 1204093616 /nfs/dbraw/zinc/09/36/16/1204093616.db2.gz SFBKQLDATNKMEN-UONOGXRCSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2ncc(C)s2)CCC1 ZINC001725105024 1204095540 /nfs/dbraw/zinc/09/55/40/1204095540.db2.gz FDKPHDJRQKXSAY-KGLIPLIRSA-N 0 1 321.490 3.181 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2c1CCC2 ZINC001725133129 1204100424 /nfs/dbraw/zinc/10/04/24/1204100424.db2.gz XURPOBNJYJYGHC-STQMWFEESA-N 0 1 306.837 3.024 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)N(C)Cc2cnccc2C)CCC1 ZINC001725178490 1204112922 /nfs/dbraw/zinc/11/29/22/1204112922.db2.gz GUUZFYFMFSAWON-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccc(F)cc1 ZINC001725229303 1204122314 /nfs/dbraw/zinc/12/23/14/1204122314.db2.gz OZCVDRSSDCFJHX-OAHLLOKOSA-N 0 1 312.816 3.456 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001725257022 1204129146 /nfs/dbraw/zinc/12/91/46/1204129146.db2.gz GWKWIJQECIQWKJ-CYBMUJFWSA-N 0 1 306.475 3.205 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001725288060 1204133403 /nfs/dbraw/zinc/13/34/03/1204133403.db2.gz XAYXQLCBDXISKV-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CCC2CCCCCC2)C(C)(C)C1 ZINC001725293648 1204134608 /nfs/dbraw/zinc/13/46/08/1204134608.db2.gz LDQJBKAAKNYHHK-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001725449088 1204152104 /nfs/dbraw/zinc/15/21/04/1204152104.db2.gz GHXPOOZDXCNFES-RPHSKFLZSA-N 0 1 305.249 3.098 20 30 DGEDMN CC(C)CC(=O)N(C)C[C@H](C)NCC#Cc1ccccc1Cl ZINC001725476038 1204155609 /nfs/dbraw/zinc/15/56/09/1204155609.db2.gz XTCJJWMYOAVZJI-HNNXBMFYSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C/C=C/Cc1ccccc1 ZINC001725499633 1204159804 /nfs/dbraw/zinc/15/98/04/1204159804.db2.gz XEWWOBNOMOXHFK-KXPUMZMLSA-N 0 1 320.864 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001725510793 1204162496 /nfs/dbraw/zinc/16/24/96/1204162496.db2.gz VSFVWPQEUNYCIA-BHYGNILZSA-N 0 1 320.864 3.288 20 30 DGEDMN CCCCC(=O)N(CCN(C)Cc1cccc(C#N)c1)C(C)C ZINC001725724901 1204199667 /nfs/dbraw/zinc/19/96/67/1204199667.db2.gz FZGOBWXKINTSSI-UHFFFAOYSA-N 0 1 315.461 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCC(F)(F)C1)C(C)(C)C ZINC001725741954 1204209111 /nfs/dbraw/zinc/20/91/11/1204209111.db2.gz TXEWAXCSYUGXRJ-NWDGAFQWSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cc(C)[nH]c1C)C(C)(C)C ZINC001725751589 1204211747 /nfs/dbraw/zinc/21/17/47/1204211747.db2.gz LIFGAMQRXOMSAO-AWEZNQCLSA-N 0 1 311.857 3.118 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCN2C[C@@H](F)CC)CCCC1 ZINC001753118434 1204316964 /nfs/dbraw/zinc/31/69/64/1204316964.db2.gz IDLYUWAQHDBHFC-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)Cc1ccccc1)C1CC1 ZINC001731411089 1204402685 /nfs/dbraw/zinc/40/26/85/1204402685.db2.gz NPISKXBPEUUHNB-GUYCJALGSA-N 0 1 320.864 3.102 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001731421018 1204407521 /nfs/dbraw/zinc/40/75/21/1204407521.db2.gz CHSMUJLNSYWEIY-BZUAXINKSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)SC ZINC001731421620 1204407889 /nfs/dbraw/zinc/40/78/89/1204407889.db2.gz FHESQAUGCCEQTM-HRCADAONSA-N 0 1 310.507 3.160 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001731434990 1204411509 /nfs/dbraw/zinc/41/15/09/1204411509.db2.gz JGSNISUMDPBJOF-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001731541926 1204451836 /nfs/dbraw/zinc/45/18/36/1204451836.db2.gz HSXMIUKACLEVMA-HIFRSBDPSA-N 0 1 322.399 3.225 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001731588255 1204474220 /nfs/dbraw/zinc/47/42/20/1204474220.db2.gz NYOQUKGWYPZJGX-CABCVRRESA-N 0 1 320.864 3.222 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001731613871 1204483054 /nfs/dbraw/zinc/48/30/54/1204483054.db2.gz DDOXAVDJPQUSRL-WBMJQRKESA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2cc(F)ccc2o1 ZINC001731625098 1204487378 /nfs/dbraw/zinc/48/73/78/1204487378.db2.gz YGVWKHHZWXLHHM-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN Cc1cccc(C(=O)NC[C@H](C)NCc2ccccc2C#N)c1C ZINC001731636621 1204492095 /nfs/dbraw/zinc/49/20/95/1204492095.db2.gz QHJMJDPTFXQFHO-HNNXBMFYSA-N 0 1 321.424 3.083 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1[C@H](C)c1ccc(F)cc1 ZINC001731823643 1204586572 /nfs/dbraw/zinc/58/65/72/1204586572.db2.gz IBOKTFBEYXYGJB-QAPCUYQASA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1cnc(C)s1 ZINC001731865559 1204610369 /nfs/dbraw/zinc/61/03/69/1204610369.db2.gz HHMJDYLVWMBFKY-UHFFFAOYSA-N 0 1 323.506 3.382 20 30 DGEDMN CCCN(CCNC(=O)CC#Cc1ccccc1)C[C@@H](F)CC ZINC001731873856 1204616715 /nfs/dbraw/zinc/61/67/15/1204616715.db2.gz PXVNTNBWNQLUNC-SFHVURJKSA-N 0 1 318.436 3.005 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C)oc1C(F)(F)F ZINC001731959269 1204636635 /nfs/dbraw/zinc/63/66/35/1204636635.db2.gz MFBBESWSWKGQFT-UHFFFAOYSA-N 0 1 324.730 3.021 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C2CC2)CC1)c1ccc(C(C)C)cc1 ZINC001732017054 1204649744 /nfs/dbraw/zinc/64/97/44/1204649744.db2.gz AAVWBPAJKJDXOQ-IBGZPJMESA-N 0 1 324.468 3.380 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C(F)=C1CCCC1)c1ccccc1 ZINC001732019224 1204651915 /nfs/dbraw/zinc/65/19/15/1204651915.db2.gz GBJKXBWSGDGDIN-KRWDZBQOSA-N 0 1 314.404 3.254 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1c(C)cc(C)cc1C)c1ccccc1 ZINC001732026689 1204654133 /nfs/dbraw/zinc/65/41/33/1204654133.db2.gz MCQTYGRHASMPJT-LJQANCHMSA-N 0 1 320.436 3.306 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001732040118 1204661348 /nfs/dbraw/zinc/66/13/48/1204661348.db2.gz WAONEEXHXBHLST-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@H]2C=CCCC2)C1 ZINC001732073358 1204679860 /nfs/dbraw/zinc/67/98/60/1204679860.db2.gz YTBKJPXUBZSNJC-HOTGVXAUSA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC/C=C\c2ccccc2)C1 ZINC001732076445 1204682056 /nfs/dbraw/zinc/68/20/56/1204682056.db2.gz OFFJHUDNRWGLQA-VUBAQRPUSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC/C=C/c2ccccc2)C1 ZINC001732076444 1204682363 /nfs/dbraw/zinc/68/23/63/1204682363.db2.gz OFFJHUDNRWGLQA-MEJUDOHFSA-N 0 1 324.468 3.426 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CN(C)Cc2cc(C)ns2)C1 ZINC001732078682 1204683545 /nfs/dbraw/zinc/68/35/45/1204683545.db2.gz AHUJYPNQTKDUKV-HNNXBMFYSA-N 0 1 321.490 3.088 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)CCCC#CC)CC1 ZINC001732087960 1204689451 /nfs/dbraw/zinc/68/94/51/1204689451.db2.gz YPPBRBTZFLHTBT-UHFFFAOYSA-N 0 1 310.869 3.103 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001732132273 1204706406 /nfs/dbraw/zinc/70/64/06/1204706406.db2.gz ZCWXUDCRIDZVJY-OAHLLOKOSA-N 0 1 318.436 3.306 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)Cc2ccccc2Cl)C1 ZINC001732133015 1204707356 /nfs/dbraw/zinc/70/73/56/1204707356.db2.gz BBHPDSVUHGQQKA-AWEZNQCLSA-N 0 1 320.864 3.143 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001732132948 1204707729 /nfs/dbraw/zinc/70/77/29/1204707729.db2.gz DGANKNCUNZXVCA-IBGZPJMESA-N 0 1 318.436 3.284 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001732135276 1204708756 /nfs/dbraw/zinc/70/87/56/1204708756.db2.gz ZIIZSROGIQQBSD-IBGZPJMESA-N 0 1 318.436 3.306 20 30 DGEDMN Cc1cc(C)cc(CN2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)(C)C)c1 ZINC001732143205 1204711764 /nfs/dbraw/zinc/71/17/64/1204711764.db2.gz RSZQBULUFNSOPQ-OALUTQOASA-N 0 1 324.468 3.138 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1cccc(F)c1Cl ZINC001732198424 1204745941 /nfs/dbraw/zinc/74/59/41/1204745941.db2.gz QNQFVOHIWIVADA-AWEZNQCLSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1nccc2ccsc21 ZINC001732204021 1204748438 /nfs/dbraw/zinc/74/84/38/1204748438.db2.gz SZHNLDGMQUGBHB-LBPRGKRZSA-N 0 1 323.849 3.147 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C2CC2)nc1C ZINC001732214257 1204753162 /nfs/dbraw/zinc/75/31/62/1204753162.db2.gz SZPVGWQOEHJTNQ-CQSZACIVSA-N 0 1 321.852 3.118 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CC(C)(C)C(F)(F)F ZINC001732216988 1204755351 /nfs/dbraw/zinc/75/53/51/1204755351.db2.gz JJZPUUAZAALVAN-SNVBAGLBSA-N 0 1 314.779 3.202 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](CCNCc2nccs2)C1 ZINC001732280619 1204778765 /nfs/dbraw/zinc/77/87/65/1204778765.db2.gz DIVFEBBDFYEFMJ-OAHLLOKOSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN(CCC2CCCCC2)CCO1 ZINC001732383526 1204812708 /nfs/dbraw/zinc/81/27/08/1204812708.db2.gz LLITWGUBCZHWSD-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CC(C)=CC(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001732388494 1204814232 /nfs/dbraw/zinc/81/42/32/1204814232.db2.gz KCDGACUSCCSLOK-UHFFFAOYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCCc1ccsc1)CC2 ZINC001732402455 1204822250 /nfs/dbraw/zinc/82/22/50/1204822250.db2.gz IACVOXZZUPEQPE-UHFFFAOYSA-N 0 1 318.486 3.181 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc(C(C)C)n1 ZINC001732425730 1204833812 /nfs/dbraw/zinc/83/38/12/1204833812.db2.gz CNZMGKRLBZQTAP-ZDUSSCGKSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)Cc1occc1C ZINC001732544108 1204886736 /nfs/dbraw/zinc/88/67/36/1204886736.db2.gz NNTBLMWECGSLCC-IUODEOHRSA-N 0 1 324.852 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCN[C@@H](C)c1ncc(C)o1 ZINC001732719137 1204933492 /nfs/dbraw/zinc/93/34/92/1204933492.db2.gz GDFSMIUPJTZLNM-JSGCOSHPSA-N 0 1 307.438 3.131 20 30 DGEDMN CC1(C)CCC[C@@H]1C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001732891415 1204955280 /nfs/dbraw/zinc/95/52/80/1204955280.db2.gz ZLPODSHKYZPDJO-LIXSYLKWSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)c1cccc(C)c1 ZINC001732906519 1204958110 /nfs/dbraw/zinc/95/81/10/1204958110.db2.gz HDKWOXISZMCMSL-MBVDDHJVSA-N 0 1 306.837 3.113 20 30 DGEDMN O=C(NC/C=C\CNCC#Cc1ccccc1)C1CCC=CCC1 ZINC001732935981 1204960717 /nfs/dbraw/zinc/96/07/17/1204960717.db2.gz MCCIXJNDEVULDM-HJWRWDBZSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1CCc2ccccc2CC1 ZINC001732961424 1204966126 /nfs/dbraw/zinc/96/61/26/1204966126.db2.gz NROCCYZKEQWXTO-CQSZACIVSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CC)Cc1ccccc1 ZINC001732973904 1204970156 /nfs/dbraw/zinc/97/01/56/1204970156.db2.gz FNDODJDJIQYJBK-ZBFHGGJFSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2nc(C)ccc2c1 ZINC001732975760 1204970908 /nfs/dbraw/zinc/97/09/08/1204970908.db2.gz REHHWFSTDRSEDX-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1CCCCC1(C)C ZINC001733025325 1204984729 /nfs/dbraw/zinc/98/47/29/1204984729.db2.gz FZRIHAZXULXDBJ-KBPBESRZSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN(C)Cc1cccc(C(C)C)n1 ZINC001733030924 1204988126 /nfs/dbraw/zinc/98/81/26/1204988126.db2.gz PZWATMPDSMWWHP-HNNXBMFYSA-N 0 1 303.450 3.108 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CN(C)Cc1sc(C)nc1C ZINC001733036152 1204992872 /nfs/dbraw/zinc/99/28/72/1204992872.db2.gz UEOXGKASHZVHGC-LBPRGKRZSA-N 0 1 323.506 3.299 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CN(C)[C@H](C)c1ccccc1F ZINC001733039610 1204993977 /nfs/dbraw/zinc/99/39/77/1204993977.db2.gz VOARTVNJVMFRJY-LSDHHAIUSA-N 0 1 304.409 3.127 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001733057038 1205008230 /nfs/dbraw/zinc/00/82/30/1205008230.db2.gz NOBANWSIZQWALZ-PKOBYXMFSA-N 0 1 314.473 3.276 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cc(C)on2)[C@@H](CC)C1 ZINC001733199981 1205040702 /nfs/dbraw/zinc/04/07/02/1205040702.db2.gz VPLLCGLUSLHBHJ-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN1Cc1ccc2ccccc2c1 ZINC001733206155 1205043294 /nfs/dbraw/zinc/04/32/94/1205043294.db2.gz CQRBDSCEWOGUOV-LJQANCHMSA-N 0 1 308.425 3.497 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001733215636 1205047431 /nfs/dbraw/zinc/04/74/31/1205047431.db2.gz HYOUMUPQFMWEKF-QGZVFWFLSA-N 0 1 317.477 3.473 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1scnc1C(C)(C)C ZINC001733225687 1205055058 /nfs/dbraw/zinc/05/50/58/1205055058.db2.gz JSIIBLWAXLYKAM-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2CCc2ccccc2)CCC1 ZINC001733225809 1205055752 /nfs/dbraw/zinc/05/57/52/1205055752.db2.gz MSZWTQWCXJWDRU-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](CC)C(C)(C)C ZINC001733276386 1205078971 /nfs/dbraw/zinc/07/89/71/1205078971.db2.gz SNNYFZVQKDNLCA-ZACQAIPSSA-N 0 1 304.478 3.147 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001733310371 1205090428 /nfs/dbraw/zinc/09/04/28/1205090428.db2.gz HGESIKGYLUSFLN-HNNXBMFYSA-N 0 1 304.478 3.312 20 30 DGEDMN CN(C(=O)CC#Cc1ccccc1)C1CN(CCCC2CCC2)C1 ZINC001733317263 1205094224 /nfs/dbraw/zinc/09/42/24/1205094224.db2.gz CBIDKAQKMKCVMR-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C)CCC)C1CCN(C/C=C\Cl)CC1 ZINC001733367291 1205108050 /nfs/dbraw/zinc/10/80/50/1205108050.db2.gz XYQVYWSCJZIWDQ-DIEDAUMRSA-N 0 1 324.896 3.491 20 30 DGEDMN C#CCCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC001733403927 1205119883 /nfs/dbraw/zinc/11/98/83/1205119883.db2.gz XSUMUEWLXTUUFJ-IBGZPJMESA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001733413315 1205125154 /nfs/dbraw/zinc/12/51/54/1205125154.db2.gz RVIZPAWCURZHFP-YLJYHZDGSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C2(CC)CCC2)C1 ZINC001733478014 1205150616 /nfs/dbraw/zinc/15/06/16/1205150616.db2.gz RBEIAQUTNQXWHC-AWEZNQCLSA-N 0 1 314.420 3.216 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)c1ccccc1CC ZINC001733518201 1205151825 /nfs/dbraw/zinc/15/18/25/1205151825.db2.gz MRIXYJNKGYXGMU-XUVXKRRUSA-N 0 1 324.468 3.065 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)CC=C)c1ccccc1CC ZINC001733518687 1205151846 /nfs/dbraw/zinc/15/18/46/1205151846.db2.gz PVFRUUUQBLJTAK-SFHVURJKSA-N 0 1 312.457 3.231 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(F)ccc2CC)CCC1 ZINC001733718684 1205193246 /nfs/dbraw/zinc/19/32/46/1205193246.db2.gz GEXXTBFXLJMPMH-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CSCCC)cc2C1 ZINC001733833070 1205226502 /nfs/dbraw/zinc/22/65/02/1205226502.db2.gz HUFYTEVWQUHYHM-UHFFFAOYSA-N 0 1 318.486 3.338 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H](C)C1 ZINC001733898562 1205245586 /nfs/dbraw/zinc/24/55/86/1205245586.db2.gz IXPNUKBERCYMNC-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c[nH]c2ccc(C)cc12 ZINC001733944549 1205268401 /nfs/dbraw/zinc/26/84/01/1205268401.db2.gz VPGFGZDSMJPCTE-MRXNPFEDSA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(F)cc2ccoc21 ZINC001733978118 1205277371 /nfs/dbraw/zinc/27/73/71/1205277371.db2.gz IMCLPDAGCQLCIK-QWRGUYRKSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2ncsc2c1 ZINC001734000716 1205284712 /nfs/dbraw/zinc/28/47/12/1205284712.db2.gz GDDMCTWQKQWNQH-WDEREUQCSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(C(F)F)c1 ZINC001734010325 1205287590 /nfs/dbraw/zinc/28/75/90/1205287590.db2.gz ZMLVNVYFYPSGSQ-GHMZBOCLSA-N 0 1 316.779 3.473 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(C)c(C)cc1Cl ZINC001734046144 1205302795 /nfs/dbraw/zinc/30/27/95/1205302795.db2.gz VRURCABVUWIEBG-AWEZNQCLSA-N 0 1 306.837 3.030 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1cc(C)no1 ZINC001734099263 1205321944 /nfs/dbraw/zinc/32/19/44/1205321944.db2.gz ITCPNXZGXQBQQN-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@@H](C)C1CN(CC#CC)C1)c1ccccc1 ZINC001734130902 1205331516 /nfs/dbraw/zinc/33/15/16/1205331516.db2.gz LIUSDKKMYHTNNY-FXAWDEMLSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](C)CC2CCCCC2)C(C)(C)C1 ZINC001734149959 1205336259 /nfs/dbraw/zinc/33/62/59/1205336259.db2.gz NEXIZGBJCVASQT-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734152158 1205336973 /nfs/dbraw/zinc/33/69/73/1205336973.db2.gz JTESVHCUTFLPGL-WMZOPIPTSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734155023 1205337523 /nfs/dbraw/zinc/33/75/23/1205337523.db2.gz WATHYYLSWPGMGH-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](CNC/C(Cl)=C\Cl)C2)C1 ZINC001734215254 1205344678 /nfs/dbraw/zinc/34/46/78/1205344678.db2.gz BROXERJQXDATTQ-PAYQAHJCSA-N 0 1 317.260 3.146 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2ccc(F)cc2F)C[C@@H]1C ZINC001734411311 1205373921 /nfs/dbraw/zinc/37/39/21/1205373921.db2.gz QXZLWCCSRCJLNN-WFASDCNBSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cccnc2C)[C@H]1C ZINC001734454588 1205380090 /nfs/dbraw/zinc/38/00/90/1205380090.db2.gz CYVVUAGNIATQCZ-WMZOPIPTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001734462169 1205382349 /nfs/dbraw/zinc/38/23/49/1205382349.db2.gz RVZISWLYACNRCT-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(Cl)cc1C)C(C)C ZINC001734551309 1205391082 /nfs/dbraw/zinc/39/10/82/1205391082.db2.gz QIGVYDKZBRHXFW-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1C[C@H](C)C[C@@H](C)C1)C(C)C ZINC001734553425 1205392119 /nfs/dbraw/zinc/39/21/19/1205392119.db2.gz RNEGVHGAHJXCON-IAGOWNOFSA-N 0 1 306.494 3.251 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](C)c2ccccc2C)CC1 ZINC001734633735 1205407311 /nfs/dbraw/zinc/40/73/11/1205407311.db2.gz JJXNTCZSIKYGPH-SFHVURJKSA-N 0 1 324.468 3.260 20 30 DGEDMN C[C@@H]1CN(c2nc(-c3ccc(F)cc3)ccc2C#N)[C@@H](C)CN1C ZINC001164630330 719157411 /nfs/dbraw/zinc/15/74/11/719157411.db2.gz IVWSOCRCQHYHQA-KGLIPLIRSA-N 0 1 324.403 3.288 20 30 DGEDMN C[C@@H]1CN(c2nc3ccccc3c(Cl)c2C#N)[C@@H](C)CN1C ZINC001164633086 719232681 /nfs/dbraw/zinc/23/26/81/719232681.db2.gz CWWMRMFZXCSWNR-NEPJUHHUSA-N 0 1 314.820 3.289 20 30 DGEDMN C[C@H]1CN(c2nc3c(cc2C#N)CCCC3(C)C)[C@@H](C)CN1C ZINC001164637892 719255216 /nfs/dbraw/zinc/25/52/16/719255216.db2.gz XCZRCPFHHUFHFU-KBPBESRZSA-N 0 1 312.461 3.096 20 30 DGEDMN C=CCN1CCN(c2cc(Cl)nc3c(F)cccc23)CC1 ZINC001165034996 721873034 /nfs/dbraw/zinc/87/30/34/721873034.db2.gz RSFDWUTUMLOOMK-UHFFFAOYSA-N 0 1 305.784 3.335 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(Cl)c(F)c1 ZINC001666997026 1197306913 /nfs/dbraw/zinc/30/69/13/1197306913.db2.gz ISFZHXGBBFALPO-JTQLQIEISA-N 0 1 319.207 3.282 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1oc(C)nc1C)C(C)C ZINC001667463828 1197351056 /nfs/dbraw/zinc/35/10/56/1197351056.db2.gz JYKILJYYYPJWEL-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2ccc(C)o2)CC1 ZINC001671826201 1197572934 /nfs/dbraw/zinc/57/29/34/1197572934.db2.gz QTSXGWFPIFSJLS-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)[O-])C[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)C1 ZINC001589281682 953524052 /nfs/dbraw/zinc/52/40/52/953524052.db2.gz YVEIHADEAGPRIZ-HIFRSBDPSA-N 0 1 300.402 3.030 20 30 DGEDMN C#CC[N@H+](Cc1cccc(C(=O)[O-])n1)[C@H]1CCCc2ccccc21 ZINC001573311236 962697305 /nfs/dbraw/zinc/69/73/05/962697305.db2.gz KITAACNNRJOCID-IBGZPJMESA-N 0 1 320.392 3.293 20 30 DGEDMN C=CC[N@@H+](Cc1cccc(C#N)c1)Cc1cccc(C(=O)[O-])c1 ZINC001573328852 962810373 /nfs/dbraw/zinc/81/03/73/962810373.db2.gz PSLKCVLAVQIAEX-UHFFFAOYSA-N 0 1 306.365 3.445 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccc(Br)c(F)c1 ZINC001573350316 962968003 /nfs/dbraw/zinc/96/80/03/962968003.db2.gz GVNCKGMMZLXUMU-LBPRGKRZSA-N 0 1 316.170 3.049 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001595705413 982285512 /nfs/dbraw/zinc/28/55/12/982285512.db2.gz ZGBQUCOCINURDN-CABCVRRESA-N 0 1 313.438 3.075 20 30 DGEDMN CC[N@@H+](Cc1ccc(C(=O)[O-])cc1C(F)(F)F)C[C@H](C)C#N ZINC001596357950 983832051 /nfs/dbraw/zinc/83/20/51/983832051.db2.gz UGJTZQIFBXKBPH-SNVBAGLBSA-N 0 1 314.307 3.385 20 30 DGEDMN CC[N@H+](Cc1ccc(C(=O)[O-])cc1C(F)(F)F)C[C@H](C)C#N ZINC001596357950 983832058 /nfs/dbraw/zinc/83/20/58/983832058.db2.gz UGJTZQIFBXKBPH-SNVBAGLBSA-N 0 1 314.307 3.385 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCc2cc(C)cc(Br)c2C1 ZINC001588731890 984013098 /nfs/dbraw/zinc/01/30/98/984013098.db2.gz PXIDOQNQSPPBEY-AWEZNQCLSA-N 0 1 324.218 3.145 20 30 DGEDMN C=CC[C@H]([NH2+][C@@H](C)c1ncc(C(=O)[O-])s1)c1ccncc1 ZINC001588745713 984053981 /nfs/dbraw/zinc/05/39/81/984053981.db2.gz MITHMNNKQIRWSX-JQWIXIFHSA-N 0 1 303.387 3.204 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CCC[C@@H](CCC(=O)[O-])C2)cc1 ZINC001589336314 986221499 /nfs/dbraw/zinc/22/14/99/986221499.db2.gz YXBLNSTYHIEFAQ-GJZGRUSLSA-N 0 1 316.401 3.054 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)[O-] ZINC001589560707 987316637 /nfs/dbraw/zinc/31/66/37/987316637.db2.gz NNZMIDLDJONJQG-LXZKKBNFSA-N 0 1 312.413 3.173 20 30 DGEDMN CCc1oc(C[NH2+]Cc2ccc(C#N)c(Cl)c2)cc1C(=O)[O-] ZINC001597805538 989414023 /nfs/dbraw/zinc/41/40/23/989414023.db2.gz HPOPTOHEZOZGCC-UHFFFAOYSA-N 0 1 318.760 3.355 20 30 DGEDMN C[N@@H+](C[C@@H]1CCc2ccccc21)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001598468120 991982489 /nfs/dbraw/zinc/98/24/89/991982489.db2.gz SVWOEMWSDHVVFE-HKUYNNGSSA-N 0 1 320.392 3.346 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)CC(C)C)C[C@@H]1C ZINC001673917297 1197705942 /nfs/dbraw/zinc/70/59/42/1197705942.db2.gz LUBKMTVEEIMYAV-JSGCOSHPSA-N 0 1 300.874 3.248 20 30 DGEDMN C#CC1CC[NH+](Cc2cc(Br)c(OC)cc2[O-])CC1 ZINC001233142485 1098236870 /nfs/dbraw/zinc/23/68/70/1098236870.db2.gz SNHZAOJDSQABHV-UHFFFAOYSA-N 0 1 324.218 3.009 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCc2ccc(C)s2)C1 ZINC001266254432 1081583469 /nfs/dbraw/zinc/58/34/69/1081583469.db2.gz IVPIDMAQQLBSHV-OAHLLOKOSA-N 0 1 306.475 3.146 20 30 DGEDMN COC(=O)c1cc2ccc(NC34CCC(C#N)(CC3)CC4)nc2[nH]1 ZINC001169746276 1081641672 /nfs/dbraw/zinc/64/16/72/1081641672.db2.gz CVGXPKBHHMOPIF-UHFFFAOYSA-N 0 1 324.384 3.378 20 30 DGEDMN CCC[C@@H](C)CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001266308185 1081654484 /nfs/dbraw/zinc/65/44/84/1081654484.db2.gz QYIBJIDLVGNZQU-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN N#CCc1cc(NCc2ccc(-c3ccccn3)cc2)ccn1 ZINC001169899299 1081672725 /nfs/dbraw/zinc/67/27/25/1081672725.db2.gz OCDXHWAUUBYHIY-UHFFFAOYSA-N 0 1 300.365 3.244 20 30 DGEDMN CCCCN(CC#N)c1[nH]c(Cl)cc2nc(C(=O)OC)cc1-2 ZINC001167132228 1081743275 /nfs/dbraw/zinc/74/32/75/1081743275.db2.gz DOGYBLROLHNRBG-UHFFFAOYSA-N 0 1 320.780 3.133 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(F)cc1 ZINC001109046676 1081755681 /nfs/dbraw/zinc/75/56/81/1081755681.db2.gz VWTMOBBFVBRVOY-IKGGRYGDSA-N 0 1 302.393 3.013 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H](NCc1ccccc1C#N)C1CC1 ZINC001266423161 1081781718 /nfs/dbraw/zinc/78/17/18/1081781718.db2.gz BZXOINCPDVHTHW-MPCIYJAUSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1nc(C)cs1)C1CC1 ZINC001266429404 1081794960 /nfs/dbraw/zinc/79/49/60/1081794960.db2.gz OWZBQTGXJYTUJO-WMLDXEAASA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001109350805 1081807501 /nfs/dbraw/zinc/80/75/01/1081807501.db2.gz DSWGROYLSXCWOR-QYZOEREBSA-N 0 1 323.440 3.136 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(F)c1 ZINC001109350812 1081807981 /nfs/dbraw/zinc/80/79/81/1081807981.db2.gz DXXLLSXMQQBWLY-SQNIBIBYSA-N 0 1 316.420 3.404 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1(c3ccccc3)CC1)C2 ZINC001109383520 1081819827 /nfs/dbraw/zinc/81/98/27/1081819827.db2.gz ADAQCMZWLTUGHQ-SQNIBIBYSA-N 0 1 310.441 3.016 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(c2cccc(Cl)c2)CC1 ZINC001266465557 1081862061 /nfs/dbraw/zinc/86/20/61/1081862061.db2.gz XQCQHLRFWQSTKA-INIZCTEOSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001109633053 1081891352 /nfs/dbraw/zinc/89/13/52/1081891352.db2.gz MWUJWGQWXXJNGM-MQYQWHSLSA-N 0 1 318.383 3.263 20 30 DGEDMN C=CCCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccsc1C ZINC001266496327 1081898443 /nfs/dbraw/zinc/89/84/43/1081898443.db2.gz JHORARFJOUVCBI-CALCHBBNSA-N 0 1 318.486 3.240 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](c1ccccc1)C(C)C)C2 ZINC001109765802 1081910756 /nfs/dbraw/zinc/91/07/56/1081910756.db2.gz BIQSWMPXYRPULN-FUMNGEBKSA-N 0 1 324.468 3.171 20 30 DGEDMN O=C(C1CCCCCC1)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001266510987 1081923977 /nfs/dbraw/zinc/92/39/77/1081923977.db2.gz PVDFWOSRIWCYIK-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cccc(C(C)C)n2)C1 ZINC001266529639 1081954823 /nfs/dbraw/zinc/95/48/23/1081954823.db2.gz ILRJXNXMDGRXMS-KRWDZBQOSA-N 0 1 315.461 3.252 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)c2c(F)cccc2Cl)CC1 ZINC001167318202 1081955905 /nfs/dbraw/zinc/95/59/05/1081955905.db2.gz GMKHMTBFMOGRPN-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)OCCCC)CC1 ZINC001112677845 1081986607 /nfs/dbraw/zinc/98/66/07/1081986607.db2.gz MXXJPJCFCIUJAM-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CC(C)(C)C(=O)NCC[N@H+](CCC)Cc1cccc(Cl)n1 ZINC001266568057 1082008131 /nfs/dbraw/zinc/00/81/31/1082008131.db2.gz CMODUPNDAUYJQC-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1cccc(Cl)n1 ZINC001266568057 1082008137 /nfs/dbraw/zinc/00/81/37/1082008137.db2.gz CMODUPNDAUYJQC-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCN(CCCC2CCCC2)CC1 ZINC001112837214 1082019272 /nfs/dbraw/zinc/01/92/72/1082019272.db2.gz XTMZEPOUEHZQAP-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCCCN1CCN(C(=O)CO[C@@H]2CCCC[C@H]2CC)CC1 ZINC001112837835 1082019997 /nfs/dbraw/zinc/01/99/97/1082019997.db2.gz XNKMOYWSTZNSFC-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2coc(C(C)(C)C)n2)CC1 ZINC001112849007 1082021880 /nfs/dbraw/zinc/02/18/80/1082021880.db2.gz ZRRDRJGVPFGOQF-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C2(C(F)F)CC2)CC1 ZINC001112851785 1082023877 /nfs/dbraw/zinc/02/38/77/1082023877.db2.gz BZHIRRLVFKWLNA-UHFFFAOYSA-N 0 1 302.409 3.146 20 30 DGEDMN C=C(C)CCC(=O)N1CCN(C[C@@H]2CCCC(F)(F)C2)CC1 ZINC001112901865 1082045204 /nfs/dbraw/zinc/04/52/04/1082045204.db2.gz ACFFMMSELBCTIW-OAHLLOKOSA-N 0 1 314.420 3.312 20 30 DGEDMN Nc1ccccc1C(NO)=[NH+]c1cc(Cl)c([O-])c(Cl)c1 ZINC001210928883 1082048937 /nfs/dbraw/zinc/04/89/37/1082048937.db2.gz OVSMIFWTZKOQLV-UHFFFAOYSA-N 0 1 312.156 3.338 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCO[C@H]2C(C)C)CC1 ZINC001112939580 1082056599 /nfs/dbraw/zinc/05/65/99/1082056599.db2.gz BAINKKFZRRKBGQ-MSOLQXFVSA-N 0 1 324.509 3.162 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCO[C@@H]2C(C)C)CC1 ZINC001112939583 1082056842 /nfs/dbraw/zinc/05/68/42/1082056842.db2.gz BAINKKFZRRKBGQ-ZWKOTPCHSA-N 0 1 324.509 3.162 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](CC)CC(F)F)CC1 ZINC001112954961 1082061361 /nfs/dbraw/zinc/06/13/61/1082061361.db2.gz MYODPJQKGSWLQP-ZIAGYGMSSA-N 0 1 302.409 3.167 20 30 DGEDMN C#CCC1(C(=O)N2CCN([C@@H](CC)c3ccccc3)CC2)CCC1 ZINC001112951168 1082063927 /nfs/dbraw/zinc/06/39/27/1082063927.db2.gz KMILRWPDKRZAHY-IBGZPJMESA-N 0 1 324.468 3.476 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C[C@H](C)CC(C)C)CC2)CCC1 ZINC001112953108 1082064688 /nfs/dbraw/zinc/06/46/88/1082064688.db2.gz FKBGHCJFHKIOCU-QGZVFWFLSA-N 0 1 304.478 3.006 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCC2(F)F)CC1 ZINC001113000129 1082080944 /nfs/dbraw/zinc/08/09/44/1082080944.db2.gz QSEVFUIEOAHWAT-CQSZACIVSA-N 0 1 302.409 3.146 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C/C=C/c2ccc(F)cc2)CC1 ZINC001113011961 1082084327 /nfs/dbraw/zinc/08/43/27/1082084327.db2.gz IIPSWDOVKGLWMS-ONEGZZNKSA-N 0 1 316.420 3.339 20 30 DGEDMN COc1cccc(OC)c1/C=C\C(=O)c1ccc(O)c(F)c1 ZINC000588386523 1082100674 /nfs/dbraw/zinc/10/06/74/1082100674.db2.gz BPZPEIGGVKDNAA-CLFYSBASSA-N 0 1 302.301 3.445 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccc(C)cc2)CC1 ZINC001113076684 1082109415 /nfs/dbraw/zinc/10/94/15/1082109415.db2.gz COUYMZZOASGPCZ-UHFFFAOYSA-N 0 1 314.473 3.428 20 30 DGEDMN Cc1cccc(NCc2ccc(N3CCN(C)CC3)cc2)c1C#N ZINC001167434063 1082113483 /nfs/dbraw/zinc/11/34/83/1082113483.db2.gz AAWSDVNRKYGTIQ-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2c(F)cccc2F)CC1 ZINC001113102570 1082114968 /nfs/dbraw/zinc/11/49/68/1082114968.db2.gz QSGHRBMHQYMSQI-CQSZACIVSA-N 0 1 322.399 3.179 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1 ZINC001113137461 1082124861 /nfs/dbraw/zinc/12/48/61/1082124861.db2.gz VUYPHLWJQISQMR-VSZNYVQBSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCN1CCN(C(=O)CCc2cccc(C)c2)CC1 ZINC001113166068 1082130213 /nfs/dbraw/zinc/13/02/13/1082130213.db2.gz HCYRXWYTLZMXAV-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN C#Cc1ccc(C(=O)N2CCN(C[C@H](C)CC(C)C)CC2)cc1 ZINC001113179891 1082132526 /nfs/dbraw/zinc/13/25/26/1082132526.db2.gz VKZRVIJKYAPULN-QGZVFWFLSA-N 0 1 312.457 3.108 20 30 DGEDMN C#CCCCCCC(=O)N1CCN(CC2CC(C)(C)C2)CC1 ZINC001113205007 1082139058 /nfs/dbraw/zinc/13/90/58/1082139058.db2.gz GSPWOKZIXROBKG-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2coc(C(F)F)c2)CC1 ZINC001113259460 1082151195 /nfs/dbraw/zinc/15/11/95/1082151195.db2.gz DMNQSTBKVYXCPD-GFCCVEGCSA-N 0 1 312.360 3.330 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2CC[C@H](C(F)(F)F)C2)CC1 ZINC001113260963 1082152015 /nfs/dbraw/zinc/15/20/15/1082152015.db2.gz UWMZBVQOKWRJFI-KGLIPLIRSA-N 0 1 318.383 3.075 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2CCC[C@H](OC)C2)CC1 ZINC001113270302 1082157402 /nfs/dbraw/zinc/15/74/02/1082157402.db2.gz JEIHKBMFZNIUCZ-ROUUACIJSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(OC)cc2C)CC1 ZINC001113281980 1082162546 /nfs/dbraw/zinc/16/25/46/1082162546.db2.gz DACRCPZXGBHLDC-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H]2Cc3ccccc32)CC1 ZINC001113275797 1082165943 /nfs/dbraw/zinc/16/59/43/1082165943.db2.gz ZKYZJILRYKWPAF-IBGZPJMESA-N 0 1 314.473 3.441 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(F)cc2Cl)CC1 ZINC001113292978 1082167256 /nfs/dbraw/zinc/16/72/56/1082167256.db2.gz IIAMBXKBIUONEP-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN CCCCCCCN1CCN(C(=O)C[C@H]2CC[C@@H](C)O2)CC1 ZINC001113295179 1082168652 /nfs/dbraw/zinc/16/86/52/1082168652.db2.gz JLSHNAPNNOGTSZ-IAGOWNOFSA-N 0 1 310.482 3.059 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C[C@H](C)C(F)(F)F)CC1 ZINC001113289735 1082171711 /nfs/dbraw/zinc/17/17/11/1082171711.db2.gz DLUHHHNSZBYZJO-AWEZNQCLSA-N 0 1 320.399 3.466 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CCCC3CCC3)CC2)CCC1 ZINC001113314419 1082175544 /nfs/dbraw/zinc/17/55/44/1082175544.db2.gz LOCAXMZPFGABPL-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H](C)c2ccco2)CC1 ZINC001113338998 1082181741 /nfs/dbraw/zinc/18/17/41/1082181741.db2.gz NFTJDYCBJDOAFM-INIZCTEOSA-N 0 1 304.434 3.274 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ncsc2C2CC2)CC1 ZINC001113343575 1082181750 /nfs/dbraw/zinc/18/17/50/1082181750.db2.gz SJJVUPOMHROZLE-CYBMUJFWSA-N 0 1 319.474 3.133 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2cccnc2C)CC1 ZINC001113343803 1082182779 /nfs/dbraw/zinc/18/27/79/1082182779.db2.gz FISBJFMIBAATJA-UHFFFAOYSA-N 0 1 317.477 3.047 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cc(F)ccc2Cl)CC1 ZINC001113375700 1082188412 /nfs/dbraw/zinc/18/84/12/1082188412.db2.gz YYBYBXSQASVBTK-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(OCC)c(C)c2)CC1 ZINC001113392550 1082194522 /nfs/dbraw/zinc/19/45/22/1082194522.db2.gz JCOUAWJTTKQBJO-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccccc2F)CC1 ZINC001113439871 1082211230 /nfs/dbraw/zinc/21/12/30/1082211230.db2.gz ZRLJKVUGGQYPCM-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1nsc2ccccc12 ZINC001266799782 1082223147 /nfs/dbraw/zinc/22/31/47/1082223147.db2.gz DHVAWQAEZZNDKM-UHFFFAOYSA-N 0 1 317.458 3.057 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1sccc1Cl ZINC001266809087 1082231173 /nfs/dbraw/zinc/23/11/73/1082231173.db2.gz SCSBXIJJQIGOHW-UHFFFAOYSA-N 0 1 312.866 3.143 20 30 DGEDMN C=CCCCN1CCN(C(=O)C(C)(C)c2ccc(F)cc2)CC1 ZINC001113550393 1082247954 /nfs/dbraw/zinc/24/79/54/1082247954.db2.gz AANROYHCGUDMMV-UHFFFAOYSA-N 0 1 318.436 3.214 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(F)cc2C)CC1 ZINC001113564994 1082248646 /nfs/dbraw/zinc/24/86/46/1082248646.db2.gz OXKRBEFOIIMCFB-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2)CC1 ZINC001113559147 1082248855 /nfs/dbraw/zinc/24/88/55/1082248855.db2.gz GGKJJEYOAPDNMI-NZSAHSFTSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)cc(C)c2)CC1 ZINC001113578868 1082252229 /nfs/dbraw/zinc/25/22/29/1082252229.db2.gz ZBVKQMWGVCYLOO-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001113569926 1082252480 /nfs/dbraw/zinc/25/24/80/1082252480.db2.gz CQTCWWWHFIBDPD-CQSZACIVSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2c(C)csc2Cl)CC1 ZINC001113583370 1082254739 /nfs/dbraw/zinc/25/47/39/1082254739.db2.gz HXASRVCXLXMZTQ-UHFFFAOYSA-N 0 1 312.866 3.434 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001113575664 1082255138 /nfs/dbraw/zinc/25/51/38/1082255138.db2.gz BPINCMAXLGDLJM-GOSISDBHSA-N 0 1 324.509 3.308 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CC(C)(C)C(F)(F)F)CC1 ZINC001113596682 1082258496 /nfs/dbraw/zinc/25/84/96/1082258496.db2.gz YVBGYPZGULBJMC-UHFFFAOYSA-N 0 1 306.372 3.075 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(C)n(C)c2C)CC1 ZINC001113617580 1082265174 /nfs/dbraw/zinc/26/51/74/1082265174.db2.gz ZZCVXJBNYWAQTA-UHFFFAOYSA-N 0 1 317.477 3.146 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC001113617534 1082265331 /nfs/dbraw/zinc/26/53/31/1082265331.db2.gz WSNLWXVTLSOUKA-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@H]2CC[C@H](C)O2)CC1 ZINC001113658015 1082272686 /nfs/dbraw/zinc/27/26/86/1082272686.db2.gz COHPZHUJONDRFI-ZWKOTPCHSA-N 0 1 324.509 3.449 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CC[C@H](C)F)CC1)c1ccccc1 ZINC001113667294 1082273262 /nfs/dbraw/zinc/27/32/62/1082273262.db2.gz XYWGMQLOSKRYIF-IRXDYDNUSA-N 0 1 318.436 3.239 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CC3CCC3)CC2)CCCCC1 ZINC001113667303 1082273431 /nfs/dbraw/zinc/27/34/31/1082273431.db2.gz YFYZFPMEXADFCI-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN CC(C)CCCN1CCN(C(=O)CC#Cc2ccccc2)CC1 ZINC001113668470 1082274760 /nfs/dbraw/zinc/27/47/60/1082274760.db2.gz KAGQYPPQYWCODC-UHFFFAOYSA-N 0 1 312.457 3.009 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cc(C)co2)C1 ZINC001086604736 1082279459 /nfs/dbraw/zinc/27/94/59/1082279459.db2.gz SDCVMXGKFAKVLH-ZWKOTPCHSA-N 0 1 324.424 3.362 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001086604530 1082279724 /nfs/dbraw/zinc/27/97/24/1082279724.db2.gz HLOMIHGFHGMHLV-RCCFBDPRSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)C[C@H]2CCCO2)CC1 ZINC001113703588 1082284127 /nfs/dbraw/zinc/28/41/27/1082284127.db2.gz CWSYVIXCUUSKIP-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(CF)cc2)CC1 ZINC001113698249 1082286781 /nfs/dbraw/zinc/28/67/81/1082286781.db2.gz NMYUPOSULLJYLA-OAHLLOKOSA-N 0 1 304.409 3.269 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2Cc3c2cccc3Cl)CC1 ZINC001113700090 1082287850 /nfs/dbraw/zinc/28/78/50/1082287850.db2.gz KFBZCZJWVDHPLU-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001266869052 1082288535 /nfs/dbraw/zinc/28/85/35/1082288535.db2.gz ONJQPRIJLXUNFO-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=CCO[C@@H](C)C(=O)N1CCN(CCC2CCCCCC2)CC1 ZINC001113712721 1082288814 /nfs/dbraw/zinc/28/88/14/1082288814.db2.gz DGYRLBSZDXRPCE-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN CC(C)(C)c1nc2c(ncnc2NCc2ccc(C#N)s2)[nH]1 ZINC001170199583 1082317652 /nfs/dbraw/zinc/31/76/52/1082317652.db2.gz BJYQUYPPESFDGY-UHFFFAOYSA-N 0 1 312.402 3.196 20 30 DGEDMN CCOC(=O)[C@@H](C#N)Nc1ccc2nc(-c3ccccc3)[nH]c2c1 ZINC001170370683 1082354380 /nfs/dbraw/zinc/35/43/80/1082354380.db2.gz IPUOWCDCOPVKSO-MRXNPFEDSA-N 0 1 320.352 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C)c(CC)s1 ZINC001266992105 1082413822 /nfs/dbraw/zinc/41/38/22/1082413822.db2.gz YYSVNFVYFJFTMY-LLVKDONJSA-N 0 1 300.855 3.079 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC1CCC(C)(C)CC1 ZINC001266997973 1082419578 /nfs/dbraw/zinc/41/95/78/1082419578.db2.gz BQTUXJRCNMLTPO-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C[Si](C)(C)C#CNC(=N)c1ccc(Br)cc1F ZINC001170461691 1082504955 /nfs/dbraw/zinc/50/49/55/1082504955.db2.gz YMQHZQNAVMQSKD-UHFFFAOYSA-N 0 1 313.246 3.341 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001267112434 1082530278 /nfs/dbraw/zinc/53/02/78/1082530278.db2.gz YMARLLIMVYHGTF-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001130180502 1082550922 /nfs/dbraw/zinc/55/09/22/1082550922.db2.gz QDMUFAHKMZNECR-HZPDHXFCSA-N 0 1 306.837 3.029 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(CCCC)CC1 ZINC001279578560 1082550989 /nfs/dbraw/zinc/55/09/89/1082550989.db2.gz ZBWSHYVRURRSLG-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H](C)NC/C(Cl)=C\Cl ZINC001267146462 1082564166 /nfs/dbraw/zinc/56/41/66/1082564166.db2.gz PKCCWTXHVFYGTJ-LWMMSDEHSA-N 0 1 307.265 3.392 20 30 DGEDMN CCCCCCCCOCC(=O)N1[C@H](C)CN(C)[C@@H](C)[C@@H]1C ZINC001130370679 1082574431 /nfs/dbraw/zinc/57/44/31/1082574431.db2.gz RKKVAMMVZYYVBG-IKGGRYGDSA-N 0 1 312.498 3.303 20 30 DGEDMN C=C(Cl)CNCCNC(=O)Cc1ccc(CCCC)cc1 ZINC001130910423 1082623315 /nfs/dbraw/zinc/62/33/15/1082623315.db2.gz KRSVCVREMRPVKD-UHFFFAOYSA-N 0 1 308.853 3.030 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001131156185 1082649226 /nfs/dbraw/zinc/64/92/26/1082649226.db2.gz BKKMCWMTJPTWMS-CVEARBPZSA-N 0 1 306.837 3.029 20 30 DGEDMN CCCCCCCC(=O)N1CCN[C@H](Cc2ccccc2)C1 ZINC001170550050 1082658137 /nfs/dbraw/zinc/65/81/37/1082658137.db2.gz YRKNIRRDYZBDIO-GOSISDBHSA-N 0 1 302.462 3.390 20 30 DGEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CCCCC(C)C)C[C@H](C)O2 ZINC001131693583 1082707721 /nfs/dbraw/zinc/70/77/21/1082707721.db2.gz QRBCAGRCICSVJX-PKOBYXMFSA-N 0 1 322.493 3.081 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131751991 1082718717 /nfs/dbraw/zinc/71/87/17/1082718717.db2.gz OEKCAFBEBUDDNT-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cnccc1C ZINC001267271975 1082732022 /nfs/dbraw/zinc/73/20/22/1082732022.db2.gz GMXMHLOUWVPRMQ-FUHWJXTLSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2ccc(C#N)cc2)C1 ZINC001131855675 1082748999 /nfs/dbraw/zinc/74/89/99/1082748999.db2.gz AKWNSNFLDYNYGE-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN Cc1ccc(F)c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1F ZINC001131906621 1082760127 /nfs/dbraw/zinc/76/01/27/1082760127.db2.gz OKXKCOPXLAIYKD-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC001131975800 1082779570 /nfs/dbraw/zinc/77/95/70/1082779570.db2.gz DNDMQGALQDDPSF-WBVHZDCISA-N 0 1 318.486 3.411 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1cc(F)cc2ccoc21 ZINC001267315822 1082782960 /nfs/dbraw/zinc/78/29/60/1082782960.db2.gz WVGDGJDCLZPDBF-UHFFFAOYSA-N 0 1 316.376 3.037 20 30 DGEDMN COc1cc(O)ccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001213092865 1082806722 /nfs/dbraw/zinc/80/67/22/1082806722.db2.gz QZVLATKYEARAFF-UHFFFAOYSA-N 0 1 315.398 3.065 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](C)N(Cc2ccccn2)C1 ZINC001132068060 1082807671 /nfs/dbraw/zinc/80/76/71/1082807671.db2.gz CKOKJHYJYHDYRM-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@H]1CCCC2(CC2)C1 ZINC001267373537 1082841659 /nfs/dbraw/zinc/84/16/59/1082841659.db2.gz YIDCAMCOIKHYJG-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132234413 1082843718 /nfs/dbraw/zinc/84/37/18/1082843718.db2.gz BMEXEDHTDZZONX-KGLIPLIRSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2ncccc2C)C1 ZINC001132217616 1082844546 /nfs/dbraw/zinc/84/45/46/1082844546.db2.gz DXJYIBORNASEHQ-JKSUJKDBSA-N 0 1 315.461 3.071 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CC12CCCC2)c1ccccc1 ZINC001267377942 1082847935 /nfs/dbraw/zinc/84/79/35/1082847935.db2.gz UVHWZAYQLCMXIN-ZWKOTPCHSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(CCC(F)(F)F)C1 ZINC001132227419 1082848372 /nfs/dbraw/zinc/84/83/72/1082848372.db2.gz DAXNFBNPIIZMRN-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1ccc(F)cc1Cl ZINC001267378745 1082849940 /nfs/dbraw/zinc/84/99/40/1082849940.db2.gz GUCRRADOCQYOFS-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2c(C)noc2C)C1 ZINC001132255636 1082864472 /nfs/dbraw/zinc/86/44/72/1082864472.db2.gz CPUJSQVGPCLGRK-BBRMVZONSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001267422112 1082876387 /nfs/dbraw/zinc/87/63/87/1082876387.db2.gz DNSRCFWIAVOVPB-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001132308697 1082877159 /nfs/dbraw/zinc/87/71/59/1082877159.db2.gz PAUAZDJZLKPFKC-GFCCVEGCSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C2=CCCCCC2)C1 ZINC001267455440 1082893497 /nfs/dbraw/zinc/89/34/97/1082893497.db2.gz ZOVNPNCVXHVIGH-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN[C@H](C)c1cccc(Cl)c1F ZINC001132391815 1082896499 /nfs/dbraw/zinc/89/64/99/1082896499.db2.gz OUDZINMEULYRII-LLVKDONJSA-N 0 1 312.816 3.458 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1sccc1Cl ZINC001132398719 1082899201 /nfs/dbraw/zinc/89/92/01/1082899201.db2.gz MIIGHYCYKBUCDR-UHFFFAOYSA-N 0 1 312.866 3.191 20 30 DGEDMN C=CC(C)(C)C(=O)NCCNCc1ccc(Cl)cc1Cl ZINC001132391618 1082904017 /nfs/dbraw/zinc/90/40/17/1082904017.db2.gz LTTAASREQMBRKZ-UHFFFAOYSA-N 0 1 315.244 3.411 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)C[C@H]2CCC(C)(C)CO2)C1 ZINC001267476028 1082929659 /nfs/dbraw/zinc/92/96/59/1082929659.db2.gz SUDCMBLZEQHZEQ-DLBZAZTESA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CCCC2CCCC2)CC[C@H]1C ZINC001132526068 1082933991 /nfs/dbraw/zinc/93/39/91/1082933991.db2.gz XSZDFTKJWBUYDJ-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C(CC(C)C)CC(C)C)CC[C@H]1C ZINC001132537460 1082940768 /nfs/dbraw/zinc/94/07/68/1082940768.db2.gz PAYFHIGODTVHHZ-AEFFLSMTSA-N 0 1 306.494 3.297 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132573948 1082950336 /nfs/dbraw/zinc/95/03/36/1082950336.db2.gz STQLFAPZPMRVKV-STQMWFEESA-N 0 1 324.852 3.013 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1CC[C@H](F)C1)C(C)C ZINC001267507787 1082966922 /nfs/dbraw/zinc/96/69/22/1082966922.db2.gz NEEWDZXTKXQGHH-KGLIPLIRSA-N 0 1 304.837 3.094 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1nsc2ccccc21 ZINC001132653555 1082968068 /nfs/dbraw/zinc/96/80/68/1082968068.db2.gz OLORWWXEFWXKAR-ZDUSSCGKSA-N 0 1 317.458 3.104 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132650902 1082972122 /nfs/dbraw/zinc/97/21/22/1082972122.db2.gz PZLLCIMCWSHPOE-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001132650903 1082972396 /nfs/dbraw/zinc/97/23/96/1082972396.db2.gz PZLLCIMCWSHPOE-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1ccc(Cl)cc1F ZINC001132667581 1082975745 /nfs/dbraw/zinc/97/57/45/1082975745.db2.gz OEMWAYQAJCCLMJ-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001132671448 1082977086 /nfs/dbraw/zinc/97/70/86/1082977086.db2.gz RYHMSHRDTBNECT-OLZOCXBDSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001267541816 1083008745 /nfs/dbraw/zinc/00/87/45/1083008745.db2.gz IYLCZSZXOWHSHX-INIZCTEOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CCC(C)(F)F)C1 ZINC001267545020 1083013484 /nfs/dbraw/zinc/01/34/84/1083013484.db2.gz OXQGNYFJLNTUQT-LBPRGKRZSA-N 0 1 308.800 3.003 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(Cl)cc2)C1 ZINC001267548396 1083018758 /nfs/dbraw/zinc/01/87/58/1083018758.db2.gz FAPVSRBEWJHASR-INIZCTEOSA-N 0 1 306.837 3.453 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC[C@@H]1CCN(Cc2ccns2)C1 ZINC001267563503 1083042841 /nfs/dbraw/zinc/04/28/41/1083042841.db2.gz ZQZNCUAESRERCP-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2oc(C(C)C)nc2C)CC1 ZINC001133375795 1083107151 /nfs/dbraw/zinc/10/71/51/1083107151.db2.gz JBZTZXAAXUYBKT-UHFFFAOYSA-N 0 1 319.449 3.059 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(CC)cc2)C1 ZINC001267593233 1083122393 /nfs/dbraw/zinc/12/23/93/1083122393.db2.gz GEAHIOVOBCUSLW-MRXNPFEDSA-N 0 1 320.864 3.395 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001481052393 1083135594 /nfs/dbraw/zinc/13/55/94/1083135594.db2.gz MMMKATHAPNYRCB-KRWDZBQOSA-N 0 1 318.486 3.412 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1cc(C)ccc1F ZINC001481051573 1083135882 /nfs/dbraw/zinc/13/58/82/1083135882.db2.gz GOBQPMIFEWZLRP-INIZCTEOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3cccnc32)C1 ZINC001267651727 1083246811 /nfs/dbraw/zinc/24/68/11/1083246811.db2.gz SJZUHQCEEXWCHP-AWEZNQCLSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H](C)c2ccccc2F)C1 ZINC001267661521 1083251663 /nfs/dbraw/zinc/25/16/63/1083251663.db2.gz CRMDEFFAMHDYML-CQSZACIVSA-N 0 1 304.409 3.086 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)CCC1CCCC1 ZINC001481203955 1083255505 /nfs/dbraw/zinc/25/55/05/1083255505.db2.gz YBKNHRJQRGTVPU-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCCC(=O)NCC1CN(C/C=C/c2ccc(Cl)cc2)C1 ZINC001267676623 1083259587 /nfs/dbraw/zinc/25/95/87/1083259587.db2.gz NQQRXGMMLFWTRO-SNAWJCMRSA-N 0 1 318.848 3.367 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001267691254 1083266578 /nfs/dbraw/zinc/26/65/78/1083266578.db2.gz MJQAMZVUSZLUHI-HXUWFJFHSA-N 0 1 314.473 3.399 20 30 DGEDMN Cc1cccc([C@@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)(C)C)c1 ZINC001267706526 1083274163 /nfs/dbraw/zinc/27/41/63/1083274163.db2.gz NGMIKBVGBOGQJI-NEWSRXKRSA-N 0 1 324.468 3.391 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@H](C)NCc1coc(C)n1 ZINC001134419763 1083287909 /nfs/dbraw/zinc/28/79/09/1083287909.db2.gz ZVBDYPYNSFSMFM-KBPBESRZSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@@H](C)NCc1nc(C)c(C)o1 ZINC001134448924 1083288883 /nfs/dbraw/zinc/28/88/83/1083288883.db2.gz QZTXMOAJHTVVKR-OLZOCXBDSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(CC)n1 ZINC001134486192 1083296361 /nfs/dbraw/zinc/29/63/61/1083296361.db2.gz PFUHQBNYIBOHSO-KGLIPLIRSA-N 0 1 323.506 3.435 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CCOC2CCCCCC2)C1 ZINC001267747130 1083313754 /nfs/dbraw/zinc/31/37/54/1083313754.db2.gz KTVXMQBAVGIMOS-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2scnc2C(C)C)C1 ZINC001267749011 1083316088 /nfs/dbraw/zinc/31/60/88/1083316088.db2.gz CGXGIVGIMMJWRD-UONOGXRCSA-N 0 1 321.490 3.425 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC1CCCC1)C(C)C ZINC001134692143 1083320602 /nfs/dbraw/zinc/32/06/02/1083320602.db2.gz DPOATTPWIJQKLL-HNNXBMFYSA-N 0 1 300.874 3.297 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001267772276 1083335638 /nfs/dbraw/zinc/33/56/38/1083335638.db2.gz UGFHZVBWRAZZHI-MOPGFXCFSA-N 0 1 314.473 3.220 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001267790124 1083347907 /nfs/dbraw/zinc/34/79/07/1083347907.db2.gz KTKCYDBNCDGZSI-RBUKOAKNSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)CCc2ccc(C)s2)C1 ZINC001267795101 1083352220 /nfs/dbraw/zinc/35/22/20/1083352220.db2.gz VCBPYSLDGDLVPA-MRXNPFEDSA-N 0 1 320.502 3.488 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)N[C@H](C)c1cc(F)ccc1F ZINC001267798515 1083353827 /nfs/dbraw/zinc/35/38/27/1083353827.db2.gz ROCUTERRIUZEBY-OCCSQVGLSA-N 0 1 310.388 3.476 20 30 DGEDMN CC[C@H](CNC(=O)/C=C/C(C)(C)C)NCc1ccccc1C#N ZINC001267799216 1083354075 /nfs/dbraw/zinc/35/40/75/1083354075.db2.gz JFXCSZCWDRZETB-SXSDINLZSA-N 0 1 313.445 3.145 20 30 DGEDMN CC[C@H](CNC(=O)C[C@@H](C)CC)NCc1cc(F)ccc1C#N ZINC001267799522 1083354344 /nfs/dbraw/zinc/35/43/44/1083354344.db2.gz LXTGGNRIUQNYBV-SUMWQHHRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1nsc2ccccc21 ZINC001267805313 1083356224 /nfs/dbraw/zinc/35/62/24/1083356224.db2.gz OXVAVVLLELFDIG-NSHDSACASA-N 0 1 323.849 3.147 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](CC)NCc1nc(C)sc1C ZINC001267808777 1083357435 /nfs/dbraw/zinc/35/74/35/1083357435.db2.gz XWQSPPKUBLJSMH-AWEZNQCLSA-N 0 1 323.506 3.347 20 30 DGEDMN CCCCCCC[C@@H](C)C(=O)N1Cc2n[nH]c(COC)c2C1 ZINC001181121933 1083412699 /nfs/dbraw/zinc/41/26/99/1083412699.db2.gz ITPMZNCMUKAXGU-CYBMUJFWSA-N 0 1 307.438 3.395 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@@H]2NCc1cnc2ccccc2n1 ZINC001181436294 1083475166 /nfs/dbraw/zinc/47/51/66/1083475166.db2.gz OTNYDEJTWDUXFZ-KRWDZBQOSA-N 0 1 300.365 3.279 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(Cl)ccc2OC)C1 ZINC001181534812 1083486645 /nfs/dbraw/zinc/48/66/45/1083486645.db2.gz DFRHDXZHGOCTSE-OAHLLOKOSA-N 0 1 322.836 3.005 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC(C1CCC1)C1CCC1 ZINC001481279439 1083554317 /nfs/dbraw/zinc/55/43/17/1083554317.db2.gz INWSSNKVNJNVDV-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C#C[C@@H](NC1CC(c2nc(C)no2)C1)c1ccc(Cl)cc1 ZINC001182161040 1083636592 /nfs/dbraw/zinc/63/65/92/1083636592.db2.gz MKCABWPMKMAVGR-PESDSKBTSA-N 0 1 301.777 3.242 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC001182221060 1083654113 /nfs/dbraw/zinc/65/41/13/1083654113.db2.gz WNFBRRNWNZVGFH-UKRRQHHQSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCCF)C1 ZINC001211657363 1083655816 /nfs/dbraw/zinc/65/58/16/1083655816.db2.gz CNTUVNPSFJIJOR-RYQLBKOJSA-N 0 1 318.436 3.142 20 30 DGEDMN CCCC[C@H](C)C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001182279060 1083668550 /nfs/dbraw/zinc/66/85/50/1083668550.db2.gz UUMPLUWHEHTCEA-PKOBYXMFSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C[C@@H](C(=O)N1CCC[C@@H]1CNCC(=C)Cl)c1ccccc1 ZINC001267970620 1083673973 /nfs/dbraw/zinc/67/39/73/1083673973.db2.gz WLAAUJDNFHEPLG-IAGOWNOFSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1oc2ccccc2c1CC ZINC001481321323 1083764492 /nfs/dbraw/zinc/76/44/92/1083764492.db2.gz TZFDDSIZQLGYMA-UHFFFAOYSA-N 0 1 320.820 3.409 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)C(C)(C)F)C1 ZINC001087419348 1083801865 /nfs/dbraw/zinc/80/18/65/1083801865.db2.gz AJWNHVABNXKEBI-IAGOWNOFSA-N 0 1 318.436 3.285 20 30 DGEDMN COc1ccc2nc(NC(=O)[C@@H](C)c3ccc(C#N)cc3)[nH]c2c1 ZINC001182790274 1083806102 /nfs/dbraw/zinc/80/61/02/1083806102.db2.gz NPNOCKNPXKEDMK-NSHDSACASA-N 0 1 320.352 3.185 20 30 DGEDMN CCC(C)(C)CC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001182847657 1083807631 /nfs/dbraw/zinc/80/76/31/1083807631.db2.gz CRZDNOOSOHPLFE-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C[C@H](C)CC(C)C)c1ccccc1 ZINC001481373096 1083833760 /nfs/dbraw/zinc/83/37/60/1083833760.db2.gz NIVBZTORQHCVKK-AEFFLSMTSA-N 0 1 300.446 3.139 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC001182933950 1083848627 /nfs/dbraw/zinc/84/86/27/1083848627.db2.gz YMWRHCSYCPBQIN-CQSZACIVSA-N 0 1 308.372 3.012 20 30 DGEDMN CCCN(C(=O)C(C)C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001481391599 1083853814 /nfs/dbraw/zinc/85/38/14/1083853814.db2.gz WRJHYOMCLUXZQW-SFHVURJKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccccc2Cl)C1 ZINC001481410440 1083879318 /nfs/dbraw/zinc/87/93/18/1083879318.db2.gz HJMXCTFLTYSQTA-MRXNPFEDSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccccc2Cl)C1 ZINC001481410439 1083879368 /nfs/dbraw/zinc/87/93/68/1083879368.db2.gz HJMXCTFLTYSQTA-INIZCTEOSA-N 0 1 320.864 3.381 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2nc(C)sc2C)CCCC1 ZINC001268033258 1083915588 /nfs/dbraw/zinc/91/55/88/1083915588.db2.gz KNCXHZPXKKXKHY-UHFFFAOYSA-N 0 1 321.490 3.245 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1cccc(C#C[Si](C)(C)C)c1)N(C)C ZINC001183362621 1083926369 /nfs/dbraw/zinc/92/63/69/1083926369.db2.gz MGLKMIIWYMRQLL-QGZVFWFLSA-N 0 1 316.521 3.440 20 30 DGEDMN CN(CCc1cccc(C#N)c1)Cc1cn2cccc(F)c2n1 ZINC001183418608 1083945473 /nfs/dbraw/zinc/94/54/73/1083945473.db2.gz AQABVFIMPSZCFE-UHFFFAOYSA-N 0 1 308.360 3.020 20 30 DGEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1cccc2[nH]c(=O)oc21 ZINC001183430535 1083948003 /nfs/dbraw/zinc/94/80/03/1083948003.db2.gz YIKFBIUERWMDLQ-UHFFFAOYSA-N 0 1 307.353 3.080 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](C)C2CCCC2)C1 ZINC001481457288 1083948681 /nfs/dbraw/zinc/94/86/81/1083948681.db2.gz RZVGGVPWPWGNFJ-LSDHHAIUSA-N 0 1 312.885 3.393 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@H](O)c1ccccc1C1CCCCC1 ZINC001183652985 1083991839 /nfs/dbraw/zinc/99/18/39/1083991839.db2.gz NAILAJKIIOPNKB-MRXNPFEDSA-N 0 1 324.384 3.001 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C[C@@H]2CC=CCC2)C1 ZINC001481497395 1084015262 /nfs/dbraw/zinc/01/52/62/1084015262.db2.gz XCNJZIOOYRACGE-HZPDHXFCSA-N 0 1 310.869 3.266 20 30 DGEDMN CC(C)CCC(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001268051415 1084034650 /nfs/dbraw/zinc/03/46/50/1084034650.db2.gz WSVJJFPOARERPN-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc(Cl)c2C)C1 ZINC001481561474 1084147640 /nfs/dbraw/zinc/14/76/40/1084147640.db2.gz OZDGQPJTESZMAY-GFCCVEGCSA-N 0 1 306.837 3.417 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(C)(C)CC(F)F)C1 ZINC001184416280 1084179223 /nfs/dbraw/zinc/17/92/23/1084179223.db2.gz LTTYQIQAASKCBF-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(N)nc1N[C@H]1CC[C@H](C#N)CC1 ZINC001167843164 1084191120 /nfs/dbraw/zinc/19/11/20/1084191120.db2.gz XMHBIDBOILUWAJ-HAQNSBGRSA-N 0 1 315.421 3.143 20 30 DGEDMN N#Cc1ccc2c(c1)C[N@H+](Cc1c(F)ccc(F)c1[O-])CC2 ZINC001139685543 1084194348 /nfs/dbraw/zinc/19/43/48/1084194348.db2.gz NIFYOYOIZRCUCA-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN N#Cc1ccc2c(c1)C[N@@H+](Cc1c(F)ccc(F)c1[O-])CC2 ZINC001139685543 1084194352 /nfs/dbraw/zinc/19/43/52/1084194352.db2.gz NIFYOYOIZRCUCA-UHFFFAOYSA-N 0 1 300.308 3.100 20 30 DGEDMN CCOC(=O)c1nc2ccc(N[C@H]3CC[C@H](C#N)CC3)cc2[nH]1 ZINC001167846337 1084206538 /nfs/dbraw/zinc/20/65/38/1084206538.db2.gz YKBKHMVORKLCDP-HAQNSBGRSA-N 0 1 312.373 3.234 20 30 DGEDMN C/C(=C\C(=O)N(C)CCC[N@@H+](C)CC#Cc1ccccc1)C1CC1 ZINC001273488779 1084228178 /nfs/dbraw/zinc/22/81/78/1084228178.db2.gz QVYWGVWKGQIFAG-ISLYRVAYSA-N 0 1 324.468 3.175 20 30 DGEDMN C/C(=C\C(=O)N(C)CCCN(C)CC#Cc1ccccc1)C1CC1 ZINC001273488779 1084228185 /nfs/dbraw/zinc/22/81/85/1084228185.db2.gz QVYWGVWKGQIFAG-ISLYRVAYSA-N 0 1 324.468 3.175 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CCNCc1ncc(CC)o1 ZINC001268145454 1084232308 /nfs/dbraw/zinc/23/23/08/1084232308.db2.gz MTDTXMPMJSGXMA-OAHLLOKOSA-N 0 1 319.449 3.064 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001268153876 1084244967 /nfs/dbraw/zinc/24/49/67/1084244967.db2.gz RQJSLTRHVZDPLW-MAUKXSAKSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001481627340 1084274746 /nfs/dbraw/zinc/27/47/46/1084274746.db2.gz OGPAJRQTKFKHPO-UHFFFAOYSA-N 0 1 300.446 3.145 20 30 DGEDMN C[C@H]1c2ccccc2OCCN1C[C@@H](O)c1cccc(C#N)c1 ZINC001167866924 1084275628 /nfs/dbraw/zinc/27/56/28/1084275628.db2.gz BWXUIMGMHSSDMJ-KBXCAEBGSA-N 0 1 308.381 3.047 20 30 DGEDMN CC1(C)CCC[C@H]1C(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001184961834 1084297079 /nfs/dbraw/zinc/29/70/79/1084297079.db2.gz HIXGDSPBIAQEHP-MOPGFXCFSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCC(=C)Cl ZINC001268186692 1084299006 /nfs/dbraw/zinc/29/90/06/1084299006.db2.gz QNYZQJZFRAPFHY-HOCLYGCPSA-N 0 1 310.869 3.149 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1conc1C ZINC001268193301 1084303834 /nfs/dbraw/zinc/30/38/34/1084303834.db2.gz VLPGCCWZCDGWMW-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC001185064087 1084326628 /nfs/dbraw/zinc/32/66/28/1084326628.db2.gz LGHKTBIRQGMDDZ-CZUORRHYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001185064688 1084328475 /nfs/dbraw/zinc/32/84/75/1084328475.db2.gz VETAWKPIXPITLP-GOEBONIOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(CCCCC(F)(F)F)C1 ZINC001481638190 1084330541 /nfs/dbraw/zinc/33/05/41/1084330541.db2.gz HLSBIDHKDFPBSM-UHFFFAOYSA-N 0 1 320.399 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCc2ccc(C)cc2)C1 ZINC001185377664 1084425977 /nfs/dbraw/zinc/42/59/77/1084425977.db2.gz HTJASFCCWKSMDM-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCC[C@@H]1CNCc1nc(C)c(C)o1 ZINC001268274688 1084439279 /nfs/dbraw/zinc/43/92/79/1084439279.db2.gz COWNYJGOKFVMDT-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2occc2s1 ZINC001481677494 1084470172 /nfs/dbraw/zinc/47/01/72/1084470172.db2.gz GROCQNAQPSQMII-STQMWFEESA-N 0 1 316.426 3.359 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4c(c3)NCC4)sc2C1 ZINC001213146076 1084544556 /nfs/dbraw/zinc/54/45/56/1084544556.db2.gz ITABPMUCVBVOLF-UHFFFAOYSA-N 0 1 310.426 3.319 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)CCc1ccccc1 ZINC001186028876 1084574469 /nfs/dbraw/zinc/57/44/69/1084574469.db2.gz XKEWSSBXRDAPLI-AEFFLSMTSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001481772994 1084624577 /nfs/dbraw/zinc/62/45/77/1084624577.db2.gz NCYNHXWYBFWAJN-KBPBESRZSA-N 0 1 320.399 3.464 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@@H](CC)C(C)(C)C)C1 ZINC001481778235 1084630090 /nfs/dbraw/zinc/63/00/90/1084630090.db2.gz LXTMJQLJEULEOQ-SJORKVTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1nc(C)c(C)s1 ZINC001481818204 1084649278 /nfs/dbraw/zinc/64/92/78/1084649278.db2.gz SCDDTVXRWWOWMN-AWEZNQCLSA-N 0 1 309.479 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NCc1nc2ccccc2o1 ZINC001481842781 1084661477 /nfs/dbraw/zinc/66/14/77/1084661477.db2.gz XBMGWDWVWJJIDV-ZDUSSCGKSA-N 0 1 315.417 3.024 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1cc2ccccc2o1 ZINC001268467405 1084667063 /nfs/dbraw/zinc/66/70/63/1084667063.db2.gz HCYMTROSLAYSQH-UHFFFAOYSA-N 0 1 320.820 3.166 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001268470368 1084676308 /nfs/dbraw/zinc/67/63/08/1084676308.db2.gz QTGRUFSZDGXXGM-INIZCTEOSA-N 0 1 314.473 3.410 20 30 DGEDMN C=C(Br)CN[C@@H](CC)CNC(=O)C(C)(CC)CC ZINC001481882827 1084683221 /nfs/dbraw/zinc/68/32/21/1084683221.db2.gz QWQXSMYKNBOTCE-LBPRGKRZSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CCCCN1CC(NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001268474696 1084686721 /nfs/dbraw/zinc/68/67/21/1084686721.db2.gz PQHQBNFWMFIKBH-KRWDZBQOSA-N 0 1 312.457 3.263 20 30 DGEDMN CCCC(=O)NC1(CNCc2cc(F)ccc2C#N)CCCC1 ZINC001481886799 1084687771 /nfs/dbraw/zinc/68/77/71/1084687771.db2.gz ILYBWXYWHKEZSC-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001268496188 1084724240 /nfs/dbraw/zinc/72/42/40/1084724240.db2.gz REYSFAPOVGJSGG-LBPRGKRZSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001481969468 1084739550 /nfs/dbraw/zinc/73/95/50/1084739550.db2.gz IPEWODNGGLAQJI-CVEARBPZSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001481969470 1084739681 /nfs/dbraw/zinc/73/96/81/1084739681.db2.gz IPEWODNGGLAQJI-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@H]2CC2(C)C)cc1 ZINC001482030857 1084779523 /nfs/dbraw/zinc/77/95/23/1084779523.db2.gz WQXDFFOYULJBMZ-OAHLLOKOSA-N 0 1 306.837 3.191 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1ccc(C)cc1Cl ZINC001268533740 1084789924 /nfs/dbraw/zinc/78/99/24/1084789924.db2.gz QUGUDUMBPJSZAW-VOTSOKGWSA-N 0 1 318.848 3.214 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)C2CC2)C1 ZINC001087460802 1084819198 /nfs/dbraw/zinc/81/91/98/1084819198.db2.gz CJCKGOQNLYLUCH-QZTJIDSGSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)CNCc1cc(C(C)(C)C)on1 ZINC001482105341 1084838747 /nfs/dbraw/zinc/83/87/47/1084838747.db2.gz HJZPUBHIBDWZSV-CQSZACIVSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCc1ccc(Cl)s1 ZINC001268675010 1084936367 /nfs/dbraw/zinc/93/63/67/1084936367.db2.gz WCAASNLROUZJBI-SNVBAGLBSA-N 0 1 321.273 3.181 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001268712940 1084968424 /nfs/dbraw/zinc/96/84/24/1084968424.db2.gz IQBHOZVDQFKJRW-ORVZXPRGSA-N 0 1 310.869 3.050 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(CCCC)cc1 ZINC001268738172 1085002714 /nfs/dbraw/zinc/00/27/14/1085002714.db2.gz PHGOFBXAGMZUBG-MRXNPFEDSA-N 0 1 300.446 3.103 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](C)CCCc1ccccc1 ZINC001268759611 1085036277 /nfs/dbraw/zinc/03/62/77/1085036277.db2.gz MSBUYJARZCMIGX-QZTJIDSGSA-N 0 1 314.473 3.105 20 30 DGEDMN C#CCCCCC(=O)NCC1CN([C@@H](C)c2cccc(F)c2)C1 ZINC001268822797 1085063349 /nfs/dbraw/zinc/06/33/49/1085063349.db2.gz KZJWPWMXLSJHMZ-HNNXBMFYSA-N 0 1 316.420 3.128 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN([C@H]2CCc3c2cccc3F)C1 ZINC001268863057 1085071011 /nfs/dbraw/zinc/07/10/11/1085071011.db2.gz SMWCNLOAHUSLHK-KRWDZBQOSA-N 0 1 316.420 3.073 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC[C@H](C)CC)CC1(C)C ZINC001284332766 1085074929 /nfs/dbraw/zinc/07/49/29/1085074929.db2.gz PSPNRDODJORLGB-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001268917517 1085078948 /nfs/dbraw/zinc/07/89/48/1085078948.db2.gz WQOYFFDAMGSNMF-KRWDZBQOSA-N 0 1 314.473 3.270 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCc1ccccc1CC ZINC001269239866 1085228448 /nfs/dbraw/zinc/22/84/48/1085228448.db2.gz FCWAGSHAVKQSIW-IBGZPJMESA-N 0 1 314.473 3.338 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1CCc1cccs1 ZINC001269240327 1085231436 /nfs/dbraw/zinc/23/14/36/1085231436.db2.gz JKHJRRBKNBTDST-CQSZACIVSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001269287736 1085267669 /nfs/dbraw/zinc/26/76/69/1085267669.db2.gz WPDBJAGXBWHZCL-CJNGLKHVSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001269288018 1085269877 /nfs/dbraw/zinc/26/98/77/1085269877.db2.gz YSTBOWATTPYOLE-IEBWSBKVSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](CC)CC(F)(F)F ZINC001269491392 1085419782 /nfs/dbraw/zinc/41/97/82/1085419782.db2.gz DBBFSGUEROCMCF-GHMZBOCLSA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C)(C)c2ccc(F)cc2)CC1 ZINC001269522503 1085444372 /nfs/dbraw/zinc/44/43/72/1085444372.db2.gz ONKSEUZXZGVAFK-UHFFFAOYSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C1CN(C[C@H](C)CC)C1)c1ccccc1 ZINC001269893399 1085600756 /nfs/dbraw/zinc/60/07/56/1085600756.db2.gz QDUSRFXHDCHEDJ-CRAIPNDOSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001269991500 1085643886 /nfs/dbraw/zinc/64/38/86/1085643886.db2.gz MYOVFKZKVQGWGQ-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001270112601 1085697026 /nfs/dbraw/zinc/69/70/26/1085697026.db2.gz HQHFYNVKNURKTM-HUUCEWRRSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001270137996 1085707145 /nfs/dbraw/zinc/70/71/45/1085707145.db2.gz LNKBWQSRHCRKGV-GJZGRUSLSA-N 0 1 316.848 3.095 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2scnc2C)CC1 ZINC001270249629 1085747345 /nfs/dbraw/zinc/74/73/45/1085747345.db2.gz VEDDRZZTOLJFAS-UHFFFAOYSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1ccc(C)o1 ZINC001270275999 1085757890 /nfs/dbraw/zinc/75/78/90/1085757890.db2.gz QCOFQEJKCUAIMR-UONOGXRCSA-N 0 1 310.825 3.025 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)n1cccc1)C1CCCC1 ZINC001270396305 1085798119 /nfs/dbraw/zinc/79/81/19/1085798119.db2.gz ICIHITBSTWQVGG-GOEBONIOSA-N 0 1 323.868 3.066 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C1CCCC1 ZINC001270443991 1085815708 /nfs/dbraw/zinc/81/57/08/1085815708.db2.gz XBEGLFWKUMFQGQ-XHSDSOJGSA-N 0 1 310.869 3.050 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@H](C)c2cccc(F)c2)C1 ZINC001270576851 1085867189 /nfs/dbraw/zinc/86/71/89/1085867189.db2.gz MVGRPYKPTAREBX-DNVCBOLYSA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001270625553 1085896846 /nfs/dbraw/zinc/89/68/46/1085896846.db2.gz YGXANWYBWQNHDJ-FQEVSTJZSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001482327977 1086011317 /nfs/dbraw/zinc/01/13/17/1086011317.db2.gz QFILYZITXMGCEJ-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2nc(C)sc2C)C1 ZINC001482329962 1086018541 /nfs/dbraw/zinc/01/85/41/1086018541.db2.gz ORQJDGLEKQXLLT-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)c1cccs1 ZINC001482330641 1086021145 /nfs/dbraw/zinc/02/11/45/1086021145.db2.gz LLQDHYTUPRXZNL-RYUDHWBXSA-N 0 1 300.855 3.041 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](CCNC/C(Cl)=C/Cl)C1 ZINC001501612517 1086127339 /nfs/dbraw/zinc/12/73/39/1086127339.db2.gz RWXBRINMNWTZPK-ODUNQGDFSA-N 0 1 319.276 3.490 20 30 DGEDMN C#CC[N@H+]1CC2(CCC2)[C@H]1C1CCN(C(=O)/C(C)=C\CC)CC1 ZINC001105389309 1086129600 /nfs/dbraw/zinc/12/96/00/1086129600.db2.gz NCGQSTRDPMIPBF-WWQCUGACSA-N 0 1 314.473 3.069 20 30 DGEDMN C=CCCC(=O)N1CCC([C@@H]2N(CCF)CC23CCC3)CC1 ZINC001105389338 1086132500 /nfs/dbraw/zinc/13/25/00/1086132500.db2.gz NREKWHXXBSMABP-KRWDZBQOSA-N 0 1 308.441 3.015 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1C[C@H]1C1CC1)C1CCCCC1 ZINC001482409660 1086136807 /nfs/dbraw/zinc/13/68/07/1086136807.db2.gz APGQJFJVFNKQHC-BBWFWOEESA-N 0 1 324.896 3.440 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)[C@@H](C)CC ZINC001280333123 1086214028 /nfs/dbraw/zinc/21/40/28/1086214028.db2.gz GQDUENYVGVXYQM-IRXDYDNUSA-N 0 1 314.473 3.440 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C(C)(C)C2CCCC2)C1 ZINC001270966594 1086223563 /nfs/dbraw/zinc/22/35/63/1086223563.db2.gz ZGEJETNLTRZAMG-LJQANCHMSA-N 0 1 304.478 3.054 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CC/C=C\c2ccccc2)C1 ZINC001270991919 1086234627 /nfs/dbraw/zinc/23/46/27/1086234627.db2.gz QWPLWFLHYJXJLI-YVRYJGMNSA-N 0 1 324.468 3.332 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)[C@H]1CC ZINC001087737094 1086384756 /nfs/dbraw/zinc/38/47/56/1086384756.db2.gz CYJYGFSPVFWVGT-LUKYLMHMSA-N 0 1 304.478 3.358 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2[C@H]3CCCCCC[C@H]23)[C@H]1CC ZINC001087739143 1086386992 /nfs/dbraw/zinc/38/69/92/1086386992.db2.gz ICOOIMWMTMFVKO-XLAORIBOSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2coc(C3CCCC3)n2)[C@H]1CC ZINC001087779137 1086405977 /nfs/dbraw/zinc/40/59/77/1086405977.db2.gz MGKIHFOSPNSCNO-GOEBONIOSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2coc(C3CCC3)n2)[C@H]1CC ZINC001087788807 1086409189 /nfs/dbraw/zinc/40/91/89/1086409189.db2.gz JKMWAJIXLUWDNB-GOEBONIOSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc3ccsc32)[C@H]1CC ZINC001087784912 1086409942 /nfs/dbraw/zinc/40/99/42/1086409942.db2.gz DRZLNUMVHDXGPF-JKSUJKDBSA-N 0 1 312.438 3.117 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(C3CCCCC3)CC2)[C@H]1CC ZINC001087811160 1086418730 /nfs/dbraw/zinc/41/87/30/1086418730.db2.gz FEPNRELUARKDKE-ZWKOTPCHSA-N 0 1 316.489 3.339 20 30 DGEDMN C=CCCC1(C(=O)N2C[C@H]3C[N@H+](C[C@H](F)CC)C[C@@]3(C)C2)CC1 ZINC001101354698 1086439819 /nfs/dbraw/zinc/43/98/19/1086439819.db2.gz BLCFVYKSHXBLTD-NUJGCVRESA-N 0 1 322.468 3.261 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@H]1CC ZINC001087844889 1086442000 /nfs/dbraw/zinc/44/20/00/1086442000.db2.gz VNZJNFDSNBIXLO-RBUKOAKNSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@H]2Cc3c2cccc3Cl)[C@H]1CC ZINC001087845213 1086443045 /nfs/dbraw/zinc/44/30/45/1086443045.db2.gz MQRBBKHWQHYEAX-BHYGNILZSA-N 0 1 318.848 3.135 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001126566652 1086524211 /nfs/dbraw/zinc/52/42/11/1086524211.db2.gz RYZVWJLNJRGZHC-HNNXBMFYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccoc1C ZINC001482480880 1086536013 /nfs/dbraw/zinc/53/60/13/1086536013.db2.gz HKMLFZNXAAGZRA-IAQYHMDHSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1CC2(CCC2)C1 ZINC001271286117 1086563987 /nfs/dbraw/zinc/56/39/87/1086563987.db2.gz QCJIOEYEJBEGLT-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C1CCC(C(=O)N2C[C@H]3CCN(C/C=C\Cl)C[C@H]3C2)CC1 ZINC001088092963 1086597140 /nfs/dbraw/zinc/59/71/40/1086597140.db2.gz DLARXJPIFZWZSZ-WZNCAGLMSA-N 0 1 322.880 3.266 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C/C=C(\C)C=C)c1ccccc1CC ZINC001271445744 1086634297 /nfs/dbraw/zinc/63/42/97/1086634297.db2.gz IOYVHYOBEFXMMW-BAILOTOSSA-N 0 1 310.441 3.152 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CCNCc1nc(C)c(C)o1 ZINC001271493924 1086668626 /nfs/dbraw/zinc/66/86/26/1086668626.db2.gz DYLANQITYCKCIG-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@@H](C)NCc2coc(C)n2)C1 ZINC001271635477 1086693094 /nfs/dbraw/zinc/69/30/94/1086693094.db2.gz KNKGYQWJPODEPM-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(C)c(Br)cc1F ZINC001227825631 1086723281 /nfs/dbraw/zinc/72/32/81/1086723281.db2.gz NEDFTSYRFIDSFN-ZETCQYMHSA-N 0 1 315.138 3.495 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C=C(CC)CC)cccc2C1 ZINC001280425205 1086777663 /nfs/dbraw/zinc/77/76/63/1086777663.db2.gz ZUCCDQHSBKJPHH-UHFFFAOYSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1oc(C)nc1C)C(C)C ZINC001271907394 1086826913 /nfs/dbraw/zinc/82/69/13/1086826913.db2.gz DNUIZCDVANFGHK-OAHLLOKOSA-N 0 1 321.465 3.124 20 30 DGEDMN Cc1ccccc1C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001271958792 1086874272 /nfs/dbraw/zinc/87/42/72/1086874272.db2.gz UDBIHLVSOHBRTP-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)CC(C)C ZINC001272042346 1087023224 /nfs/dbraw/zinc/02/32/24/1087023224.db2.gz DCMXNPMAQJJLMA-DLBZAZTESA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CC)CCCCC ZINC001272043502 1087023995 /nfs/dbraw/zinc/02/39/95/1087023995.db2.gz MTPJTHAAYQQWGB-RCCFBDPRSA-N 0 1 304.478 3.291 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@]1(C)CC=CCC1 ZINC001482929232 1087190253 /nfs/dbraw/zinc/19/02/53/1087190253.db2.gz UMEZCHMWZNIFEC-OAQYLSRUSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2ccc(F)cc2F)C1 ZINC001482946382 1087197028 /nfs/dbraw/zinc/19/70/28/1087197028.db2.gz AJJJOAJZWNTMAE-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C[C@H](NC/C=C/CNC(=O)C#CC1CC1)c1ccccc1Cl ZINC001483008312 1087233532 /nfs/dbraw/zinc/23/35/32/1087233532.db2.gz UYCRNJWWJGZXMH-NNTXTVRGSA-N 0 1 316.832 3.076 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2C(C)(C)C)[C@H]1C ZINC001088647200 1087243748 /nfs/dbraw/zinc/24/37/48/1087243748.db2.gz DEYWGHFAJOEZBW-PBHICJAKSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(C)(C)CC)CC[C@H]21 ZINC001272208947 1087301115 /nfs/dbraw/zinc/30/11/15/1087301115.db2.gz ZHXJEWFQRDHYLV-HUUCEWRRSA-N 0 1 312.885 3.488 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2cc3ccccc3s2)[C@H]1C ZINC001088713276 1087314163 /nfs/dbraw/zinc/31/41/63/1087314163.db2.gz FWLUFGAGSMVJLU-HIFRSBDPSA-N 0 1 312.438 3.117 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)[C@H]1C ZINC001088724545 1087324726 /nfs/dbraw/zinc/32/47/26/1087324726.db2.gz KWOLYRSJOXTHNC-QAPCUYQASA-N 0 1 322.408 3.163 20 30 DGEDMN CCC(CC)CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001483078274 1087333176 /nfs/dbraw/zinc/33/31/76/1087333176.db2.gz ZBRMOFGAZYQUGY-HJWRWDBZSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)c1ccccc1C ZINC001483094755 1087339914 /nfs/dbraw/zinc/33/99/14/1087339914.db2.gz FCSIAYBDQSZJAW-IDTUSYRASA-N 0 1 306.837 3.113 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c[nH]c2ccc(C)cc12 ZINC001483098115 1087341307 /nfs/dbraw/zinc/34/13/07/1087341307.db2.gz HSMFZTKLYYHNLH-ARJAWSKDSA-N 0 1 317.820 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c(C)occ1C(F)(F)F ZINC001483150647 1087405432 /nfs/dbraw/zinc/40/54/32/1087405432.db2.gz SAAMGKIFHNBMFJ-MRVPVSSYSA-N 0 1 324.730 3.067 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)C[C@@H](CC)C(C)C ZINC001483186012 1087413241 /nfs/dbraw/zinc/41/32/41/1087413241.db2.gz JBPGNCUJOJHEJD-CHWSQXEVSA-N 0 1 319.287 3.062 20 30 DGEDMN CCCC[C@@H](C)C(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001483223939 1087429113 /nfs/dbraw/zinc/42/91/13/1087429113.db2.gz BCYDAQZDVAHLBG-KGLIPLIRSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001483489821 1087514181 /nfs/dbraw/zinc/51/41/81/1087514181.db2.gz NJVSSHYGWLTCCT-YOEHRIQHSA-N 0 1 307.438 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(Cc3cccc(F)c3)[C@@H]2C)C1 ZINC001088840603 1087554656 /nfs/dbraw/zinc/55/46/56/1087554656.db2.gz PAINXRJZLPQXED-PBHICJAKSA-N 0 1 316.420 3.261 20 30 DGEDMN Cc1ccc2[nH]c(NCc3cc(C#N)ccc3N(C)C)nc2c1 ZINC001203478656 1087573946 /nfs/dbraw/zinc/57/39/46/1087573946.db2.gz GSUUKIZJCSWUHV-UHFFFAOYSA-N 0 1 305.385 3.421 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)=C1CCC1 ZINC001099064951 1087609363 /nfs/dbraw/zinc/60/93/63/1087609363.db2.gz KWDDLCWAYHQRLS-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)[C@H]1C ZINC001088967167 1087611719 /nfs/dbraw/zinc/61/17/19/1087611719.db2.gz VTQFPHRMBTYBEO-TUAOUCFPSA-N 0 1 312.866 3.270 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(c3ccccc3C)CCC2)[C@H]1C ZINC001089008567 1087621320 /nfs/dbraw/zinc/62/13/20/1087621320.db2.gz RDLQEHOAXTZGGA-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)[C@H]1C ZINC001089063448 1087629501 /nfs/dbraw/zinc/62/95/01/1087629501.db2.gz DBOLADRBFNNXCX-FCEWJHQRSA-N 0 1 312.457 3.416 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc([C@@H](C)CC)cc2)[C@H]1C ZINC001089063448 1087629502 /nfs/dbraw/zinc/62/95/02/1087629502.db2.gz DBOLADRBFNNXCX-FCEWJHQRSA-N 0 1 312.457 3.416 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCCCc3ccccc32)[C@H]1C ZINC001089063765 1087629877 /nfs/dbraw/zinc/62/98/77/1087629877.db2.gz RMBHNCUXRXBKRZ-LZQZEXGQSA-N 0 1 312.457 3.262 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CCNC/C(Cl)=C/Cl)C1 ZINC001272375507 1087686397 /nfs/dbraw/zinc/68/63/97/1087686397.db2.gz FSJANUWWQBYVMI-FNWMBBJUSA-N 0 1 305.249 3.100 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001089278382 1087713298 /nfs/dbraw/zinc/71/32/98/1087713298.db2.gz FLGTUFRXJKMKDI-QGZVFWFLSA-N 0 1 321.490 3.128 20 30 DGEDMN COc1ccc(SCC(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000176392021 1087720722 /nfs/dbraw/zinc/72/07/22/1087720722.db2.gz HVXFGBCPTXOODS-UHFFFAOYSA-N 0 1 314.366 3.003 20 30 DGEDMN COc1ccccc1[C@@H](C)CC(=O)Nc1cc(C#N)ccc1O ZINC000176393092 1087722514 /nfs/dbraw/zinc/72/25/14/1087722514.db2.gz ABLTYMXMGBKHMS-LBPRGKRZSA-N 0 1 310.353 3.405 20 30 DGEDMN Cc1ccc2c(CC(=O)Nc3cc(C#N)ccc3O)c[nH]c2c1 ZINC000176406462 1087727359 /nfs/dbraw/zinc/72/73/59/1087727359.db2.gz BCUDGHKRGWTJBO-UHFFFAOYSA-N 0 1 305.337 3.235 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2ccncc2)CC(C)(C)C1 ZINC001089300457 1087766648 /nfs/dbraw/zinc/76/66/48/1087766648.db2.gz FULKFFMEIPRAFH-OAHLLOKOSA-N 0 1 321.852 3.055 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2ccco2)CC(C)(C)C1 ZINC001089300299 1087769259 /nfs/dbraw/zinc/76/92/59/1087769259.db2.gz CMXISWMPQRHPAR-ZDUSSCGKSA-N 0 1 310.825 3.253 20 30 DGEDMN CC[N@@H+](Cc1cccc(C#N)c1)[C@H](C)CNC(=O)CCC(C)C ZINC001151767113 1087772355 /nfs/dbraw/zinc/77/23/55/1087772355.db2.gz WEARDSLEFMYTJF-MRXNPFEDSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001483737972 1087792589 /nfs/dbraw/zinc/79/25/89/1087792589.db2.gz QNLAHVDCIOKSPW-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CN(CC)C(=O)c1cc(Br)cc2n[nH]cc21 ZINC001151881744 1087805942 /nfs/dbraw/zinc/80/59/42/1087805942.db2.gz COONCAMUROBGDR-UHFFFAOYSA-N 0 1 322.206 3.364 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(CCC)cc1 ZINC001158411703 1087826084 /nfs/dbraw/zinc/82/60/84/1087826084.db2.gz GYBCHPVZBYEINR-LJQANCHMSA-N 0 1 312.457 3.199 20 30 DGEDMN Cc1cccc2nc(C(=O)Nc3ccc(C(C)(C)C#N)nc3)[nH]c21 ZINC001151983158 1087855346 /nfs/dbraw/zinc/85/53/46/1087855346.db2.gz XKXXKJUAORGNBU-UHFFFAOYSA-N 0 1 319.368 3.320 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ncccc2C)CCCCC1 ZINC001152011175 1087870581 /nfs/dbraw/zinc/87/05/81/1087870581.db2.gz ZKOUSWXRVSDVSI-UHFFFAOYSA-N 0 1 315.461 3.122 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC1CCCCCC1 ZINC001158522433 1087891538 /nfs/dbraw/zinc/89/15/38/1087891538.db2.gz RLIRSROYOPVABQ-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001158529876 1087899042 /nfs/dbraw/zinc/89/90/42/1087899042.db2.gz RIUJIKRNVIDDBL-YRXWBPOGSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@H](C)CC(C)(C)C ZINC001158559576 1087911893 /nfs/dbraw/zinc/91/18/93/1087911893.db2.gz GLNSPCCXFIZGGX-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)CC(C)(C)C ZINC001158559576 1087911904 /nfs/dbraw/zinc/91/19/04/1087911904.db2.gz GLNSPCCXFIZGGX-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN CCCc1cc(C#N)c(N2CCN(CC)CC2(C)C)nc1Cl ZINC001158561936 1087913251 /nfs/dbraw/zinc/91/32/51/1087913251.db2.gz TXWOWRPBLNOZMY-UHFFFAOYSA-N 0 1 320.868 3.480 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](CC)C(C)(C)C ZINC001158739673 1088002707 /nfs/dbraw/zinc/00/27/07/1088002707.db2.gz OWIOOYGPGCRUDU-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCCNCc1nc(C)sc1C ZINC001158746901 1088005671 /nfs/dbraw/zinc/00/56/71/1088005671.db2.gz LJPKXRMLVUXBEG-UHFFFAOYSA-N 0 1 321.490 3.124 20 30 DGEDMN C=C(C)CCNC(=O)c1n[nH]c2ccc(Br)cc21 ZINC001158796756 1088031352 /nfs/dbraw/zinc/03/13/52/1088031352.db2.gz RXMBZKJVGBNBAB-UHFFFAOYSA-N 0 1 308.179 3.021 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C)c1ccccc1 ZINC001158825051 1088051927 /nfs/dbraw/zinc/05/19/27/1088051927.db2.gz KGVUEVJVRNMZJK-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN COc1ccnc(N2CCC(C#N)(c3ccccc3F)CC2)c1 ZINC001158887541 1088082767 /nfs/dbraw/zinc/08/27/67/1088082767.db2.gz BVGCJFZXSCMQCV-UHFFFAOYSA-N 0 1 311.360 3.291 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1c[nH]c2ccc(C)cc21 ZINC001158927909 1088106380 /nfs/dbraw/zinc/10/63/80/1088106380.db2.gz ICYDJGFICOTGAN-MRXNPFEDSA-N 0 1 323.440 3.036 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1ccccc1Cl ZINC001153025419 1088145129 /nfs/dbraw/zinc/14/51/29/1088145129.db2.gz VRQHEHAUFAXVFB-OAHLLOKOSA-N 0 1 320.864 3.470 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)N(CC)Cc1ccccc1Cl ZINC001153025419 1088145135 /nfs/dbraw/zinc/14/51/35/1088145135.db2.gz VRQHEHAUFAXVFB-OAHLLOKOSA-N 0 1 320.864 3.470 20 30 DGEDMN N#Cc1sccc1NC(=O)c1cnc2[nH]ccc(Cl)c1-2 ZINC001153094892 1088165272 /nfs/dbraw/zinc/16/52/72/1088165272.db2.gz GVJNBGBNXYRBLT-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN CCN(Cc1ccc(Cl)s1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153233048 1088192855 /nfs/dbraw/zinc/19/28/55/1088192855.db2.gz URWUGFGBBUTUAP-GFCCVEGCSA-N 0 1 324.877 3.142 20 30 DGEDMN N#Cc1cscc1C(=O)Nc1cccc2c1C[N@H+](C1CC1)C2 ZINC001153340494 1088221815 /nfs/dbraw/zinc/22/18/15/1088221815.db2.gz QDFGUWWGRPOZFZ-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN N#Cc1cscc1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC001153340494 1088221830 /nfs/dbraw/zinc/22/18/30/1088221830.db2.gz QDFGUWWGRPOZFZ-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)N(CC)C[C@@H](F)CC ZINC001153588689 1088294712 /nfs/dbraw/zinc/29/47/12/1088294712.db2.gz ZHKNTWNFDUIGBJ-YPMHNXCESA-N 0 1 306.853 3.340 20 30 DGEDMN N#Cc1cc(Nc2cc(Br)cc(Cl)c2O)ccn1 ZINC001206649366 1088330219 /nfs/dbraw/zinc/33/02/19/1088330219.db2.gz WTUPGJRQERYOIP-UHFFFAOYSA-N 0 1 324.565 3.240 20 30 DGEDMN C=CCC[C@@H](C(=O)NCCNCc1ccccn1)c1ccccc1 ZINC001153818101 1088370340 /nfs/dbraw/zinc/37/03/40/1088370340.db2.gz WSTCHVDCZJAWCG-LJQANCHMSA-N 0 1 323.440 3.037 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN([C@@H](C)Cc2cccc(F)c2)C1 ZINC001168501206 1088387581 /nfs/dbraw/zinc/38/75/81/1088387581.db2.gz MSAFXPFXRRIPNT-WMLDXEAASA-N 0 1 320.408 3.133 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2scnc2C)CC1 ZINC001159544278 1088389073 /nfs/dbraw/zinc/38/90/73/1088389073.db2.gz ODRQENKBCPDIAR-UHFFFAOYSA-N 0 1 319.474 3.056 20 30 DGEDMN Cc1cc(CCC(=O)N[C@@H](CCCC#N)c2ccccc2)n[nH]1 ZINC001159626725 1088426025 /nfs/dbraw/zinc/42/60/25/1088426025.db2.gz DJHZJECKHONUMD-KRWDZBQOSA-N 0 1 310.401 3.202 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@@H]2CC2(F)F)CC(C)(C)C1 ZINC001089381764 1088554376 /nfs/dbraw/zinc/55/43/76/1088554376.db2.gz NMKFHSYLJLEWGB-NEPJUHHUSA-N 0 1 320.811 3.001 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@H](C)C(C)(C)C ZINC001099321304 1088570701 /nfs/dbraw/zinc/57/07/01/1088570701.db2.gz UCMYCDPJMMTYHZ-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCCCNC(=O)c1cccc2nc(-c3ccccc3)[nH]c21 ZINC001154490274 1088626937 /nfs/dbraw/zinc/62/69/37/1088626937.db2.gz VZUYQJSGHZTQRY-UHFFFAOYSA-N 0 1 303.365 3.373 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC001154584442 1088660378 /nfs/dbraw/zinc/66/03/78/1088660378.db2.gz HLEARTVGVVFDTF-CQSZACIVSA-N 0 1 321.440 3.150 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H]2CC23CC3)CC(C)(C)C1 ZINC001089502145 1088697894 /nfs/dbraw/zinc/69/78/94/1088697894.db2.gz NEAUZXPWJXSEHK-UONOGXRCSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)CC1 ZINC001483770967 1088728612 /nfs/dbraw/zinc/72/86/12/1088728612.db2.gz LJWZQLLIXFOCOW-FICVDOATSA-N 0 1 310.869 3.194 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N(CC)Cc1ccccc1F ZINC001154720264 1088729808 /nfs/dbraw/zinc/72/98/08/1088729808.db2.gz WFWTZMITTUMYEL-MRXNPFEDSA-N 0 1 318.436 3.346 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001154742417 1088737115 /nfs/dbraw/zinc/73/71/15/1088737115.db2.gz GIFKUOOAQOAENO-IAGOWNOFSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC001154751065 1088745403 /nfs/dbraw/zinc/74/54/03/1088745403.db2.gz LMUYDRILSCHSMQ-HUUCEWRRSA-N 0 1 321.440 3.150 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccccc1C ZINC001089602974 1088801029 /nfs/dbraw/zinc/80/10/29/1088801029.db2.gz GPALZPKHSVJJCZ-QGZVFWFLSA-N 0 1 312.457 3.239 20 30 DGEDMN C=C(C)C[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)o1 ZINC001089605937 1088809940 /nfs/dbraw/zinc/80/99/40/1088809940.db2.gz RHYSZFDXEZNXDB-OAHLLOKOSA-N 0 1 304.434 3.385 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1occc1C(C)C ZINC001272675873 1088826018 /nfs/dbraw/zinc/82/60/18/1088826018.db2.gz USWYMPIOHFEQFF-UHFFFAOYSA-N 0 1 324.424 3.398 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1oc(C)nc1C ZINC001089621105 1088835486 /nfs/dbraw/zinc/83/54/86/1088835486.db2.gz NNHZOBZCHMXBSM-HNNXBMFYSA-N 0 1 319.449 3.088 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccoc1CC ZINC001089635311 1088862029 /nfs/dbraw/zinc/86/20/29/1088862029.db2.gz OQWMNZSJHKNAKG-HNNXBMFYSA-N 0 1 316.445 3.086 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc2occc2c1 ZINC001089641813 1088870633 /nfs/dbraw/zinc/87/06/33/1088870633.db2.gz UEUXJUWXDKSBFT-QGZVFWFLSA-N 0 1 324.424 3.286 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCCC2(CC2)C1 ZINC001089642018 1088873974 /nfs/dbraw/zinc/87/39/74/1088873974.db2.gz ZDDIEWYXPGOXFE-SJORKVTESA-N 0 1 316.489 3.197 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001155066374 1088927325 /nfs/dbraw/zinc/92/73/25/1088927325.db2.gz GZFMWRWOOCQDAV-BEFAXECRSA-N 0 1 317.477 3.319 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC=C(C)C ZINC001483826691 1088927730 /nfs/dbraw/zinc/92/77/30/1088927730.db2.gz RZQFJADMSGTOPI-HDICACEKSA-N 0 1 302.462 3.212 20 30 DGEDMN N#CC1(c2ccc(NCCN3CCC(F)(F)CC3)nc2)CCC1 ZINC001160748980 1088942887 /nfs/dbraw/zinc/94/28/87/1088942887.db2.gz GHONFRAXBBUYHA-UHFFFAOYSA-N 0 1 320.387 3.170 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)Cc2cccnc2C)C1 ZINC001155131952 1088965446 /nfs/dbraw/zinc/96/54/46/1088965446.db2.gz AWOBPILQIOLDOB-OAHLLOKOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)CCC(F)(F)F)C1 ZINC001155132252 1088966350 /nfs/dbraw/zinc/96/63/50/1088966350.db2.gz HRXUSRDLDIETHT-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CCc2ccc(C)cc2)C1 ZINC001483870474 1088969007 /nfs/dbraw/zinc/96/90/07/1088969007.db2.gz AXEFVUBWOXICED-QGZVFWFLSA-N 0 1 314.473 3.425 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2ccn(C(C)C)c2C)C1 ZINC001483877120 1088975839 /nfs/dbraw/zinc/97/58/39/1088975839.db2.gz CYVLBZNRLHRGMQ-UHFFFAOYSA-N 0 1 303.450 3.100 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC001483891565 1088993809 /nfs/dbraw/zinc/99/38/09/1088993809.db2.gz JXVWBKNGWFCQSB-ZDUSSCGKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)c2ccc(F)c(F)c2)C1 ZINC001483891564 1088995579 /nfs/dbraw/zinc/99/55/79/1088995579.db2.gz JXVWBKNGWFCQSB-CYBMUJFWSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160968388 1089046685 /nfs/dbraw/zinc/04/66/85/1089046685.db2.gz UOHPLTPTQCTQTE-RDJZCZTQSA-N 0 1 310.457 3.475 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001483984461 1089069257 /nfs/dbraw/zinc/06/92/57/1089069257.db2.gz NLOGNVULLPDGDR-VZNSEBSYSA-N 0 1 308.853 3.021 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C(C)C)on1 ZINC001089677208 1089120486 /nfs/dbraw/zinc/12/04/86/1089120486.db2.gz WNUJFBOGNJWDSX-AWEZNQCLSA-N 0 1 319.449 3.204 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001161117027 1089123493 /nfs/dbraw/zinc/12/34/93/1089123493.db2.gz YGMMAJDTEIRJGP-FUHWJXTLSA-N 0 1 310.457 3.475 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)[C@H](c1ccccc1)C(C)C ZINC001155484464 1089131181 /nfs/dbraw/zinc/13/11/81/1089131181.db2.gz HOTXFGQBVGGETL-MJGOQNOKSA-N 0 1 314.473 3.276 20 30 DGEDMN COc1cccnc1CN1CCC(c2ccc(C#N)cc2)CC1 ZINC001206656276 1089181455 /nfs/dbraw/zinc/18/14/55/1089181455.db2.gz JTIOYSNWZHTANN-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](C)CC(C)(C)C)CC1 ZINC001161301441 1089182473 /nfs/dbraw/zinc/18/24/73/1089182473.db2.gz QOVSXOIVZPWHNX-INIZCTEOSA-N 0 1 304.478 3.220 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC001089691114 1089183124 /nfs/dbraw/zinc/18/31/24/1089183124.db2.gz KRYIIBZXFXPXON-JKSUJKDBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)c1conc1CC ZINC001089691638 1089187891 /nfs/dbraw/zinc/18/78/91/1089187891.db2.gz UEBQQJZOLLLKNW-CQSZACIVSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc2cc[nH]c21 ZINC001089698798 1089232441 /nfs/dbraw/zinc/23/24/41/1089232441.db2.gz CMUMKEYWYNEJMC-INIZCTEOSA-N 0 1 323.440 3.022 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1cccc2cncn21 ZINC001155800390 1089250868 /nfs/dbraw/zinc/25/08/68/1089250868.db2.gz ZBAGVPVHAAFTPN-AWEZNQCLSA-N 0 1 321.380 3.390 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CCCN(Cc2cccnc2)C1 ZINC001484166560 1089258465 /nfs/dbraw/zinc/25/84/65/1089258465.db2.gz JSPCWJKROPECOA-IBGZPJMESA-N 0 1 315.461 3.012 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cccc(C)c2Cl)CC1 ZINC001161514379 1089269282 /nfs/dbraw/zinc/26/92/82/1089269282.db2.gz SBHVJWQJMWUPJK-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C(CC)(CC)CC)C1 ZINC001484196427 1089282460 /nfs/dbraw/zinc/28/24/60/1089282460.db2.gz UBMCAWWILKMWCU-AWEZNQCLSA-N 0 1 316.436 3.462 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc([C@H](C#N)c2ccccc2F)nn1 ZINC001155891276 1089289885 /nfs/dbraw/zinc/28/98/85/1089289885.db2.gz TXSWFQUDSQWMFD-RBJHHQRMSA-N 0 1 310.332 3.176 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(Cl)cc1 ZINC001089723457 1089299252 /nfs/dbraw/zinc/29/92/52/1089299252.db2.gz KCQZIIUGRUBCES-INIZCTEOSA-N 0 1 318.848 3.194 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(C)cc1 ZINC001089726778 1089304353 /nfs/dbraw/zinc/30/43/53/1089304353.db2.gz QTYOGFXAFVMUDI-GOSISDBHSA-N 0 1 312.457 3.239 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccccc1OC ZINC001089726321 1089304588 /nfs/dbraw/zinc/30/45/88/1089304588.db2.gz LDTBZDDYEOMAAC-HNNXBMFYSA-N 0 1 316.445 3.102 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)cc(C)c1 ZINC001089726525 1089305862 /nfs/dbraw/zinc/30/58/62/1089305862.db2.gz MZORNJFNHUJGDM-SFHVURJKSA-N 0 1 312.457 3.157 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(F)cc1F ZINC001089729779 1089307593 /nfs/dbraw/zinc/30/75/93/1089307593.db2.gz SIAHSFRSMJFXQD-CQSZACIVSA-N 0 1 322.399 3.371 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccc(C)cc1C ZINC001089731954 1089312337 /nfs/dbraw/zinc/31/23/37/1089312337.db2.gz ZDZKENISJWKVHO-KRWDZBQOSA-N 0 1 312.457 3.157 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)CCC(C)(C)C)CC1 ZINC001161698709 1089355494 /nfs/dbraw/zinc/35/54/94/1089355494.db2.gz AOQRZLVSVSAPJC-MRXNPFEDSA-N 0 1 304.478 3.220 20 30 DGEDMN CCC(=O)N(CCNCC#Cc1ccccc1)Cc1ccccc1 ZINC001484397786 1089396141 /nfs/dbraw/zinc/39/61/41/1089396141.db2.gz PRRCCYWDRRHBBF-UHFFFAOYSA-N 0 1 320.436 3.067 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CC[N@H+]2C/C=C\Cl ZINC001484465719 1089436429 /nfs/dbraw/zinc/43/64/29/1089436429.db2.gz TZDYAMHMHLSJHU-FVGUCBIESA-N 0 1 310.869 3.407 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C\Cl ZINC001484465719 1089436438 /nfs/dbraw/zinc/43/64/38/1089436438.db2.gz TZDYAMHMHLSJHU-FVGUCBIESA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)CCCCC)CC1 ZINC001161914666 1089467480 /nfs/dbraw/zinc/46/74/80/1089467480.db2.gz NJEGFDLXVHLAHE-UHFFFAOYSA-N 0 1 304.478 3.365 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2cc3cc(C)ccc3o2)CC1 ZINC001161946833 1089486657 /nfs/dbraw/zinc/48/66/57/1089486657.db2.gz HDONMJINSSSEIG-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001156409488 1089510396 /nfs/dbraw/zinc/51/03/96/1089510396.db2.gz NUVHYOHGMKLJBN-WBVHZDCISA-N 0 1 310.457 3.145 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2ccc(F)c(C)c2)C1=O ZINC001272685596 1089526664 /nfs/dbraw/zinc/52/66/64/1089526664.db2.gz CHHHGRBGUXTCDC-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN N#C[C@@H]1CCCCN1C(=O)c1[nH]nc2cc(C(F)(F)F)ccc21 ZINC001156497402 1089552216 /nfs/dbraw/zinc/55/22/16/1089552216.db2.gz HTJFDBXUZMXWAK-JTQLQIEISA-N 0 1 322.290 3.100 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001484647094 1089561650 /nfs/dbraw/zinc/56/16/50/1089561650.db2.gz DPLXTNSULYOQFN-GOSISDBHSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2c(C)noc2C)CCC1 ZINC001484649843 1089566601 /nfs/dbraw/zinc/56/66/01/1089566601.db2.gz MOJIXCNRMFLOJV-QGZVFWFLSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@H]2C2CCCC2)CCC1 ZINC001484655634 1089572328 /nfs/dbraw/zinc/57/23/28/1089572328.db2.gz GAJLIVXKDUVAIF-GJZGRUSLSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001484715514 1089605598 /nfs/dbraw/zinc/60/55/98/1089605598.db2.gz RAXNMQIFDDBBDI-FQEVSTJZSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2conc2CC)CC1 ZINC001484721869 1089632090 /nfs/dbraw/zinc/63/20/90/1089632090.db2.gz CJNUVCYKENLBJV-MRXNPFEDSA-N 0 1 319.449 3.064 20 30 DGEDMN CC(C)C(=O)N(C)CCCN(C)CC#Cc1cccc(Cl)c1 ZINC001484732557 1089642293 /nfs/dbraw/zinc/64/22/93/1089642293.db2.gz DSMJUDYECPOXJG-UHFFFAOYSA-N 0 1 320.864 3.128 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001484735234 1089645359 /nfs/dbraw/zinc/64/53/59/1089645359.db2.gz PVXCHKHUEGQWRG-SXGWCWSVSA-N 0 1 313.445 3.195 20 30 DGEDMN C[C@H](NC(=O)C(F)(F)F)c1ccc(NC2(C#N)CCC2)cc1 ZINC001162317700 1089669712 /nfs/dbraw/zinc/66/97/12/1089669712.db2.gz KFCDDQPMEDBPBJ-JTQLQIEISA-N 0 1 311.307 3.284 20 30 DGEDMN CN(C(=O)c1n[nH]c2cc(C#N)ccc21)[C@@H]1CCc2ccccc21 ZINC001156884059 1089730981 /nfs/dbraw/zinc/73/09/81/1089730981.db2.gz FVOKWQSJLUVUJT-QGZVFWFLSA-N 0 1 316.364 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(C)(NCc2cscn2)CC1 ZINC001484922432 1089753886 /nfs/dbraw/zinc/75/38/86/1089753886.db2.gz PXRQTIQHCXKWLO-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC[N@@H+]1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC001156939349 1089759091 /nfs/dbraw/zinc/75/90/91/1089759091.db2.gz ZHQLMVPSOOYRCY-OALUTQOASA-N 0 1 324.468 3.399 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CCN1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC001156939349 1089759107 /nfs/dbraw/zinc/75/91/07/1089759107.db2.gz ZHQLMVPSOOYRCY-OALUTQOASA-N 0 1 324.468 3.399 20 30 DGEDMN CCN(C/C=C/Cl)[C@H](C)CNC(=O)CC#Cc1ccccc1 ZINC001157074910 1089812529 /nfs/dbraw/zinc/81/25/29/1089812529.db2.gz JRXVXYOUYFDXRJ-WDBUWWRISA-N 0 1 318.848 3.007 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@@H]1C=CCC1 ZINC001485003767 1089840592 /nfs/dbraw/zinc/84/05/92/1089840592.db2.gz AXOYISCVCUPMKG-XLIONFOSSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCC[N@H+]1CCOC2(CCN(C(=O)CCCC(C)C)CC2)C1 ZINC001485009895 1089845558 /nfs/dbraw/zinc/84/55/58/1089845558.db2.gz MTXPDWVXQKMGQW-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCCCC(=O)N1CCCC[C@H]1CNC/C(Cl)=C\Cl ZINC001485036428 1089871350 /nfs/dbraw/zinc/87/13/50/1089871350.db2.gz BKYXZIWFDORDRY-UELRPHRMSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@H]1CC=CCC1 ZINC001485038911 1089875147 /nfs/dbraw/zinc/87/51/47/1089875147.db2.gz PKPBTJYIQWVCQK-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(CCC)c(C)s1 ZINC001485076067 1089924488 /nfs/dbraw/zinc/92/44/88/1089924488.db2.gz KIZKIAIZZNKQHX-INIZCTEOSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001485153358 1089989926 /nfs/dbraw/zinc/98/99/26/1089989926.db2.gz QQMFQIDFCOTWRU-NEPJUHHUSA-N 0 1 309.479 3.099 20 30 DGEDMN CC(C)=CC(=O)N1CCCC[C@@H]1CN(C)CC#Cc1ccccc1 ZINC001157493579 1089994978 /nfs/dbraw/zinc/99/49/78/1089994978.db2.gz LHXCWJMXAZODHS-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)C1(C)CCCC1 ZINC001485177751 1090004703 /nfs/dbraw/zinc/00/47/03/1090004703.db2.gz QVNRFMBNRQRKHO-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(C)CCC ZINC001485189054 1090020983 /nfs/dbraw/zinc/02/09/83/1090020983.db2.gz NAYJGADYLLKWBY-NWDGAFQWSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C/Cl)C1 ZINC001485191220 1090022024 /nfs/dbraw/zinc/02/20/24/1090022024.db2.gz UFLWGFGXKUUQGA-OECYYCGISA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C(C)(C)CC(F)(F)F ZINC001485212862 1090032914 /nfs/dbraw/zinc/03/29/14/1090032914.db2.gz XPSXFRFUPPTYOJ-NXEZZACHSA-N 0 1 314.779 3.200 20 30 DGEDMN Cc1c(=O)[nH]n(-c2ccccc2)c1Nc1ccc(CC#N)c(C)n1 ZINC001163115480 1090081879 /nfs/dbraw/zinc/08/18/79/1090081879.db2.gz DNDIZMJCNZCDPL-UHFFFAOYSA-N 0 1 319.368 3.399 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001485310197 1090095632 /nfs/dbraw/zinc/09/56/32/1090095632.db2.gz SONFEGIDLQAUJR-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=CCCCCC[N@@H+]1CCCC[C@]12CC(=O)N(CCCOC)C2 ZINC001272699166 1090096807 /nfs/dbraw/zinc/09/68/07/1090096807.db2.gz BSOLTGNOPZKHRU-LJQANCHMSA-N 0 1 322.493 3.226 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cscc1C ZINC001157768236 1090105159 /nfs/dbraw/zinc/10/51/59/1090105159.db2.gz CJXUQJMNBVNDRC-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)Cc2ccc(Cl)nc2)C1 ZINC001485348704 1090117810 /nfs/dbraw/zinc/11/78/10/1090117810.db2.gz FYHHPMZVWIGNAJ-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN(c1ncnc2[nH]cc(C(=O)OC)c21)C1CCCCC1 ZINC001157850592 1090131082 /nfs/dbraw/zinc/13/10/82/1090131082.db2.gz NIFSDJAPLPWHAH-UHFFFAOYSA-N 0 1 314.389 3.070 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001485359197 1090133035 /nfs/dbraw/zinc/13/30/35/1090133035.db2.gz WFELWDONPZFLMD-MJGOQNOKSA-N 0 1 314.473 3.024 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2nc(C)cs2)CCCCC1 ZINC001485447852 1090165910 /nfs/dbraw/zinc/16/59/10/1090165910.db2.gz UCFJBYZNDULOKQ-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1cc(C)sn1 ZINC001485463242 1090174117 /nfs/dbraw/zinc/17/41/17/1090174117.db2.gz KZLFHJCUQFTMQU-LBPRGKRZSA-N 0 1 315.870 3.082 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(CCc2ccccc2F)C1 ZINC001485505796 1090195661 /nfs/dbraw/zinc/19/56/61/1090195661.db2.gz HWPJFUWZTPMNSE-OAHLLOKOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(CCc2ccccc2F)C1 ZINC001485505794 1090195799 /nfs/dbraw/zinc/19/57/99/1090195799.db2.gz HWPJFUWZTPMNSE-HNNXBMFYSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1CCCCCCC1 ZINC001158206616 1090201722 /nfs/dbraw/zinc/20/17/22/1090201722.db2.gz BYZHKUNJWWDPRI-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(C)cc(Cl)c1 ZINC001158227709 1090205147 /nfs/dbraw/zinc/20/51/47/1090205147.db2.gz LMEMEDIPXYOPKU-QGZVFWFLSA-N 0 1 318.848 3.208 20 30 DGEDMN C=CCCC(=O)N1CC[C@]2(C1)CN(CC/C=C/CCC)CCO2 ZINC001485552998 1090208113 /nfs/dbraw/zinc/20/81/13/1090208113.db2.gz DJHHAGJORJWJHA-IHHMQQGQSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(CC)CCCCC3)[C@@H]2C1 ZINC001485628201 1090226824 /nfs/dbraw/zinc/22/68/24/1090226824.db2.gz RZXOSNWLMONIPX-PHZGNYQRSA-N 0 1 324.896 3.393 20 30 DGEDMN Cc1nc2cncc(NC(=N)c3ccc(C(F)(F)F)cc3)c2[nH]1 ZINC001163685385 1090232639 /nfs/dbraw/zinc/23/26/39/1090232639.db2.gz LKSDRVWAOPSYIV-UHFFFAOYSA-N 0 1 319.290 3.322 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](C)CCC=C(C)C)C2)C1 ZINC001485664115 1090233692 /nfs/dbraw/zinc/23/36/92/1090233692.db2.gz PJTCOGMBDKXFSE-SFHVURJKSA-N 0 1 316.489 3.317 20 30 DGEDMN CC(=O)CC(C)=Nc1cc(-c2nc(-c3ccco3)no2)ccn1 ZINC001163737702 1090245743 /nfs/dbraw/zinc/24/57/43/1090245743.db2.gz FUAMPIGTAOXVNK-NTMALXAHSA-N 0 1 310.313 3.296 20 30 DGEDMN CC(=O)CC(C)=Nc1c(Br)ncnc1C(F)(F)F ZINC001163739713 1090246564 /nfs/dbraw/zinc/24/65/64/1090246564.db2.gz XBDHHAXEMPDHSU-HYXAFXHYSA-N 0 1 324.100 3.163 20 30 DGEDMN C=CCCC(=O)NCCC1(CNC/C(Cl)=C\Cl)CC1 ZINC001163767825 1090254351 /nfs/dbraw/zinc/25/43/51/1090254351.db2.gz VDACOUGZHJNRSG-FMIVXFBMSA-N 0 1 305.249 3.148 20 30 DGEDMN N#Cc1cccc2c1CN(C(=O)c1cc(-c3cccs3)[nH]n1)C2 ZINC001164209358 1090372799 /nfs/dbraw/zinc/37/27/99/1090372799.db2.gz KBZHHYBIXIGJRQ-UHFFFAOYSA-N 0 1 320.377 3.166 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCNCc1c(F)cccc1F ZINC001164324637 1090400550 /nfs/dbraw/zinc/40/05/50/1090400550.db2.gz XYSNXVPMBCOSAP-CYBMUJFWSA-N 0 1 310.388 3.163 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1csc(CCC)n1 ZINC001164345951 1090407023 /nfs/dbraw/zinc/40/70/23/1090407023.db2.gz JDEBEPNSWFCJRA-CQSZACIVSA-N 0 1 323.506 3.294 20 30 DGEDMN N#CNC(=Nc1nc(-c2ccccc2)ccc1C#N)c1ccncc1 ZINC001164502687 1090433202 /nfs/dbraw/zinc/43/32/02/1090433202.db2.gz FMQLHQNLOFEBDJ-UHFFFAOYSA-N 0 1 324.347 3.164 20 30 DGEDMN C=CCOC[C@]12CCCO[C@H]1CC[N@H+](Cc1ccc(CC)o1)C2 ZINC001204391213 1090454956 /nfs/dbraw/zinc/45/49/56/1090454956.db2.gz PFODVYCSXGZGOY-RBUKOAKNSA-N 0 1 319.445 3.416 20 30 DGEDMN C=CCCC1(C(=O)NC[C@]23CCC[C@H]2[N@@H+](CCF)CC3)CC1 ZINC001107536186 1090456766 /nfs/dbraw/zinc/45/67/66/1090456766.db2.gz GTAQMKPUFCRMHU-CRAIPNDOSA-N 0 1 308.441 3.063 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C2CCCC2)C1 ZINC001107681273 1090484093 /nfs/dbraw/zinc/48/40/93/1090484093.db2.gz KWZXKDHUAKPCOE-LJQANCHMSA-N 0 1 324.509 3.354 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@@](C)(CNC(=O)CC2CCCCC2)C1 ZINC001107716138 1090492222 /nfs/dbraw/zinc/49/22/22/1090492222.db2.gz AXBMJNZHTHTIQE-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CC2CCCCC2)C1 ZINC001107716138 1090492226 /nfs/dbraw/zinc/49/22/26/1090492226.db2.gz AXBMJNZHTHTIQE-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)/C(C)=C\CC)C1 ZINC001107782991 1090502112 /nfs/dbraw/zinc/50/21/12/1090502112.db2.gz BYTAPZVXQVCJHN-XGHXRCDJSA-N 0 1 322.493 3.296 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)C=C2CCCCC2)C1 ZINC001107787467 1090503840 /nfs/dbraw/zinc/50/38/40/1090503840.db2.gz DPORVXLQJWYCLJ-IBGZPJMESA-N 0 1 320.477 3.050 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C2=CCCC2)C1 ZINC001107867634 1090521318 /nfs/dbraw/zinc/52/13/18/1090521318.db2.gz MAWSLOSDSBSKSG-LJQANCHMSA-N 0 1 322.493 3.274 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1ncc(C(C)(C)C)o1 ZINC001165934497 1090563300 /nfs/dbraw/zinc/56/33/00/1090563300.db2.gz VMDQSCAZVYOLOC-GOSISDBHSA-N 0 1 321.465 3.170 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@]1(C)CN(CCC(C)C)CCO1 ZINC001107966398 1090576571 /nfs/dbraw/zinc/57/65/71/1090576571.db2.gz GGRQSBLBPQQIHI-LJQANCHMSA-N 0 1 322.493 3.008 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1ccc(F)cc1Cl ZINC001128085152 1090645147 /nfs/dbraw/zinc/64/51/47/1090645147.db2.gz LEXWUEZTGCARCB-UHFFFAOYSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1cccc(Cl)c1F ZINC001128084801 1090645571 /nfs/dbraw/zinc/64/55/71/1090645571.db2.gz DDUMGKCGNTXMSU-UHFFFAOYSA-N 0 1 324.827 3.453 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCN[C@H](C)c1ccccc1F ZINC001128088382 1090647752 /nfs/dbraw/zinc/64/77/52/1090647752.db2.gz VRGITLIWSDPHSF-CQSZACIVSA-N 0 1 304.409 3.361 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(Cl)cc(C(F)(F)F)c1 ZINC000169164120 1090656635 /nfs/dbraw/zinc/65/66/35/1090656635.db2.gz CZKZVUNNGFWCST-LURJTMIESA-N 0 1 312.700 3.013 20 30 DGEDMN C=C(C)CN1C[C@]2(CC1=O)CCCCN2Cc1ccsc1 ZINC001272936903 1090705670 /nfs/dbraw/zinc/70/56/70/1090705670.db2.gz VIYCWJITXKUJCF-QGZVFWFLSA-N 0 1 304.459 3.281 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)C[C@H](C)CCC ZINC001485854010 1090709126 /nfs/dbraw/zinc/70/91/26/1090709126.db2.gz OVFDVENTUUOHKT-YPMHNXCESA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1cc(C2CC2)no1 ZINC001485928649 1090733515 /nfs/dbraw/zinc/73/35/15/1090733515.db2.gz XXIFRUMDHXJYOP-CYBMUJFWSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCCC[C@H](C)CC ZINC001128158323 1090741939 /nfs/dbraw/zinc/74/19/39/1090741939.db2.gz GSOGXVKSKWPZAW-GFCCVEGCSA-N 0 1 319.287 3.207 20 30 DGEDMN COc1ccc(CNCc2cc(O)cc(Cl)c2)cc1C#N ZINC001203485485 1090742030 /nfs/dbraw/zinc/74/20/30/1090742030.db2.gz LCBVLWBGRQFFDO-UHFFFAOYSA-N 0 1 302.761 3.216 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCc2ccccc2)[C@@H]1C ZINC001486181911 1090779545 /nfs/dbraw/zinc/77/95/45/1090779545.db2.gz VZVPHQGIFPDJGX-HKUYNNGSSA-N 0 1 312.457 3.002 20 30 DGEDMN N#C[C@H]1CN(Cc2cccc(Oc3ccccc3)c2)CCC1=O ZINC001203815598 1090811863 /nfs/dbraw/zinc/81/18/63/1090811863.db2.gz RGIHMEAIFCHDIG-INIZCTEOSA-N 0 1 306.365 3.393 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCC1(C2(O)CCC2)CC1 ZINC001203871293 1090824187 /nfs/dbraw/zinc/82/41/87/1090824187.db2.gz GIQPOAVJHGTQQF-UHFFFAOYSA-N 0 1 319.832 3.137 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ncccc1CC)C(C)(C)C ZINC001486405367 1090828563 /nfs/dbraw/zinc/82/85/63/1090828563.db2.gz WXTWCQKRRUKUHQ-CQSZACIVSA-N 0 1 323.868 3.131 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1cccnc1)C(C)(C)C ZINC001486419398 1090829439 /nfs/dbraw/zinc/82/94/39/1090829439.db2.gz AGGVLESNYRHAOY-HIFRSBDPSA-N 0 1 323.868 3.058 20 30 DGEDMN COC(CN(Cc1ccccc1)Cc1ccc(C#N)cc1)OC ZINC001203900031 1090832894 /nfs/dbraw/zinc/83/28/94/1090832894.db2.gz NHZAWMKIATZNGW-UHFFFAOYSA-N 0 1 310.397 3.179 20 30 DGEDMN CCOC(=O)C1(C(C)C)CCN(Cc2ccc(C#N)cc2)CC1 ZINC001203906681 1090835466 /nfs/dbraw/zinc/83/54/66/1090835466.db2.gz SWUHVCJLHNFQOS-UHFFFAOYSA-N 0 1 314.429 3.360 20 30 DGEDMN CN(C(=O)CC(C)(C)C)C1CC(NCc2ccccc2C#N)C1 ZINC001486455594 1090843973 /nfs/dbraw/zinc/84/39/73/1090843973.db2.gz RIRGCWTWSZGSCZ-UHFFFAOYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001494158824 1090846313 /nfs/dbraw/zinc/84/63/13/1090846313.db2.gz FEBPKSAWTCNBSG-GJZGRUSLSA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H]2CCCCC2(C)C)CC1 ZINC001486521896 1090867175 /nfs/dbraw/zinc/86/71/75/1090867175.db2.gz QBHYIDONIMWKJA-GOSISDBHSA-N 0 1 316.489 3.365 20 30 DGEDMN Cc1cc(CNCC2(C#N)CCOCC2)ccc1C(F)(F)F ZINC001204027921 1090874925 /nfs/dbraw/zinc/87/49/25/1090874925.db2.gz LJZINYUUTBJGQX-UHFFFAOYSA-N 0 1 312.335 3.424 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001128264956 1090927667 /nfs/dbraw/zinc/92/76/67/1090927667.db2.gz XDRJFTGUKZEXKO-LBPRGKRZSA-N 0 1 310.388 3.334 20 30 DGEDMN CC/C=C(/C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001273047845 1091016773 /nfs/dbraw/zinc/01/67/73/1091016773.db2.gz JMFCQGNMIMIISD-MFOYZWKCSA-N 0 1 310.441 3.023 20 30 DGEDMN CC[C@H](C)CC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001273068257 1091025300 /nfs/dbraw/zinc/02/53/00/1091025300.db2.gz GCDUQUAHICOSGO-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN Cc1cccc(Nc2ccc(C[NH+]3CCN(C)CC3)cc2)c1C#N ZINC001204746819 1091027916 /nfs/dbraw/zinc/02/79/16/1091027916.db2.gz FBHRXDWZDBZGMF-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)CC2(C)CC2)C1 ZINC001108081075 1091031200 /nfs/dbraw/zinc/03/12/00/1091031200.db2.gz SUERSXPLQBDQNQ-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN(CC=C)Cc1cccc(I)c1 ZINC001138226523 1091120214 /nfs/dbraw/zinc/12/02/14/1091120214.db2.gz AOVKUJHDOKDYAL-UHFFFAOYSA-N 0 1 313.182 3.465 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC(=Nc2c(C#N)cccc2C#N)C1 ZINC001205242210 1091145991 /nfs/dbraw/zinc/14/59/91/1091145991.db2.gz WASJIAXGYWLXAT-UHFFFAOYSA-N 0 1 324.384 3.367 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@H]2c2cccnc2)cc1OC ZINC001138341343 1091147367 /nfs/dbraw/zinc/14/73/67/1091147367.db2.gz NHTHKPLGHYTVNO-SFHVURJKSA-N 0 1 322.408 3.439 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccs2)cc1OC ZINC001138345948 1091149035 /nfs/dbraw/zinc/14/90/35/1091149035.db2.gz SMIJFONXESICLQ-UHFFFAOYSA-N 0 1 301.411 3.401 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@]1(C)CN(CC/C=C/CC)CCO1 ZINC001108147877 1091153567 /nfs/dbraw/zinc/15/35/67/1091153567.db2.gz FOMXJMJUPBOTNK-GXLYXMFMSA-N 0 1 322.493 3.152 20 30 DGEDMN C#CCOc1ccc(CN2CC[C@H]2COCc2ccccc2)cc1 ZINC001138559535 1091226918 /nfs/dbraw/zinc/22/69/18/1091226918.db2.gz VYBJFOPFNSVFKR-FQEVSTJZSA-N 0 1 321.420 3.490 20 30 DGEDMN C#CCOc1ccc(CN2CCC(=O)[C@@H](C)C23CCCC3)cc1 ZINC001138560564 1091231017 /nfs/dbraw/zinc/23/10/17/1091231017.db2.gz ABKCJSRZFZZATL-MRXNPFEDSA-N 0 1 311.425 3.422 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)C[N@@H+](CC/C=C/CCC)CCO1 ZINC001108168447 1091256637 /nfs/dbraw/zinc/25/66/37/1091256637.db2.gz ANMWPYUYNMOOFD-CSHXORCISA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCCC(=O)NC[C@]1(C)CN(CC/C=C/CCC)CCO1 ZINC001108168447 1091256649 /nfs/dbraw/zinc/25/66/49/1091256649.db2.gz ANMWPYUYNMOOFD-CSHXORCISA-N 0 1 322.493 3.296 20 30 DGEDMN CC1(C#N)CCN(Cc2cccc(Oc3ncccn3)c2)CC1 ZINC001138621194 1091258688 /nfs/dbraw/zinc/25/86/88/1091258688.db2.gz MMNWCDCIJSDFPZ-UHFFFAOYSA-N 0 1 308.385 3.395 20 30 DGEDMN C=CCc1cccc(CN2CCC[C@H]2C(=O)OC(C)(C)C)c1O ZINC001138629002 1091262006 /nfs/dbraw/zinc/26/20/06/1091262006.db2.gz KLJHTMXTASPSFK-INIZCTEOSA-N 0 1 317.429 3.427 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001108172039 1091272660 /nfs/dbraw/zinc/27/26/60/1091272660.db2.gz YGMVGUVNPPDWMZ-SFHVURJKSA-N 0 1 310.482 3.130 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C(C)(C)CC)C1 ZINC001108171869 1091273647 /nfs/dbraw/zinc/27/36/47/1091273647.db2.gz TYPVAXIUGQQKQM-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN CNc1ncccc1CN1CCC[C@@H](Oc2ccc(C#N)cc2)C1 ZINC001138697366 1091291964 /nfs/dbraw/zinc/29/19/64/1091291964.db2.gz YOYMERABSPDZCA-GOSISDBHSA-N 0 1 322.412 3.038 20 30 DGEDMN N#CCc1ccccc1-c1ccc(CCN2CCOCC2)cc1 ZINC001205654719 1091299134 /nfs/dbraw/zinc/29/91/34/1091299134.db2.gz MWFHQULWVZYLQH-UHFFFAOYSA-N 0 1 306.409 3.294 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)CC(C)C)CCC1 ZINC001273180208 1091339333 /nfs/dbraw/zinc/33/93/33/1091339333.db2.gz PUJCJJSKTNSHDT-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2ccc3occc3c2)C1 ZINC001138826004 1091347450 /nfs/dbraw/zinc/34/74/50/1091347450.db2.gz LUCYNTXVRIRHNA-INIZCTEOSA-N 0 1 314.385 3.309 20 30 DGEDMN Cc1cccnc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001205807337 1091358696 /nfs/dbraw/zinc/35/86/96/1091358696.db2.gz IHQCFQRXKJZZTD-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN C#CCN1CCN(Cc2ccc(-c3ccccc3OC)cc2)CC1 ZINC001139035679 1091426115 /nfs/dbraw/zinc/42/61/15/1091426115.db2.gz GFHORQRURCNMGJ-UHFFFAOYSA-N 0 1 320.436 3.113 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC(CNCc2cscn2)CC1 ZINC001205989936 1091438155 /nfs/dbraw/zinc/43/81/55/1091438155.db2.gz YXWAECXHFYUOPP-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(Cc1ccc2nonc2c1)[C@@H]1CCc2ccccc21 ZINC001139224922 1091494514 /nfs/dbraw/zinc/49/45/14/1091494514.db2.gz WHJQFRUUERXKSF-LJQANCHMSA-N 0 1 303.365 3.346 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3ccc(C4CCC4)cc3)[C@@H]2C1 ZINC001084552942 1091505405 /nfs/dbraw/zinc/50/54/05/1091505405.db2.gz VSBJBCZJDCZYDE-WOJBJXKFSA-N 0 1 324.468 3.215 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(C/C=C\c3ccccc3)C[C@H]21 ZINC001084577800 1091505986 /nfs/dbraw/zinc/50/59/86/1091505986.db2.gz XSGDHVOAFCOFKZ-PMBGHPPTSA-N 0 1 324.468 3.445 20 30 DGEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1C[C@H](C)CC2)c1ccccc1 ZINC001295198884 1091525055 /nfs/dbraw/zinc/52/50/55/1091525055.db2.gz OFJQIHPEICUJLS-CZUORRHYSA-N 0 1 307.397 3.029 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001084698581 1091541399 /nfs/dbraw/zinc/54/13/99/1091541399.db2.gz ZXDXIMCRMYSTLO-CGTJXYLNSA-N 0 1 304.478 3.312 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(-c3cc(C#N)ccn3)cc2)C[C@H](C)O1 ZINC001139400565 1091548450 /nfs/dbraw/zinc/54/84/50/1091548450.db2.gz XJJQSPHXGOYJAJ-GASCZTMLSA-N 0 1 307.397 3.229 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3ccc4sccc4c3)[C@@H]2C1 ZINC001084751356 1091552488 /nfs/dbraw/zinc/55/24/88/1091552488.db2.gz IKAJEIQOZYLRTE-IAGOWNOFSA-N 0 1 324.449 3.071 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](CC)CCCC ZINC001098914928 1091555292 /nfs/dbraw/zinc/55/52/92/1091555292.db2.gz DSSAOBSHGXBVCG-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN CN(Cc1ccc(Oc2ccc(C#N)cc2)nc1)[C@H]1CCCOC1 ZINC001139428792 1091558134 /nfs/dbraw/zinc/55/81/34/1091558134.db2.gz JJINUPMZEXTSIH-KRWDZBQOSA-N 0 1 323.396 3.356 20 30 DGEDMN CC(=O)[C@@H]1CCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139428591 1091558651 /nfs/dbraw/zinc/55/86/51/1091558651.db2.gz MCGZRZMKBZVPOG-QGZVFWFLSA-N 0 1 321.380 3.156 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)c3cc4ccccc4o3)[C@@H]2C1 ZINC001084765394 1091558688 /nfs/dbraw/zinc/55/86/88/1091558688.db2.gz XHJICKMMVMEYFR-DJIMGWMZSA-N 0 1 324.424 3.255 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](F)CC3CCCCC3)[C@@H]2C1 ZINC001084777106 1091560753 /nfs/dbraw/zinc/56/07/53/1091560753.db2.gz JSUNXVJELNXLJK-BRWVUGGUSA-N 0 1 308.441 3.014 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C(C)(C)C)cc3)[C@@H]2C1 ZINC001084791499 1091571548 /nfs/dbraw/zinc/57/15/48/1091571548.db2.gz FJXLFDLEWRNYLQ-SJLPKXTDSA-N 0 1 312.457 3.316 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C)n(C(C)C)c3C)[C@@H]2C1 ZINC001084821477 1091579887 /nfs/dbraw/zinc/57/98/87/1091579887.db2.gz ZUCZJMWVGQONMM-SJLPKXTDSA-N 0 1 315.461 3.018 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)c3cccc(Cl)c3)[C@@H]2C1 ZINC001084842511 1091587494 /nfs/dbraw/zinc/58/74/94/1091587494.db2.gz RSLRFRGDHISTKT-YSVLISHTSA-N 0 1 318.848 3.162 20 30 DGEDMN CN(CCC(=O)c1cccs1)Cc1ccc(C#N)c(F)c1 ZINC001139530601 1091588283 /nfs/dbraw/zinc/58/82/83/1091588283.db2.gz QWCSILHTYISLHQ-UHFFFAOYSA-N 0 1 302.374 3.464 20 30 DGEDMN CCc1noc([C@@H]2CCCN(Cc3ccc(C#N)c(F)c3)C2)n1 ZINC001139529268 1091588948 /nfs/dbraw/zinc/58/89/48/1091588948.db2.gz OFCCNRBNTSXCHW-CQSZACIVSA-N 0 1 314.364 3.022 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3c(C)oc4ccccc43)[C@@H]2C1 ZINC001084874781 1091600990 /nfs/dbraw/zinc/60/09/90/1091600990.db2.gz IKONFCWMBVOOQQ-CRAIPNDOSA-N 0 1 324.424 3.002 20 30 DGEDMN N#CCC(=O)N1CCN(CC2CCC3(CCCCC3)CC2)CC1 ZINC001206450189 1091635337 /nfs/dbraw/zinc/63/53/37/1091635337.db2.gz PICMGEWDUYEWLY-UHFFFAOYSA-N 0 1 317.477 3.185 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CCN(C[C@H](F)CC)[C@H]3C2)C1 ZINC001085027117 1091643819 /nfs/dbraw/zinc/64/38/19/1091643819.db2.gz MUKQHNGOPOOUKK-OAGGEKHMSA-N 0 1 308.441 3.014 20 30 DGEDMN Cc1ccc(CN2CCN(C)C[C@@H]2c2ccccc2)cc1C#N ZINC001139722805 1091655215 /nfs/dbraw/zinc/65/52/15/1091655215.db2.gz GYPKTIHWLGBHSJ-HXUWFJFHSA-N 0 1 305.425 3.355 20 30 DGEDMN Cc1ccc(CN2CCC[C@H](Oc3ncncc3C)C2)cc1C#N ZINC001139727568 1091656090 /nfs/dbraw/zinc/65/60/90/1091656090.db2.gz VBZDBTFDANAIJG-SFHVURJKSA-N 0 1 322.412 3.009 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3ncncc3C)C2)cc1C#N ZINC001139727567 1091656777 /nfs/dbraw/zinc/65/67/77/1091656777.db2.gz VBZDBTFDANAIJG-GOSISDBHSA-N 0 1 322.412 3.009 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2ccc(F)cc2C)C[C@H]1C ZINC001206537021 1091662305 /nfs/dbraw/zinc/66/23/05/1091662305.db2.gz IAQCSHANPHNAEH-RHSMWYFYSA-N 0 1 304.409 3.037 20 30 DGEDMN CN1CCN(Cc2cc(F)cc(C#N)c2)C[C@@H]1c1ccccc1 ZINC001139875337 1091698729 /nfs/dbraw/zinc/69/87/29/1091698729.db2.gz YZMFZLFNQKQNLU-LJQANCHMSA-N 0 1 309.388 3.186 20 30 DGEDMN CN(CCCC(=O)c1cccnc1)Cc1cc(F)cc(C#N)c1 ZINC001139875182 1091699541 /nfs/dbraw/zinc/69/95/41/1091699541.db2.gz JWDHQGXACKYUQE-UHFFFAOYSA-N 0 1 311.360 3.187 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H](NCc2nccs2)C12CCC2 ZINC001202985603 1091719258 /nfs/dbraw/zinc/71/92/58/1091719258.db2.gz DPEJLHLQOUJAIR-UONOGXRCSA-N 0 1 319.474 3.016 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@@H](NCc2nccs2)C12CCC2 ZINC001202985605 1091719438 /nfs/dbraw/zinc/71/94/38/1091719438.db2.gz DPEJLHLQOUJAIR-ZIAGYGMSSA-N 0 1 319.474 3.016 20 30 DGEDMN Cc1cc(C)c(C#N)c(NCC2CN(Cc3ccccc3)C2)n1 ZINC000716222445 1091762205 /nfs/dbraw/zinc/76/22/05/1091762205.db2.gz OXJCSDAECOJBAO-UHFFFAOYSA-N 0 1 306.413 3.114 20 30 DGEDMN [O-]c1cccc(Br)c1C[NH+]1CCC(S)CC1 ZINC001140101598 1091769343 /nfs/dbraw/zinc/76/93/43/1091769343.db2.gz YVGITJSJJHAYGE-UHFFFAOYSA-N 0 1 302.237 3.049 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2ccc(C#N)cc2F)C[C@@H]1C ZINC001140141589 1091782375 /nfs/dbraw/zinc/78/23/75/1091782375.db2.gz ZMVURRIWDGUTII-DZGCQCFKSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1ncc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)s1 ZINC001207061700 1091833696 /nfs/dbraw/zinc/83/36/96/1091833696.db2.gz HQTKCZYZWKZUCG-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN CC[C@@H](C)CC(=O)N(CC)CCNCc1ccc(C#N)cc1F ZINC001273348317 1091848853 /nfs/dbraw/zinc/84/88/53/1091848853.db2.gz PLWMJMCCXLIQPJ-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(F)c2ccccc2c1 ZINC001085631658 1091863287 /nfs/dbraw/zinc/86/32/87/1091863287.db2.gz AESDDGDQWKLPJX-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCc2ccccc21 ZINC001085670991 1091877019 /nfs/dbraw/zinc/87/70/19/1091877019.db2.gz KMMFOSVYHSNTDB-PKOBYXMFSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2cc(F)ccc2C)C[C@H]1C ZINC001207190044 1091881731 /nfs/dbraw/zinc/88/17/31/1091881731.db2.gz FRKOBZFNEJGJSL-CRAIPNDOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2cc(C)ccc2n1 ZINC001085712901 1091895973 /nfs/dbraw/zinc/89/59/73/1091895973.db2.gz WNRIKKQHXFXJDH-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN COC1(c2ccccc2)CCN(Cc2ccc(C#N)nc2)CC1 ZINC001140545794 1091904065 /nfs/dbraw/zinc/90/40/65/1091904065.db2.gz LEJQLJWILRRGFV-UHFFFAOYSA-N 0 1 307.397 3.091 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CC[N@H+]2Cc2ccccc2)cc1 ZINC001085756187 1091915068 /nfs/dbraw/zinc/91/50/68/1091915068.db2.gz BXSBVCKAUGHCGZ-HXUWFJFHSA-N 0 1 318.420 3.014 20 30 DGEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2ccccc2)cc1 ZINC001085756187 1091915077 /nfs/dbraw/zinc/91/50/77/1091915077.db2.gz BXSBVCKAUGHCGZ-HXUWFJFHSA-N 0 1 318.420 3.014 20 30 DGEDMN COc1ccc(CN2CCN(c3ccc(C#N)cc3)CC2)c(C)c1 ZINC001140678329 1091955359 /nfs/dbraw/zinc/95/53/59/1091955359.db2.gz YUOZMSBWAIWBMI-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@H](O)Cc1cccc(Cl)c1 ZINC001207423409 1091961419 /nfs/dbraw/zinc/96/14/19/1091961419.db2.gz BZSDETKTUSSJFB-OAHLLOKOSA-N 0 1 318.779 3.044 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C)cc1Cl ZINC001085834029 1091963316 /nfs/dbraw/zinc/96/33/16/1091963316.db2.gz ZUNOEAFUHABXNV-CQSZACIVSA-N 0 1 306.837 3.371 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C(C)(C)C)oc1C ZINC001085845581 1091977095 /nfs/dbraw/zinc/97/70/95/1091977095.db2.gz PEJWOFGCVZUXIF-OAHLLOKOSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2cc(F)ccc2o1 ZINC001085849940 1091978971 /nfs/dbraw/zinc/97/89/71/1091978971.db2.gz KCJCWQFMBJPIIZ-HNNXBMFYSA-N 0 1 316.376 3.294 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1cc(F)c(C#N)c(F)c1)C2 ZINC001140752300 1091985174 /nfs/dbraw/zinc/98/51/74/1091985174.db2.gz QKMWJVWZQXJOFM-DYVFJYSZSA-N 0 1 306.356 3.085 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1csc(C(C)(C)C)n1 ZINC001085873826 1091991445 /nfs/dbraw/zinc/99/14/45/1091991445.db2.gz LGKXXKPNTVNPCP-CYBMUJFWSA-N 0 1 321.490 3.163 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001085893751 1091998560 /nfs/dbraw/zinc/99/85/60/1091998560.db2.gz JRGQNHJAVOALFZ-KZNAEPCWSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2coc3ccccc23)C1 ZINC001140786535 1091999155 /nfs/dbraw/zinc/99/91/55/1091999155.db2.gz RFGIWBMZNCIKGR-OAHLLOKOSA-N 0 1 314.385 3.309 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccn1C1CCCC1 ZINC001085895163 1092001554 /nfs/dbraw/zinc/00/15/54/1092001554.db2.gz VUTMYBQQKGSPEA-QGZVFWFLSA-N 0 1 315.461 3.326 20 30 DGEDMN N#Cc1cccc(CN2CCC(n3cccn3)CC2)c1Cl ZINC001140813153 1092012823 /nfs/dbraw/zinc/01/28/23/1092012823.db2.gz XHMDDFOWGDGKBM-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2c(c1)CCC=C2 ZINC001085928950 1092021348 /nfs/dbraw/zinc/02/13/48/1092021348.db2.gz LFDSIYHJESGNKL-LJQANCHMSA-N 0 1 310.441 3.368 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C(C)(C)C)cc1 ZINC001085939228 1092021976 /nfs/dbraw/zinc/02/19/76/1092021976.db2.gz AXNSJIWNSVLDKY-GOSISDBHSA-N 0 1 312.457 3.154 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001298047205 1092023634 /nfs/dbraw/zinc/02/36/34/1092023634.db2.gz HRHSBKGXAQTLKD-CABCVRRESA-N 0 1 317.772 3.272 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001085951703 1092041237 /nfs/dbraw/zinc/04/12/37/1092041237.db2.gz LAPGHSZFHLREFY-WBVHZDCISA-N 0 1 318.848 3.089 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](NCc2cccc(F)c2F)C1 ZINC001207636331 1092054095 /nfs/dbraw/zinc/05/40/95/1092054095.db2.gz SREOUZQIXLQOSS-CQSZACIVSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](NCc2ccc(F)cc2F)C1 ZINC001207636927 1092054604 /nfs/dbraw/zinc/05/46/04/1092054604.db2.gz ZEZNFIVIWXYJIL-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc2c(s1)CCCC2 ZINC001085960236 1092055232 /nfs/dbraw/zinc/05/52/32/1092055232.db2.gz YXKQYDQHTXPUJE-HNNXBMFYSA-N 0 1 318.486 3.349 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001085995392 1092079547 /nfs/dbraw/zinc/07/95/47/1092079547.db2.gz LMFAHWXYOVPNOK-FGTMMUONSA-N 0 1 316.420 3.038 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1Cc2c1cccc2Cl ZINC001085995950 1092082599 /nfs/dbraw/zinc/08/25/99/1092082599.db2.gz ZCORAQJYNCZZBP-BBRMVZONSA-N 0 1 318.848 3.089 20 30 DGEDMN CCCCN(CCC#N)C[C@@H]1[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001207776668 1092097432 /nfs/dbraw/zinc/09/74/32/1092097432.db2.gz QQSOKWNFWLLAFL-PHZGNYQRSA-N 0 1 321.465 3.115 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(C)(CN2CCC[C@@H](C#N)C2)CC1 ZINC001207815456 1092107507 /nfs/dbraw/zinc/10/75/07/1092107507.db2.gz UAVYLKXSDWKTPZ-HNNXBMFYSA-N 0 1 321.465 3.259 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)C(C)(C)C)C2)C1 ZINC001276546831 1092109828 /nfs/dbraw/zinc/10/98/28/1092109828.db2.gz BNUKUJNUUPIVKM-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN Cc1ccncc1CN1CCC[C@H](Oc2ccccc2C#N)C1 ZINC001141036247 1092115558 /nfs/dbraw/zinc/11/55/58/1092115558.db2.gz OTBLOIGGLGHVDQ-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[N@H+](CC)CCc2ccccc2F)C1 ZINC001493016838 1092115696 /nfs/dbraw/zinc/11/56/96/1092115696.db2.gz QZRZPDRZZBGISG-UHFFFAOYSA-N 0 1 318.436 3.163 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001207859502 1092121695 /nfs/dbraw/zinc/12/16/95/1092121695.db2.gz AIBBAROJAUMSMN-ZVHGMHCTSA-N 0 1 317.260 3.080 20 30 DGEDMN C=CCCCCCCCCCN1CCN(C)[C@H](C(=O)OC)C1 ZINC001207899617 1092132754 /nfs/dbraw/zinc/13/27/54/1092132754.db2.gz IVLUXMHJTDXUHG-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(Cc1ccc(C)cc1F)C2 ZINC001141195004 1092170515 /nfs/dbraw/zinc/17/05/15/1092170515.db2.gz XBHGZJKRPMQKIQ-HKUYNNGSSA-N 0 1 319.420 3.460 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(F)F)cc1F ZINC001491624777 1092191997 /nfs/dbraw/zinc/19/19/97/1092191997.db2.gz NECPUQTWMWRXBZ-SECBINFHSA-N 0 1 320.742 3.224 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CC4(CC(O)C4)C3)cc2)cc1 ZINC001141289462 1092199463 /nfs/dbraw/zinc/19/94/63/1092199463.db2.gz JRDDVROAIGPRKL-UHFFFAOYSA-N 0 1 320.392 3.307 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001491667509 1092205579 /nfs/dbraw/zinc/20/55/79/1092205579.db2.gz OILHWUMSAAVKJL-LBPRGKRZSA-N 0 1 311.857 3.146 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC001491716516 1092211547 /nfs/dbraw/zinc/21/15/47/1092211547.db2.gz JHMLZBYDWGDFNI-JSGCOSHPSA-N 0 1 308.372 3.183 20 30 DGEDMN C[C@H](C#N)N(C)Cc1cc(Cl)cc(Br)c1O ZINC001141374229 1092220858 /nfs/dbraw/zinc/22/08/58/1092220858.db2.gz CFVXKIILHWYLJD-SSDOTTSWSA-N 0 1 303.587 3.152 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)Nc1c(=O)[nH][nH]c1-c1ccccc1 ZINC001141387822 1092226572 /nfs/dbraw/zinc/22/65/72/1092226572.db2.gz WJJAWIXPTYXZSB-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2ccccc2F)CC1(C)C ZINC001276561316 1092274938 /nfs/dbraw/zinc/27/49/38/1092274938.db2.gz KVTNNAJZWZCJQU-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](NCc2c(F)cccc2F)C1 ZINC001208256092 1092295159 /nfs/dbraw/zinc/29/51/59/1092295159.db2.gz YMHVLCATQAZBIE-AWEZNQCLSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C/C=C/c2ccccc2)C[C@H]1C ZINC001208309732 1092307942 /nfs/dbraw/zinc/30/79/42/1092307942.db2.gz UUQOYPQLAXBYNE-ULVFTUSLSA-N 0 1 312.457 3.349 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)CC(F)F)C1 ZINC001208315819 1092308780 /nfs/dbraw/zinc/30/87/80/1092308780.db2.gz JNFUFCOFOIPKET-LLVKDONJSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C[C@H](C)CC(C)C)C1 ZINC001108336313 1092338199 /nfs/dbraw/zinc/33/81/99/1092338199.db2.gz AJHVALVWJQUMDA-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CC[N@H+]1CCO[C@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350296 1092351471 /nfs/dbraw/zinc/35/14/71/1092351471.db2.gz WHDSIALIZOOKIL-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C[C@H](CC)C(C)C)C1 ZINC001108350296 1092351482 /nfs/dbraw/zinc/35/14/82/1092351482.db2.gz WHDSIALIZOOKIL-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C[C@@H](C)C(C)(C)C)C1 ZINC001108366637 1092358674 /nfs/dbraw/zinc/35/86/74/1092358674.db2.gz YCARRCBVGWSTJD-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CCCCCC)C1 ZINC001108378499 1092361680 /nfs/dbraw/zinc/36/16/80/1092361680.db2.gz IGCNETCDYAAKSW-GOSISDBHSA-N 0 1 310.482 3.130 20 30 DGEDMN O[C@H]1CCC[N@@H+](Cc2ccc(C#Cc3ccccc3)s2)CC1 ZINC001141972079 1092372281 /nfs/dbraw/zinc/37/22/81/1092372281.db2.gz AZEKCNOQEOYSIY-KRWDZBQOSA-N 0 1 311.450 3.495 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208511621 1092380849 /nfs/dbraw/zinc/38/08/49/1092380849.db2.gz CBXVAAHKYWMKNK-FRFSOERESA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCC1(C(=O)NCC[C@@H](C)NCc2nc(C)c(C)o2)CCC1 ZINC001492078827 1092396235 /nfs/dbraw/zinc/39/62/35/1092396235.db2.gz XAYRJDPGWJPQKY-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C[C@@H](c1ccc(F)c(F)c1)N(C)CCNC(=O)C#CC(C)(C)C ZINC001492247471 1092456183 /nfs/dbraw/zinc/45/61/83/1092456183.db2.gz LPJUFEQJAOXQDB-ZDUSSCGKSA-N 0 1 322.399 3.123 20 30 DGEDMN N#Cc1ccc2c(C[N@H+](CCO)Cc3ccccc3)c[nH]c2c1 ZINC001142912233 1092583978 /nfs/dbraw/zinc/58/39/78/1092583978.db2.gz YWJOVJKTEWGEHP-UHFFFAOYSA-N 0 1 305.381 3.034 20 30 DGEDMN N#Cc1ccc2c(CN3CC4(C3)C[C@@H](F)CS4)c[nH]c2c1 ZINC001142922395 1092587588 /nfs/dbraw/zinc/58/75/88/1092587588.db2.gz GODJLAQUGOFNSL-CYBMUJFWSA-N 0 1 301.390 3.069 20 30 DGEDMN Cn1nc(-c2ccccc2)cc1CN1[C@@H]2CC[C@H]1C[C@H](C#N)C2 ZINC001143013229 1092603925 /nfs/dbraw/zinc/60/39/25/1092603925.db2.gz YEIAPUYOMCLVOR-XGBSXSJOSA-N 0 1 306.413 3.354 20 30 DGEDMN CO[C@@H]1CCC[C@@H]2CN(Cc3c(F)ccc(C#N)c3F)C[C@@H]21 ZINC001143171294 1092627957 /nfs/dbraw/zinc/62/79/57/1092627957.db2.gz WGJDPRIWJFNROT-DVOMOZLQSA-N 0 1 306.356 3.083 20 30 DGEDMN CCCCCCCCCCN(C)C(=O)c1n[nH]c2c1CNC2 ZINC001161226208 1092635023 /nfs/dbraw/zinc/63/50/23/1092635023.db2.gz HJLQBGMYNREZQZ-UHFFFAOYSA-N 0 1 306.454 3.226 20 30 DGEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001209115625 1092658444 /nfs/dbraw/zinc/65/84/44/1092658444.db2.gz HFYMLWBAQXVBNO-KBAYOESNSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001209115625 1092658447 /nfs/dbraw/zinc/65/84/47/1092658447.db2.gz HFYMLWBAQXVBNO-KBAYOESNSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CC(=NCOC)N[C@H]1CCc2c1cccc2OC(F)(F)F ZINC001169355725 1092664050 /nfs/dbraw/zinc/66/40/50/1092664050.db2.gz HIPBFYMXVQLBHL-LBPRGKRZSA-N 0 1 314.307 3.351 20 30 DGEDMN C=CCCCN1Cc2ncn(C)c2[C@@H](COCC2CCCC2)C1 ZINC001209157401 1092674662 /nfs/dbraw/zinc/67/46/62/1092674662.db2.gz BCOKKBMIRUPJDH-QGZVFWFLSA-N 0 1 317.477 3.492 20 30 DGEDMN C=CCCCN1Cc2nn(CC3CC3)cc2[C@H](COCC)C1 ZINC001209158915 1092676609 /nfs/dbraw/zinc/67/66/09/1092676609.db2.gz WJAJVTMFQBBGOA-INIZCTEOSA-N 0 1 303.450 3.195 20 30 DGEDMN C=CCCCN1Cc2ncn(CC3CC3)c2[C@H](COCC)C1 ZINC001209158171 1092676780 /nfs/dbraw/zinc/67/67/80/1092676780.db2.gz LRHPYEZJTSZFOY-INIZCTEOSA-N 0 1 303.450 3.195 20 30 DGEDMN N#CCc1cc(N[C@@H](CCO)c2cccc3ccccc32)ccn1 ZINC001169425623 1092679920 /nfs/dbraw/zinc/67/99/20/1092679920.db2.gz WHUPRBUZWMPZDW-FQEVSTJZSA-N 0 1 317.392 3.258 20 30 DGEDMN CCc1cncc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)c1 ZINC001209191554 1092687919 /nfs/dbraw/zinc/68/79/19/1092687919.db2.gz QIRCVANDXLRNCY-UHFFFAOYSA-N 0 1 321.428 3.011 20 30 DGEDMN COc1cc(C#N)ccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001209260233 1092716522 /nfs/dbraw/zinc/71/65/22/1092716522.db2.gz RYDVGIOGZXNHEW-UHFFFAOYSA-N 0 1 324.409 3.232 20 30 DGEDMN C#CCCCN1CCN(c2ccc(C(F)(F)F)cc2F)CC1 ZINC001209269465 1092719443 /nfs/dbraw/zinc/71/94/43/1092719443.db2.gz LDZSIJYMIRPHIJ-UHFFFAOYSA-N 0 1 314.326 3.380 20 30 DGEDMN C=CCCCCC[N@H+]1CCO[C@H]2[C@H](Oc3ccccn3)CC[C@@H]21 ZINC001209423069 1092767076 /nfs/dbraw/zinc/76/70/76/1092767076.db2.gz NJFNFBLXTDVNBX-YQVWRLOYSA-N 0 1 316.445 3.439 20 30 DGEDMN C=CCCCCCN1CCO[C@H]2[C@H](Oc3ccccn3)CC[C@@H]21 ZINC001209423069 1092767082 /nfs/dbraw/zinc/76/70/82/1092767082.db2.gz NJFNFBLXTDVNBX-YQVWRLOYSA-N 0 1 316.445 3.439 20 30 DGEDMN C=CCCCCCN1Cc2nccn2C[C@@H](COCC2CC2)C1 ZINC001209424336 1092769245 /nfs/dbraw/zinc/76/92/45/1092769245.db2.gz PSBRSUBIWMQLRG-SFHVURJKSA-N 0 1 317.477 3.488 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](CCCCC)C(C)C)C1 ZINC001150561684 1092793479 /nfs/dbraw/zinc/79/34/79/1092793479.db2.gz FHFMMYUBAIXRHT-QZTJIDSGSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)C(CC(C)C)CC(C)C)C1 ZINC001150796272 1092826401 /nfs/dbraw/zinc/82/64/01/1092826401.db2.gz SMFWDOHCMARPPP-GOSISDBHSA-N 0 1 324.509 3.088 20 30 DGEDMN N#Cc1cnc(Nc2ccc3nc[nH]c3c2)c(Br)c1 ZINC001209842882 1092945146 /nfs/dbraw/zinc/94/51/46/1092945146.db2.gz RDVSQPCLDVRCHN-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN COc1cc(C)c(Nc2sc3c(c2C#N)CCN(C)C3)cn1 ZINC001209868093 1092956573 /nfs/dbraw/zinc/95/65/73/1092956573.db2.gz GMAJEWHQZQYGBB-UHFFFAOYSA-N 0 1 314.414 3.063 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001209875036 1092960005 /nfs/dbraw/zinc/96/00/05/1092960005.db2.gz MMMRVACZDBHKHR-CNELAYHGSA-N 0 1 319.276 3.488 20 30 DGEDMN Cc1cc(N)ncc1Nc1ccc(OC(F)(F)F)cc1C#N ZINC001209973405 1093001343 /nfs/dbraw/zinc/00/13/43/1093001343.db2.gz YVLQYBUGULKHBE-UHFFFAOYSA-N 0 1 308.263 3.486 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(F)cc3O)sc2C1 ZINC001210014198 1093016120 /nfs/dbraw/zinc/01/61/20/1093016120.db2.gz HTFQBXZYWWPBRL-UHFFFAOYSA-N 0 1 303.362 3.196 20 30 DGEDMN N#Cc1cc(Nc2ccc(OC(F)(F)F)nc2)c(O)cc1F ZINC001210821740 1093291972 /nfs/dbraw/zinc/29/19/72/1093291972.db2.gz FQRRUKKGGGLCOB-UHFFFAOYSA-N 0 1 313.210 3.440 20 30 DGEDMN COc1cnccc1Nc1ccc(C#N)cc1OC(F)(F)F ZINC001211260964 1093436512 /nfs/dbraw/zinc/43/65/12/1093436512.db2.gz UWQPDRLZWLLQKE-UHFFFAOYSA-N 0 1 309.247 3.026 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC(=Nc2c(F)cc(F)cc2C#N)C1 ZINC001211316565 1093456025 /nfs/dbraw/zinc/45/60/25/1093456025.db2.gz ABOADFMYUJLSQX-UHFFFAOYSA-N 0 1 321.327 3.383 20 30 DGEDMN C=C1CC(C)(c2nc(-c3ccc(N4CCN(C)CC4)cc3)no2)C1 ZINC001211434917 1093492537 /nfs/dbraw/zinc/49/25/37/1093492537.db2.gz NHIRNWPMNBFRBC-UHFFFAOYSA-N 0 1 324.428 3.096 20 30 DGEDMN C=C(CN1CCCCC1)c1nc(-c2cccc(C(C)=O)c2)no1 ZINC001211436554 1093494454 /nfs/dbraw/zinc/49/44/54/1093494454.db2.gz PPJHUCVJODGTJO-UHFFFAOYSA-N 0 1 311.385 3.438 20 30 DGEDMN N#Cc1cnccc1Nc1ccc2cc3ccc(N)cc3nc2c1 ZINC001214015361 1093695755 /nfs/dbraw/zinc/69/57/55/1093695755.db2.gz MZVVSJFUYWGGBB-UHFFFAOYSA-N 0 1 311.348 3.402 20 30 DGEDMN COCOc1ccc(C)cc1Nc1cc(C#N)c(F)cc1O ZINC001214245947 1093740311 /nfs/dbraw/zinc/74/03/11/1093740311.db2.gz SQEKAVQBYBNJAE-UHFFFAOYSA-N 0 1 302.305 3.438 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NCc2cccc(F)c2Cl)C1 ZINC001214303820 1093753009 /nfs/dbraw/zinc/75/30/09/1093753009.db2.gz BBDAGRRSLRICJU-IUODEOHRSA-N 0 1 324.827 3.382 20 30 DGEDMN Cc1cc(-c2noc(-c3[nH]nc(C4CC4)c3F)n2)ccc1C#N ZINC001214932773 1093881702 /nfs/dbraw/zinc/88/17/02/1093881702.db2.gz SQPRPIBXVSOTRG-UHFFFAOYSA-N 0 1 309.304 3.323 20 30 DGEDMN COc1ccc(-c2nc(-c3cc(F)cc(C#N)c3)no2)c(O)c1 ZINC001215149266 1093928133 /nfs/dbraw/zinc/92/81/33/1093928133.db2.gz CAGVBISIUMDNAQ-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Nc1cccnc1N1CCN(C)CC1 ZINC001215645008 1094023574 /nfs/dbraw/zinc/02/35/74/1094023574.db2.gz ACNPIQSMKQXRFV-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN Cc1cc(C#N)cc(C)c1Nc1cncc(N2CCN(C)CC2)c1 ZINC001215646158 1094023781 /nfs/dbraw/zinc/02/37/81/1094023781.db2.gz QAGQBYYMZHPPNC-UHFFFAOYSA-N 0 1 321.428 3.066 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](CCC)C(C)C)C[C@H]1C ZINC001215736753 1094044427 /nfs/dbraw/zinc/04/44/27/1094044427.db2.gz GETJNRRPOPXNIP-VHDGCEQUSA-N 0 1 300.874 3.248 20 30 DGEDMN Cc1c(C#N)cccc1Nc1ccc(CCN2CCOCC2)cc1 ZINC001216178085 1094138519 /nfs/dbraw/zinc/13/85/19/1094138519.db2.gz ZVKAJDTWYHRKPH-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN COCOc1ccc(Nc2cc(C#N)c(F)cc2O)c(OC)c1 ZINC001216289044 1094167515 /nfs/dbraw/zinc/16/75/15/1094167515.db2.gz LLVSQYWFXRASML-UHFFFAOYSA-N 0 1 318.304 3.138 20 30 DGEDMN Cc1nc(Nc2cncn2Cc2ccccc2)c(C#N)cc1C#N ZINC001216549738 1094215719 /nfs/dbraw/zinc/21/57/19/1094215719.db2.gz RQIXLTGNYHXECJ-UHFFFAOYSA-N 0 1 314.352 3.122 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](OCc3ccccc3)C2)cc1F ZINC000404086106 1094220362 /nfs/dbraw/zinc/22/03/62/1094220362.db2.gz RJXIQMNFLQEFNR-SFHVURJKSA-N 0 1 310.372 3.488 20 30 DGEDMN N#Cc1ccc(CN2CC[C@@H](OCc3ccccc3)C2)cc1F ZINC000404086104 1094220445 /nfs/dbraw/zinc/22/04/45/1094220445.db2.gz RJXIQMNFLQEFNR-GOSISDBHSA-N 0 1 310.372 3.488 20 30 DGEDMN C#CCC1(C(=O)N2C[C@@H](C)[C@H](NCC(=C)Cl)C2)CCCCC1 ZINC001216906056 1094277234 /nfs/dbraw/zinc/27/72/34/1094277234.db2.gz QLJXLRDSDYCBJO-GDBMZVCRSA-N 0 1 322.880 3.149 20 30 DGEDMN CN(C)c1ccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)cc1 ZINC001216969863 1094285193 /nfs/dbraw/zinc/28/51/93/1094285193.db2.gz SNKCPZPPMXIMEU-UHFFFAOYSA-N 0 1 306.325 3.047 20 30 DGEDMN N#Cc1ccc(-c2noc(-c3ccc4occc4c3O)n2)nc1 ZINC001217513588 1094429191 /nfs/dbraw/zinc/42/91/91/1094429191.db2.gz CCLRXMSUAXTBDR-UHFFFAOYSA-N 0 1 304.265 3.122 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1ncoc1C(C)C ZINC001135236569 1094454848 /nfs/dbraw/zinc/45/48/48/1094454848.db2.gz ULTUDHPFCMOEDO-KBPBESRZSA-N 0 1 321.465 3.383 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1coc(C2CC2)n1 ZINC001135357540 1094501262 /nfs/dbraw/zinc/50/12/62/1094501262.db2.gz DTVCZUHUALPSGF-UONOGXRCSA-N 0 1 319.449 3.281 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1OC1=Nc2ccccc2Sc2ccccc21 ZINC001217833407 1094502732 /nfs/dbraw/zinc/50/27/32/1094502732.db2.gz RMPDQXDRAQGCME-WFASDCNBSA-N 0 1 321.405 3.358 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001135358797 1094503189 /nfs/dbraw/zinc/50/31/89/1094503189.db2.gz YOCPVMVEAYPZNN-STQMWFEESA-N 0 1 307.438 3.021 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2nocc2C)CC1 ZINC001135381952 1094510868 /nfs/dbraw/zinc/51/08/68/1094510868.db2.gz BSVXWKIWMCALAT-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C[C@H]1CN(c2nc(Cl)c(C#N)s2)CCN1C1CCCC1 ZINC001120958760 1094563267 /nfs/dbraw/zinc/56/32/67/1094563267.db2.gz ALMIDAARNOEULS-JTQLQIEISA-N 0 1 310.854 3.121 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@H]1CC[NH2+]CC[C@H]1C ZINC001218027095 1094567120 /nfs/dbraw/zinc/56/71/20/1094567120.db2.gz MNVFYGDBCQPJSD-PXAZEXFGSA-N 0 1 303.402 3.090 20 30 DGEDMN CC(C)(C)c1ccc(NN=Cc2c(Cl)n[nH]c2C2CC2)nn1 ZINC001336233228 1094596111 /nfs/dbraw/zinc/59/61/11/1094596111.db2.gz XMHKLWHRPMPXMY-UHFFFAOYSA-N 0 1 318.812 3.474 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[C@]12CC[NH2+]C2 ZINC001218095346 1094599335 /nfs/dbraw/zinc/59/93/35/1094599335.db2.gz ZJYPXWJCMZJADX-IEBWSBKVSA-N 0 1 315.413 3.234 20 30 DGEDMN CC(C)(c1ccc(O)cc1)c1ccc(O[C@@H]2CNC[C@@H]2C#N)cc1 ZINC001218199142 1094654987 /nfs/dbraw/zinc/65/49/87/1094654987.db2.gz APLNTSWQLRAAIL-IFXJQAMLSA-N 0 1 322.408 3.208 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1OC1=CC(=O)C=CC1=NNc1ccccc1 ZINC001218200155 1094655108 /nfs/dbraw/zinc/65/51/08/1094655108.db2.gz FBPYZQHEHFZZAX-NMDLGWDMSA-N 0 1 308.341 3.298 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(Br)c2ccccc12 ZINC001218201847 1094656739 /nfs/dbraw/zinc/65/67/39/1094656739.db2.gz VVMOFKFRAUNTBX-BMIGLBTASA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@H]1CNC[C@H]1Oc1cc(Br)c2ccccc2c1 ZINC001218202645 1094657231 /nfs/dbraw/zinc/65/72/31/1094657231.db2.gz NGHHAHVOGBHPRP-XHDPSFHLSA-N 0 1 317.186 3.093 20 30 DGEDMN CC(C)c1cc(Br)cc(O[C@H]2CNC[C@@H]2C#N)c1 ZINC001218203921 1094658600 /nfs/dbraw/zinc/65/86/00/1094658600.db2.gz TUEFRIBREMRIQZ-FZMZJTMJSA-N 0 1 309.207 3.063 20 30 DGEDMN Cc1ccc2nc(O[C@H]3CNC[C@@H]3C#N)cc(C(F)(F)F)c2c1 ZINC001218203763 1094658779 /nfs/dbraw/zinc/65/87/79/1094658779.db2.gz RYEXGJYPHSTDAN-HZMBPMFUSA-N 0 1 321.302 3.052 20 30 DGEDMN CCC(CC)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135855691 1094713927 /nfs/dbraw/zinc/71/39/27/1094713927.db2.gz DWMVRNGGWMFDIR-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN CC(C)c1occc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001649146994 1094892553 /nfs/dbraw/zinc/89/25/53/1094892553.db2.gz WTOMXVUUZCBJSE-UHFFFAOYSA-N 0 1 321.340 3.312 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@@H]1CCC=CCCC1 ZINC001122448372 1094978714 /nfs/dbraw/zinc/97/87/14/1094978714.db2.gz LKWXZFOWHSUVJZ-ZBFHGGJFSA-N 0 1 302.418 3.281 20 30 DGEDMN C#CCCCCC(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001159858988 1095018366 /nfs/dbraw/zinc/01/83/66/1095018366.db2.gz HIXZUYGHBRPWPS-QGZVFWFLSA-N 0 1 308.441 3.067 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001170963512 1095039197 /nfs/dbraw/zinc/03/91/97/1095039197.db2.gz PUUCUVLXIUTUDY-HNNXBMFYSA-N 0 1 319.449 3.208 20 30 DGEDMN N#CC(C(=O)c1cnc([C@H]2CCCO2)s1)c1cccc(F)n1 ZINC001122715220 1095044983 /nfs/dbraw/zinc/04/49/83/1095044983.db2.gz ZZUSRYIBLFRLOI-MWLCHTKSSA-N 0 1 317.345 3.019 20 30 DGEDMN C=CC[C@@H](/N=C/c1ccc(N(CC)CC)cc1O)C(=O)OCC ZINC001337735940 1095076544 /nfs/dbraw/zinc/07/65/44/1095076544.db2.gz NVFYIMMXDTVVSL-CXDFQFJYSA-N 0 1 318.417 3.165 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C[C@@H]1C[C@H]1C1CC1)c1ccccc1 ZINC001122882244 1095090178 /nfs/dbraw/zinc/09/01/78/1095090178.db2.gz BZSOCORICGAGNK-XLAORIBOSA-N 0 1 324.424 3.399 20 30 DGEDMN CCc1c(-c2ccncc2)n[nH]c1NC(=O)c1csc(C#N)c1 ZINC001136611548 1095092985 /nfs/dbraw/zinc/09/29/85/1095092985.db2.gz SOGMSLNJHYXAFE-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN C#C[C@@H](C)NC(=O)[C@@H](N)Cc1c2ccccc2cc2ccccc21 ZINC001220458405 1095100121 /nfs/dbraw/zinc/10/01/21/1095100121.db2.gz QCPNAPPFZOOOPS-VLIAUNLRSA-N 0 1 316.404 3.001 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)[C@@H](N)c1ccccc1 ZINC001220663412 1095134509 /nfs/dbraw/zinc/13/45/09/1095134509.db2.gz DQMNLSOVRSVTAB-KSSFIOAISA-N 0 1 310.397 3.129 20 30 DGEDMN C=CCOCCCOC(=O)c1ccc(CN2CCCCC2)o1 ZINC001123025916 1095137622 /nfs/dbraw/zinc/13/76/22/1095137622.db2.gz YYJYWGFWXUMMHA-UHFFFAOYSA-N 0 1 307.390 3.015 20 30 DGEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@](C)(CC)CCCCCC ZINC001115267527 1095154862 /nfs/dbraw/zinc/15/48/62/1095154862.db2.gz GEJGNAQHXSVEDK-FAJBIJEISA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001171035536 1095166972 /nfs/dbraw/zinc/16/69/72/1095166972.db2.gz MRLSCDGGIDNJJU-CQSZACIVSA-N 0 1 307.438 3.104 20 30 DGEDMN Cc1cccc(CN2CCCC[C@@H]2C(=O)N[C@@H](C#N)C(C)C)c1 ZINC001338038160 1095169940 /nfs/dbraw/zinc/16/99/40/1095169940.db2.gz KKBJOIKFLSBUHF-ZWKOTPCHSA-N 0 1 313.445 3.014 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001171043119 1095179838 /nfs/dbraw/zinc/17/98/38/1095179838.db2.gz IPWLVCBRWGPCGE-HNNXBMFYSA-N 0 1 307.438 3.104 20 30 DGEDMN CCCCCCCN(CC)Cc1cnn(C)c1C(=O)OCC ZINC001136907408 1095229722 /nfs/dbraw/zinc/22/97/22/1095229722.db2.gz RTYRPSFXHHKOEB-UHFFFAOYSA-N 0 1 309.454 3.389 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+]([C@@H](C)c3cccc(F)c3)C[C@H]21 ZINC001221424767 1095261161 /nfs/dbraw/zinc/26/11/61/1095261161.db2.gz NUQQHRVTQJEXAB-YXJHDRRASA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN([C@@H](C)c3cccc(F)c3)C[C@H]21 ZINC001221424767 1095261170 /nfs/dbraw/zinc/26/11/70/1095261170.db2.gz NUQQHRVTQJEXAB-YXJHDRRASA-N 0 1 316.420 3.386 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCC[C@H](C)CC)[C@@H]2C1 ZINC001221574906 1095305498 /nfs/dbraw/zinc/30/54/98/1095305498.db2.gz PPPKKSNNKCJAHZ-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H](CC)c3ccccc3)[C@@H]2C1 ZINC001221942283 1095395216 /nfs/dbraw/zinc/39/52/16/1095395216.db2.gz GJXMFTUKGQHGQD-BHIYHBOVSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21 ZINC001222037025 1095414811 /nfs/dbraw/zinc/41/48/11/1095414811.db2.gz NSCHUELKAJMCLF-VAMGGRTRSA-N 0 1 324.468 3.140 20 30 DGEDMN CCC#C[C@H](C)N(C)C[C@@H](O)c1cccc(Br)c1 ZINC001171119441 1095435106 /nfs/dbraw/zinc/43/51/06/1095435106.db2.gz MZWGANQJNFAWJP-SWLSCSKDSA-N 0 1 310.235 3.216 20 30 DGEDMN C[S@](=O)c1ccc(C=NN=c2[nH]c3ccccc3s2)cc1 ZINC001116210264 1095484786 /nfs/dbraw/zinc/48/47/86/1095484786.db2.gz ZVYADPGRWFSLTF-NRFANRHFSA-N 0 1 315.423 3.480 20 30 DGEDMN C[S@](=O)c1ccc(C=[NH+][N-]c2nc3ccccc3s2)cc1 ZINC001116210264 1095484787 /nfs/dbraw/zinc/48/47/87/1095484787.db2.gz ZVYADPGRWFSLTF-NRFANRHFSA-N 0 1 315.423 3.480 20 30 DGEDMN CCCC[C@@H](CC)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001123937141 1095488492 /nfs/dbraw/zinc/48/84/92/1095488492.db2.gz RMVFRKDJSSXHOV-QGZVFWFLSA-N 0 1 318.436 3.099 20 30 DGEDMN Fc1ccc(CNN=C2CCN(CCC(F)(F)F)CC2)cc1 ZINC001116511285 1095583718 /nfs/dbraw/zinc/58/37/18/1095583718.db2.gz CJMLWQOPHIVFCF-UHFFFAOYSA-N 0 1 317.330 3.320 20 30 DGEDMN COc1cccc(N)c1NC(=N)c1ccc2cc(O)ccc2c1 ZINC001171182380 1095661747 /nfs/dbraw/zinc/66/17/47/1095661747.db2.gz LOIWKYMJJVHSJV-UHFFFAOYSA-N 0 1 307.353 3.173 20 30 DGEDMN Cc1cccc(C2(N[C@H](C)Cc3cccc(C#N)c3)COC2)c1 ZINC001171183678 1095663897 /nfs/dbraw/zinc/66/38/97/1095663897.db2.gz IHUCDTPGCVFWFJ-MRXNPFEDSA-N 0 1 306.409 3.313 20 30 DGEDMN COc1ccc(CN2CCN(C)C[C@H]2c2ccccc2)c(C#N)c1 ZINC001137698127 1095714648 /nfs/dbraw/zinc/71/46/48/1095714648.db2.gz KZHVEXXWNJHAJZ-FQEVSTJZSA-N 0 1 321.424 3.056 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(SC(F)(F)F)cc2)CCC1=O ZINC001137955043 1095802304 /nfs/dbraw/zinc/80/23/04/1095802304.db2.gz DDWGXQKVUUPRDI-LLVKDONJSA-N 0 1 314.332 3.213 20 30 DGEDMN C[C@@H](c1ccccn1)N1CCC[C@@H](OCc2cccc(C#N)n2)C1 ZINC001223061745 1095848300 /nfs/dbraw/zinc/84/83/00/1095848300.db2.gz PDOMOULVXOYLHR-MAUKXSAKSA-N 0 1 322.412 3.090 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CC[N@H+](C/C=C\Cl)[C@H]2C1 ZINC001223272082 1095919593 /nfs/dbraw/zinc/91/95/93/1095919593.db2.gz WRGLKRURMGQFBU-YZBXERDGSA-N 0 1 322.880 3.245 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001223272082 1095919597 /nfs/dbraw/zinc/91/95/97/1095919597.db2.gz WRGLKRURMGQFBU-YZBXERDGSA-N 0 1 322.880 3.245 20 30 DGEDMN CC(C)[C@@H](C#N)c1ccc(NC(=N)c2ccc(C(N)=O)cc2)cc1 ZINC001171259104 1095952397 /nfs/dbraw/zinc/95/23/97/1095952397.db2.gz VSSGCJJGRBPCDG-QGZVFWFLSA-N 0 1 320.396 3.086 20 30 DGEDMN C#C[C@@H](CC)O[C@@](F)(Cl)c1nc2cccc(C(=O)OC)c2[nH]1 ZINC001223403465 1095960396 /nfs/dbraw/zinc/96/03/96/1095960396.db2.gz MJJUJFOLVFJEKV-BJOHPYRUSA-N 0 1 324.739 3.097 20 30 DGEDMN C[N@@H+]1C[C@H]2CCC[C@@H](C1)[C@H]2O/C(=N\O)c1ccc(Cl)cc1 ZINC001223592547 1096011112 /nfs/dbraw/zinc/01/11/12/1096011112.db2.gz CFTCAQUCVGKWNM-MSWQFCPUSA-N 0 1 308.809 3.223 20 30 DGEDMN C[N@H+]1C[C@H]2CCC[C@@H](C1)[C@H]2O/C(=N\[O-])c1ccc(Cl)cc1 ZINC001223592547 1096011124 /nfs/dbraw/zinc/01/11/24/1096011124.db2.gz CFTCAQUCVGKWNM-MSWQFCPUSA-N 0 1 308.809 3.223 20 30 DGEDMN C[N@@H+]1C[C@H]2CCC[C@@H](C1)[C@H]2O/C(=N\[O-])c1ccc(Cl)cc1 ZINC001223592547 1096011134 /nfs/dbraw/zinc/01/11/34/1096011134.db2.gz CFTCAQUCVGKWNM-MSWQFCPUSA-N 0 1 308.809 3.223 20 30 DGEDMN Cc1cc(NCc2ccc(C#N)cc2)ccc1N1CCN(C)CC1 ZINC001340713634 1096013406 /nfs/dbraw/zinc/01/34/06/1096013406.db2.gz NJEKSHQFFWLFAM-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(-c2ccco2)s1 ZINC001146308657 1096020395 /nfs/dbraw/zinc/02/03/95/1096020395.db2.gz RLYFJWCJIBNXHE-UHFFFAOYSA-N 0 1 310.806 3.080 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1cccc(CC)c1 ZINC001276909734 1096029467 /nfs/dbraw/zinc/02/94/67/1096029467.db2.gz SBMQOXBVHIUPDM-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1Cc1ccccc1C ZINC001276909742 1096029958 /nfs/dbraw/zinc/02/99/58/1096029958.db2.gz SCGJRIYMWIDHDX-WMZOPIPTSA-N 0 1 300.446 3.288 20 30 DGEDMN Cc1ccc(NC2(C#N)CCN(Cc3ccccc3)CC2)c(F)n1 ZINC001171277782 1096056601 /nfs/dbraw/zinc/05/66/01/1096056601.db2.gz VWEUUISHDXZGCF-UHFFFAOYSA-N 0 1 324.403 3.499 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)=C3CCCC3)C[C@]2(C)C1 ZINC001091587329 1096081526 /nfs/dbraw/zinc/08/15/26/1096081526.db2.gz ATAWBQNKWFLAJH-AEFFLSMTSA-N 0 1 322.880 3.410 20 30 DGEDMN C[C@@H](O/C(=N\O)c1ccccc1Br)c1cnccn1 ZINC001223886083 1096086324 /nfs/dbraw/zinc/08/63/24/1096086324.db2.gz ZBTUZGVBPSLQTJ-FCZKIDGVSA-N 0 1 322.162 3.153 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224043184 1096121783 /nfs/dbraw/zinc/12/17/83/1096121783.db2.gz UQLFSNCOJDTMRB-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@H](CC)C1=O)c1ccccc1F ZINC001224154585 1096148404 /nfs/dbraw/zinc/14/84/04/1096148404.db2.gz NRAGHCVRJSCIOQ-CJNGLKHVSA-N 0 1 322.332 3.056 20 30 DGEDMN N#Cc1ccc(N2CC3(CN(CCCC(F)(F)F)C3)C2)cc1 ZINC001276958046 1096167013 /nfs/dbraw/zinc/16/70/13/1096167013.db2.gz CSYXLLCSCMIKDK-UHFFFAOYSA-N 0 1 309.335 3.023 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3=CCCCCC3)C[C@]2(C)C1 ZINC001091622350 1096183571 /nfs/dbraw/zinc/18/35/71/1096183571.db2.gz QNHHERGTSQUNMZ-AEFFLSMTSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)CCCc1ccccc1 ZINC001276976279 1096226926 /nfs/dbraw/zinc/22/69/26/1096226926.db2.gz AHNXAAXOVNKCOB-IEBWSBKVSA-N 0 1 314.473 3.412 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001224579243 1096242132 /nfs/dbraw/zinc/24/21/32/1096242132.db2.gz BWYRLAMHWQEUOE-QZTJIDSGSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(C)CCC(=O)N1CC2(C1)CCN(Cc1cccc(F)c1)C2 ZINC001147640255 1096250670 /nfs/dbraw/zinc/25/06/70/1096250670.db2.gz RWIVBBSVTUEJQF-UHFFFAOYSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C[C@@H](F)CC)C3)CCC1 ZINC001148250634 1096335490 /nfs/dbraw/zinc/33/54/90/1096335490.db2.gz YTFNALAFKNSOLB-HNNXBMFYSA-N 0 1 308.441 3.015 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1OCCCc1ccc(OC)c(OC)c1 ZINC001225072156 1096346607 /nfs/dbraw/zinc/34/66/07/1096346607.db2.gz FTCFXZNQJISSCO-AWEZNQCLSA-N 0 1 320.385 3.262 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NC/C(Cl)=C/Cl ZINC001225282634 1096383845 /nfs/dbraw/zinc/38/38/45/1096383845.db2.gz ALUXPNDVZWPIKP-BFMCYROOSA-N 0 1 305.249 3.289 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CCCCC)C(C)C)C2)C1 ZINC001148568321 1096399664 /nfs/dbraw/zinc/39/96/64/1096399664.db2.gz QZDHCWYPSFHKTL-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(Cl)cc1C(F)(F)F ZINC001148945459 1096469832 /nfs/dbraw/zinc/46/98/32/1096469832.db2.gz DQPACQLWNLMPRD-UHFFFAOYSA-N 0 1 314.654 3.206 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(OC)c(Br)c1 ZINC001225714534 1096512395 /nfs/dbraw/zinc/51/23/95/1096512395.db2.gz AYWNWZDSFIBPIF-SSDOTTSWSA-N 0 1 313.147 3.056 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C3CC=CC3)C2)CC1 ZINC001086911834 1096505355 /nfs/dbraw/zinc/50/53/55/1096505355.db2.gz AZVURYFIKIUHTI-HNNXBMFYSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@H]3CC3(C)C)C2)CC1 ZINC001086915263 1096512667 /nfs/dbraw/zinc/51/26/67/1096512667.db2.gz FCKFIWRNQLDWGC-HUUCEWRRSA-N 0 1 324.896 3.488 20 30 DGEDMN N#Cc1ccccc1C[N@@H+]1CC[C@H](Oc2cccc(/C=N/O)c2)C1 ZINC001226114050 1096615321 /nfs/dbraw/zinc/61/53/21/1096615321.db2.gz DFLOSZAVNMMWBZ-CIPMJJFUSA-N 0 1 321.380 3.020 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CCCC3(CC3)C2)[C@H](C)C1 ZINC001092603741 1096618418 /nfs/dbraw/zinc/61/84/18/1096618418.db2.gz JOXBFKNEDSDBKQ-VNQPRFMTSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc[nH]c2CCC)[C@H](C)C1 ZINC001092897663 1096640526 /nfs/dbraw/zinc/64/05/26/1096640526.db2.gz MLESSEWCPRJVJK-TZMCWYRMSA-N 0 1 323.868 3.017 20 30 DGEDMN C[N@H+]1CC[C@@H](Oc2ncc(-c3ccc(Cl)cc3)cc2C#N)C1 ZINC001226232078 1096643292 /nfs/dbraw/zinc/64/32/92/1096643292.db2.gz CGYOAPATIJJDRR-MRXNPFEDSA-N 0 1 313.788 3.357 20 30 DGEDMN CN1CC[C@@H](Oc2ncc(-c3ccc(Cl)cc3)cc2C#N)C1 ZINC001226232078 1096643296 /nfs/dbraw/zinc/64/32/96/1096643296.db2.gz CGYOAPATIJJDRR-MRXNPFEDSA-N 0 1 313.788 3.357 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CC23CCCC3)[C@H](C)C1 ZINC001093106752 1096655954 /nfs/dbraw/zinc/65/59/54/1096655954.db2.gz CZCDKISYQPWULW-YUELXQCFSA-N 0 1 310.869 3.003 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3c(c2)CCO3)C1 ZINC001226363262 1096670952 /nfs/dbraw/zinc/67/09/52/1096670952.db2.gz LWTJORVYCJXZQF-LJQANCHMSA-N 0 1 320.392 3.146 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC([C@@H]2N(CCF)CC23CCC3)CC1 ZINC001093375515 1096685226 /nfs/dbraw/zinc/68/52/26/1096685226.db2.gz XYEVYIBISUUGTB-INIZCTEOSA-N 0 1 322.468 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2sccc2Cl)CC1 ZINC001277133092 1096705627 /nfs/dbraw/zinc/70/56/27/1096705627.db2.gz RECIGZSRRNFQMP-UHFFFAOYSA-N 0 1 312.866 3.352 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2ccccc2Cl)CC1 ZINC001226527146 1096713195 /nfs/dbraw/zinc/71/31/95/1096713195.db2.gz DSAOMTUGMBUEJS-UHFFFAOYSA-N 0 1 306.837 3.387 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1oc(C)nc1C ZINC001226533270 1096715203 /nfs/dbraw/zinc/71/52/03/1096715203.db2.gz SYKRTBGJCIMKLI-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](Oc2ccc3c[nH]nc3c2)C1 ZINC001226586951 1096727586 /nfs/dbraw/zinc/72/75/86/1096727586.db2.gz WSPGSHCYBBEFRN-GOSISDBHSA-N 0 1 318.380 3.088 20 30 DGEDMN C=C[C@@H](CCCCC)Oc1nc[nH]c(=O)c1Br ZINC001226719733 1096760015 /nfs/dbraw/zinc/76/00/15/1096760015.db2.gz SWABSBPZEWZIAC-VIFPVBQESA-N 0 1 301.184 3.458 20 30 DGEDMN C=C[C@H](Oc1n[nH]c(=O)cc1Br)c1ccccc1 ZINC001226848896 1096794581 /nfs/dbraw/zinc/79/45/81/1096794581.db2.gz IVIQCMGCYBKRHI-NSHDSACASA-N 0 1 307.147 3.251 20 30 DGEDMN C#CCCCCC(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001226952364 1096825272 /nfs/dbraw/zinc/82/52/72/1096825272.db2.gz ZMESEKLGNXYMEJ-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H]3C[C@H]3C3CC3)CCC[C@@H]12 ZINC001094532413 1096847323 /nfs/dbraw/zinc/84/73/23/1096847323.db2.gz SOVNUKPFRQLJPU-BVIKNXMNSA-N 0 1 322.880 3.146 20 30 DGEDMN N#Cc1ccc(OCCNc2cc(OCC3CC3)ccn2)cc1 ZINC001171485171 1096895412 /nfs/dbraw/zinc/89/54/12/1096895412.db2.gz DQHBVDWCJYCNTP-UHFFFAOYSA-N 0 1 309.369 3.233 20 30 DGEDMN COC(=O)c1ccc([C@@H](C)Oc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001227215573 1096896474 /nfs/dbraw/zinc/89/64/74/1096896474.db2.gz FRSMGNZMGXYUDU-LLVKDONJSA-N 0 1 321.336 3.361 20 30 DGEDMN C#CC[C@H]1CCCN(CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC001171491961 1096897208 /nfs/dbraw/zinc/89/72/08/1096897208.db2.gz ZXGLZXVKIYUAEL-LBPRGKRZSA-N 0 1 308.784 3.153 20 30 DGEDMN CC(C)C#CC(=O)NC1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC001227220795 1096898871 /nfs/dbraw/zinc/89/88/71/1096898871.db2.gz NYFCVWQOKNPGTH-HNNXBMFYSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1c(F)ccc(OC)c1Cl ZINC001227358255 1096920637 /nfs/dbraw/zinc/92/06/37/1096920637.db2.gz XTHLTAZTJMGPLH-SECBINFHSA-N 0 1 300.713 3.476 20 30 DGEDMN C#C[C@H]1CCCN(Cc2nn(-c3ccc(F)cc3)c3c2CCC3)C1 ZINC001171572106 1096927155 /nfs/dbraw/zinc/92/71/55/1096927155.db2.gz DYZAYVHOKSUYPV-HNNXBMFYSA-N 0 1 323.415 3.345 20 30 DGEDMN CCCCCCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1cn[nH]c1 ZINC001277230508 1096967638 /nfs/dbraw/zinc/96/76/38/1096967638.db2.gz ISPUYXJTSIGTJO-PBHICJAKSA-N 0 1 304.438 3.173 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](Oc2nc(=O)[nH]c3c2CO[C@H]3C)C(C)(C)O1 ZINC001227715791 1096975642 /nfs/dbraw/zinc/97/56/42/1096975642.db2.gz GUCIZDCUNJEVOX-GSDQYQHOSA-N 0 1 320.389 3.055 20 30 DGEDMN C#CCC1(C(=O)NC2CCN(Cc3ccsc3)CC2)CCC1 ZINC001227754438 1096982635 /nfs/dbraw/zinc/98/26/35/1096982635.db2.gz MNDVWFXOQCYNJG-UHFFFAOYSA-N 0 1 316.470 3.022 20 30 DGEDMN C=C[C@@H](CCCCCCC)Oc1c[nH]c(C(=O)OC)cc1=O ZINC001227786652 1096988829 /nfs/dbraw/zinc/98/88/29/1096988829.db2.gz UNJJYAXOVWJMKS-ZDUSSCGKSA-N 0 1 307.390 3.455 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228122868 1097055069 /nfs/dbraw/zinc/05/50/69/1097055069.db2.gz IBFMZUIYKNXAIH-RRFJBIMHSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(Cl)c([N+](=O)[O-])cc1Cl ZINC001228152630 1097063698 /nfs/dbraw/zinc/06/36/98/1097063698.db2.gz QETDPBULTXBORF-RXMQYKEDSA-N 0 1 318.112 3.500 20 30 DGEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCC[C@H]1C(=O)OC(C)(C)C ZINC001172307617 1097095874 /nfs/dbraw/zinc/09/58/74/1097095874.db2.gz PBICFYMWPOKDSV-PBHICJAKSA-N 0 1 314.429 3.295 20 30 DGEDMN Cc1ccc2c(n1)N(C)CCN([C@H](C)Cc1ccc(C#N)cc1)C2 ZINC001172307019 1097096241 /nfs/dbraw/zinc/09/62/41/1097096241.db2.gz ZKSABAKUJFWVDS-MRXNPFEDSA-N 0 1 320.440 3.145 20 30 DGEDMN C=CCOCC(COCC=C)Oc1ccc2nc(C)[nH]c2c1 ZINC001228327263 1097098034 /nfs/dbraw/zinc/09/80/34/1097098034.db2.gz QGYMYQANJFJGLE-UHFFFAOYSA-N 0 1 302.374 3.024 20 30 DGEDMN CC#CCN1CCC(NC(=O)CCC2CCCCCC2)CC1 ZINC001228333388 1097100226 /nfs/dbraw/zinc/10/02/26/1097100226.db2.gz XLFFILLEZFFDQA-UHFFFAOYSA-N 0 1 304.478 3.341 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)NCc1nnc(-c2ccccc2)o1 ZINC001172290843 1097105845 /nfs/dbraw/zinc/10/58/45/1097105845.db2.gz SNYATRXSFRUDTN-AWEZNQCLSA-N 0 1 318.380 3.329 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccccc1C(=O)OCC(C)C ZINC001228397353 1097112353 /nfs/dbraw/zinc/11/23/53/1097112353.db2.gz XOISLWDHQRBZSE-LLVKDONJSA-N 0 1 304.342 3.098 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC001228413109 1097116014 /nfs/dbraw/zinc/11/60/14/1097116014.db2.gz GWCVMPGUPHNLFX-CKEIUWERSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CCN1[C@@H](CC)CC(C)C ZINC001172402479 1097145306 /nfs/dbraw/zinc/14/53/06/1097145306.db2.gz NOYGXKWXBKMKAI-DOTOQJQBSA-N 0 1 320.481 3.225 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CCN(Cc2cccnc2C)CC1 ZINC001228580706 1097146017 /nfs/dbraw/zinc/14/60/17/1097146017.db2.gz ZMHVJXPAOSEBGZ-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@H](CCCCC)Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-] ZINC001228604845 1097150976 /nfs/dbraw/zinc/15/09/76/1097150976.db2.gz WYFJWVPQOUOCMA-LLVKDONJSA-N 0 1 307.350 3.482 20 30 DGEDMN C[C@H](CCCC#N)N1CCc2ncc(Br)cc2C1 ZINC001172503754 1097173298 /nfs/dbraw/zinc/17/32/98/1097173298.db2.gz CDMMERCVJRBVGA-LLVKDONJSA-N 0 1 308.223 3.285 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1c(Cl)csc1C(=O)OC ZINC001228777089 1097187544 /nfs/dbraw/zinc/18/75/44/1097187544.db2.gz BOYCUUDRFKCMDG-QMMMGPOBSA-N 0 1 316.762 3.176 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001228786364 1097190080 /nfs/dbraw/zinc/19/00/80/1097190080.db2.gz HIEOPFRRVJNPBQ-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN CN1[C@@H]2C[C@@H](Oc3ccc(C#N)cc3C(C)(C)C)[C@H]1CC(=O)C2 ZINC001228996300 1097233745 /nfs/dbraw/zinc/23/37/45/1097233745.db2.gz PDMNPCMHEVPVQE-FDQGKXFDSA-N 0 1 312.413 3.039 20 30 DGEDMN C[C@@H](CSc1ccc(Cl)cc1)NC1(C#N)CCN(C)CC1 ZINC001172873609 1097271258 /nfs/dbraw/zinc/27/12/58/1097271258.db2.gz NQYOGIFFSMHWNF-ZDUSSCGKSA-N 0 1 323.893 3.398 20 30 DGEDMN C=CCC(C)(C)C(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001229377451 1097309038 /nfs/dbraw/zinc/30/90/38/1097309038.db2.gz UGDYQNIABLZFJK-UHFFFAOYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)[C@@H]1CC=C(C)[C@@H](Oc2nc(C)[nH]c(=O)c2[N+](=O)[O-])C1 ZINC001229382887 1097309579 /nfs/dbraw/zinc/30/95/79/1097309579.db2.gz XGQFTZYEBQVJDZ-NEPJUHHUSA-N 0 1 305.334 3.079 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001229476880 1097328367 /nfs/dbraw/zinc/32/83/67/1097328367.db2.gz OPJYTCVTLNYFIX-KRWDZBQOSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(Br)ccc(F)c1F ZINC001229613296 1097353563 /nfs/dbraw/zinc/35/35/63/1097353563.db2.gz XWOQIEHKMUYNSF-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN C/C(=N\O)c1ccccc1OC[C@@H]1COc2cscc2O1 ZINC001229738270 1097374629 /nfs/dbraw/zinc/37/46/29/1097374629.db2.gz IGBGFGFOWKKZSN-HPUUJOOBSA-N 0 1 305.355 3.165 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncc(Oc2cccc(C)c2)cn1 ZINC001229815240 1097391413 /nfs/dbraw/zinc/39/14/13/1097391413.db2.gz XOSPJTKKKUJALW-NSHDSACASA-N 0 1 312.325 3.175 20 30 DGEDMN C#CCCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC(=C)C ZINC001277436826 1097423438 /nfs/dbraw/zinc/42/34/38/1097423438.db2.gz ZTAUYGGGZIJYQD-HDICACEKSA-N 0 1 302.462 3.212 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOCC ZINC001277441891 1097436361 /nfs/dbraw/zinc/43/63/61/1097436361.db2.gz SSSUCPBKJNUTOV-ZIFCJYIRSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC[C@@H]2CCc3ccccc32)CC1 ZINC001230337659 1097478116 /nfs/dbraw/zinc/47/81/16/1097478116.db2.gz AZLKGSFJMOJRHB-SFHVURJKSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN(CCCNC(=O)/C=C\C(C)(C)C)Cc1cccnc1 ZINC001230716179 1097562786 /nfs/dbraw/zinc/56/27/86/1097562786.db2.gz DKMULNKUJADQJG-KTKRTIGZSA-N 0 1 315.461 3.178 20 30 DGEDMN C=C(C)C[N@@H+](CCCNC(=O)CC1CCC1)Cc1cccnc1 ZINC001230722106 1097566174 /nfs/dbraw/zinc/56/61/74/1097566174.db2.gz AZKOAHILMFLMFN-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)no1 ZINC001230738172 1097570299 /nfs/dbraw/zinc/57/02/99/1097570299.db2.gz CTYRDZZDEQUSST-OAHLLOKOSA-N 0 1 307.438 3.100 20 30 DGEDMN C=CCN(CCCNC(=O)CC(C)=C(C)C)Cc1cccnc1 ZINC001230813821 1097596200 /nfs/dbraw/zinc/59/62/00/1097596200.db2.gz JJUADSDOUZZLLZ-UHFFFAOYSA-N 0 1 315.461 3.322 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@@H]1CN(C)C(=O)COC1CCCC1 ZINC001230900940 1097618450 /nfs/dbraw/zinc/61/84/50/1097618450.db2.gz XNTMLKFGPDPIMQ-QGZVFWFLSA-N 0 1 324.509 3.449 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc([C@@H](CC)CC(=O)OC)c1 ZINC001230999103 1097641548 /nfs/dbraw/zinc/64/15/48/1097641548.db2.gz HFUZSPHHANVQPJ-YPMHNXCESA-N 0 1 318.369 3.341 20 30 DGEDMN CC(C)c1ccc(CN2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)cc1 ZINC001231246594 1097701768 /nfs/dbraw/zinc/70/17/68/1097701768.db2.gz HHBDBCYZFOMFQR-CRAIPNDOSA-N 0 1 313.445 3.002 20 30 DGEDMN CN(CCCNC(=O)CC1CCCC1)Cc1ccc(C#N)s1 ZINC001231379589 1097734206 /nfs/dbraw/zinc/73/42/06/1097734206.db2.gz VMPSJOKWBWXAGY-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc([N+](=O)[O-])cc3)sc2C1 ZINC001174475597 1097739183 /nfs/dbraw/zinc/73/91/83/1097739183.db2.gz LRYFHIMCLJRTMR-UHFFFAOYSA-N 0 1 314.370 3.259 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)Cc1ccc(N(C)C)cc1 ZINC001231620724 1097793280 /nfs/dbraw/zinc/79/32/80/1097793280.db2.gz LWVXCNBUSMODDG-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN N#C[C@H]1CCCN(Cc2n[nH]c3cc(Br)ccc32)C1 ZINC001231617447 1097793563 /nfs/dbraw/zinc/79/35/63/1097793563.db2.gz DWAPEYSJPNBKTC-SNVBAGLBSA-N 0 1 319.206 3.061 20 30 DGEDMN C#Cc1cccc(CN2CCN(c3ccc(OC)cc3C)CC2)c1 ZINC001231661376 1097809317 /nfs/dbraw/zinc/80/93/17/1097809317.db2.gz RYDRHGQKCACUCZ-UHFFFAOYSA-N 0 1 320.436 3.307 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCC[C@@H]2c2cnccn2)cc1 ZINC001231817667 1097855546 /nfs/dbraw/zinc/85/55/46/1097855546.db2.gz LRAGGZSIJHYHFC-LJQANCHMSA-N 0 1 321.428 3.164 20 30 DGEDMN C[C@H](C#N)C(=O)N(C)C1CN(CC2CCC(C(C)(C)C)CC2)C1 ZINC001277575725 1097860409 /nfs/dbraw/zinc/86/04/09/1097860409.db2.gz NTESXYLLLBQFCX-QQFBHYJXSA-N 0 1 319.493 3.141 20 30 DGEDMN Cc1ccc(C#N)c(Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC001174813741 1097862352 /nfs/dbraw/zinc/86/23/52/1097862352.db2.gz CTYXCFNWQAGDQE-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(C#N)cc3F)cc2)CC1 ZINC001174813812 1097862660 /nfs/dbraw/zinc/86/26/60/1097862660.db2.gz GCBUYHDZVDQLPF-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001174848680 1097866944 /nfs/dbraw/zinc/86/69/44/1097866944.db2.gz FZKVLGAITPIZQY-HOCLYGCPSA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1csnn1 ZINC001174977369 1097891715 /nfs/dbraw/zinc/89/17/15/1097891715.db2.gz AHHVIOWKXDRDAK-KGLIPLIRSA-N 0 1 324.494 3.220 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1nc(C)oc1C ZINC001174978414 1097902825 /nfs/dbraw/zinc/90/28/25/1097902825.db2.gz MXICVSARWSCOAO-AWEZNQCLSA-N 0 1 321.465 3.412 20 30 DGEDMN COc1ncc(C)cc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001175337864 1098016787 /nfs/dbraw/zinc/01/67/87/1098016787.db2.gz ZCGGAVMSOGQJBR-UHFFFAOYSA-N 0 1 314.414 3.063 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCCCNCc1nocc1C ZINC001175468821 1098054036 /nfs/dbraw/zinc/05/40/36/1098054036.db2.gz KPFVJTFPFPNGDD-OAHLLOKOSA-N 0 1 321.465 3.350 20 30 DGEDMN COC(=O)c1cc(C#N)cc(Nc2c3cn[nH]c3ccc2C)c1 ZINC001175527445 1098084263 /nfs/dbraw/zinc/08/42/63/1098084263.db2.gz NTHCDIAOSPIWIO-UHFFFAOYSA-N 0 1 306.325 3.273 20 30 DGEDMN CCOC(=O)c1ccc(CN2CCC(S)CC2)c(Cl)c1 ZINC001232594122 1098087721 /nfs/dbraw/zinc/08/77/21/1098087721.db2.gz NPWROYSCEXEHGV-UHFFFAOYSA-N 0 1 313.850 3.411 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cccc(NC(=O)OC(C)(C)C)n2)C1 ZINC001232605458 1098093486 /nfs/dbraw/zinc/09/34/86/1098093486.db2.gz XRDNXOKOUMKKJA-CQSZACIVSA-N 0 1 315.417 3.274 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2cc(F)c(O)c(Br)c2)C1 ZINC001232637446 1098103388 /nfs/dbraw/zinc/10/33/88/1098103388.db2.gz UAFLOTNRZIENBY-ZDUSSCGKSA-N 0 1 313.170 3.029 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1CCC(F)CC1 ZINC001277696997 1098108368 /nfs/dbraw/zinc/10/83/68/1098108368.db2.gz ZVSOYYWYQKRLCD-YMAMQOFZSA-N 0 1 316.848 3.094 20 30 DGEDMN Cc1[nH]nc2ccc(Nc3nc4ncccc4cc3C#N)cc12 ZINC001175696096 1098125660 /nfs/dbraw/zinc/12/56/60/1098125660.db2.gz RFFIKWXRDAIQII-UHFFFAOYSA-N 0 1 300.325 3.430 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccc(C)s2)C1 ZINC001277714934 1098136197 /nfs/dbraw/zinc/13/61/97/1098136197.db2.gz RCLDAVAAYIJKIM-UHFFFAOYSA-N 0 1 306.475 3.098 20 30 DGEDMN COCC[C@@H]1CO[C@@]2(C1)CCCN(Cc1ccc(C#N)s1)C2 ZINC001232843159 1098150465 /nfs/dbraw/zinc/15/04/65/1098150465.db2.gz RZEFHNDAWKXYBD-WMLDXEAASA-N 0 1 320.458 3.027 20 30 DGEDMN N#Cc1cc(Nc2ccnc(Br)c2)c(O)cc1F ZINC001175750386 1098156941 /nfs/dbraw/zinc/15/69/41/1098156941.db2.gz UHQWJPHPHREHHD-UHFFFAOYSA-N 0 1 308.110 3.304 20 30 DGEDMN COCCOc1ccc(Nc2cc(C#N)c(F)cc2O)cc1 ZINC001175790236 1098172290 /nfs/dbraw/zinc/17/22/90/1098172290.db2.gz MYLHVIXBXRQWBP-UHFFFAOYSA-N 0 1 302.305 3.172 20 30 DGEDMN COC1(c2ccccc2)CCN(Cc2cccc(C#N)n2)CC1 ZINC001232925693 1098173288 /nfs/dbraw/zinc/17/32/88/1098173288.db2.gz LALXGYXYBWEWAL-UHFFFAOYSA-N 0 1 307.397 3.091 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2ccc(C)cc2C#N)C1 ZINC001232967516 1098187416 /nfs/dbraw/zinc/18/74/16/1098187416.db2.gz OYNRVCOFWGPUBC-GOSISDBHSA-N 0 1 300.402 3.032 20 30 DGEDMN C#Cc1cccc(Nc2ccccc2CN2CCN(C)CC2)c1 ZINC001175974779 1098216325 /nfs/dbraw/zinc/21/63/25/1098216325.db2.gz BMHLLJPUDNIRSZ-UHFFFAOYSA-N 0 1 305.425 3.159 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@@](O)(C(F)(F)F)[C@@H](C)C1 ZINC001233080057 1098220656 /nfs/dbraw/zinc/22/06/56/1098220656.db2.gz CBFBCXVVAWTZRD-WFASDCNBSA-N 0 1 312.335 3.002 20 30 DGEDMN CCOC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1Cc1ccc(C#N)cc1C ZINC001233082344 1098222243 /nfs/dbraw/zinc/22/22/43/1098222243.db2.gz JBGVUTMAPNFTQG-BZSNNMDCSA-N 0 1 312.413 3.030 20 30 DGEDMN N#Cc1c(Br)cccc1Nc1ccc2nn[nH]c2c1 ZINC001176002295 1098227950 /nfs/dbraw/zinc/22/79/50/1098227950.db2.gz KDMFNYZDOQLWAD-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1c(Br)cccc1Nc1ccc2n[nH]nc2c1 ZINC001176002295 1098227954 /nfs/dbraw/zinc/22/79/54/1098227954.db2.gz KDMFNYZDOQLWAD-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cc(Nc2ccc3nn[nH]c3c2)ccc1Br ZINC001176002200 1098228021 /nfs/dbraw/zinc/22/80/21/1098228021.db2.gz IFWYARQWHLNZPU-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cc(Nc2ccc3n[nH]nc3c2)ccc1Br ZINC001176002200 1098228023 /nfs/dbraw/zinc/22/80/23/1098228023.db2.gz IFWYARQWHLNZPU-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cccc(Nc2ccc3nn[nH]c3c2)c1Br ZINC001176002197 1098228319 /nfs/dbraw/zinc/22/83/19/1098228319.db2.gz KAHYJEYBUJPGLW-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cccc(Nc2ccc3n[nH]nc3c2)c1Br ZINC001176002197 1098228322 /nfs/dbraw/zinc/22/83/22/1098228322.db2.gz KAHYJEYBUJPGLW-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#C[C@H]1CCCN(Cc2ccc(O)c(OCC3CC3)c2)CC1 ZINC001233228792 1098256782 /nfs/dbraw/zinc/25/67/82/1098256782.db2.gz USNVNPSEPVSYGI-AWEZNQCLSA-N 0 1 300.402 3.307 20 30 DGEDMN COCOc1ccc(Nc2cc(C#N)c(F)cc2O)cc1F ZINC001176190834 1098275035 /nfs/dbraw/zinc/27/50/35/1098275035.db2.gz PPMZSTIDPVOAJV-UHFFFAOYSA-N 0 1 306.268 3.268 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc4c(c3)CCC4=O)sc2C1 ZINC001176232700 1098291125 /nfs/dbraw/zinc/29/11/25/1098291125.db2.gz PGHAQKWAUSEPGP-UHFFFAOYSA-N 0 1 323.421 3.480 20 30 DGEDMN N#CC1(c2ccccc2)CCN(Cc2cccc3nccn32)CC1 ZINC001233360890 1098301601 /nfs/dbraw/zinc/30/16/01/1098301601.db2.gz MAJJCJYCVARLFH-UHFFFAOYSA-N 0 1 316.408 3.392 20 30 DGEDMN N#Cc1ccc(C[N@@H+](Cc2ccccc2)C2CCOCC2)c([O-])c1 ZINC001233386513 1098310313 /nfs/dbraw/zinc/31/03/13/1098310313.db2.gz WTLVKLXYDPPQOJ-UHFFFAOYSA-N 0 1 322.408 3.445 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CC[C@H](OCc3ccccc3)C2)c([O-])c1 ZINC001233386093 1098310843 /nfs/dbraw/zinc/31/08/43/1098310843.db2.gz GFMZGOZINIYLNH-SFHVURJKSA-N 0 1 308.381 3.055 20 30 DGEDMN Cc1cccnc1O[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233388163 1098312801 /nfs/dbraw/zinc/31/28/01/1098312801.db2.gz OPBDZEQVHONQGJ-KRWDZBQOSA-N 0 1 323.396 3.011 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2c[nH]nc2-c2cc(F)cc(F)c2)C1 ZINC001233405064 1098318184 /nfs/dbraw/zinc/31/81/84/1098318184.db2.gz JAHVEQSSIHPCCC-LBPRGKRZSA-N 0 1 316.355 3.481 20 30 DGEDMN CCC[C@H](C#Cc1ccccc1)Oc1n[nH]c(=O)cc1Cl ZINC001233588239 1098383769 /nfs/dbraw/zinc/38/37/69/1098383769.db2.gz CMVHJFDDXXXZAL-CYBMUJFWSA-N 0 1 302.761 3.435 20 30 DGEDMN C=C(C)CCN1CCO[C@H]2CCN(C(=O)C=C(CC)CC)C[C@H]21 ZINC001176982669 1098458912 /nfs/dbraw/zinc/45/89/12/1098458912.db2.gz HEAYNWHSJVXYRD-MSOLQXFVSA-N 0 1 320.477 3.001 20 30 DGEDMN Cn1nccc1C[C@@H]1CCCN1CC#Cc1ccccc1Cl ZINC001177008801 1098462965 /nfs/dbraw/zinc/46/29/65/1098462965.db2.gz OAZUFMBWBTXRRL-KRWDZBQOSA-N 0 1 313.832 3.132 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)cc1C ZINC001233946967 1098463851 /nfs/dbraw/zinc/46/38/51/1098463851.db2.gz GNCPHKWFYJRNRD-LJQANCHMSA-N 0 1 312.457 3.140 20 30 DGEDMN C#Cc1ccc(O[C@@H]2CCN(Cc3ccc(Cl)cc3)C2)nc1 ZINC001233966110 1098468200 /nfs/dbraw/zinc/46/82/00/1098468200.db2.gz QRUQLCMCRHGLJR-QGZVFWFLSA-N 0 1 312.800 3.370 20 30 DGEDMN CN(CCCNC(=O)CC(C)(C)C)Cc1cc(C#N)ccc1F ZINC001233998033 1098473244 /nfs/dbraw/zinc/47/32/44/1098473244.db2.gz VKBYTEBEZSAGRD-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc(Cl)c1C ZINC001277826900 1098483282 /nfs/dbraw/zinc/48/32/82/1098483282.db2.gz SZSATVCYPGSBSJ-LLVKDONJSA-N 0 1 315.244 3.451 20 30 DGEDMN CN(C[C@@H]1CCN1Cc1ccccc1C1CC1)C(=O)C#CC1CC1 ZINC001234186973 1098511609 /nfs/dbraw/zinc/51/16/09/1098511609.db2.gz QYOXXQOCUZLZDF-IBGZPJMESA-N 0 1 322.452 3.010 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H](OCC)C1=CCCC1 ZINC001234324037 1098537106 /nfs/dbraw/zinc/53/71/06/1098537106.db2.gz XOLHFADWFMFELT-QZTJIDSGSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCC1(C(=O)NCCCN[C@@H](C)c2ncc(C)o2)CCCC1 ZINC001177548026 1098554339 /nfs/dbraw/zinc/55/43/39/1098554339.db2.gz MQCUGVDPZKTGAT-HNNXBMFYSA-N 0 1 319.449 3.276 20 30 DGEDMN C=C[C@H](C#CC(C)(C)C)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234514049 1098575194 /nfs/dbraw/zinc/57/51/94/1098575194.db2.gz RQOFIRCPSXCFJN-CYBMUJFWSA-N 0 1 307.353 3.190 20 30 DGEDMN CCCC(=O)[C@H](CCC)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234531176 1098584870 /nfs/dbraw/zinc/58/48/70/1098584870.db2.gz UBKFGHXFBXSYHU-HNNXBMFYSA-N 0 1 313.357 3.124 20 30 DGEDMN N#CCCCCNCc1c(Br)cccc1[N+](=O)[O-] ZINC001177748802 1098599260 /nfs/dbraw/zinc/59/92/60/1098599260.db2.gz GRQVIGDZBUSGLE-UHFFFAOYSA-N 0 1 312.167 3.141 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(Cl)cc(C(=O)OC)c1 ZINC001234624010 1098622341 /nfs/dbraw/zinc/62/23/41/1098622341.db2.gz VTRMAFIXDOWRDZ-LBPRGKRZSA-N 0 1 310.733 3.115 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)[C@@H](C#N)Cc3cccs3)ccc12 ZINC001177910958 1098645029 /nfs/dbraw/zinc/64/50/29/1098645029.db2.gz BWOTXZSLEIAYJA-LLVKDONJSA-N 0 1 310.382 3.254 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cc(F)c(F)c(F)c1 ZINC001177916522 1098647992 /nfs/dbraw/zinc/64/79/92/1098647992.db2.gz IHPOBDVRFBRSFA-SNVBAGLBSA-N 0 1 324.327 3.164 20 30 DGEDMN Cn1c(CNC(=O)C(C#N)Cc2cccs2)cc2ccccc21 ZINC001177917573 1098648927 /nfs/dbraw/zinc/64/89/27/1098648927.db2.gz VBWGXUYKTQLNRR-CQSZACIVSA-N 0 1 323.421 3.238 20 30 DGEDMN COc1ccccc1OC[C@@H](C)Oc1ccccc1C=NO ZINC001234819888 1098671453 /nfs/dbraw/zinc/67/14/53/1098671453.db2.gz QBDKKNUQMXJPAQ-CYBMUJFWSA-N 0 1 301.342 3.350 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCc1ccccc1Cl ZINC001235069045 1098781964 /nfs/dbraw/zinc/78/19/64/1098781964.db2.gz BZCZWXIVLXBYNU-MRXNPFEDSA-N 0 1 320.864 3.381 20 30 DGEDMN C=C(C)CCC(=O)NCCCCCCN[C@@H](C)c1ncccn1 ZINC001178598902 1098883772 /nfs/dbraw/zinc/88/37/72/1098883772.db2.gz OADIFWGOLLDIOI-INIZCTEOSA-N 0 1 318.465 3.160 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C(C)C)cn1 ZINC001277942041 1098990838 /nfs/dbraw/zinc/99/08/38/1098990838.db2.gz TWOIZXXMVWBQQF-HNNXBMFYSA-N 0 1 321.852 3.152 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C/C=C\c1cccc(F)c1 ZINC001235474027 1099057431 /nfs/dbraw/zinc/05/74/31/1099057431.db2.gz ZIKYTDONMCDSJB-LZCIBIBXSA-N 0 1 316.420 3.338 20 30 DGEDMN N#CCc1ccccc1CN1CC2(CN(Cc3ccccc3)C2)C1 ZINC001235527067 1099073817 /nfs/dbraw/zinc/07/38/17/1099073817.db2.gz HJLVUBMSAHRATK-UHFFFAOYSA-N 0 1 317.436 3.070 20 30 DGEDMN C=CCCCC(=O)NCCCCCCN[C@H](C)c1csnn1 ZINC001179425864 1099103025 /nfs/dbraw/zinc/10/30/25/1099103025.db2.gz IVAZWVWFFUPLKK-CQSZACIVSA-N 0 1 324.494 3.222 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1onc(C)c1C ZINC001235651286 1099109193 /nfs/dbraw/zinc/10/91/93/1099109193.db2.gz HBKXPBXYLNYEOF-MRXNPFEDSA-N 0 1 319.449 3.184 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCCCCNCc1ncc(C)o1 ZINC001179482604 1099114395 /nfs/dbraw/zinc/11/43/95/1099114395.db2.gz XRQNCPBDWYDAOY-GOSISDBHSA-N 0 1 321.465 3.352 20 30 DGEDMN C[C@H]1CC[C@](CO)(NCc2csc(C#N)c2)c2ccccc21 ZINC001179789589 1099203650 /nfs/dbraw/zinc/20/36/50/1099203650.db2.gz SYBZEWZYBGPMDD-SCLBCKFNSA-N 0 1 312.438 3.494 20 30 DGEDMN C#Cc1ccc(CN(CC)[C@H]2CCN(c3ccccc3)C2=O)cc1 ZINC001179892781 1099226150 /nfs/dbraw/zinc/22/61/50/1099226150.db2.gz RQCJMCIJHAVHOG-FQEVSTJZSA-N 0 1 318.420 3.295 20 30 DGEDMN C=CCn1ccc2c1ncnc2-c1c(F)cc(F)c(O)c1F ZINC001235987013 1099310327 /nfs/dbraw/zinc/31/03/27/1099310327.db2.gz YLSQZQPWRIGRBB-UHFFFAOYSA-N 0 1 305.259 3.407 20 30 DGEDMN C[C@H](C(=O)Nc1cccc(C#C[Si](C)(C)C)c1)N1CCCC1 ZINC001180586519 1099466129 /nfs/dbraw/zinc/46/61/29/1099466129.db2.gz XEALKJVXOIPTFK-OAHLLOKOSA-N 0 1 314.505 3.338 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)C1(c2ccc(Cl)cc2Cl)CC1 ZINC001180853757 1099516218 /nfs/dbraw/zinc/51/62/18/1099516218.db2.gz BZXYVOTYTNRCJT-UHFFFAOYSA-N 0 1 321.167 3.258 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(Cl)cc1C#C[Si](C)(C)C)N(C)C ZINC001180861773 1099517517 /nfs/dbraw/zinc/51/75/17/1099517517.db2.gz BESPIIKDAAGQGH-LBPRGKRZSA-N 0 1 322.912 3.458 20 30 DGEDMN Cc1nc(N2CCN([C@@H](C)c3ccccc3)CC2)ccc1C#N ZINC001187463318 1099525203 /nfs/dbraw/zinc/52/52/03/1099525203.db2.gz UWQWPLCEPRZZGI-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN C=CC[C@H](C(=O)N1CC[C@@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001188002370 1099655740 /nfs/dbraw/zinc/65/57/40/1099655740.db2.gz PALBXRUQHDYZEJ-SJORKVTESA-N 0 1 318.848 3.289 20 30 DGEDMN N#Cc1ccc(CSc2nc(-c3ccccc3F)n[nH]2)o1 ZINC001188037969 1099658602 /nfs/dbraw/zinc/65/86/02/1099658602.db2.gz MPNUCNWCBOUQPA-UHFFFAOYSA-N 0 1 300.318 3.368 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2cc(C)cc(F)c2)C1=O ZINC001273557274 1099732780 /nfs/dbraw/zinc/73/27/80/1099732780.db2.gz PYEVJHBMZKUKKN-IBGZPJMESA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2cccc3occc32)C1 ZINC001236746222 1099769526 /nfs/dbraw/zinc/76/95/26/1099769526.db2.gz QKMKTVBGMCIMIC-HNNXBMFYSA-N 0 1 314.385 3.309 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001188610751 1099792352 /nfs/dbraw/zinc/79/23/52/1099792352.db2.gz RBMKNDYLYHYBHZ-CWIOURORSA-N 0 1 318.848 3.341 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)C[C@H](C)NCc1ccccc1C#N ZINC001278018161 1099831975 /nfs/dbraw/zinc/83/19/75/1099831975.db2.gz XQMIHSGXJRMFFM-HNNXBMFYSA-N 0 1 315.461 3.321 20 30 DGEDMN C[C@]1(O)CCCN(Cc2ccc(C#N)c(Br)c2)CC1 ZINC001236856363 1099837161 /nfs/dbraw/zinc/83/71/61/1099837161.db2.gz LPPUWQSLGRCHDW-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)c1ccccc1C ZINC001278032970 1099889343 /nfs/dbraw/zinc/88/93/43/1099889343.db2.gz DSMLSIHVGGTFRC-HNNXBMFYSA-N 0 1 322.880 3.462 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC001188886752 1099893433 /nfs/dbraw/zinc/89/34/33/1099893433.db2.gz JCQYQVVSHXJDSZ-SFHVURJKSA-N 0 1 312.457 3.007 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H]2CN(CCC(F)(F)F)C[C@H]21 ZINC001188908940 1099899080 /nfs/dbraw/zinc/89/90/80/1099899080.db2.gz LNCRCRZRKCTCBL-MELADBBJSA-N 0 1 318.383 3.074 20 30 DGEDMN C=CCCN(Cc1cc(C(=O)OCC)n[nH]1)Cc1ccccc1 ZINC001237036629 1099935883 /nfs/dbraw/zinc/93/58/83/1099935883.db2.gz XEZXBFAVXZLRFO-UHFFFAOYSA-N 0 1 313.401 3.165 20 30 DGEDMN CC/C=C(/C)C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001189177458 1099966700 /nfs/dbraw/zinc/96/67/00/1099966700.db2.gz BQZQTBCBBPKZPB-UUSOHVMFSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N(C)Cc2ccc(F)c(Cl)c2)C1 ZINC001189279155 1099998054 /nfs/dbraw/zinc/99/80/54/1099998054.db2.gz HCBKIKDMBPVALS-AWEZNQCLSA-N 0 1 324.827 3.478 20 30 DGEDMN C=CCN1CCN(Cc2ccnc3c(Cl)cccc23)CC1 ZINC001237149320 1099999641 /nfs/dbraw/zinc/99/96/41/1099999641.db2.gz XPIJFCAWYPUYMJ-UHFFFAOYSA-N 0 1 301.821 3.192 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2cnc(C)o2)CC1 ZINC001278071175 1100042819 /nfs/dbraw/zinc/04/28/19/1100042819.db2.gz GRBRXCVTHHKARL-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)CCCCCC)[C@@H]2C1 ZINC001189506603 1100070592 /nfs/dbraw/zinc/07/05/92/1100070592.db2.gz HOFFLRMFXBHYCO-FGTMMUONSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)CCCCCC)[C@@H]2C1 ZINC001189506605 1100070703 /nfs/dbraw/zinc/07/07/03/1100070703.db2.gz HOFFLRMFXBHYCO-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c3ccc(C)cc3[nH]c2C(=O)OCC)C1 ZINC001237322826 1100077534 /nfs/dbraw/zinc/07/75/34/1100077534.db2.gz IVOXJECXFRUVEU-OAHLLOKOSA-N 0 1 324.424 3.498 20 30 DGEDMN Cn1cc(C[N@@H+](C)Cc2ccccc2O)c2cc(C#N)ccc21 ZINC001237473727 1100135167 /nfs/dbraw/zinc/13/51/67/1100135167.db2.gz SHWIXFRPLANCHB-UHFFFAOYSA-N 0 1 305.381 3.388 20 30 DGEDMN N#Cc1ccc(CC2CCN(Cc3ccnc(C#N)c3)CC2)cc1 ZINC001237470772 1100135579 /nfs/dbraw/zinc/13/55/79/1100135579.db2.gz VPXAUDGLVFDPGQ-UHFFFAOYSA-N 0 1 316.408 3.280 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCc1cccc(Cl)n1 ZINC001273588171 1100137769 /nfs/dbraw/zinc/13/77/69/1100137769.db2.gz AGEWKFKHSHUZQN-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN N#C[C@@H]1CN(Cc2c(Cl)cc(F)cc2Cl)CCC1=O ZINC001237600496 1100183369 /nfs/dbraw/zinc/18/33/69/1100183369.db2.gz YJMWJNKBJVXTST-MRVPVSSYSA-N 0 1 301.148 3.047 20 30 DGEDMN CCc1[nH]ncc1C(=O)Nc1c(C#N)cccc1C(F)(F)F ZINC001190142243 1100206809 /nfs/dbraw/zinc/20/68/09/1100206809.db2.gz CHLGENAATXPIPS-UHFFFAOYSA-N 0 1 308.263 3.115 20 30 DGEDMN COc1ccc(C)c(CN2CCN(c3cccc(C#N)c3)CC2)c1 ZINC001237715806 1100225788 /nfs/dbraw/zinc/22/57/88/1100225788.db2.gz NRXSYPRGHLNTKQ-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCC)C1CCN(C[C@H](F)CC)CC1 ZINC001278136768 1100226779 /nfs/dbraw/zinc/22/67/79/1100226779.db2.gz BQSOZOJTWGNMSB-JKSUJKDBSA-N 0 1 310.457 3.097 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]([N@@H+](C)Cc2scnc2C)C1 ZINC001190398528 1100259449 /nfs/dbraw/zinc/25/94/49/1100259449.db2.gz WYCYNKUSTRLQJJ-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC001190398528 1100259456 /nfs/dbraw/zinc/25/94/56/1100259456.db2.gz WYCYNKUSTRLQJJ-CQSZACIVSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)Cc2cccc(Cl)n2)C1 ZINC001190424569 1100272351 /nfs/dbraw/zinc/27/23/51/1100272351.db2.gz YNONBZBNXNDWQA-HNNXBMFYSA-N 0 1 321.852 3.124 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@H](F)CO3)CC2)c(Cl)c1 ZINC001238086596 1100354964 /nfs/dbraw/zinc/35/49/64/1100354964.db2.gz KHICHLDXXSATOL-AWEZNQCLSA-N 0 1 308.784 3.305 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@@H](F)CO3)CC2)c(Cl)c1 ZINC001238086601 1100355876 /nfs/dbraw/zinc/35/58/76/1100355876.db2.gz KHICHLDXXSATOL-CQSZACIVSA-N 0 1 308.784 3.305 20 30 DGEDMN C#CCN(C(=O)CCC1(C)CC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001278194475 1100372255 /nfs/dbraw/zinc/37/22/55/1100372255.db2.gz NTDWYGBBPLSYQE-INIZCTEOSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)C1CC1)C1CCN(C[C@H](F)CC)CC1 ZINC001278208186 1100404628 /nfs/dbraw/zinc/40/46/28/1100404628.db2.gz VKUWYMITHXHPBU-DOTOQJQBSA-N 0 1 322.468 3.097 20 30 DGEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2occc2-c2ccccc2)C1 ZINC001191226261 1100432994 /nfs/dbraw/zinc/43/29/94/1100432994.db2.gz FYJKJBKSFMVYMY-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2occc2-c2ccccc2)C1 ZINC001191226261 1100432996 /nfs/dbraw/zinc/43/29/96/1100432996.db2.gz FYJKJBKSFMVYMY-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN Cc1c(C(=O)N2CCCc3cc(C#N)ccc32)ccc2cncn21 ZINC001273621351 1100494707 /nfs/dbraw/zinc/49/47/07/1100494707.db2.gz YICOGZFHIIBYRJ-UHFFFAOYSA-N 0 1 316.364 3.107 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191789114 1100522329 /nfs/dbraw/zinc/52/23/29/1100522329.db2.gz CLGOIMJMEAYNOJ-VOMPUTFMSA-N 0 1 322.452 3.093 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CCCC2CC2)C1 ZINC001191859630 1100527660 /nfs/dbraw/zinc/52/76/60/1100527660.db2.gz OOBZNCQRHFDFFU-HXUWFJFHSA-N 0 1 324.468 3.151 20 30 DGEDMN CCc1cc(CN2CCC(c3noc(C)n3)CC2)ccc1C#N ZINC001238778325 1100527906 /nfs/dbraw/zinc/52/79/06/1100527906.db2.gz LPXRMUFBRSHKOD-UHFFFAOYSA-N 0 1 310.401 3.192 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001191889576 1100540813 /nfs/dbraw/zinc/54/08/13/1100540813.db2.gz IJERDURKPDKVEL-GOEBONIOSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)Cc2ccsc2)C1 ZINC001191889577 1100541412 /nfs/dbraw/zinc/54/14/12/1100541412.db2.gz IJERDURKPDKVEL-HOCLYGCPSA-N 0 1 306.475 3.383 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001191913342 1100549079 /nfs/dbraw/zinc/54/90/79/1100549079.db2.gz XDFQYJVLESJJIO-AZUAARDMSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2ccnc(Cl)c2)C1 ZINC001192072967 1100566817 /nfs/dbraw/zinc/56/68/17/1100566817.db2.gz NTKZAOBXIOQAKO-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2cccc(Cl)n2)C1 ZINC001192072107 1100571876 /nfs/dbraw/zinc/57/18/76/1100571876.db2.gz ANLLYPVDHZEKMV-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN CC(C)C1(C(=O)N2CC[C@H]([N@@H+](C)CC#Cc3ccccc3)C2)CC1 ZINC001192225787 1100591696 /nfs/dbraw/zinc/59/16/96/1100591696.db2.gz BUVFODJDQMXRJL-IBGZPJMESA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)C1(C(=O)N2CC[C@H](N(C)CC#Cc3ccccc3)C2)CC1 ZINC001192225787 1100591700 /nfs/dbraw/zinc/59/17/00/1100591700.db2.gz BUVFODJDQMXRJL-IBGZPJMESA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H](CC)c2ccc(C)cc2)C1 ZINC001192333297 1100605239 /nfs/dbraw/zinc/60/52/39/1100605239.db2.gz SBPRJWNKGQFCRF-PKOBYXMFSA-N 0 1 312.457 3.045 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1cnc(Cl)cc1C ZINC001192355540 1100608843 /nfs/dbraw/zinc/60/88/43/1100608843.db2.gz KMRTYUADCCDIJM-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2cnc(Cl)cc2C)c1 ZINC001192367519 1100611535 /nfs/dbraw/zinc/61/15/35/1100611535.db2.gz FKRZPCLXBGBWMK-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=CCN(C(=O)c1cc(C(=O)OC)ccc1O)C1CCCCC1 ZINC001192387345 1100613860 /nfs/dbraw/zinc/61/38/60/1100613860.db2.gz QYYXFALABGWMRZ-UHFFFAOYSA-N 0 1 317.385 3.140 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)c(C)c2)cnc1Cl ZINC001192571338 1100633337 /nfs/dbraw/zinc/63/33/37/1100633337.db2.gz DMHZJMHHSFUMCZ-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2c(c1)oc1ccccc12 ZINC001193146663 1100712281 /nfs/dbraw/zinc/71/22/81/1100712281.db2.gz QCGALEGLGOSRMQ-JTQLQIEISA-N 0 1 300.339 3.240 20 30 DGEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001193432041 1100744763 /nfs/dbraw/zinc/74/47/63/1100744763.db2.gz FNKZCMFTGJPNIC-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001193432041 1100744767 /nfs/dbraw/zinc/74/47/67/1100744767.db2.gz FNKZCMFTGJPNIC-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3ccccc3nc2Cl)ccc1O ZINC001193498695 1100752476 /nfs/dbraw/zinc/75/24/76/1100752476.db2.gz LBYQBERMPRQMPS-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193515023 1100759346 /nfs/dbraw/zinc/75/93/46/1100759346.db2.gz IYSVDIAVJMFDGO-CYBMUJFWSA-N 0 1 322.364 3.320 20 30 DGEDMN N#Cc1cccc(C(=O)N2CCC[C@@H](Cc3ccccc3)C2)c1O ZINC001193644171 1100780823 /nfs/dbraw/zinc/78/08/23/1100780823.db2.gz RHVTYRPAECQCKM-INIZCTEOSA-N 0 1 320.392 3.359 20 30 DGEDMN CC(C)c1nc(CN(C)C(=O)c2cccc(C#N)c2O)cs1 ZINC001193646863 1100782913 /nfs/dbraw/zinc/78/29/13/1100782913.db2.gz PEJOFGNFTGLDJR-UHFFFAOYSA-N 0 1 315.398 3.116 20 30 DGEDMN N#Cc1cccc(C(=O)N[C@@H](c2ccccc2F)C2CC2)c1O ZINC001193659322 1100785631 /nfs/dbraw/zinc/78/56/31/1100785631.db2.gz LHQKDMSBCGVQAR-MRXNPFEDSA-N 0 1 310.328 3.284 20 30 DGEDMN CCCCOC(=O)NC1CCN(Cc2ccc(C#N)cc2)CC1 ZINC001193716078 1100801319 /nfs/dbraw/zinc/80/13/19/1100801319.db2.gz XMWHHPBCUUCCCF-UHFFFAOYSA-N 0 1 315.417 3.049 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)CC(CC)CC)O2 ZINC001273668928 1100852668 /nfs/dbraw/zinc/85/26/68/1100852668.db2.gz JLRLZVHEZOWDSZ-KRWDZBQOSA-N 0 1 322.493 3.129 20 30 DGEDMN CC(C)CNC(=O)c1cccc(-c2nccc3[nH]cc(C#N)c32)c1 ZINC001240091593 1100862397 /nfs/dbraw/zinc/86/23/97/1100862397.db2.gz XNQUFERXLSMQKC-UHFFFAOYSA-N 0 1 318.380 3.487 20 30 DGEDMN N#CCc1ccc(F)cc1-c1cccc(CCN2CCOCC2)c1 ZINC001240270673 1100917786 /nfs/dbraw/zinc/91/77/86/1100917786.db2.gz KTIXJUHKLNJRHI-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN C=CCCCCCN1CCCN(C(=O)c2cnc(C)s2)CC1 ZINC001195079007 1101065962 /nfs/dbraw/zinc/06/59/62/1101065962.db2.gz HOOGYVAEOAZEIK-UHFFFAOYSA-N 0 1 321.490 3.346 20 30 DGEDMN C=CCCOc1ccc(C(=O)N2CCN(C(C)(C)C)CC2)cc1 ZINC001195123660 1101077142 /nfs/dbraw/zinc/07/71/42/1101077142.db2.gz WDBCXBQYHVOOHX-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@H](C)c2cccc(OC)c2)CC1 ZINC001195232958 1101095066 /nfs/dbraw/zinc/09/50/66/1101095066.db2.gz OJHSVBQRLLMINN-MRXNPFEDSA-N 0 1 316.445 3.257 20 30 DGEDMN Cc1cc(C#N)cc(C(=O)Nc2nc(Cl)cc3[nH]cnc32)c1 ZINC001195409374 1101129601 /nfs/dbraw/zinc/12/96/01/1101129601.db2.gz OEZDNGVACYEUKX-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN CN1CCN(c2ccc(-c3ccc(F)c(C#N)c3F)cc2)CC1 ZINC001240855616 1101160282 /nfs/dbraw/zinc/16/02/82/1101160282.db2.gz YKGBNWISKBZEGL-UHFFFAOYSA-N 0 1 313.351 3.255 20 30 DGEDMN Cc1ccc(NC(=O)c2ccc(C#N)cc2O)cc1OC(F)F ZINC001195757645 1101190189 /nfs/dbraw/zinc/19/01/89/1101190189.db2.gz FNUZKNZNWXDEQL-UHFFFAOYSA-N 0 1 318.279 3.426 20 30 DGEDMN Cc1cc(C)cc(OC[C@H](C)NC(=O)c2ccc(C#N)cc2O)c1 ZINC001195758732 1101190584 /nfs/dbraw/zinc/19/05/84/1101190584.db2.gz QXPVYOXMXJFVGK-AWEZNQCLSA-N 0 1 324.380 3.078 20 30 DGEDMN N#Cc1ccc(C(=O)N[C@@H](c2ccccc2F)C2CC2)c(O)c1 ZINC001195764667 1101193401 /nfs/dbraw/zinc/19/34/01/1101193401.db2.gz NVOQLJZWNWLOGK-QGZVFWFLSA-N 0 1 310.328 3.284 20 30 DGEDMN CC(C)c1nc(CN(C)C(=O)c2ccc(C#N)cc2O)cs1 ZINC001195740696 1101196538 /nfs/dbraw/zinc/19/65/38/1101196538.db2.gz CWOBXFVAAGYVDD-UHFFFAOYSA-N 0 1 315.398 3.116 20 30 DGEDMN C=CC[C@@H]1CCCN(CC(=O)Nc2ccc(OCC)cc2)C1 ZINC001195947321 1101229488 /nfs/dbraw/zinc/22/94/88/1101229488.db2.gz SAKXSVGQXKPIHG-OAHLLOKOSA-N 0 1 302.418 3.312 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(Cc2csc(C)c2)CC1 ZINC001196018166 1101236913 /nfs/dbraw/zinc/23/69/13/1101236913.db2.gz LYENHNVJCLQMJN-UHFFFAOYSA-N 0 1 306.475 3.447 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(C#N)cc3OC)[nH]c-2n1 ZINC001241393018 1101427874 /nfs/dbraw/zinc/42/78/74/1101427874.db2.gz BFEBGZGJKJNZSB-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)CCCCc2ccc(F)cc2)CC1 ZINC001197262578 1101513870 /nfs/dbraw/zinc/51/38/70/1101513870.db2.gz NQTPZESDFFUEID-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C=CCN1CCCN(C(=O)CCCCc2ccc(F)cc2)CC1 ZINC001197262578 1101513878 /nfs/dbraw/zinc/51/38/78/1101513878.db2.gz NQTPZESDFFUEID-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1csc(C)c1 ZINC001110494724 1101635773 /nfs/dbraw/zinc/63/57/73/1101635773.db2.gz VCLPAPZIMVWUGL-ZACQAIPSSA-N 0 1 316.470 3.082 20 30 DGEDMN N#Cc1c[nH]c2ccnc(-c3ccc(OC4CCOCC4)nc3)c12 ZINC001241697232 1101642983 /nfs/dbraw/zinc/64/29/83/1101642983.db2.gz VJHJOLSEAAEOOH-UHFFFAOYSA-N 0 1 320.352 3.054 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001198040527 1101745684 /nfs/dbraw/zinc/74/56/84/1101745684.db2.gz LYFQENAAMASBCH-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](CCC)c2ccccc2)CC1 ZINC001198040527 1101745700 /nfs/dbraw/zinc/74/57/00/1101745700.db2.gz LYFQENAAMASBCH-GOSISDBHSA-N 0 1 300.446 3.291 20 30 DGEDMN CCN(Cc1ccc(C)cc1Cl)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152413315 1101762422 /nfs/dbraw/zinc/76/24/22/1101762422.db2.gz MFXKORMSTINXED-UONOGXRCSA-N 0 1 321.852 3.135 20 30 DGEDMN C#Cc1cccc(-c2cc(CN3CCN(C)CC3)ccc2OC)c1 ZINC001241921320 1101781413 /nfs/dbraw/zinc/78/14/13/1101781413.db2.gz MMRVZYJGEZKQQS-UHFFFAOYSA-N 0 1 320.436 3.091 20 30 DGEDMN CC(C)(C)c1nc2c(o1)c(C#N)cnc2-c1ccc2nn[nH]c2c1 ZINC001241940128 1101793415 /nfs/dbraw/zinc/79/34/15/1101793415.db2.gz AZTUTLUDHUJYOT-UHFFFAOYSA-N 0 1 318.340 3.330 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C1CCCC1)c1ccccc1OC ZINC001198481478 1101886855 /nfs/dbraw/zinc/88/68/55/1101886855.db2.gz IHRFAQXFQXOBHO-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)CCC1CC1)c1ccccc1OC ZINC001198629502 1101935194 /nfs/dbraw/zinc/93/51/94/1101935194.db2.gz ZIIAEAWFMVSCEN-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC(C)(C)C=C)c1ccccc1OC ZINC001198741763 1101964152 /nfs/dbraw/zinc/96/41/52/1101964152.db2.gz LZGXCTNNFMGXJE-MRXNPFEDSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@H]1CCC1(C)C)c1ccccc1OC ZINC001198855472 1102000999 /nfs/dbraw/zinc/00/09/99/1102000999.db2.gz CGHZASQIXUKHLM-CVEARBPZSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@](C)(C=C)CC)c1ccccc1OC ZINC001198885700 1102022699 /nfs/dbraw/zinc/02/26/99/1102022699.db2.gz PTHVTKRUZJIVAF-LPHOPBHVSA-N 0 1 316.445 3.230 20 30 DGEDMN N#Cc1cccc(CNCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001199178745 1102079198 /nfs/dbraw/zinc/07/91/98/1102079198.db2.gz GJCQDDQTRGFPOO-UHFFFAOYSA-N 0 1 302.280 3.170 20 30 DGEDMN C=CCCC(=O)N1CCC(NCc2cc(C(C)(C)C)on2)CC1 ZINC001199726393 1102194907 /nfs/dbraw/zinc/19/49/07/1102194907.db2.gz ZPLUSDQHRPZUCH-UHFFFAOYSA-N 0 1 319.449 3.019 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001110543981 1102242170 /nfs/dbraw/zinc/24/21/70/1102242170.db2.gz QLJXOETWCHKBSJ-OAGGEKHMSA-N 0 1 316.470 3.019 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCN1Cc1sc(C)nc1C ZINC001493127639 1102379113 /nfs/dbraw/zinc/37/91/13/1102379113.db2.gz BDCXFPUMPGHOPD-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(C#N)nc1-c1ccc(F)c(O)c1 ZINC001243049149 1102496937 /nfs/dbraw/zinc/49/69/37/1102496937.db2.gz PXHDXTWAFNIJGW-UHFFFAOYSA-N 0 1 313.332 3.450 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cccc3ccoc32)cc1C#N ZINC001201323048 1102553308 /nfs/dbraw/zinc/55/33/08/1102553308.db2.gz XWTHHPTZUBMKCE-UHFFFAOYSA-N 0 1 312.350 3.414 20 30 DGEDMN CCCCCCCCCN1CCO[C@@]2(CCCN(C(C)=O)C2)C1 ZINC001201846341 1102744610 /nfs/dbraw/zinc/74/46/10/1102744610.db2.gz JFRPZVFMLVZHTO-IBGZPJMESA-N 0 1 324.509 3.450 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC001202123202 1102842554 /nfs/dbraw/zinc/84/25/54/1102842554.db2.gz ONYRMQQAFUNEBL-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN CCOC(=O)c1cc2ccc(-c3ccc(OC)cc3C#N)[nH]c-2n1 ZINC001243729079 1102945101 /nfs/dbraw/zinc/94/51/01/1102945101.db2.gz BDJAUIYEJWWMFK-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN COCOc1cnc(C2=CC[C@H](C#N)CC2)cc1/C=C/N(C)C ZINC001243800368 1102984214 /nfs/dbraw/zinc/98/42/14/1102984214.db2.gz YUKUIHLIIHGUSY-VFNNOXKTSA-N 0 1 313.401 3.304 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](c1ccccc1)C(C)C)C2 ZINC001111070310 1103034484 /nfs/dbraw/zinc/03/44/84/1103034484.db2.gz BIQSWMPXYRPULN-YSTOQKLRSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C(CC(C)C)CC(C)C ZINC001488697762 1103114080 /nfs/dbraw/zinc/11/40/80/1103114080.db2.gz HDPBLSVCYGRYAQ-GOSISDBHSA-N 0 1 306.494 3.251 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)cc(Cl)c1)C2 ZINC001095765383 1103137812 /nfs/dbraw/zinc/13/78/12/1103137812.db2.gz BRYMLNFSWLCNJV-PMPSAXMXSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](F)CC1CCCCC1)C2 ZINC001111282518 1103171825 /nfs/dbraw/zinc/17/18/25/1103171825.db2.gz UDYGLZOJXIGXRO-VQHPVUNQSA-N 0 1 308.441 3.202 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C(\C)C2CC2)C1 ZINC001488885626 1103206135 /nfs/dbraw/zinc/20/61/35/1103206135.db2.gz DTAWUMLDRYPACS-MVVBNGQASA-N 0 1 310.441 3.113 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001489128999 1103285259 /nfs/dbraw/zinc/28/52/59/1103285259.db2.gz TVKYIGOAWMVPPT-BPQIPLTHSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001326369818 1103292628 /nfs/dbraw/zinc/29/26/28/1103292628.db2.gz NEVJBWWPXQKUKX-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C/C=C/Cc2ccccc2)[C@H]1C ZINC001489269532 1103346186 /nfs/dbraw/zinc/34/61/86/1103346186.db2.gz MXGRPETXJLCWCX-KRZVHVFCSA-N 0 1 312.457 3.331 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)C(CC)CC ZINC001489324125 1103360904 /nfs/dbraw/zinc/36/09/04/1103360904.db2.gz ZOIPDKQPSYYOOA-WMZOPIPTSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCc2sc(CNC(C)C)nc2C1 ZINC001489337207 1103363851 /nfs/dbraw/zinc/36/38/51/1103363851.db2.gz IBKOGVOKZJQACD-CYBMUJFWSA-N 0 1 321.490 3.128 20 30 DGEDMN COc1cc(C#N)cnc1-c1cc(OC(F)(F)F)ccc1O ZINC001244455988 1103450089 /nfs/dbraw/zinc/45/00/89/1103450089.db2.gz NZCXTQPNLLUZBB-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN CCOC(=O)c1nc2cc(-c3cc(C#N)ccc3F)ccc2[nH]1 ZINC001244558330 1103520569 /nfs/dbraw/zinc/52/05/69/1103520569.db2.gz MZEHBGVWUZGXAL-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1ocnc1C ZINC001490305202 1103550678 /nfs/dbraw/zinc/55/06/78/1103550678.db2.gz DWBUVGGPDUGSFA-HOCLYGCPSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1ocnc1C ZINC001490305200 1103550700 /nfs/dbraw/zinc/55/07/00/1103550700.db2.gz DWBUVGGPDUGSFA-GDBMZVCRSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@H]1CCCN(CCF)CC1)c1ccccc1 ZINC001327172118 1103566522 /nfs/dbraw/zinc/56/65/22/1103566522.db2.gz JTOCQBQMMMMVTR-WMZOPIPTSA-N 0 1 318.436 3.287 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(CCc2ccccc2F)C1 ZINC001490445263 1103605105 /nfs/dbraw/zinc/60/51/05/1103605105.db2.gz IDYUYNREJKFZFB-MRXNPFEDSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCN(Cc2cncc(C)c2)CC1 ZINC001490408862 1103611485 /nfs/dbraw/zinc/61/14/85/1103611485.db2.gz CBEHWYPCMUOSOI-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)CCCC(C)C)O2 ZINC001327366018 1103631043 /nfs/dbraw/zinc/63/10/43/1103631043.db2.gz WBNNOXTVOACICX-KRWDZBQOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001490454210 1103632098 /nfs/dbraw/zinc/63/20/98/1103632098.db2.gz BWWXIKYLZGLWGJ-KRWDZBQOSA-N 0 1 300.446 3.022 20 30 DGEDMN CCOC(=O)c1nc2ccc(-c3ccc(OC)c(C#N)c3)cc2[nH]1 ZINC001244710872 1103645114 /nfs/dbraw/zinc/64/51/14/1103645114.db2.gz WDYZMIMUAKGMOL-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H](C)CC ZINC001327508058 1103665053 /nfs/dbraw/zinc/66/50/53/1103665053.db2.gz NICBQEJMPDJBQY-QAPCUYQASA-N 0 1 300.446 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@H](C)C(C)C ZINC001327512959 1103667089 /nfs/dbraw/zinc/66/70/89/1103667089.db2.gz OPNGWMYOAGMRBF-QFBILLFUSA-N 0 1 314.473 3.398 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cc2c(o1)CCCC2)C1CC1 ZINC001490669658 1103691420 /nfs/dbraw/zinc/69/14/20/1103691420.db2.gz YZPUPKZTDRJCHM-CQSZACIVSA-N 0 1 322.836 3.009 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1nc2ncccc2cc1C#N ZINC001327663792 1103700306 /nfs/dbraw/zinc/70/03/06/1103700306.db2.gz WLCWXYNCWGLIPG-UHFFFAOYSA-N 0 1 320.400 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1ccccc1F)C1CC1 ZINC001490721223 1103703768 /nfs/dbraw/zinc/70/37/68/1103703768.db2.gz ZQXLWWISFIMZED-WBMJQRKESA-N 0 1 324.827 3.166 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NCc2ccncc2Cl)C1 ZINC001327905485 1103763190 /nfs/dbraw/zinc/76/31/90/1103763190.db2.gz VDJMHDKCMQWBEP-CJNGLKHVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H](C)[C@H](NC/C(Cl)=C\Cl)C2)C1 ZINC001327906694 1103763601 /nfs/dbraw/zinc/76/36/01/1103763601.db2.gz ZAUGGLOIPZJPCZ-FTKQDORFSA-N 0 1 317.260 3.098 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1occ3c1CCCC3)C2 ZINC001096430228 1103832655 /nfs/dbraw/zinc/83/26/55/1103832655.db2.gz OZHGQJJVEADWQN-PVAVHDDUSA-N 0 1 314.429 3.070 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(C)ccc3F)C[C@H]21 ZINC001114352958 1103873024 /nfs/dbraw/zinc/87/30/24/1103873024.db2.gz KEUKFQFSMDRVSX-MNZLEMJZSA-N 0 1 316.420 3.037 20 30 DGEDMN C=CCC[C@H](O)CNc1cc(Br)cc2[nH]ncc21 ZINC001252609467 1103883596 /nfs/dbraw/zinc/88/35/96/1103883596.db2.gz VUKCXPSEFMUXHO-JTQLQIEISA-N 0 1 310.195 3.064 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2conc2CC)[C@H](C)C1 ZINC001328266289 1103892850 /nfs/dbraw/zinc/89/28/50/1103892850.db2.gz IENSUGWLZUMNPN-GDBMZVCRSA-N 0 1 319.449 3.062 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC(c3ccccc3)C1)C2 ZINC001096644921 1103894194 /nfs/dbraw/zinc/89/41/94/1103894194.db2.gz GIZHBCNFSPNKFP-GXZRBYELSA-N 0 1 310.441 3.088 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)c1ccccc1C)C2 ZINC001096667195 1103899768 /nfs/dbraw/zinc/89/97/68/1103899768.db2.gz GQLFEJLGPXVAQI-NJAFHUGGSA-N 0 1 312.457 3.180 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)CCC(C)(F)F ZINC001328632086 1104004370 /nfs/dbraw/zinc/00/43/70/1104004370.db2.gz LYGAVACEZYFJKX-LBPRGKRZSA-N 0 1 308.800 3.145 20 30 DGEDMN N#CCc1cc(F)cc(C2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001245425878 1104047164 /nfs/dbraw/zinc/04/71/64/1104047164.db2.gz GOQDMASQQASIML-SFHVURJKSA-N 0 1 300.377 3.160 20 30 DGEDMN CO/N=C/c1cc(C2=CC[C@@H](N3CCOCC3)CC2)ccc1F ZINC001245429142 1104051432 /nfs/dbraw/zinc/05/14/32/1104051432.db2.gz RLSMFVFLFUYFCL-UOBPLZBXSA-N 0 1 318.392 3.074 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(F)c2ccccc12 ZINC001328766752 1104063740 /nfs/dbraw/zinc/06/37/40/1104063740.db2.gz UJNIKHMOBSPRCO-OAHLLOKOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H](NCc2ccccc2C#N)C12CCC2 ZINC001202599448 1104071332 /nfs/dbraw/zinc/07/13/32/1104071332.db2.gz NGHHLLUNYMJFER-ZWKOTPCHSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@@H](NCc2ccccc2C#N)C12CCC2 ZINC001202599446 1104072012 /nfs/dbraw/zinc/07/20/12/1104072012.db2.gz NGHHLLUNYMJFER-QZTJIDSGSA-N 0 1 323.440 3.041 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C12CCC2 ZINC001202599445 1104072179 /nfs/dbraw/zinc/07/21/79/1104072179.db2.gz NGHHLLUNYMJFER-MSOLQXFVSA-N 0 1 323.440 3.041 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1sccc1C(C)C ZINC001328797738 1104075577 /nfs/dbraw/zinc/07/55/77/1104075577.db2.gz OWCQJJRGQJCGED-OAHLLOKOSA-N 0 1 318.486 3.431 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001328797051 1104075712 /nfs/dbraw/zinc/07/57/12/1104075712.db2.gz LEUIXTLEPFAREM-MJGOQNOKSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CCC(C)=C(C)C1 ZINC001328797052 1104075974 /nfs/dbraw/zinc/07/59/74/1104075974.db2.gz LEUIXTLEPFAREM-PKOBYXMFSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C2CC2)c1 ZINC001328797751 1104076367 /nfs/dbraw/zinc/07/63/67/1104076367.db2.gz PCHLXXGEKFRULX-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1ccccc1CC ZINC001329070226 1104143300 /nfs/dbraw/zinc/14/33/00/1104143300.db2.gz PWZPKQUJJSXBGX-KGLIPLIRSA-N 0 1 308.853 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc(C)c1Cl ZINC001329155104 1104171523 /nfs/dbraw/zinc/17/15/23/1104171523.db2.gz ZKDJMGANUAJTAS-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2c(c1)CCCC2 ZINC001329182194 1104181566 /nfs/dbraw/zinc/18/15/66/1104181566.db2.gz BEWBUYFKQBSJDZ-ZIAGYGMSSA-N 0 1 320.864 3.414 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1ccccc1C#Cc1ccccc1 ZINC001137243104 1104200248 /nfs/dbraw/zinc/20/02/48/1104200248.db2.gz WJKCXCCZOKHUQT-UHFFFAOYSA-N 0 1 301.349 3.370 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cnccc2C)C1 ZINC001278419550 1104283505 /nfs/dbraw/zinc/28/35/05/1104283505.db2.gz BCQFNZHHRWGLMV-APWZRJJASA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC001329521235 1104296127 /nfs/dbraw/zinc/29/61/27/1104296127.db2.gz CJPCAMPAPBQENT-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001329540018 1104306866 /nfs/dbraw/zinc/30/68/66/1104306866.db2.gz KHUUCBPOWDJXHM-SJORKVTESA-N 0 1 314.473 3.202 20 30 DGEDMN COc1ccc(-n2[nH]c(-c3ccc(C#N)cc3)cc2=O)cc1F ZINC001245932669 1104318956 /nfs/dbraw/zinc/31/89/56/1104318956.db2.gz KLAKPTFVORIVRG-UHFFFAOYSA-N 0 1 309.300 3.264 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001274608069 1104419200 /nfs/dbraw/zinc/41/92/00/1104419200.db2.gz CZWOTEDUYUIOEQ-DHCBQETCSA-N 0 1 324.827 3.334 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCCN1Cc1cnc(C)o1 ZINC001329923327 1104424443 /nfs/dbraw/zinc/42/44/43/1104424443.db2.gz KGOXODWUTUIDRG-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN N#Cc1ccc(C2CCC(N[C@@H]3CCNCC3(F)F)CC2)cc1 ZINC001246208415 1104437522 /nfs/dbraw/zinc/43/75/22/1104437522.db2.gz DIPMROSYAIRPPF-OFLPRAFFSA-N 0 1 319.399 3.171 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCF)[C@@H](C)C2)CCCCC1 ZINC001274662689 1104441203 /nfs/dbraw/zinc/44/12/03/1104441203.db2.gz KMNHWIKGGDFIFR-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN CCCCCC(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001274678897 1104452243 /nfs/dbraw/zinc/45/22/43/1104452243.db2.gz OWEWVKVBCCHRMP-OAHLLOKOSA-N 0 1 320.864 3.366 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001330086664 1104476658 /nfs/dbraw/zinc/47/66/58/1104476658.db2.gz SZHFXNHRGDKIBV-MRXNPFEDSA-N 0 1 312.457 3.039 20 30 DGEDMN C/C=C(/C)C(=O)N[C@]12CCC[C@@H]1N(Cc1ccccc1C#N)CC2 ZINC001278444753 1104516724 /nfs/dbraw/zinc/51/67/24/1104516724.db2.gz FXGQGEPQNPFEHA-YPAHQVEXSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CC(C)=C(C)C)CC2)C1 ZINC001274867412 1104529413 /nfs/dbraw/zinc/52/94/13/1104529413.db2.gz HKCQAZGULPDENJ-UHFFFAOYSA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(CC)Cc2cscn2)CC1 ZINC001274945108 1104549430 /nfs/dbraw/zinc/54/94/30/1104549430.db2.gz APAFHAFBWHFNMQ-UHFFFAOYSA-N 0 1 321.490 3.170 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC001330500000 1104562366 /nfs/dbraw/zinc/56/23/66/1104562366.db2.gz QBNPLKZKIVCTAF-UXBLZVDNSA-N 0 1 322.880 3.432 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1Cc2ccccc2[C@H]1NCC#CC ZINC001275063021 1104600454 /nfs/dbraw/zinc/60/04/54/1104600454.db2.gz LDPXANDTWYMYAN-WOJBJXKFSA-N 0 1 322.452 3.150 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001275134763 1104642615 /nfs/dbraw/zinc/64/26/15/1104642615.db2.gz AIEXTGPVUSJIKF-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)CC(C)(C)C ZINC001275168440 1104663207 /nfs/dbraw/zinc/66/32/07/1104663207.db2.gz XDHULBDRXYYIAS-INIZCTEOSA-N 0 1 306.494 3.395 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NC(=O)c1n[nH]c2ccccc21 ZINC001299483361 1104706659 /nfs/dbraw/zinc/70/66/59/1104706659.db2.gz CCJKZKNPWLMWCF-INIZCTEOSA-N 0 1 316.364 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc2ccsc21 ZINC001275399678 1104747187 /nfs/dbraw/zinc/74/71/87/1104747187.db2.gz OGNOVPMKGWEOLB-NSHDSACASA-N 0 1 308.834 3.362 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)CC(C)(C)CC ZINC001275404739 1104748979 /nfs/dbraw/zinc/74/89/79/1104748979.db2.gz SBKAVOYJZWCSBT-VXGBXAGGSA-N 0 1 319.287 3.204 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)NCc1cnc(Cl)s1 ZINC001275481985 1104773304 /nfs/dbraw/zinc/77/33/04/1104773304.db2.gz OIBIZHORCVTQKA-WDEREUQCSA-N 0 1 315.870 3.136 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2ccncc2c1 ZINC001275530027 1104794862 /nfs/dbraw/zinc/79/48/62/1104794862.db2.gz SPXKSHCSTSAUJE-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1cccc(C(C)C)n1 ZINC001275780125 1104904794 /nfs/dbraw/zinc/90/47/94/1104904794.db2.gz BWVZGWXYABBXRW-HNNXBMFYSA-N 0 1 303.450 3.108 20 30 DGEDMN C#Cc1cccc(CNC(=O)[C@@H](c2ccccc2)N(CC)CC)c1 ZINC001275917395 1104954660 /nfs/dbraw/zinc/95/46/60/1104954660.db2.gz RDAJFOPETMEHNA-HXUWFJFHSA-N 0 1 320.436 3.367 20 30 DGEDMN N#Cc1ccc(-c2ccc(-c3noc([C@@H]4CCN4)n3)cc2)cc1 ZINC001247677365 1104956432 /nfs/dbraw/zinc/95/64/32/1104956432.db2.gz XAPZWYFYANMPFI-INIZCTEOSA-N 0 1 302.337 3.310 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(C)Cc2ccccn2)CCCC1 ZINC001276020598 1104990001 /nfs/dbraw/zinc/99/00/01/1104990001.db2.gz BGFAGDLPRLTBQO-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCCCCCCCN1CC2(CCN2C(=O)NC(C)C)C1 ZINC001276042855 1105003939 /nfs/dbraw/zinc/00/39/39/1105003939.db2.gz JIKXDVJSTTTYEG-UHFFFAOYSA-N 0 1 307.482 3.391 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)C)nc1)C2 ZINC001095532759 1105067640 /nfs/dbraw/zinc/06/76/40/1105067640.db2.gz PCVNYXYMNOHYFE-NJAFHUGGSA-N 0 1 313.445 3.116 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc3ccc(C)nc31)C2 ZINC001095595080 1105086727 /nfs/dbraw/zinc/08/67/27/1105086727.db2.gz PPTHKVZYINUWNQ-NJAFHUGGSA-N 0 1 321.424 3.064 20 30 DGEDMN C[C@@H]1CCN(Cc2cnn3ccc(C#N)cc23)CCC1(F)F ZINC001249082208 1105223100 /nfs/dbraw/zinc/22/31/00/1105223100.db2.gz LBKQZYTUXUFHHA-GFCCVEGCSA-N 0 1 304.344 3.073 20 30 DGEDMN C[C@H]1CCN(Cc2cnn3ccc(C#N)cc23)CCC1(F)F ZINC001249082209 1105223118 /nfs/dbraw/zinc/22/31/18/1105223118.db2.gz LBKQZYTUXUFHHA-LBPRGKRZSA-N 0 1 304.344 3.073 20 30 DGEDMN N#Cc1ccn2ncc(CN(Cc3ccccc3)C3CC3)c2c1 ZINC001249113929 1105228150 /nfs/dbraw/zinc/22/81/50/1105228150.db2.gz QXVIYGFWHZKYDS-UHFFFAOYSA-N 0 1 302.381 3.371 20 30 DGEDMN CCN(Cc1cnn2ccc(C#N)cc12)Cc1cccc(OC)c1 ZINC001249113063 1105228216 /nfs/dbraw/zinc/22/82/16/1105228216.db2.gz DLWNJBHKTNSXDQ-UHFFFAOYSA-N 0 1 320.396 3.237 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(N4CCCCC4)cc3)no2)C1 ZINC001249231724 1105252389 /nfs/dbraw/zinc/25/23/89/1105252389.db2.gz NUMZTMSMUFXFNZ-IBGZPJMESA-N 0 1 324.428 3.492 20 30 DGEDMN CCCN(CCC#N)Cc1nc2ccc(Br)cc2[nH]1 ZINC001249518701 1105303050 /nfs/dbraw/zinc/30/30/50/1105303050.db2.gz OYDARDWZICCYGP-UHFFFAOYSA-N 0 1 321.222 3.451 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1sccc1C)C2 ZINC001097064761 1105338443 /nfs/dbraw/zinc/33/84/43/1105338443.db2.gz MVSWCOXDLKZZQX-QCODTGAPSA-N 0 1 316.470 3.068 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1cccc(C#N)c1F ZINC001249691630 1105356350 /nfs/dbraw/zinc/35/63/50/1105356350.db2.gz PXIPSETWOIOMEO-HNNXBMFYSA-N 0 1 318.392 3.394 20 30 DGEDMN CN1CCN(Cc2ccccc2Nc2ccc(C#N)cc2)CC1 ZINC001249712773 1105361838 /nfs/dbraw/zinc/36/18/38/1105361838.db2.gz RYESMUKIJSHHDY-UHFFFAOYSA-N 0 1 306.413 3.049 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC[C@@H]1CCc3ccccc31)C2 ZINC001111445935 1105376830 /nfs/dbraw/zinc/37/68/30/1105376830.db2.gz GWQGEDSMQQKXNE-CUDHKJQZSA-N 0 1 324.468 3.404 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)c1cccs1)C2 ZINC001097644651 1105425323 /nfs/dbraw/zinc/42/53/23/1105425323.db2.gz PPOZPYRLDDSNFX-KFWWJZLASA-N 0 1 318.486 3.323 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C13CCC(CC1)C3(C)C)C2 ZINC001097997638 1105495441 /nfs/dbraw/zinc/49/54/41/1105495441.db2.gz LNXUHAYQVYCSHR-ZRUZRRJWSA-N 0 1 302.462 3.110 20 30 DGEDMN Cc1ncc(C#N)cc1NS(=O)(=O)c1cccc2ccccc21 ZINC001250591313 1105542710 /nfs/dbraw/zinc/54/27/10/1105542710.db2.gz OVWBNLWIUKHKQU-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccoc1C(C)C)C2 ZINC001098480835 1105579402 /nfs/dbraw/zinc/57/94/02/1105579402.db2.gz APFNRQYIYKSYJG-BMFZPTHFSA-N 0 1 302.418 3.314 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CSCCC)CC1 ZINC001278598415 1105581750 /nfs/dbraw/zinc/58/17/50/1105581750.db2.gz TXTKXRSOYDOFDF-UHFFFAOYSA-N 0 1 318.914 3.100 20 30 DGEDMN CCOc1ccc2nc(-c3ccc(C#N)cc3[N+](=O)[O-])[nH]c2c1 ZINC001250954126 1105610073 /nfs/dbraw/zinc/61/00/73/1105610073.db2.gz YBJWMRWOFIJRPP-UHFFFAOYSA-N 0 1 308.297 3.408 20 30 DGEDMN CN(C)c1ccc2nc(-c3ccc(C#N)cc3[N+](=O)[O-])[nH]c2c1 ZINC001250954150 1105610205 /nfs/dbraw/zinc/61/02/05/1105610205.db2.gz ZIAVSFIOSVQWOG-UHFFFAOYSA-N 0 1 307.313 3.076 20 30 DGEDMN C#Cc1ccc(-c2nc3cc(OC)c(C(=O)OC)cc3[nH]2)cc1 ZINC001251007649 1105618555 /nfs/dbraw/zinc/61/85/55/1105618555.db2.gz NJGFJGTXFMEQRA-UHFFFAOYSA-N 0 1 306.321 3.006 20 30 DGEDMN Cc1cc(C#N)cc(NS(=O)(=O)c2cccc3cccnc32)c1 ZINC001251012490 1105619288 /nfs/dbraw/zinc/61/92/88/1105619288.db2.gz RSFLPPYKMAXMLF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C2(C)CC=CC2)CC1 ZINC001278622195 1105620333 /nfs/dbraw/zinc/62/03/33/1105620333.db2.gz AOGMTWZYOKPPPD-UHFFFAOYSA-N 0 1 310.869 3.314 20 30 DGEDMN C#Cc1cccc(-c2nc3cc(N4CCOCC4)c(F)cc3[nH]2)c1 ZINC001251032450 1105622654 /nfs/dbraw/zinc/62/26/54/1105622654.db2.gz ZAHVDMSPNUHCAH-UHFFFAOYSA-N 0 1 321.355 3.187 20 30 DGEDMN C[C@H](C#N)C(=O)NC[C@H]1CCC(C)(C)CN1Cc1ccsc1 ZINC001098983161 1105623041 /nfs/dbraw/zinc/62/30/41/1105623041.db2.gz PBBPMRPTGXZPSM-UKRRQHHQSA-N 0 1 319.474 3.015 20 30 DGEDMN C=C1CCC(C(=O)N[C@]23CCC[C@H]2CN(C[C@H](F)CC)C3)CC1 ZINC001099012848 1105627644 /nfs/dbraw/zinc/62/76/44/1105627644.db2.gz PVXDRSKTHOPBSD-SCTDSRPQSA-N 0 1 322.468 3.452 20 30 DGEDMN C=C1CCC(C(=O)N[C@]23CCC[C@H]2CN(C/C=C/Cl)C3)CC1 ZINC001099012863 1105628200 /nfs/dbraw/zinc/62/82/00/1105628200.db2.gz PXNINMUKNLKBSI-UTJVIKGSSA-N 0 1 322.880 3.456 20 30 DGEDMN N#Cc1ccc(O)c(-c2nc3cc(-n4cccn4)c(F)cc3[nH]2)c1 ZINC001251180088 1105635640 /nfs/dbraw/zinc/63/56/40/1105635640.db2.gz BCSPEUFELHTPIC-UHFFFAOYSA-N 0 1 319.299 3.132 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099092273 1105641166 /nfs/dbraw/zinc/64/11/66/1105641166.db2.gz ATWRCGVCMAAJAX-INIZCTEOSA-N 0 1 304.478 3.219 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C(C)C ZINC001099098525 1105642310 /nfs/dbraw/zinc/64/23/10/1105642310.db2.gz QWVCSUCXWOXVSE-INIZCTEOSA-N 0 1 306.494 3.299 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC[C@@H](C)CC ZINC001099280159 1105664010 /nfs/dbraw/zinc/66/40/10/1105664010.db2.gz BCONJRNFJMVZRU-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)c1ccccc1 ZINC001099316682 1105667264 /nfs/dbraw/zinc/66/72/64/1105667264.db2.gz ZUWWAFZDHIHRCZ-AEFFLSMTSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCO[C@H](C)C(=O)NC[C@H]1CCC(C)(C)C[N@H+]1CC=C(C)C ZINC001099360590 1105673354 /nfs/dbraw/zinc/67/33/54/1105673354.db2.gz YXCXVHBJGMRKRE-IAGOWNOFSA-N 0 1 322.493 3.151 20 30 DGEDMN COc1cc2[nH]c(-c3cn4cc(C#N)ccc4n3)nc2cc1Cl ZINC001251632637 1105675685 /nfs/dbraw/zinc/67/56/85/1105675685.db2.gz VPFQZHIQDXEUJK-UHFFFAOYSA-N 0 1 323.743 3.411 20 30 DGEDMN COc1cc2[nH]c(-c3cnn4ccc(C#N)cc34)nc2cc1Cl ZINC001251809727 1105698690 /nfs/dbraw/zinc/69/86/90/1105698690.db2.gz KOWSGPZRPWDCHV-UHFFFAOYSA-N 0 1 323.743 3.411 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN(Cc2nc(C)c(C)s2)C1 ZINC001491098575 1105750635 /nfs/dbraw/zinc/75/06/35/1105750635.db2.gz PGMQLWXAEHWMFK-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2cnoc2C)C1 ZINC001278663870 1105776304 /nfs/dbraw/zinc/77/63/04/1105776304.db2.gz PXBKZRGPBJEXDJ-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCC1CCCCCC1 ZINC001491216391 1105777445 /nfs/dbraw/zinc/77/74/45/1105777445.db2.gz GHUGVHUZEYOYIM-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)Cc1ccccc1C ZINC001491235402 1105783803 /nfs/dbraw/zinc/78/38/03/1105783803.db2.gz DLJWPXPGGFKWGU-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@@H](C)n1cccc1 ZINC001491269829 1105792521 /nfs/dbraw/zinc/79/25/21/1105792521.db2.gz OESHLSZORAWJSG-CVEARBPZSA-N 0 1 323.868 3.162 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2cc(C)no2)C1 ZINC001491284999 1105794036 /nfs/dbraw/zinc/79/40/36/1105794036.db2.gz FCIZLINKDMEAGF-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C[C@](C)(O)CN(C)[C@@H](CC(C)C)C(=O)OCc1ccccc1 ZINC001252559508 1105794290 /nfs/dbraw/zinc/79/42/90/1105794290.db2.gz XYVAQATYIMCGSU-HKUYNNGSSA-N 0 1 319.445 3.013 20 30 DGEDMN C=C[C@@](C)(O)CNCc1csc(-c2cccc(Cl)c2)n1 ZINC001252583369 1105797756 /nfs/dbraw/zinc/79/77/56/1105797756.db2.gz JBRQVZOKXSXNNY-OAHLLOKOSA-N 0 1 308.834 3.490 20 30 DGEDMN C=C[C@@](C)(O)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001252586331 1105798458 /nfs/dbraw/zinc/79/84/58/1105798458.db2.gz ZBSCZRMJQGALES-OAHLLOKOSA-N 0 1 308.834 3.490 20 30 DGEDMN C#CCC1(C(=O)N[C@H](C)[C@@H]2CCCN(CCF)C2)CCCCC1 ZINC001491300611 1105802917 /nfs/dbraw/zinc/80/29/17/1105802917.db2.gz OOCRENMCUPIZQV-IAGOWNOFSA-N 0 1 322.468 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CC(C)(F)F)C1 ZINC001491301479 1105803140 /nfs/dbraw/zinc/80/31/40/1105803140.db2.gz XMSHBTCYQZXEES-RYUDHWBXSA-N 0 1 308.800 3.001 20 30 DGEDMN C=CCC[C@@H](O)CN[C@@H](Cc1ccccc1)c1nccs1 ZINC001252619528 1105805787 /nfs/dbraw/zinc/80/57/87/1105805787.db2.gz FGACEASGPQCWJQ-CVEARBPZSA-N 0 1 302.443 3.344 20 30 DGEDMN C=CCC[C@@H](O)CNCc1cn(Cc2cccc(Cl)c2)cn1 ZINC001252623325 1105806387 /nfs/dbraw/zinc/80/63/87/1105806387.db2.gz JBGWFCYHWXEVQO-QGZVFWFLSA-N 0 1 319.836 3.002 20 30 DGEDMN C[C@@H](CNC(=O)C=C1CCCCC1)NCC#Cc1ccccc1 ZINC001491406143 1105822333 /nfs/dbraw/zinc/82/23/33/1105822333.db2.gz ONNYOOYGQYKQSL-KRWDZBQOSA-N 0 1 310.441 3.023 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001252876072 1105850060 /nfs/dbraw/zinc/85/00/60/1105850060.db2.gz IHGLHPICPUWKIU-DOTOQJQBSA-N 0 1 320.396 3.199 20 30 DGEDMN CCCC[C@@H](CC)COC[C@H](O)CNCC1(C#N)CCCC1 ZINC001253016619 1105876921 /nfs/dbraw/zinc/87/69/21/1105876921.db2.gz PVUKCCXZNIEVTC-IAGOWNOFSA-N 0 1 310.482 3.254 20 30 DGEDMN C=CCCCCCC[C@H](O)CN1CCN(Cc2ccco2)CC1 ZINC001253239800 1105907646 /nfs/dbraw/zinc/90/76/46/1105907646.db2.gz SRYKBKJONZUDFM-SFHVURJKSA-N 0 1 320.477 3.285 20 30 DGEDMN C=CCCCCCC[C@@H](O)C[NH2+]CC(=O)c1ccc([O-])cc1 ZINC001253253766 1105913164 /nfs/dbraw/zinc/91/31/64/1105913164.db2.gz KNYZQJRSWAISEI-QGZVFWFLSA-N 0 1 305.418 3.052 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](CC)CCCC)C1 ZINC001278740838 1105988397 /nfs/dbraw/zinc/98/83/97/1105988397.db2.gz ILIQYTVENFROKI-HUUCEWRRSA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H](CCC)C(C)C)[C@H](C)C1 ZINC001102418168 1106057886 /nfs/dbraw/zinc/05/78/86/1106057886.db2.gz QGYCFIPTWFUHNR-FVQBIDKESA-N 0 1 314.901 3.495 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CCC(C)(C)C)[C@H](C)C1 ZINC001102474481 1106062239 /nfs/dbraw/zinc/06/22/39/1106062239.db2.gz XIFYORUTUTXNOB-TZMCWYRMSA-N 0 1 300.874 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H](C)C2CCCC2)[C@H](C)C1 ZINC001102506942 1106066618 /nfs/dbraw/zinc/06/66/18/1106066618.db2.gz ILEFTPODWJUSCK-IVMMDQJWSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@@H](C)c2ccco2)[C@H](C)C1 ZINC001102515760 1106067962 /nfs/dbraw/zinc/06/79/62/1106067962.db2.gz JOIBUEWYIDUTIB-UMVBOHGHSA-N 0 1 324.852 3.210 20 30 DGEDMN N#C[C@H]1CC[C@H](N[C@H]2COc3ccc(Br)cc32)C1 ZINC001254652601 1106123229 /nfs/dbraw/zinc/12/32/29/1106123229.db2.gz GGBQAXZFIKZDRW-GAFUQQFSSA-N 0 1 307.191 3.164 20 30 DGEDMN N#C[C@@H]1CC[C@@H](NCc2cn(Cc3cccc(Cl)c3)cn2)C1 ZINC001254658662 1106125017 /nfs/dbraw/zinc/12/50/17/1106125017.db2.gz WTAODYPRFPBNKV-CZUORRHYSA-N 0 1 314.820 3.367 20 30 DGEDMN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1[C@H]1CC[C@@H](C#N)C1 ZINC001254658862 1106125121 /nfs/dbraw/zinc/12/51/21/1106125121.db2.gz JVXKQRIPPGYHHN-BARDWOONSA-N 0 1 307.438 3.008 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254659202 1106125452 /nfs/dbraw/zinc/12/54/52/1106125452.db2.gz LXNOAMOEWZJXGD-OAGGEKHMSA-N 0 1 321.465 3.256 20 30 DGEDMN CC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@H](C#N)C1 ZINC001254669935 1106128362 /nfs/dbraw/zinc/12/83/62/1106128362.db2.gz XKRPFAKDQWTDDK-RRFJBIMHSA-N 0 1 307.438 3.010 20 30 DGEDMN CC[C@H](CC#N)NCCc1cc(OC)ccc1Br ZINC001255152295 1106191813 /nfs/dbraw/zinc/19/18/13/1106191813.db2.gz RBIPOPCQLOKOCP-GFCCVEGCSA-N 0 1 311.223 3.282 20 30 DGEDMN CC[C@H](CC#N)N[C@H]1CC12CCN(C(=O)OC(C)(C)C)CC2 ZINC001255151971 1106191858 /nfs/dbraw/zinc/19/18/58/1106191858.db2.gz JWSXBLZYIMXKTF-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN CC[C@H](CC#N)N1CCC[C@]2(CCC(=O)N2CC2CC2)[C@H]1CC ZINC001255167779 1106197032 /nfs/dbraw/zinc/19/70/32/1106197032.db2.gz HMPKLNOKUBAEDK-LMMKCTJWSA-N 0 1 317.477 3.324 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001255165885 1106197451 /nfs/dbraw/zinc/19/74/51/1106197451.db2.gz FEIIJPDIFOLBJV-OAHLLOKOSA-N 0 1 321.465 3.402 20 30 DGEDMN CC[C@H](CC#N)N1CCC2(CCOC[C@H]2COCC2CC2)CC1 ZINC001255170812 1106198349 /nfs/dbraw/zinc/19/83/49/1106198349.db2.gz YOAHVXBDDKKKDP-ZWKOTPCHSA-N 0 1 320.477 3.224 20 30 DGEDMN CC[C@H](CC#N)N1CCCC[C@H]1[C@H](C(=O)OC)c1ccccc1 ZINC001255175141 1106199337 /nfs/dbraw/zinc/19/93/37/1106199337.db2.gz NEFPVEHIUXLVKI-FGTMMUONSA-N 0 1 314.429 3.490 20 30 DGEDMN CC[C@@H](CC#N)N1CCC(COc2ccccc2OC)CC1 ZINC001255173508 1106199573 /nfs/dbraw/zinc/19/95/73/1106199573.db2.gz BJYKDPXATGYGLP-INIZCTEOSA-N 0 1 302.418 3.478 20 30 DGEDMN CCCN([C@H](CC)CC#N)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC001255178251 1106200941 /nfs/dbraw/zinc/20/09/41/1106200941.db2.gz DHDYCFAOOIZNLL-CABCVRRESA-N 0 1 309.454 3.400 20 30 DGEDMN C=C(CN1CCCCC1)c1nnc(Cc2ccccc2F)o1 ZINC001255825820 1106321896 /nfs/dbraw/zinc/32/18/96/1106321896.db2.gz OVWFIQZWIWLXSZ-UHFFFAOYSA-N 0 1 301.365 3.299 20 30 DGEDMN C=CCOCc1nn([C@H](C)Cc2ccccc2F)c2c1CNC2 ZINC001255847850 1106327078 /nfs/dbraw/zinc/32/70/78/1106327078.db2.gz ZNBIQIHOJAWWMH-CYBMUJFWSA-N 0 1 315.392 3.132 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CC[N@@H+]1C1CCCCCC1 ZINC001255888842 1106338635 /nfs/dbraw/zinc/33/86/35/1106338635.db2.gz UDAGBENZYBEOGN-QGZVFWFLSA-N 0 1 318.465 3.123 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CCN1C1CCCCCC1 ZINC001255888842 1106338637 /nfs/dbraw/zinc/33/86/37/1106338637.db2.gz UDAGBENZYBEOGN-QGZVFWFLSA-N 0 1 318.465 3.123 20 30 DGEDMN Cc1cc(C#N)cc(C)c1NS(=O)(=O)c1ccc(F)cc1F ZINC001256067044 1106363392 /nfs/dbraw/zinc/36/33/92/1106363392.db2.gz BYVFLCAUCGNHRP-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(Cl)cs1)C(C)C ZINC001278922156 1106396865 /nfs/dbraw/zinc/39/68/65/1106396865.db2.gz JYFYMLXWWVSLLK-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](C)CCC)c1ccccc1CC ZINC001278969052 1106497616 /nfs/dbraw/zinc/49/76/16/1106497616.db2.gz CZUJROSICQZXKM-QFBILLFUSA-N 0 1 314.473 3.455 20 30 DGEDMN N#CC1CCC(N2CCC[C@H]2C(=O)OCc2ccccc2)CC1 ZINC001256964893 1106516506 /nfs/dbraw/zinc/51/65/06/1106516506.db2.gz GICNTKBRSQNAFM-VJFUWPCTSA-N 0 1 312.413 3.277 20 30 DGEDMN N#Cc1ccc(C2CCC(NCc3ncc(F)cn3)CC2)cc1 ZINC001257009411 1106523249 /nfs/dbraw/zinc/52/32/49/1106523249.db2.gz JGKHVRHDBANKGL-UHFFFAOYSA-N 0 1 310.376 3.303 20 30 DGEDMN CC[C@H]1C[C@H](N(CC)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257137002 1106542862 /nfs/dbraw/zinc/54/28/62/1106542862.db2.gz ZDVSZEPPKDERBB-LSDHHAIUSA-N 0 1 309.454 3.400 20 30 DGEDMN CC(C)[C@@H]1C[C@@H](N2CC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257199737 1106549906 /nfs/dbraw/zinc/54/99/06/1106549906.db2.gz YCNQWORTCJRAGB-JYJNAYRXSA-N 0 1 321.465 3.256 20 30 DGEDMN CN1CCC(=[NH+][N-]c2cc(C#N)cc(Cl)n2)c2ccccc21 ZINC001257404667 1106583287 /nfs/dbraw/zinc/58/32/87/1106583287.db2.gz DHBNLIZWILMCLX-UHFFFAOYSA-N 0 1 311.776 3.263 20 30 DGEDMN CCCCCCC[C@@H](C)N1Cc2nnn(C)c2[C@@H](COC)C1 ZINC001258044669 1106663669 /nfs/dbraw/zinc/66/36/69/1106663669.db2.gz SLTKSFXVUWQDLJ-HUUCEWRRSA-N 0 1 308.470 3.110 20 30 DGEDMN CCCCCCC[C@H](C)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001258043097 1106663764 /nfs/dbraw/zinc/66/37/64/1106663764.db2.gz IVQMFWPNRJKOIY-DLBZAZTESA-N 0 1 310.482 3.059 20 30 DGEDMN Cc1ccc2cccnc2c1NS(=O)(=O)c1ccc(C#N)cc1 ZINC001258109510 1106674461 /nfs/dbraw/zinc/67/44/61/1106674461.db2.gz QYWGXABDEYZPRQ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#CCOc1ccc(NC2CCN(Cc3ccccn3)CC2)cc1 ZINC001319974366 1106750385 /nfs/dbraw/zinc/75/03/85/1106750385.db2.gz PCVYBFSJEHRLGH-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN CCCC[C@H](C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001319993539 1106770765 /nfs/dbraw/zinc/77/07/65/1106770765.db2.gz BXZGWESXJUDTOA-INIZCTEOSA-N 0 1 315.461 3.275 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001316988480 1106858087 /nfs/dbraw/zinc/85/80/87/1106858087.db2.gz HMCFPEFWBLTLDG-ROUUACIJSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001316988478 1106859652 /nfs/dbraw/zinc/85/96/52/1106859652.db2.gz HMCFPEFWBLTLDG-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)COc1ccc([C@@H](C)NCC(=O)NCC(C)C)cc1 ZINC001320095649 1106866970 /nfs/dbraw/zinc/86/69/70/1106866970.db2.gz VPHIMMFABBJWIT-CQSZACIVSA-N 0 1 324.852 3.241 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)/C=C/c2ccco2)CC1 ZINC001317000125 1106883054 /nfs/dbraw/zinc/88/30/54/1106883054.db2.gz IWROCFSWRGYDSQ-MBVDDHJVSA-N 0 1 320.820 3.016 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@H]1CNCc1ncoc1C(C)C ZINC001320127967 1106889201 /nfs/dbraw/zinc/88/92/01/1106889201.db2.gz IXSXOCUAKCFEPJ-LSDHHAIUSA-N 0 1 319.449 3.139 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2C[C@H](C)C[C@@H](C)C2)CC1 ZINC001317006549 1106890986 /nfs/dbraw/zinc/89/09/86/1106890986.db2.gz VTLHGPYEEYUJDG-IAGOWNOFSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C2C[C@@H](C)C[C@H](C)C2)CC1 ZINC001317006552 1106891025 /nfs/dbraw/zinc/89/10/25/1106891025.db2.gz VTLHGPYEEYUJDG-IRXDYDNUSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCC[C@@H](C)N1Cc2ncn(C)c2[C@H](COCC(C)C)C1 ZINC001258726084 1106930588 /nfs/dbraw/zinc/93/05/88/1106930588.db2.gz DUBMWBNFAKROCM-CVEARBPZSA-N 0 1 305.466 3.347 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@]2(C)C=CCC2)C1 ZINC001317038073 1106932981 /nfs/dbraw/zinc/93/29/81/1106932981.db2.gz FUOJBSRXAIZRON-NVXWUHKLSA-N 0 1 310.869 3.266 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCC1CCCC1 ZINC001317049298 1106950091 /nfs/dbraw/zinc/95/00/91/1106950091.db2.gz KGEVPFJNMNKBOG-HDICACEKSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001317056862 1106956222 /nfs/dbraw/zinc/95/62/22/1106956222.db2.gz BRNSPQJKSKRNOM-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCCCNC(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001320342818 1106970487 /nfs/dbraw/zinc/97/04/87/1106970487.db2.gz SXOIVHUHQLZLJZ-IAGOWNOFSA-N 0 1 319.424 3.226 20 30 DGEDMN CCCN(CCC#N)[C@@H](C)Cc1cc(OC)c(OC)c(OC)c1 ZINC001258873299 1107031329 /nfs/dbraw/zinc/03/13/29/1107031329.db2.gz XQHXOGDHOWLSST-AWEZNQCLSA-N 0 1 320.433 3.269 20 30 DGEDMN Cc1ccc2cccnc2c1NS(=O)(=O)c1cccc(C#N)c1 ZINC001259124743 1107081919 /nfs/dbraw/zinc/08/19/19/1107081919.db2.gz JGCUVNOBKOLPAI-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC1(C=C)CCCCC1 ZINC001317177292 1107094731 /nfs/dbraw/zinc/09/47/31/1107094731.db2.gz SRFBDEOWSUNSJW-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN Cn1ccc(NN=Cc2csc(-c3ccc(F)cc3)n2)n1 ZINC001320688311 1107104515 /nfs/dbraw/zinc/10/45/15/1107104515.db2.gz ZFYBBCMNCIHMPG-UHFFFAOYSA-N 0 1 301.350 3.129 20 30 DGEDMN Cc1ccc(CS(=O)(=O)Nc2c(F)cc(F)cc2C#N)cc1 ZINC001259265288 1107111096 /nfs/dbraw/zinc/11/10/96/1107111096.db2.gz KRSCETWFUHJUBT-UHFFFAOYSA-N 0 1 322.336 3.087 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1csc(C)c1C ZINC001320745227 1107123220 /nfs/dbraw/zinc/12/32/20/1107123220.db2.gz XVBZISNWLZPWHO-UHFFFAOYSA-N 0 1 300.855 3.169 20 30 DGEDMN C[C@H](C#N)c1ccc(NS(=O)(=O)c2cc(F)cc(F)c2)cc1 ZINC001259311221 1107123232 /nfs/dbraw/zinc/12/32/32/1107123232.db2.gz KOGBOCZODSPNFY-SNVBAGLBSA-N 0 1 322.336 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CCCN(Cc2cncs2)C1 ZINC001317211232 1107132515 /nfs/dbraw/zinc/13/25/15/1107132515.db2.gz XLUVBRDWITUMKN-ZIAGYGMSSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(F)cc(C)cc1F ZINC001320792058 1107139712 /nfs/dbraw/zinc/13/97/12/1107139712.db2.gz NQIKDHFFNMCZFQ-UHFFFAOYSA-N 0 1 316.779 3.077 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317229542 1107154059 /nfs/dbraw/zinc/15/40/59/1107154059.db2.gz BYQZCORTPGGXEX-HVJNQHDOSA-N 0 1 318.848 3.142 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001317235463 1107160347 /nfs/dbraw/zinc/16/03/47/1107160347.db2.gz QQGURZDKVZPNJK-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN Cc1cc(N[C@@H]2CCC[C@@H](C#N)C2)ccc1N1CCN(C)CC1 ZINC001320864408 1107166165 /nfs/dbraw/zinc/16/61/65/1107166165.db2.gz HCYWBHAKSBYZBD-IAGOWNOFSA-N 0 1 312.461 3.241 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)[C@@H](C)[C@H](C)CC ZINC001320875970 1107171927 /nfs/dbraw/zinc/17/19/27/1107171927.db2.gz IIAHQPWWRCEWEQ-YPMHNXCESA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c(C)occ1C(F)(F)F ZINC001317256015 1107177091 /nfs/dbraw/zinc/17/70/91/1107177091.db2.gz ZUVBHSGXRHBUBZ-QMMMGPOBSA-N 0 1 324.730 3.067 20 30 DGEDMN CCC[C@H](C(=O)NC[C@H](C)NCC#Cc1ccccc1)C(C)C ZINC001317269770 1107191914 /nfs/dbraw/zinc/19/19/14/1107191914.db2.gz DDLCBVRSKFBSJR-HKUYNNGSSA-N 0 1 314.473 3.205 20 30 DGEDMN Cc1ccsc1S(=O)(=O)Nc1ccc(C(C)(C)C#N)nc1 ZINC001260063176 1107244008 /nfs/dbraw/zinc/24/40/08/1107244008.db2.gz SQGPYSOBXALFJM-UHFFFAOYSA-N 0 1 321.427 3.054 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2c(C)ccc3cccnc32)cc1 ZINC001260135059 1107253233 /nfs/dbraw/zinc/25/32/33/1107253233.db2.gz WHXINXNZHHASMN-UHFFFAOYSA-N 0 1 322.389 3.325 20 30 DGEDMN C=CCO[C@@H]1CCN(c2ccnc3cc(F)c(OC)cc32)C1 ZINC001321231614 1107294626 /nfs/dbraw/zinc/29/46/26/1107294626.db2.gz BHJCXTLEQLDHJX-GFCCVEGCSA-N 0 1 302.349 3.164 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H](N(C)CCF)C2)CCCCC1 ZINC001317386032 1107298259 /nfs/dbraw/zinc/29/82/59/1107298259.db2.gz WGAJIFMGRMAPRM-INIZCTEOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CNCc1cccc(F)c1F ZINC001321618676 1107396206 /nfs/dbraw/zinc/39/62/06/1107396206.db2.gz WWDIOHCLQPGFFK-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN O=C([O-])c1ccc(N[NH+]=Cc2ccc(N3CCCC3)cc2)cc1 ZINC001321646721 1107403265 /nfs/dbraw/zinc/40/32/65/1107403265.db2.gz WDGNZOHMORUBMC-UHFFFAOYSA-N 0 1 309.369 3.431 20 30 DGEDMN CCCCCCN(C)CC(=O)Nc1ccc(OCC#N)cc1 ZINC001321682630 1107410240 /nfs/dbraw/zinc/41/02/40/1107410240.db2.gz GXHYGFSLQGNRPO-UHFFFAOYSA-N 0 1 303.406 3.040 20 30 DGEDMN CC#CCCCC(=O)NCCN(CC)Cc1ccc(F)c(F)c1 ZINC001317484385 1107441554 /nfs/dbraw/zinc/44/15/54/1107441554.db2.gz LRGLBRZKKOFSBH-UHFFFAOYSA-N 0 1 322.399 3.097 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1C ZINC001317499896 1107461126 /nfs/dbraw/zinc/46/11/26/1107461126.db2.gz RDQHNCJFVDRITK-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001317507765 1107467661 /nfs/dbraw/zinc/46/76/61/1107467661.db2.gz LLBXUTLDEMLJHN-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CNCc2cccc(F)c2F)CC1 ZINC001321978381 1107477404 /nfs/dbraw/zinc/47/74/04/1107477404.db2.gz NGJASLKFMRCHTL-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001322043174 1107491812 /nfs/dbraw/zinc/49/18/12/1107491812.db2.gz GWBBMIFNYMNTAX-VHSXEESVSA-N 0 1 321.273 3.352 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN[C@@H](C)c1ccc(F)cc1F ZINC001317619416 1107566869 /nfs/dbraw/zinc/56/68/69/1107566869.db2.gz YTRMJJNHJWTYMM-LBPRGKRZSA-N 0 1 310.388 3.286 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001322208368 1107570041 /nfs/dbraw/zinc/57/00/41/1107570041.db2.gz GGVDPJNNQNTLJC-CYBMUJFWSA-N 0 1 314.429 3.108 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001322239243 1107586060 /nfs/dbraw/zinc/58/60/60/1107586060.db2.gz YVIUFAKZIRBXRI-DNVCBOLYSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc(C)c2C)C1 ZINC001317769463 1107651396 /nfs/dbraw/zinc/65/13/96/1107651396.db2.gz DKNAMESBNJJTNA-KRWDZBQOSA-N 0 1 300.446 3.416 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC2=CCCCC2)C1 ZINC001317777940 1107662272 /nfs/dbraw/zinc/66/22/72/1107662272.db2.gz DHCZVLFHMGHOQN-MRXNPFEDSA-N 0 1 310.869 3.458 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001317798452 1107675535 /nfs/dbraw/zinc/67/55/35/1107675535.db2.gz WBTRHNLIZFDXHH-HZPDHXFCSA-N 0 1 310.869 3.314 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(C)cc2)C1 ZINC001317810022 1107685863 /nfs/dbraw/zinc/68/58/63/1107685863.db2.gz WQFWXDTUTVLDNJ-OAHLLOKOSA-N 0 1 306.837 3.189 20 30 DGEDMN Nc1cn[nH+]c([N-]N=C2CCSc3c(F)cccc32)c1Cl ZINC001322629236 1107720835 /nfs/dbraw/zinc/72/08/35/1107720835.db2.gz IBORDSOKIRDFOB-UHFFFAOYSA-N 0 1 323.784 3.163 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C)c3cccnc23)C1 ZINC001318042184 1107806785 /nfs/dbraw/zinc/80/67/85/1107806785.db2.gz RNFMNHVCSNCOPO-HNNXBMFYSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCCc2ccccc2)C1 ZINC001318059702 1107814504 /nfs/dbraw/zinc/81/45/04/1107814504.db2.gz UQEQEIQKCGPLSQ-INIZCTEOSA-N 0 1 300.446 3.164 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1cccc(F)c1F)C1CC1 ZINC001323046841 1107816470 /nfs/dbraw/zinc/81/64/70/1107816470.db2.gz KLGFVWYNCFAANV-OAHLLOKOSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C12CCC(CC1)C2(C)C)C1CC1 ZINC001323082518 1107829585 /nfs/dbraw/zinc/82/95/85/1107829585.db2.gz VLSBSNYYQOTGOC-SWKXRBFHSA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCCC(=O)NCC1CN([C@H]2CCc3c2cccc3Cl)C1 ZINC001318105626 1107841762 /nfs/dbraw/zinc/84/17/62/1107841762.db2.gz AZVZVRGSJIJRHB-KRWDZBQOSA-N 0 1 318.848 3.342 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001318159369 1107865415 /nfs/dbraw/zinc/86/54/15/1107865415.db2.gz RCPCEWNWRHTKQR-DHZHZOJOSA-N 0 1 312.457 3.350 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1cc(C)sc1C ZINC001323214740 1107887346 /nfs/dbraw/zinc/88/73/46/1107887346.db2.gz OLZKSIILPSTPJH-QGZVFWFLSA-N 0 1 318.486 3.249 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001318281692 1107927518 /nfs/dbraw/zinc/92/75/18/1107927518.db2.gz NPTXVOFCUGRSPY-YFWYWMRJSA-N 0 1 312.457 3.493 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)Cc1ccccc1Cl ZINC001323310252 1107930775 /nfs/dbraw/zinc/93/07/75/1107930775.db2.gz RAXKWEFHDZIVNG-GDBMZVCRSA-N 0 1 320.864 3.285 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1ccc2n1CCN[C@@H]2C ZINC001263078385 1107933830 /nfs/dbraw/zinc/93/38/30/1107933830.db2.gz HZYSCTSSAIGWNA-MRXNPFEDSA-N 0 1 323.440 3.023 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001323359117 1107950102 /nfs/dbraw/zinc/95/01/02/1107950102.db2.gz ZWCQHAZRNFJBKA-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc2cccc(F)c2o1 ZINC001323359117 1107950109 /nfs/dbraw/zinc/95/01/09/1107950109.db2.gz ZWCQHAZRNFJBKA-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001318387828 1107974721 /nfs/dbraw/zinc/97/47/21/1107974721.db2.gz VJGJCMVAESRPPI-CABCVRRESA-N 0 1 304.409 3.386 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001318400534 1107982358 /nfs/dbraw/zinc/98/23/58/1107982358.db2.gz QZCORUYTZBFMJJ-YJBOKZPZSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001318403743 1107984887 /nfs/dbraw/zinc/98/48/87/1107984887.db2.gz VNIBNFFWGKCLEF-CRAIPNDOSA-N 0 1 316.420 3.079 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)C[C@H]1CC ZINC001263340577 1108021884 /nfs/dbraw/zinc/02/18/84/1108021884.db2.gz OIVPXFAQQPEFCI-TZMCWYRMSA-N 0 1 314.437 3.178 20 30 DGEDMN C=CCCC(=O)N(CC)[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001318457779 1108023658 /nfs/dbraw/zinc/02/36/58/1108023658.db2.gz JTZKDQIFBHRCDN-OAHLLOKOSA-N 0 1 321.490 3.149 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CC1)C1CCC=CCC1 ZINC001323613431 1108029266 /nfs/dbraw/zinc/02/92/66/1108029266.db2.gz GLMXQAJOVLGOSN-UHFFFAOYSA-N 0 1 322.452 3.023 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CC/C=C/c2ccccc2)C1 ZINC001318482243 1108040037 /nfs/dbraw/zinc/04/00/37/1108040037.db2.gz FOAWMMWCBITYIF-SQSZOCELSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001318490803 1108050853 /nfs/dbraw/zinc/05/08/53/1108050853.db2.gz DGOADJGKVQOSOJ-RBUKOAKNSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001318556144 1108092740 /nfs/dbraw/zinc/09/27/40/1108092740.db2.gz IHVJVEGYWBIJQT-SOLBZPMBSA-N 0 1 320.864 3.201 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@@H]1CCCC1(F)F ZINC001318562119 1108098049 /nfs/dbraw/zinc/09/80/49/1108098049.db2.gz MGONFQSXEWZHAT-NWDGAFQWSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](CC)NCc2cc(F)ccc2F)C1 ZINC001318569205 1108101152 /nfs/dbraw/zinc/10/11/52/1108101152.db2.gz GKCZAVACUDWXKG-HNNXBMFYSA-N 0 1 322.399 3.306 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(Cc2ccccc2F)CC1 ZINC001263616733 1108112117 /nfs/dbraw/zinc/11/21/17/1108112117.db2.gz GIWKMAKLTBRHEH-CQSZACIVSA-N 0 1 311.360 3.241 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1cc(C)cc(C)c1 ZINC001318595908 1108114959 /nfs/dbraw/zinc/11/49/59/1108114959.db2.gz RDPAQJQZCAPPMB-KRWDZBQOSA-N 0 1 322.880 3.473 20 30 DGEDMN C#CCN1CCC(OC(=O)/C=C\c2ccc(Cl)s2)CC1 ZINC001263621202 1108116925 /nfs/dbraw/zinc/11/69/25/1108116925.db2.gz TXVFLTWXVGJYOG-XQRVVYSFSA-N 0 1 309.818 3.056 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)c1ccccc1C ZINC001318607071 1108122504 /nfs/dbraw/zinc/12/25/04/1108122504.db2.gz NHPDGSYIKSTVCJ-GJZGRUSLSA-N 0 1 308.853 3.335 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC[C@H]2CCc3ccccc32)C1 ZINC001323922235 1108122776 /nfs/dbraw/zinc/12/27/76/1108122776.db2.gz VCFOXYIEEVSQCW-GOSISDBHSA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c[nH]c3ccccc32)C1 ZINC001323944854 1108125871 /nfs/dbraw/zinc/12/58/71/1108125871.db2.gz GILBVHJOPSPNFK-AWEZNQCLSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2c[nH]cc2C2CC2)C1 ZINC001324005852 1108144586 /nfs/dbraw/zinc/14/45/86/1108144586.db2.gz JZYYZQISSFWZNU-UHFFFAOYSA-N 0 1 315.461 3.395 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ncc(C(C)C)o2)C[C@H]1C ZINC001324046035 1108157808 /nfs/dbraw/zinc/15/78/08/1108157808.db2.gz BCYPXKXQYWCFOB-HUUCEWRRSA-N 0 1 319.449 3.233 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1ccc(F)c(Cl)c1 ZINC001263812249 1108220419 /nfs/dbraw/zinc/22/04/19/1108220419.db2.gz KEKLSQLMQDEUQQ-AWEZNQCLSA-N 0 1 324.827 3.130 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2(CC)CC2)cc1 ZINC001319000380 1108270661 /nfs/dbraw/zinc/27/06/61/1108270661.db2.gz PDLBJZKTQYUBRK-UHFFFAOYSA-N 0 1 306.837 3.335 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001319029680 1108285559 /nfs/dbraw/zinc/28/55/59/1108285559.db2.gz JYOZWDJYBCQBNP-ZSHCYNCHSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1cccc(F)c1 ZINC001319132108 1108327064 /nfs/dbraw/zinc/32/70/64/1108327064.db2.gz HSSHYXAEQUKPTP-CZUORRHYSA-N 0 1 324.827 3.262 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)C(=O)c1cnc(C2CC2)[nH]1 ZINC001319162371 1108343777 /nfs/dbraw/zinc/34/37/77/1108343777.db2.gz QTKJTTSVMKEPLN-UHFFFAOYSA-N 0 1 322.412 3.457 20 30 DGEDMN CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001324571908 1108349973 /nfs/dbraw/zinc/34/99/73/1108349973.db2.gz CUIGFXQKPPJEFR-IBGZPJMESA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2sc(C)nc2C)[C@@H]1C ZINC001264144141 1108398469 /nfs/dbraw/zinc/39/84/69/1108398469.db2.gz DETCGJKULHUPOK-HIFRSBDPSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H](CC)CCCC)CC2 ZINC001319402678 1108447533 /nfs/dbraw/zinc/44/75/33/1108447533.db2.gz MSPYPNLKTRYYRK-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)[C@H](C)C(C)(C)C)CC2 ZINC001319407034 1108449422 /nfs/dbraw/zinc/44/94/22/1108449422.db2.gz AIBGXSNYNNBSRP-SJORKVTESA-N 0 1 318.505 3.252 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC1CC(c2ccccc2)C1 ZINC001264364883 1108470984 /nfs/dbraw/zinc/47/09/84/1108470984.db2.gz MLTQDYOOFLXIMP-KVZIAJEVSA-N 0 1 312.457 3.289 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](CCC)c1ccccc1 ZINC001264378962 1108494718 /nfs/dbraw/zinc/49/47/18/1108494718.db2.gz VYRZRXJPAFKMEF-RTBURBONSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1c(C)noc1CC ZINC001319526081 1108508667 /nfs/dbraw/zinc/50/86/67/1108508667.db2.gz UILWUBRRVNDAAH-ACJLOTCBSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl)C1 ZINC001319528991 1108510036 /nfs/dbraw/zinc/51/00/36/1108510036.db2.gz UJNYWKYTTYAVMN-ZWIPKHHJSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)c1cccc(C)c1 ZINC001319538726 1108514562 /nfs/dbraw/zinc/51/45/62/1108514562.db2.gz ARCVWTGQJIJSCB-CABCVRRESA-N 0 1 308.853 3.288 20 30 DGEDMN C=C(C)CCC(=O)NC[C@]1(C)CCCN(Cc2cnc(C)o2)C1 ZINC001324936955 1108523603 /nfs/dbraw/zinc/52/36/03/1108523603.db2.gz QSQDBLGKQJJKPC-SFHVURJKSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C2CC2)cc1 ZINC001319589759 1108540376 /nfs/dbraw/zinc/54/03/76/1108540376.db2.gz MMISWMUXONJAFI-CYBMUJFWSA-N 0 1 306.837 3.367 20 30 DGEDMN Clc1cccc(N2CCCC2)c1C=NNCC1CCOCC1 ZINC001319601928 1108547276 /nfs/dbraw/zinc/54/72/76/1108547276.db2.gz UEDMVAZJKAQKCB-UHFFFAOYSA-N 0 1 321.852 3.290 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001319617357 1108556501 /nfs/dbraw/zinc/55/65/01/1108556501.db2.gz AVXOKUUDSSGOTP-LTIDMASMSA-N 0 1 324.896 3.462 20 30 DGEDMN Cc1cc(CNCc2cccc(OCC#N)c2)cnc1Cl ZINC001319666171 1108584636 /nfs/dbraw/zinc/58/46/36/1108584636.db2.gz UZHABGUZBXLRNS-UHFFFAOYSA-N 0 1 301.777 3.236 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(C/C=C\c2ccccc2)[C@@H]1C ZINC001264698629 1108625412 /nfs/dbraw/zinc/62/54/12/1108625412.db2.gz MDUKWPGVIIFMRO-MJWVEZKKSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CCC(F)(F)F)[C@@H]2C)CCC1 ZINC001264718116 1108631319 /nfs/dbraw/zinc/63/13/19/1108631319.db2.gz YUCFNPYVBNOOQV-OLZOCXBDSA-N 0 1 318.383 3.264 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc(Cl)c1F ZINC001264743149 1108643121 /nfs/dbraw/zinc/64/31/21/1108643121.db2.gz UQFFQDCPYJPWJE-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]([C@H](C)NCc2nocc2C)C1 ZINC001319816711 1108649743 /nfs/dbraw/zinc/64/97/43/1108649743.db2.gz KHOLQNPVZDBJAI-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1occc1C ZINC001319834690 1108658269 /nfs/dbraw/zinc/65/82/69/1108658269.db2.gz HINZLBPMSXDPDY-BXUZGUMPSA-N 0 1 310.825 3.171 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NCc1csc(C)n1)C(C)C ZINC001319946096 1108707074 /nfs/dbraw/zinc/70/70/74/1108707074.db2.gz DTKCLLKYUODNNN-HNNXBMFYSA-N 0 1 323.506 3.284 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001265212680 1108780345 /nfs/dbraw/zinc/78/03/45/1108780345.db2.gz DQCRXAVJPHJVNF-BEBFYNPSSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCc2cc(C)cc(C)c2)C1 ZINC001265229333 1108791400 /nfs/dbraw/zinc/79/14/00/1108791400.db2.gz VULSVAPPUKSNCR-GOSISDBHSA-N 0 1 300.446 3.003 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2ccccc2)C1 ZINC001265233471 1108794471 /nfs/dbraw/zinc/79/44/71/1108794471.db2.gz URYRBRVOEJUGSR-SJLPKXTDSA-N 0 1 300.446 3.022 20 30 DGEDMN C[C@@H](c1cccc(F)c1)N1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265284130 1108805925 /nfs/dbraw/zinc/80/59/25/1108805925.db2.gz MPDOUMLOIYFGEW-YOEHRIQHSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)Cc1ccccc1CC)C1CC1 ZINC001265360585 1108842912 /nfs/dbraw/zinc/84/29/12/1108842912.db2.gz OFKLTTNDJPABNV-KRWDZBQOSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1csc(Cl)c1)C1CC1 ZINC001265373969 1108848931 /nfs/dbraw/zinc/84/89/31/1108848931.db2.gz CPDHAAQZJONSSZ-NSHDSACASA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C(F)F)c1 ZINC001265411512 1108866408 /nfs/dbraw/zinc/86/64/08/1108866408.db2.gz MXRYWOPMWOJEOX-OKILXGFUSA-N 0 1 324.371 3.472 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001265589532 1108923609 /nfs/dbraw/zinc/92/36/09/1108923609.db2.gz ULEDDXKNWQEGKB-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CCCN(Cc2ccns2)C1 ZINC001265705684 1108976732 /nfs/dbraw/zinc/97/67/32/1108976732.db2.gz LZBSFXSOYUBDSW-KGLIPLIRSA-N 0 1 321.490 3.072 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001265816572 1109013412 /nfs/dbraw/zinc/01/34/12/1109013412.db2.gz XZVYLJYLRMPDQJ-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1oc2ccccc2c1C ZINC001265859299 1109029071 /nfs/dbraw/zinc/02/90/71/1109029071.db2.gz YNKPTAVXFIFUTC-NSHDSACASA-N 0 1 306.793 3.202 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001265905040 1109038115 /nfs/dbraw/zinc/03/81/15/1109038115.db2.gz XPVLOEYSFRZOIK-NSIKDUERSA-N 0 1 317.458 3.080 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(C)Cc1c(C)onc1CC ZINC001265901896 1109038486 /nfs/dbraw/zinc/03/84/86/1109038486.db2.gz GGTZLLALMVIHCD-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)C1 ZINC001265925304 1109043661 /nfs/dbraw/zinc/04/36/61/1109043661.db2.gz OUGMGGUPOGBJDT-CABCVRRESA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@@H](CC(=O)N1CC[C@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001265947713 1109049403 /nfs/dbraw/zinc/04/94/03/1109049403.db2.gz VZAHSGPJHFURPJ-RDJZCZTQSA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC(C)(C)C1 ZINC001266080504 1109082792 /nfs/dbraw/zinc/08/27/92/1109082792.db2.gz KSKTXTVFRBGXGE-AWEZNQCLSA-N 0 1 300.874 3.393 20 30 DGEDMN C[C@H](C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1)C1CC1 ZINC001266096548 1109088583 /nfs/dbraw/zinc/08/85/83/1109088583.db2.gz HXXPSYUBPOSAOM-PXNSSMCTSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001266102102 1109090598 /nfs/dbraw/zinc/09/05/98/1109090598.db2.gz CKNFLISAJMVBHX-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001266103737 1109091580 /nfs/dbraw/zinc/09/15/80/1109091580.db2.gz WXIMHSUNQUAGDP-HXUWFJFHSA-N 0 1 324.468 3.317 20 30 DGEDMN CCCCCC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001266200153 1109124928 /nfs/dbraw/zinc/12/49/28/1109124928.db2.gz FVVQGNYRFLJVMA-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](CCNCc2cscn2)C1 ZINC001280184445 1109179115 /nfs/dbraw/zinc/17/91/15/1109179115.db2.gz FXCMWHVJEXELQG-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001280446796 1109204925 /nfs/dbraw/zinc/20/49/25/1109204925.db2.gz SHGIYMSHTRLJSI-RBSFLKMASA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)ccc1F ZINC001280452356 1109205505 /nfs/dbraw/zinc/20/55/05/1109205505.db2.gz AJJOXGYTGPQEFZ-CQSZACIVSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1cncs1 ZINC001280855562 1109264130 /nfs/dbraw/zinc/26/41/30/1109264130.db2.gz DLZBGDRGKMLITJ-INIZCTEOSA-N 0 1 309.479 3.026 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@H](CNC(=O)CC(C)=C(C)C)O2 ZINC001280930287 1109275315 /nfs/dbraw/zinc/27/53/15/1109275315.db2.gz SUQBZQDUILJQAL-QGZVFWFLSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cnoc2C)CC1(C)C ZINC001281552066 1109378837 /nfs/dbraw/zinc/37/88/37/1109378837.db2.gz HJCYWJJJGUMLKH-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2c(C)nsc2C)[C@H](C)C1 ZINC001281650670 1109388854 /nfs/dbraw/zinc/38/88/54/1109388854.db2.gz FOXDIQNNQKXYGK-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NC/C(Cl)=C/Cl)C1 ZINC001281747972 1109403445 /nfs/dbraw/zinc/40/34/45/1109403445.db2.gz HABYXYJMZIATCH-LCFDYFRESA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)Cc1ncccc1C ZINC001281940501 1109418031 /nfs/dbraw/zinc/41/80/31/1109418031.db2.gz SXOFGULMYBONNL-KRWDZBQOSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC001281953389 1109420951 /nfs/dbraw/zinc/42/09/51/1109420951.db2.gz USPVDUBAPGGOKL-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC1CC(CNCc2csc(C)n2)C1 ZINC001282041371 1109435145 /nfs/dbraw/zinc/43/51/45/1109435145.db2.gz HUNWYHAKDXQQMZ-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc(Cl)c1C ZINC001282133842 1109455894 /nfs/dbraw/zinc/45/58/94/1109455894.db2.gz PQDUZFJRRFNLFE-NEPJUHHUSA-N 0 1 315.244 3.497 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CC[C@@H](C)c1ccccc1 ZINC001282465090 1109517789 /nfs/dbraw/zinc/51/77/89/1109517789.db2.gz PGGGDIVAZQUWNL-IAGOWNOFSA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(Cl)ccc1Cl ZINC001282486007 1109523570 /nfs/dbraw/zinc/52/35/70/1109523570.db2.gz OAFNZCAAOLNQRI-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](F)CC1CCCCC1 ZINC001282678191 1109550008 /nfs/dbraw/zinc/55/00/08/1109550008.db2.gz OYYIAISQGFZSAX-ZWKOTPCHSA-N 0 1 322.468 3.289 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)C(C)(CC)CC)C2)C1 ZINC001282782939 1109563338 /nfs/dbraw/zinc/56/33/38/1109563338.db2.gz WYFCICLQTDJJLA-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC[C@@H](C)c2ccccc2)C(C)(C)C1 ZINC001282819438 1109569977 /nfs/dbraw/zinc/56/99/77/1109569977.db2.gz AQPRHTLQBRODGO-SJLPKXTDSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)C(C)(C)C1 ZINC001282821556 1109571057 /nfs/dbraw/zinc/57/10/57/1109571057.db2.gz PDDNTYNAGLNSFR-ROUUACIJSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@@H](C)CC(C)C)C2)C1 ZINC001282977933 1109585785 /nfs/dbraw/zinc/58/57/85/1109585785.db2.gz QUPBLQBCPRYOHW-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCCNCc2nc(C)oc2C)C1 ZINC001283233276 1109605545 /nfs/dbraw/zinc/60/55/45/1109605545.db2.gz RQHKWKBXXQLXPE-CYBMUJFWSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)[C@@H](C)C(C)(C)C ZINC001283633059 1109664062 /nfs/dbraw/zinc/66/40/62/1109664062.db2.gz VUOFOMCQFNISFK-NWDGAFQWSA-N 0 1 319.287 3.014 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001283653174 1109666951 /nfs/dbraw/zinc/66/69/51/1109666951.db2.gz OIBDWXRUWWOWHU-DDITZMBXSA-N 0 1 311.429 3.017 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2ccc(F)cc2F)[C@H]1C ZINC001283864257 1109690993 /nfs/dbraw/zinc/69/09/93/1109690993.db2.gz DIVHNMBJNYJNNF-MLGOLLRUSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)[C@H]1C ZINC001283897197 1109696021 /nfs/dbraw/zinc/69/60/21/1109696021.db2.gz BWDXYFRBMDKIEF-CXAGYDPISA-N 0 1 322.399 3.400 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)[C@@H]1C ZINC001284119387 1109740268 /nfs/dbraw/zinc/74/02/68/1109740268.db2.gz CKXZDVFAYLBCAP-ZPPSIVDRSA-N 0 1 324.468 3.328 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(Cc2cncc(C)c2)[C@@H]1C ZINC001284130301 1109744300 /nfs/dbraw/zinc/74/43/00/1109744300.db2.gz KKHJUJNFUCLGCB-WBVHZDCISA-N 0 1 315.461 3.071 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C/C=C(\C)C=C)C1 ZINC001316645020 1110158255 /nfs/dbraw/zinc/15/82/55/1110158255.db2.gz MFLPUVVCJUWRPL-ZGKJJNTQSA-N 0 1 310.441 3.279 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)/C=C\c2ccc(C(C)(C)C)cc2)C1 ZINC001303559212 1111147592 /nfs/dbraw/zinc/14/75/92/1111147592.db2.gz WYRLIYXPLIZHDX-QTJNJRLBSA-N 0 1 324.468 3.211 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C\[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001303558665 1111147644 /nfs/dbraw/zinc/14/76/44/1111147644.db2.gz MKZQIZLOSWCCJH-IYIIITTDSA-N 0 1 316.489 3.219 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)/C=C/[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001303558664 1111147668 /nfs/dbraw/zinc/14/76/68/1111147668.db2.gz MKZQIZLOSWCCJH-DRNWHCLHSA-N 0 1 316.489 3.219 20 30 DGEDMN CC[C@@H]1[C@@H](NC(=O)C2(CC)CC2)CCN1CC#Cc1ccccc1 ZINC001316737055 1111202712 /nfs/dbraw/zinc/20/27/12/1111202712.db2.gz FKUOOTXCQRHRJY-RBUKOAKNSA-N 0 1 324.468 3.197 20 30 DGEDMN CC[C@@H](Nc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001306883190 1111247075 /nfs/dbraw/zinc/24/70/75/1111247075.db2.gz VNNCJYPNRPEJPT-CQSZACIVSA-N 0 1 319.368 3.264 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SCc3ccncc3C#N)n2)o1 ZINC001307284416 1111285740 /nfs/dbraw/zinc/28/57/40/1111285740.db2.gz GHIMQDXZZOTALW-SNAWJCMRSA-N 0 1 323.381 3.436 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](Cc2ccc(C)cc2)C1 ZINC001307441558 1111300136 /nfs/dbraw/zinc/30/01/36/1111300136.db2.gz GHISMNKATORBBE-QZTJIDSGSA-N 0 1 301.430 3.367 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)c2ccc(Cl)cc2F)CC1 ZINC001307457547 1111302555 /nfs/dbraw/zinc/30/25/55/1111302555.db2.gz XMRKFUGVFQBJCN-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001307686752 1111327586 /nfs/dbraw/zinc/32/75/86/1111327586.db2.gz SHLDBRWLSAUEQX-GOSISDBHSA-N 0 1 317.396 3.172 20 30 DGEDMN CC[C@@H]1CCN(CC(=O)Nc2cc(Cl)ccc2C#N)[C@H]1C ZINC001307701282 1111329114 /nfs/dbraw/zinc/32/91/14/1111329114.db2.gz CYXDKYAOVRJQDM-NWDGAFQWSA-N 0 1 305.809 3.271 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@@H](C)CC(C)(C)C)n1 ZINC001307735746 1111333794 /nfs/dbraw/zinc/33/37/94/1111333794.db2.gz IQZIOHWVXJJFLA-INIZCTEOSA-N 0 1 305.466 3.037 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001307781971 1111338290 /nfs/dbraw/zinc/33/82/90/1111338290.db2.gz SJHMTEVEKJHOIR-HOCLYGCPSA-N 0 1 324.346 3.202 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc([N+](=O)[O-])c2)C1 ZINC001307914050 1111355401 /nfs/dbraw/zinc/35/54/01/1111355401.db2.gz BKJODBOXBNBKGG-UONOGXRCSA-N 0 1 317.389 3.210 20 30 DGEDMN CN(C)c1nc2c(s1)CN(CCCCC1(C#N)CCC1)CC2 ZINC001308047935 1111371274 /nfs/dbraw/zinc/37/12/74/1111371274.db2.gz JPGGENIKTYZUOT-UHFFFAOYSA-N 0 1 318.490 3.431 20 30 DGEDMN C=CCOc1cccc(CNCC(=O)N(C)C2CCCCC2)c1 ZINC001308331602 1111417795 /nfs/dbraw/zinc/41/77/95/1111417795.db2.gz WEZYXTAFKSFFAT-UHFFFAOYSA-N 0 1 316.445 3.132 20 30 DGEDMN C#CCOc1ccc(CNCc2ccnn2-c2ccccc2)cc1 ZINC001308409599 1111425631 /nfs/dbraw/zinc/42/56/31/1111425631.db2.gz UCBODPUZXGEUIC-UHFFFAOYSA-N 0 1 317.392 3.174 20 30 DGEDMN C[C@H]1CCN(CC#Cc2ccccc2)C[C@H]1C(=O)OC(C)(C)C ZINC001308836515 1111481979 /nfs/dbraw/zinc/48/19/79/1111481979.db2.gz NVKQWQMDJZHZSB-FUHWJXTLSA-N 0 1 313.441 3.338 20 30 DGEDMN C#C[C@@H]1CCC[N@H+](CCN(C(=O)OC(C)(C)C)C(C)(C)C)C1 ZINC001308839761 1111482286 /nfs/dbraw/zinc/48/22/86/1111482286.db2.gz GDMGZLPBLVGWFX-OAHLLOKOSA-N 0 1 308.466 3.367 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)Cc1ccnc(Cl)c1 ZINC001317485948 1111555676 /nfs/dbraw/zinc/55/56/76/1111555676.db2.gz GZEFMAGRASMVOV-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC001311570812 1111608391 /nfs/dbraw/zinc/60/83/91/1111608391.db2.gz NAXWYZVFBYMNND-MRXNPFEDSA-N 0 1 321.440 3.330 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(C/C=C\Cl)[C@@H]2CC)CCC1 ZINC001316737760 1111758845 /nfs/dbraw/zinc/75/88/45/1111758845.db2.gz YRTYMSXUTDJCDL-ZDUQJDDRSA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCN(C[C@@H](F)CC)[C@@H]2C)CCCCC1 ZINC001316800730 1111771064 /nfs/dbraw/zinc/77/10/64/1111771064.db2.gz JGJYNGAAAZGKSE-IKGGRYGDSA-N 0 1 322.468 3.287 20 30 DGEDMN N#CCc1ccc(NS(=O)(=O)c2cc(F)ccc2Cl)cc1 ZINC000103058325 432002411 /nfs/dbraw/zinc/00/24/11/432002411.db2.gz XPWGMWALOQJHGT-UHFFFAOYSA-N 0 1 324.764 3.346 20 30 DGEDMN C=CC[NH+](CC=C)[C@@H](C[N-]c1nnc(C(F)F)o1)C(C)C ZINC000278487828 214186715 /nfs/dbraw/zinc/18/67/15/214186715.db2.gz GVNKVGHVNVUYDB-NSHDSACASA-N 0 1 300.353 3.118 20 30 DGEDMN CC(C)C[C@@H](N)c1noc(C2(c3cc(F)cc(C#N)c3)CC2)n1 ZINC000414626513 230793429 /nfs/dbraw/zinc/79/34/29/230793429.db2.gz YDQOFTNIALRXOK-CQSZACIVSA-N 0 1 314.364 3.206 20 30 DGEDMN C=C(C)COc1cccc(NC(=O)[C@H]2CCCN2C2CC2)c1 ZINC000278704303 214339761 /nfs/dbraw/zinc/33/97/61/214339761.db2.gz PLOQGLHBQKWJAR-QGZVFWFLSA-N 0 1 300.402 3.207 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)NCC(C)(C)CCC#N)n[nH]1 ZINC000358747246 529432078 /nfs/dbraw/zinc/43/20/78/529432078.db2.gz ZGIAAGGKEDFPRG-NEPJUHHUSA-N 0 1 320.441 3.008 20 30 DGEDMN Cc1nccn1C[C@@H]1CCCN1C([O-])=[NH+][C@@H]1C[C@H]1c1ccccc1 ZINC000330277601 282211212 /nfs/dbraw/zinc/21/12/12/282211212.db2.gz OLVAYILANDYODE-OKZBNKHCSA-N 0 1 324.428 3.126 20 30 DGEDMN C=CC[C@H]1N(C(=O)CCSc2nnc(C)[nH]2)CCCC1(C)C ZINC000278206777 214023554 /nfs/dbraw/zinc/02/35/54/214023554.db2.gz SPIXBJOWGIBHEK-CYBMUJFWSA-N 0 1 322.478 3.189 20 30 DGEDMN CC(C)N(CC(=O)NCCC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000063750408 432006971 /nfs/dbraw/zinc/00/69/71/432006971.db2.gz QESWJSWSIMQKFT-UHFFFAOYSA-N 0 1 315.461 3.321 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@@H](C(F)(F)F)[C@H](CO)C1 ZINC000286645538 219100910 /nfs/dbraw/zinc/10/09/10/219100910.db2.gz TZZBSRDTTQLGIB-BYCMXARLSA-N 0 1 312.335 3.112 20 30 DGEDMN CN(CCCc1cc(-c2ccccc2)n[nH]1)C[C@@H](C#N)CCC#N ZINC000161359210 529896536 /nfs/dbraw/zinc/89/65/36/529896536.db2.gz ZAKNJJWTKACLPZ-MRXNPFEDSA-N 0 1 321.428 3.385 20 30 DGEDMN C[C@@H](N[C@H](C[S@](C)=O)c1ccccc1)c1ccc(C#N)cc1 ZINC000183159781 199547617 /nfs/dbraw/zinc/54/76/17/199547617.db2.gz YBXYQSPRCDTRFB-KEQGGVEFSA-N 0 1 312.438 3.329 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1nc2c(cc1C#N)CCC2 ZINC000444864912 529977125 /nfs/dbraw/zinc/97/71/25/529977125.db2.gz KGXUMIMBMFYUAB-UHFFFAOYSA-N 0 1 309.417 3.099 20 30 DGEDMN CN1CCC[C@H]1C(=O)Nc1ccc(Oc2ccc(C#N)cc2)cc1 ZINC000299490236 530062608 /nfs/dbraw/zinc/06/26/08/530062608.db2.gz ICLSPNHTFNSVBD-SFHVURJKSA-N 0 1 321.380 3.383 20 30 DGEDMN C#CCN(Cc1ccc(C(=O)NC)cc1)[C@H]1CCc2ccccc21 ZINC000066871921 432023955 /nfs/dbraw/zinc/02/39/55/432023955.db2.gz IWJAJRCWZWNLCW-FQEVSTJZSA-N 0 1 318.420 3.169 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2n[nH]c([C@H](C)CC)n2)cc1 ZINC000277173038 213344895 /nfs/dbraw/zinc/34/48/95/213344895.db2.gz IARCSONSUXZIAK-KGLIPLIRSA-N 0 1 312.417 3.181 20 30 DGEDMN C[C@H]1C[C@@H]2CCCC[C@@H]2N1CC(=O)Nc1sccc1C#N ZINC000173435119 198214450 /nfs/dbraw/zinc/21/44/50/198214450.db2.gz FUNIBACSDAICIN-OBJOEFQTSA-N 0 1 303.431 3.211 20 30 DGEDMN COCc1cc(CNCc2ccc(OC)c(C#N)c2)ccc1F ZINC000174370120 198325520 /nfs/dbraw/zinc/32/55/20/198325520.db2.gz KBFGOUZGISQJDU-UHFFFAOYSA-N 0 1 314.360 3.142 20 30 DGEDMN N#Cc1cc(CN2CC[C@@]3(C2)OCc2ccccc23)ccc1F ZINC000186669316 283093345 /nfs/dbraw/zinc/09/33/45/283093345.db2.gz UXZHCGKVPMZYRN-IBGZPJMESA-N 0 1 308.356 3.329 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc3ccc(C(F)(F)F)cc3[nH]2)c[nH]1 ZINC000182620239 283091601 /nfs/dbraw/zinc/09/16/01/283091601.db2.gz RIWNPDQFFYFEGV-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN Cc1cccc(C[N@H+]2CCCN(c3ccccc3C#N)CC2)c1O ZINC000179752765 432070617 /nfs/dbraw/zinc/07/06/17/432070617.db2.gz PUVVTFVGJIDIEF-UHFFFAOYSA-N 0 1 321.424 3.285 20 30 DGEDMN C#Cc1cccc(NC(=O)N[C@H](C)c2n[nH]c([C@H](C)CC)n2)c1 ZINC000276737997 213076964 /nfs/dbraw/zinc/07/69/64/213076964.db2.gz AMQZRIDKZRDNKN-VXGBXAGGSA-N 0 1 311.389 3.182 20 30 DGEDMN CN(Cc1ccc(C#N)s1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000179472940 199042785 /nfs/dbraw/zinc/04/27/85/199042785.db2.gz AYFUMADVVOGXBG-GFCCVEGCSA-N 0 1 321.446 3.061 20 30 DGEDMN C[C@@H]1CCCCN(CC(=O)Nc2cc(Cl)ccc2C#N)C1 ZINC000187920309 200176848 /nfs/dbraw/zinc/17/68/48/200176848.db2.gz VOZJTOZIMFWHDI-GFCCVEGCSA-N 0 1 305.809 3.272 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](c2ccc(F)cc2)N(C)C)CCC1 ZINC000189075844 200344630 /nfs/dbraw/zinc/34/46/30/200344630.db2.gz QNSWSUDGAPRYFX-INIZCTEOSA-N 0 1 304.409 3.291 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3ccc(C#N)cn3)cc2[nH]1 ZINC000170964584 432089145 /nfs/dbraw/zinc/08/91/45/432089145.db2.gz IHDFEMRREPTSIR-UHFFFAOYSA-N 0 1 319.368 3.424 20 30 DGEDMN COc1ccc(Br)cc1CNCC1(CC#N)CC1 ZINC000430006183 238064479 /nfs/dbraw/zinc/06/44/79/238064479.db2.gz OGHFHMJGPRTHNF-UHFFFAOYSA-N 0 1 309.207 3.241 20 30 DGEDMN N#Cc1cccc(CN2CCC(CO)(c3ccccc3)CC2)c1F ZINC000433068744 238145992 /nfs/dbraw/zinc/14/59/92/238145992.db2.gz PUQAOWXTFSYNQL-UHFFFAOYSA-N 0 1 324.399 3.223 20 30 DGEDMN CCc1nn(C)c(CC)c1CN[C@H](C)c1ccc(C#N)c(F)c1 ZINC000271957456 209340850 /nfs/dbraw/zinc/34/08/50/209340850.db2.gz QGMOBHVBDBYCOX-GFCCVEGCSA-N 0 1 314.408 3.406 20 30 DGEDMN C[C@@H](N[C@H]1CCN(c2ccccc2)C1=O)c1ccc(C#N)c(F)c1 ZINC000271910675 209317802 /nfs/dbraw/zinc/31/78/02/209317802.db2.gz ANYMMMRFNGHCIZ-ACJLOTCBSA-N 0 1 323.371 3.153 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cccc3[nH]ccc32)ccc1C#N ZINC000435736830 238342141 /nfs/dbraw/zinc/34/21/41/238342141.db2.gz BWMBMAKPEZKULK-UHFFFAOYSA-N 0 1 311.366 3.149 20 30 DGEDMN Cn1nccc1[C@H]1CCCCN1CCCOc1cccc(C#N)c1 ZINC000281404777 216276438 /nfs/dbraw/zinc/27/64/38/216276438.db2.gz NVNCZPFMAWUGEF-LJQANCHMSA-N 0 1 324.428 3.288 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1ncccc1C(C)C ZINC000443406893 239198308 /nfs/dbraw/zinc/19/83/08/239198308.db2.gz GLBTWZKAVPLMCA-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN COCCOc1ccccc1CNCc1ccc(C#N)c(C)c1 ZINC000443984324 239245895 /nfs/dbraw/zinc/24/58/95/239245895.db2.gz ISHYWMUIBOYFRM-UHFFFAOYSA-N 0 1 310.397 3.182 20 30 DGEDMN Cc1nn2c(nc(C)c2CN[C@H](C)c2ccc(C#N)cc2)s1 ZINC000271848354 209264190 /nfs/dbraw/zinc/26/41/90/209264190.db2.gz IVIWOMGEWGCUAI-SNVBAGLBSA-N 0 1 311.414 3.130 20 30 DGEDMN C[C@H](NC(=O)CNC1(c2cccc(C#N)c2)CC1)c1ccccc1 ZINC000271838459 209258581 /nfs/dbraw/zinc/25/85/81/209258581.db2.gz VMMHOYJZZDZOLJ-HNNXBMFYSA-N 0 1 319.408 3.014 20 30 DGEDMN Cc1cc(C)cc(NC(=O)CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000271800793 209227388 /nfs/dbraw/zinc/22/73/88/209227388.db2.gz PBACWVZQNOCGDG-UHFFFAOYSA-N 0 1 319.408 3.393 20 30 DGEDMN Cc1cccc(CN2CC[C@@H](Oc3ccc(C#N)cn3)C2)c1F ZINC000449336373 240139232 /nfs/dbraw/zinc/13/92/32/240139232.db2.gz NNBPBAQJILHIJZ-MRXNPFEDSA-N 0 1 311.360 3.054 20 30 DGEDMN CCOc1ccccc1NS(=O)(=O)c1ccc(C#N)cc1C ZINC000076935555 283843539 /nfs/dbraw/zinc/84/35/39/283843539.db2.gz IYNUKXPQRTYVRX-UHFFFAOYSA-N 0 1 316.382 3.066 20 30 DGEDMN CCO[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@H]1C ZINC000451523858 241156961 /nfs/dbraw/zinc/15/69/61/241156961.db2.gz DLSCLKRYMYPMRS-IUODEOHRSA-N 0 1 324.371 3.407 20 30 DGEDMN CO[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)C[C@H]1C ZINC000451545645 241168561 /nfs/dbraw/zinc/16/85/61/241168561.db2.gz OKKQBWVYFKDTGF-BXUZGUMPSA-N 0 1 310.344 3.016 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1coc(-c2ccc(Cl)cc2)n1 ZINC000451600925 241187132 /nfs/dbraw/zinc/18/71/32/241187132.db2.gz SNZGXTPHSWKAGM-CABCVRRESA-N 0 1 304.777 3.428 20 30 DGEDMN Cc1sc(NC(=O)CN2[C@H](C)CCC2(C)C)c(C#N)c1C ZINC000452017901 241299757 /nfs/dbraw/zinc/29/97/57/241299757.db2.gz XSDCZQFCTGJZEQ-SNVBAGLBSA-N 0 1 305.447 3.438 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@@H](C)c1n[nH]c(CC)n1)c1ccccc1 ZINC000275158439 212159370 /nfs/dbraw/zinc/15/93/70/212159370.db2.gz ACNKQTWMHSHTNF-DZGCQCFKSA-N 0 1 312.417 3.294 20 30 DGEDMN COCCN(CCOCC1CCCC1)Cc1ccc(C#N)cc1 ZINC000459332603 243339221 /nfs/dbraw/zinc/33/92/21/243339221.db2.gz YNGMSCFPSICDQT-UHFFFAOYSA-N 0 1 316.445 3.213 20 30 DGEDMN C=CCNC(=O)CNCc1cc(-c2ccccc2C)ccc1OC ZINC000459466256 243381511 /nfs/dbraw/zinc/38/15/11/243381511.db2.gz PDNKAYBVKMPEGH-UHFFFAOYSA-N 0 1 324.424 3.062 20 30 DGEDMN C[C@H]1CN(Cc2ccccc2)CCN1Cc1cc(C#N)cs1 ZINC000093325082 193233705 /nfs/dbraw/zinc/23/37/05/193233705.db2.gz JOAFUVPVUHTLCD-HNNXBMFYSA-N 0 1 311.454 3.326 20 30 DGEDMN Cc1cc(CN[C@H](C[S@@](C)=O)c2ccccc2)ccc1C#N ZINC000488134346 245151571 /nfs/dbraw/zinc/15/15/71/245151571.db2.gz DLDABCXKFSDMFN-XMSQKQJNSA-N 0 1 312.438 3.076 20 30 DGEDMN C=C(C)CNC(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC000488598469 245215146 /nfs/dbraw/zinc/21/51/46/245215146.db2.gz RPDJTANBASDHPT-UHFFFAOYSA-N 0 1 323.440 3.243 20 30 DGEDMN C=CCn1cccc1C(=O)[C@@H](C#N)c1cnc2ccccc2n1 ZINC000488718628 245221565 /nfs/dbraw/zinc/22/15/65/245221565.db2.gz XLUWWIDKTGWLMK-ZDUSSCGKSA-N 0 1 302.337 3.107 20 30 DGEDMN C#CCN1CCC(c2nc(-c3nccc4ccccc43)no2)CC1 ZINC000264970200 204312535 /nfs/dbraw/zinc/31/25/35/204312535.db2.gz FEROLOVHQRBQGA-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN Cc1cc(C)cc(NC(=O)CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000271710912 209146125 /nfs/dbraw/zinc/14/61/25/209146125.db2.gz VURATISFWBBVHS-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2cccc(C)c2C)CC1 ZINC000266064760 205070159 /nfs/dbraw/zinc/07/01/59/205070159.db2.gz JEBPRPDXWGIGTE-HXUWFJFHSA-N 0 1 324.468 3.312 20 30 DGEDMN CCc1cccc(C)c1NS(=O)(=O)c1ccccc1C#N ZINC000019805117 182152230 /nfs/dbraw/zinc/15/22/30/182152230.db2.gz JTEJUVSQRNPIRB-UHFFFAOYSA-N 0 1 300.383 3.230 20 30 DGEDMN C[C@@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)CCS1 ZINC000494110059 246112941 /nfs/dbraw/zinc/11/29/41/246112941.db2.gz YKGPJIMHMKOMQD-LLVKDONJSA-N 0 1 312.385 3.487 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CCOC3(C2)CCCCCC3)cc1 ZINC000119650818 195010358 /nfs/dbraw/zinc/01/03/58/195010358.db2.gz QVNMETJFJHBCHD-SFHVURJKSA-N 0 1 314.429 3.017 20 30 DGEDMN C=C(C)[C@H](CC(=O)Nc1nc(-c2ccc(C)cc2)n[nH]1)OCC ZINC000270093520 208152668 /nfs/dbraw/zinc/15/26/68/208152668.db2.gz XVGPRKAKSFBBOX-AWEZNQCLSA-N 0 1 314.389 3.090 20 30 DGEDMN Cc1ccc2nc(CNc3ccc([N+](=O)[O-])cc3C#N)[nH]c2c1 ZINC000338280559 253256520 /nfs/dbraw/zinc/25/65/20/253256520.db2.gz KAQCKRZCGYIGIF-UHFFFAOYSA-N 0 1 307.313 3.263 20 30 DGEDMN COC(=O)c1ccc(F)c(CN[C@H](C)c2ccc(C#N)cc2)c1 ZINC000338362834 253273743 /nfs/dbraw/zinc/27/37/43/253273743.db2.gz OKSVQRWQIKPFDL-GFCCVEGCSA-N 0 1 312.344 3.335 20 30 DGEDMN C=CCOc1cccc(NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)c1 ZINC000158253151 197257329 /nfs/dbraw/zinc/25/73/29/197257329.db2.gz NAJCUQQHHDPSMO-LBPRGKRZSA-N 0 1 314.389 3.036 20 30 DGEDMN CCN(CCc1ccccc1)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000343561520 254160625 /nfs/dbraw/zinc/16/06/25/254160625.db2.gz YTCZEIGSYZXYKU-MRXNPFEDSA-N 0 1 321.424 3.450 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2ccc(F)cc2C#N)CC1 ZINC000343731364 254182131 /nfs/dbraw/zinc/18/21/31/254182131.db2.gz ZBGYGFCAZRCNBO-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(F)c(C#N)c1 ZINC000344128720 254229404 /nfs/dbraw/zinc/22/94/04/254229404.db2.gz UGDXXSDGITUMCC-UHFFFAOYSA-N 0 1 323.371 3.218 20 30 DGEDMN C#CC[C@@H](NC[C@H]1CN(c2ccccc2)C(=O)O1)c1ccccc1 ZINC000271649685 209094196 /nfs/dbraw/zinc/09/41/96/209094196.db2.gz AXQDQPSRXFHWJZ-RBUKOAKNSA-N 0 1 320.392 3.366 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc2c(c1)CC(=O)N2C ZINC000271572878 209023699 /nfs/dbraw/zinc/02/36/99/209023699.db2.gz ZKZIMGIUBPUKGG-LBPRGKRZSA-N 0 1 323.371 3.067 20 30 DGEDMN Cc1ccnc(N2CCCC[C@H]2c2n[nH]c(C(C)C)n2)c1C#N ZINC000289501313 221022009 /nfs/dbraw/zinc/02/20/09/221022009.db2.gz CHTFAMKDUXEOTI-AWEZNQCLSA-N 0 1 310.405 3.235 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)[C@@H](CCO)c1ccccc1 ZINC000121066776 195289710 /nfs/dbraw/zinc/28/97/10/195289710.db2.gz HXXXIXMJGHWNLF-SFHVURJKSA-N 0 1 310.397 3.122 20 30 DGEDMN COc1cc(C#N)ccc1CN1CC[C@@H](OCCC(C)C)C1 ZINC000121172430 195302203 /nfs/dbraw/zinc/30/22/03/195302203.db2.gz IBZNXXDXJBFTFJ-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN COc1ccc(Br)c(CN[C@H]2CC[C@H](C#N)C2)c1 ZINC000349813458 533259543 /nfs/dbraw/zinc/25/95/43/533259543.db2.gz OPIBMISDLYCLQY-JQWIXIFHSA-N 0 1 309.207 3.240 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(CCC#N)c1ccccc1)c1cccnc1 ZINC000173366933 533494544 /nfs/dbraw/zinc/49/45/44/533494544.db2.gz NGQVYGBHFCBSBU-CVEARBPZSA-N 0 1 322.412 3.068 20 30 DGEDMN Cc1cccc(CN2CCN(c3cccc(F)c3C#N)CC2)c1 ZINC000007903082 400112237 /nfs/dbraw/zinc/11/22/37/400112237.db2.gz PQXOYLBHOZQILS-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cnn3c2CCC3)s1 ZINC000581590323 400081232 /nfs/dbraw/zinc/08/12/32/400081232.db2.gz HNTXXJHTDOWWJU-JTQLQIEISA-N 0 1 314.414 3.073 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)c2cnn3c2CCC3)s1 ZINC000581590323 400081235 /nfs/dbraw/zinc/08/12/35/400081235.db2.gz HNTXXJHTDOWWJU-JTQLQIEISA-N 0 1 314.414 3.073 20 30 DGEDMN CC(C)c1cccc(CN2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000595289906 400119509 /nfs/dbraw/zinc/11/95/09/400119509.db2.gz HLBCJHSKKOAZEB-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN N#Cc1ccc(CCN2CCC[C@@H](OCC(F)(F)F)C2)cc1 ZINC000595320637 400127245 /nfs/dbraw/zinc/12/72/45/400127245.db2.gz IKTHTPKFJIMJKU-OAHLLOKOSA-N 0 1 312.335 3.144 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC2(CCCCC2)CC1 ZINC000608833626 400127752 /nfs/dbraw/zinc/12/77/52/400127752.db2.gz YXLIIOQSHIKWAA-KRWDZBQOSA-N 0 1 305.466 3.087 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000585756176 400138102 /nfs/dbraw/zinc/13/81/02/400138102.db2.gz WUVMPKHVSUQPNA-OAQYLSRUSA-N 0 1 319.452 3.225 20 30 DGEDMN N#C[C@H](c1ccccc1)N1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000595404807 400141607 /nfs/dbraw/zinc/14/16/07/400141607.db2.gz OCJGFPZJUUAZKI-JKSUJKDBSA-N 0 1 307.401 3.126 20 30 DGEDMN N#C[C@H](c1ccccc1)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000595404807 400141609 /nfs/dbraw/zinc/14/16/09/400141609.db2.gz OCJGFPZJUUAZKI-JKSUJKDBSA-N 0 1 307.401 3.126 20 30 DGEDMN N#Cc1ccc(CCN2CCO[C@@H](Cc3ccccc3)C2)cc1 ZINC000595424441 400145902 /nfs/dbraw/zinc/14/59/02/400145902.db2.gz MMFRIDRVDGGHDM-FQEVSTJZSA-N 0 1 306.409 3.044 20 30 DGEDMN CC(C)[C@@H](NCCc1ccc(C#N)cc1)c1nc(C2CC2)no1 ZINC000595427474 400147240 /nfs/dbraw/zinc/14/72/40/400147240.db2.gz YPHFPWDMQQZSER-MRXNPFEDSA-N 0 1 310.401 3.348 20 30 DGEDMN C[C@H]1CC(O)C[C@H](C)N1Cc1ccc(C#N)cc1C(F)(F)F ZINC000595450861 400155126 /nfs/dbraw/zinc/15/51/26/400155126.db2.gz DSWVDOCDJWKPPK-QWRGUYRKSA-N 0 1 312.335 3.311 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2cc(C(=O)OC)ccc2[N+](=O)[O-])C1 ZINC000595658143 400221138 /nfs/dbraw/zinc/22/11/38/400221138.db2.gz HTBQLVAEXDUNHG-ZDUSSCGKSA-N 0 1 318.373 3.170 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2nnc(-c3ccc(C#N)cc3)o2)C1 ZINC000595657754 400221313 /nfs/dbraw/zinc/22/13/13/400221313.db2.gz BSPSLKLBZQTPTM-OAHLLOKOSA-N 0 1 308.385 3.396 20 30 DGEDMN Cc1cccc(CN2CCN(c3nc(C)cc(C)c3C#N)CC2)c1 ZINC000025370915 400234978 /nfs/dbraw/zinc/23/49/78/400234978.db2.gz KBMXIYAKDGAYHC-UHFFFAOYSA-N 0 1 320.440 3.201 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)NC1CCC(C)CC1 ZINC000610343987 400244746 /nfs/dbraw/zinc/24/47/46/400244746.db2.gz XSXDXLKSWMCRPM-NWPKHEMWSA-N 0 1 313.445 3.292 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@](O)(C3CC3)C2)c(C(F)(F)F)c1 ZINC000595750175 400249141 /nfs/dbraw/zinc/24/91/41/400249141.db2.gz PQVFAXNZVYNKEC-INIZCTEOSA-N 0 1 324.346 3.314 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Cl)[C@H](CO)Cc1ccccc1 ZINC000595593602 400197511 /nfs/dbraw/zinc/19/75/11/400197511.db2.gz XMWJWDFQCMSCDC-KRWDZBQOSA-N 0 1 314.816 3.247 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NCc1noc(C)c1C(=O)OCC ZINC000595605862 400200989 /nfs/dbraw/zinc/20/09/89/400200989.db2.gz YVLVUKCCTLTSFY-UONOGXRCSA-N 0 1 306.406 3.384 20 30 DGEDMN CC(C)(C)N(CC(=O)N[C@@](C)(C#N)C1CC1)Cc1ccsc1 ZINC000595608667 400202492 /nfs/dbraw/zinc/20/24/92/400202492.db2.gz TUINHDVDWRRFNG-KRWDZBQOSA-N 0 1 319.474 3.157 20 30 DGEDMN Cc1ccc(CN2CCN(Cc3ccc(C#N)cc3)CC2)cc1 ZINC000022781883 400204556 /nfs/dbraw/zinc/20/45/56/400204556.db2.gz LSNBDVFAAJHNHV-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN N#Cc1sccc1N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC000595630536 400212038 /nfs/dbraw/zinc/21/20/38/400212038.db2.gz ZFPZNJVMFOGSBK-MRXNPFEDSA-N 0 1 309.438 3.429 20 30 DGEDMN COC(=O)c1cc(CNC(C)(C)c2cccc(C#N)c2)c(C)o1 ZINC000595636581 400213548 /nfs/dbraw/zinc/21/35/48/400213548.db2.gz RHDCMZFELXAMFL-UHFFFAOYSA-N 0 1 312.369 3.271 20 30 DGEDMN N#Cc1ccccc1NC(=O)CCN1CC[C@@H]1c1cccc(F)c1 ZINC000610505011 400266867 /nfs/dbraw/zinc/26/68/67/400266867.db2.gz RFJJCTCNNXAHKX-GOSISDBHSA-N 0 1 323.371 3.473 20 30 DGEDMN N#Cc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)s1 ZINC000610512311 400268001 /nfs/dbraw/zinc/26/80/01/400268001.db2.gz VOGWERIZAAGUSR-KRWDZBQOSA-N 0 1 308.410 3.347 20 30 DGEDMN CCCCO[C@H](C)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000068541687 400270537 /nfs/dbraw/zinc/27/05/37/400270537.db2.gz IMONRDCIAOLWDR-LLVKDONJSA-N 0 1 318.377 3.274 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000595845698 400281251 /nfs/dbraw/zinc/28/12/51/400281251.db2.gz UJUCHONFFGKPJC-WQVCFCJDSA-N 0 1 315.373 3.105 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000589310692 400287481 /nfs/dbraw/zinc/28/74/81/400287481.db2.gz GDPKDHBSCIAANF-INIZCTEOSA-N 0 1 300.793 3.164 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](N[C@H]1CCN(C3CCCCC3)C1=O)CC2 ZINC000589341559 400289945 /nfs/dbraw/zinc/28/99/45/400289945.db2.gz SBUOLXXFHMWEMJ-OALUTQOASA-N 0 1 323.440 3.069 20 30 DGEDMN Cc1sc(NC(=O)CN(C)C[C@H]2CC2(C)C)c(C#N)c1C ZINC000595910193 400292378 /nfs/dbraw/zinc/29/23/78/400292378.db2.gz RLYDBQBJCDRDCL-GFCCVEGCSA-N 0 1 305.447 3.153 20 30 DGEDMN C[C@@H]1CN(C(=O)C(C)(C)C#N)[C@H](C)[C@H](C)N1Cc1ccccc1 ZINC000404384869 400296052 /nfs/dbraw/zinc/29/60/52/400296052.db2.gz VLJMYSGMAVRYHS-OWCLPIDISA-N 0 1 313.445 3.046 20 30 DGEDMN CCc1c(NC(=O)c2ccc(C#N)cc2)[nH]nc1-c1ccncc1 ZINC000068965872 400303042 /nfs/dbraw/zinc/30/30/42/400303042.db2.gz AOKVHPSQFJLEKX-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN CN(C)[C@H](CNc1ccc([N+](=O)[O-])cc1C#N)c1ccsc1 ZINC000026720059 400260614 /nfs/dbraw/zinc/26/06/14/400260614.db2.gz ARWODBHQXRAHDH-OAHLLOKOSA-N 0 1 316.386 3.243 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000595791469 400261646 /nfs/dbraw/zinc/26/16/46/400261646.db2.gz UVKDXFLBVQYPEN-QAPCUYQASA-N 0 1 302.393 3.478 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc(F)c(F)c1)c1cccc(C#N)c1 ZINC000611386884 400388478 /nfs/dbraw/zinc/38/84/78/400388478.db2.gz BEOQRFTUSACOIU-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN CCc1ccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)cc1 ZINC000611387649 400389569 /nfs/dbraw/zinc/38/95/69/400389569.db2.gz RJHHICWYYHRVGC-CQSZACIVSA-N 0 1 307.397 3.410 20 30 DGEDMN C[C@@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1cccc(C#N)c1 ZINC000611388910 400390218 /nfs/dbraw/zinc/39/02/18/400390218.db2.gz UKALNQPLZBHCAB-CVEARBPZSA-N 0 1 321.424 3.428 20 30 DGEDMN CCOc1ccccc1NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611388111 400390391 /nfs/dbraw/zinc/39/03/91/400390391.db2.gz CWVOGLSTUZXENU-AWEZNQCLSA-N 0 1 323.396 3.246 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1F)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000610946928 400327339 /nfs/dbraw/zinc/32/73/39/400327339.db2.gz DPCNTDINBNJMPU-SNVBAGLBSA-N 0 1 310.332 3.130 20 30 DGEDMN CCN1CCN(c2c(C#N)cnc3c(C)cc(F)cc32)C[C@@H]1C ZINC000611084371 400344436 /nfs/dbraw/zinc/34/44/36/400344436.db2.gz FDMLPQRWBZTILH-ZDUSSCGKSA-N 0 1 312.392 3.084 20 30 DGEDMN CC(C)N(C(=O)CN1CCC(CCC#N)CC1)C1CCCC1 ZINC000580831323 400347373 /nfs/dbraw/zinc/34/73/73/400347373.db2.gz NRQHFPFOLFYVQT-UHFFFAOYSA-N 0 1 305.466 3.182 20 30 DGEDMN CCc1nn(C)c(OC)c1CN[C@H]1CCCc2cc(C#N)ccc21 ZINC000611393773 400392748 /nfs/dbraw/zinc/39/27/48/400392748.db2.gz XSIJESAYMVSGQH-SFHVURJKSA-N 0 1 324.428 3.030 20 30 DGEDMN C=CC1CCN(C(=O)NC[C@H](c2ccco2)N(CC)CC)CC1 ZINC000111843377 400369466 /nfs/dbraw/zinc/36/94/66/400369466.db2.gz NERWLXMQZUDWDN-MRXNPFEDSA-N 0 1 319.449 3.270 20 30 DGEDMN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1SCC#N ZINC000072698256 400398392 /nfs/dbraw/zinc/39/83/92/400398392.db2.gz SOYMWHSUCYQMGK-ZIAGYGMSSA-N 0 1 317.458 3.361 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN(CCc2ccc(Cl)cc2)CC1 ZINC000590709301 400421479 /nfs/dbraw/zinc/42/14/79/400421479.db2.gz GICQURRJIZUPAG-QGZVFWFLSA-N 0 1 305.853 3.048 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)Cc1ccn(C)c(=O)c1 ZINC000042112632 400423126 /nfs/dbraw/zinc/42/31/26/400423126.db2.gz QPPACXASQWZPTI-UHFFFAOYSA-N 0 1 308.834 3.288 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@@H]2NC(=O)[C@H]1CCN1C1CCCC1 ZINC000602166644 400419667 /nfs/dbraw/zinc/41/96/67/400419667.db2.gz XVRHLRLAFJAPGH-RBUKOAKNSA-N 0 1 323.440 3.069 20 30 DGEDMN CCc1ccc([C@H](C)NC(=O)CN2CCC(CC#N)CC2)cc1 ZINC000611688964 400437064 /nfs/dbraw/zinc/43/70/64/400437064.db2.gz LRFGSQMFHQLUJP-HNNXBMFYSA-N 0 1 313.445 3.052 20 30 DGEDMN C[C@H]1CC[C@@H](NCC2(C#N)CCC2)CN1C(=O)OC(C)(C)C ZINC000496480711 300041801 /nfs/dbraw/zinc/04/18/01/300041801.db2.gz NGELDLAYDCHHLD-UONOGXRCSA-N 0 1 307.438 3.058 20 30 DGEDMN CN(C)[C@H](CNC(=O)Nc1cccc(C#N)c1)c1ccsc1 ZINC000043329563 400463283 /nfs/dbraw/zinc/46/32/83/400463283.db2.gz TYVQDBAOGFWXQH-OAHLLOKOSA-N 0 1 314.414 3.044 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C#N)c(Cl)c2)n1 ZINC000123668154 400471032 /nfs/dbraw/zinc/47/10/32/400471032.db2.gz YOMPTPGDIZWNJU-NSHDSACASA-N 0 1 301.758 3.467 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N2CCCC(C)(C)[C@H]2C#N)o1 ZINC000617986011 400497538 /nfs/dbraw/zinc/49/75/38/400497538.db2.gz UOBHIQSJRKJTRH-MRXNPFEDSA-N 0 1 317.433 3.276 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2cc(OC)c(OC)cc2[N+](=O)[O-])C1 ZINC000597187200 400581129 /nfs/dbraw/zinc/58/11/29/400581129.db2.gz LZDRVQTZWZKWGM-ZDUSSCGKSA-N 0 1 320.389 3.400 20 30 DGEDMN CCCc1cc(C(=O)N2CCC[C@H]2[C@H](C#N)c2ccccc2)n[nH]1 ZINC000075300221 400572873 /nfs/dbraw/zinc/57/28/73/400572873.db2.gz LLPIJLBNAYQXCN-AEFFLSMTSA-N 0 1 322.412 3.274 20 30 DGEDMN COc1cc2c(c(CN3CCC[C@@H](CC#N)C3)c1)O[C@H](C)C2 ZINC000597172535 400576688 /nfs/dbraw/zinc/57/66/88/400576688.db2.gz CEIILZJWAVIETM-KGLIPLIRSA-N 0 1 300.402 3.144 20 30 DGEDMN COc1ccc([C@@H](C)NCc2cccc(C#N)n2)c(C)c1OC ZINC000602662216 400528903 /nfs/dbraw/zinc/52/89/03/400528903.db2.gz LVRXKYIOTXMUJC-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN CCN(CC)[C@H](C(=O)N1CCC[C@@](C)(C#N)C1)c1ccccc1 ZINC000612372187 400533174 /nfs/dbraw/zinc/53/31/74/400533174.db2.gz UROMASWEXBTOIU-HKUYNNGSSA-N 0 1 313.445 3.222 20 30 DGEDMN CN(CC1(CC#N)CC1)[C@H](CO)c1cccc(Br)c1 ZINC000602801395 400578952 /nfs/dbraw/zinc/57/89/52/400578952.db2.gz SKZSQZXLOIVRFR-CQSZACIVSA-N 0 1 323.234 3.108 20 30 DGEDMN N#Cc1cccc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)n1 ZINC000602692741 400540649 /nfs/dbraw/zinc/54/06/49/400540649.db2.gz YMJIRJSHCQVIKT-IUODEOHRSA-N 0 1 301.752 3.391 20 30 DGEDMN N#Cc1cccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)n1 ZINC000602725745 400551733 /nfs/dbraw/zinc/55/17/33/400551733.db2.gz JWCIGHOGJIUGCC-SJORKVTESA-N 0 1 313.788 3.226 20 30 DGEDMN COC1(CN2CCN(c3ccsc3C#N)CC2)CCCCC1 ZINC000597183588 400580520 /nfs/dbraw/zinc/58/05/20/400580520.db2.gz MJJMTMLXAJBCJY-UHFFFAOYSA-N 0 1 319.474 3.091 20 30 DGEDMN N#CC1(c2ccc(CN3C[C@@]4(CCCO4)[C@@H]3C3CC3)cc2)CC1 ZINC000597135995 400567168 /nfs/dbraw/zinc/56/71/68/400567168.db2.gz RLKBTPWKXAZERY-ICSRJNTNSA-N 0 1 308.425 3.385 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)[C@H](C)CC#N)C3)[nH]c2c1 ZINC000597426825 400629853 /nfs/dbraw/zinc/62/98/53/400629853.db2.gz PJWRWWFIJJMRIR-ZIAGYGMSSA-N 0 1 310.401 3.127 20 30 DGEDMN N#CCCCOc1cccc(CN2CCCc3n[nH]cc3C2)c1 ZINC000597256934 400601545 /nfs/dbraw/zinc/60/15/45/400601545.db2.gz BBVLXMWJBWYYHW-UHFFFAOYSA-N 0 1 310.401 3.041 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000603163214 400651686 /nfs/dbraw/zinc/65/16/86/400651686.db2.gz NTMFEMNBZKDDKS-UHFFFAOYSA-N 0 1 318.327 3.270 20 30 DGEDMN N#CCC1CN(C(=O)c2cc(Cl)c3ccccc3c2O)C1 ZINC000598029883 400721919 /nfs/dbraw/zinc/72/19/19/400721919.db2.gz GOCQMCXJWKNRKF-UHFFFAOYSA-N 0 1 300.745 3.184 20 30 DGEDMN N#Cc1ccc(F)c(CN2C[C@@H]3CN(c4ccccc4)C[C@@H]3C2)c1 ZINC000603865274 400725934 /nfs/dbraw/zinc/72/59/34/400725934.db2.gz UFWUZSLUKHLJAD-HDICACEKSA-N 0 1 321.399 3.266 20 30 DGEDMN Cc1[nH]n(Cc2cccc(C(F)(F)F)c2)c(=O)c1CCC#N ZINC000603921555 400733036 /nfs/dbraw/zinc/73/30/36/400733036.db2.gz VTFXEOKCBBBLBZ-ZDUSSCGKSA-N 0 1 309.291 3.343 20 30 DGEDMN Cc1cc2cccnc2c(S(=O)(=O)Nc2cccc(C#N)c2)c1 ZINC000077688309 400739860 /nfs/dbraw/zinc/73/98/60/400739860.db2.gz OPOSIVZVUBVKMS-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#CC[C@H]1CCCN(C(=O)c2cccc(C(F)(F)F)c2O)C1 ZINC000597900557 400690824 /nfs/dbraw/zinc/69/08/24/400690824.db2.gz CBGPNUFHBPFZIA-SNVBAGLBSA-N 0 1 312.291 3.177 20 30 DGEDMN COC(=O)c1cc(CN[C@@H]2CCc3cc(C#N)ccc32)oc1C ZINC000618831270 400695295 /nfs/dbraw/zinc/69/52/95/400695295.db2.gz DXHJZFPOTAYXCM-QGZVFWFLSA-N 0 1 310.353 3.023 20 30 DGEDMN CCN(CC)[C@@H](C(=O)N1CCC[C@@H](CC#N)C1)c1ccccc1 ZINC000597913644 400695413 /nfs/dbraw/zinc/69/54/13/400695413.db2.gz QKIPSTWBOAVASZ-FUHWJXTLSA-N 0 1 313.445 3.222 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)NC2(C#N)CCCCC2)o1 ZINC000592790967 400702713 /nfs/dbraw/zinc/70/27/13/400702713.db2.gz GITXVMIMUQVWKA-UHFFFAOYSA-N 0 1 303.406 3.078 20 30 DGEDMN C=C(C)CN(CC)Cc1cn2cc(Br)ccc2n1 ZINC000051559866 400705206 /nfs/dbraw/zinc/70/52/06/400705206.db2.gz QICYOICNHLAEAV-UHFFFAOYSA-N 0 1 308.223 3.495 20 30 DGEDMN C[C@H](CO)C1CCN(Cc2ccc(C#N)cc2OC(F)F)CC1 ZINC000593084438 400756993 /nfs/dbraw/zinc/75/69/93/400756993.db2.gz PDXHWWKFICAHIN-GFCCVEGCSA-N 0 1 324.371 3.000 20 30 DGEDMN C=CCC1(NC(=O)c2n[nH]c3ccc([N+](=O)[O-])cc32)CCCC1 ZINC000598297096 400775548 /nfs/dbraw/zinc/77/55/48/400775548.db2.gz WJZIEMYVYOMPDW-UHFFFAOYSA-N 0 1 314.345 3.090 20 30 DGEDMN CC[C@H](C#N)C(=O)N1CCC[C@@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000593546741 400873807 /nfs/dbraw/zinc/87/38/07/400873807.db2.gz NESUSHKBWDHLRN-ZIAGYGMSSA-N 0 1 310.401 3.127 20 30 DGEDMN CC(C)C[C@H](C)CN1CCN(c2oc(C3CC3)nc2C#N)CC1 ZINC000619620860 400874337 /nfs/dbraw/zinc/87/43/37/400874337.db2.gz QAZFTOAASFLTSU-AWEZNQCLSA-N 0 1 316.449 3.228 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1oc2ccc(C)cc2c1CC ZINC000180027343 400881629 /nfs/dbraw/zinc/88/16/29/400881629.db2.gz HGMNQXXKHYCFHA-ZDUSSCGKSA-N 0 1 300.402 3.256 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2cc3cnccc3o2)CC1 ZINC000593626041 400883599 /nfs/dbraw/zinc/88/35/99/400883599.db2.gz JRYZLTVTPUYZMC-UHFFFAOYSA-N 0 1 318.380 3.280 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)C[C@@H](O)CC(C)(C)C#N ZINC000598617683 400843729 /nfs/dbraw/zinc/84/37/29/400843729.db2.gz VAMLJKMCNGDHBF-KRWDZBQOSA-N 0 1 318.465 3.143 20 30 DGEDMN C[C@@H](c1ccccc1)[C@H](CO)NCc1ccc(Cl)cc1C#N ZINC000614186031 400851137 /nfs/dbraw/zinc/85/11/37/400851137.db2.gz MCVAGISSLYZLIL-UGSOOPFHSA-N 0 1 314.816 3.466 20 30 DGEDMN Cc1cc[nH+]c(NC2CC[NH+](Cc3cccc(C#N)c3)CC2)c1 ZINC000593514980 400868905 /nfs/dbraw/zinc/86/89/05/400868905.db2.gz IUDOOHTVYQJKOM-UHFFFAOYSA-N 0 1 306.413 3.338 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(c2ccccc2C)CC1 ZINC000614396021 400892387 /nfs/dbraw/zinc/89/23/87/400892387.db2.gz PANFQFIQIUJZNK-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN CC[C@@H]1CN(C(C)=O)[C@H](CC)CN1Cc1ccc(C#N)cc1C ZINC000614402478 400893846 /nfs/dbraw/zinc/89/38/46/400893846.db2.gz YWFXZDYOTRDEON-RTBURBONSA-N 0 1 313.445 3.088 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCC[C@H](OC2CCC2)C1 ZINC000594027397 400951877 /nfs/dbraw/zinc/95/18/77/400951877.db2.gz UEENKVZOYSAABD-KRWDZBQOSA-N 0 1 300.402 3.100 20 30 DGEDMN Cn1ncc2c1CCC[C@H]2NCc1ccc(C#N)c(Cl)c1 ZINC000619755159 400899256 /nfs/dbraw/zinc/89/92/56/400899256.db2.gz UQXCMLYGMMYMNY-OAHLLOKOSA-N 0 1 300.793 3.112 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](OC3CCC3)C2)cc1C#N ZINC000594026570 400952355 /nfs/dbraw/zinc/95/23/55/400952355.db2.gz MJBVIUWXYAASPQ-QGZVFWFLSA-N 0 1 300.402 3.100 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCN(c3ccc(Cl)cc3F)C2)o1 ZINC000599008079 400926893 /nfs/dbraw/zinc/92/68/93/400926893.db2.gz GTNNQAOODYGBBO-LBPRGKRZSA-N 0 1 319.767 3.312 20 30 DGEDMN CN(CCCCC#N)CC(=O)Nc1ccccc1Br ZINC000198681072 400934339 /nfs/dbraw/zinc/93/43/39/400934339.db2.gz QFAGNZPSOBTYOP-UHFFFAOYSA-N 0 1 324.222 3.013 20 30 DGEDMN Cc1ccc(CN2CCCN(c3nccs3)CC2)cc1C#N ZINC000599273662 401006971 /nfs/dbraw/zinc/00/69/71/401006971.db2.gz STYZRLHUECVOQE-UHFFFAOYSA-N 0 1 312.442 3.036 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)Cc2cccc3ccccc32)CC1 ZINC000057155589 400976800 /nfs/dbraw/zinc/97/68/00/400976800.db2.gz KDTCZGKILRJEDD-UHFFFAOYSA-N 0 1 320.436 3.143 20 30 DGEDMN COC[C@H](NCc1ccc(C)c(C#N)c1)c1cccc(OC)c1 ZINC000599465938 401054451 /nfs/dbraw/zinc/05/44/51/401054451.db2.gz WGCIAMBUXXZTBO-IBGZPJMESA-N 0 1 310.397 3.353 20 30 DGEDMN C=CC[C@H]1CCN(CC(=O)Nc2ccccc2SCC#N)C1 ZINC000599526969 401068762 /nfs/dbraw/zinc/06/87/62/401068762.db2.gz YVZOMSCPHIBFPO-AWEZNQCLSA-N 0 1 315.442 3.139 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CC[C@@H](C(=O)[O-])[C@H](C)C2)cc1Cl ZINC000629772728 401085247 /nfs/dbraw/zinc/08/52/47/401085247.db2.gz VYDOVSPSDZJHKG-TZMCWYRMSA-N 0 1 323.820 3.447 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2)CC[C@@H]1C(=O)OC(C)(C)C ZINC000599588587 401085505 /nfs/dbraw/zinc/08/55/05/401085505.db2.gz IZPPTJGPTGQUMB-PBHICJAKSA-N 0 1 314.429 3.358 20 30 DGEDMN Cc1ccc(CN[C@H](C[S@](C)=O)c2ccccc2)cc1C#N ZINC000599355908 401033930 /nfs/dbraw/zinc/03/39/30/401033930.db2.gz JZFAWHUXMAMXDM-GCJKJVERSA-N 0 1 312.438 3.076 20 30 DGEDMN C[C@@H](NC[C@@H](O)CC1(C#N)CC1)c1ncc(-c2ccccc2)o1 ZINC000599362239 401034007 /nfs/dbraw/zinc/03/40/07/401034007.db2.gz IQMYKPZXFWHFPM-HIFRSBDPSA-N 0 1 311.385 3.047 20 30 DGEDMN CCN(C)[C@@H](C(=O)N(C)Cc1ccc(C#N)cc1)c1ccccc1 ZINC000057911287 401035974 /nfs/dbraw/zinc/03/59/74/401035974.db2.gz CXGVZSZDZMCQML-LJQANCHMSA-N 0 1 321.424 3.210 20 30 DGEDMN Cc1ccc([C@@H](NC[C@@H](O)CC2(C#N)CC2)c2ccccn2)cc1 ZINC000599391776 401041714 /nfs/dbraw/zinc/04/17/14/401041714.db2.gz LVUKVODLTCUKRL-PKOBYXMFSA-N 0 1 321.424 3.124 20 30 DGEDMN Cc1ccc(CN2CCC[C@](O)(C(F)(F)F)CC2)cc1C#N ZINC000599414864 401042887 /nfs/dbraw/zinc/04/28/87/401042887.db2.gz JYOSMHNIIBLCDD-OAHLLOKOSA-N 0 1 312.335 3.146 20 30 DGEDMN N#Cc1cccc(OCCCN2CCc3c(O)cccc3C2)c1 ZINC000599648226 401100947 /nfs/dbraw/zinc/10/09/47/401100947.db2.gz VUYCUIOJEYAOSP-UHFFFAOYSA-N 0 1 308.381 3.091 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOc1cccc(C#N)c1)C2 ZINC000599678645 401112742 /nfs/dbraw/zinc/11/27/42/401112742.db2.gz KFYFWRUCDTVMMJ-UHFFFAOYSA-N 0 1 317.392 3.061 20 30 DGEDMN COC(=O)[C@H]1CN(Cc2ccc(CC#N)cc2)Cc2ccccc21 ZINC000599685120 401114809 /nfs/dbraw/zinc/11/48/09/401114809.db2.gz WNMDXVFZISNBGS-IBGZPJMESA-N 0 1 320.392 3.025 20 30 DGEDMN COC(=O)[C@@H]1C[C@@H](C)N(CC#Cc2ccc(Cl)cc2)[C@@H](C)C1 ZINC000599689955 401115872 /nfs/dbraw/zinc/11/58/72/401115872.db2.gz UQYQNWFEJCGLGK-MIFYACCESA-N 0 1 319.832 3.354 20 30 DGEDMN COc1cc(CN2CCC[C@H](OC3CCC3)C2)ccc1C#N ZINC000594612832 401135804 /nfs/dbraw/zinc/13/58/04/401135804.db2.gz MNIJVALRISAYRT-KRWDZBQOSA-N 0 1 300.402 3.100 20 30 DGEDMN C=CCCC(=O)N[C@H](CN(C)C)c1cccc(C(F)(F)F)c1 ZINC000633766518 401092958 /nfs/dbraw/zinc/09/29/58/401092958.db2.gz PXOQAFAFTDXEJA-CQSZACIVSA-N 0 1 314.351 3.391 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CC[C@@H]2c2ccc(F)cc2)c1 ZINC000594716802 401160149 /nfs/dbraw/zinc/16/01/49/401160149.db2.gz WXDZNZSJMVLUJJ-GOSISDBHSA-N 0 1 323.371 3.473 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)c1cccc2n[nH]cc21 ZINC000065808200 401280305 /nfs/dbraw/zinc/28/03/05/401280305.db2.gz QPTCBHMZZGHJBC-UHFFFAOYSA-N 0 1 321.380 3.400 20 30 DGEDMN C[C@H](NCc1cc(C#N)ccc1F)c1ccc([S@@](C)=O)cc1 ZINC000090117862 401260105 /nfs/dbraw/zinc/26/01/05/401260105.db2.gz GNUUHTKLALRZRP-YTEVENLXSA-N 0 1 316.401 3.286 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](C)[C@@H](O)C(F)(F)F)cc1Cl ZINC000631235139 401433485 /nfs/dbraw/zinc/43/34/85/401433485.db2.gz HMDNGMOGVVVOSL-TVQRCGJNSA-N 0 1 323.742 3.306 20 30 DGEDMN C[C@H](NCc1cc(F)cc(C(F)(F)F)c1)[C@H](O)C(F)(F)F ZINC000631238613 401434045 /nfs/dbraw/zinc/43/40/45/401434045.db2.gz ZTTAVJGBLOIPSX-WKEGUHRASA-N 0 1 319.220 3.246 20 30 DGEDMN Cc1ccc([C@@H]2OCCC[C@@H]2C(=O)N[C@H](C)c2nnc[nH]2)cc1 ZINC000329231192 292232183 /nfs/dbraw/zinc/23/21/83/292232183.db2.gz FBLXNPSMABHNQY-SNPRPXQTSA-N 0 1 314.389 3.299 20 30 DGEDMN Cn1cc(CN[C@@H]2CCSc3ccc(F)cc32)cc1C#N ZINC000189582722 165168056 /nfs/dbraw/zinc/16/80/56/165168056.db2.gz RYJURKQQZORLKS-OAHLLOKOSA-N 0 1 301.390 3.363 20 30 DGEDMN Cn1cc(CNCc2cc(F)cc(Br)c2)cc1C#N ZINC000190842385 165217348 /nfs/dbraw/zinc/21/73/48/165217348.db2.gz PSKMGYGPJZXMTC-UHFFFAOYSA-N 0 1 322.181 3.088 20 30 DGEDMN Cn1cc(CN2CCC(OCC3CCCC3)CC2)cc1C#N ZINC000191424819 165248664 /nfs/dbraw/zinc/24/86/64/165248664.db2.gz UMZMGVGGXWRDBX-UHFFFAOYSA-N 0 1 301.434 3.068 20 30 DGEDMN Cn1cc(CNCc2ccc(F)cc2C(F)(F)F)cc1C#N ZINC000190766274 432187026 /nfs/dbraw/zinc/18/70/26/432187026.db2.gz DQDICWVZWGSEQQ-UHFFFAOYSA-N 0 1 311.282 3.344 20 30 DGEDMN CCSCC[C@H](C)N(C)CC(=O)Nc1oc(C)c(C)c1C#N ZINC000269644780 432191864 /nfs/dbraw/zinc/19/18/64/432191864.db2.gz OTRVKRJRVMUEAX-NSHDSACASA-N 0 1 323.462 3.170 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1cccc(NC(C)=O)c1 ZINC000150896036 432200277 /nfs/dbraw/zinc/20/02/77/432200277.db2.gz OMAMJEHRVSQODB-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN Cn1cc(Cl)cc1C(O)=C(C#N)c1cnc2ccccc2n1 ZINC000190940223 432204215 /nfs/dbraw/zinc/20/42/15/432204215.db2.gz JEOFKLQZYGLQIR-LLVKDONJSA-N 0 1 310.744 3.112 20 30 DGEDMN CCc1ccc(C(=O)C(C#N)c2cnc3ccccc3n2)c(C)n1 ZINC000190957219 432205363 /nfs/dbraw/zinc/20/53/63/432205363.db2.gz PATUSEVYEHYFLS-OAHLLOKOSA-N 0 1 316.364 3.386 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182697433 432218729 /nfs/dbraw/zinc/21/87/29/432218729.db2.gz MSZPMUDWJOUHBJ-JKSUJKDBSA-N 0 1 304.296 3.049 20 30 DGEDMN N#Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000182697418 432219295 /nfs/dbraw/zinc/21/92/95/432219295.db2.gz MSZPMUDWJOUHBJ-CVEARBPZSA-N 0 1 304.296 3.049 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000172528617 432220316 /nfs/dbraw/zinc/22/03/16/432220316.db2.gz ISAFCDSRKAPUGE-SFHVURJKSA-N 0 1 324.403 3.366 20 30 DGEDMN COC[C@@]1(C)CCN(Cc2cc(C#N)ccc2Br)C1 ZINC000296645135 226082329 /nfs/dbraw/zinc/08/23/29/226082329.db2.gz IPRHWVYOPOERCY-HNNXBMFYSA-N 0 1 323.234 3.179 20 30 DGEDMN COC[C@]1(C)CCN(Cc2ccc(C#N)cc2Br)C1 ZINC000296734080 226176072 /nfs/dbraw/zinc/17/60/72/226176072.db2.gz ODWZLHKJQFYTES-OAHLLOKOSA-N 0 1 323.234 3.179 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cc(C#N)cs3)c2C)o1 ZINC000178558791 228342950 /nfs/dbraw/zinc/34/29/50/228342950.db2.gz XFVGAESUUPJWFI-UHFFFAOYSA-N 0 1 312.354 3.472 20 30 DGEDMN Cc1ccc(Cl)c(OC[C@@H](O)CN2CCC(C)(C#N)CC2)c1 ZINC000330841033 229006171 /nfs/dbraw/zinc/00/61/71/229006171.db2.gz NGWSTXUJXWEELX-AWEZNQCLSA-N 0 1 322.836 3.014 20 30 DGEDMN Cc1csc(N2CCN(CCCCC(C)(C)C#N)CC2)n1 ZINC000336845270 229041151 /nfs/dbraw/zinc/04/11/51/229041151.db2.gz BKCRPKNPBTYCLN-UHFFFAOYSA-N 0 1 306.479 3.294 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(OC(F)F)cc2)CC1 ZINC000341971743 229105204 /nfs/dbraw/zinc/10/52/04/229105204.db2.gz FCSUNZXAUNNDKQ-UHFFFAOYSA-N 0 1 310.344 3.040 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccccc2OCC2CC2)CC1 ZINC000342820129 229119003 /nfs/dbraw/zinc/11/90/03/229119003.db2.gz GTYOQGIGBFKPTM-UHFFFAOYSA-N 0 1 314.429 3.228 20 30 DGEDMN Cc1cccc(CN(C)[C@H](C)C(=O)NC2(C#N)CCCCC2)c1 ZINC000340408285 229088725 /nfs/dbraw/zinc/08/87/25/229088725.db2.gz IPUKOYVSGIVLOL-MRXNPFEDSA-N 0 1 313.445 3.158 20 30 DGEDMN Cc1ccccc1CN1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000348485863 229203635 /nfs/dbraw/zinc/20/36/35/229203635.db2.gz SKODRKPBXWTYNR-HXUWFJFHSA-N 0 1 305.425 3.378 20 30 DGEDMN Cc1ccncc1C(=O)C(C#N)c1ccc(C(F)(F)F)cn1 ZINC000356990697 229343555 /nfs/dbraw/zinc/34/35/55/229343555.db2.gz BNPDPBJKYSVIMQ-LLVKDONJSA-N 0 1 305.259 3.294 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc2nc(-c3ccc(F)cc3)[nH]c2c1 ZINC000357835416 229353158 /nfs/dbraw/zinc/35/31/58/229353158.db2.gz RDKBECVNWYEQEW-SNVBAGLBSA-N 0 1 308.316 3.467 20 30 DGEDMN COCCN(CCc1ccccc1)Cc1cc(C#N)ccc1F ZINC000346739879 264088098 /nfs/dbraw/zinc/08/80/98/264088098.db2.gz LQCZUKLCOUIIOG-UHFFFAOYSA-N 0 1 312.388 3.388 20 30 DGEDMN CC(C)CN(Cc1cn2cccnc2n1)Cc1ccc(C#N)cc1 ZINC000346780108 264095055 /nfs/dbraw/zinc/09/50/55/264095055.db2.gz NWUJOYNNIGYCPG-UHFFFAOYSA-N 0 1 319.412 3.259 20 30 DGEDMN CCN(CCC(=O)Nc1ccc(C#N)c(Cl)c1)C[C@@H](C)C#N ZINC000103469630 270003422 /nfs/dbraw/zinc/00/34/22/270003422.db2.gz WNDZCVVFVQKIMF-LBPRGKRZSA-N 0 1 318.808 3.022 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc([C@@H]3CCOC3)cc2)cc1O ZINC000359043836 270004098 /nfs/dbraw/zinc/00/40/98/270004098.db2.gz NQLHCHOYIKHGOO-OAHLLOKOSA-N 0 1 308.337 3.020 20 30 DGEDMN CN1Cc2ccccc2[C@@H](Nc2c(C#N)cnc3ccccc32)C1 ZINC000534530835 270005023 /nfs/dbraw/zinc/00/50/23/270005023.db2.gz QJZYTJLYFCTQPC-IBGZPJMESA-N 0 1 314.392 3.127 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000355626356 266136196 /nfs/dbraw/zinc/13/61/96/266136196.db2.gz JHNBNVCZMIOUFR-UHFFFAOYSA-N 0 1 305.341 3.037 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccc(Oc2ccnc(C#N)c2)cc1)N(C)C ZINC000356992335 266351951 /nfs/dbraw/zinc/35/19/51/266351951.db2.gz MPHKRSNWDQLHIE-KRWDZBQOSA-N 0 1 324.384 3.024 20 30 DGEDMN CC(C)N(Cc1cc(C#N)n(C)c1)Cc1ccc([N+](=O)[O-])cc1 ZINC000191233259 432236975 /nfs/dbraw/zinc/23/69/75/432236975.db2.gz ZCVOIFXGVQKOBW-UHFFFAOYSA-N 0 1 312.373 3.216 20 30 DGEDMN C[C@@H]1CCN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)CC1(C)C ZINC000354024790 267023871 /nfs/dbraw/zinc/02/38/71/267023871.db2.gz UMMNAXKNSLOAPT-RHSMWYFYSA-N 0 1 311.429 3.032 20 30 DGEDMN C[C@@H]1COc2ccccc2CN1CCOc1ccccc1C#N ZINC000359899158 267072174 /nfs/dbraw/zinc/07/21/74/267072174.db2.gz VKVFKDBSUWINQA-OAHLLOKOSA-N 0 1 308.381 3.220 20 30 DGEDMN Cc1cc(F)cc2c1ncc(C#N)c2N1CCN(C2CCC2)CC1 ZINC000361028970 267328493 /nfs/dbraw/zinc/32/84/93/267328493.db2.gz GJOMJAGNENVVIH-UHFFFAOYSA-N 0 1 324.403 3.229 20 30 DGEDMN Cc1cc2ncc([C@H](C)NCc3ccc(C#N)c(F)c3)c(C)n2n1 ZINC000404675326 267367084 /nfs/dbraw/zinc/36/70/84/267367084.db2.gz GCLCRWZRADCJLZ-LBPRGKRZSA-N 0 1 323.375 3.208 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N[C@@H]1CCCc2cn[nH]c21 ZINC000374588226 268050962 /nfs/dbraw/zinc/05/09/62/268050962.db2.gz RGVLGPRORODITR-SNVBAGLBSA-N 0 1 307.279 3.185 20 30 DGEDMN N#Cc1ccc(N2CCC[C@@H]([N@@H+](CC3CC3)C3CCC3)C2=O)cc1 ZINC000361585439 268111065 /nfs/dbraw/zinc/11/10/65/268111065.db2.gz IMMGQYPSWNJMTQ-LJQANCHMSA-N 0 1 323.440 3.318 20 30 DGEDMN COc1ccc([N+](=O)[O-])cc1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000353430889 274118857 /nfs/dbraw/zinc/11/88/57/274118857.db2.gz WWRKYXRWQXWMIV-KRWDZBQOSA-N 0 1 323.352 3.252 20 30 DGEDMN C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1CCCSCC#N ZINC000074990666 274381183 /nfs/dbraw/zinc/38/11/83/274381183.db2.gz VOGPYXVIQAUPEH-VYDXJSESSA-N 0 1 304.459 3.484 20 30 DGEDMN CCc1nc(C)c([C@@H](C)N(C)Cc2cc(C#N)n(C)c2)s1 ZINC000191394360 432259912 /nfs/dbraw/zinc/25/99/12/432259912.db2.gz MVONFYZGBNMQIF-GFCCVEGCSA-N 0 1 302.447 3.417 20 30 DGEDMN CCCN1CCC[C@@H]1C(=O)Nc1ncn(C2CCCCC2)n1 ZINC000329561485 276007640 /nfs/dbraw/zinc/00/76/40/276007640.db2.gz MUEZMVPVJMRFMP-CQSZACIVSA-N 0 1 305.426 3.246 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329721578 278032849 /nfs/dbraw/zinc/03/28/49/278032849.db2.gz NCFVDVALHRJWCI-KBMXLJTQSA-N 0 1 324.428 3.059 20 30 DGEDMN CCN(CC)CC(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000108324963 431133204 /nfs/dbraw/zinc/13/32/04/431133204.db2.gz PGVURYBJVOSIPR-ZDUSSCGKSA-N 0 1 305.447 3.219 20 30 DGEDMN CCN(CCC#N)C(=O)CN1CCCC[C@@H]1c1ccc(C)cc1 ZINC000124524386 279172071 /nfs/dbraw/zinc/17/20/71/279172071.db2.gz FESDGRNENYYERC-GOSISDBHSA-N 0 1 313.445 3.284 20 30 DGEDMN N#CC1(C(=O)Nc2ccc3nc(C4CCC4)[nH]c3c2)CCOCC1 ZINC000109143007 431172599 /nfs/dbraw/zinc/17/25/99/431172599.db2.gz ABFJHUJDTAJUHP-UHFFFAOYSA-N 0 1 324.384 3.089 20 30 DGEDMN CC(C)(C)CN(CCC#N)CCC(=O)Nc1ccccc1F ZINC000109812195 431178889 /nfs/dbraw/zinc/17/88/89/431178889.db2.gz DNJUHTSYCJXMSQ-UHFFFAOYSA-N 0 1 305.397 3.416 20 30 DGEDMN CC(C)(C)c1ccc(S(=O)(=O)Nc2ccc(C#N)cn2)cc1 ZINC000110122005 431184158 /nfs/dbraw/zinc/18/41/58/431184158.db2.gz SYPLTCHLTNPSEY-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN C[C@H](CNC(=O)C1(C#N)CCCCC1)[N@@H+](C)Cc1ccccc1 ZINC000110353669 431188499 /nfs/dbraw/zinc/18/84/99/431188499.db2.gz UIKUOVDIZONFNJ-MRXNPFEDSA-N 0 1 313.445 3.097 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc2c(c1)CCO2 ZINC000111208766 431196738 /nfs/dbraw/zinc/19/67/38/431196738.db2.gz GKZJFJKWGNJRCQ-UHFFFAOYSA-N 0 1 322.408 3.142 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cc(Cl)ccc1O ZINC000070430589 431248633 /nfs/dbraw/zinc/24/86/33/431248633.db2.gz WBEZFIHQXIXNMR-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H](c2ccccc2)C(C)C)CC1 ZINC000112588812 431288852 /nfs/dbraw/zinc/28/88/52/431288852.db2.gz SZLSZWAWSKHDKJ-IBGZPJMESA-N 0 1 312.457 3.187 20 30 DGEDMN N#C[C@@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccc(Cl)cc1 ZINC000113174659 431331906 /nfs/dbraw/zinc/33/19/06/431331906.db2.gz FSMSYZQXFYVQEE-MRXNPFEDSA-N 0 1 324.771 3.140 20 30 DGEDMN CC(C)C[C@H]1CC[N@H+]([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000072492008 431335920 /nfs/dbraw/zinc/33/59/20/431335920.db2.gz NVWOQZNBRBIGSH-SJLPKXTDSA-N 0 1 311.429 3.032 20 30 DGEDMN C#CCOc1ccc(CNCC(=O)Nc2c(C)cccc2C)cc1 ZINC000113313561 431341081 /nfs/dbraw/zinc/34/10/81/431341081.db2.gz HYTPEHQRZVHVEV-UHFFFAOYSA-N 0 1 322.408 3.044 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC[C@H](C)C1 ZINC000008142749 431381547 /nfs/dbraw/zinc/38/15/47/431381547.db2.gz JUWWRARNQDXDKR-RYUDHWBXSA-N 0 1 305.809 3.271 20 30 DGEDMN COc1nc(C(=O)[C@@H](C#N)c2ccccn2)cc2ccccc21 ZINC000114617835 431400532 /nfs/dbraw/zinc/40/05/32/431400532.db2.gz VPAYBXBNMXMSSD-AWEZNQCLSA-N 0 1 303.321 3.128 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000117024736 431545367 /nfs/dbraw/zinc/54/53/67/431545367.db2.gz AWOHIDIMYVLRBF-CABCVRRESA-N 0 1 305.422 3.070 20 30 DGEDMN Cc1ccc(C[C@@H](C#N)Sc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000117116462 431545912 /nfs/dbraw/zinc/54/59/12/431545912.db2.gz LATWYQSBYZLOBH-AWEZNQCLSA-N 0 1 321.409 3.402 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CCC[C@H]1c1cccs1 ZINC000332366252 280102523 /nfs/dbraw/zinc/10/25/23/280102523.db2.gz CEIUESVUHMVFRI-JKIFEVAISA-N 0 1 319.474 3.328 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)CCOCc1ccccc1 ZINC000121200663 431753585 /nfs/dbraw/zinc/75/35/85/431753585.db2.gz LSVBUEVXTXPYAU-UHFFFAOYSA-N 0 1 310.397 3.215 20 30 DGEDMN CCCOc1cccc(NS(=O)(=O)c2ccccc2C#N)c1 ZINC000032599816 431786043 /nfs/dbraw/zinc/78/60/43/431786043.db2.gz IXASHMGNIRECEU-UHFFFAOYSA-N 0 1 316.382 3.148 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC3(CCC3)C2)cc1Cl ZINC000122850654 431846403 /nfs/dbraw/zinc/84/64/03/431846403.db2.gz SXFWADQGZYEMSR-UHFFFAOYSA-N 0 1 303.793 3.026 20 30 DGEDMN CCCCN(C)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000123033943 431852771 /nfs/dbraw/zinc/85/27/71/431852771.db2.gz VHBXLPMVXUBMQW-UHFFFAOYSA-N 0 1 307.825 3.319 20 30 DGEDMN CCc1noc(CC)c1CC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000087279571 431852857 /nfs/dbraw/zinc/85/28/57/431852857.db2.gz VVXJJIICSCGXRP-UHFFFAOYSA-N 0 1 315.333 3.008 20 30 DGEDMN C=CCN[C@H](c1ccc(F)cc1)c1cccc(S(C)(=O)=O)c1 ZINC000089116891 431859859 /nfs/dbraw/zinc/85/98/59/431859859.db2.gz GYJAHGCIXOUXEC-QGZVFWFLSA-N 0 1 319.401 3.094 20 30 DGEDMN N#Cc1ccc(C(=O)[C@H](C#N)c2ccc(C(F)(F)F)cn2)cc1 ZINC000041555415 431860857 /nfs/dbraw/zinc/86/08/57/431860857.db2.gz JHHCNQYGIJZNEA-CYBMUJFWSA-N 0 1 315.254 3.462 20 30 DGEDMN N#Cc1ccc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)cc1 ZINC000041555415 431860859 /nfs/dbraw/zinc/86/08/59/431860859.db2.gz JHHCNQYGIJZNEA-CYBMUJFWSA-N 0 1 315.254 3.462 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCC2(CCC2)C1 ZINC000123324715 431861724 /nfs/dbraw/zinc/86/17/24/431861724.db2.gz MNKPALPWCCTILY-INIZCTEOSA-N 0 1 311.429 3.198 20 30 DGEDMN CCC[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)CCO1 ZINC000093508817 431915752 /nfs/dbraw/zinc/91/57/52/431915752.db2.gz SBPTZPPQMCAXTA-GOSISDBHSA-N 0 1 301.434 3.037 20 30 DGEDMN Cc1nnc(NC(=O)[C@@H](Oc2cccc(Cl)c2)C(C)C)[nH]1 ZINC000094106762 431919768 /nfs/dbraw/zinc/91/97/68/431919768.db2.gz QFRLFGRUVZBYKR-LBPRGKRZSA-N 0 1 308.769 3.458 20 30 DGEDMN Cc1n[nH]c(NC(=O)[C@@H](Oc2cccc(Cl)c2)C(C)C)n1 ZINC000094106762 431919770 /nfs/dbraw/zinc/91/97/70/431919770.db2.gz QFRLFGRUVZBYKR-LBPRGKRZSA-N 0 1 308.769 3.458 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1Cc2ccccc2[C@H](c2ccccc2)C1 ZINC000046054958 431893200 /nfs/dbraw/zinc/89/32/00/431893200.db2.gz UUPUUAKHMKRTKI-JXFKEZNVSA-N 0 1 320.436 3.325 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2cccc(N(C)C)n2)cc1 ZINC000090852436 431896701 /nfs/dbraw/zinc/89/67/01/431896701.db2.gz UDXJEMZPORCARY-OAHLLOKOSA-N 0 1 309.413 3.010 20 30 DGEDMN CC[C@H]1CCN(CC(=O)N(CCC#N)c2cccc(C)c2C)C1 ZINC000125678479 431933257 /nfs/dbraw/zinc/93/32/57/431933257.db2.gz MEJBREZOVMIGPA-KRWDZBQOSA-N 0 1 313.445 3.282 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@@H](C)C(=O)N[C@@H](C)c1ccccc1OC ZINC000125937041 431938525 /nfs/dbraw/zinc/93/85/25/431938525.db2.gz XKOAVNYIIHYADI-GJZGRUSLSA-N 0 1 304.434 3.159 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)N[C@@H](C)c1ccccc1OC ZINC000125937041 431938528 /nfs/dbraw/zinc/93/85/28/431938528.db2.gz XKOAVNYIIHYADI-GJZGRUSLSA-N 0 1 304.434 3.159 20 30 DGEDMN N#CCCn1cc(CN2CCSCC2)c(-c2ccccc2)n1 ZINC000126168925 431943386 /nfs/dbraw/zinc/94/33/86/431943386.db2.gz CIEPXKYWXINOOR-UHFFFAOYSA-N 0 1 312.442 3.013 20 30 DGEDMN C=CC[N@@H+](Cc1ccc(Cl)s1)C[C@H](O)COC(C)C ZINC000096990341 431956362 /nfs/dbraw/zinc/95/63/62/431956362.db2.gz XJPVSVLYDHGUPY-LBPRGKRZSA-N 0 1 303.855 3.175 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H](O)COC(C)C ZINC000096990341 431956364 /nfs/dbraw/zinc/95/63/64/431956364.db2.gz XJPVSVLYDHGUPY-LBPRGKRZSA-N 0 1 303.855 3.175 20 30 DGEDMN C=CC[N@H+](CCOC)Cc1cc(Cl)c(OCC)c(OC)c1 ZINC000191760931 432299476 /nfs/dbraw/zinc/29/94/76/432299476.db2.gz SETVHCPCZWOKAY-UHFFFAOYSA-N 0 1 313.825 3.382 20 30 DGEDMN C=CCN(CCOC)Cc1cc(Cl)c(OCC)c(OC)c1 ZINC000191760931 432299481 /nfs/dbraw/zinc/29/94/81/432299481.db2.gz SETVHCPCZWOKAY-UHFFFAOYSA-N 0 1 313.825 3.382 20 30 DGEDMN C=CCN(CCOC)C(=O)c1cc(Cl)c2ccccc2c1O ZINC000191973266 432320048 /nfs/dbraw/zinc/32/00/48/432320048.db2.gz MUKUYRGCLONRHZ-UHFFFAOYSA-N 0 1 319.788 3.473 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCCC[C@H]1NCc1ccc(C#N)o1 ZINC000152487745 432335658 /nfs/dbraw/zinc/33/56/58/432335658.db2.gz IMQZWBQYEBKBEF-HUUCEWRRSA-N 0 1 319.405 3.077 20 30 DGEDMN COC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ccc(C#N)cc1C ZINC000249146250 432340822 /nfs/dbraw/zinc/34/08/22/432340822.db2.gz UDRGYVRDUXZGDJ-SZMVWBNQSA-N 0 1 312.413 3.173 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CC[C@@H](C)C2(CCC2)C1 ZINC000271382157 432384596 /nfs/dbraw/zinc/38/45/96/432384596.db2.gz TWZPRISGXZCNDT-HUUCEWRRSA-N 0 1 311.429 3.397 20 30 DGEDMN COc1cc(C(=O)Nc2ccccc2S[C@H](C)CC#N)on1 ZINC000153204797 432392560 /nfs/dbraw/zinc/39/25/60/432392560.db2.gz KZYXLEWMOKCKOA-SNVBAGLBSA-N 0 1 317.370 3.330 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3ccc(CC#N)cc3)[nH]n2)o1 ZINC000193136396 432454817 /nfs/dbraw/zinc/45/48/17/432454817.db2.gz ZKRNBHSUQKSHOD-UHFFFAOYSA-N 0 1 306.325 3.297 20 30 DGEDMN C[C@@H](N[C@@H](CO)c1ccc(F)cc1)c1ccc(OCC#N)cc1 ZINC000271810871 432424437 /nfs/dbraw/zinc/42/44/37/432424437.db2.gz AQLBCPFCHHIIGP-ACJLOTCBSA-N 0 1 314.360 3.112 20 30 DGEDMN N#Cc1ccsc1C(=O)Nc1[nH]nc2c1cccc2Cl ZINC000154383122 432494587 /nfs/dbraw/zinc/49/45/87/432494587.db2.gz AXIGORTVVHCJDX-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN COc1ccc(CN2CCC(O)(c3ccccc3)CC2)cc1C#N ZINC000185490750 432506042 /nfs/dbraw/zinc/50/60/42/432506042.db2.gz KHADULOJRNABBI-UHFFFAOYSA-N 0 1 322.408 3.050 20 30 DGEDMN CC#CCCCC(=O)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000186056646 432556011 /nfs/dbraw/zinc/55/60/11/432556011.db2.gz BXWKHOAPRIXYFO-LJQANCHMSA-N 0 1 312.457 3.303 20 30 DGEDMN N#CCCCNCc1nc(-c2cccc(Br)c2)c[nH]1 ZINC000273040309 432541669 /nfs/dbraw/zinc/54/16/69/432541669.db2.gz IEXMNUCFLKUVHH-UHFFFAOYSA-N 0 1 319.206 3.233 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCS[C@@H]2CCCC[C@@H]21 ZINC000156725598 432605973 /nfs/dbraw/zinc/60/59/73/432605973.db2.gz GIYIULFJROCWHH-DOTOQJQBSA-N 0 1 302.443 3.427 20 30 DGEDMN CC(=O)c1ccc(C#N)c(N2CCN(C3CCCCC3)CC2)c1 ZINC000273215006 432566834 /nfs/dbraw/zinc/56/68/34/432566834.db2.gz HIURDUAOMVSFGP-UHFFFAOYSA-N 0 1 311.429 3.216 20 30 DGEDMN CC(=O)c1ccc(C#N)c(N[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC000273230127 432568766 /nfs/dbraw/zinc/56/87/66/432568766.db2.gz RHFXXRKYWDMQSL-LJQANCHMSA-N 0 1 319.408 3.447 20 30 DGEDMN COC(=O)CC[C@H](NCc1ccc(C#N)s1)c1ccccc1 ZINC000176965270 432570749 /nfs/dbraw/zinc/57/07/49/432570749.db2.gz VUUCQJOQVICEEF-INIZCTEOSA-N 0 1 314.410 3.404 20 30 DGEDMN C[C@@H](NCC#Cc1ccc(OC(F)(F)F)cc1)c1cnn(C)c1 ZINC000273245576 432571883 /nfs/dbraw/zinc/57/18/83/432571883.db2.gz BCGZSBZXMZFWKC-GFCCVEGCSA-N 0 1 323.318 3.021 20 30 DGEDMN N#Cc1cc(F)ccc1CN(Cc1ccccc1)[C@@H](CO)C1CC1 ZINC000155923627 432582668 /nfs/dbraw/zinc/58/26/68/432582668.db2.gz RUUMKOVOGXIBQC-FQEVSTJZSA-N 0 1 324.399 3.470 20 30 DGEDMN COc1ccccc1-c1noc([C@H](C)NCCCCC#N)n1 ZINC000273368218 432590417 /nfs/dbraw/zinc/59/04/17/432590417.db2.gz KPCWDONZGJANNM-LBPRGKRZSA-N 0 1 300.362 3.090 20 30 DGEDMN Clc1ccc(C#CCN2CCSC3(CCOCC3)C2)cc1 ZINC000186812081 432631587 /nfs/dbraw/zinc/63/15/87/432631587.db2.gz KCFSFFSVUKPABT-UHFFFAOYSA-N 0 1 321.873 3.290 20 30 DGEDMN Cc1ccccc1N1CCN(CCOc2cccc(C#N)c2)CC1 ZINC000195662151 432632655 /nfs/dbraw/zinc/63/26/55/432632655.db2.gz FBDIJTAEGIZEAC-UHFFFAOYSA-N 0 1 321.424 3.068 20 30 DGEDMN C#CCN(CCc1nc(-c2ccc(Cl)cc2)no1)C1CC1 ZINC000274138590 432634285 /nfs/dbraw/zinc/63/42/85/432634285.db2.gz JLRWWIHUPDQJMS-UHFFFAOYSA-N 0 1 301.777 3.030 20 30 DGEDMN COc1ccc2c(c1)[C@@H](NCc1ccc(OC)c(C#N)c1)CCO2 ZINC000274292462 432647544 /nfs/dbraw/zinc/64/75/44/432647544.db2.gz ZIPOEGXUGOSDFO-KRWDZBQOSA-N 0 1 324.380 3.189 20 30 DGEDMN CC(C)C(=O)Nc1cccc(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000188282481 432716001 /nfs/dbraw/zinc/71/60/01/432716001.db2.gz GJYBGNOHOIZOKI-UHFFFAOYSA-N 0 1 323.352 3.111 20 30 DGEDMN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1sccc1C#N ZINC000265649054 432717937 /nfs/dbraw/zinc/71/79/37/432717937.db2.gz NCFVEBLMLNMTIO-GXTWGEPZSA-N 0 1 305.447 3.459 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC000188390271 432722347 /nfs/dbraw/zinc/72/23/47/432722347.db2.gz FUGJQPUUTVGOQZ-LBPRGKRZSA-N 0 1 310.401 3.315 20 30 DGEDMN Cn1cc(CNC2(c3cccc(C#N)c3)CC2)c(C(F)F)n1 ZINC000274493681 432674448 /nfs/dbraw/zinc/67/44/48/432674448.db2.gz PTPAOHWTFQJVSX-UHFFFAOYSA-N 0 1 302.328 3.008 20 30 DGEDMN N#Cc1ccc(F)c(CN(CCO)[C@H]2CCc3ccccc32)c1 ZINC000265232480 432678155 /nfs/dbraw/zinc/67/81/55/432678155.db2.gz PSBYLTSIPDCCDM-IBGZPJMESA-N 0 1 310.372 3.179 20 30 DGEDMN CC[N@H+](Cc1ccc(C#N)s1)C[C@@H]1COc2ccccc2O1 ZINC000160954084 432751893 /nfs/dbraw/zinc/75/18/93/432751893.db2.gz XILREVYPTGLUAQ-CYBMUJFWSA-N 0 1 314.410 3.282 20 30 DGEDMN CCN(Cc1ccc(C#N)s1)C[C@@H]1COc2ccccc2O1 ZINC000160954084 432751896 /nfs/dbraw/zinc/75/18/96/432751896.db2.gz XILREVYPTGLUAQ-CYBMUJFWSA-N 0 1 314.410 3.282 20 30 DGEDMN Cc1ccc(-c2[nH]nc(NC(=O)c3ccc(C#N)c(O)c3)c2C)o1 ZINC000188699360 432740235 /nfs/dbraw/zinc/74/02/35/432740235.db2.gz JEZCRWREXQUADF-UHFFFAOYSA-N 0 1 322.324 3.116 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(OC(C)(C)C)CC1 ZINC000162588527 432828892 /nfs/dbraw/zinc/82/88/92/432828892.db2.gz KQFYYCUNNOFODE-HNNXBMFYSA-N 0 1 310.482 3.079 20 30 DGEDMN C=CCC[C@@H](NC(=O)CN(C)[C@@H]1CCSC1)c1ccccc1 ZINC000161777483 432796568 /nfs/dbraw/zinc/79/65/68/432796568.db2.gz DHMSPLHXAPUAEJ-IAGOWNOFSA-N 0 1 318.486 3.247 20 30 DGEDMN C[C@@H]1[C@H](NCc2ccc(C#N)o2)CCCN1C(=O)OC(C)(C)C ZINC000189523880 432796652 /nfs/dbraw/zinc/79/66/52/432796652.db2.gz FOEHPHWSUMBWGG-IUODEOHRSA-N 0 1 319.405 3.029 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1cc(C2CC2)nn1-c1ccccc1 ZINC000276508769 432813456 /nfs/dbraw/zinc/81/34/56/432813456.db2.gz XFLCVCCMBKSVHF-UHFFFAOYSA-N 0 1 324.428 3.196 20 30 DGEDMN N#Cc1cc(NCc2n[nH]c(C3CC3)n2)ccc1C(F)(F)F ZINC000277654514 432868257 /nfs/dbraw/zinc/86/82/57/432868257.db2.gz RHBDINLMLOXARY-UHFFFAOYSA-N 0 1 307.279 3.185 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+][C@H](C)CCC3CC3)C2)c1 ZINC000329947146 432884284 /nfs/dbraw/zinc/88/42/84/432884284.db2.gz JWVMFHHFJOWNLG-GDBMZVCRSA-N 0 1 304.438 3.321 20 30 DGEDMN CCC1(CC)CCC(NC(=O)c2cccn3c(O)nnc23)CC1 ZINC000330031576 432890046 /nfs/dbraw/zinc/89/00/46/432890046.db2.gz UEOPAAUDDYCUIS-UHFFFAOYSA-N 0 1 316.405 3.489 20 30 DGEDMN Cc1ccc2c(c1)CCN(C([O-])=[NH+]C[C@H]1CCCn3ccnc31)C2 ZINC000330050481 432891424 /nfs/dbraw/zinc/89/14/24/432891424.db2.gz LULMYWUYXHWZEN-MRXNPFEDSA-N 0 1 324.428 3.041 20 30 DGEDMN CC(C)n1ncc2c1C[C@H]([NH+]=C([O-])NC[C@@H]1CC=CCC1)CC2 ZINC000330109047 432892835 /nfs/dbraw/zinc/89/28/35/432892835.db2.gz OQIFQWPUDKEDSS-GDBMZVCRSA-N 0 1 316.449 3.181 20 30 DGEDMN CC(C)n1ncc2c1C[C@H](NC([O-])=[NH+]C[C@@H]1CC=CCC1)CC2 ZINC000330109047 432892839 /nfs/dbraw/zinc/89/28/39/432892839.db2.gz OQIFQWPUDKEDSS-GDBMZVCRSA-N 0 1 316.449 3.181 20 30 DGEDMN Cc1ccsc1CN(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000330112393 432893265 /nfs/dbraw/zinc/89/32/65/432893265.db2.gz BVVRFMFAZQHQEU-GFCCVEGCSA-N 0 1 304.419 3.203 20 30 DGEDMN CC(C)n1ncc2c1C[C@@H]([NH+]=C([O-])N1CCCC3(CC3)C1)CC2 ZINC000330118108 432893594 /nfs/dbraw/zinc/89/35/94/432893594.db2.gz YAMQGFUBLTYMQZ-HNNXBMFYSA-N 0 1 316.449 3.111 20 30 DGEDMN CCCCCCCOc1cccc(CNCc2cnnn2C)c1 ZINC000288492838 432893758 /nfs/dbraw/zinc/89/37/58/432893758.db2.gz RAVITZOVMQOGIO-UHFFFAOYSA-N 0 1 316.449 3.454 20 30 DGEDMN CC(C)(C)c1noc(CCC(=O)N[C@@H]2CCCc3cn[nH]c32)n1 ZINC000330126204 432894435 /nfs/dbraw/zinc/89/44/35/432894435.db2.gz MHTSSNKCPVLDAO-LLVKDONJSA-N 0 1 317.393 3.057 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2ccc([N+](=O)[O-])cc2C#N)n[nH]1 ZINC000277467183 432852262 /nfs/dbraw/zinc/85/22/62/432852262.db2.gz REGDQESCTFHTHK-ZJUUUORDSA-N 0 1 314.349 3.271 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2ccc(C#N)cc2[N+](=O)[O-])n[nH]1 ZINC000277469795 432853047 /nfs/dbraw/zinc/85/30/47/432853047.db2.gz RKAVHFMZHZZMQG-ZJUUUORDSA-N 0 1 314.349 3.271 20 30 DGEDMN Cc1ccc([C@H]2CCCN2CC(=O)N[C@](C)(C#N)C(C)C)cc1 ZINC000330663751 432923986 /nfs/dbraw/zinc/92/39/86/432923986.db2.gz TYJNYJNNXGSKFG-IEBWSBKVSA-N 0 1 313.445 3.186 20 30 DGEDMN C=CC[C@@H]1N(C(=O)N[C@H](C)Cc2cc(C)[nH]n2)CCCC1(C)C ZINC000279029893 432927611 /nfs/dbraw/zinc/92/76/11/432927611.db2.gz JYXOJNNFJPCYHI-CJNGLKHVSA-N 0 1 318.465 3.425 20 30 DGEDMN C#Cc1ccc(CN[C@@H](CN2CCCC2=O)c2ccccc2)cc1 ZINC000289168234 432928826 /nfs/dbraw/zinc/92/88/26/432928826.db2.gz FAIKHVSMXUQYAG-FQEVSTJZSA-N 0 1 318.420 3.121 20 30 DGEDMN CCOC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(C#N)cc2Cl)C1 ZINC000279052323 432929751 /nfs/dbraw/zinc/92/97/51/432929751.db2.gz KGRXQOJLUNZBRO-WCQYABFASA-N 0 1 321.808 3.093 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)cc1C#N ZINC000331235692 432935609 /nfs/dbraw/zinc/93/56/09/432935609.db2.gz SYGFHJOPVPPFSU-KBPBESRZSA-N 0 1 315.417 3.157 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)N2CC3(CCC3)[C@@H]2C(C)C)n[nH]1 ZINC000330215921 432898451 /nfs/dbraw/zinc/89/84/51/432898451.db2.gz MTCSKWFQPPQPHH-WFASDCNBSA-N 0 1 304.438 3.074 20 30 DGEDMN O=C(NCc1ccn(C2CCCC2)n1)[C@@H]1CCCN1C1CC1 ZINC000330246488 432899473 /nfs/dbraw/zinc/89/94/73/432899473.db2.gz YGESPLFSGVWDDR-INIZCTEOSA-N 0 1 302.422 3.082 20 30 DGEDMN CCn1cc(-c2[nH]ncc2C(=O)NC2CCC(F)(F)CC2)cn1 ZINC000330243018 432900036 /nfs/dbraw/zinc/90/00/36/432900036.db2.gz WPNRRSBLSJBQNX-UHFFFAOYSA-N 0 1 323.347 3.176 20 30 DGEDMN CC1(C)C[C@H]([NH+]=C([O-])N[C@@H]2CCc3c[nH]nc3C2)c2ccccc21 ZINC000330253095 432900871 /nfs/dbraw/zinc/90/08/71/432900871.db2.gz ALLYIFYXUJJGAM-DYVFJYSZSA-N 0 1 324.428 3.193 20 30 DGEDMN CC1(C)C[C@H](NC([O-])=[NH+][C@@H]2CCc3c[nH]nc3C2)c2ccccc21 ZINC000330253095 432900873 /nfs/dbraw/zinc/90/08/73/432900873.db2.gz ALLYIFYXUJJGAM-DYVFJYSZSA-N 0 1 324.428 3.193 20 30 DGEDMN Cc1cnn([C@@H]2CCCN(C([O-])=[NH+][C@@H]3C[C@H]3c3ccccc3)C2)c1 ZINC000330287361 432901964 /nfs/dbraw/zinc/90/19/64/432901964.db2.gz CITPPHXYELQVIN-FGTMMUONSA-N 0 1 324.428 3.299 20 30 DGEDMN C=CCN(CC=C)Cc1cn(CCC#N)nc1-c1ccncc1 ZINC000279426044 432967032 /nfs/dbraw/zinc/96/70/32/432967032.db2.gz PSUHVSOSYJCZRL-UHFFFAOYSA-N 0 1 307.401 3.033 20 30 DGEDMN CCn1nccc1C1CCN(Cc2ccc(C#N)s2)CC1 ZINC000279390499 432963263 /nfs/dbraw/zinc/96/32/63/432963263.db2.gz KDPIFNOTLWMOGX-UHFFFAOYSA-N 0 1 300.431 3.216 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3CCC2(C)C)CC1 ZINC000297789449 433018582 /nfs/dbraw/zinc/01/85/82/433018582.db2.gz NWMZMDRKLIPCKG-UHFFFAOYSA-N 0 1 310.441 3.090 20 30 DGEDMN Cc1[nH]nc2ncc(CN(Cc3ccc(C#N)cc3)C3CC3)cc12 ZINC000349916460 433034087 /nfs/dbraw/zinc/03/40/87/433034087.db2.gz SHMLERCDUAQEOZ-UHFFFAOYSA-N 0 1 317.396 3.303 20 30 DGEDMN Cc1nc2cc(NCc3ccc(C#N)cc3)ccc2n1[C@@H](C)CO ZINC000419758865 433068165 /nfs/dbraw/zinc/06/81/65/433068165.db2.gz FOZMYNCOLVNRAH-ZDUSSCGKSA-N 0 1 320.396 3.382 20 30 DGEDMN Cc1[nH]ncc1CNc1nc2c(c(C#N)c1C#N)CCCCCC2 ZINC000280722030 433147975 /nfs/dbraw/zinc/14/79/75/433147975.db2.gz MIDYWEMYIMQEOD-UHFFFAOYSA-N 0 1 320.400 3.128 20 30 DGEDMN Cc1cc([C@@H]2CCCN2CCCC2(C#N)CCOCC2)no1 ZINC000374098970 433174366 /nfs/dbraw/zinc/17/43/66/433174366.db2.gz IVUDPMGDQSLYRK-INIZCTEOSA-N 0 1 303.406 3.221 20 30 DGEDMN CN(C)c1ccccc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC000374295592 433184604 /nfs/dbraw/zinc/18/46/04/433184604.db2.gz UXXDSJKVYUDEDM-UHFFFAOYSA-N 0 1 320.440 3.205 20 30 DGEDMN C=CCN1CC[C@H](N2CC[C@@H](C)C[C@H]2c2ccc(CC)o2)C1=O ZINC000337197380 433214676 /nfs/dbraw/zinc/21/46/76/433214676.db2.gz XBADPZDMBNXGDT-PVAVHDDUSA-N 0 1 316.445 3.402 20 30 DGEDMN COc1ccc(C2(NCc3cc(C#N)ccc3OC)CC2)cc1 ZINC000341704681 433222397 /nfs/dbraw/zinc/22/23/97/433222397.db2.gz MZGIMQGSUQXRDN-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN C=C(C)C(C)(C)C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000425404760 433224517 /nfs/dbraw/zinc/22/45/17/433224517.db2.gz MISWGURMXQDGEC-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN C=CCOCCCN[C@@H](CO)c1c(Cl)cccc1Cl ZINC000361540692 433229383 /nfs/dbraw/zinc/22/93/83/433229383.db2.gz JTFBTQJMYDEDHC-ZDUSSCGKSA-N 0 1 304.217 3.209 20 30 DGEDMN N#C[C@H](C(=O)c1cc(C2CC2)[nH]n1)c1nccc2ccccc21 ZINC000361532614 433232115 /nfs/dbraw/zinc/23/21/15/433232115.db2.gz YLKJMMALIXMSIR-AWEZNQCLSA-N 0 1 302.337 3.325 20 30 DGEDMN N#CC(C(=O)c1cc(C2CC2)[nH]n1)c1nccc2ccccc21 ZINC000361532614 433232120 /nfs/dbraw/zinc/23/21/20/433232120.db2.gz YLKJMMALIXMSIR-AWEZNQCLSA-N 0 1 302.337 3.325 20 30 DGEDMN Cc1nsc(NC[C@@H](C)N2CCc3ccccc3C2)c1C#N ZINC000341741742 433246384 /nfs/dbraw/zinc/24/63/84/433246384.db2.gz BDAFTCXFHPOLEV-GFCCVEGCSA-N 0 1 312.442 3.182 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)N[C@@](C)(C#N)C2CC2)c(C)c1 ZINC000298851447 433260885 /nfs/dbraw/zinc/26/08/85/433260885.db2.gz MKHOWTFMODFZTC-BEFAXECRSA-N 0 1 313.445 3.105 20 30 DGEDMN CC1=C(C)CN([C@H](C)C(=O)N(C)C2(C#N)CCC(C)CC2)CC1 ZINC000361620329 433296670 /nfs/dbraw/zinc/29/66/70/433296670.db2.gz QEWVIOPCZOHCKA-LTCLLZNKSA-N 0 1 317.477 3.348 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)c3cccc(C#N)c3C)c2C)o1 ZINC000338415378 433298070 /nfs/dbraw/zinc/29/80/70/433298070.db2.gz BDLCAWAGIASAIB-UHFFFAOYSA-N 0 1 320.352 3.719 20 30 DGEDMN C[N@H+](CC#Cc1ccc(F)cc1)CCOc1ccc(F)cc1 ZINC000448055388 433357426 /nfs/dbraw/zinc/35/74/26/433357426.db2.gz HFIFBZYHSIIKNY-UHFFFAOYSA-N 0 1 301.336 3.327 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCOc1ccc(F)cc1 ZINC000448055388 433357434 /nfs/dbraw/zinc/35/74/34/433357434.db2.gz HFIFBZYHSIIKNY-UHFFFAOYSA-N 0 1 301.336 3.327 20 30 DGEDMN CCN(Cc1cccs1)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000299274053 433357843 /nfs/dbraw/zinc/35/78/43/433357843.db2.gz LQLKYPLEJIFLOB-CQSZACIVSA-N 0 1 319.474 3.301 20 30 DGEDMN COCC[C@@H](NCc1ccc(C#N)c(OC)c1)c1ccc(C)o1 ZINC000281722829 433360084 /nfs/dbraw/zinc/36/00/84/433360084.db2.gz PHDVHXHCAOFHKX-MRXNPFEDSA-N 0 1 314.385 3.336 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(Cc1ccc(F)cc1)C(C)C ZINC000299341722 433362995 /nfs/dbraw/zinc/36/29/95/433362995.db2.gz FAIRWVBDPMIASC-OAHLLOKOSA-N 0 1 319.424 3.044 20 30 DGEDMN CCc1nc(C)c(CNCc2ccc(N(C)CCC#N)cc2)o1 ZINC000291726971 433327947 /nfs/dbraw/zinc/32/79/47/433327947.db2.gz NGMLGLVZIILJIT-UHFFFAOYSA-N 0 1 312.417 3.185 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC)Cc2ccc(Cl)cc2)CC1 ZINC000299173858 433343126 /nfs/dbraw/zinc/34/31/26/433343126.db2.gz ZRHIBBMNANXMFK-UHFFFAOYSA-N 0 1 318.848 3.034 20 30 DGEDMN CN1CCN(Cc2cc(F)ccc2C#N)C[C@@H]1Cc1ccccc1 ZINC000341982051 433395478 /nfs/dbraw/zinc/39/54/78/433395478.db2.gz CTOQFLYIZOFTKY-FQEVSTJZSA-N 0 1 323.415 3.056 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)C[C@@H]1Cc1ccccc1 ZINC000341992530 433401588 /nfs/dbraw/zinc/40/15/88/433401588.db2.gz NACKKNAIXGIHEB-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CN([C@@H]1CC[C@H](C#N)C1)C2 ZINC000421042052 433406839 /nfs/dbraw/zinc/40/68/39/433406839.db2.gz PEKIXZUQSKVTQD-DZGCQCFKSA-N 0 1 300.402 3.360 20 30 DGEDMN N#Cc1c(F)cccc1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC000375287947 433414077 /nfs/dbraw/zinc/41/40/77/433414077.db2.gz QIFXUNSCXZBCIM-UHFFFAOYSA-N 0 1 321.355 3.428 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C[C@](C)(O)C(F)(F)F ZINC000281898067 433422338 /nfs/dbraw/zinc/42/23/38/433422338.db2.gz PDBHFDDQSMZJHB-AWEZNQCLSA-N 0 1 300.324 3.084 20 30 DGEDMN C[C@@H]1CN(CCCNc2cc(Cl)ccc2C#N)C[C@H](C)O1 ZINC000301198598 433448821 /nfs/dbraw/zinc/44/88/21/433448821.db2.gz LLSHJMMLLIAQCB-BETUJISGSA-N 0 1 307.825 3.123 20 30 DGEDMN Cc1nccc(N2CCO[C@H](c3cccc(C#N)c3)C2)c1Cl ZINC000355092319 433458089 /nfs/dbraw/zinc/45/80/89/433458089.db2.gz JQOCZLKGPGGOPJ-INIZCTEOSA-N 0 1 313.788 3.493 20 30 DGEDMN C=CCC1(C(=O)NCCCN2C[C@H](C)O[C@@H](C)C2)CCCCC1 ZINC000448626317 433458762 /nfs/dbraw/zinc/45/87/62/433458762.db2.gz AAVDCWHHAUZPMZ-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCOc1cccc(C[N@H+](CCO)Cc2cccc(F)c2)c1 ZINC000426377783 433475687 /nfs/dbraw/zinc/47/56/87/433475687.db2.gz FCIJPHINYODLDS-UHFFFAOYSA-N 0 1 315.388 3.385 20 30 DGEDMN C=CCOc1cccc(CN(CCO)Cc2cccc(F)c2)c1 ZINC000426377783 433475701 /nfs/dbraw/zinc/47/57/01/433475701.db2.gz FCIJPHINYODLDS-UHFFFAOYSA-N 0 1 315.388 3.385 20 30 DGEDMN C[C@H]1C[C@H](C(=O)OC(C)(C)C)CN(Cc2cc(C#N)cs2)C1 ZINC000421390911 433538604 /nfs/dbraw/zinc/53/86/04/433538604.db2.gz SYWFWGRODPJEOJ-JSGCOSHPSA-N 0 1 320.458 3.419 20 30 DGEDMN CCSc1cc(CNCc2ccc(C#N)c(F)c2)ccn1 ZINC000421342731 433489373 /nfs/dbraw/zinc/48/93/73/433489373.db2.gz MGKZYYOKNBWSPS-UHFFFAOYSA-N 0 1 301.390 3.494 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000292170568 433490012 /nfs/dbraw/zinc/49/00/12/433490012.db2.gz IACYPHNDPUXJPA-MRXNPFEDSA-N 0 1 304.434 3.016 20 30 DGEDMN COc1cc2c(c(CNCc3ccc(C#N)s3)c1)O[C@@H](C)C2 ZINC000421354768 433494757 /nfs/dbraw/zinc/49/47/57/433494757.db2.gz SQNRPODDSIYZSR-NSHDSACASA-N 0 1 314.410 3.242 20 30 DGEDMN C=CCN1CC[C@H](N[C@H](CCC)c2ccc(F)cc2F)C1=O ZINC000282103151 433495167 /nfs/dbraw/zinc/49/51/67/433495167.db2.gz WFHCTNVUUBBZEV-CVEARBPZSA-N 0 1 308.372 3.183 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000355368546 433540894 /nfs/dbraw/zinc/54/08/94/433540894.db2.gz UOZSMJDKUVGOHR-UHFFFAOYSA-N 0 1 321.340 3.144 20 30 DGEDMN COc1cc(C)c(CNCc2ccc(C#N)s2)cc1OC ZINC000421356238 433500864 /nfs/dbraw/zinc/50/08/64/433500864.db2.gz WRPMQSGNSPYGFD-UHFFFAOYSA-N 0 1 302.399 3.235 20 30 DGEDMN CC(C)(C)c1ccc(-c2n[nH]c(NC(=O)CCCC#N)n2)cc1 ZINC000292757079 433594127 /nfs/dbraw/zinc/59/41/27/433594127.db2.gz VXTCYAXKYBYEHQ-UHFFFAOYSA-N 0 1 311.389 3.402 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)c1 ZINC000375826855 433581286 /nfs/dbraw/zinc/58/12/86/433581286.db2.gz XVGWWJNWLNFCHD-HOTGVXAUSA-N 0 1 302.393 3.336 20 30 DGEDMN Cc1cc(Br)cc(C(=O)C(C#N)c2ccncn2)c1 ZINC000362283744 433620662 /nfs/dbraw/zinc/62/06/62/433620662.db2.gz DBXPOAXCEUDORS-GFCCVEGCSA-N 0 1 316.158 3.038 20 30 DGEDMN CCOCC(C)(C)NCc1cc(C#N)ccc1Br ZINC000293224262 433663450 /nfs/dbraw/zinc/66/34/50/433663450.db2.gz KFXAKKVSWWJZBT-UHFFFAOYSA-N 0 1 311.223 3.225 20 30 DGEDMN N#Cc1cc(C(=O)Nc2cccc3c2CN(C2CC2)C3)ccc1F ZINC000376142914 433675346 /nfs/dbraw/zinc/67/53/46/433675346.db2.gz RMVAQOYDFVLIIO-UHFFFAOYSA-N 0 1 321.355 3.428 20 30 DGEDMN CC[C@@]1(C)CCCN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C1 ZINC000362384229 433676179 /nfs/dbraw/zinc/67/61/79/433676179.db2.gz FCEHLKHZBDZRAO-HKUYNNGSSA-N 0 1 311.429 3.176 20 30 DGEDMN C=CCSc1ccccc1C(=O)NCCCc1cn[nH]c1C ZINC000362387128 433682884 /nfs/dbraw/zinc/68/28/84/433682884.db2.gz MLLHCYAIFHZSSM-UHFFFAOYSA-N 0 1 315.442 3.359 20 30 DGEDMN CC(C)[C@]1(CO)CCCN(Cc2ccc(C#N)cc2Cl)C1 ZINC000339703358 433738114 /nfs/dbraw/zinc/73/81/14/433738114.db2.gz JEZLDZLWXLAWDE-QGZVFWFLSA-N 0 1 306.837 3.442 20 30 DGEDMN C=CCOc1ccc(Cc2nc([C@H](N)CC(C)C)no2)cc1 ZINC000414611344 433744694 /nfs/dbraw/zinc/74/46/94/433744694.db2.gz BYJVOZCWFMXIHX-OAHLLOKOSA-N 0 1 301.390 3.271 20 30 DGEDMN C=CCOc1cc(OC)ccc1-c1nc([C@H](N)CC(C)C)no1 ZINC000414614963 433746488 /nfs/dbraw/zinc/74/64/88/433746488.db2.gz HXLLRFUOAHKPCU-CQSZACIVSA-N 0 1 317.389 3.356 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N3CCN(CC4CC4)CC3)nc21 ZINC000428124940 433750836 /nfs/dbraw/zinc/75/08/36/433750836.db2.gz JMLYIGMYZRIKJY-UHFFFAOYSA-N 0 1 324.472 3.099 20 30 DGEDMN Cc1cc(-c2noc(-c3ccc(C#N)c(C)n3)n2)cc2nc[nH]c21 ZINC000356941909 433777680 /nfs/dbraw/zinc/77/76/80/433777680.db2.gz WWXIBQMLRQLBOT-UHFFFAOYSA-N 0 1 316.324 3.163 20 30 DGEDMN N#CCc1cccc(NC(=O)[C@H]2CCCN2Cc2ccccc2)c1 ZINC000456720495 433789382 /nfs/dbraw/zinc/78/93/82/433789382.db2.gz AVDQIRNZVNAUEU-LJQANCHMSA-N 0 1 319.408 3.356 20 30 DGEDMN N#C[C@H]1CC[C@@H](NCc2nc3c(cccc3Br)[nH]2)C1 ZINC000449780027 433790963 /nfs/dbraw/zinc/79/09/63/433790963.db2.gz YDFLLRCIRCVHFW-VHSXEESVSA-N 0 1 319.206 3.107 20 30 DGEDMN Cc1cc(N2CCC(F)(C#N)CC2)c2cc([N+](=O)[O-])ccc2n1 ZINC000343244059 433791611 /nfs/dbraw/zinc/79/16/11/433791611.db2.gz BVWCJKHTKORGJB-UHFFFAOYSA-N 0 1 314.320 3.284 20 30 DGEDMN Cc1cc(CN[C@@H](C)c2cccc(C#N)c2)nc(N2CCCC2)n1 ZINC000293810244 433874611 /nfs/dbraw/zinc/87/46/11/433874611.db2.gz VNQZQYWFGMHSQS-HNNXBMFYSA-N 0 1 321.428 3.108 20 30 DGEDMN CN(CCC(=O)N[C@@H]1CCCc2ccccc21)CC(C)(C)C#N ZINC000433304414 433847856 /nfs/dbraw/zinc/84/78/56/433847856.db2.gz NGVGTBUQRQSMEU-QGZVFWFLSA-N 0 1 313.445 3.052 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCC(O)(c3ccccc3Cl)CC2)C1 ZINC000294202537 434039836 /nfs/dbraw/zinc/03/98/36/434039836.db2.gz RMHFVAHVZUHSLA-KBPBESRZSA-N 0 1 304.821 3.316 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000353259933 434067932 /nfs/dbraw/zinc/06/79/32/434067932.db2.gz HWPDXEKFTIMQRD-QGZVFWFLSA-N 0 1 313.445 3.049 20 30 DGEDMN COc1cc(C#N)ccc1CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353167275 434068585 /nfs/dbraw/zinc/06/85/85/434068585.db2.gz AOPMZECOYDPFEH-LBPRGKRZSA-N 0 1 306.369 3.294 20 30 DGEDMN CN(C)Cc1cccc(C(=O)[C@H](C#N)c2nc3ccccc3[nH]2)c1 ZINC000436699921 434071281 /nfs/dbraw/zinc/07/12/81/434071281.db2.gz XHLMFAFBNCDQJY-HNNXBMFYSA-N 0 1 318.380 3.115 20 30 DGEDMN COc1cccc(CN[C@@H]2CCc3ccc(C#N)cc32)c1OC ZINC000353425446 434122307 /nfs/dbraw/zinc/12/23/07/434122307.db2.gz KCFKEUYDTVAYFN-QGZVFWFLSA-N 0 1 308.381 3.353 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccccc1COC(C)(C)CC ZINC000416959602 434259878 /nfs/dbraw/zinc/25/98/78/434259878.db2.gz QFBDOCSQXNVHGX-CQSZACIVSA-N 0 1 324.446 3.436 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc(-c2n[nH]c(-c3ccccc3)n2)cc1 ZINC000437498151 434272445 /nfs/dbraw/zinc/27/24/45/434272445.db2.gz NWJDDAKMQLWMMN-GFCCVEGCSA-N 0 1 317.352 3.237 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc(-c2nc(-c3ccccc3)n[nH]2)cc1 ZINC000437498151 434272451 /nfs/dbraw/zinc/27/24/51/434272451.db2.gz NWJDDAKMQLWMMN-GFCCVEGCSA-N 0 1 317.352 3.237 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2ccsc2)CC1 ZINC000364086046 434230129 /nfs/dbraw/zinc/23/01/29/434230129.db2.gz XSNLHULYHPFBBD-CQSZACIVSA-N 0 1 306.475 3.431 20 30 DGEDMN CSCCCN1CCN([C@H](C#N)c2cccc(Cl)c2)CC1 ZINC000348330509 434288903 /nfs/dbraw/zinc/28/89/03/434288903.db2.gz XMFKPUUCXHYOLT-MRXNPFEDSA-N 0 1 323.893 3.275 20 30 DGEDMN CN(CCCN(C)C(=O)C1(C#N)CCCCC1)Cc1ccco1 ZINC000286722596 434289794 /nfs/dbraw/zinc/28/97/94/434289794.db2.gz RAEYLDWUPIXSPS-UHFFFAOYSA-N 0 1 317.433 3.034 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](C(F)(F)F)[C@H]1C ZINC000348239876 434289939 /nfs/dbraw/zinc/28/99/39/434289939.db2.gz AFNZYTQRAFQEGV-AGIUHOORSA-N 0 1 306.372 3.072 20 30 DGEDMN CC(C)(C#N)CCCCN1CCN(c2ccc(F)cn2)CC1 ZINC000365621874 434302941 /nfs/dbraw/zinc/30/29/41/434302941.db2.gz ARZUKVOMIBTJNU-UHFFFAOYSA-N 0 1 304.413 3.063 20 30 DGEDMN C[C@H]1CCN(CC(=O)NC(=O)NC23CC4CC(CC(C4)C2)C3)C1 ZINC000328737013 434304629 /nfs/dbraw/zinc/30/46/29/434304629.db2.gz ZVDKFDZCPJIZBG-IHWZXDPASA-N 0 1 319.449 3.168 20 30 DGEDMN C=C(C)CN(Cc1ccccc1)C(=O)CN(C)[C@@H]1CCSC1 ZINC000347855201 434277575 /nfs/dbraw/zinc/27/75/75/434277575.db2.gz BUXPNQPPDZOXQR-QGZVFWFLSA-N 0 1 318.486 3.029 20 30 DGEDMN Cc1n[nH]c(CCNC(=O)c2sc(C3CCCC3)nc2C)n1 ZINC000329129704 434361001 /nfs/dbraw/zinc/36/10/01/434361001.db2.gz WHDRCAXWAHQXGI-UHFFFAOYSA-N 0 1 319.434 3.083 20 30 DGEDMN C[N@H+](Cc1ccc(Br)cc1C#N)C[C@@H]1CCCOC1 ZINC000287448095 434361166 /nfs/dbraw/zinc/36/11/66/434361166.db2.gz VEUWBOXFGXVFIY-LBPRGKRZSA-N 0 1 323.234 3.179 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)C[C@@H]1CCCOC1 ZINC000287448095 434361174 /nfs/dbraw/zinc/36/11/74/434361174.db2.gz VEUWBOXFGXVFIY-LBPRGKRZSA-N 0 1 323.234 3.179 20 30 DGEDMN C[C@H](C(=O)NC1CC1)[N@H+]1CCC[C@H](C(=O)Nc2ccccc2)C1 ZINC000329132182 434363403 /nfs/dbraw/zinc/36/34/03/434363403.db2.gz AOKZJLAUUPJEOE-KGLIPLIRSA-N 0 1 315.417 3.494 20 30 DGEDMN C[C@H](C(=O)NC1CC1)N1CCC[C@H](C(=O)Nc2ccccc2)C1 ZINC000329132182 434363413 /nfs/dbraw/zinc/36/34/13/434363413.db2.gz AOKZJLAUUPJEOE-KGLIPLIRSA-N 0 1 315.417 3.494 20 30 DGEDMN CC(C)[C@@H]1C[C@H](CC(=O)N[C@@H]2CCc3nc[nH]c3C2)CCO1 ZINC000329287337 434385456 /nfs/dbraw/zinc/38/54/56/434385456.db2.gz HFWPFTPJYZCPGI-IOASZLSFSA-N 0 1 305.422 3.065 20 30 DGEDMN Cc1nc(CC(C)C)oc1C(=O)NCCN1CC=C(C)CC1 ZINC000328972342 434336357 /nfs/dbraw/zinc/33/63/57/434336357.db2.gz AIPAHIZEISBOIW-UHFFFAOYSA-N 0 1 305.422 3.138 20 30 DGEDMN O=C(CCNC(=O)C1CCCCC1)NC1(c2nnc[nH]2)CCC1 ZINC000329318780 434390849 /nfs/dbraw/zinc/39/08/49/434390849.db2.gz IHLGOFQTHLDXAL-UHFFFAOYSA-N 0 1 319.409 3.067 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)CCCC(=O)OC(C)(C)C ZINC000438092721 434403951 /nfs/dbraw/zinc/40/39/51/434403951.db2.gz ANXMKUVZHSQNHT-UHFFFAOYSA-N 0 1 318.417 3.121 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)c1ccc2cncn2c1 ZINC000340873053 434407250 /nfs/dbraw/zinc/40/72/50/434407250.db2.gz FDOIAJSNPFFFLW-UHFFFAOYSA-N 0 1 307.357 3.015 20 30 DGEDMN CC1(C)C[C@@H](NC(=O)Nc2cc3n(n2)CCCC3)c2ccccc21 ZINC000329432458 434408139 /nfs/dbraw/zinc/40/81/39/434408139.db2.gz KPGVQEAMEMOMML-MRXNPFEDSA-N 0 1 324.428 3.968 20 30 DGEDMN COC(=O)c1ccc(CN(Cc2ccc(C#N)cc2)C(C)C)[nH]1 ZINC000359243832 434416489 /nfs/dbraw/zinc/41/64/89/434416489.db2.gz XRDBRLYJKQNIFQ-UHFFFAOYSA-N 0 1 311.385 3.084 20 30 DGEDMN Cc1nnc([C@H](C)NC(=O)[C@@H]2CCCN2C2CCCC2)s1 ZINC000329516339 434425016 /nfs/dbraw/zinc/42/50/16/434425016.db2.gz MSPZYRWOGSXWMQ-GWCFXTLKSA-N 0 1 308.451 3.271 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+]C[C@@H]3CCC[C@@H](C)C3)C2)c1 ZINC000329545607 434427690 /nfs/dbraw/zinc/42/76/90/434427690.db2.gz GUIDGMRJKLMPKJ-FVQBIDKESA-N 0 1 304.438 3.179 20 30 DGEDMN CC(C)(CC#N)CNC(=O)c1c(O)cc(Cl)cc1Cl ZINC000457990422 434432741 /nfs/dbraw/zinc/43/27/41/434432741.db2.gz HKYIAOTZEBFIIM-UHFFFAOYSA-N 0 1 301.173 3.369 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCNC(C)(C)C1)c1ccccc1 ZINC000417909157 434545750 /nfs/dbraw/zinc/54/57/50/434545750.db2.gz HXZHUGJYMNOLHF-MRXNPFEDSA-N 0 1 301.434 3.087 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCNC(C)(C)C1)c1ccccc1 ZINC000417909156 434546777 /nfs/dbraw/zinc/54/67/77/434546777.db2.gz HXZHUGJYMNOLHF-INIZCTEOSA-N 0 1 301.434 3.087 20 30 DGEDMN C/C(Cl)=C/CN1CCC(C(=O)Nc2cccc(C#N)c2)CC1 ZINC000528409577 295210033 /nfs/dbraw/zinc/21/00/33/295210033.db2.gz MSSDYADMERASQR-ACAGNQJTSA-N 0 1 317.820 3.351 20 30 DGEDMN Cn1cc(CN2CCC[C@H]2Cc2cc(F)ccc2F)cc1C#N ZINC000418102887 434585138 /nfs/dbraw/zinc/58/51/38/434585138.db2.gz VIKHHKWAHQTWRX-INIZCTEOSA-N 0 1 315.367 3.382 20 30 DGEDMN C=CCOc1cccc(CN2C[C@@H](C)O[C@]3(CCCOC3)C2)c1 ZINC000370706369 434618579 /nfs/dbraw/zinc/61/85/79/434618579.db2.gz BAUDOAYQVKIKRB-VQIMIIECSA-N 0 1 317.429 3.021 20 30 DGEDMN C[C@H](NCCOc1cccc(C#N)c1)c1nc2c(s1)CCC2 ZINC000459633101 434641433 /nfs/dbraw/zinc/64/14/33/434641433.db2.gz KLQIWOKQXDJTGA-LBPRGKRZSA-N 0 1 313.426 3.233 20 30 DGEDMN N#CC1(CCCN2CCOc3c(F)cccc3C2)CCOCC1 ZINC000369703490 434600025 /nfs/dbraw/zinc/60/00/25/434600025.db2.gz BIMHLFDPOQLCNH-UHFFFAOYSA-N 0 1 318.392 3.121 20 30 DGEDMN Cc1cc(CN(C)C[C@@H]2COc3ccccc3O2)ccc1C#N ZINC000349077996 434601450 /nfs/dbraw/zinc/60/14/50/434601450.db2.gz RGZCXVXDLWVZKA-QGZVFWFLSA-N 0 1 308.381 3.139 20 30 DGEDMN N#Cc1ccc(CN[C@@H](CCO)c2ccccc2)c(Cl)c1 ZINC000439431810 434604181 /nfs/dbraw/zinc/60/41/81/434604181.db2.gz RNTQAKFRKDABOU-KRWDZBQOSA-N 0 1 300.789 3.425 20 30 DGEDMN CC(C)N(CC(=O)NCc1ccc(C#N)cc1)Cc1ccccc1 ZINC000349115428 434610413 /nfs/dbraw/zinc/61/04/13/434610413.db2.gz BFMHFRINAHHXQK-UHFFFAOYSA-N 0 1 321.424 3.085 20 30 DGEDMN CN(CC(=O)Nc1cc(Cl)ccc1C#N)C1CC(C)(C)C1 ZINC000459745954 434695411 /nfs/dbraw/zinc/69/54/11/434695411.db2.gz TZSJIHZUMDYPBV-UHFFFAOYSA-N 0 1 305.809 3.271 20 30 DGEDMN Cn1c(CN[C@@H]2CC[C@H](C#N)C2)nc2cc(C(F)(F)F)ccc21 ZINC000424192211 434654009 /nfs/dbraw/zinc/65/40/09/434654009.db2.gz ISNYALRXICXGER-CMPLNLGQSA-N 0 1 322.334 3.374 20 30 DGEDMN C=CCn1cc(CN[C@H](C)Cc2ccccc2C(F)(F)F)nn1 ZINC000424290928 434679488 /nfs/dbraw/zinc/67/94/88/434679488.db2.gz CPUYBCFYRLVRCI-GFCCVEGCSA-N 0 1 324.350 3.204 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(-c2cn[nH]n2)c1)c1cccc(C#N)c1 ZINC000360761198 434688290 /nfs/dbraw/zinc/68/82/90/434688290.db2.gz GTGAWBOKHXLSQL-GFCCVEGCSA-N 0 1 317.352 3.086 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000424569430 434745858 /nfs/dbraw/zinc/74/58/58/434745858.db2.gz CUBWTGSYIWVSIK-OAHLLOKOSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000424578948 434747664 /nfs/dbraw/zinc/74/76/64/434747664.db2.gz BYCYTMATTPORBJ-KRWDZBQOSA-N 0 1 300.446 3.370 20 30 DGEDMN C[C@@H](CCC#N)N1CCN(CCOc2ccccc2Cl)CC1 ZINC000411127851 434726772 /nfs/dbraw/zinc/72/67/72/434726772.db2.gz CNLAQWHQPSYEED-HNNXBMFYSA-N 0 1 321.852 3.029 20 30 DGEDMN C[C@H](CCC#N)N1CCN(CCOc2ccccc2Cl)CC1 ZINC000411127853 434727834 /nfs/dbraw/zinc/72/78/34/434727834.db2.gz CNLAQWHQPSYEED-OAHLLOKOSA-N 0 1 321.852 3.029 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(Cl)cc1 ZINC000459824862 434729948 /nfs/dbraw/zinc/72/99/48/434729948.db2.gz KIWYLHDFOXQIEY-OGHNNQOOSA-N 0 1 321.852 3.434 20 30 DGEDMN CCCCNC(=O)[C@H](C)N(CCC)Cc1ccc(C#N)cc1 ZINC000411160594 434736207 /nfs/dbraw/zinc/73/62/07/434736207.db2.gz APUNXWUKHNVPRM-HNNXBMFYSA-N 0 1 301.434 3.075 20 30 DGEDMN C[C@H](N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1ccc(C#N)cc1 ZINC000411456028 434783047 /nfs/dbraw/zinc/78/30/47/434783047.db2.gz UKSHXQRTVVVAMH-UGSOOPFHSA-N 0 1 323.371 3.153 20 30 DGEDMN C=CC[C@H]1N(CC(=O)N(C)CC(F)(F)F)CCCC1(C)C ZINC000444779424 434790399 /nfs/dbraw/zinc/79/03/99/434790399.db2.gz YIFOPJLYJIKZJN-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN CCNC(=O)CN[C@@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000411505656 434791917 /nfs/dbraw/zinc/79/19/17/434791917.db2.gz OQFMABHFMHXSPB-AWEZNQCLSA-N 0 1 307.397 3.012 20 30 DGEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000492024351 434902042 /nfs/dbraw/zinc/90/20/42/434902042.db2.gz PKLYQZQAMPARAW-HOTGVXAUSA-N 0 1 317.433 3.167 20 30 DGEDMN N#CC1(NC(=O)CN2CCCC3(CCCCC3)C2)CCCCC1 ZINC000523587513 434953222 /nfs/dbraw/zinc/95/32/22/434953222.db2.gz WJUFUFXXXGVBNW-UHFFFAOYSA-N 0 1 317.477 3.375 20 30 DGEDMN N#Cc1ccc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)nc1 ZINC000554366615 434923070 /nfs/dbraw/zinc/92/30/70/434923070.db2.gz OMGSLNNMBKFZHJ-DNVCBOLYSA-N 0 1 307.397 3.211 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000554544445 434934902 /nfs/dbraw/zinc/93/49/02/434934902.db2.gz NTMDULJNPQQBJN-AWEZNQCLSA-N 0 1 303.753 3.003 20 30 DGEDMN N#C[C@@H](C(=O)c1ccc(Oc2ccccc2)cn1)c1ccncn1 ZINC000555945901 434989473 /nfs/dbraw/zinc/98/94/73/434989473.db2.gz WLNCNZOGFSTXTN-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN N#CC(C(=O)c1ccc(Oc2ccccc2)cn1)c1ccncn1 ZINC000555945901 434989476 /nfs/dbraw/zinc/98/94/76/434989476.db2.gz WLNCNZOGFSTXTN-OAHLLOKOSA-N 0 1 316.320 3.154 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1N[C@@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000577188163 435059210 /nfs/dbraw/zinc/05/92/10/435059210.db2.gz VUWJDEHSUFRVFB-WCQGTBRESA-N 0 1 319.430 3.008 20 30 DGEDMN C=CCc1cc(CNc2cc3c(cn2)CCC3)cc(OC)c1O ZINC000559351927 435130153 /nfs/dbraw/zinc/13/01/53/435130153.db2.gz IDFVFRCTYZJCIT-UHFFFAOYSA-N 0 1 310.397 3.625 20 30 DGEDMN CCN(CC(=O)Nc1cccc(C#N)c1)Cc1ccc(C)cc1 ZINC000532929635 435201037 /nfs/dbraw/zinc/20/10/37/435201037.db2.gz VSZQXMOQSVUKOU-UHFFFAOYSA-N 0 1 307.397 3.327 20 30 DGEDMN C[C@@H](C#N)CN(C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1)C1CC1 ZINC000495377871 435202789 /nfs/dbraw/zinc/20/27/89/435202789.db2.gz UVIWWGNKFCGXTP-KSSFIOAISA-N 0 1 321.465 3.258 20 30 DGEDMN CN(C[C@H]1CCCN(C(=O)OC(C)(C)C)C1)CC(C)(C)C#N ZINC000495622262 435203512 /nfs/dbraw/zinc/20/35/12/435203512.db2.gz XAOLVFZCJHBWLA-CQSZACIVSA-N 0 1 309.454 3.115 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2sccc2C#N)[C@@H]2CCCC[C@H]12 ZINC000532471720 435168169 /nfs/dbraw/zinc/16/81/69/435168169.db2.gz ULNHETVOCPILSH-BPLDGKMQSA-N 0 1 317.458 3.459 20 30 DGEDMN C=CCC[C@H](O)CN1CCCC[C@@H]1c1nc2ccccc2n1C ZINC000533065332 435208258 /nfs/dbraw/zinc/20/82/58/435208258.db2.gz KHSGYUDOKNOIEX-MAUKXSAKSA-N 0 1 313.445 3.427 20 30 DGEDMN CCN(CCC#N)C[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC000496184077 435209781 /nfs/dbraw/zinc/20/97/81/435209781.db2.gz BEZGSTRGSYVMEH-GJZGRUSLSA-N 0 1 309.454 3.258 20 30 DGEDMN CC(C)(C)OC(=O)NCC[C@H]1CCCCN1CC1(C#N)CCC1 ZINC000496814612 435258245 /nfs/dbraw/zinc/25/82/45/435258245.db2.gz LBBJCJCXUINABM-OAHLLOKOSA-N 0 1 321.465 3.450 20 30 DGEDMN Cc1ccc(Cl)cc1NC(=O)C[C@H](C)NCC1(C#N)CC1 ZINC000562392105 435259260 /nfs/dbraw/zinc/25/92/60/435259260.db2.gz LWRJSTWYFSMJAA-LBPRGKRZSA-N 0 1 305.809 3.259 20 30 DGEDMN CC(C)C[C@@H]1COCCN1CCCOc1cccc(C#N)c1 ZINC000477273671 435264712 /nfs/dbraw/zinc/26/47/12/435264712.db2.gz WKAJWZSVHFVCBN-QGZVFWFLSA-N 0 1 302.418 3.074 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cn(C)nc2C2CC2)n1 ZINC000564511624 435272162 /nfs/dbraw/zinc/27/21/62/435272162.db2.gz YKRJPTWOFULUDT-LLVKDONJSA-N 0 1 314.414 3.367 20 30 DGEDMN C[C@H](c1ccccc1)N(C)C(=O)CN1CCC(CCC#N)CC1 ZINC000564916077 435274773 /nfs/dbraw/zinc/27/47/73/435274773.db2.gz SDCCUBVHNDCWLR-MRXNPFEDSA-N 0 1 313.445 3.222 20 30 DGEDMN C[C@H](NCc1ccc(F)cc1C(F)(F)F)[C@H](O)C(F)(F)F ZINC000639387197 435280903 /nfs/dbraw/zinc/28/09/03/435280903.db2.gz OGJCPAVDFNXFTJ-WKEGUHRASA-N 0 1 319.220 3.246 20 30 DGEDMN C[C@H](C(=O)N[C@@H]1CCCC[C@H]1C)N1CCC(CCC#N)CC1 ZINC000566852671 435289989 /nfs/dbraw/zinc/28/99/89/435289989.db2.gz LJIXCZTZVHCOIV-BFYDXBDKSA-N 0 1 305.466 3.086 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@@H](COc2ccccc2)C1 ZINC000505822960 435308485 /nfs/dbraw/zinc/30/84/85/435308485.db2.gz BETZKDXBWMPNJQ-QGZVFWFLSA-N 0 1 322.408 3.468 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC(C)(C)CC2)c1C#N ZINC000535208756 435325049 /nfs/dbraw/zinc/32/50/49/435325049.db2.gz WLFNNUYTPVKQCQ-UHFFFAOYSA-N 0 1 300.402 3.357 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@@H](C)C[C@@H](C)C2)c1C#N ZINC000535964340 435364777 /nfs/dbraw/zinc/36/47/77/435364777.db2.gz PTVRGCHUYDFJFV-ZIAGYGMSSA-N 0 1 300.402 3.213 20 30 DGEDMN C=C(CC)C[N@@H+](CC(=O)[O-])Cc1cccc(Br)c1 ZINC000568073106 435388000 /nfs/dbraw/zinc/38/80/00/435388000.db2.gz UVCRGPDJXHCFLH-UHFFFAOYSA-N 0 1 312.207 3.302 20 30 DGEDMN COC(=O)[C@H]1CCCC[C@]1(C)NCc1ccc(Cl)cc1C#N ZINC000480863460 435398411 /nfs/dbraw/zinc/39/84/11/435398411.db2.gz CHNDRGTWNIGEHO-WBVHZDCISA-N 0 1 320.820 3.423 20 30 DGEDMN Cn1cncc1CCCN1CCC[C@H]1[C@@H](C#N)c1ccccc1 ZINC000514869936 435446303 /nfs/dbraw/zinc/44/63/03/435446303.db2.gz DWNYDULDKLYHKF-OALUTQOASA-N 0 1 308.429 3.124 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](C)[C@H]1c1ccccc1 ZINC000483063844 435493929 /nfs/dbraw/zinc/49/39/29/435493929.db2.gz QNRXOICLBVTTMA-BQFCYCMXSA-N 0 1 300.446 3.493 20 30 DGEDMN Cn1cc([C@@H]2CN(Cc3cc(C#N)ccc3F)CC2(C)C)cn1 ZINC000515754249 435501299 /nfs/dbraw/zinc/50/12/99/435501299.db2.gz HKDFJZBYOLKOKU-INIZCTEOSA-N 0 1 312.392 3.056 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccccc2)CC1 ZINC000486812658 435616119 /nfs/dbraw/zinc/61/61/19/435616119.db2.gz YBDORBRZPIMSOR-UHFFFAOYSA-N 0 1 300.446 3.120 20 30 DGEDMN N#CCC1CCN(C(=O)c2c(O)cc(Cl)cc2Cl)CC1 ZINC000541976029 435645614 /nfs/dbraw/zinc/64/56/14/435645614.db2.gz VNUWDINXWYMVDP-UHFFFAOYSA-N 0 1 313.184 3.465 20 30 DGEDMN C=CCC[N@H+]1C[C@@H](c2ccc(Br)cc2)[C@H](C(=O)[O-])C1 ZINC000570933060 435663485 /nfs/dbraw/zinc/66/34/85/435663485.db2.gz BLVMVBXALJKLMX-UONOGXRCSA-N 0 1 324.218 3.125 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccsc3Cl)no2)CC1 ZINC000491514713 435763754 /nfs/dbraw/zinc/76/37/54/435763754.db2.gz JQXHVQPUIKMPHK-UHFFFAOYSA-N 0 1 307.806 3.264 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cncnc2C2CC2)n1 ZINC000651662904 435784960 /nfs/dbraw/zinc/78/49/60/435784960.db2.gz RKQQVSPTKINUFL-LLVKDONJSA-N 0 1 312.398 3.424 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)NCc2nc3ccc(C)cc3[nH]2)C1 ZINC000660781478 435892848 /nfs/dbraw/zinc/89/28/48/435892848.db2.gz BDXBBLAEJIQYKN-CQSZACIVSA-N 0 1 312.417 3.369 20 30 DGEDMN CC(=O)c1ccc2c(c1)CCN(Cc1ccc(C#N)s1)CC2 ZINC000552480641 435904532 /nfs/dbraw/zinc/90/45/32/435904532.db2.gz ZFRDSQGOPQZBEU-UHFFFAOYSA-N 0 1 310.422 3.423 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)c1 ZINC000646747905 435914524 /nfs/dbraw/zinc/91/45/24/435914524.db2.gz ABWKPQXYOFHCQI-WBMJQRKESA-N 0 1 311.385 3.279 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000646788328 435920565 /nfs/dbraw/zinc/92/05/65/435920565.db2.gz VBSOOXCEPOSNNO-RHSMWYFYSA-N 0 1 309.413 3.290 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000665390518 435967208 /nfs/dbraw/zinc/96/72/08/435967208.db2.gz HXEGBILRMSPCMS-MCIONIFRSA-N 0 1 319.453 3.423 20 30 DGEDMN C[C@@]12COC[C@]1(C)CN(CC#Cc1ccc(C(F)(F)F)cc1)C2 ZINC000661493128 436087178 /nfs/dbraw/zinc/08/71/78/436087178.db2.gz CUKFJTDEMLWHGF-CALCHBBNSA-N 0 1 323.358 3.415 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc2c(c1)OCCCO2)C(C)(C)C ZINC000661755043 436179448 /nfs/dbraw/zinc/17/94/48/436179448.db2.gz HCCXBUNLCIIXCG-UHFFFAOYSA-N 0 1 318.417 3.073 20 30 DGEDMN C=CCCn1cc(CN2CCC[C@@H]2Cc2ccc(C)cc2)nn1 ZINC000653556099 436205199 /nfs/dbraw/zinc/20/51/99/436205199.db2.gz NOLPIFPXEGNFOJ-LJQANCHMSA-N 0 1 310.445 3.370 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1ccc(Cl)cn1 ZINC000662086232 436270110 /nfs/dbraw/zinc/27/01/10/436270110.db2.gz QBNXYUASMGNIRN-BNOWGMLFSA-N 0 1 305.809 3.245 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC000662085087 436270739 /nfs/dbraw/zinc/27/07/39/436270739.db2.gz CRYZJDOAILQUBN-KSZLIROESA-N 0 1 314.404 3.328 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)c1cc(C(C)(C)N)no1 ZINC000662598105 436366805 /nfs/dbraw/zinc/36/68/05/436366805.db2.gz PJSOIMMTGVZELD-UHFFFAOYSA-N 0 1 305.422 3.077 20 30 DGEDMN C=CCOCCCN[C@@H](c1ccn(C)n1)c1ccc(Cl)cc1 ZINC000658206420 436401288 /nfs/dbraw/zinc/40/12/88/436401288.db2.gz NYIPXUCUVUYRGU-QGZVFWFLSA-N 0 1 319.836 3.345 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1CNc1nccc2cc(OC)c(OC)cc21 ZINC000664032249 436548259 /nfs/dbraw/zinc/54/82/59/436548259.db2.gz LZTVDFFGULLQGJ-ZFWWWQNUSA-N 0 1 314.385 3.255 20 30 DGEDMN C[C@H](NCc1cnn(CC(F)(F)F)c1)c1cccc(C#N)c1 ZINC000540595482 313967707 /nfs/dbraw/zinc/96/77/07/313967707.db2.gz WKGASAZVDLGMDW-NSHDSACASA-N 0 1 308.307 3.168 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2NCc1cnc(C2CC2)nc1 ZINC000562811725 315054819 /nfs/dbraw/zinc/05/48/19/315054819.db2.gz CSORSKCGHJJJBR-GOSISDBHSA-N 0 1 304.397 3.393 20 30 DGEDMN CC(C)c1cccc(CNCc2cnc3c(C#N)cnn3c2)c1 ZINC000563148911 315083751 /nfs/dbraw/zinc/08/37/51/315083751.db2.gz CLIIRTXUAXYSLQ-UHFFFAOYSA-N 0 1 305.385 3.014 20 30 DGEDMN CCOc1cccc([C@H](C)NCC(=O)Nc2ccc(C#N)cc2)c1 ZINC000180704620 323236555 /nfs/dbraw/zinc/23/65/55/323236555.db2.gz FEKAXBHPKCRCJC-AWEZNQCLSA-N 0 1 323.396 3.246 20 30 DGEDMN Cc1csc([C@@H](C)NCCC(=O)Nc2ccc(C#N)cc2)n1 ZINC000184148625 323357070 /nfs/dbraw/zinc/35/70/70/323357070.db2.gz DPMQXNYJLPYOEF-GFCCVEGCSA-N 0 1 314.414 3.003 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H](CC3CC3)C2)cc1Cl ZINC000582799463 324276838 /nfs/dbraw/zinc/27/68/38/324276838.db2.gz QGBLZJHQPGLUGP-ZDUSSCGKSA-N 0 1 317.820 3.272 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(Oc2ccc(F)cc2)cc1 ZINC000559238721 331999493 /nfs/dbraw/zinc/99/94/93/331999493.db2.gz JUPPMXFIQKLILK-UHFFFAOYSA-N 0 1 314.360 3.010 20 30 DGEDMN C=C(C)CN(C)CC(=O)N[C@@H](c1ccc(OC)cc1)C(C)C ZINC000181328564 332191823 /nfs/dbraw/zinc/19/18/23/332191823.db2.gz CQWKUJXSZMAYSW-GOSISDBHSA-N 0 1 304.434 3.016 20 30 DGEDMN C=CCCS(=O)(=O)Nc1cc(OC)ccc1OCCCC ZINC000120053078 332254132 /nfs/dbraw/zinc/25/41/32/332254132.db2.gz LVTWNTAEJOUJBT-UHFFFAOYSA-N 0 1 313.419 3.192 20 30 DGEDMN N#CCCC1CCN([C@@H](C(=O)NC2CC2)c2ccccc2)CC1 ZINC000570958610 333466168 /nfs/dbraw/zinc/46/61/68/333466168.db2.gz HKSDCOSWAVWJCJ-GOSISDBHSA-N 0 1 311.429 3.022 20 30 DGEDMN CCCN(CC(=O)Nc1ccccc1OC)[C@@H](C)CCC#N ZINC000298003003 334245679 /nfs/dbraw/zinc/24/56/79/334245679.db2.gz YCYXGXNLYFTPOB-AWEZNQCLSA-N 0 1 303.406 3.038 20 30 DGEDMN N#C[C@H](C(=O)c1sccc1Br)c1ccccn1 ZINC000048540497 334288566 /nfs/dbraw/zinc/28/85/66/334288566.db2.gz GXVUNXGLQHQHHX-QMMMGPOBSA-N 0 1 307.172 3.396 20 30 DGEDMN N#CC(C(=O)c1sccc1Br)c1ccccn1 ZINC000048540497 334288568 /nfs/dbraw/zinc/28/85/68/334288568.db2.gz GXVUNXGLQHQHHX-QMMMGPOBSA-N 0 1 307.172 3.396 20 30 DGEDMN C=CCN(CC=C)CCc1ncc(-c2cccc([N+](=O)[O-])c2)o1 ZINC000114723906 335818176 /nfs/dbraw/zinc/81/81/76/335818176.db2.gz OFXGIMRIJVRTSJ-UHFFFAOYSA-N 0 1 313.357 3.466 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1cc(C(C)(C)C)nn1C ZINC000532570554 336256060 /nfs/dbraw/zinc/25/60/60/336256060.db2.gz AYVUTQZAEZELNO-UHFFFAOYSA-N 0 1 320.481 3.334 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000527053054 336280967 /nfs/dbraw/zinc/28/09/67/336280967.db2.gz KNVZTEIVCCNGIE-GFCCVEGCSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000584366998 337223925 /nfs/dbraw/zinc/22/39/25/337223925.db2.gz YGGSYHUBLNTMRZ-NVXWUHKLSA-N 0 1 316.420 3.204 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccc(CO)o1)Cc1ccc2nc[nH]c2c1 ZINC000583231897 337281168 /nfs/dbraw/zinc/28/11/68/337281168.db2.gz PNQOGTCSPWFXGK-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)Cc1ccc2nc[nH]c2c1 ZINC000583231897 337281169 /nfs/dbraw/zinc/28/11/69/337281169.db2.gz PNQOGTCSPWFXGK-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc2[nH]cnc2c1 ZINC000583231897 337281170 /nfs/dbraw/zinc/28/11/70/337281170.db2.gz PNQOGTCSPWFXGK-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc2nc[nH]c2c1 ZINC000583231897 337281171 /nfs/dbraw/zinc/28/11/71/337281171.db2.gz PNQOGTCSPWFXGK-UHFFFAOYSA-N 0 1 311.385 3.227 20 30 DGEDMN COc1ccc([N+](=O)[O-])cc1CN1CCC(CCC#N)CC1 ZINC000399442114 337312574 /nfs/dbraw/zinc/31/25/74/337312574.db2.gz UMHWNGBBYKWVBR-UHFFFAOYSA-N 0 1 303.362 3.119 20 30 DGEDMN N#CCC[C@H](C#N)CN[C@@H]1C[C@H]1c1ccc(Br)s1 ZINC000514901223 337973518 /nfs/dbraw/zinc/97/35/18/337973518.db2.gz PJMJSIPHCBGABU-GMTAPVOTSA-N 0 1 324.247 3.400 20 30 DGEDMN N#CCc1cc(Cl)ccc1NC(=O)[C@@H](C1CC1)N1CCCC1 ZINC000515355090 337986775 /nfs/dbraw/zinc/98/67/75/337986775.db2.gz BSZCTBMDJDBRNL-MRXNPFEDSA-N 0 1 317.820 3.219 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](c2ccccc2Cl)C(C)C)C1=O ZINC000499605265 340040833 /nfs/dbraw/zinc/04/08/33/340040833.db2.gz IXUGGFYVXQEQMS-HZPDHXFCSA-N 0 1 306.837 3.414 20 30 DGEDMN C=CCN1CC[C@H](NC2(c3ccc(F)cc3F)CCCC2)C1=O ZINC000499614103 340041009 /nfs/dbraw/zinc/04/10/09/340041009.db2.gz ZGLRAGOMKYLNCZ-INIZCTEOSA-N 0 1 320.383 3.111 20 30 DGEDMN C=C(C)CN(CCOc1cccc(F)c1)Cc1ccc(CO)o1 ZINC000512197562 340351971 /nfs/dbraw/zinc/35/19/71/340351971.db2.gz SPTCXNLOJQBZTK-UHFFFAOYSA-N 0 1 319.376 3.368 20 30 DGEDMN N#CCC[C@H](C#N)CNCCc1cccc(Br)c1 ZINC000521217612 340483677 /nfs/dbraw/zinc/48/36/77/340483677.db2.gz DXSCAWRAWCHZKO-CYBMUJFWSA-N 0 1 306.207 3.025 20 30 DGEDMN C=CC1CCN(CC(=O)NCCCSc2ccccc2)CC1 ZINC000523610492 340629814 /nfs/dbraw/zinc/62/98/14/340629814.db2.gz YCQFJEPWQYYUOO-UHFFFAOYSA-N 0 1 318.486 3.183 20 30 DGEDMN C=CCN(CC=C)C(=O)CNC(C)(C)c1cccc(Cl)c1 ZINC000538214277 340813556 /nfs/dbraw/zinc/81/35/56/340813556.db2.gz OFRRXOYVBPAKOG-UHFFFAOYSA-N 0 1 306.837 3.365 20 30 DGEDMN N#CCC[C@H](NCc1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000130411259 341021159 /nfs/dbraw/zinc/02/11/59/341021159.db2.gz QNXSMOMAMYBOCT-IBGZPJMESA-N 0 1 317.396 3.402 20 30 DGEDMN CC(C)(C#N)CCN1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000130937258 341054516 /nfs/dbraw/zinc/05/45/16/341054516.db2.gz POKRYALIXDDABX-UHFFFAOYSA-N 0 1 305.853 3.397 20 30 DGEDMN CC(C)CCN(CCC#N)CCC(=O)N(C)c1ccccc1 ZINC000135119937 341231717 /nfs/dbraw/zinc/23/17/17/341231717.db2.gz RMJJASOUDUXCEO-UHFFFAOYSA-N 0 1 301.434 3.301 20 30 DGEDMN N#CCSCCCN(Cc1ccccc1[N+](=O)[O-])C1CC1 ZINC000135235825 341233888 /nfs/dbraw/zinc/23/38/88/341233888.db2.gz VNZQJISHLJKYQA-UHFFFAOYSA-N 0 1 305.403 3.206 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000550247547 341313300 /nfs/dbraw/zinc/31/33/00/341313300.db2.gz LBKQJBOUQRSXEU-OAHLLOKOSA-N 0 1 300.446 3.152 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc3c(c2)CCCC3=O)n1 ZINC000551790840 341351881 /nfs/dbraw/zinc/35/18/81/341351881.db2.gz YRQVWNDACGAGDS-CQSZACIVSA-N 0 1 310.378 3.461 20 30 DGEDMN C[C@H](NCc1cccc(N2CCC2=O)c1)c1ccc(C#N)c(F)c1 ZINC000442811234 533927468 /nfs/dbraw/zinc/92/74/68/533927468.db2.gz OEKKQKPBQBSNFD-ZDUSSCGKSA-N 0 1 323.371 3.285 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3cccc(C#N)c3C)[nH]n2)o1 ZINC000178373889 534182806 /nfs/dbraw/zinc/18/28/06/534182806.db2.gz HAHCBUKDCPNSHP-UHFFFAOYSA-N 0 1 306.325 3.411 20 30 DGEDMN C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H](C)N1CCCC(C)(C)C#N ZINC000429385257 534299305 /nfs/dbraw/zinc/29/93/05/534299305.db2.gz XMYWCMBLKQFZNR-HUUCEWRRSA-N 0 1 307.482 3.284 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1CCC(F)(F)CC1 ZINC001505698543 1186126950 /nfs/dbraw/zinc/12/69/50/1186126950.db2.gz QXKOXBHIOLWGHW-QWRGUYRKSA-N 0 1 308.800 3.047 20 30 DGEDMN C=CC[C@H](C(=O)N1C[C@@H](C)N(CC)C[C@@H]1C)c1ccccc1 ZINC000489590011 534326201 /nfs/dbraw/zinc/32/62/01/534326201.db2.gz WJGWUQMUQOTYCP-RYRKJORJSA-N 0 1 300.446 3.287 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N[C@@H](C)Cc1ccccc1C ZINC000489407519 534558100 /nfs/dbraw/zinc/55/81/00/534558100.db2.gz QCJHTMCZZCUZTM-FUHWJXTLSA-N 0 1 300.446 3.083 20 30 DGEDMN N#CCCOCCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000339097294 534650275 /nfs/dbraw/zinc/65/02/75/534650275.db2.gz SQDMBHXMOZCZGT-UHFFFAOYSA-N 0 1 306.356 3.374 20 30 DGEDMN C=CCCCC(=O)NCc1nc2cccc(Br)c2[nH]1 ZINC000457029175 534661294 /nfs/dbraw/zinc/66/12/94/534661294.db2.gz GVLFERQWXBVPCP-UHFFFAOYSA-N 0 1 322.206 3.298 20 30 DGEDMN O=C(N[C@@H]1C[C@H]1C1CCCCC1)N[C@@H]1CCCc2cn[nH]c21 ZINC000330148816 534761886 /nfs/dbraw/zinc/76/18/86/534761886.db2.gz JRDSRIXRIFKFRN-RRFJBIMHSA-N 0 1 302.422 3.260 20 30 DGEDMN Cc1csc(-c2cccc(C(=O)[C@H](C#N)c3ccncn3)c2)n1 ZINC000354464719 534762249 /nfs/dbraw/zinc/76/22/49/534762249.db2.gz KNROHZDTQFWWKO-CQSZACIVSA-N 0 1 320.377 3.399 20 30 DGEDMN Cc1csc(-c2cccc(C(=O)C(C#N)c3ccncn3)c2)n1 ZINC000354464719 534762252 /nfs/dbraw/zinc/76/22/52/534762252.db2.gz KNROHZDTQFWWKO-CQSZACIVSA-N 0 1 320.377 3.399 20 30 DGEDMN Cc1cccc2nc(CCC(=O)Nc3ccc(F)c(C#N)c3)[nH]c21 ZINC000176204766 534771782 /nfs/dbraw/zinc/77/17/82/534771782.db2.gz IWBLDPLYLNZWKP-UHFFFAOYSA-N 0 1 322.343 3.453 20 30 DGEDMN N#Cc1cccc(-c2csc([C@H]3CN4CCC[C@H]4CO3)n2)c1 ZINC000317795254 534801328 /nfs/dbraw/zinc/80/13/28/534801328.db2.gz ZQLZIKHNGKSWMR-GOEBONIOSA-N 0 1 311.410 3.217 20 30 DGEDMN CC#CCCN1CCC[C@@H](NC(=O)c2cc3ccccc3o2)C1 ZINC000360487833 526308147 /nfs/dbraw/zinc/30/81/47/526308147.db2.gz ASQSVFNTBOOELR-MRXNPFEDSA-N 0 1 310.397 3.040 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1ccc(OC)c([N+](=O)[O-])c1 ZINC000352702550 526327803 /nfs/dbraw/zinc/32/78/03/526327803.db2.gz YYVDCXRFJDDJMG-UHFFFAOYSA-N 0 1 316.357 3.427 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000339241542 526356858 /nfs/dbraw/zinc/35/68/58/526356858.db2.gz FWAHUKZMTZTYII-UHFFFAOYSA-N 0 1 309.291 3.257 20 30 DGEDMN C=CCN(Cc1n[nH]c(C(C)(C)C)n1)Cc1ccc(OC)cc1 ZINC000432946858 526381316 /nfs/dbraw/zinc/38/13/16/526381316.db2.gz YKEWCEGOUSIIAN-UHFFFAOYSA-N 0 1 314.433 3.299 20 30 DGEDMN C=CCN1CC[C@@H](N[C@@H](CC)c2ccccc2OC(F)F)C1=O ZINC000337167609 526484514 /nfs/dbraw/zinc/48/45/14/526484514.db2.gz AVGQRNFAEAWIOL-UONOGXRCSA-N 0 1 324.371 3.116 20 30 DGEDMN C=CCN1CC[C@H](N(C)CCSc2ccc(C)c(C)c2)C1=O ZINC000337224312 526501412 /nfs/dbraw/zinc/50/14/12/526501412.db2.gz NJDUIKKSAQSRIF-KRWDZBQOSA-N 0 1 318.486 3.114 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(Cc1cccc(O)c1)CC1CC1 ZINC000112978861 526521063 /nfs/dbraw/zinc/52/10/63/526521063.db2.gz SHMFZXIKTZQOTN-UHFFFAOYSA-N 0 1 316.445 3.029 20 30 DGEDMN C=CCNC(=O)CN(Cc1ccccc1O)[C@@H](C)c1ccccc1 ZINC000176677282 526527455 /nfs/dbraw/zinc/52/74/55/526527455.db2.gz LLPQXHXSPOWLNN-INIZCTEOSA-N 0 1 324.424 3.258 20 30 DGEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429125346 526633875 /nfs/dbraw/zinc/63/38/75/526633875.db2.gz CBSYTHRKELXGPO-SWLSCSKDSA-N 0 1 324.877 3.197 20 30 DGEDMN C=CCOCC(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000354567110 526707052 /nfs/dbraw/zinc/70/70/52/526707052.db2.gz WSKRBWRXBZUAGW-INIZCTEOSA-N 0 1 324.852 3.042 20 30 DGEDMN C=CCOCCN(C)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O ZINC000338926457 526769529 /nfs/dbraw/zinc/76/95/29/526769529.db2.gz PEVYWUQDPIIVTP-HUUCEWRRSA-N 0 1 316.228 3.086 20 30 DGEDMN C=CCOCCN1CCc2cc(OCC)c(OCC)cc2C1 ZINC000338893469 526773108 /nfs/dbraw/zinc/77/31/08/526773108.db2.gz UWIJRUXYTRWQHN-UHFFFAOYSA-N 0 1 305.418 3.045 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1c1nc(Cc2nc3cccc(C)c3[nH]2)no1 ZINC000346624535 526785102 /nfs/dbraw/zinc/78/51/02/526785102.db2.gz BECVLUUJOQJODL-SJCJKPOMSA-N 0 1 324.384 3.294 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)cc1 ZINC000160287296 526853780 /nfs/dbraw/zinc/85/37/80/526853780.db2.gz JWWQTSYTKKYRNU-UHFFFAOYSA-N 0 1 324.340 3.190 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2c2cc(C)ccc2C)CC1 ZINC000160627290 526918361 /nfs/dbraw/zinc/91/83/61/526918361.db2.gz JRJIMBTTXHNOCC-HXUWFJFHSA-N 0 1 324.468 3.312 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2cc(C)ccc2C)CC1 ZINC000160627172 526921159 /nfs/dbraw/zinc/92/11/59/526921159.db2.gz JRJIMBTTXHNOCC-FQEVSTJZSA-N 0 1 324.468 3.312 20 30 DGEDMN C=CCC1(C(=O)NCc2ccc(CN(C)C)c(F)c2)CCC1 ZINC000359935306 527056638 /nfs/dbraw/zinc/05/66/38/527056638.db2.gz ONCIYPIXTQJFDE-UHFFFAOYSA-N 0 1 304.409 3.250 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](c2ccc(F)cc2)N(C)C)CC1 ZINC000354820238 527089973 /nfs/dbraw/zinc/08/99/73/527089973.db2.gz QHCOEDZLCVWQMF-INIZCTEOSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CSCCN1Cc1ccccc1 ZINC000346668553 527108055 /nfs/dbraw/zinc/10/80/55/527108055.db2.gz IVYTUZBCUGOVLB-KRWDZBQOSA-N 0 1 318.486 3.077 20 30 DGEDMN C=CC[C@@H]1N(C(=O)c2n[nH]c(CC)c2[N+](=O)[O-])CCCC1(C)C ZINC000331293938 527118219 /nfs/dbraw/zinc/11/82/19/527118219.db2.gz MAOKVIWTJOFTKD-LBPRGKRZSA-N 0 1 320.393 3.087 20 30 DGEDMN C=CC[C@H](CO)CNC(=O)c1cc(Cl)c2ccccc2c1O ZINC000436620068 527182433 /nfs/dbraw/zinc/18/24/33/527182433.db2.gz ZFZMKYOWQCNIJI-NSHDSACASA-N 0 1 319.788 3.113 20 30 DGEDMN CC(C)(COc1ncccc1C(F)(F)F)NCC1(C#N)CC1 ZINC000355256917 527224655 /nfs/dbraw/zinc/22/46/55/527224655.db2.gz VOFDKOJAPRNUEE-UHFFFAOYSA-N 0 1 313.323 3.151 20 30 DGEDMN C=CCCC[C@H](C)NC(=O)N1CCC(c2cn[nH]c2C)CC1 ZINC000367408923 527285807 /nfs/dbraw/zinc/28/58/07/527285807.db2.gz AOVNITVINYCSGK-ZDUSSCGKSA-N 0 1 304.438 3.352 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccccc1SC[C@@H](C)C#N ZINC000342736676 527321039 /nfs/dbraw/zinc/32/10/39/527321039.db2.gz KGKNPLXUIBNKOF-AWEZNQCLSA-N 0 1 317.458 3.385 20 30 DGEDMN C=CCCN(C)[C@H](C)C(=O)Nc1ccc(OC(F)(F)F)cc1 ZINC000342736445 527323518 /nfs/dbraw/zinc/32/35/18/527323518.db2.gz QMYIKQWBIPGHSF-LLVKDONJSA-N 0 1 316.323 3.420 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cc(-c2ccccc2)no1 ZINC000348132146 527331718 /nfs/dbraw/zinc/33/17/18/527331718.db2.gz HHGCTMFISWFROG-UHFFFAOYSA-N 0 1 314.370 3.117 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1coc(-c2cccs2)n1 ZINC000348132214 527333869 /nfs/dbraw/zinc/33/38/69/527333869.db2.gz IMKPGTUINWIBRF-UHFFFAOYSA-N 0 1 320.399 3.179 20 30 DGEDMN C=CCCS(=O)(=O)Nc1nn([C@@H](C)c2ccccc2)cc1C ZINC000349314658 527420198 /nfs/dbraw/zinc/42/01/98/527420198.db2.gz RNQLHJNHNSAGOB-AWEZNQCLSA-N 0 1 319.430 3.119 20 30 DGEDMN C=C[C@@H](CO)NCc1ccc(-c2ccc([N+](=O)[O-])cc2Cl)o1 ZINC000352446371 527440854 /nfs/dbraw/zinc/44/08/54/527440854.db2.gz CHFOSSOOBOFZQO-JTQLQIEISA-N 0 1 322.748 3.145 20 30 DGEDMN CC(C)(C#N)C(=O)Nc1cc(Cl)cc(Br)c1O ZINC000174921489 527451214 /nfs/dbraw/zinc/45/12/14/527451214.db2.gz CTAUSLUMMBSVHW-UHFFFAOYSA-N 0 1 317.570 3.296 20 30 DGEDMN C#Cc1ccccc1CC(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000289813367 527464078 /nfs/dbraw/zinc/46/40/78/527464078.db2.gz OPQASSMRMKHGBW-GOSISDBHSA-N 0 1 324.424 3.003 20 30 DGEDMN CC(C)(C#N)CCN(Cc1cccs1)[C@@H]1C=C[C@@H](CO)C1 ZINC000347349556 527465301 /nfs/dbraw/zinc/46/53/01/527465301.db2.gz WDTRRAGXLRJHBY-HUUCEWRRSA-N 0 1 304.459 3.427 20 30 DGEDMN C(#Cc1ccccc1)CN1CC[C@H](n2ncc3ccccc32)C1 ZINC000365256568 527465874 /nfs/dbraw/zinc/46/58/74/527465874.db2.gz OEBXIRXGRYICGX-IBGZPJMESA-N 0 1 301.393 3.335 20 30 DGEDMN CC(C)(C#N)CCN[C@H](CO)c1ccccc1OC(F)(F)F ZINC000347113102 527468025 /nfs/dbraw/zinc/46/80/25/527468025.db2.gz SBTINWHQDNQKJO-GFCCVEGCSA-N 0 1 316.323 3.148 20 30 DGEDMN C=CCC[C@H](NCc1ccc(OCCO)cc1)c1ccco1 ZINC000353387787 527485153 /nfs/dbraw/zinc/48/51/53/527485153.db2.gz PKAKMXMEXQSTEH-KRWDZBQOSA-N 0 1 301.386 3.448 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)Nc2ccc3[nH]nnc3c2)cc1 ZINC000356264437 527492680 /nfs/dbraw/zinc/49/26/80/527492680.db2.gz DDHLHHWRUZHADL-UHFFFAOYSA-N 0 1 305.341 3.011 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000135487729 527522856 /nfs/dbraw/zinc/52/28/56/527522856.db2.gz WJXXEZGABIOSMZ-WMZOPIPTSA-N 0 1 304.434 3.016 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C1CC1)[C@@H]1CCc2ccccc21 ZINC000344469561 527605080 /nfs/dbraw/zinc/60/50/80/527605080.db2.gz GTTIBXDWYWVRFI-LJQANCHMSA-N 0 1 310.441 3.339 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(Cc1ccc(F)cc1)CC1CC1 ZINC000348633438 527608208 /nfs/dbraw/zinc/60/82/08/527608208.db2.gz PPQCAEGNQXEEAO-UHFFFAOYSA-N 0 1 316.420 3.238 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000175861881 528122309 /nfs/dbraw/zinc/12/23/09/528122309.db2.gz DDODYVDYODJSMR-UHFFFAOYSA-N 0 1 324.509 3.450 20 30 DGEDMN CC[NH+](CC)Cc1ccc(C([O-])=C(C#N)c2ccccn2)cc1 ZINC000347754488 528188258 /nfs/dbraw/zinc/18/82/58/528188258.db2.gz IULHAVKUECRSND-QGZVFWFLSA-N 0 1 307.397 3.413 20 30 DGEDMN CCOc1cc(Cl)ccc1C(=O)[C@H](C#N)c1ccncn1 ZINC000354474930 528293282 /nfs/dbraw/zinc/29/32/82/528293282.db2.gz XJEOMGDDTOELEX-GFCCVEGCSA-N 0 1 301.733 3.019 20 30 DGEDMN CCOc1cc(Cl)ccc1C(=O)C(C#N)c1ccncn1 ZINC000354474930 528293286 /nfs/dbraw/zinc/29/32/86/528293286.db2.gz XJEOMGDDTOELEX-GFCCVEGCSA-N 0 1 301.733 3.019 20 30 DGEDMN CCN(CCC(=O)N[C@H]1CCCc2ccccc21)C[C@H](C)C#N ZINC000356471143 528398737 /nfs/dbraw/zinc/39/87/37/528398737.db2.gz HMMBAOSLBNCVNQ-QAPCUYQASA-N 0 1 313.445 3.052 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CC)[C@H](C)c1ccc(F)cc1 ZINC000342546937 528619466 /nfs/dbraw/zinc/61/94/66/528619466.db2.gz PGLNQBGNUILJKD-LSDHHAIUSA-N 0 1 319.424 3.217 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H](C)c1ccc(C)cc1C ZINC000341235734 528689176 /nfs/dbraw/zinc/68/91/76/528689176.db2.gz HAWOUPMALKUSFM-NVXWUHKLSA-N 0 1 315.461 3.304 20 30 DGEDMN CC(C)N(CC(=O)N1CCCCCC1)Cc1ccc(C#N)cc1 ZINC000299405255 528857990 /nfs/dbraw/zinc/85/79/90/528857990.db2.gz XGVYBBAFZFDRQL-UHFFFAOYSA-N 0 1 313.445 3.171 20 30 DGEDMN CCS[C@@H]1CC[C@H](N(C)C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000330123554 529220033 /nfs/dbraw/zinc/22/00/33/529220033.db2.gz HRENWRAIUKJSRC-BFHYXJOUSA-N 0 1 322.478 3.307 20 30 DGEDMN CC[C@@H](O)CN(CC#Cc1ccc(F)cc1)Cc1ccccc1 ZINC000441116094 529224578 /nfs/dbraw/zinc/22/45/78/529224578.db2.gz PFPVZGMIOPVYQJ-HXUWFJFHSA-N 0 1 311.400 3.450 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)c1cccc(-n2cccc2)c1 ZINC000073973962 545719688 /nfs/dbraw/zinc/71/96/88/545719688.db2.gz MHIZMEONYYXOCK-UHFFFAOYSA-N 0 1 319.324 3.492 20 30 DGEDMN C=CCCNCc1ncc(Br)cc1Br ZINC000168517235 546098134 /nfs/dbraw/zinc/09/81/34/546098134.db2.gz ZFNFOVUVCXEDLP-UHFFFAOYSA-N 0 1 320.028 3.272 20 30 DGEDMN CCC[C@]1(NCc2ccc(Br)cc2C#N)CCOC1 ZINC000381246308 546739026 /nfs/dbraw/zinc/73/90/26/546739026.db2.gz SZQVTKYYVVHZHR-HNNXBMFYSA-N 0 1 323.234 3.370 20 30 DGEDMN C=CCOc1ccc(CN2C[C@H](C)C(O)[C@@H](C)C2)cc1Cl ZINC000668553702 547478455 /nfs/dbraw/zinc/47/84/55/547478455.db2.gz GNKISLSUQUVWCX-STQMWFEESA-N 0 1 309.837 3.354 20 30 DGEDMN C=C(CNC(=O)Nc1cnn(-c2ccncc2)c1)c1ccccc1 ZINC000672684084 547902997 /nfs/dbraw/zinc/90/29/97/547902997.db2.gz QWMVPHQTCKFHJK-UHFFFAOYSA-N 0 1 319.368 3.102 20 30 DGEDMN COCC#CCN1CCC(Oc2ccc(C(C)C)cc2)CC1 ZINC000677818539 548561742 /nfs/dbraw/zinc/56/17/42/548561742.db2.gz RDKVWVLIUIIEQL-UHFFFAOYSA-N 0 1 301.430 3.303 20 30 DGEDMN C=CCOc1ccc(CNCc2nn(C)c3ccccc23)cc1 ZINC000680545344 549067294 /nfs/dbraw/zinc/06/72/94/549067294.db2.gz RQLOCNVPOLICQA-UHFFFAOYSA-N 0 1 307.397 3.428 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2cc3cccc(F)c3o2)nc(C)n1 ZINC000682126839 549293632 /nfs/dbraw/zinc/29/36/32/549293632.db2.gz AWPJVUSPKZOUOO-GFCCVEGCSA-N 0 1 309.300 3.469 20 30 DGEDMN CCN(CC(=O)NCCC#N)C(c1ccccc1)c1ccccc1 ZINC000730262137 574523096 /nfs/dbraw/zinc/52/30/96/574523096.db2.gz YIMPNCAAARTOSO-UHFFFAOYSA-N 0 1 321.424 3.128 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2cc(C)cc3cn[nH]c32)c1 ZINC000731012189 574541569 /nfs/dbraw/zinc/54/15/69/574541569.db2.gz IXTVLARLNZOWFG-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN CCc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)s1 ZINC000731149371 574544012 /nfs/dbraw/zinc/54/40/12/574544012.db2.gz XJNKWYMEUQSLKC-UHFFFAOYSA-N 0 1 306.338 3.092 20 30 DGEDMN COc1ccc(C[N@@H+](C)Cc2ccc(OCC#N)cc2)cc1F ZINC000731210402 574545304 /nfs/dbraw/zinc/54/53/04/574545304.db2.gz KYYPPVLCAGDGNP-UHFFFAOYSA-N 0 1 314.360 3.369 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(OCC#N)cc2)cc1F ZINC000731210402 574545305 /nfs/dbraw/zinc/54/53/05/574545305.db2.gz KYYPPVLCAGDGNP-UHFFFAOYSA-N 0 1 314.360 3.369 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CCc1ccccc1F ZINC000731671839 574555348 /nfs/dbraw/zinc/55/53/48/574555348.db2.gz WRDBNPJPARIAOP-HNNXBMFYSA-N 0 1 306.425 3.113 20 30 DGEDMN Cc1nn(-c2ccccc2)c(C)c1C=NNc1cc(C)ncn1 ZINC000731935380 574562806 /nfs/dbraw/zinc/56/28/06/574562806.db2.gz WJBRNKPQJJRALG-UHFFFAOYSA-N 0 1 306.373 3.034 20 30 DGEDMN Cc1nc(CN=Nc2cnnc3ccccc23)c2ccccn12 ZINC000733748035 574636389 /nfs/dbraw/zinc/63/63/89/574636389.db2.gz CLMVTUCSZPOBLU-UHFFFAOYSA-N 0 1 302.341 3.032 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000733748043 574636452 /nfs/dbraw/zinc/63/64/52/574636452.db2.gz CXIKQKOMXCNWRJ-ZDUSSCGKSA-N 0 1 317.352 3.367 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000733748042 574636693 /nfs/dbraw/zinc/63/66/93/574636693.db2.gz CXIKQKOMXCNWRJ-CYBMUJFWSA-N 0 1 317.352 3.367 20 30 DGEDMN C#CCCOc1ccc(CN[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000734852905 574679007 /nfs/dbraw/zinc/67/90/07/574679007.db2.gz ZTTHESFFARPBGZ-LJQANCHMSA-N 0 1 321.424 3.354 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@H]3COc4ccccc43)[nH]c21 ZINC000735793494 574715467 /nfs/dbraw/zinc/71/54/67/574715467.db2.gz UGAGJTPWXPMGLP-KGLIPLIRSA-N 0 1 317.348 3.224 20 30 DGEDMN Cc1cccc2[nH]c(C(C#N)C(=O)[C@H]3COc4ccccc43)nc21 ZINC000735793494 574715468 /nfs/dbraw/zinc/71/54/68/574715468.db2.gz UGAGJTPWXPMGLP-KGLIPLIRSA-N 0 1 317.348 3.224 20 30 DGEDMN C#CCN1CCC(C(=O)OCc2cccc3ccccc32)CC1 ZINC000735939925 574721733 /nfs/dbraw/zinc/72/17/33/574721733.db2.gz MEWLDLWLSQHEJM-UHFFFAOYSA-N 0 1 307.393 3.228 20 30 DGEDMN CC(=[NH+][N-]c1cccnn1)c1ccc(N2CCCCC2)c(F)c1 ZINC000735975187 574724991 /nfs/dbraw/zinc/72/49/91/574724991.db2.gz VHFVHZYHSPQBIW-UHFFFAOYSA-N 0 1 313.380 3.442 20 30 DGEDMN C#CCN(C(=O)c1cnc(-c2ccccc2)[nH]1)C1CCCCC1 ZINC000736072363 574730152 /nfs/dbraw/zinc/73/01/52/574730152.db2.gz HADWSZHIYRLWTD-UHFFFAOYSA-N 0 1 307.397 3.485 20 30 DGEDMN CC(C)[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000736394917 574747645 /nfs/dbraw/zinc/74/76/45/574747645.db2.gz LIGXLBNEJRYLKE-DOTOQJQBSA-N 0 1 300.402 3.442 20 30 DGEDMN CCCCC(CC)N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC000736436929 574751305 /nfs/dbraw/zinc/75/13/05/574751305.db2.gz BLXSSSJVOYCVGQ-UHFFFAOYSA-N 0 1 305.386 3.346 20 30 DGEDMN CCc1ccc(C[NH2+][C@@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC000737265861 574836146 /nfs/dbraw/zinc/83/61/46/574836146.db2.gz VADBUKOBWGRSQQ-OAHLLOKOSA-N 0 1 300.383 3.098 20 30 DGEDMN C[N@@H+](CCCc1ccccc1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737441137 574844024 /nfs/dbraw/zinc/84/40/24/574844024.db2.gz SBQYVEYTYRKREV-SFHVURJKSA-N 0 1 308.381 3.249 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3)C2)cc1 ZINC000739106218 574892344 /nfs/dbraw/zinc/89/23/44/574892344.db2.gz QYBATQCSUIBEHE-MSOLQXFVSA-N 0 1 306.365 3.173 20 30 DGEDMN CN(C)c1nc(=NN=C2c3ccccc3-c3ccccc32)cc[nH]1 ZINC000739418284 574902603 /nfs/dbraw/zinc/90/26/03/574902603.db2.gz MEPXPWQUQPEUKJ-UHFFFAOYSA-N 0 1 315.380 3.388 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(C#N)cc1F)N1[C@H](C)CC[C@H]1C ZINC000741023344 574948799 /nfs/dbraw/zinc/94/87/99/574948799.db2.gz WCYZOPZVGUNCDP-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(F)cc1C#N)N1[C@H](C)CC[C@H]1C ZINC000741016958 574949024 /nfs/dbraw/zinc/94/90/24/574949024.db2.gz KJLQQBOKNZGDSB-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(F)cc1C#N)N1CCCCCC1 ZINC000741028913 574949219 /nfs/dbraw/zinc/94/92/19/574949219.db2.gz KZELBWHUWVFQSU-CYBMUJFWSA-N 0 1 304.365 3.005 20 30 DGEDMN COCc1cccc(CN=Nc2nc3cc(F)c(F)cc3[nH]2)c1 ZINC000743433977 575050753 /nfs/dbraw/zinc/05/07/53/575050753.db2.gz IBOIRIVFNGVDCX-UHFFFAOYSA-N 0 1 316.311 3.434 20 30 DGEDMN Fc1cccc(NN=Cc2nccn2CC(F)(F)F)c1F ZINC000743443298 575051835 /nfs/dbraw/zinc/05/18/35/575051835.db2.gz VPPDQWMPULTYFK-UHFFFAOYSA-N 0 1 304.222 3.170 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c[nH]c3cccc(C)c23)nc(C)n1 ZINC000729067199 575213413 /nfs/dbraw/zinc/21/34/13/575213413.db2.gz BKVINVJZDAKLER-OAHLLOKOSA-N 0 1 318.380 3.302 20 30 DGEDMN N#Cc1ccc(NC(=O)CN(CCc2ccccc2)C2CC2)cc1 ZINC000746118820 575433874 /nfs/dbraw/zinc/43/38/74/575433874.db2.gz FPCYEPGCGMCVGB-UHFFFAOYSA-N 0 1 319.408 3.204 20 30 DGEDMN N#Cc1ccc(CN2CCC(=NOCc3cccnc3)CC2)cc1 ZINC000746587429 575463428 /nfs/dbraw/zinc/46/34/28/575463428.db2.gz BVKRGDDSWRPYAN-UHFFFAOYSA-N 0 1 320.396 3.122 20 30 DGEDMN CC(N=Nc1ccc(-n2cccn2)nn1)c1coc2ccccc21 ZINC000747753684 575539200 /nfs/dbraw/zinc/53/92/00/575539200.db2.gz TWNGRVPILOQYCF-UHFFFAOYSA-N 0 1 318.340 3.245 20 30 DGEDMN CCCCCCCC(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000747985376 575555037 /nfs/dbraw/zinc/55/50/37/575555037.db2.gz IHBBIRQDWYOOJT-KRWDZBQOSA-N 0 1 324.509 3.497 20 30 DGEDMN N#Cc1cccnc1NCCNc1ccnc2cc(F)ccc21 ZINC000749274907 575641479 /nfs/dbraw/zinc/64/14/79/575641479.db2.gz VXEBFDJWPPMCSZ-UHFFFAOYSA-N 0 1 307.332 3.165 20 30 DGEDMN CCc1nc2ccccc2n1N=Cc1cc(F)c(O)c(F)c1 ZINC000749859974 575683806 /nfs/dbraw/zinc/68/38/06/575683806.db2.gz SIDHJTKUOKTXMY-UHFFFAOYSA-N 0 1 301.296 3.465 20 30 DGEDMN O=C1c2cc(F)ccc2OC/C1=C/c1cc(F)c(O)c(F)c1 ZINC000749894161 575685514 /nfs/dbraw/zinc/68/55/14/575685514.db2.gz OZCDGBLOWYHHKT-OQFOIZHKSA-N 0 1 306.239 3.468 20 30 DGEDMN O=[N+]([O-])c1ccc(O)cc1C=NNCc1ccccc1Cl ZINC000751131084 575771478 /nfs/dbraw/zinc/77/14/78/575771478.db2.gz PRWPCNNWOXEOGB-UHFFFAOYSA-N 0 1 305.721 3.078 20 30 DGEDMN O=C1c2cc(F)cc(F)c2C/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000751536511 575789828 /nfs/dbraw/zinc/78/98/28/575789828.db2.gz RPKGWQCKPREKDM-YCRREMRBSA-N 0 1 317.247 3.401 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC(c2ccc(F)cc2)CC1 ZINC000752092760 575822346 /nfs/dbraw/zinc/82/23/46/575822346.db2.gz DQVFDYARDWIFRM-UHFFFAOYSA-N 0 1 316.420 3.206 20 30 DGEDMN COc1cc(C(C)=NNCCN2CCCCC2)ccc1SC ZINC000747611013 576035516 /nfs/dbraw/zinc/03/55/16/576035516.db2.gz MECWNWHFOLFEHT-UHFFFAOYSA-N 0 1 321.490 3.217 20 30 DGEDMN Cc1nc(CN=Nc2nc(-c3ccccc3)no2)c2ccccn12 ZINC000726738029 576038777 /nfs/dbraw/zinc/03/87/77/576038777.db2.gz IVGNGZAXIFZGOH-UHFFFAOYSA-N 0 1 318.340 3.139 20 30 DGEDMN Cc1ccc(C=NNc2cnc3ccccc3n2)cc1[N+](=O)[O-] ZINC000727258499 576116385 /nfs/dbraw/zinc/11/63/85/576116385.db2.gz MQDINRHPECSZBS-UHFFFAOYSA-N 0 1 307.313 3.292 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN=Nc2nc3ccccc3n2C)cc1 ZINC000727684708 576139145 /nfs/dbraw/zinc/13/91/45/576139145.db2.gz LFJLIYCIHWPGGC-ZDUSSCGKSA-N 0 1 319.368 3.310 20 30 DGEDMN COc1ccc(C(C)=NN=c2[nH]c3ccccc3n2C)cc1OC ZINC000728776381 576201150 /nfs/dbraw/zinc/20/11/50/576201150.db2.gz QGRXVXMEEOKQLI-UHFFFAOYSA-N 0 1 324.384 3.427 20 30 DGEDMN CCOCC(=O)C(C#N)c1nc(-c2ccc(C)cc2)cs1 ZINC000916627220 620639903 /nfs/dbraw/zinc/63/99/03/620639903.db2.gz GWIFYVFATQZRRF-CYBMUJFWSA-N 0 1 300.383 3.331 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](CCN2CC[C@@](C)(C#N)C2)C1 ZINC000852686065 620641028 /nfs/dbraw/zinc/64/10/28/620641028.db2.gz FBFXWUYOADPVCR-YJBOKZPZSA-N 0 1 321.465 3.259 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](CCN2CC[C@](C)(C#N)C2)C1 ZINC000852686063 620641206 /nfs/dbraw/zinc/64/12/06/620641206.db2.gz FBFXWUYOADPVCR-MAUKXSAKSA-N 0 1 321.465 3.259 20 30 DGEDMN COC(=O)[C@@H](c1ccc(C#N)cc1)N(CCC1CC1)CC1CC1 ZINC000852717125 620642625 /nfs/dbraw/zinc/64/26/25/620642625.db2.gz DONHKXJALRYXCX-GOSISDBHSA-N 0 1 312.413 3.284 20 30 DGEDMN C#CCCN(CCOC)Cc1ccccc1Oc1cccnc1 ZINC000852751488 620646316 /nfs/dbraw/zinc/64/63/16/620646316.db2.gz FFPPVRKJSXVJIE-UHFFFAOYSA-N 0 1 310.397 3.346 20 30 DGEDMN N#Cc1cc(F)ccc1CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000852760264 620646565 /nfs/dbraw/zinc/64/65/65/620646565.db2.gz XHVYSKWMPLKKKQ-HDICACEKSA-N 0 1 300.377 3.090 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)c1ccco1 ZINC000916900193 620649284 /nfs/dbraw/zinc/64/92/84/620649284.db2.gz NOGOUHVLKKZYMG-AWEZNQCLSA-N 0 1 310.353 3.366 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)c1ccco1 ZINC000916900194 620649287 /nfs/dbraw/zinc/64/92/87/620649287.db2.gz NOGOUHVLKKZYMG-CQSZACIVSA-N 0 1 310.353 3.366 20 30 DGEDMN Cc1ccoc1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000916913207 620650007 /nfs/dbraw/zinc/65/00/07/620650007.db2.gz VFXBLUIBTAFUGU-JTQLQIEISA-N 0 1 302.717 3.203 20 30 DGEDMN COc1ccc(O)c(/C=N\C[C@@H]2CCN2Cc2ccccc2)c1 ZINC000852997940 620658560 /nfs/dbraw/zinc/65/85/60/620658560.db2.gz FKTPPCNKYQHVGQ-BRLDDBHUSA-N 0 1 310.397 3.094 20 30 DGEDMN C=CCN(CC(=O)N(C)[C@@H](C)c1ccc(F)c(F)c1)C(C)C ZINC000917167818 620659185 /nfs/dbraw/zinc/65/91/85/620659185.db2.gz BRSOJDNVXYQJJI-ZDUSSCGKSA-N 0 1 310.388 3.381 20 30 DGEDMN COc1ccc(CNCc2cnccc2C(F)(F)F)cc1C#N ZINC000853095492 620667950 /nfs/dbraw/zinc/66/79/50/620667950.db2.gz NPUUBYNRYSQBEN-UHFFFAOYSA-N 0 1 321.302 3.270 20 30 DGEDMN COCCOc1cccc(CN=Nc2nc3ccccc3[nH]2)c1 ZINC000917534232 620674712 /nfs/dbraw/zinc/67/47/12/620674712.db2.gz PWQIAVYGRHNHPN-UHFFFAOYSA-N 0 1 310.357 3.034 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cccc(C(F)(F)F)c1 ZINC000917556202 620675964 /nfs/dbraw/zinc/67/59/64/620675964.db2.gz WBEYVSWZXXVEER-UHFFFAOYSA-N 0 1 320.278 3.208 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1ccc2ccccc2c1O ZINC000917555138 620675577 /nfs/dbraw/zinc/67/55/77/620675577.db2.gz PTFRWIWBDVUAKF-UHFFFAOYSA-N 0 1 318.340 3.048 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC[C@H]2CCC[C@H](C(F)(F)F)C2)n1 ZINC000853254226 620682160 /nfs/dbraw/zinc/68/21/60/620682160.db2.gz NGUOXGNGAVOYFU-UWVGGRQHSA-N 0 1 302.300 3.257 20 30 DGEDMN C#CCCN1CCN(c2ccnc3c(F)cc(Cl)cc23)CC1 ZINC000853264831 620683330 /nfs/dbraw/zinc/68/33/30/620683330.db2.gz TURGWZKEYSHSAK-UHFFFAOYSA-N 0 1 317.795 3.173 20 30 DGEDMN Cn1ncc(C2CC2)c1CN=Nc1ccc([N+](=O)[O-])cc1Cl ZINC000853301671 620685338 /nfs/dbraw/zinc/68/53/38/620685338.db2.gz LEIQVIBKBZPREQ-UHFFFAOYSA-N 0 1 319.752 3.305 20 30 DGEDMN CC(=NNc1ccncc1Cl)c1ccc2c(c1)CCCO2 ZINC000853316752 620686122 /nfs/dbraw/zinc/68/61/22/620686122.db2.gz BPOZOXMOCJEOTE-UHFFFAOYSA-N 0 1 301.777 3.318 20 30 DGEDMN Clc1cc(N=NC2CC[N@@H+](Cc3ccccc3)C2)ccn1 ZINC000853394588 620691447 /nfs/dbraw/zinc/69/14/47/620691447.db2.gz UJDZJLKPIMNOKK-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN Clc1cc(N=NC2CCN(Cc3ccccc3)C2)ccn1 ZINC000853394588 620691448 /nfs/dbraw/zinc/69/14/48/620691448.db2.gz UJDZJLKPIMNOKK-UHFFFAOYSA-N 0 1 300.793 3.409 20 30 DGEDMN CCCn1nc(C)c(C=NNc2ccc([N+](=O)[O-])cc2F)c1C ZINC000853396209 620691977 /nfs/dbraw/zinc/69/19/77/620691977.db2.gz ADSPAZZGSLGCKA-UHFFFAOYSA-N 0 1 319.340 3.403 20 30 DGEDMN CCn1nc(C)c(C=NNc2ccc([N+](=O)[O-])cc2F)c1C ZINC000853396240 620692037 /nfs/dbraw/zinc/69/20/37/620692037.db2.gz BIZLYVDIJWJYHN-UHFFFAOYSA-N 0 1 305.313 3.013 20 30 DGEDMN N#CC1(COC(=O)c2ccc(CN3CCCCC3)o2)CCC1 ZINC000923834408 620697416 /nfs/dbraw/zinc/69/74/16/620697416.db2.gz YCAZHYZPZFJHKT-UHFFFAOYSA-N 0 1 302.374 3.116 20 30 DGEDMN Cc1cccc(C)c1[C@@H]1CCC[N@H+]1Cn1cccc(C#N)c1=O ZINC000853524076 620706038 /nfs/dbraw/zinc/70/60/38/620706038.db2.gz VWVDNPHIIDPVCO-KRWDZBQOSA-N 0 1 307.397 3.131 20 30 DGEDMN Cc1cccc(C)c1[C@@H]1CCCN1Cn1cccc(C#N)c1=O ZINC000853524076 620706041 /nfs/dbraw/zinc/70/60/41/620706041.db2.gz VWVDNPHIIDPVCO-KRWDZBQOSA-N 0 1 307.397 3.131 20 30 DGEDMN C=CC[C@H]1CCCN(CN2C[C@@H](c3ccccc3F)CC2=O)C1 ZINC000853536367 620707888 /nfs/dbraw/zinc/70/78/88/620707888.db2.gz BJFLKCQXAIIZEO-HOTGVXAUSA-N 0 1 316.420 3.387 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@@H](c2ccccc2F)CC1=O ZINC000853598133 620714085 /nfs/dbraw/zinc/71/40/85/620714085.db2.gz LOGWROUZVPANBK-HRCADAONSA-N 0 1 314.404 3.282 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)C2(CCC2)[C@@H]1c1cccnc1 ZINC000853597853 620714376 /nfs/dbraw/zinc/71/43/76/620714376.db2.gz HHQAZTMVUNDPFQ-KSZLIROESA-N 0 1 323.440 3.276 20 30 DGEDMN CCCC[C@@H](CC)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000919223145 620740595 /nfs/dbraw/zinc/74/05/95/620740595.db2.gz PLWCEHHHZDEEFV-CHWSQXEVSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCC[C@@H](CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000919223145 620740597 /nfs/dbraw/zinc/74/05/97/620740597.db2.gz PLWCEHHHZDEEFV-CHWSQXEVSA-N 0 1 322.430 3.387 20 30 DGEDMN C=C[C@@H](C)ONC(=O)[C@@H](C)c1ccc(Br)s1 ZINC000846546110 617769804 /nfs/dbraw/zinc/76/98/04/617769804.db2.gz LLKZBBCEOPRQSZ-SFYZADRCSA-N 0 1 304.209 3.236 20 30 DGEDMN C=CCN(Cc1ccccc1)[C@H]1CCC2(C[C@@H]1F)OCCO2 ZINC000891674268 617865266 /nfs/dbraw/zinc/86/52/66/617865266.db2.gz GTJXFHRMEFFBBH-IRXDYDNUSA-N 0 1 305.393 3.308 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1ncsc1C1CC1 ZINC000892420493 618061627 /nfs/dbraw/zinc/06/16/27/618061627.db2.gz SUKPDUGCZLQEJC-NSHDSACASA-N 0 1 322.393 3.397 20 30 DGEDMN Cc1nc(C(C)C)ncc1C(=O)C(C#N)c1nc2ccccc2[nH]1 ZINC000892419805 618062322 /nfs/dbraw/zinc/06/23/22/618062322.db2.gz HVKBDEKXDLUWLN-GFCCVEGCSA-N 0 1 319.368 3.275 20 30 DGEDMN C=CCn1cc(CN[C@H](C)Cc2ccccc2SCC)nn1 ZINC000926613361 618063165 /nfs/dbraw/zinc/06/31/65/618063165.db2.gz DZIVBPNXUXXOAC-CQSZACIVSA-N 0 1 316.474 3.297 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccn(CC(C)C)n3)[nH]c21 ZINC000892706240 618143770 /nfs/dbraw/zinc/14/37/70/618143770.db2.gz UDJXTAPJIVVHJH-ZDUSSCGKSA-N 0 1 321.384 3.214 20 30 DGEDMN C#Cc1cccc(NC(=O)NC[C@H]2CCN2Cc2ccccc2)c1 ZINC000854263112 620790009 /nfs/dbraw/zinc/79/00/09/620790009.db2.gz VEVNOWCBDOPEKF-LJQANCHMSA-N 0 1 319.408 3.064 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@@H](C)C(=O)Nc2ccccc2)cc1 ZINC000112099884 620791592 /nfs/dbraw/zinc/79/15/92/620791592.db2.gz FWESCRZRGGTWFB-CABCVRRESA-N 0 1 323.396 3.094 20 30 DGEDMN C[C@H](NN=Cc1ccc(N2CCOCC2)s1)c1ccccc1 ZINC000920385864 620798749 /nfs/dbraw/zinc/79/87/49/620798749.db2.gz IXAXCIGWDGZNCD-AWEZNQCLSA-N 0 1 315.442 3.269 20 30 DGEDMN CC(C)OCCOC1CCN(Cc2csc(C#N)c2)CC1 ZINC000894772323 618450697 /nfs/dbraw/zinc/45/06/97/618450697.db2.gz IDXFPRHPHQWYTM-UHFFFAOYSA-N 0 1 308.447 3.026 20 30 DGEDMN CC1(C#N)CN(Cc2cc(Cl)cc(Br)c2O)C1 ZINC000894971097 618505065 /nfs/dbraw/zinc/50/50/65/618505065.db2.gz RLUOCONBAMNTDU-UHFFFAOYSA-N 0 1 315.598 3.154 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NCc1ncc(C(=O)OC)s1 ZINC000894999158 618509245 /nfs/dbraw/zinc/50/92/45/618509245.db2.gz MDXGSVQPSGSWTP-AWEZNQCLSA-N 0 1 316.426 3.207 20 30 DGEDMN N#CC[C@@]1(O)CCN(Cc2cccc(COc3ccccc3)c2)C1 ZINC000895109284 618538926 /nfs/dbraw/zinc/53/89/26/618538926.db2.gz STDVMTZMNVGVBV-HXUWFJFHSA-N 0 1 322.408 3.116 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)OCCCCC1(C#N)CCC1 ZINC000909485688 618560422 /nfs/dbraw/zinc/56/04/22/618560422.db2.gz CREGYSDNDVAMLB-BBRMVZONSA-N 0 1 315.417 3.483 20 30 DGEDMN N#CCCCCC(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC000895315091 618593744 /nfs/dbraw/zinc/59/37/44/618593744.db2.gz XOSGSVDLJMGATO-UHFFFAOYSA-N 0 1 321.178 3.348 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccc(C)cc2)C2CCC2)CC1 ZINC000894229250 618734157 /nfs/dbraw/zinc/73/41/57/618734157.db2.gz NBLHGQBCPUQXOY-UHFFFAOYSA-N 0 1 310.441 3.226 20 30 DGEDMN C[C@]1(CNCc2cccc(F)c2C#N)OCCc2ccccc21 ZINC000895641197 618811603 /nfs/dbraw/zinc/81/16/03/618811603.db2.gz YEUWOPKEPSZXGO-LJQANCHMSA-N 0 1 310.372 3.275 20 30 DGEDMN Cc1csc(C[C@@H]2CCC[N@@H+](Cc3cccc(C#N)n3)C2)n1 ZINC000895820726 618835571 /nfs/dbraw/zinc/83/55/71/618835571.db2.gz AIKOPOBJKANHHI-AWEZNQCLSA-N 0 1 312.442 3.173 20 30 DGEDMN Cc1csc(C[C@@H]2CCCN(Cc3cccc(C#N)n3)C2)n1 ZINC000895820726 618835573 /nfs/dbraw/zinc/83/55/73/618835573.db2.gz AIKOPOBJKANHHI-AWEZNQCLSA-N 0 1 312.442 3.173 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc(OC(F)F)c(F)c2)CC1 ZINC000895835670 618839731 /nfs/dbraw/zinc/83/97/31/618839731.db2.gz KRSCGEJCXDUGCB-UHFFFAOYSA-N 0 1 315.335 3.330 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc3ccc(F)c(F)c3n2)CC1 ZINC000895834278 618839753 /nfs/dbraw/zinc/83/97/53/618839753.db2.gz JUXGHPRZZQRGEZ-UHFFFAOYSA-N 0 1 318.367 3.416 20 30 DGEDMN N#Cc1ccc2c(c1)C[C@@H](NCc1csc(C(F)(F)F)n1)C2 ZINC000895861472 618840592 /nfs/dbraw/zinc/84/05/92/618840592.db2.gz PRLGXRRUGRMANT-LBPRGKRZSA-N 0 1 323.343 3.291 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)[C@H]1CCN1C(C)(C)C ZINC000895958343 618855200 /nfs/dbraw/zinc/85/52/00/618855200.db2.gz ONTMVAWBPCZROX-QGZVFWFLSA-N 0 1 316.445 3.083 20 30 DGEDMN COC1(OC)CC2(C[N@@H+](Cc3cccc(C#N)c3)[C@H]2C(C)C)C1 ZINC000895996065 618860304 /nfs/dbraw/zinc/86/03/04/618860304.db2.gz NVBFHMFHBLZVTM-KRWDZBQOSA-N 0 1 314.429 3.168 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000896033699 618864004 /nfs/dbraw/zinc/86/40/04/618864004.db2.gz XMKALLWNCDZMKN-SFHVURJKSA-N 0 1 324.428 3.005 20 30 DGEDMN CSc1ccc(CNCc2cn(C)nc2C2CC2)cc1C#N ZINC000896047283 618865890 /nfs/dbraw/zinc/86/58/90/618865890.db2.gz ZAXBPXKTZJTBHC-UHFFFAOYSA-N 0 1 312.442 3.181 20 30 DGEDMN COc1ccccc1CN1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000896659486 618940220 /nfs/dbraw/zinc/94/02/20/618940220.db2.gz FKQMZPVMUFZNPM-KRWDZBQOSA-N 0 1 322.412 3.038 20 30 DGEDMN C[C@H](CC(C)(C)C#N)NCC(=O)N1CCCCc2ccccc21 ZINC000896925648 618986766 /nfs/dbraw/zinc/98/67/66/618986766.db2.gz LSAHPMSZCFJROG-OAHLLOKOSA-N 0 1 313.445 3.274 20 30 DGEDMN Cc1ccc(NN=Cc2c(F)cccc2OC(F)(F)F)nn1 ZINC000789003549 625319775 /nfs/dbraw/zinc/31/97/75/625319775.db2.gz AEAFNOFZZQSVAM-UHFFFAOYSA-N 0 1 314.242 3.269 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2cccc(Br)c2OC)C1 ZINC000862445280 619109439 /nfs/dbraw/zinc/10/94/39/619109439.db2.gz PMIFRDPMFQYHOY-GFCCVEGCSA-N 0 1 308.219 3.303 20 30 DGEDMN Cc1ccc(CN2CCO[C@@H](c3ccc(C#N)cc3)C2)c(O)c1 ZINC000897596603 619164691 /nfs/dbraw/zinc/16/46/91/619164691.db2.gz RMAWUKACYBEWDO-LJQANCHMSA-N 0 1 308.381 3.146 20 30 DGEDMN O=C1C=COC2(CCN(C/C=C\c3ccc(F)cc3)CC2)C1 ZINC000897602832 619165830 /nfs/dbraw/zinc/16/58/30/619165830.db2.gz WVFQEXZRARYVPK-UPHRSURJSA-N 0 1 301.361 3.177 20 30 DGEDMN C#CCCCCC(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC000899024367 619307366 /nfs/dbraw/zinc/30/73/66/619307366.db2.gz LPURRKNTPULJNS-UHFFFAOYSA-N 0 1 307.397 3.057 20 30 DGEDMN C[C@H](C(=O)NCC(F)(C(F)(F)F)C(F)(F)F)C(F)(F)F ZINC000899096263 619311314 /nfs/dbraw/zinc/31/13/14/619311314.db2.gz TVVQOIFLVYWEON-GSVOUGTGSA-N 0 1 323.130 3.134 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1ccnn1C1CCC1 ZINC000899397462 619335676 /nfs/dbraw/zinc/33/56/76/619335676.db2.gz QCFQAYRZUQBKCO-PLNGDYQASA-N 0 1 304.296 3.488 20 30 DGEDMN CCCCCCCCc1nnc(NC(=O)Cc2cnc[nH]2)s1 ZINC000900317761 619418882 /nfs/dbraw/zinc/41/88/82/619418882.db2.gz SXEDITLZFUPGOK-UHFFFAOYSA-N 0 1 321.450 3.345 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)cc1 ZINC000900418955 619427952 /nfs/dbraw/zinc/42/79/52/619427952.db2.gz STFKYSCEOHHMTJ-KDOFPFPSSA-N 0 1 322.412 3.331 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)c1 ZINC000900562020 619436676 /nfs/dbraw/zinc/43/66/76/619436676.db2.gz KJTCNWLZFYGCEZ-FZKQIMNGSA-N 0 1 307.397 3.110 20 30 DGEDMN C=CC[N@@H+](CC(=O)[O-])C[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000901586092 619518993 /nfs/dbraw/zinc/51/89/93/619518993.db2.gz JZFNQGRHYZRNFW-NSHDSACASA-N 0 1 301.308 3.382 20 30 DGEDMN C=CC[N@H+](CCCc1c(Cl)cccc1Cl)CC(=O)[O-] ZINC000901586204 619519228 /nfs/dbraw/zinc/51/92/28/619519228.db2.gz LFGXOYHHDVCIQD-UHFFFAOYSA-N 0 1 302.201 3.499 20 30 DGEDMN C(=NNCCc1ccncc1)c1ccc(N2CCCCC2)s1 ZINC000789093052 625358189 /nfs/dbraw/zinc/35/81/89/625358189.db2.gz CRUBRZVVNUNPNG-UHFFFAOYSA-N 0 1 314.458 3.300 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2ccnc3c(C(=O)[O-])cccc23)C1 ZINC000902443441 619636933 /nfs/dbraw/zinc/63/69/33/619636933.db2.gz LOARUZRIXMRADR-AWEZNQCLSA-N 0 1 308.381 3.168 20 30 DGEDMN C[C@@H](NCCOc1ccccc1Cl)c1cc(C#N)ccn1 ZINC000902886901 619688554 /nfs/dbraw/zinc/68/85/54/619688554.db2.gz VXWKNCJGCMOFPH-GFCCVEGCSA-N 0 1 301.777 3.336 20 30 DGEDMN C[C@H](NC[C@]1(C)OCCc2sccc21)c1cc(C#N)ccn1 ZINC000902993390 619700885 /nfs/dbraw/zinc/70/08/85/619700885.db2.gz RVTOBTQSRIOECB-SJCJKPOMSA-N 0 1 313.426 3.153 20 30 DGEDMN C=CCC[C@H](NCc1nnc(C2CC2)[nH]1)[C@]1(C)CCCCO1 ZINC000903004478 619703885 /nfs/dbraw/zinc/70/38/85/619703885.db2.gz BVYADHALQUMVBG-YOEHRIQHSA-N 0 1 304.438 3.066 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@@H](NCC2(C#N)CCC2)c2ccccc21 ZINC000903035466 619705205 /nfs/dbraw/zinc/70/52/05/619705205.db2.gz QACDFQFZDRBBMB-IAGOWNOFSA-N 0 1 312.413 3.452 20 30 DGEDMN CC(C)[C@H](O)[C@H](N[C@@H](C)c1cc(C#N)ccn1)c1ccccc1 ZINC000903055097 619706935 /nfs/dbraw/zinc/70/69/35/619706935.db2.gz XJCRJJDPCRZUPV-KYNGSXCRSA-N 0 1 309.413 3.362 20 30 DGEDMN C[C@@H](NCc1ccc(C2(C)OCCO2)cc1)c1cc(C#N)ccn1 ZINC000903070635 619715157 /nfs/dbraw/zinc/71/51/57/619715157.db2.gz BIJOJZUFLVIXGX-CQSZACIVSA-N 0 1 323.396 3.024 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](NCC1(C#N)CC1)CCCC2 ZINC000903108363 619718269 /nfs/dbraw/zinc/71/82/69/619718269.db2.gz ATFOJJQMNOYBDW-HNNXBMFYSA-N 0 1 300.402 3.365 20 30 DGEDMN C=CCC[C@@H](N[C@H](CO)c1ccnn1C)c1cccc(Cl)c1 ZINC000903207396 619738532 /nfs/dbraw/zinc/73/85/32/619738532.db2.gz XTOJYFAJMJRQBW-HZPDHXFCSA-N 0 1 319.836 3.404 20 30 DGEDMN CC(=O)OCc1cccc([C@H](C)NCC2(C#N)CCCC2)c1 ZINC000903221881 619741261 /nfs/dbraw/zinc/74/12/61/619741261.db2.gz UHAFQEOWSSGXAL-AWEZNQCLSA-N 0 1 300.402 3.484 20 30 DGEDMN C[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1cccc(C#N)c1[O-] ZINC000903266021 619749006 /nfs/dbraw/zinc/74/90/06/619749006.db2.gz JMETUQHABSHSIQ-KCTSRDHCSA-N 0 1 312.344 3.386 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2c(C3CC3)cnn2C)c1 ZINC000905077888 619967988 /nfs/dbraw/zinc/96/79/88/619967988.db2.gz IJVVJMUOEIIBNJ-MDZDMXLPSA-N 0 1 309.413 3.255 20 30 DGEDMN O=C(OCC#Cc1ccc(F)cc1)c1[nH]nc2c1CCCCC2 ZINC000789173364 625385966 /nfs/dbraw/zinc/38/59/66/625385966.db2.gz OXXUTPOLPUARHN-UHFFFAOYSA-N 0 1 312.344 3.026 20 30 DGEDMN N#Cc1ccc([C@H](O)CN[C@H]2c3ccccc3CC[C@H]2F)cc1 ZINC000905451079 619997692 /nfs/dbraw/zinc/99/76/92/619997692.db2.gz DFAPBLSMWYPJPB-QRVBRYPASA-N 0 1 310.372 3.207 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2ccc(C(F)F)nc2)n1 ZINC000905501258 620002647 /nfs/dbraw/zinc/00/26/47/620002647.db2.gz ZPDJZCSQTKCWMO-UHFFFAOYSA-N 0 1 321.331 3.100 20 30 DGEDMN CCOCc1nc(C)cc(NN=C(C)c2cc(F)ccc2F)n1 ZINC000905505914 620002866 /nfs/dbraw/zinc/00/28/66/620002866.db2.gz GEWWHKCDOGNNBW-UHFFFAOYSA-N 0 1 320.343 3.436 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)N(C)c1ccc(C#N)c(Cl)c1 ZINC000906090997 620056105 /nfs/dbraw/zinc/05/61/05/620056105.db2.gz YTISAJASROTSNN-OAHLLOKOSA-N 0 1 305.809 3.047 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)c1cc(C)c(C#N)c(C)c1 ZINC000907199969 620142324 /nfs/dbraw/zinc/14/23/24/620142324.db2.gz WOIURAAKOGWOLO-UHFFFAOYSA-N 0 1 304.300 3.397 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)[C@]2(C#N)CC3CCC2CC3)c1 ZINC000907234058 620144912 /nfs/dbraw/zinc/14/49/12/620144912.db2.gz OPHDQIIWUWEFEC-WFZFXDGQSA-N 0 1 312.369 3.253 20 30 DGEDMN C[C@H](C#N)Oc1ccccc1NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000907266067 620147822 /nfs/dbraw/zinc/14/78/22/620147822.db2.gz VRVLQEVVFSLGJB-XWCIJXRUSA-N 0 1 324.384 3.005 20 30 DGEDMN N#Cc1ccccc1C(F)(F)C(=O)N[C@H](C1CC1)C(F)(F)F ZINC000908234089 620195375 /nfs/dbraw/zinc/19/53/75/620195375.db2.gz VEHHZBKDOHSTSP-LLVKDONJSA-N 0 1 318.245 3.107 20 30 DGEDMN CC1(C)CCC(CC(=O)C(C#N)C(=O)Nc2ccccn2)CC1 ZINC000152697947 620518343 /nfs/dbraw/zinc/51/83/43/620518343.db2.gz LKXWBILHKXYWQS-CQSZACIVSA-N 0 1 313.401 3.335 20 30 DGEDMN C#CC[C@@H](OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1)C1CC1 ZINC000153023204 620531501 /nfs/dbraw/zinc/53/15/01/620531501.db2.gz CLODNNMDRIFPDH-MRXNPFEDSA-N 0 1 321.380 3.308 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1)C(C)C ZINC000153349788 620553437 /nfs/dbraw/zinc/55/34/37/620553437.db2.gz AYWGBKLBYFAZFD-JKSUJKDBSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1ccc([N+](=O)[O-])cc1 ZINC000915968542 620609387 /nfs/dbraw/zinc/60/93/87/620609387.db2.gz YYXIIFKWCPKWSF-UHFFFAOYSA-N 0 1 309.329 3.318 20 30 DGEDMN COc1ccc(OC)c(C(C)=NNc2nc3ccccc3n2C)c1 ZINC000915968254 620609574 /nfs/dbraw/zinc/60/95/74/620609574.db2.gz VHSZIRRZZMAKAH-UHFFFAOYSA-N 0 1 324.384 3.427 20 30 DGEDMN Cn1c2ccccc2nc1NN=Cc1c[nH]nc1-c1cccs1 ZINC000915971473 620610006 /nfs/dbraw/zinc/61/00/06/620610006.db2.gz XGSFVUKEVOZMET-UHFFFAOYSA-N 0 1 322.397 3.471 20 30 DGEDMN CC(C)c1ccc(C=NNCCN2CCCCC2)cc1[N+](=O)[O-] ZINC000915975356 620610237 /nfs/dbraw/zinc/61/02/37/620610237.db2.gz SUQJRUVTSIIKFG-UHFFFAOYSA-N 0 1 318.421 3.128 20 30 DGEDMN Clc1ccc(C=NNCCN2CCCCC2)cc1Cl ZINC000915973910 620610399 /nfs/dbraw/zinc/61/03/99/620610399.db2.gz OJUVCGMUEBUQOL-UHFFFAOYSA-N 0 1 300.233 3.403 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccccc1Br ZINC000915973271 620610456 /nfs/dbraw/zinc/61/04/56/620610456.db2.gz DTPGMJQZJRTNTD-UHFFFAOYSA-N 0 1 324.266 3.249 20 30 DGEDMN COc1cc(C=NN=c2[nH]c3ccccc3s2)cc(O)c1O ZINC000916246851 620622737 /nfs/dbraw/zinc/62/27/37/620622737.db2.gz VQBJNZTVUFWABN-UHFFFAOYSA-N 0 1 315.354 3.162 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2c(F)cccc2F)c([N+](=O)[O-])c1 ZINC000916246134 620622819 /nfs/dbraw/zinc/62/28/19/620622819.db2.gz CQRZJSJBVANWNR-UHFFFAOYSA-N 0 1 322.227 3.227 20 30 DGEDMN CSc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cc1 ZINC000916305615 620625189 /nfs/dbraw/zinc/62/51/89/620625189.db2.gz XWUNYAJSJXNHBP-UHFFFAOYSA-N 0 1 318.349 3.190 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000920789804 620822898 /nfs/dbraw/zinc/82/28/98/620822898.db2.gz GKIURJUGUSTQBM-DJIMGWMZSA-N 0 1 312.413 3.228 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000854816696 620842785 /nfs/dbraw/zinc/84/27/85/620842785.db2.gz MKXSKWLMDBUVKP-NSHDSACASA-N 0 1 303.793 3.395 20 30 DGEDMN Cc1ccc2c(c1)CCC2N=Nc1ccc(S(C)(=O)=O)cc1 ZINC000921257794 620846039 /nfs/dbraw/zinc/84/60/39/620846039.db2.gz UDWONURMJZKGCV-UHFFFAOYSA-N 0 1 314.410 3.161 20 30 DGEDMN CCN(CC)c1ccc(CNCc2csc(C#N)c2)cn1 ZINC000921557238 620908098 /nfs/dbraw/zinc/90/80/98/620908098.db2.gz LOJYTKJGEAISLI-UHFFFAOYSA-N 0 1 300.431 3.151 20 30 DGEDMN C=CCCONC(=O)Cc1csc(-c2ccc(C)cc2)n1 ZINC000856029437 620955211 /nfs/dbraw/zinc/95/52/11/620955211.db2.gz NMFRLTBLDWGPNA-UHFFFAOYSA-N 0 1 302.399 3.285 20 30 DGEDMN N#Cc1cc(F)c(C(=O)Nc2cc([N+](=O)[O-])ccc2F)c(F)c1 ZINC000153870369 620957064 /nfs/dbraw/zinc/95/70/64/620957064.db2.gz TYAGIDQWRXZHCT-UHFFFAOYSA-N 0 1 321.214 3.136 20 30 DGEDMN COc1ccc(CNCc2cn(C)nc2C2CCCC2)cc1C#N ZINC000921917770 620968659 /nfs/dbraw/zinc/96/86/59/620968659.db2.gz PPJZQNUFKXKSHW-UHFFFAOYSA-N 0 1 324.428 3.248 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1nn(C)c2ccccc12 ZINC000921998109 620978469 /nfs/dbraw/zinc/97/84/69/620978469.db2.gz XUBMYKBYEIMEOS-UHFFFAOYSA-N 0 1 304.397 3.352 20 30 DGEDMN N#Cc1ccc(CNCc2cnn(CC3CCC3)c2)cc1Cl ZINC000922108268 620989802 /nfs/dbraw/zinc/98/98/02/620989802.db2.gz FBLHBUXFZPUCMC-UHFFFAOYSA-N 0 1 314.820 3.498 20 30 DGEDMN C#CCOc1ccc(CNCc2cn(C)nc2C2CCCC2)cc1 ZINC000922163570 620998753 /nfs/dbraw/zinc/99/87/53/620998753.db2.gz OTKKZNUREMLZBZ-UHFFFAOYSA-N 0 1 323.440 3.380 20 30 DGEDMN C#CCOCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856777054 621020491 /nfs/dbraw/zinc/02/04/91/621020491.db2.gz OUTRTEDRAQJBHN-VIFPVBQESA-N 0 1 306.715 3.054 20 30 DGEDMN C#CCn1ccc(CN(CC)CCc2ccccc2Cl)n1 ZINC000789325294 625458762 /nfs/dbraw/zinc/45/87/62/625458762.db2.gz BRURTBCPOPOOSN-UHFFFAOYSA-N 0 1 301.821 3.234 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)C(C)(C)c1c[nH]cn1 ZINC000857188185 621066061 /nfs/dbraw/zinc/06/60/61/621066061.db2.gz MMATYHNTMMRSQT-UHFFFAOYSA-N 0 1 313.401 3.224 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CCOC[C@@H]1CCCO1 ZINC000114990764 621071453 /nfs/dbraw/zinc/07/14/53/621071453.db2.gz MHKCXASIFHPMJD-OPFCKLNOSA-N 0 1 318.200 3.314 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN[C@H]1CCCc2cccnc21 ZINC000922885155 621113893 /nfs/dbraw/zinc/11/38/93/621113893.db2.gz KAWVBOLSWNVLSI-KRWDZBQOSA-N 0 1 306.413 3.186 20 30 DGEDMN COc1cc(CN[C@@H]2CCc3ccc(Cl)nc32)ccc1C#N ZINC000857914160 621131729 /nfs/dbraw/zinc/13/17/29/621131729.db2.gz ZVKZBXGBJWUPOC-CQSZACIVSA-N 0 1 313.788 3.392 20 30 DGEDMN C=C(Br)CN1CCN(C2CCC(C)CC2)CC1 ZINC000115740716 621137898 /nfs/dbraw/zinc/13/78/98/621137898.db2.gz WUWNZWCGLPORGP-UHFFFAOYSA-N 0 1 301.272 3.091 20 30 DGEDMN COc1cc(CN[C@@]2(C)CCOc3ccccc32)ccc1C#N ZINC000923026998 621149443 /nfs/dbraw/zinc/14/94/43/621149443.db2.gz YZTVOMXRAPXMGV-IBGZPJMESA-N 0 1 308.381 3.354 20 30 DGEDMN C#CC[C@@H](COC)NCc1cc(Cl)cc(Cl)c1OC ZINC000858335837 621177458 /nfs/dbraw/zinc/17/74/58/621177458.db2.gz JQFGPCUNBOTZGX-LBPRGKRZSA-N 0 1 302.201 3.130 20 30 DGEDMN CC[C@H](CC(F)F)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000838785673 621280753 /nfs/dbraw/zinc/28/07/53/621280753.db2.gz ANYWPOBMKJDZNS-MNOVXSKESA-N 0 1 324.327 3.024 20 30 DGEDMN N#CC(C(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2)c1nccc2ccccc21 ZINC000838869002 621294099 /nfs/dbraw/zinc/29/40/99/621294099.db2.gz SBKWENKWHIDWOA-MWNCTCPHSA-N 0 1 304.349 3.026 20 30 DGEDMN C=CCC1(NCC2=NS(=O)(=O)c3ccccc32)CCCCC1 ZINC000859743980 621316892 /nfs/dbraw/zinc/31/68/92/621316892.db2.gz BURBWOYZUGODHY-UHFFFAOYSA-N 0 1 318.442 3.047 20 30 DGEDMN C[C@H](CN(C)Cc1ccc(F)c(C#N)c1)NC(=O)OC(C)(C)C ZINC000860093490 621365419 /nfs/dbraw/zinc/36/54/19/621365419.db2.gz CDEQGLXOJBPHFK-GFCCVEGCSA-N 0 1 321.396 3.042 20 30 DGEDMN CCC(C#N)(CC)C(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000860200723 621380938 /nfs/dbraw/zinc/38/09/38/621380938.db2.gz ZYFOXQAIESVXQE-MRXNPFEDSA-N 0 1 300.402 3.134 20 30 DGEDMN Cc1ccc(NN=Cc2ccc(-c3ccncc3)cc2F)nn1 ZINC000752729841 621406389 /nfs/dbraw/zinc/40/63/89/621406389.db2.gz DDWMEDJZDRMYKP-UHFFFAOYSA-N 0 1 307.332 3.432 20 30 DGEDMN Cc1cc(NN=Cc2ccc(-c3ccncc3)cc2F)ncn1 ZINC000752730037 621406512 /nfs/dbraw/zinc/40/65/12/621406512.db2.gz GDPLYJLWMXHHCD-UHFFFAOYSA-N 0 1 307.332 3.432 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)c2nc(Cl)cs2)c1 ZINC000789403947 625489899 /nfs/dbraw/zinc/48/98/99/625489899.db2.gz OUYUTJXDRGIKMS-JTQLQIEISA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cccc(NC(=O)[C@@H](C#N)C(=O)c2nc(Cl)cs2)c1 ZINC000789403947 625489903 /nfs/dbraw/zinc/48/99/03/625489903.db2.gz OUYUTJXDRGIKMS-JTQLQIEISA-N 0 1 319.773 3.066 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(OC(C)C)cc1 ZINC000753927198 621471821 /nfs/dbraw/zinc/47/18/21/621471821.db2.gz BMDOXJUVWWXXSL-IRXDYDNUSA-N 0 1 314.433 3.058 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cc(C)c(F)c(C)c1 ZINC000753933955 621472289 /nfs/dbraw/zinc/47/22/89/621472289.db2.gz GGQILVGIOTXBEX-GASCZTMLSA-N 0 1 302.397 3.027 20 30 DGEDMN Fc1ccc(C(F)(F)F)cc1N=NCc1cnc2cnccn12 ZINC000753946938 621473202 /nfs/dbraw/zinc/47/32/02/621473202.db2.gz MKPGHDDYCFMMHD-UHFFFAOYSA-N 0 1 323.253 3.333 20 30 DGEDMN C[C@@]1(CN2CCO[C@@H](c3cccc(C#N)c3)C2)CCCS1 ZINC000862254425 621569003 /nfs/dbraw/zinc/56/90/03/621569003.db2.gz YYABODXVUDJZLV-SJORKVTESA-N 0 1 302.443 3.217 20 30 DGEDMN Cc1nc[nH]c1C=NN=C(C(=O)c1ccccc1)c1ccccc1 ZINC000755467652 621574801 /nfs/dbraw/zinc/57/48/01/621574801.db2.gz LFQNQRBSSOMQMQ-UHFFFAOYSA-N 0 1 316.364 3.424 20 30 DGEDMN C#CC[C@H]1CCN(Cc2ccc(OC)c(Br)c2)C1 ZINC000862442502 621590403 /nfs/dbraw/zinc/59/04/03/621590403.db2.gz CWYCBEFHKCWDTI-LBPRGKRZSA-N 0 1 308.219 3.303 20 30 DGEDMN C#CC[C@H]1CCN(Cc2c(C(C)C)nc3sc(C)nn23)C1 ZINC000862445473 621591374 /nfs/dbraw/zinc/59/13/74/621591374.db2.gz UVHBHLJBYLBYJF-ZDUSSCGKSA-N 0 1 302.447 3.068 20 30 DGEDMN Clc1cccc(Cl)c1N=NCc1cnc2ncccn12 ZINC000755773929 621592705 /nfs/dbraw/zinc/59/27/05/621592705.db2.gz YBIYQXFMNAEXPH-UHFFFAOYSA-N 0 1 306.156 3.482 20 30 DGEDMN COc1ccc(C=NNc2cc(C)ccn2)c(OC(F)F)c1 ZINC000755903857 621601602 /nfs/dbraw/zinc/60/16/02/621601602.db2.gz SQXSYZCVCRDZBX-UHFFFAOYSA-N 0 1 307.300 3.446 20 30 DGEDMN CCOC(=O)c1[nH]c(C)c(C=NNc2cccc(Cl)n2)c1C ZINC000863114859 621639903 /nfs/dbraw/zinc/63/99/03/621639903.db2.gz VWJGNPWYFFOQGI-UHFFFAOYSA-N 0 1 320.780 3.303 20 30 DGEDMN N#Cc1ccc(-c2ccn(CN3CC[C@H]4CC[C@@H](C3)S4)n2)cc1 ZINC000863294622 621661390 /nfs/dbraw/zinc/66/13/90/621661390.db2.gz KROYEROMGKJBRI-SJORKVTESA-N 0 1 324.453 3.349 20 30 DGEDMN Cc1cc(C)c2c(c1)C(N=Nc1ccncc1Cl)CCO2 ZINC000756842395 621667524 /nfs/dbraw/zinc/66/75/24/621667524.db2.gz POCBWBZLJWTQFZ-UHFFFAOYSA-N 0 1 301.777 3.372 20 30 DGEDMN N#CCCn1cc(C=NNc2ccncc2Cl)c2ccccc21 ZINC000756840447 621667617 /nfs/dbraw/zinc/66/76/17/621667617.db2.gz YBNIWNYIUQQOLW-UHFFFAOYSA-N 0 1 323.787 3.471 20 30 DGEDMN C#CCN(CC(=O)N[C@@H](C)c1ccccc1Cl)C1CCCC1 ZINC000756912177 621672446 /nfs/dbraw/zinc/67/24/46/621672446.db2.gz KWGVHGUPKWVZTB-AWEZNQCLSA-N 0 1 318.848 3.395 20 30 DGEDMN C#CC[C@H](NCc1cnc2nc(C)cc(C)n12)c1ccccc1 ZINC000756995585 621677780 /nfs/dbraw/zinc/67/77/80/621677780.db2.gz JJZWVJMWDWVICO-SFHVURJKSA-N 0 1 304.397 3.200 20 30 DGEDMN CC(N=Nc1nc2cc(F)c(F)cc2[nH]1)c1ccc(O)cc1O ZINC000757459528 621712951 /nfs/dbraw/zinc/71/29/51/621712951.db2.gz IWJKBWCCTYVCPJ-UHFFFAOYSA-N 0 1 318.283 3.088 20 30 DGEDMN O=C(/C=C\c1ccccc1-n1cncn1)c1cc(F)ccc1O ZINC000757468781 621714253 /nfs/dbraw/zinc/71/42/53/621714253.db2.gz XFRQVMIURMQAKG-ALCCZGGFSA-N 0 1 309.300 3.008 20 30 DGEDMN O=C(/C=C\c1ccc(-n2cncn2)c(F)c1)c1ccccc1O ZINC000757473632 621715382 /nfs/dbraw/zinc/71/53/82/621715382.db2.gz FAFQKZNILDABJX-VURMDHGXSA-N 0 1 309.300 3.008 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cccc(OCC#N)c2)c(O)c1 ZINC000757473201 621715644 /nfs/dbraw/zinc/71/56/44/621715644.db2.gz UOIDJWOZSMIQQA-YVMONPNESA-N 0 1 309.321 3.199 20 30 DGEDMN CC(=NNC1CCOCC1)c1ccc(N2CCCCC2)c(F)c1 ZINC000757596620 621727517 /nfs/dbraw/zinc/72/75/17/621727517.db2.gz CWSQPWLEXOOIMY-UHFFFAOYSA-N 0 1 319.424 3.309 20 30 DGEDMN Cc1ccc(N=NC(C)c2c(Cl)cccc2[N+](=O)[O-])nn1 ZINC000758113030 621758715 /nfs/dbraw/zinc/75/87/15/621758715.db2.gz XMVOFGMLQFOONJ-UHFFFAOYSA-N 0 1 305.725 3.183 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1nc(Cl)cs1 ZINC000758117745 621759123 /nfs/dbraw/zinc/75/91/23/621759123.db2.gz SRDMUFVJCXPXOX-UHFFFAOYSA-N 0 1 312.738 3.159 20 30 DGEDMN CN(C)c1nc(=NN=C2CCCc3c(Cl)cccc32)cc[nH]1 ZINC000758124553 621759656 /nfs/dbraw/zinc/75/96/56/621759656.db2.gz NNYUPXIMOLMLSD-UHFFFAOYSA-N 0 1 315.808 3.349 20 30 DGEDMN C=CCCCCCN(C)CN1C[C@@](C)(c2ccccn2)CC1=O ZINC000758223549 621767825 /nfs/dbraw/zinc/76/78/25/621767825.db2.gz LJZJFYKYHXMHFZ-IBGZPJMESA-N 0 1 315.461 3.207 20 30 DGEDMN C=C(Cl)C[C@@H]([NH2+]Cc1cc2cc(OC)ccc2o1)C(=O)[O-] ZINC000864518075 621777535 /nfs/dbraw/zinc/77/75/35/621777535.db2.gz BLARXFZDEYSIAD-CYBMUJFWSA-N 0 1 309.749 3.127 20 30 DGEDMN CCCC[C@@H](ON=C(C)C)C(=O)Nc1cc(N(C)C)ccn1 ZINC000758638705 621793340 /nfs/dbraw/zinc/79/33/40/621793340.db2.gz NAKXWYAKBQAGGO-CQSZACIVSA-N 0 1 306.410 3.057 20 30 DGEDMN CC(=NOCC(F)F)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000758912731 621810914 /nfs/dbraw/zinc/81/09/14/621810914.db2.gz XSXMDWGKUNQCOV-UHFFFAOYSA-N 0 1 312.360 3.384 20 30 DGEDMN C[C@H](C(=O)OCc1ccc(F)c(C#N)c1)N1[C@H](C)CC[C@H]1C ZINC000758950572 621813928 /nfs/dbraw/zinc/81/39/28/621813928.db2.gz JUIXFMXCJSPZCC-JHJVBQTASA-N 0 1 304.365 3.002 20 30 DGEDMN C[C@@H](C(=O)OCc1ccc(F)c(C#N)c1)N1[C@H](C)CC[C@@H]1C ZINC000758950575 621814034 /nfs/dbraw/zinc/81/40/34/621814034.db2.gz JUIXFMXCJSPZCC-XQQFMLRXSA-N 0 1 304.365 3.002 20 30 DGEDMN C#CC[C@H](NCc1cc2c(cc1OC)OCO2)c1ccccc1 ZINC000759161624 621830686 /nfs/dbraw/zinc/83/06/86/621830686.db2.gz STNKJTBDQFKPPX-INIZCTEOSA-N 0 1 309.365 3.278 20 30 DGEDMN CC(=NNc1ccc(C)cn1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000759194633 621834969 /nfs/dbraw/zinc/83/49/69/621834969.db2.gz GKPGCDVIRVAHLX-UHFFFAOYSA-N 0 1 308.385 3.456 20 30 DGEDMN CCN(C)c1ccccc1CNCc1cc(C#N)ccc1N(C)C ZINC000865457225 621925956 /nfs/dbraw/zinc/92/59/56/621925956.db2.gz SHBCZJZRNXPLGL-UHFFFAOYSA-N 0 1 322.456 3.370 20 30 DGEDMN CC(C)(C)O[C@H](C(=O)C(C#N)c1ccncn1)c1ccccc1 ZINC000760336595 621933484 /nfs/dbraw/zinc/93/34/84/621933484.db2.gz ZTYIEJHHWGMYIE-YOEHRIQHSA-N 0 1 309.369 3.209 20 30 DGEDMN C[C@@H](Oc1ccc(C(C)(C)C)cc1)C(=O)C(C#N)c1ccncn1 ZINC000760346547 621934012 /nfs/dbraw/zinc/93/40/12/621934012.db2.gz RLDUKWGUYWLPAJ-CZUORRHYSA-N 0 1 323.396 3.418 20 30 DGEDMN CCOc1cc(F)cc(CNCc2ccc(C#N)c(OC)c2)c1 ZINC000865573516 621954749 /nfs/dbraw/zinc/95/47/49/621954749.db2.gz JGGUXLLOFWILNY-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN COc1cccc(CNCc2cc(C#N)ccc2N(C)C)c1C ZINC000865633635 621967447 /nfs/dbraw/zinc/96/74/47/621967447.db2.gz JURFJBBFEBZMCB-UHFFFAOYSA-N 0 1 309.413 3.231 20 30 DGEDMN CC(C)CC1CCN([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000760926614 621974342 /nfs/dbraw/zinc/97/43/42/621974342.db2.gz OXFCBRLMKFRQGM-MAUKXSAKSA-N 0 1 307.482 3.187 20 30 DGEDMN CC(C)CC1CCN([C@@H](C)C(=O)NC2(C#N)CCCCC2)CC1 ZINC000760927928 621974495 /nfs/dbraw/zinc/97/44/95/621974495.db2.gz XENQYSHRDHOWFW-INIZCTEOSA-N 0 1 319.493 3.476 20 30 DGEDMN Cc1cc(NN=Cc2cc(F)ccc2Br)ncn1 ZINC000761258046 621997485 /nfs/dbraw/zinc/99/74/85/621997485.db2.gz FBSJTGIKUWOEDA-UHFFFAOYSA-N 0 1 309.142 3.133 20 30 DGEDMN CCC(CC)(CC)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000761474035 622011087 /nfs/dbraw/zinc/01/10/87/622011087.db2.gz HVSKRDVQHJLJSZ-LBPRGKRZSA-N 0 1 322.430 3.387 20 30 DGEDMN CCC(CC)(CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000761474035 622011088 /nfs/dbraw/zinc/01/10/88/622011088.db2.gz HVSKRDVQHJLJSZ-LBPRGKRZSA-N 0 1 322.430 3.387 20 30 DGEDMN CCCCOc1cc(CN=Nc2cnn(C)c2)ccc1OC ZINC000761880544 622036452 /nfs/dbraw/zinc/03/64/52/622036452.db2.gz FECGPHSFMHKIFO-UHFFFAOYSA-N 0 1 302.378 3.054 20 30 DGEDMN N#CC(C(=O)[C@H]1CCSC1)c1nc2ccccc2n1C(F)F ZINC000762068488 622050687 /nfs/dbraw/zinc/05/06/87/622050687.db2.gz KRVJKMRIBAWYEQ-VHSXEESVSA-N 0 1 321.352 3.361 20 30 DGEDMN CON=Cc1ccc(-c2nc(-c3ccc4[nH]cnc4c3)no2)cc1 ZINC000762114474 622054272 /nfs/dbraw/zinc/05/42/72/622054272.db2.gz DQELAUQBKKJYJP-UHFFFAOYSA-N 0 1 319.324 3.260 20 30 DGEDMN C#CCCN1CCN(Cc2cc(Cl)cc3cccnc32)CC1 ZINC000762299189 622067955 /nfs/dbraw/zinc/06/79/55/622067955.db2.gz SEVMLCVRAMMBLT-UHFFFAOYSA-N 0 1 313.832 3.029 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc3ccccc3c3cccnc23)NO1 ZINC000763262060 622133749 /nfs/dbraw/zinc/13/37/49/622133749.db2.gz WJKRNKYDECCROS-NSHDSACASA-N 0 1 305.337 3.240 20 30 DGEDMN CN(C)c1nccc(N=NC2C[C@H]3CCCc4cccc2c43)n1 ZINC000763364148 622141035 /nfs/dbraw/zinc/14/10/35/622141035.db2.gz QDYUWEFORRGOIT-CYBMUJFWSA-N 0 1 307.401 3.182 20 30 DGEDMN CCC[C@H](C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)C(C)C ZINC000763858607 622165494 /nfs/dbraw/zinc/16/54/94/622165494.db2.gz UVCIKCQEFSBMGW-QWHCGFSZSA-N 0 1 322.430 3.243 20 30 DGEDMN CCC[C@H](C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000763858607 622165496 /nfs/dbraw/zinc/16/54/96/622165496.db2.gz UVCIKCQEFSBMGW-QWHCGFSZSA-N 0 1 322.430 3.243 20 30 DGEDMN Cc1cc([C@@H](C#N)C(=O)[C@H](C)c2c(F)cccc2F)nc(C)n1 ZINC000764561404 622202865 /nfs/dbraw/zinc/20/28/65/622202865.db2.gz OCJQXRHFDYBNAK-ZYHUDNBSSA-N 0 1 315.323 3.352 20 30 DGEDMN CC(=NNc1ncnc2nc[nH]c21)c1cc2c(s1)CCCC2 ZINC000764682967 622212993 /nfs/dbraw/zinc/21/29/93/622212993.db2.gz POFQEEJYCNFHBE-UHFFFAOYSA-N 0 1 312.402 3.129 20 30 DGEDMN Oc1c(F)cc(C=NNCc2cnc3ccccc3c2)cc1F ZINC000764787970 622220744 /nfs/dbraw/zinc/22/07/44/622220744.db2.gz HTAGTLXFIMRFGZ-UHFFFAOYSA-N 0 1 313.307 3.342 20 30 DGEDMN CC(C)c1cc(C(=O)NC2=NO[C@H](C)C2)c(O)c(C(C)C)c1 ZINC000765376407 622261722 /nfs/dbraw/zinc/26/17/22/622261722.db2.gz OUCUMPOQUOZOGO-LLVKDONJSA-N 0 1 304.390 3.491 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(Cl)c(F)c1 ZINC000765583048 622274914 /nfs/dbraw/zinc/27/49/14/622274914.db2.gz FDNIJHPVRMADJY-ZIAGYGMSSA-N 0 1 308.788 3.063 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)C(C)=C2CCCC2)n1 ZINC000765938448 622294964 /nfs/dbraw/zinc/29/49/64/622294964.db2.gz XSNZQBDNYRSBND-GFCCVEGCSA-N 0 1 318.398 3.387 20 30 DGEDMN COc1ccc2nc(NN=C(C)c3ccnn3C)c(C)cc2c1 ZINC000766030887 622301197 /nfs/dbraw/zinc/30/11/97/622301197.db2.gz ZOJTUQONHPCYER-UHFFFAOYSA-N 0 1 309.373 3.121 20 30 DGEDMN C#CCC(C)(C)C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC000767091879 622374888 /nfs/dbraw/zinc/37/48/88/622374888.db2.gz PDVUWJQEIHJNBI-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc([C@H]3CCCOC3)c2)c1 ZINC000767114324 622376017 /nfs/dbraw/zinc/37/60/17/622376017.db2.gz CGWKTRQKPCLYFJ-INIZCTEOSA-N 0 1 322.364 3.410 20 30 DGEDMN COc1ccc(NN=Cc2c[nH]nc2C(C)(C)C)c([N+](=O)[O-])c1 ZINC000767801688 622422351 /nfs/dbraw/zinc/42/23/51/622422351.db2.gz RGZBKTOCRYDBQP-UHFFFAOYSA-N 0 1 317.349 3.070 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cc1 ZINC000870139088 622436990 /nfs/dbraw/zinc/43/69/90/622436990.db2.gz MUCRIBDTSXXUIS-WVRYCFJFSA-N 0 1 310.397 3.188 20 30 DGEDMN Cc1cc(C(C#N)=C(O)C=CCCc2ccccc2)nc(C)n1 ZINC000870136785 622437046 /nfs/dbraw/zinc/43/70/46/622437046.db2.gz RJACZVAYWFBFRH-CGICMKJESA-N 0 1 305.381 3.459 20 30 DGEDMN COc1cccc(O)c1CN(Cc1ccc(C#N)cc1)C1CC1 ZINC000768258767 622448291 /nfs/dbraw/zinc/44/82/91/622448291.db2.gz WWFDBFVUNKHZJF-UHFFFAOYSA-N 0 1 308.381 3.437 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000870314921 622455955 /nfs/dbraw/zinc/45/59/55/622455955.db2.gz WIUUIXXTMHGQQF-AUUYWEPGSA-N 0 1 323.396 3.401 20 30 DGEDMN CC(=NN=c1cc(Cl)[nH]c(N)n1)c1csc(C(C)C)n1 ZINC000768784194 622476904 /nfs/dbraw/zinc/47/69/04/622476904.db2.gz NBLCGQZYOZTDLN-UHFFFAOYSA-N 0 1 310.814 3.128 20 30 DGEDMN C#CCN(CC)Cc1cccc2c1N(C(=O)OC(C)(C)C)CC2 ZINC000769110794 622499045 /nfs/dbraw/zinc/49/90/45/622499045.db2.gz IDBSPPSCGTWZKR-UHFFFAOYSA-N 0 1 314.429 3.439 20 30 DGEDMN C#CCOc1ccc(CN2CCc3ccc(OC)cc3C2)cc1 ZINC000769120247 622500023 /nfs/dbraw/zinc/50/00/23/622500023.db2.gz IGDQAXRQIAOALL-UHFFFAOYSA-N 0 1 307.393 3.266 20 30 DGEDMN C[C@H]1C[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1Cl ZINC000769283230 622513584 /nfs/dbraw/zinc/51/35/84/622513584.db2.gz QGGLFFMCSGMVQS-HGNGGELXSA-N 0 1 311.168 3.297 20 30 DGEDMN O=C1c2ccccc2CCN1N=Cc1cc(Cl)cc(F)c1O ZINC000769594134 622543035 /nfs/dbraw/zinc/54/30/35/622543035.db2.gz YECRBDOJDNBFAY-UHFFFAOYSA-N 0 1 318.735 3.217 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCCC(F)(F)C3)[nH]c2c1 ZINC000871071885 622543497 /nfs/dbraw/zinc/54/34/97/622543497.db2.gz GLEHHASDYMIFHQ-SNVBAGLBSA-N 0 1 304.300 3.199 20 30 DGEDMN CC(C)CCSCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871069930 622543508 /nfs/dbraw/zinc/54/35/08/622543508.db2.gz CPWVBGUJJHRJJQ-UHFFFAOYSA-N 0 1 302.403 3.152 20 30 DGEDMN CCCCC(=NNc1nc2c(cnn2C)c(=O)[nH]1)c1ccccc1 ZINC000769808567 622562520 /nfs/dbraw/zinc/56/25/20/622562520.db2.gz KPOHJBJMTFSKJC-UHFFFAOYSA-N 0 1 324.388 3.075 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NNC[C@@H](C)C#N ZINC000771113945 622716408 /nfs/dbraw/zinc/71/64/08/622716408.db2.gz YORRSRBPWZSOCM-KGLIPLIRSA-N 0 1 304.413 3.391 20 30 DGEDMN O=C(OCC#Cc1ccc(F)cc1)c1cc(-c2ccco2)n[nH]1 ZINC000771329525 622742585 /nfs/dbraw/zinc/74/25/85/622742585.db2.gz WYNSRWCGVQEXEG-UHFFFAOYSA-N 0 1 310.284 3.017 20 30 DGEDMN CC(C)[C@@H]1CCC2=NN(c3cc(C#N)cc(Cl)n3)C(=O)[C@H]2C1 ZINC000871954183 622743490 /nfs/dbraw/zinc/74/34/90/622743490.db2.gz GESOUNYBZXOPHA-NEPJUHHUSA-N 0 1 316.792 3.382 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2cc(F)cc(Cl)c2O)cc1 ZINC000771506837 622770085 /nfs/dbraw/zinc/77/00/85/622770085.db2.gz XKIHICSPNLXLKD-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN CN1CCN(c2ccc(/C=C3/Cc4ccccc4C3=O)cc2)CC1 ZINC000771521056 622772075 /nfs/dbraw/zinc/77/20/75/622772075.db2.gz OYTFXQMGEHBWNV-JXAWBTAJSA-N 0 1 318.420 3.261 20 30 DGEDMN C=C(Cl)COC(=O)c1[nH]c(-c2cccc(OC)c2)nc1C ZINC000772096526 622846812 /nfs/dbraw/zinc/84/68/12/622846812.db2.gz AHLGESBJTBYRJM-UHFFFAOYSA-N 0 1 306.749 3.303 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2c(F)ccc(O)c2O)c1 ZINC000790069744 625599733 /nfs/dbraw/zinc/59/97/33/625599733.db2.gz QGTBAVOIFNVALJ-UHFFFAOYSA-N 0 1 303.289 3.054 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cccc3cccnc32)nc(C)n1 ZINC000772642528 622922479 /nfs/dbraw/zinc/92/24/79/622922479.db2.gz FWNVSDOKAUWQHL-INIZCTEOSA-N 0 1 316.364 3.061 20 30 DGEDMN Cc1cc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)c(C#N)cn1 ZINC000872648181 622948663 /nfs/dbraw/zinc/94/86/63/622948663.db2.gz KCTMWOBMSOWTTD-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN N#Cc1ccc(CNC[C@@H](O)c2ccccc2Cl)c(F)c1 ZINC000772922312 622954245 /nfs/dbraw/zinc/95/42/45/622954245.db2.gz YEUXGIPPZADOSN-MRXNPFEDSA-N 0 1 304.752 3.174 20 30 DGEDMN C[C@@H](O)c1ncc(CN=Nc2nccc3sccc32)s1 ZINC000773055277 622973684 /nfs/dbraw/zinc/97/36/84/622973684.db2.gz PSRZHYVULHXCIY-MRVPVSSYSA-N 0 1 304.400 3.252 20 30 DGEDMN CC(C)c1nccnc1NN=C1CCOc2c(F)cc(F)cc21 ZINC000773079336 622979316 /nfs/dbraw/zinc/97/93/16/622979316.db2.gz XEOXGFNRBFDAIY-UHFFFAOYSA-N 0 1 318.327 3.477 20 30 DGEDMN Cc1cccnc1N=NCc1cccc(NC(=O)C(F)(F)F)c1 ZINC000790190886 625615370 /nfs/dbraw/zinc/61/53/70/625615370.db2.gz NBTJFMXPVOZPCY-UHFFFAOYSA-N 0 1 322.290 3.337 20 30 DGEDMN C=CCCCNC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872990672 623011949 /nfs/dbraw/zinc/01/19/49/623011949.db2.gz NRDRCLFMZSLOHI-AWEZNQCLSA-N 0 1 304.438 3.388 20 30 DGEDMN C=CCCCNC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000872990674 623011970 /nfs/dbraw/zinc/01/19/70/623011970.db2.gz NRDRCLFMZSLOHI-CQSZACIVSA-N 0 1 304.438 3.388 20 30 DGEDMN CC(=NNc1ncccc1C)c1cnn(-c2ccccn2)c1C ZINC000790193096 625615062 /nfs/dbraw/zinc/61/50/62/625615062.db2.gz SLIZLLNUTIWDAQ-UHFFFAOYSA-N 0 1 306.373 3.115 20 30 DGEDMN CC[C@@H](CNCc1cccc(F)c1C#N)NC(=O)OC(C)(C)C ZINC000873131593 623043969 /nfs/dbraw/zinc/04/39/69/623043969.db2.gz PYXXEWOABREWKW-ZDUSSCGKSA-N 0 1 321.396 3.090 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000773574802 623047231 /nfs/dbraw/zinc/04/72/31/623047231.db2.gz GUQKFHQRSJQQGQ-MSOLQXFVSA-N 0 1 312.457 3.301 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000773574803 623047289 /nfs/dbraw/zinc/04/72/89/623047289.db2.gz GUQKFHQRSJQQGQ-QZTJIDSGSA-N 0 1 312.457 3.301 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)C1(F)CCCC1 ZINC000773973597 623095169 /nfs/dbraw/zinc/09/51/69/623095169.db2.gz GJRRJGGIXHPXJI-LBPRGKRZSA-N 0 1 308.740 3.270 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3c3cc(C#N)nc(C)n3)[nH]c2c1 ZINC000882082354 625629049 /nfs/dbraw/zinc/62/90/49/625629049.db2.gz MTTKRJKGLVMQKX-INIZCTEOSA-N 0 1 318.384 3.183 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000873523477 623166863 /nfs/dbraw/zinc/16/68/63/623166863.db2.gz RJGYTBYKLCWTJM-CHWSQXEVSA-N 0 1 322.355 3.116 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)c1F ZINC000774660202 623172426 /nfs/dbraw/zinc/17/24/26/623172426.db2.gz PCXYRTWTDGFIJL-HZPDHXFCSA-N 0 1 314.360 3.378 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1ccc(OCC(F)(F)F)c(OCC)c1 ZINC000775183440 623239609 /nfs/dbraw/zinc/23/96/09/623239609.db2.gz MEJFYDDBWUJGDJ-GFCCVEGCSA-N 0 1 315.335 3.480 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1ccc(Br)cc1Cl ZINC000790305243 625632284 /nfs/dbraw/zinc/63/22/84/625632284.db2.gz GLAGCOGYQCWWEC-UHFFFAOYSA-N 0 1 313.586 3.282 20 30 DGEDMN C#CCOc1ccccc1NS(=O)(=O)c1cc(C)ccc1C ZINC000775297904 623250678 /nfs/dbraw/zinc/25/06/78/623250678.db2.gz CMKFMCXGHYKRED-UHFFFAOYSA-N 0 1 315.394 3.116 20 30 DGEDMN C#CCNC(=O)CN[C@H](CCC)c1ccc(Cl)c(Cl)c1 ZINC000775317704 623253161 /nfs/dbraw/zinc/25/31/61/623253161.db2.gz YGRJVRWUVCGNJS-CQSZACIVSA-N 0 1 313.228 3.174 20 30 DGEDMN C[C@@H](NCC(=O)NCCC#N)c1ccc(Oc2ccccc2)cc1 ZINC000775333043 623255462 /nfs/dbraw/zinc/25/54/62/623255462.db2.gz RYVSTRDTVRNQAK-OAHLLOKOSA-N 0 1 323.396 3.159 20 30 DGEDMN C[C@H](NCC(=O)NCCC#N)c1ccc(Oc2ccccc2)cc1 ZINC000775333041 623255482 /nfs/dbraw/zinc/25/54/82/623255482.db2.gz RYVSTRDTVRNQAK-HNNXBMFYSA-N 0 1 323.396 3.159 20 30 DGEDMN C#C[C@@H](NCc1cc(Cl)c(OC)c(OCC)c1)[C@@H]1CCCO1 ZINC000775340527 623256634 /nfs/dbraw/zinc/25/66/34/623256634.db2.gz HBMRVJBEUAFBRQ-CABCVRRESA-N 0 1 323.820 3.018 20 30 DGEDMN C#C[C@H](NCc1ccc(OCCCC)c(OC)c1)[C@H]1CCCO1 ZINC000775346645 623258044 /nfs/dbraw/zinc/25/80/44/623258044.db2.gz WRVVPCJHTVQQTG-DLBZAZTESA-N 0 1 317.429 3.145 20 30 DGEDMN C#CC[C@H](NCC(=O)NCCCc1ccccc1)c1ccccc1 ZINC000775398534 623267420 /nfs/dbraw/zinc/26/74/20/623267420.db2.gz JGJKFZDQBFXHTR-FQEVSTJZSA-N 0 1 320.436 3.090 20 30 DGEDMN C#CC[C@@H](NCC(=O)N[C@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000775399049 623267672 /nfs/dbraw/zinc/26/76/72/623267672.db2.gz FIYDXXGKGXAURV-DNVCBOLYSA-N 0 1 324.399 3.357 20 30 DGEDMN CC(=[NH+][N-]c1cccc(F)c1[N+](=O)[O-])c1ccc(N)cc1C ZINC000790310489 625634330 /nfs/dbraw/zinc/63/43/30/625634330.db2.gz IVQAJRXSZKSSOQ-UHFFFAOYSA-N 0 1 302.309 3.461 20 30 DGEDMN C#CC[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@H]1C)c1ccccc1 ZINC000775399782 623268450 /nfs/dbraw/zinc/26/84/50/623268450.db2.gz JQAGTOQBMUAKOY-NZSAHSFTSA-N 0 1 312.457 3.378 20 30 DGEDMN C#C[C@H](N[C@@H]1CCc2cc(Br)ccc21)[C@@H]1CCCO1 ZINC000775571132 623291351 /nfs/dbraw/zinc/29/13/51/623291351.db2.gz ARSZWWHRELESRA-XHSDSOJGSA-N 0 1 320.230 3.207 20 30 DGEDMN C#C[C@H](N[C@H](CCC)c1cccc([N+](=O)[O-])c1)[C@@H]1CCCO1 ZINC000775573649 623291894 /nfs/dbraw/zinc/29/18/94/623291894.db2.gz ILTLNTZQLSAKHT-BBWFWOEESA-N 0 1 302.374 3.206 20 30 DGEDMN C#C[C@H](NCCOc1ccc(Cl)cc1Cl)[C@H]1CCCO1 ZINC000775792241 623333283 /nfs/dbraw/zinc/33/32/83/623333283.db2.gz YXDLTKDWODDRQJ-DZGCQCFKSA-N 0 1 314.212 3.143 20 30 DGEDMN C#CCN(Cc1ccc(-c2ccccc2C(=O)OC)o1)C1CC1 ZINC000775980388 623360193 /nfs/dbraw/zinc/36/01/93/623360193.db2.gz QYYCBDMYAUSDOQ-UHFFFAOYSA-N 0 1 309.365 3.331 20 30 DGEDMN N#C[C@H](OC(=O)c1cccc(-c2nnc[nH]2)c1)C1CCCCC1 ZINC000776398760 623424229 /nfs/dbraw/zinc/42/42/29/623424229.db2.gz CGQCLDCJEQJUHZ-HNNXBMFYSA-N 0 1 310.357 3.101 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](C)c2cccc(Cl)c2F)CC1 ZINC000776402890 623424734 /nfs/dbraw/zinc/42/47/34/623424734.db2.gz XFOGKSVPEOUVIN-GFCCVEGCSA-N 0 1 323.795 3.429 20 30 DGEDMN C[C@H](NCc1ccc(-n2ccnc2)nc1)c1ccc(C#N)c(F)c1 ZINC000776727779 623456194 /nfs/dbraw/zinc/45/61/94/623456194.db2.gz NGENAWPHJCMGPK-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN C[C@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(C#N)c(F)c1 ZINC000776744581 623459245 /nfs/dbraw/zinc/45/92/45/623459245.db2.gz AOAHGLUYLQBNQD-AMXDTQDGSA-N 0 1 316.401 3.286 20 30 DGEDMN CN(C)c1nc(Cl)c(C=NNc2cc(Cl)ccn2)s1 ZINC000777905206 623583706 /nfs/dbraw/zinc/58/37/06/623583706.db2.gz AYFWKQWDMNAYFE-UHFFFAOYSA-N 0 1 316.217 3.357 20 30 DGEDMN C=CC(C)(C)CCCNC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000876321299 623584188 /nfs/dbraw/zinc/58/41/88/623584188.db2.gz ZIFIPEIGIIHIDO-GFCCVEGCSA-N 0 1 307.442 3.281 20 30 DGEDMN Clc1ccnc(NN=Cc2cnn(Cc3ccccc3)c2)c1 ZINC000777914018 623584432 /nfs/dbraw/zinc/58/44/32/623584432.db2.gz VDKUQYQNMMKADN-UHFFFAOYSA-N 0 1 311.776 3.426 20 30 DGEDMN Cc1nc(CCn2[nH]c(C(C)(C)C)c(CCC#N)c2=O)cs1 ZINC000790507607 625662991 /nfs/dbraw/zinc/66/29/91/625662991.db2.gz JITHYOBGDRIRAM-UHFFFAOYSA-N 0 1 318.446 3.350 20 30 DGEDMN C=CCc1cc(CNCc2ccc(F)nc2)cc(OC)c1OC ZINC000778167204 623618594 /nfs/dbraw/zinc/61/85/94/623618594.db2.gz AOIDMPKHXILHER-UHFFFAOYSA-N 0 1 316.376 3.256 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNC[C@@H](O)c1ccc(C)o1 ZINC000778172472 623619643 /nfs/dbraw/zinc/61/96/43/623619643.db2.gz LBPODQGSERASCD-OAHLLOKOSA-N 0 1 319.788 3.077 20 30 DGEDMN Cn1ncc2cc(CN(Cc3ccc(C#N)cc3)C3CC3)cnc21 ZINC000876570598 623647523 /nfs/dbraw/zinc/64/75/23/623647523.db2.gz HRBXVEHMROGXBL-UHFFFAOYSA-N 0 1 317.396 3.005 20 30 DGEDMN C[C@@H](C#N)CN(C)C[C@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876617775 623661343 /nfs/dbraw/zinc/66/13/43/623661343.db2.gz UWUMWYIWOJLXAH-LSDHHAIUSA-N 0 1 309.454 3.115 20 30 DGEDMN CC(C)(C(=O)OCc1ccc(C#N)cc1Cl)N1CCCC1 ZINC000805612171 623678882 /nfs/dbraw/zinc/67/88/82/623678882.db2.gz ZSMLFBAIDAUVMK-UHFFFAOYSA-N 0 1 306.793 3.129 20 30 DGEDMN CC[C@@H](C(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)N(CC)CC ZINC000778650866 623679880 /nfs/dbraw/zinc/67/98/80/623679880.db2.gz KRDWSIYGFVMJFG-ZFWWWQNUSA-N 0 1 312.417 3.168 20 30 DGEDMN Cc1ccc(CN2CCO[C@H](c3cccc(C#N)c3)C2)c(O)c1 ZINC000876816722 623716046 /nfs/dbraw/zinc/71/60/46/623716046.db2.gz PEKFSHCXGPGFKM-IBGZPJMESA-N 0 1 308.381 3.146 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H](COC)C1 ZINC000779156818 623736145 /nfs/dbraw/zinc/73/61/45/623736145.db2.gz JSGBSWDXKKLENR-CQSZACIVSA-N 0 1 307.821 3.210 20 30 DGEDMN N#Cc1cnc(Cl)c(CNCCCOc2ccccc2F)c1 ZINC000876902734 623740436 /nfs/dbraw/zinc/74/04/36/623740436.db2.gz QTSUHCMWGZQXRI-UHFFFAOYSA-N 0 1 319.767 3.304 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2cccc(F)c2N)c1 ZINC000877045520 623775605 /nfs/dbraw/zinc/77/56/05/623775605.db2.gz BBCORMRKVYQRPN-OAHLLOKOSA-N 0 1 313.376 3.379 20 30 DGEDMN CC(C)CCC(=NNC1=NC[C@@H](C)N1)c1ccc(Cl)cc1 ZINC000779794760 623809145 /nfs/dbraw/zinc/80/91/45/623809145.db2.gz MZAIVKIDOGEXQT-GFCCVEGCSA-N 0 1 306.841 3.418 20 30 DGEDMN COc1cccc(NN=Cc2ccc(O)c(Br)c2)n1 ZINC000779816902 623812001 /nfs/dbraw/zinc/81/20/01/623812001.db2.gz KPESASALQDZEOF-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN COCCC(=NNc1cccc(OC)n1)c1ccc(F)cc1 ZINC000779816621 623812154 /nfs/dbraw/zinc/81/21/54/623812154.db2.gz HVCRGCQERBTRAV-UHFFFAOYSA-N 0 1 303.337 3.082 20 30 DGEDMN COc1cccc(NN=Cc2c[nH]nc2-c2cccc(C)c2)n1 ZINC000779817712 623814345 /nfs/dbraw/zinc/81/43/45/623814345.db2.gz MZHLSFFSRLGDNI-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN C#CCCCCCCN1CCN([C@@H](C)c2nccs2)CC1 ZINC000877380321 623863256 /nfs/dbraw/zinc/86/32/56/623863256.db2.gz HDMGZVKNQIOGTM-INIZCTEOSA-N 0 1 305.491 3.405 20 30 DGEDMN C=CCCN(C)Cc1cc(C(C)(C)NC(=O)OC(C)(C)C)no1 ZINC000877465013 623895824 /nfs/dbraw/zinc/89/58/24/623895824.db2.gz OQNGIQLSKGRSAG-UHFFFAOYSA-N 0 1 323.437 3.442 20 30 DGEDMN CCOc1ccc(CN=Nc2ccc(OC)cn2)cc1OCC ZINC000780271867 623901720 /nfs/dbraw/zinc/90/17/20/623901720.db2.gz YRQJIEMQCHABGI-UHFFFAOYSA-N 0 1 315.373 3.334 20 30 DGEDMN C[C@H]1CC(=NOCc2ccc(F)cc2Br)CN1C ZINC000780286557 623905060 /nfs/dbraw/zinc/90/50/60/623905060.db2.gz COTRGWFNIYIENF-VIFPVBQESA-N 0 1 315.186 3.185 20 30 DGEDMN CC1(C#N)CCN(Cc2cc(=O)oc3cc4c(cc23)CCC4)CC1 ZINC000780336926 623913118 /nfs/dbraw/zinc/91/31/18/623913118.db2.gz QTDYDKCDISCXFN-UHFFFAOYSA-N 0 1 322.408 3.407 20 30 DGEDMN CO[C@](C)(CNCc1cc(C#N)cnc1Cl)c1ccccc1 ZINC000877564946 623943571 /nfs/dbraw/zinc/94/35/71/623943571.db2.gz QFJXHVNDSAZFCG-QGZVFWFLSA-N 0 1 315.804 3.258 20 30 DGEDMN COc1ccc2cc(CNCc3ccc(C#N)cn3)ccc2c1 ZINC000780555076 623950118 /nfs/dbraw/zinc/95/01/18/623950118.db2.gz NDBRFONWCFYQFZ-UHFFFAOYSA-N 0 1 303.365 3.405 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cn(C)nc2-c2cc(F)ccc2F)C1 ZINC000877616740 623963749 /nfs/dbraw/zinc/96/37/49/623963749.db2.gz HAEFMJMDMOHQTR-CYBMUJFWSA-N 0 1 315.367 3.211 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H]1CN1CCC(C)(C#N)CC1 ZINC000780782762 623982933 /nfs/dbraw/zinc/98/29/33/623982933.db2.gz VIAIPCQSHDCJHP-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN Cc1cc(CN2CC[C@H](C(F)(F)F)O[C@@H](C)C2)ccc1C#N ZINC000877819404 624050576 /nfs/dbraw/zinc/05/05/76/624050576.db2.gz BQUNOKNOIZMRIP-SWLSCSKDSA-N 0 1 312.335 3.408 20 30 DGEDMN C=CC[C@@H](C)N1CCN(C(=O)c2ccccc2OC(F)F)CC1 ZINC000877897031 624082096 /nfs/dbraw/zinc/08/20/96/624082096.db2.gz OJRQERVAMKLFHA-CYBMUJFWSA-N 0 1 324.371 3.010 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H]1CC2(CO1)CCOCC2 ZINC000877907081 624087103 /nfs/dbraw/zinc/08/71/03/624087103.db2.gz OVHNGTAIANLZCO-OAHLLOKOSA-N 0 1 307.459 3.322 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)C[C@@H](O)C[C@@H](O)c1ccccc1 ZINC000877917256 624091470 /nfs/dbraw/zinc/09/14/70/624091470.db2.gz RNNTYVSOZFTNSC-VQTJNVASSA-N 0 1 311.425 3.159 20 30 DGEDMN CC(=O)Nc1ccc(C(C)=NNc2cnnc3ccccc32)cc1 ZINC000781594325 624100195 /nfs/dbraw/zinc/10/01/95/624100195.db2.gz IKRSSVIJHKEYIT-UHFFFAOYSA-N 0 1 319.368 3.424 20 30 DGEDMN CC(C)[C@H]1C[C@@H](CC(=O)Nc2cc(C#N)ccc2O)CCO1 ZINC000782023518 624189177 /nfs/dbraw/zinc/18/91/77/624189177.db2.gz GRSWCNRDDVUEBW-BLLLJJGKSA-N 0 1 302.374 3.044 20 30 DGEDMN CC(C)[C@H]1C[C@H](CC(=O)Nc2cc(C#N)ccc2O)CCO1 ZINC000782023526 624189337 /nfs/dbraw/zinc/18/93/37/624189337.db2.gz GRSWCNRDDVUEBW-MLGOLLRUSA-N 0 1 302.374 3.044 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccc2cnccc2c1 ZINC000782132488 624207910 /nfs/dbraw/zinc/20/79/10/624207910.db2.gz FHRFKUCRLPJILM-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCC(C(F)F)CC1)c1ccccc1 ZINC000878197407 624211808 /nfs/dbraw/zinc/21/18/08/624211808.db2.gz HIJQNBZJHRBKEX-GOSISDBHSA-N 0 1 320.383 3.404 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCOC3(CCC3)C2)c1O ZINC000129628227 625708107 /nfs/dbraw/zinc/70/81/07/625708107.db2.gz RJQQYBXJHPNXMM-UHFFFAOYSA-N 0 1 317.429 3.274 20 30 DGEDMN N#CC1(c2ccccn2)CCN(C[C@H]2CCC3(CCC3)O2)CC1 ZINC000878557417 624307285 /nfs/dbraw/zinc/30/72/85/624307285.db2.gz HACALTKUEGMEFX-MRXNPFEDSA-N 0 1 311.429 3.040 20 30 DGEDMN CN(C[C@H](O)c1cccc(Br)c1)CC1(CC#N)CC1 ZINC000878709121 624345110 /nfs/dbraw/zinc/34/51/10/624345110.db2.gz GIRPDOSQYUGCBV-AWEZNQCLSA-N 0 1 323.234 3.108 20 30 DGEDMN CN(C[C@@H](O)c1cccc(Br)c1)CC1(CC#N)CC1 ZINC000878709122 624345434 /nfs/dbraw/zinc/34/54/34/624345434.db2.gz GIRPDOSQYUGCBV-CQSZACIVSA-N 0 1 323.234 3.108 20 30 DGEDMN CCN(CCSC)CC[C@@](C#N)(C(C)=O)c1ccccc1 ZINC000878771343 624356756 /nfs/dbraw/zinc/35/67/56/624356756.db2.gz JJETYFVGNPSVRY-QGZVFWFLSA-N 0 1 304.459 3.112 20 30 DGEDMN C[C@@H](OC(=O)c1c(F)cc(O)cc1F)c1cccc(C#N)c1 ZINC000783716425 624386933 /nfs/dbraw/zinc/38/69/33/624386933.db2.gz PZFLETVJCKSFPL-SECBINFHSA-N 0 1 303.264 3.460 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1cc(-n2ccnc2)cs1 ZINC000783770660 624393949 /nfs/dbraw/zinc/39/39/49/624393949.db2.gz BFFGSAKPKRUPIQ-UHFFFAOYSA-N 0 1 313.342 3.288 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)c1cccc(C#N)c1 ZINC000783776059 624395251 /nfs/dbraw/zinc/39/52/51/624395251.db2.gz KGMXGMOXLLOKLW-XGUBFFRZSA-N 0 1 300.402 3.424 20 30 DGEDMN CC(C)[C@H](C(=O)O[C@@H](C)c1cccc(C#N)c1)N1CCCC1 ZINC000783777789 624395420 /nfs/dbraw/zinc/39/54/20/624395420.db2.gz PYRYXWVGYHYUJY-WMLDXEAASA-N 0 1 300.402 3.283 20 30 DGEDMN C[C@H]1CCCC[C@@H]1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000783823028 624398838 /nfs/dbraw/zinc/39/88/38/624398838.db2.gz YXCJZSTXOMOSTK-NWDGAFQWSA-N 0 1 301.415 3.345 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CN=Nc1ncccc1Cl ZINC000783832738 624399375 /nfs/dbraw/zinc/39/93/75/624399375.db2.gz MMYCNOKDIHDOPE-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000790931295 625717264 /nfs/dbraw/zinc/71/72/64/625717264.db2.gz NMXGZZNIGUXRDH-RHSMWYFYSA-N 0 1 302.418 3.224 20 30 DGEDMN COc1ccc(NN=Cc2cn(-c3ccncc3)nc2C)cc1 ZINC000783857151 624401365 /nfs/dbraw/zinc/40/13/65/624401365.db2.gz UTUHYQLKLBTLLK-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN C=CCCCCCN1Cc2cccnc2N2C[C@@H](OC)C[C@H]2C1 ZINC000879269379 624477385 /nfs/dbraw/zinc/47/73/85/624477385.db2.gz MZZCZMCHOCLOQL-ROUUACIJSA-N 0 1 315.461 3.237 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](CN2CCC[C@@](C)(C#N)C2)C1 ZINC000784452927 624526454 /nfs/dbraw/zinc/52/64/54/624526454.db2.gz FTZKZTPPGHCBQI-QAPCUYQASA-N 0 1 321.465 3.259 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](CN2CCC[C@@](C)(C#N)C2)C1 ZINC000784452928 624526629 /nfs/dbraw/zinc/52/66/29/624526629.db2.gz FTZKZTPPGHCBQI-YJBOKZPZSA-N 0 1 321.465 3.259 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3cnccc3C)[nH]c21 ZINC000784874330 624591193 /nfs/dbraw/zinc/59/11/93/624591193.db2.gz DQWIJMKCHYSGLC-LFAOLKIESA-N 0 1 316.364 3.464 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2ccc(F)cc2C#N)CC1 ZINC000879588350 624600882 /nfs/dbraw/zinc/60/08/82/624600882.db2.gz DUMSEGNIFLMYAD-UHFFFAOYSA-N 0 1 314.408 3.149 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000879713013 624648284 /nfs/dbraw/zinc/64/82/84/624648284.db2.gz NMHJLUDTTRYLHG-KBPBESRZSA-N 0 1 313.401 3.068 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1ccc(CC#N)cc1)N1CCCCC1 ZINC000785334549 624654576 /nfs/dbraw/zinc/65/45/76/624654576.db2.gz RDNGODUYXMJTSW-SFHVURJKSA-N 0 1 314.429 3.306 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000785457691 624669849 /nfs/dbraw/zinc/66/98/49/624669849.db2.gz PIADVSNOSOVJSM-ZIAGYGMSSA-N 0 1 324.350 3.208 20 30 DGEDMN Cc1csc([C@H]2CC[N@@H+](Cc3ccc(F)c(C#N)c3)C2)n1 ZINC000880008573 624751375 /nfs/dbraw/zinc/75/13/75/624751375.db2.gz UDEPUUXBCSGQOU-ZDUSSCGKSA-N 0 1 301.390 3.452 20 30 DGEDMN Cc1csc([C@@H]2CCN(Cc3cccc(C#N)c3F)C2)n1 ZINC000880010288 624752463 /nfs/dbraw/zinc/75/24/63/624752463.db2.gz WOZOZKLJDOGKKQ-CQSZACIVSA-N 0 1 301.390 3.452 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCc1ccc(OCC#N)cc1 ZINC000786340641 624856875 /nfs/dbraw/zinc/85/68/75/624856875.db2.gz QHMQEZJLHWIQJH-UHFFFAOYSA-N 0 1 313.357 3.101 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCCC2(C)C)c1 ZINC000786541001 624915155 /nfs/dbraw/zinc/91/51/55/624915155.db2.gz NTBFGIJZLRZRRT-JKSUJKDBSA-N 0 1 312.413 3.146 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786542787 624916643 /nfs/dbraw/zinc/91/66/43/624916643.db2.gz VOQLGOFCHKCPNJ-PBHICJAKSA-N 0 1 314.429 3.392 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786549298 624918553 /nfs/dbraw/zinc/91/85/53/624918553.db2.gz QLYFDIJFBVPPHR-HNNXBMFYSA-N 0 1 300.402 3.146 20 30 DGEDMN O=C(N[C@H]1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1)C(F)F ZINC000786924414 624964867 /nfs/dbraw/zinc/96/48/67/624964867.db2.gz AMJJRLDFLKPGTG-FMCRUOTFSA-N 0 1 313.188 3.277 20 30 DGEDMN C#CCC1(O)CCN([C@@H](C)c2ccc(Br)cc2)CC1 ZINC000880478476 624965317 /nfs/dbraw/zinc/96/53/17/624965317.db2.gz AHHVHNALGMEUSE-ZDUSSCGKSA-N 0 1 322.246 3.360 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(Br)cc2C)CC1 ZINC000880480946 624965413 /nfs/dbraw/zinc/96/54/13/624965413.db2.gz IKCSCJZEORXULO-UHFFFAOYSA-N 0 1 322.246 3.108 20 30 DGEDMN CC[C@H](CC#N)OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000787086905 624977970 /nfs/dbraw/zinc/97/79/70/624977970.db2.gz GWHPYWLNCCWIEU-CQSZACIVSA-N 0 1 310.357 3.198 20 30 DGEDMN C=CCCCCCN(C)Cc1cc(Br)nn1C ZINC000787173985 624985503 /nfs/dbraw/zinc/98/55/03/624985503.db2.gz OYCZZJSAGNKTPW-UHFFFAOYSA-N 0 1 300.244 3.361 20 30 DGEDMN CC[C@@H](C)CN(C)c1ccc(F)cc1C=NN[C@H]1CCOC1 ZINC000788439831 625172356 /nfs/dbraw/zinc/17/23/56/625172356.db2.gz NTWWMCMLYKEKSM-CJNGLKHVSA-N 0 1 307.413 3.020 20 30 DGEDMN CCN(CC)[C@H](C(=O)Nc1cccc(CC#N)n1)c1ccccc1 ZINC000882475805 625736656 /nfs/dbraw/zinc/73/66/56/625736656.db2.gz XULOHHTUPSRZPF-SFHVURJKSA-N 0 1 322.412 3.169 20 30 DGEDMN CCN1CC[C@@H]1CNC(=O)c1ccccc1-c1ccccc1C#N ZINC000882481899 625737837 /nfs/dbraw/zinc/73/78/37/625737837.db2.gz MXDVAMRCYJACTE-MRXNPFEDSA-N 0 1 319.408 3.049 20 30 DGEDMN CON=Cc1ccc(C(=O)Nc2cc3cn[nH]c3cc2C)cc1 ZINC000791052569 625744708 /nfs/dbraw/zinc/74/47/08/625744708.db2.gz YQLWOBVKZIHZTM-UHFFFAOYSA-N 0 1 308.341 3.104 20 30 DGEDMN C#C[C@H](CCC)NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000791074739 625749933 /nfs/dbraw/zinc/74/99/33/625749933.db2.gz UJJYOKWLMPWONF-CVEARBPZSA-N 0 1 321.852 3.044 20 30 DGEDMN CC(C)c1c[nH]c(CNCCSCc2ccccc2C#N)n1 ZINC000882881126 625842896 /nfs/dbraw/zinc/84/28/96/625842896.db2.gz YWJHKGHLMHBFSQ-UHFFFAOYSA-N 0 1 314.458 3.428 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CSCCS1)c1nccc2ccccc21 ZINC000792093734 625909119 /nfs/dbraw/zinc/90/91/19/625909119.db2.gz AHKSVGREETYNTC-KGLIPLIRSA-N 0 1 314.435 3.260 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1CSCCS1)c1nccc2ccccc21 ZINC000792093721 625909157 /nfs/dbraw/zinc/90/91/57/625909157.db2.gz AHKSVGREETYNTC-KBPBESRZSA-N 0 1 314.435 3.260 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000796387036 626045406 /nfs/dbraw/zinc/04/54/06/626045406.db2.gz XMGVMHWIJRJIBN-BJOHPYRUSA-N 0 1 304.781 3.333 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCCC1)C(=O)[C@H](F)CC1CCCCC1 ZINC000796631619 626068099 /nfs/dbraw/zinc/06/80/99/626068099.db2.gz HTKRABAHTXRWHA-JKSUJKDBSA-N 0 1 322.424 3.453 20 30 DGEDMN CCC(C)(C)C1CCC2(C[C@H]2C(=O)C(C#N)C(=O)NC)CC1 ZINC000796797755 626084078 /nfs/dbraw/zinc/08/40/78/626084078.db2.gz KTILOYUPYQLHTO-HPGRBHQUSA-N 0 1 304.434 3.074 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCC1)C(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC000796805456 626085104 /nfs/dbraw/zinc/08/51/04/626085104.db2.gz ZKMNJHCSCFDMRT-SVGFKBNWSA-N 0 1 316.445 3.361 20 30 DGEDMN CCOCCO[C@@H](C)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797572186 626154571 /nfs/dbraw/zinc/15/45/71/626154571.db2.gz ZZYRSVPZNCFJQC-NWDGAFQWSA-N 0 1 324.446 3.058 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CO[C@@H]2CCCc3ccccc32)c1 ZINC000797749318 626167142 /nfs/dbraw/zinc/16/71/42/626167142.db2.gz YJFSEBFHOQFEFS-GOSISDBHSA-N 0 1 322.364 3.297 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C[C@H]1CCC(F)(F)C1 ZINC000797941292 626182723 /nfs/dbraw/zinc/18/27/23/626182723.db2.gz PNQCCNPLDFLPQD-CHWSQXEVSA-N 0 1 314.376 3.217 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCC(F)(F)C1)C(=O)Nc1ccccc1 ZINC000797943507 626182986 /nfs/dbraw/zinc/18/29/86/626182986.db2.gz RNVWVQAPAGKUNX-WCQYABFASA-N 0 1 306.312 3.159 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC(F)(F)C1)C(=O)Nc1ccccc1 ZINC000797943506 626183042 /nfs/dbraw/zinc/18/30/42/626183042.db2.gz RNVWVQAPAGKUNX-DGCLKSJQSA-N 0 1 306.312 3.159 20 30 DGEDMN N#C[C@H](C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959399 626184413 /nfs/dbraw/zinc/18/44/13/626184413.db2.gz MLIYQBFAPBAZHE-QZPNVGJNSA-N 0 1 317.320 3.237 20 30 DGEDMN N#CC(C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959399 626184415 /nfs/dbraw/zinc/18/44/15/626184415.db2.gz MLIYQBFAPBAZHE-QZPNVGJNSA-N 0 1 317.320 3.237 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)c2ccnc(C)c2Cl)c1 ZINC000798396416 626215138 /nfs/dbraw/zinc/21/51/38/626215138.db2.gz SYISCCMWDOSCGK-UHFFFAOYSA-N 0 1 313.788 3.100 20 30 DGEDMN Cc1cccnc1[C@H]1CCCC(=NNCCN2CCCCC2)C1 ZINC000799108819 626272541 /nfs/dbraw/zinc/27/25/41/626272541.db2.gz LFXHTZUPHGUTEC-KRWDZBQOSA-N 0 1 314.477 3.479 20 30 DGEDMN COc1cc(C=NNc2cccc(C(F)(F)F)n2)ccc1C#N ZINC000799480974 626304475 /nfs/dbraw/zinc/30/44/75/626304475.db2.gz DCHJULLQPDRLDH-UHFFFAOYSA-N 0 1 320.274 3.427 20 30 DGEDMN Cc1ccc(C(=O)N2CCN(CCC(C)(C)C)CC2)cc1C#N ZINC000799570105 626310676 /nfs/dbraw/zinc/31/06/76/626310676.db2.gz HGYQFTGEGPIOKO-UHFFFAOYSA-N 0 1 313.445 3.061 20 30 DGEDMN C#CCOc1ccc(CNCc2cc(C)cc(Cl)n2)cc1 ZINC000800285247 626348506 /nfs/dbraw/zinc/34/85/06/626348506.db2.gz WSNCQTRCJAIKQL-UHFFFAOYSA-N 0 1 300.789 3.345 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000800383850 626355174 /nfs/dbraw/zinc/35/51/74/626355174.db2.gz GCFAXEQXFLUAKY-BQVMBELUSA-N 0 1 300.383 3.286 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)c2ncc(C(C)(C)C)s2)O1 ZINC000800411956 626357491 /nfs/dbraw/zinc/35/74/91/626357491.db2.gz QUMPJICKXXUONM-ICUOPCATSA-N 0 1 306.431 3.430 20 30 DGEDMN Cc1cccnc1NN=C1C(=O)Nc2cccc(C(F)(F)F)c21 ZINC000800817128 626376462 /nfs/dbraw/zinc/37/64/62/626376462.db2.gz UOFGIGACCWCAKD-UHFFFAOYSA-N 0 1 320.274 3.177 20 30 DGEDMN COC(=O)c1c(F)cccc1N=NCc1ccccc1OC ZINC000800818426 626376911 /nfs/dbraw/zinc/37/69/11/626376911.db2.gz XDBULCZQLDIGDI-UHFFFAOYSA-N 0 1 302.305 3.067 20 30 DGEDMN CC#CC(=O)N[C@@H](c1ccc(F)c(F)c1)C(C)(C)N1CCCC1 ZINC000801187718 626396839 /nfs/dbraw/zinc/39/68/39/626396839.db2.gz OYCYGZMCLGYCBF-KRWDZBQOSA-N 0 1 320.383 3.020 20 30 DGEDMN Cc1nn(-c2ccccn2)c(C)c1CNCc1ccc(C#N)cc1 ZINC000801719122 626415769 /nfs/dbraw/zinc/41/57/69/626415769.db2.gz CNRQMMWBVOULDX-UHFFFAOYSA-N 0 1 317.396 3.046 20 30 DGEDMN Cc1ccc(C(=O)C2CCN(CCO[C@H](C)C#N)CC2)c(C)c1 ZINC000801911538 626424896 /nfs/dbraw/zinc/42/48/96/626424896.db2.gz LPDKYVLTVFBXCI-MRXNPFEDSA-N 0 1 314.429 3.127 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2ccc(Cl)s2)nc(C)n1 ZINC000802038970 626429669 /nfs/dbraw/zinc/42/96/69/626429669.db2.gz UQJUGMFTJWMPNZ-LLVKDONJSA-N 0 1 305.790 3.227 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)nc1)c1cnc2ccccc2n1 ZINC000802227670 626436209 /nfs/dbraw/zinc/43/62/09/626436209.db2.gz IUCTUKMKGQOUPZ-LLVKDONJSA-N 0 1 308.728 3.168 20 30 DGEDMN C#CCCOc1ccc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)cc1 ZINC000883234649 626618361 /nfs/dbraw/zinc/61/83/61/626618361.db2.gz JNPKNQCGCHNEFH-TYKWCNGQSA-N 0 1 321.420 3.229 20 30 DGEDMN C[C@@H](NC[C@@H](O)C(F)(F)C(F)(F)F)c1cccc(F)c1F ZINC000883360738 626660772 /nfs/dbraw/zinc/66/07/72/626660772.db2.gz JVAVFTVLBNWZOB-HZGVNTEJSA-N 0 1 319.220 3.174 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1cnn(Cc2ccccc2)c1Cl ZINC000883400081 626673082 /nfs/dbraw/zinc/67/30/82/626673082.db2.gz DBUDMGPLTPOWGQ-INIZCTEOSA-N 0 1 319.836 3.002 20 30 DGEDMN CCCCCCC[C@@H](C)CNC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000806499860 626717876 /nfs/dbraw/zinc/71/78/76/626717876.db2.gz UXQDNXAXOAGCKZ-CHWSQXEVSA-N 0 1 309.458 3.470 20 30 DGEDMN CC(C)NC(=O)[C@@H](C#N)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC000132694182 626735266 /nfs/dbraw/zinc/73/52/66/626735266.db2.gz NXBVWHJKSGHMPG-NRXISQOPSA-N 0 1 306.450 3.462 20 30 DGEDMN C#Cc1cncc(C(=O)N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)c1 ZINC000806841361 626736882 /nfs/dbraw/zinc/73/68/82/626736882.db2.gz YEGQRBWWMDPMQE-SNVBAGLBSA-N 0 1 324.771 3.084 20 30 DGEDMN Cn1c2ccccc2[nH]c1=NN=Cc1ccc(C(F)(F)F)[nH]1 ZINC000807963930 626780118 /nfs/dbraw/zinc/78/01/18/626780118.db2.gz PTAGEGRFDMWSFO-UHFFFAOYSA-N 0 1 307.279 3.366 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1c(F)cccc1Cl ZINC000807979254 626782028 /nfs/dbraw/zinc/78/20/28/626782028.db2.gz OQLPIAODVIZLGG-STQMWFEESA-N 0 1 308.788 3.063 20 30 DGEDMN Cc1cc(C)cc(-n2ncc(CNCC(C)(C)CCC#N)n2)c1 ZINC000808430855 626823917 /nfs/dbraw/zinc/82/39/17/626823917.db2.gz UDYRWTIJGOXMRO-UHFFFAOYSA-N 0 1 311.433 3.304 20 30 DGEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCCCC1(C#N)CCOCC1 ZINC000800212280 626826208 /nfs/dbraw/zinc/82/62/08/626826208.db2.gz XECHYBOMVWNMFN-UHFFFAOYSA-N 0 1 319.405 3.099 20 30 DGEDMN N#Cc1ccc(F)c(COC(=O)c2ccc(O)c(Cl)c2)c1 ZINC000808776784 626860235 /nfs/dbraw/zinc/86/02/35/626860235.db2.gz BWMYQPSEFZYRBW-UHFFFAOYSA-N 0 1 305.692 3.413 20 30 DGEDMN CSCc1n[nH]c(CNc2cccc(C(F)F)c2C#N)n1 ZINC000884695113 626929528 /nfs/dbraw/zinc/92/95/28/626929528.db2.gz KHBGCPHROUFHKD-UHFFFAOYSA-N 0 1 309.345 3.089 20 30 DGEDMN CSCc1nnc(CNc2cccc(C(F)F)c2C#N)[nH]1 ZINC000884695113 626929531 /nfs/dbraw/zinc/92/95/31/626929531.db2.gz KHBGCPHROUFHKD-UHFFFAOYSA-N 0 1 309.345 3.089 20 30 DGEDMN C#C[C@@H](CC)NCc1cnn(-c2ccc(C(F)(F)F)cc2)c1 ZINC000809686476 626935970 /nfs/dbraw/zinc/93/59/70/626935970.db2.gz VJHNXSIROXEOMO-AWEZNQCLSA-N 0 1 307.319 3.393 20 30 DGEDMN C#C[C@@H](NC1CCC(C(=O)OC)CC1)c1ccc(Cl)cc1F ZINC000809699296 626937851 /nfs/dbraw/zinc/93/78/51/626937851.db2.gz NQZICBAKQVDTMD-IJGSQOGDSA-N 0 1 323.795 3.475 20 30 DGEDMN CCCCCCC[N@@H+]1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810070697 626973782 /nfs/dbraw/zinc/97/37/82/626973782.db2.gz VQMJDBNYVHWEQI-ZDUSSCGKSA-N 0 1 308.388 3.347 20 30 DGEDMN CCCCCCC[N@H+]1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810070697 626973786 /nfs/dbraw/zinc/97/37/86/626973786.db2.gz VQMJDBNYVHWEQI-ZDUSSCGKSA-N 0 1 308.388 3.347 20 30 DGEDMN COc1cc(CNCc2cnc(Cl)c(C)c2)ccc1C#N ZINC000810169634 626983903 /nfs/dbraw/zinc/98/39/03/626983903.db2.gz UXJOVBCMTDWIFG-UHFFFAOYSA-N 0 1 301.777 3.214 20 30 DGEDMN C[C@H](OC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C)c1cccc(C#N)c1 ZINC000885261965 626995454 /nfs/dbraw/zinc/99/54/54/626995454.db2.gz YCFXUSPXYIQTEA-NVGCLXPQSA-N 0 1 309.369 3.252 20 30 DGEDMN CC(C)(C)N1CC[C@](F)(C(=O)OCC2CCC(C#N)CC2)C1 ZINC000810718200 627040993 /nfs/dbraw/zinc/04/09/93/627040993.db2.gz BZBVZERJYCYHSP-MQBCKMQZSA-N 0 1 310.413 3.072 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)c(Cl)c1 ZINC000885669430 627041505 /nfs/dbraw/zinc/04/15/05/627041505.db2.gz FFLAWGYUKNVUNH-QFYYESIMSA-N 0 1 313.788 3.349 20 30 DGEDMN N#CC1(C[C@@H](O)CN[C@@H](c2ccccc2)c2ccccn2)CCC1 ZINC000886046916 627092841 /nfs/dbraw/zinc/09/28/41/627092841.db2.gz SGCMJLVHAIHROL-MJGOQNOKSA-N 0 1 321.424 3.205 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC[C@@](F)(c3ccccc3)C2)CCC1 ZINC000886192408 627119273 /nfs/dbraw/zinc/11/92/73/627119273.db2.gz VFHJUNTYGGHWMM-AEFFLSMTSA-N 0 1 302.393 3.002 20 30 DGEDMN C=C(C)CN(CCOC1CCCCC1)Cc1ccc(CO)o1 ZINC000886202920 627120479 /nfs/dbraw/zinc/12/04/79/627120479.db2.gz HYGDYBUDZPFXMT-UHFFFAOYSA-N 0 1 307.434 3.499 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2C)C1 ZINC000886355800 627142122 /nfs/dbraw/zinc/14/21/22/627142122.db2.gz NWCYWYXTVPPCQD-UONOGXRCSA-N 0 1 304.821 3.321 20 30 DGEDMN C=CCn1cc2ccc(NC(=O)[C@@H]3CCCN3C(C)C)cc2n1 ZINC000886650701 627180880 /nfs/dbraw/zinc/18/08/80/627180880.db2.gz BZSWWJYFUUCUPW-KRWDZBQOSA-N 0 1 312.417 3.034 20 30 DGEDMN C=CCC1(O)CCN(CC#Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000886766691 627202219 /nfs/dbraw/zinc/20/22/19/627202219.db2.gz PBSLXFPMRLNEDT-UHFFFAOYSA-N 0 1 323.358 3.460 20 30 DGEDMN C=CCC1(O)CCN(CCOc2ccc(F)cc2Cl)CC1 ZINC000886776868 627203747 /nfs/dbraw/zinc/20/37/47/627203747.db2.gz ROGAMVFLYPZKTP-UHFFFAOYSA-N 0 1 313.800 3.261 20 30 DGEDMN C#CCCOc1ccc(CN2CC(C)(C)[C@H]2[C@@H]2CCCO2)cc1 ZINC000811510611 627285500 /nfs/dbraw/zinc/28/55/00/627285500.db2.gz LBMSNYBGBFPEPV-RBUKOAKNSA-N 0 1 313.441 3.478 20 30 DGEDMN C=CCCCCCCCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000811589910 627293320 /nfs/dbraw/zinc/29/33/20/627293320.db2.gz LSKJOOQBNUTIMF-UHFFFAOYSA-N 0 1 303.450 3.474 20 30 DGEDMN C[C@@H]1C(N=Nc2ccc(C(=O)[O-])cc2)CC[N@H+]1Cc1ccccc1 ZINC000811629366 627296341 /nfs/dbraw/zinc/29/63/41/627296341.db2.gz YAEAUGGBACQSEH-CQSZACIVSA-N 0 1 323.396 3.447 20 30 DGEDMN C[C@@H]1C(N=Nc2cccc(C(=O)[O-])c2)CC[N@H+]1Cc1ccccc1 ZINC000811629205 627296677 /nfs/dbraw/zinc/29/66/77/627296677.db2.gz MCGOPOZNWSNXHD-CQSZACIVSA-N 0 1 323.396 3.447 20 30 DGEDMN Fc1ccc(C(C[C@@H]2CCCO2)N=Nc2cncnc2)cc1 ZINC000811648438 627299466 /nfs/dbraw/zinc/29/94/66/627299466.db2.gz RQEHWCUZOPMVEB-HNNXBMFYSA-N 0 1 300.337 3.001 20 30 DGEDMN C=CCCCCCCCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000811917765 627337017 /nfs/dbraw/zinc/33/70/17/627337017.db2.gz JWCNVZGPHHRSEA-HNNXBMFYSA-N 0 1 318.465 3.483 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cc(F)ccc2OC)c(O)c1 ZINC000812242994 627364273 /nfs/dbraw/zinc/36/42/73/627364273.db2.gz AIMJJHVZBSIXES-CLTKARDFSA-N 0 1 302.301 3.445 20 30 DGEDMN COCC1(Cn2[nH]c(C(C)(C)C)c(CCC#N)c2=O)CCC1 ZINC000812304659 627368955 /nfs/dbraw/zinc/36/89/55/627368955.db2.gz RPULLDDWJHHXTR-UHFFFAOYSA-N 0 1 305.422 3.159 20 30 DGEDMN CON(C[C@@H]1CCC[C@@H](C)C1)C(=O)c1ccc(C#N)c(O)c1 ZINC000812422237 627384438 /nfs/dbraw/zinc/38/44/38/627384438.db2.gz VJIUSEVEOYKZCF-CHWSQXEVSA-N 0 1 302.374 3.094 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2cc(C(=O)CC)ccc2O)cc1 ZINC000812704129 627412506 /nfs/dbraw/zinc/41/25/06/627412506.db2.gz KAFPOANGXBNTJG-UHFFFAOYSA-N 0 1 323.348 3.249 20 30 DGEDMN C#Cc1ccccc1CC(=O)Nc1cc(C(=O)CC)ccc1O ZINC000812706492 627413009 /nfs/dbraw/zinc/41/30/09/627413009.db2.gz RLZCIOSAYXBSMM-UHFFFAOYSA-N 0 1 307.349 3.147 20 30 DGEDMN CO[C@@](C)(C(=O)[C@H](C#N)c1nc2ccccc2s1)C1CC1 ZINC000813057747 627444046 /nfs/dbraw/zinc/44/40/46/627444046.db2.gz QMEKRMJVEYKRBH-MEDUHNTESA-N 0 1 300.383 3.288 20 30 DGEDMN N#CC(C(=O)C=Cc1ccc[nH]1)c1cc(C(F)(F)F)ccn1 ZINC000813216407 627468172 /nfs/dbraw/zinc/46/81/72/627468172.db2.gz QYOSBRPGXFKALS-MVZIDQBPSA-N 0 1 305.259 3.318 20 30 DGEDMN N#CC(C(=O)CCc1ccccn1)c1cc(C(F)(F)F)ccn1 ZINC000813213894 627468360 /nfs/dbraw/zinc/46/83/60/627468360.db2.gz NHWJTUPKWYZRNU-ZDUSSCGKSA-N 0 1 319.286 3.304 20 30 DGEDMN N#Cc1ccc(F)c(CN[C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000813861028 627516974 /nfs/dbraw/zinc/51/69/74/627516974.db2.gz WTVJTMKJFLZXDP-MRXNPFEDSA-N 0 1 313.380 3.227 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CC[C@H](O)C12CCCC2 ZINC000814665852 627582236 /nfs/dbraw/zinc/58/22/36/627582236.db2.gz UEJSOBNUKJEINO-INIZCTEOSA-N 0 1 320.820 3.122 20 30 DGEDMN Cn1c(=O)ccc2c1CCCC2=NN=c1ccc2ccccc2[nH]1 ZINC000814697221 627584691 /nfs/dbraw/zinc/58/46/91/627584691.db2.gz DQLURZFLIFDBTM-UHFFFAOYSA-N 0 1 318.380 3.086 20 30 DGEDMN Cn1c2ccccc2[nH]c1=NN=Cc1cccc(O)c1Cl ZINC000814807322 627593452 /nfs/dbraw/zinc/59/34/52/627593452.db2.gz PMKWWXQVCQOILC-UHFFFAOYSA-N 0 1 300.749 3.378 20 30 DGEDMN COc1ccc2nc(NN=Cc3ccnc(C)n3)c(C)cc2c1 ZINC000814898980 627604667 /nfs/dbraw/zinc/60/46/67/627604667.db2.gz FIWVALRJXWRJNX-UHFFFAOYSA-N 0 1 307.357 3.096 20 30 DGEDMN C[C@@H]1C(=NOC2CCOCC2)CCC[N@@H+]1Cc1ccccc1 ZINC000814907312 627605703 /nfs/dbraw/zinc/60/57/03/627605703.db2.gz CYQIMRKVFQZWMN-OAHLLOKOSA-N 0 1 302.418 3.223 20 30 DGEDMN C[C@@H]1C(=NOC2CCOCC2)CCCN1Cc1ccccc1 ZINC000814907312 627605705 /nfs/dbraw/zinc/60/57/05/627605705.db2.gz CYQIMRKVFQZWMN-OAHLLOKOSA-N 0 1 302.418 3.223 20 30 DGEDMN Nc1ncc2c(n1)CCCC2N=Nc1c(F)cccc1Cl ZINC000814905346 627605863 /nfs/dbraw/zinc/60/58/63/627605863.db2.gz URRLUVORFIWKLN-UHFFFAOYSA-N 0 1 305.744 3.004 20 30 DGEDMN C=CCC(F)(F)C(=O)NCC(C)(C)N1CCc2ccccc2C1 ZINC000814954983 627613607 /nfs/dbraw/zinc/61/36/07/627613607.db2.gz SFJGOWLZYWSYKQ-UHFFFAOYSA-N 0 1 322.399 3.151 20 30 DGEDMN CC(N=Nc1cnnn1C)c1ccc(Cl)c(C(F)(F)F)c1 ZINC000814980857 627615190 /nfs/dbraw/zinc/61/51/90/627615190.db2.gz NPJMCVYWUFYIMU-UHFFFAOYSA-N 0 1 317.702 3.323 20 30 DGEDMN C[C@H](NCCOCCC#N)c1nc2ccccc2n1C(F)F ZINC000815098193 627626185 /nfs/dbraw/zinc/62/61/85/627626185.db2.gz CLTBSGODKFSEIH-NSHDSACASA-N 0 1 308.332 3.012 20 30 DGEDMN COc1ccc(F)c(CNCc2ccc(OC)c(C#N)c2)c1 ZINC000815132133 627634216 /nfs/dbraw/zinc/63/42/16/627634216.db2.gz VKRAPSZQZZJQKL-UHFFFAOYSA-N 0 1 300.333 3.004 20 30 DGEDMN O=C(OCC#Cc1ccccc1)[C@@H]1CCCCN1C1CCCC1 ZINC000815444683 627674158 /nfs/dbraw/zinc/67/41/58/627674158.db2.gz HQVJCGLCWBNWBZ-IBGZPJMESA-N 0 1 311.425 3.378 20 30 DGEDMN CO[C@@H]1CCC[C@H](C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000815954500 627717080 /nfs/dbraw/zinc/71/70/80/627717080.db2.gz DPTCWKDOGBEXSN-BFHYXJOUSA-N 0 1 311.385 3.253 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@@H](CCO)CC1 ZINC000817298654 627879498 /nfs/dbraw/zinc/87/94/98/627879498.db2.gz OABJWNPLDKPMOJ-CQSZACIVSA-N 0 1 322.836 3.227 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](CC)c2cccc(OC)n2)cc1 ZINC000926530791 627909548 /nfs/dbraw/zinc/90/95/48/627909548.db2.gz PNCBBHPHDPXRFB-KRWDZBQOSA-N 0 1 310.397 3.343 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCC(C)(C)C#N)C12CCC2 ZINC000926874023 627967278 /nfs/dbraw/zinc/96/72/78/627967278.db2.gz MBFXNKDBWDSYLL-CYBMUJFWSA-N 0 1 307.438 3.058 20 30 DGEDMN CC(C)n1cc2c(n1)[C@H](NC[C@@H](O)c1ccc(C#N)cc1)CCC2 ZINC000819218574 628114637 /nfs/dbraw/zinc/11/46/37/628114637.db2.gz QBMWEGOJUTYZSI-QZTJIDSGSA-N 0 1 324.428 3.036 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2[C@H](C)c2ccccc2)CC1 ZINC000928388104 628146314 /nfs/dbraw/zinc/14/63/14/628146314.db2.gz WHSIYIPFGHWNNN-XLIONFOSSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCC(NC(=O)c2sccc2-c2ccccc2)CC1 ZINC000928658351 628173319 /nfs/dbraw/zinc/17/33/19/628173319.db2.gz GMTGZETUVWXGBD-UHFFFAOYSA-N 0 1 324.449 3.243 20 30 DGEDMN N#CC(C(=O)C=C[C@@H]1CCCO1)c1nc2cc(F)ccc2s1 ZINC000820031523 628215053 /nfs/dbraw/zinc/21/50/53/628215053.db2.gz KVXFYAXPCPLPSK-RUULKOMLSA-N 0 1 316.357 3.347 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(C(C)(C)C)cc2)CC1 ZINC000929143082 628216223 /nfs/dbraw/zinc/21/62/23/628216223.db2.gz KGAKOAXPEJDBCV-UHFFFAOYSA-N 0 1 313.445 3.203 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cccc2[nH]c(C(F)F)nc21 ZINC000820223294 628236832 /nfs/dbraw/zinc/23/68/32/628236832.db2.gz CMJUAJZNAIMFBM-UHFFFAOYSA-N 0 1 304.300 3.042 20 30 DGEDMN CC(CC1CCC1)N=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1 ZINC000820236074 628238327 /nfs/dbraw/zinc/23/83/27/628238327.db2.gz XCFRRKCSWSZQJL-UHFFFAOYSA-N 0 1 317.397 3.130 20 30 DGEDMN COC(=O)c1ccc(/C=C\C(=O)c2cccc(CN(C)C)c2)o1 ZINC000821018201 628327129 /nfs/dbraw/zinc/32/71/29/628327129.db2.gz XRRCZJOMWZVYAG-CLFYSBASSA-N 0 1 313.353 3.024 20 30 DGEDMN N#CC1(CCOC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCCC1 ZINC000840456297 628352896 /nfs/dbraw/zinc/35/28/96/628352896.db2.gz MXLBUHHMVMARPV-UHFFFAOYSA-N 0 1 324.384 3.493 20 30 DGEDMN C#Cc1cccc(CNC(=O)c2ccc(CN3CCCCC3)o2)c1 ZINC000822017590 628416196 /nfs/dbraw/zinc/41/61/96/628416196.db2.gz PFCSTZVYQIWSRK-UHFFFAOYSA-N 0 1 322.408 3.177 20 30 DGEDMN CC(C)[C@@H](CNC(=O)OC(C)(C)C)CN1CCC[C@](C)(C#N)C1 ZINC000824146801 628631323 /nfs/dbraw/zinc/63/13/23/628631323.db2.gz BDPQWCYFGYOCDK-MAUKXSAKSA-N 0 1 323.481 3.409 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC[C@@H](C)C[C@@H]2C)o1 ZINC000824899414 628703885 /nfs/dbraw/zinc/70/38/85/628703885.db2.gz JMDSXDKODFHAOA-ZJUUUORDSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCC[C@H](NCc1cc(Cl)cc(F)c1O)C(=O)OCC ZINC000825118698 628729860 /nfs/dbraw/zinc/72/98/60/628729860.db2.gz WLGCYOLZXDIJKI-ZDUSSCGKSA-N 0 1 315.772 3.172 20 30 DGEDMN CC[C@H]1CCCCC1N=Nc1oc(C(C)C)nc1-c1nn[nH]n1 ZINC000825195258 628737233 /nfs/dbraw/zinc/73/72/33/628737233.db2.gz VRJZBZHIHFHMFH-JTQLQIEISA-N 0 1 317.397 3.346 20 30 DGEDMN C#Cc1cccc(CNCc2cc3c(cc2OC)C[C@H](C)O3)c1 ZINC000825227750 628739754 /nfs/dbraw/zinc/73/97/54/628739754.db2.gz ZPHOYTFIZWTYLY-AWEZNQCLSA-N 0 1 307.393 3.290 20 30 DGEDMN CC(C)C[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000826831766 628892555 /nfs/dbraw/zinc/89/25/55/628892555.db2.gz GUBHHHDGDMFRAF-NVXWUHKLSA-N 0 1 300.402 3.442 20 30 DGEDMN COC(=O)/C=C/c1ccc(CNC(C)(C)c2cccc(C#N)c2)o1 ZINC000826911542 628903013 /nfs/dbraw/zinc/90/30/13/628903013.db2.gz ZZVURPXVXUKKGM-MDZDMXLPSA-N 0 1 324.380 3.362 20 30 DGEDMN C#C[C@H](NCc1ccc(CSC(F)F)o1)C1CCOCC1 ZINC000827569697 628971907 /nfs/dbraw/zinc/97/19/07/628971907.db2.gz DBTLUAWUOGVZCY-AWEZNQCLSA-N 0 1 315.385 3.253 20 30 DGEDMN C#CCC[N@H+](CCOC)Cc1ccc(F)cc1C(F)(F)F ZINC000827823791 628994591 /nfs/dbraw/zinc/99/45/91/628994591.db2.gz UEDOGLWMYJHZBV-UHFFFAOYSA-N 0 1 303.299 3.316 20 30 DGEDMN C#CCCN(CCOC)Cc1ccc(F)cc1C(F)(F)F ZINC000827823791 628994594 /nfs/dbraw/zinc/99/45/94/628994594.db2.gz UEDOGLWMYJHZBV-UHFFFAOYSA-N 0 1 303.299 3.316 20 30 DGEDMN C#CCOc1ccccc1CN1Cc2c[nH]nc2CC(C)(C)C1 ZINC000828013879 629014466 /nfs/dbraw/zinc/01/44/66/629014466.db2.gz NKHCUQDTSYKOGX-UHFFFAOYSA-N 0 1 309.413 3.006 20 30 DGEDMN C[C@@H]1c2ccccc2OCC[N@H+]1[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000828526808 629062646 /nfs/dbraw/zinc/06/26/46/629062646.db2.gz DPJZEBRIVJXWRX-FZKQIMNGSA-N 0 1 322.364 3.140 20 30 DGEDMN CCCCCCCCCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000829922576 629208874 /nfs/dbraw/zinc/20/88/74/629208874.db2.gz GXTCVMZDRFRIGZ-AWEZNQCLSA-N 0 1 306.454 3.482 20 30 DGEDMN C[N@@H+](Cc1cc(Cl)ccc1OCC#N)C1(C(=O)[O-])CCCC1 ZINC000830806890 629307579 /nfs/dbraw/zinc/30/75/79/629307579.db2.gz XWWJPNRGQMQJMR-UHFFFAOYSA-N 0 1 322.792 3.072 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@]23CCCC[C@H]2C3(F)F)c1 ZINC000831272368 629356318 /nfs/dbraw/zinc/35/63/18/629356318.db2.gz VUYMLLVFKDWATF-UKRRQHHQSA-N 0 1 306.312 3.418 20 30 DGEDMN C[C@@H](CC#N)C(=O)Nc1cc(Cl)cc(Br)c1O ZINC000831298077 629359404 /nfs/dbraw/zinc/35/94/04/629359404.db2.gz BVGZURFRWFSMNO-LURJTMIESA-N 0 1 317.570 3.296 20 30 DGEDMN C=CCOCCCC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831904664 629426527 /nfs/dbraw/zinc/42/65/27/629426527.db2.gz GWMMXIUKZBGQBZ-HNNXBMFYSA-N 0 1 319.449 3.222 20 30 DGEDMN CC1(C)C[C@H](O)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000832135697 629444698 /nfs/dbraw/zinc/44/46/98/629444698.db2.gz FXWYJIQLRZJLKY-OAHLLOKOSA-N 0 1 322.836 3.225 20 30 DGEDMN Cc1cccc(OCC[N@H+](C)[C@@H](C(=O)[O-])c2cccc(C#N)c2)c1 ZINC000832972078 629542165 /nfs/dbraw/zinc/54/21/65/629542165.db2.gz IPXYKVJMFABMMW-GOSISDBHSA-N 0 1 324.380 3.003 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1occc1C(=O)[O-] ZINC000833064778 629554443 /nfs/dbraw/zinc/55/44/43/629554443.db2.gz NKWPCDKHJRCPCG-HZPDHXFCSA-N 0 1 310.353 3.250 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1occc1C(=O)[O-] ZINC000833064778 629554446 /nfs/dbraw/zinc/55/44/46/629554446.db2.gz NKWPCDKHJRCPCG-HZPDHXFCSA-N 0 1 310.353 3.250 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3F)C2)c1 ZINC000833074472 629555364 /nfs/dbraw/zinc/55/53/64/629555364.db2.gz YPSWFTDBBXHFQP-CRAIPNDOSA-N 0 1 324.355 3.313 20 30 DGEDMN N#Cc1ccccc1-c1ccc(C[N@@H+]2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC000833075128 629555746 /nfs/dbraw/zinc/55/57/46/629555746.db2.gz LZGJNQAXNHJJSB-LJQANCHMSA-N 0 1 324.355 3.224 20 30 DGEDMN C=C(C)COc1cc(C[N@H+]2CCCC[C@H]2C(=O)[O-])ccc1OC ZINC000833369402 629593089 /nfs/dbraw/zinc/59/30/89/629593089.db2.gz VPUWLQHBXHVQKY-HNNXBMFYSA-N 0 1 319.401 3.089 20 30 DGEDMN CC(C)(C)OC1CC[NH+](Cc2cccc(C(=O)[O-])c2C#N)CC1 ZINC000833445622 629605357 /nfs/dbraw/zinc/60/53/57/629605357.db2.gz DGSMTUGNNNOVIG-UHFFFAOYSA-N 0 1 316.401 3.036 20 30 DGEDMN C[C@@H](Oc1ccc(C[N@H+](CCC#N)CC(C)(C)C)cc1)C(=O)[O-] ZINC000833537692 629617953 /nfs/dbraw/zinc/61/79/53/629617953.db2.gz NQQZWWCRFGZOFC-CQSZACIVSA-N 0 1 318.417 3.300 20 30 DGEDMN C[C@@H](Oc1ccc(C[N@@H+](CCC#N)CC(C)(C)C)cc1)C(=O)[O-] ZINC000833537692 629617956 /nfs/dbraw/zinc/61/79/56/629617956.db2.gz NQQZWWCRFGZOFC-CQSZACIVSA-N 0 1 318.417 3.300 20 30 DGEDMN C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC000833549707 629619172 /nfs/dbraw/zinc/61/91/72/629619172.db2.gz RWODRANSUYBMCT-LBPRGKRZSA-N 0 1 310.353 3.155 20 30 DGEDMN C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC000833549707 629619173 /nfs/dbraw/zinc/61/91/73/629619173.db2.gz RWODRANSUYBMCT-LBPRGKRZSA-N 0 1 310.353 3.155 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2C[C@H]2CC(C)C)cc1 ZINC000835066349 629842586 /nfs/dbraw/zinc/84/25/86/629842586.db2.gz YXPQKDYRAPILGY-DAXOMENPSA-N 0 1 314.385 3.025 20 30 DGEDMN C[C@@H](CC(=O)[C@H](C#N)C(=O)NC1CCCC1)C1CCCCC1 ZINC000102122256 629951205 /nfs/dbraw/zinc/95/12/05/629951205.db2.gz RGFSCLWVPLPYOQ-BBRMVZONSA-N 0 1 304.434 3.361 20 30 DGEDMN O=C(C#CC1CC1)Nc1cc(Cl)cc(Br)c1O ZINC000837495980 630266703 /nfs/dbraw/zinc/26/67/03/630266703.db2.gz HFKQBLTXXOBKFW-UHFFFAOYSA-N 0 1 314.566 3.160 20 30 DGEDMN COC(=O)[C@H](CC1CC1)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000840711167 630350593 /nfs/dbraw/zinc/35/05/93/630350593.db2.gz OYCAZMYAOFNCDS-MSBCHBQFSA-N 0 1 316.184 3.460 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN[C@@H]2COCc3ccccc32)cc1 ZINC000841891386 630473748 /nfs/dbraw/zinc/47/37/48/630473748.db2.gz OYGRYLUZHCMSLO-IFXJQAMLSA-N 0 1 308.381 3.339 20 30 DGEDMN C[C@@H](NCC1(C#N)CCC1)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000841897994 630474778 /nfs/dbraw/zinc/47/47/78/630474778.db2.gz JWTUUZXRZXCGQY-HUUCEWRRSA-N 0 1 321.465 3.448 20 30 DGEDMN CCCCCCO[C@@H](C)C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000842290259 630511854 /nfs/dbraw/zinc/51/18/54/630511854.db2.gz WDQOANCEUHIJGN-GOEBONIOSA-N 0 1 322.449 3.130 20 30 DGEDMN C#C[C@@H](OC(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccccc1 ZINC000842391467 630526580 /nfs/dbraw/zinc/52/65/80/630526580.db2.gz OQZICGUQUGKLER-QAPCUYQASA-N 0 1 303.377 3.117 20 30 DGEDMN C#CC[C@@H]1CCCN(CN2C[C@@](C)(Cc3ccccc3)CC2=O)C1 ZINC000842629225 630555977 /nfs/dbraw/zinc/55/59/77/630555977.db2.gz LPFCOVGURXWGBK-CTNGQTDRSA-N 0 1 324.468 3.161 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)C1(F)CC1 ZINC000842684319 630563023 /nfs/dbraw/zinc/56/30/23/630563023.db2.gz BMOZJQMWIYEUTO-QMMMGPOBSA-N 0 1 315.131 3.143 20 30 DGEDMN N#C[C@@H](C(=O)CCCC(=O)C1CC1)c1nc(C2CC2)cs1 ZINC000842942794 630596997 /nfs/dbraw/zinc/59/69/97/630596997.db2.gz NDEUNGVJACFWFC-LBPRGKRZSA-N 0 1 302.399 3.346 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cc3c(cc2OC(F)F)OCO3)C1 ZINC000843424159 630656153 /nfs/dbraw/zinc/65/61/53/630656153.db2.gz PUZCCWXVDQBALV-LBPRGKRZSA-N 0 1 323.339 3.252 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2nc3ccc(Cl)cc3n2C)C1 ZINC000843423925 630656437 /nfs/dbraw/zinc/65/64/37/630656437.db2.gz LSQQCRFRSWXIIZ-ZDUSSCGKSA-N 0 1 301.821 3.462 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2C[C@@H](C)OC3(CCC3)C2)cc1 ZINC000843435979 630659014 /nfs/dbraw/zinc/65/90/14/630659014.db2.gz YCTKATMVUZPSQG-LSDHHAIUSA-N 0 1 300.402 3.121 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000843999620 630706293 /nfs/dbraw/zinc/70/62/93/630706293.db2.gz FZZQLEDRYRDOSK-INIZCTEOSA-N 0 1 319.408 3.123 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2ccc(Cl)cc2OC)c1 ZINC000844256355 630714221 /nfs/dbraw/zinc/71/42/21/630714221.db2.gz VJMHAMRDUYQQJS-UHFFFAOYSA-N 0 1 321.785 3.131 20 30 DGEDMN CN(Cc1ccccc1OC1CC1)C[C@H](O)c1cccc(C#N)c1 ZINC000844371660 630722205 /nfs/dbraw/zinc/72/22/05/630722205.db2.gz PWXVBNDJLOBFRQ-IBGZPJMESA-N 0 1 322.408 3.265 20 30 DGEDMN C=CCSc1nc2ccccc2n1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844752002 630836081 /nfs/dbraw/zinc/83/60/81/630836081.db2.gz HNPJTMNOHCWMPY-TXEJJXNPSA-N 0 1 321.396 3.469 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC000845443742 631175309 /nfs/dbraw/zinc/17/53/09/631175309.db2.gz HIJGCBGYSDUGIJ-OWCLPIDISA-N 0 1 304.434 3.218 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCC1)c1ccccc1 ZINC000845528705 631180663 /nfs/dbraw/zinc/18/06/63/631180663.db2.gz LGHMYCBCLFHALX-BSDSXHPESA-N 0 1 324.424 3.399 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1)n1cccc1 ZINC000845839443 631198350 /nfs/dbraw/zinc/19/83/50/631198350.db2.gz UMEZXWMMSPZBNF-RDJZCZTQSA-N 0 1 320.392 3.449 20 30 DGEDMN CC(C)CO[C@H](C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845838542 631198442 /nfs/dbraw/zinc/19/84/42/631198442.db2.gz NZENMZNPHWHPMT-ZBFHGGJFSA-N 0 1 313.397 3.057 20 30 DGEDMN CC[C@@H](SC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845841759 631198591 /nfs/dbraw/zinc/19/85/91/631198591.db2.gz PZCLNNKKXLVSRI-ZIAGYGMSSA-N 0 1 301.411 3.138 20 30 DGEDMN N#CCCCCCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845842640 631198643 /nfs/dbraw/zinc/19/86/43/631198643.db2.gz GUOJTMUCGJUQIM-MRXNPFEDSA-N 0 1 308.381 3.470 20 30 DGEDMN N#CC(C(=O)CCCC(=O)C1CC1)=C(O)C1(c2ccccc2)CC1 ZINC000845843732 631198795 /nfs/dbraw/zinc/19/87/95/631198795.db2.gz MHCKYJSYVYLOIW-MRXNPFEDSA-N 0 1 323.392 3.146 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CC[C@H]2C[C@H]21)=C(O)C1(c2ccccc2)CC1 ZINC000845845149 631198852 /nfs/dbraw/zinc/19/88/52/631198852.db2.gz VNWCDZRHSZEBKM-XJNFMUPTSA-N 0 1 307.393 3.432 20 30 DGEDMN C#Cc1ccc(CNc2ccc3c(c2)nc(C)n3[C@@H](C)CO)cc1 ZINC000846061007 631208983 /nfs/dbraw/zinc/20/89/83/631208983.db2.gz WMYSLXYXHDDJQJ-AWEZNQCLSA-N 0 1 319.408 3.491 20 30 DGEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N2CCC[C@H]2c2cccs2)C1 ZINC000847031402 631385873 /nfs/dbraw/zinc/38/58/73/631385873.db2.gz QAWXXUNOVCXTJP-XHSDSOJGSA-N 0 1 316.470 3.145 20 30 DGEDMN N#CCSc1ccccc1C(=O)OCc1cc(C2CC2)[nH]n1 ZINC000848015576 631598230 /nfs/dbraw/zinc/59/82/30/631598230.db2.gz PHZXFMVJRYSLGG-UHFFFAOYSA-N 0 1 313.382 3.260 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)c2cccc(C(F)(F)F)c2O)C1 ZINC000848237361 631631781 /nfs/dbraw/zinc/63/17/81/631631781.db2.gz ZRTUIKGOROIBJO-LLVKDONJSA-N 0 1 311.303 3.287 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cc(-c3ccccc3Cl)[nH]n2)C1 ZINC000848288468 631640693 /nfs/dbraw/zinc/64/06/93/631640693.db2.gz KUPNZNGQBOKGND-GFCCVEGCSA-N 0 1 313.788 3.216 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000848532828 631681226 /nfs/dbraw/zinc/68/12/26/631681226.db2.gz BMAKNHCYOQGABW-QGZVFWFLSA-N 0 1 322.364 3.384 20 30 DGEDMN C#C[C@H](C)NCc1cn(-c2cccc(Br)c2)nc1C ZINC000848910473 631783157 /nfs/dbraw/zinc/78/31/57/631783157.db2.gz KWXFREWVMDZKCM-NSHDSACASA-N 0 1 318.218 3.055 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c[nH]nc2-c2c(F)cccc2F)C1 ZINC000848923197 631788399 /nfs/dbraw/zinc/78/83/99/631788399.db2.gz BDTSLDPBZBTKGG-GFCCVEGCSA-N 0 1 301.340 3.200 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC000848924751 631790179 /nfs/dbraw/zinc/79/01/79/631790179.db2.gz ZGNYVQYMOSMVMV-CYBMUJFWSA-N 0 1 305.443 3.271 20 30 DGEDMN C[C@@H]1C[C@H](/N=C\c2cc(Cl)cc(Cl)c2O)c2ncnn21 ZINC000849170343 631852396 /nfs/dbraw/zinc/85/23/96/631852396.db2.gz OGKXWCTVNVRCJC-SEBXDJDISA-N 0 1 311.172 3.415 20 30 DGEDMN CC(C)(C(=O)NOCc1ccc(C#N)cc1F)c1ccccc1 ZINC000108847728 631960461 /nfs/dbraw/zinc/96/04/61/631960461.db2.gz GXJRWCRIVQUBQT-UHFFFAOYSA-N 0 1 312.344 3.223 20 30 DGEDMN COC1(C(=O)C(C#N)c2nc(C)cs2)CCC(F)(F)CC1 ZINC000850737629 632209694 /nfs/dbraw/zinc/20/96/94/632209694.db2.gz YIOZMJKPIDWBMY-SNVBAGLBSA-N 0 1 314.357 3.222 20 30 DGEDMN N#CC(C(=O)CC1(C#N)CCCCC1)C(=O)NC1CCCCC1 ZINC000850757739 632216949 /nfs/dbraw/zinc/21/69/49/632216949.db2.gz PWQXGAUWTLDYEO-OAHLLOKOSA-N 0 1 315.417 3.008 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@@H]1CCCC2(CC2)C1 ZINC000850758128 632217140 /nfs/dbraw/zinc/21/71/40/632217140.db2.gz YSPRMSDHQCJOKR-HIFRSBDPSA-N 0 1 302.418 3.115 20 30 DGEDMN CO[C@H](C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)C1CCCC1 ZINC000850849806 632237041 /nfs/dbraw/zinc/23/70/41/632237041.db2.gz KDEIHNZHAIEJRP-DYVFJYSZSA-N 0 1 311.385 3.253 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C1CC2(CC2)C1)c1ccccc1 ZINC000850977720 632275014 /nfs/dbraw/zinc/27/50/14/632275014.db2.gz CHUXMKNZQPYMIS-HOTGVXAUSA-N 0 1 310.397 3.153 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000850977413 632275418 /nfs/dbraw/zinc/27/54/18/632275418.db2.gz UMYKHKFYSLWTQB-KGLIPLIRSA-N 0 1 318.804 3.101 20 30 DGEDMN CO[C@@H](C(=O)C(C#N)c1nc(C2CC2)cs1)C1CCCC1 ZINC000851101599 632310694 /nfs/dbraw/zinc/31/06/94/632310694.db2.gz WSZDEWUDWJDSEO-SWLSCSKDSA-N 0 1 304.415 3.402 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)OC[C@@H]1CCN1Cc1ccccc1 ZINC000851469069 632418805 /nfs/dbraw/zinc/41/88/05/632418805.db2.gz RGGKZOATVDOZFE-PKOBYXMFSA-N 0 1 317.429 3.033 20 30 DGEDMN C#C[C@](C)(CC)NCc1nc2cc(Br)ccc2[nH]1 ZINC000852100261 632554206 /nfs/dbraw/zinc/55/42/06/632554206.db2.gz GPGNVNZJBSDNRX-CQSZACIVSA-N 0 1 306.207 3.217 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)N(CC)c1nc2ccccc2s1 ZINC000852100011 632554286 /nfs/dbraw/zinc/55/42/86/632554286.db2.gz FLGXTGYXSNNQMI-KRWDZBQOSA-N 0 1 315.442 3.041 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccccc1OC(F)F ZINC000852098713 632554583 /nfs/dbraw/zinc/55/45/83/632554583.db2.gz UJVCOKPMUCERBF-ZBEGNZNMSA-N 0 1 310.344 3.007 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC([C@H]2CCCN(CCC#N)C2)CC1 ZINC000852303505 632583688 /nfs/dbraw/zinc/58/36/88/632583688.db2.gz RIIZLGJOCYTTLJ-INIZCTEOSA-N 0 1 321.465 3.259 20 30 DGEDMN N#C[C@H]1CC[C@H](N2Cc3ccc(NC(=O)C(F)(F)F)cc3C2)C1 ZINC000852336450 632590904 /nfs/dbraw/zinc/59/09/04/632590904.db2.gz VPCKNQKVTAYRIQ-HZMBPMFUSA-N 0 1 323.318 3.195 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(-c3ccco3)s2)C1 ZINC001032095033 660195329 /nfs/dbraw/zinc/19/53/29/660195329.db2.gz SUHMZWQNGFYHIK-UHFFFAOYSA-N 0 1 316.426 3.246 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCC[C@H](C)C2)cc1C ZINC000073140996 660247026 /nfs/dbraw/zinc/24/70/26/660247026.db2.gz ACGMUJRSVRKPHF-HNNXBMFYSA-N 0 1 313.445 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(=C)Cl)CC1(C)C ZINC000995849382 660271844 /nfs/dbraw/zinc/27/18/44/660271844.db2.gz AWNABGLRORVJEW-GFCCVEGCSA-N 0 1 319.276 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(F)c2C)CC1(C)C ZINC000995850991 660271929 /nfs/dbraw/zinc/27/19/29/660271929.db2.gz WTVZTWCIZXZGHI-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2C=CCCC2)CC1(C)C ZINC000995952108 660272876 /nfs/dbraw/zinc/27/28/76/660272876.db2.gz RJQIYXMKPDTCRT-HUUCEWRRSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CC1(C)C ZINC000996082005 660275085 /nfs/dbraw/zinc/27/50/85/660275085.db2.gz ITJQTTRUKXSGBC-LOUJCGABSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(C)c2F)CC1(C)C ZINC000995843097 660271413 /nfs/dbraw/zinc/27/14/13/660271413.db2.gz CDWYTTIVOQZTBP-AWEZNQCLSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)ccc2C)CC1(C)C ZINC000996559346 660285801 /nfs/dbraw/zinc/28/58/01/660285801.db2.gz VWXJTTQTSKEUOG-MRXNPFEDSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C2CC2)C2CC2)CC1(C)C ZINC000996497420 660284078 /nfs/dbraw/zinc/28/40/78/660284078.db2.gz OYZJJYCNECMMQU-CQSZACIVSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2oc(CC)cc2C)CC1(C)C ZINC000996522130 660284845 /nfs/dbraw/zinc/28/48/45/660284845.db2.gz WESIHNDOWQOWAA-AWEZNQCLSA-N 0 1 324.852 3.343 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CC3CCC2CC3)CC1(C)C ZINC000996679869 660290321 /nfs/dbraw/zinc/29/03/21/660290321.db2.gz NPYFMQPEBNFCOJ-QDIHITRGSA-N 0 1 324.896 3.392 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(CCC)s1 ZINC001032426245 660320329 /nfs/dbraw/zinc/32/03/29/660320329.db2.gz CYRYITIGNYNZLJ-KBPBESRZSA-N 0 1 304.459 3.175 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(Cl)cc1 ZINC001032544714 660342037 /nfs/dbraw/zinc/34/20/37/660342037.db2.gz GXXPOHZXUSTIOK-IRXDYDNUSA-N 0 1 318.848 3.134 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)C2CCCCCC2)CC1 ZINC000997938067 660357445 /nfs/dbraw/zinc/35/74/45/660357445.db2.gz DZFPTZRYVZVVEM-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@@H]2CCCN(CCF)CC2)CC1 ZINC000998109500 660370806 /nfs/dbraw/zinc/37/08/06/660370806.db2.gz KQTZJNGTQPDKTF-QGZVFWFLSA-N 0 1 310.457 3.405 20 30 DGEDMN CCC(CC)C(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC000998577768 660394506 /nfs/dbraw/zinc/39/45/06/660394506.db2.gz HRMPZUZFFLHJMX-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC000999157135 660406164 /nfs/dbraw/zinc/40/61/64/660406164.db2.gz SECNKUDWTZVTOG-CABCVRRESA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001032647249 660405834 /nfs/dbraw/zinc/40/58/34/660405834.db2.gz QNAXCVVUDRFKJN-NNPSNHGLSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2sccc2Cl)C1 ZINC000999229523 660410419 /nfs/dbraw/zinc/41/04/19/660410419.db2.gz WTYNAAHOJUYDEW-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C)c(F)c2)C1 ZINC000999644039 660423031 /nfs/dbraw/zinc/42/30/31/660423031.db2.gz HUXLORNEBRKOGP-CQSZACIVSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)c(C)s1 ZINC001032771762 660442650 /nfs/dbraw/zinc/44/26/50/660442650.db2.gz JVJKSUYFAGSNIU-GJZGRUSLSA-N 0 1 304.459 3.094 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)c(C)s1 ZINC001032771762 660442651 /nfs/dbraw/zinc/44/26/51/660442651.db2.gz JVJKSUYFAGSNIU-GJZGRUSLSA-N 0 1 304.459 3.094 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001032776136 660443243 /nfs/dbraw/zinc/44/32/43/660443243.db2.gz UKPKLYVBGHEXBM-IRXDYDNUSA-N 0 1 323.440 3.260 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(C)ccc2F)C1 ZINC001000314893 660444635 /nfs/dbraw/zinc/44/46/35/660444635.db2.gz ZNERISXULYENHU-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001032786445 660445048 /nfs/dbraw/zinc/44/50/48/660445048.db2.gz CRPDZMAECAFFMR-OALUTQOASA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCOc1ccc(C(=O)N(C)C2CN(CCC(C)C)C2)cc1 ZINC001043117000 660445388 /nfs/dbraw/zinc/44/53/88/660445388.db2.gz BWPVZJHENPJUOI-UHFFFAOYSA-N 0 1 316.445 3.054 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3c(s2)CCC3)C1 ZINC001000845952 660450061 /nfs/dbraw/zinc/45/00/61/660450061.db2.gz GRCDZJXPEPPQCB-ZDUSSCGKSA-N 0 1 324.877 3.184 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2c(C)cc(C)cc2Cl)CC1 ZINC000929255801 660471536 /nfs/dbraw/zinc/47/15/36/660471536.db2.gz VNZAXZUIEMAAHZ-UHFFFAOYSA-N 0 1 319.836 3.176 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(C(C)(C)CC)cc2)CC1 ZINC001003110673 660486762 /nfs/dbraw/zinc/48/67/62/660486762.db2.gz SOUGJZHLNMWEJF-UHFFFAOYSA-N 0 1 312.457 3.202 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CCN(Cc3cccc(F)c3)CC2)C1 ZINC001003595883 660498604 /nfs/dbraw/zinc/49/86/04/660498604.db2.gz JAWGDCDCUKACAL-UHFFFAOYSA-N 0 1 316.420 3.263 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc([C@@H](C)CC)cc2)CC1 ZINC001004223204 660534268 /nfs/dbraw/zinc/53/42/68/660534268.db2.gz ZVNKVQPGNWWOJL-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C(C)C)s2)CC1 ZINC001004231704 660534668 /nfs/dbraw/zinc/53/46/68/660534668.db2.gz YNUOFEGBDVFUQY-UHFFFAOYSA-N 0 1 304.459 3.089 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2scc(C)c2Cl)C1 ZINC001033105045 660543698 /nfs/dbraw/zinc/54/36/98/660543698.db2.gz CPXLCQVIGQAWGP-LBPRGKRZSA-N 0 1 312.866 3.432 20 30 DGEDMN CC(C)C(=O)N1CCCC[C@H]1[C@H](C)NCc1ccccc1C#N ZINC001004743917 660571773 /nfs/dbraw/zinc/57/17/73/660571773.db2.gz PEVQRWRKMVSDQS-YJBOKZPZSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001033318094 660608118 /nfs/dbraw/zinc/60/81/18/660608118.db2.gz OMLPPYKJGJYLRO-GOSISDBHSA-N 0 1 312.457 3.135 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001033321411 660608422 /nfs/dbraw/zinc/60/84/22/660608422.db2.gz KSAFRGLLVROGHH-HNNXBMFYSA-N 0 1 311.429 3.117 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2ccc(Cl)cc2)C1 ZINC001033391225 660618676 /nfs/dbraw/zinc/61/86/76/660618676.db2.gz PDTFCSSMVZMPTQ-CZUORRHYSA-N 0 1 306.837 3.162 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H]2CCN(Cc3ccsc3)C2)C1 ZINC001033400526 660620185 /nfs/dbraw/zinc/62/01/85/660620185.db2.gz WEZOZLGCJHHQQE-OAHLLOKOSA-N 0 1 304.459 3.137 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001033437978 660621680 /nfs/dbraw/zinc/62/16/80/660621680.db2.gz HALLHUIGUGXNRK-XUVXKRRUSA-N 0 1 324.468 3.126 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc(C3CCC3)c2)C1 ZINC001033593186 660635688 /nfs/dbraw/zinc/63/56/88/660635688.db2.gz GXYMDUFKGDZTSG-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2coc3ccc(Cl)cc23)C1 ZINC001033619759 660640430 /nfs/dbraw/zinc/64/04/30/660640430.db2.gz OYCNUTWAHCPWPS-ZDUSSCGKSA-N 0 1 318.804 3.419 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2oc3ccccc3c2C)C1 ZINC001033635645 660643039 /nfs/dbraw/zinc/64/30/39/660643039.db2.gz MFELKEOPDALXMO-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001033636249 660643095 /nfs/dbraw/zinc/64/30/95/660643095.db2.gz VQJBCOJMWBCQQE-AWEZNQCLSA-N 0 1 304.459 3.348 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2sccc2C2CC2)C1 ZINC001033636249 660643098 /nfs/dbraw/zinc/64/30/98/660643098.db2.gz VQJBCOJMWBCQQE-AWEZNQCLSA-N 0 1 304.459 3.348 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001033637993 660643527 /nfs/dbraw/zinc/64/35/27/660643527.db2.gz CBCOVKBWVXFFIE-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001033637993 660643528 /nfs/dbraw/zinc/64/35/28/660643528.db2.gz CBCOVKBWVXFFIE-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3c(s2)CCCCC3)C1 ZINC001033637769 660643612 /nfs/dbraw/zinc/64/36/12/660643612.db2.gz QNLTVBXUXDEGQJ-HNNXBMFYSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001033664005 660647417 /nfs/dbraw/zinc/64/74/17/660647417.db2.gz WEJKWVILGYUDNL-UKRRQHHQSA-N 0 1 318.486 3.205 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c3ccccc3oc2CC)C1 ZINC001033688608 660649189 /nfs/dbraw/zinc/64/91/89/660649189.db2.gz OTZBBBHKQYETIF-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001033679094 660649207 /nfs/dbraw/zinc/64/92/07/660649207.db2.gz SYBOZFUBYUNVFN-ZDUSSCGKSA-N 0 1 306.475 3.378 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3cccc(C)c3o2)C1 ZINC001033687140 660649903 /nfs/dbraw/zinc/64/99/03/660649903.db2.gz YRWNDYGBMMEARA-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2csc(CC(C)C)n2)C1 ZINC001033700222 660652015 /nfs/dbraw/zinc/65/20/15/660652015.db2.gz TYPZOPFGACTUSL-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2csc(CC(C)C)n2)C1 ZINC001033700222 660652016 /nfs/dbraw/zinc/65/20/16/660652016.db2.gz TYPZOPFGACTUSL-CQSZACIVSA-N 0 1 321.490 3.064 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(-c3ccccc3)co2)C1 ZINC001033719311 660655697 /nfs/dbraw/zinc/65/56/97/660655697.db2.gz NAZUEQVDMQDCGM-KRWDZBQOSA-N 0 1 310.397 3.279 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2ccc(CCC)s2)C1 ZINC001033849001 660670309 /nfs/dbraw/zinc/67/03/09/660670309.db2.gz HKWRTGBMCIGCRN-HNNXBMFYSA-N 0 1 318.486 3.260 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(CCC)s2)CC1 ZINC001005454972 660679334 /nfs/dbraw/zinc/67/93/34/660679334.db2.gz NTQBYPNPLGIYIE-UHFFFAOYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(F)ccc2Cl)C1 ZINC001033964022 660688853 /nfs/dbraw/zinc/68/88/53/660688853.db2.gz HAJPQAVPMGBNRX-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001033984690 660690637 /nfs/dbraw/zinc/69/06/37/660690637.db2.gz YAQJQGLOCXRVPF-LJQANCHMSA-N 0 1 310.441 3.368 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc3ccsc32)C1 ZINC001034009772 660692914 /nfs/dbraw/zinc/69/29/14/660692914.db2.gz MNZBYDMEROQPRY-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001034014478 660694134 /nfs/dbraw/zinc/69/41/34/660694134.db2.gz BYLFRACYSBGIMR-QGZVFWFLSA-N 0 1 323.440 3.404 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001034016777 660694169 /nfs/dbraw/zinc/69/41/69/660694169.db2.gz NTYKJQSEBGFTFG-FQEVSTJZSA-N 0 1 322.452 3.206 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc3c(s2)CCC3)C1 ZINC001034037444 660698230 /nfs/dbraw/zinc/69/82/30/660698230.db2.gz YWCCAFLLIOEPDP-OAHLLOKOSA-N 0 1 318.486 3.349 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2cc(C)c(C)s2)C1 ZINC001034040349 660698777 /nfs/dbraw/zinc/69/87/77/660698777.db2.gz UNPXGEYETRJDHS-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cc(C)c(C)s2)C1 ZINC001034040349 660698778 /nfs/dbraw/zinc/69/87/78/660698778.db2.gz UNPXGEYETRJDHS-OAHLLOKOSA-N 0 1 306.475 3.477 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001034025658 660698987 /nfs/dbraw/zinc/69/89/87/660698987.db2.gz QPOIRUBCJNAPLG-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3c(cccc3C)o2)C1 ZINC001034051509 660699621 /nfs/dbraw/zinc/69/96/21/660699621.db2.gz XFLMYOCRXIEZLC-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cnc(C(C)C)s2)C1 ZINC001034055651 660700323 /nfs/dbraw/zinc/70/03/23/660700323.db2.gz ANVKLSRSKRPBIA-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001034047921 660701260 /nfs/dbraw/zinc/70/12/60/660701260.db2.gz RBYNTXQHHZPCCS-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc(C3CC3)c2)C1 ZINC001034047895 660701386 /nfs/dbraw/zinc/70/13/86/660701386.db2.gz POTBHGGQZSLODZ-IBGZPJMESA-N 0 1 310.441 3.124 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001034049931 660701753 /nfs/dbraw/zinc/70/17/53/660701753.db2.gz XZASZIYGKQDHQV-LPHOPBHVSA-N 0 1 312.457 3.370 20 30 DGEDMN O=C(CC1CCC1)N[C@H]1CCCCN(CC#Cc2ccccc2)C1 ZINC001034146745 660718844 /nfs/dbraw/zinc/71/88/44/660718844.db2.gz XDJUCUSAJMIBKH-FQEVSTJZSA-N 0 1 324.468 3.199 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001034272304 660731278 /nfs/dbraw/zinc/73/12/78/660731278.db2.gz KULUHFFFDMYWCP-VAMGGRTRSA-N 0 1 324.468 3.174 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc(Cl)c2C)C1 ZINC001034225009 660727344 /nfs/dbraw/zinc/72/73/44/660727344.db2.gz CQKKZCBXJAUWEX-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001034224785 660727409 /nfs/dbraw/zinc/72/74/09/660727409.db2.gz BUBLRAQIXRSHGO-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001034235867 660727587 /nfs/dbraw/zinc/72/75/87/660727587.db2.gz WXKPGMXKERMXRM-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001034279281 660732051 /nfs/dbraw/zinc/73/20/51/660732051.db2.gz QWSMUISDOGLQCB-LYBXBRPPSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001034368428 660741864 /nfs/dbraw/zinc/74/18/64/660741864.db2.gz KDXMOJVYRHBGSN-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001034326228 660739503 /nfs/dbraw/zinc/73/95/03/660739503.db2.gz NGNXNODSENMHRD-KRWDZBQOSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)[C@H](C)c2ccccc2F)C1 ZINC001034447700 660752693 /nfs/dbraw/zinc/75/26/93/660752693.db2.gz JETXQMHDOJBOBH-HZPDHXFCSA-N 0 1 318.436 3.476 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2sccc2C(F)F)C1 ZINC001034505882 660763482 /nfs/dbraw/zinc/76/34/82/660763482.db2.gz NKCNJIANYKFLCX-NSHDSACASA-N 0 1 314.401 3.456 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccsc2Cl)C1 ZINC001034432207 660753407 /nfs/dbraw/zinc/75/34/07/660753407.db2.gz SPXIXNBXFAPRNP-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034507052 660763528 /nfs/dbraw/zinc/76/35/28/660763528.db2.gz NJHXALNGQNHQJH-HXUWFJFHSA-N 0 1 320.436 3.447 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034507052 660763529 /nfs/dbraw/zinc/76/35/29/660763529.db2.gz NJHXALNGQNHQJH-HXUWFJFHSA-N 0 1 320.436 3.447 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2sc(CC)nc2C)C1 ZINC001034438201 660754509 /nfs/dbraw/zinc/75/45/09/660754509.db2.gz FXGDMLWJQICXJE-AWEZNQCLSA-N 0 1 321.490 3.174 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001034471420 660758377 /nfs/dbraw/zinc/75/83/77/660758377.db2.gz CGFJRMFTNSNKMH-INIZCTEOSA-N 0 1 316.445 3.319 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001034469161 660758412 /nfs/dbraw/zinc/75/84/12/660758412.db2.gz SVWPZZLVHZQUSG-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCCN1CCCC[C@H](NC(=O)c2ccc(Cl)s2)C1 ZINC001034511930 660764778 /nfs/dbraw/zinc/76/47/78/660764778.db2.gz GMKMDNUXIUBAPD-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001034560662 660774068 /nfs/dbraw/zinc/77/40/68/660774068.db2.gz XIQIXUFLNPVQDL-MRXNPFEDSA-N 0 1 320.383 3.016 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC[C@@H]2CCC)CC1 ZINC001005627906 660783649 /nfs/dbraw/zinc/78/36/49/660783649.db2.gz FBMSFHVPPKHIDS-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCC[C@H]2C2CC2)CC1 ZINC001005767068 660812842 /nfs/dbraw/zinc/81/28/42/660812842.db2.gz FEWRTDWFKREICU-OALUTQOASA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCC[C@@H]2C2CC2)CC1 ZINC001005767071 660813038 /nfs/dbraw/zinc/81/30/38/660813038.db2.gz FEWRTDWFKREICU-RTBURBONSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CCC1CC1)CC2 ZINC001035169310 660821260 /nfs/dbraw/zinc/82/12/60/660821260.db2.gz YTODWCMACPFTDH-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1C[C@H]1C1CC1)CC2 ZINC001035236414 660831800 /nfs/dbraw/zinc/83/18/00/660831800.db2.gz BJIJHUMEZMINBV-JKSUJKDBSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1CC[C@@H](C)C1)CC2 ZINC001035234303 660831841 /nfs/dbraw/zinc/83/18/41/660831841.db2.gz WZQACFOVBCMDHR-ZBFHGGJFSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C(C)(C)C1CCCC1)CC2 ZINC001035722024 660847382 /nfs/dbraw/zinc/84/73/82/660847382.db2.gz KYKVHKVUGDMFNR-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC=CCCC1)CC2 ZINC001035730219 660847593 /nfs/dbraw/zinc/84/75/93/660847593.db2.gz MQISZBMAIFIQIE-QGZVFWFLSA-N 0 1 302.462 3.233 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(Cl)cc1F)CC2 ZINC001035746113 660850680 /nfs/dbraw/zinc/85/06/80/660850680.db2.gz UIJDOOJBXGNORH-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cccc(Cl)c1F)CC2 ZINC001035782972 660851463 /nfs/dbraw/zinc/85/14/63/660851463.db2.gz UDDKGZWBUSGIAR-UHFFFAOYSA-N 0 1 322.811 3.203 20 30 DGEDMN C=C1CCC(C(=O)N2CCC3(CN(C[C@H](F)CC)C3)CC2)CC1 ZINC001035788239 660852031 /nfs/dbraw/zinc/85/20/31/660852031.db2.gz XPGTYMSYPSNMHM-QGZVFWFLSA-N 0 1 322.468 3.405 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H](C)c1ccccc1)CC2 ZINC001035847045 660855828 /nfs/dbraw/zinc/85/58/28/660855828.db2.gz FMOUBYICHIPEDQ-QGZVFWFLSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H](C)c1ccccc1)CC2 ZINC001035847044 660855914 /nfs/dbraw/zinc/85/59/14/660855914.db2.gz FMOUBYICHIPEDQ-KRWDZBQOSA-N 0 1 312.457 3.291 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCCC(C)(C)C1)CC2 ZINC001035836166 660857382 /nfs/dbraw/zinc/85/73/82/660857382.db2.gz HWPHRASXZTXKJW-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](F)CC1CCCCC1)CC2 ZINC001035835063 660857461 /nfs/dbraw/zinc/85/74/61/660857461.db2.gz LSBAWBFNRFVZIM-QGZVFWFLSA-N 0 1 322.468 3.405 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CC=C(C)CC1)CC2 ZINC001035856628 660859835 /nfs/dbraw/zinc/85/98/35/660859835.db2.gz QYAQDWZDNKDSNU-IBGZPJMESA-N 0 1 314.473 3.071 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)c1ccccc1C)CC2 ZINC001035856318 660859900 /nfs/dbraw/zinc/85/99/00/660859900.db2.gz MHABXLDTPJRPGO-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C=C)c1ccccc1)CC2 ZINC001035855275 660860023 /nfs/dbraw/zinc/86/00/23/660860023.db2.gz GTHGMHLRKGUBOJ-SFHVURJKSA-N 0 1 310.441 3.067 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1CCC(C)(C)CC1)CC2 ZINC001035860602 660861196 /nfs/dbraw/zinc/86/11/96/660861196.db2.gz TXBWEMPJMOZAPJ-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C13CC(C(C)(C)C)(C1)C3)CC2 ZINC001035861443 660861230 /nfs/dbraw/zinc/86/12/30/660861230.db2.gz HUHPXBPPMWCTJG-UHFFFAOYSA-N 0 1 316.489 3.313 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCCCC1(C)C)CC2 ZINC001035864656 660861532 /nfs/dbraw/zinc/86/15/32/660861532.db2.gz WPWVXZDHDGGMIQ-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccccc3C)CC[C@H]21 ZINC001036631116 660933189 /nfs/dbraw/zinc/93/31/89/660933189.db2.gz QTSHNOBWIJFDLD-NVXWUHKLSA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C3CC(C)(C)C3)CC[C@@H]21 ZINC001036705022 660957738 /nfs/dbraw/zinc/95/77/38/660957738.db2.gz BYLQHYFIFCXYJO-ZFWWWQNUSA-N 0 1 310.869 3.098 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2CCc3ccccc32)CC1 ZINC001006170783 660967013 /nfs/dbraw/zinc/96/70/13/660967013.db2.gz VJHMHPRAWISHAM-SFHVURJKSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(C)(C)C3CC3)CC[C@H]21 ZINC001036710500 660961088 /nfs/dbraw/zinc/96/10/88/660961088.db2.gz LTYQVQYDRCXXDO-GOEBONIOSA-N 0 1 324.896 3.488 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CC[C@@]2(C1)CCCOC2 ZINC000792939985 660995614 /nfs/dbraw/zinc/99/56/14/660995614.db2.gz NHQBWJZIRNHTCG-QGZVFWFLSA-N 0 1 320.820 3.245 20 30 DGEDMN COC(=O)c1cc(NN=Cc2cc(F)c(O)c(F)c2)ccc1C ZINC000793089848 661004539 /nfs/dbraw/zinc/00/45/39/661004539.db2.gz MVBZUHPAPPNEHR-UHFFFAOYSA-N 0 1 320.295 3.211 20 30 DGEDMN COc1cc(C(C)=NN[C@H](C)C(C)(C)C)cc([N+](=O)[O-])c1O ZINC000793114770 661005433 /nfs/dbraw/zinc/00/54/33/661005433.db2.gz NJEWIMPRQMKHGP-SNVBAGLBSA-N 0 1 309.366 3.057 20 30 DGEDMN COc1cc(OC)c(OC)cc1C=NNc1cccc(Cl)n1 ZINC000793181006 661010747 /nfs/dbraw/zinc/01/07/47/661010747.db2.gz FMZNYTQPRJBHOF-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN CC[C@H](NCc1cnc2ccc(C#N)cn12)c1ccccc1OC ZINC000793584716 661047863 /nfs/dbraw/zinc/04/78/63/661047863.db2.gz RATCMSHTAVGHLE-KRWDZBQOSA-N 0 1 320.396 3.455 20 30 DGEDMN COc1cc2c(cc1OC)C(N=Nc1nc3ccccc3[nH]1)CC2 ZINC000794466300 661086206 /nfs/dbraw/zinc/08/62/06/661086206.db2.gz ZJIZCAPEESBYCE-UHFFFAOYSA-N 0 1 322.368 3.343 20 30 DGEDMN COc1cc2c(cc1OC)C(=NN=c1[nH]c3ccccc3[nH]1)CC2 ZINC000794466300 661086210 /nfs/dbraw/zinc/08/62/10/661086210.db2.gz ZJIZCAPEESBYCE-UHFFFAOYSA-N 0 1 322.368 3.343 20 30 DGEDMN CCc1cccc(F)c1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000794471882 661086427 /nfs/dbraw/zinc/08/64/27/661086427.db2.gz HXUFOMUZSFQALW-UHFFFAOYSA-N 0 1 318.299 3.169 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](C)O[C@@H](C3CC3)C2)c(O)c(OC)c1 ZINC000794655479 661096655 /nfs/dbraw/zinc/09/66/55/661096655.db2.gz RNPOWJGPAKICJQ-FZKQIMNGSA-N 0 1 317.429 3.129 20 30 DGEDMN C[C@@H](C#N)CNN=Cc1ccc2c(c1)CCN2Cc1ccccc1 ZINC000794919955 661113711 /nfs/dbraw/zinc/11/37/11/661113711.db2.gz BFTKXOGJJQZYOG-INIZCTEOSA-N 0 1 318.424 3.332 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NN(C)CCOC ZINC000794966040 661117311 /nfs/dbraw/zinc/11/73/11/661117311.db2.gz IOTZGPCRCJTDHH-AWEZNQCLSA-N 0 1 309.429 3.220 20 30 DGEDMN CC[C@H](C)Oc1cc(C=NNc2cncnc2)ccc1OC ZINC000794979699 661118623 /nfs/dbraw/zinc/11/86/23/661118623.db2.gz PMTDEWZFEBUCBL-LBPRGKRZSA-N 0 1 300.362 3.109 20 30 DGEDMN Brc1cccc2c1CCC2=NNc1cncnc1 ZINC000794977899 661118628 /nfs/dbraw/zinc/11/86/28/661118628.db2.gz CENQACRSSGBTDI-UHFFFAOYSA-N 0 1 303.163 3.002 20 30 DGEDMN Cn1cc(C=NNc2cccc(F)n2)c(C2CCCCC2)n1 ZINC000795005689 661120952 /nfs/dbraw/zinc/12/09/52/661120952.db2.gz CHYUAEXFSYWXII-UHFFFAOYSA-N 0 1 301.369 3.448 20 30 DGEDMN Oc1c(Br)cccc1C=NNc1cccc(F)n1 ZINC000795008834 661121333 /nfs/dbraw/zinc/12/13/33/661121333.db2.gz OXOKZKPLBSPWIO-UHFFFAOYSA-N 0 1 310.126 3.135 20 30 DGEDMN COCCCON=C(C)c1cc(Br)c(F)cc1O ZINC000795043296 661123680 /nfs/dbraw/zinc/12/36/80/661123680.db2.gz AMQAMZAKMPXHTH-UHFFFAOYSA-N 0 1 320.158 3.071 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOCCCOC)ccc1[O-] ZINC000795050366 661123777 /nfs/dbraw/zinc/12/37/77/661123777.db2.gz AYPYSHAHOHAWLE-UHFFFAOYSA-N 0 1 308.422 3.011 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc2ccccc2c1 ZINC000969124057 655525811 /nfs/dbraw/zinc/52/58/11/655525811.db2.gz GVMUVHDFIXKXKD-FQEVSTJZSA-N 0 1 320.436 3.447 20 30 DGEDMN C#CCC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccc(C(F)F)o1 ZINC000969130507 655526597 /nfs/dbraw/zinc/52/65/97/655526597.db2.gz LMXFDLHGSKEKTI-ZDUSSCGKSA-N 0 1 324.371 3.215 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc2cccc(C)c2o1 ZINC000969156218 655528970 /nfs/dbraw/zinc/52/89/70/655528970.db2.gz MUSALJZUHUBJAG-KRWDZBQOSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1CCC(C)(C)CC1 ZINC000969158897 655529225 /nfs/dbraw/zinc/52/92/25/655529225.db2.gz VKILKHQBHYHFHF-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCC(C)(C)C1 ZINC000969161497 655529729 /nfs/dbraw/zinc/52/97/29/655529729.db2.gz YETLZBCORJSZSO-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1cccc2c1CCC2 ZINC000969164141 655530093 /nfs/dbraw/zinc/53/00/93/655530093.db2.gz KYCGIMSCZMEGPP-GOSISDBHSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](c2ccccc2)C2CC2)CC1 ZINC000949289891 655549831 /nfs/dbraw/zinc/54/98/31/655549831.db2.gz RETMDVOAXFLXMI-IBGZPJMESA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000969570822 655550936 /nfs/dbraw/zinc/55/09/36/655550936.db2.gz WDFLHCHURZEBPD-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C[C@@](C)(CCOC)COC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000187563043 655551757 /nfs/dbraw/zinc/55/17/57/655551757.db2.gz PPKBUXPVAPOUTO-KRWDZBQOSA-N 0 1 318.373 3.364 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC000969801289 655557945 /nfs/dbraw/zinc/55/79/45/655557945.db2.gz NOYHDYICKQLRIO-HNNXBMFYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2ccncc2Cl)C1 ZINC000969830005 655558832 /nfs/dbraw/zinc/55/88/32/655558832.db2.gz CBLJJDRKVOWHRV-PBHICJAKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccccc2C(C)(C)C)C1 ZINC000969922210 655563778 /nfs/dbraw/zinc/56/37/78/655563778.db2.gz IOGIHKRHBRXFHZ-AWEZNQCLSA-N 0 1 300.446 3.220 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001039069848 655568369 /nfs/dbraw/zinc/56/83/69/655568369.db2.gz JQIACYVQHLBNIZ-VNCLNFNDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC000970067600 655571788 /nfs/dbraw/zinc/57/17/88/655571788.db2.gz NVYXXWFSKRSNQO-AWEZNQCLSA-N 0 1 316.445 3.055 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(CCCC)cc2)C1 ZINC000970102792 655575084 /nfs/dbraw/zinc/57/50/84/655575084.db2.gz WNLLLYABUIPLBH-OAHLLOKOSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC000970123557 655577630 /nfs/dbraw/zinc/57/76/30/655577630.db2.gz LQPFADINEHHSRQ-GOEBONIOSA-N 0 1 306.837 3.042 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3nccc(C)c3c2)C1 ZINC000966352863 655583897 /nfs/dbraw/zinc/58/38/97/655583897.db2.gz CJVJKUNORAJEAA-KXBFYZLASA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc3c(c2)C=CCC3)C1 ZINC000970239824 655589849 /nfs/dbraw/zinc/58/98/49/655589849.db2.gz QAWQBVTVYCPOOL-QGZVFWFLSA-N 0 1 316.832 3.203 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC000970251964 655590527 /nfs/dbraw/zinc/59/05/27/655590527.db2.gz UWVIOYOEZWHYME-LLVKDONJSA-N 0 1 317.820 3.057 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(Cl)ccc2C)C1 ZINC000970294288 655592706 /nfs/dbraw/zinc/59/27/06/655592706.db2.gz VWQUSBOMMSQQSG-CYBMUJFWSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C(C)C)c2)C1 ZINC000970405286 655597888 /nfs/dbraw/zinc/59/78/88/655597888.db2.gz AMEPCTNOZLWXRQ-MRXNPFEDSA-N 0 1 306.837 3.367 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)C1 ZINC000970553332 655606032 /nfs/dbraw/zinc/60/60/32/655606032.db2.gz VABVQKIWFCQFSK-AFAVFJNCSA-N 0 1 318.848 3.066 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC000970741178 655615714 /nfs/dbraw/zinc/61/57/14/655615714.db2.gz OQXFJXARVKMKOJ-CYBMUJFWSA-N 0 1 306.837 3.193 20 30 DGEDMN COc1ccc2c(c1)O/C(=C/c1cc(F)c(O)c(F)c1)C2=O ZINC000255167511 655619856 /nfs/dbraw/zinc/61/98/56/655619856.db2.gz RXZZIUFJHXXOLY-MKMNVTDBSA-N 0 1 304.248 3.295 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc(Cl)c2Cl)C1 ZINC000970785521 655620300 /nfs/dbraw/zinc/62/03/00/655620300.db2.gz IEVHAZOONFNXIJ-SNVBAGLBSA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(C)(C)c2ccccc2)C1 ZINC000970800786 655622537 /nfs/dbraw/zinc/62/25/37/655622537.db2.gz UYZWVNOYKCJXEM-MRXNPFEDSA-N 0 1 320.864 3.297 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC000970817398 655625311 /nfs/dbraw/zinc/62/53/11/655625311.db2.gz HZLHKDDZBMYEGI-CYBMUJFWSA-N 0 1 317.820 3.033 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC000970834477 655627797 /nfs/dbraw/zinc/62/77/97/655627797.db2.gz BGWGPNGQEZOWMF-UQPPLGOBSA-N 0 1 306.494 3.461 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC000970834476 655627931 /nfs/dbraw/zinc/62/79/31/655627931.db2.gz BGWGPNGQEZOWMF-HPUBIQBYSA-N 0 1 306.494 3.461 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC000970930479 655638762 /nfs/dbraw/zinc/63/87/62/655638762.db2.gz ASLHZJAXDDPCPL-JKSUJKDBSA-N 0 1 312.457 3.274 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC000970939140 655639832 /nfs/dbraw/zinc/63/98/32/655639832.db2.gz UTVMRQWEBRDSAF-BEFAXECRSA-N 0 1 312.457 3.119 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC000970997123 655648445 /nfs/dbraw/zinc/64/84/45/655648445.db2.gz QYFPZLNURTXXNC-MRXNPFEDSA-N 0 1 322.452 3.327 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2cc(C)cc(C)c2)C1 ZINC001021718650 655662999 /nfs/dbraw/zinc/66/29/99/655662999.db2.gz HJLOBTZIENDOST-AZUAARDMSA-N 0 1 324.468 3.253 20 30 DGEDMN C#CCC1([NH2+]Cc2cc(=O)oc3cc([O-])c(CC)cc23)CCC1 ZINC000933298135 655698078 /nfs/dbraw/zinc/69/80/78/655698078.db2.gz BALKQHYZILDUTQ-UHFFFAOYSA-N 0 1 311.381 3.097 20 30 DGEDMN C#CCC1(NCc2nc(=O)c3c(C)c(C)sc3[nH]2)CCC1 ZINC000933297887 655698084 /nfs/dbraw/zinc/69/80/84/655698084.db2.gz BRAVAFNDBMLYGZ-UHFFFAOYSA-N 0 1 301.415 3.049 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)/C=C\c2ccc3ccccc3n2)c1 ZINC000255345158 655704078 /nfs/dbraw/zinc/70/40/78/655704078.db2.gz NGGXMYRHYTWGQT-NTMALXAHSA-N 0 1 315.332 3.464 20 30 DGEDMN Cc1nn(C)c2ncc(/C=C\C(=O)c3ccc(C)cc3O)cc12 ZINC000255366549 655709651 /nfs/dbraw/zinc/70/96/51/655709651.db2.gz WXLKGFVDLSSQFR-ALCCZGGFSA-N 0 1 307.353 3.187 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC000971755971 655724630 /nfs/dbraw/zinc/72/46/30/655724630.db2.gz GPXBKZAPYYZZHC-SFHVURJKSA-N 0 1 312.457 3.154 20 30 DGEDMN C=C(C)CN1C[C@@H](C)OC2(CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000933852256 655736136 /nfs/dbraw/zinc/73/61/36/655736136.db2.gz KOBNQWQGAJVMKT-OAHLLOKOSA-N 0 1 324.465 3.053 20 30 DGEDMN COC(=O)c1ccc(/C=C\C(=O)c2ccc(OC)cc2O)cc1 ZINC000255475032 655759611 /nfs/dbraw/zinc/75/96/11/655759611.db2.gz XYDHFBRMJYWHHP-YHYXMXQVSA-N 0 1 312.321 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)Cc2cnccc2C)C1 ZINC000972074193 655762315 /nfs/dbraw/zinc/76/23/15/655762315.db2.gz XSQLXCJYPXYULJ-QGZVFWFLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC000972074191 655762318 /nfs/dbraw/zinc/76/23/18/655762318.db2.gz XSQLXCJYPXYULJ-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC000972247748 655782329 /nfs/dbraw/zinc/78/23/29/655782329.db2.gz VTRZWWIUNGUUAQ-VQIMIIECSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC000972244832 655782767 /nfs/dbraw/zinc/78/27/67/655782767.db2.gz IBDWLJBOWMQCIT-NVXWUHKLSA-N 0 1 306.475 3.383 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCCc2ccccc2)c1 ZINC000052130988 655805517 /nfs/dbraw/zinc/80/55/17/655805517.db2.gz BXPNMQZBHOULEX-UHFFFAOYSA-N 0 1 306.409 3.171 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H]2CCCN(C/C=C\Cl)C2)CC1 ZINC001023572224 655844835 /nfs/dbraw/zinc/84/48/35/655844835.db2.gz YMPIVWKGATXEGS-ACRUDFBXSA-N 0 1 310.869 3.314 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(OC(F)F)cc2)c1 ZINC000176822626 655848445 /nfs/dbraw/zinc/84/84/45/655848445.db2.gz AQYQUNBSQOVCAQ-UHFFFAOYSA-N 0 1 304.252 3.118 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Cc2ccc(C(F)(F)F)cc2)c1 ZINC000176828612 655849557 /nfs/dbraw/zinc/84/95/57/655849557.db2.gz CZCCGEDYLZOEBI-UHFFFAOYSA-N 0 1 320.270 3.464 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C34CCC(CC3)C4)CC[C@H]21 ZINC001036750912 661195389 /nfs/dbraw/zinc/19/53/89/661195389.db2.gz UWZGYIXRMMCCGD-GARNVTTQSA-N 0 1 322.880 3.242 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cc(OC)ccc2OC)c(O)c1 ZINC000095909068 655861320 /nfs/dbraw/zinc/86/13/20/655861320.db2.gz KLEXWSHSPRMPQS-YWEYNIOJSA-N 0 1 314.337 3.314 20 30 DGEDMN O=C(/C=C\c1cnn(Cc2ccccc2)c1)c1ccc(O)cc1O ZINC000255682397 655889548 /nfs/dbraw/zinc/88/95/48/655889548.db2.gz CYYXRYBVKFXHPB-TWGQIWQCSA-N 0 1 320.348 3.239 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001024452982 655906315 /nfs/dbraw/zinc/90/63/15/655906315.db2.gz SXCOSHVNQFRQND-VSZNYVQBSA-N 0 1 302.462 3.136 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cccc2ccc(C)nc21 ZINC001024501235 655910867 /nfs/dbraw/zinc/91/08/67/655910867.db2.gz CDZMEXDARFXQER-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccc2ccc(C)nc21 ZINC001024501235 655910869 /nfs/dbraw/zinc/91/08/69/655910869.db2.gz CDZMEXDARFXQER-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC001024508810 655912282 /nfs/dbraw/zinc/91/22/82/655912282.db2.gz MFOGYQYSEHYKCY-MJGOQNOKSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc(COC)cs1 ZINC001024535028 655913912 /nfs/dbraw/zinc/91/39/12/655913912.db2.gz OXGKHGDKPBQQQA-OAHLLOKOSA-N 0 1 322.474 3.055 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(CCCC)cc1 ZINC001024540617 655914835 /nfs/dbraw/zinc/91/48/35/655914835.db2.gz MBRFNIWMRXLNPG-IBGZPJMESA-N 0 1 312.457 3.247 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001024591679 655921806 /nfs/dbraw/zinc/92/18/06/655921806.db2.gz GXGHMSSWTDFZFD-MRXNPFEDSA-N 0 1 311.429 3.247 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ccoc1C1CC1 ZINC001024619834 655924722 /nfs/dbraw/zinc/92/47/22/655924722.db2.gz FKYNUBVQCMOYSR-HNNXBMFYSA-N 0 1 302.418 3.317 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1c(F)ccc(C)c1F ZINC001024634227 655926393 /nfs/dbraw/zinc/92/63/93/655926393.db2.gz STFFCGULDQQVLT-AWEZNQCLSA-N 0 1 322.399 3.434 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(C)cc1Cl ZINC001024670192 655929043 /nfs/dbraw/zinc/92/90/43/655929043.db2.gz NODHPEPSRYUOBZ-HNNXBMFYSA-N 0 1 318.848 3.256 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC001024702646 655931727 /nfs/dbraw/zinc/93/17/27/655931727.db2.gz YVLBWMOXHBMKDZ-FQEVSTJZSA-N 0 1 322.452 3.254 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)s1 ZINC001024702050 655931933 /nfs/dbraw/zinc/93/19/33/655931933.db2.gz XQZDTDVNJDUWFD-ARFHVFGLSA-N 0 1 318.486 3.317 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2ccncc2c1 ZINC001024749444 655935494 /nfs/dbraw/zinc/93/54/94/655935494.db2.gz WVOVVBBGPNBZFO-SFHVURJKSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1sccc1COC ZINC001024758633 655936319 /nfs/dbraw/zinc/93/63/19/655936319.db2.gz VHJONKZISGYCBU-HNNXBMFYSA-N 0 1 322.474 3.055 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc(C(F)F)c1 ZINC001024768820 655936879 /nfs/dbraw/zinc/93/68/79/655936879.db2.gz WQEDCIHQWICUKG-MRXNPFEDSA-N 0 1 320.383 3.232 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c(C)csc1Cl ZINC001024778224 655937636 /nfs/dbraw/zinc/93/76/36/655937636.db2.gz WDTVMCJXSOTDEH-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001024776439 655938207 /nfs/dbraw/zinc/93/82/07/655938207.db2.gz ZARWBCYTLTYYQM-AZRLCKCBSA-N 0 1 316.489 3.360 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001024784002 655938491 /nfs/dbraw/zinc/93/84/91/655938491.db2.gz WXEXZYPBYMVGFZ-WBVHZDCISA-N 0 1 318.848 3.136 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2ncsc2c1 ZINC001024786432 655938761 /nfs/dbraw/zinc/93/87/61/655938761.db2.gz IRZZHHWLBGIFFH-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001024792606 655938897 /nfs/dbraw/zinc/93/88/97/655938897.db2.gz LAPDEXYLICZNAN-HNNXBMFYSA-N 0 1 306.475 3.389 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024805031 655940958 /nfs/dbraw/zinc/94/09/58/655940958.db2.gz MWGMVRINWCHWNG-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(C2CC2)cc1 ZINC001024811383 655941427 /nfs/dbraw/zinc/94/14/27/655941427.db2.gz RHBLUGLHDHRIGR-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1ocnc1C ZINC001025039280 655958117 /nfs/dbraw/zinc/95/81/17/655958117.db2.gz LABIJYDXBUGTRV-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1nc(C)cs1 ZINC001025040805 655958564 /nfs/dbraw/zinc/95/85/64/655958564.db2.gz MJEBJUABVOFWLG-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C1CCC1 ZINC001025190607 655974411 /nfs/dbraw/zinc/97/44/11/655974411.db2.gz YJWIMPPQJPSWMJ-CVEARBPZSA-N 0 1 310.869 3.385 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1cc(C)cs1 ZINC001025223877 655981696 /nfs/dbraw/zinc/98/16/96/655981696.db2.gz IJEYFYQLFIOZCW-CVEARBPZSA-N 0 1 316.470 3.149 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ccoc1CC ZINC001025228676 655981974 /nfs/dbraw/zinc/98/19/74/655981974.db2.gz BXVWLFJBEHJYSZ-DLBZAZTESA-N 0 1 316.445 3.487 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2nccc3ccccc32)C[C@H]1C ZINC000947666780 656009468 /nfs/dbraw/zinc/00/94/68/656009468.db2.gz KNJJVPWOTVFINZ-ZBFHGGJFSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2[nH]c(C)cc2C)C1 ZINC001025459807 656016713 /nfs/dbraw/zinc/01/67/13/656016713.db2.gz SSRSESNAMBEISW-CABCVRRESA-N 0 1 323.868 3.214 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)C23CCC(CC2)CC3)C1 ZINC001025630784 656052239 /nfs/dbraw/zinc/05/22/39/656052239.db2.gz WCCKBXYKTGCMAL-BOHSKOPMSA-N 0 1 316.489 3.197 20 30 DGEDMN Cc1nc(CC(=O)C(C#N)c2nc3ccccc3o2)c(C)s1 ZINC000193820240 656194372 /nfs/dbraw/zinc/19/43/72/656194372.db2.gz CAGNZFBHPWRYPF-NSHDSACASA-N 0 1 311.366 3.320 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1cc(-c2cccnc2)n[nH]1 ZINC000194796949 656204988 /nfs/dbraw/zinc/20/49/88/656204988.db2.gz PZVMWQSWLYMONF-UHFFFAOYSA-N 0 1 322.434 3.184 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1cc(-c2cccnc2)[nH]n1 ZINC000194796949 656204991 /nfs/dbraw/zinc/20/49/91/656204991.db2.gz PZVMWQSWLYMONF-UHFFFAOYSA-N 0 1 322.434 3.184 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C(F)F)nc2)C(C)(C)C1 ZINC000974646566 656218240 /nfs/dbraw/zinc/21/82/40/656218240.db2.gz VMJOCEDPDAXSKE-CQSZACIVSA-N 0 1 323.387 3.036 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(CCC)s2)C(C)(C)C1 ZINC000974648386 656218724 /nfs/dbraw/zinc/21/87/24/656218724.db2.gz RXAGNUZXVJMLNC-MRXNPFEDSA-N 0 1 318.486 3.164 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2ccc(C)c(OC)c2)C(C)(C)C1 ZINC000974803836 656230508 /nfs/dbraw/zinc/23/05/08/656230508.db2.gz BLALOZAYECCYQT-QGZVFWFLSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cccnc2C(F)F)C(C)(C)C1 ZINC000974798701 656231565 /nfs/dbraw/zinc/23/15/65/656231565.db2.gz QJLRHHHLLLDGRT-ZDUSSCGKSA-N 0 1 323.387 3.036 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)Cc1cc(=O)oc2cc(O)ccc12 ZINC000026324642 656238584 /nfs/dbraw/zinc/23/85/84/656238584.db2.gz IIOZCLVAEONTJS-UHFFFAOYSA-N 0 1 320.348 3.002 20 30 DGEDMN C[N@@H+](Cc1cccc(C#N)c1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000026324642 656238587 /nfs/dbraw/zinc/23/85/87/656238587.db2.gz IIOZCLVAEONTJS-UHFFFAOYSA-N 0 1 320.348 3.002 20 30 DGEDMN CCOc1cccc(/C=N/CCN2CCc3ccccc3C2)c1O ZINC000026431733 656250064 /nfs/dbraw/zinc/25/00/64/656250064.db2.gz NPBPEHCTVQMBKN-KGENOOAVSA-N 0 1 324.424 3.268 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)c2ncsc2C2CC2)C(C)(C)C1 ZINC000975031451 656251840 /nfs/dbraw/zinc/25/18/40/656251840.db2.gz QARGBSOHSUPNEO-ZDUSSCGKSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ncsc2C2CC2)C(C)(C)C1 ZINC000975031451 656251842 /nfs/dbraw/zinc/25/18/42/656251842.db2.gz QARGBSOHSUPNEO-ZDUSSCGKSA-N 0 1 319.474 3.037 20 30 DGEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2cccs2)C(C)(C)C1 ZINC000975032249 656251951 /nfs/dbraw/zinc/25/19/51/656251951.db2.gz VMJYJWYMBVDXIN-UKRRQHHQSA-N 0 1 306.475 3.254 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C(C)(C)C1 ZINC000975067217 656253140 /nfs/dbraw/zinc/25/31/40/656253140.db2.gz SLDNXZZJEKRWNG-VVLHAWIVSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(OCC)c2)C(C)(C)C1 ZINC000975109913 656257134 /nfs/dbraw/zinc/25/71/34/656257134.db2.gz MWSUZDVYXQQCHH-KRWDZBQOSA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(c3ccccc3F)CC2)C1 ZINC001009058163 656265479 /nfs/dbraw/zinc/26/54/79/656265479.db2.gz LHMVURDSAVOKTM-OAHLLOKOSA-N 0 1 316.420 3.014 20 30 DGEDMN N#C[C@@H](C(=O)Cn1ccc2ccccc21)c1nc2ccccc2[nH]1 ZINC000125074583 656266262 /nfs/dbraw/zinc/26/62/62/656266262.db2.gz GVKJIUQUDJWICN-AWEZNQCLSA-N 0 1 314.348 3.394 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027276213 656277763 /nfs/dbraw/zinc/27/77/63/656277763.db2.gz DSMJQTYMNDTOTC-AWEZNQCLSA-N 0 1 319.474 3.089 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC001009358989 656283361 /nfs/dbraw/zinc/28/33/61/656283361.db2.gz GOYGOLKFMHVUHO-CYBMUJFWSA-N 0 1 322.811 3.005 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027356129 656294769 /nfs/dbraw/zinc/29/47/69/656294769.db2.gz FWOXVLBXUQFAGW-QJIMOZNHSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2c(C)cc(C)cc2C)CC1 ZINC000949995825 656302865 /nfs/dbraw/zinc/30/28/65/656302865.db2.gz ZWSVVBHINGCHTN-UHFFFAOYSA-N 0 1 314.473 3.265 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccsc2Cl)C(C)(C)C1 ZINC000977213231 656316600 /nfs/dbraw/zinc/31/66/00/656316600.db2.gz UAVOTFDFMCWHKK-GFCCVEGCSA-N 0 1 312.866 3.418 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C(C)(C)C1 ZINC000977311453 656321379 /nfs/dbraw/zinc/32/13/79/656321379.db2.gz SUYMDFXMYXUHBT-OPYAIIAOSA-N 0 1 324.468 3.030 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)C(C)(C)C1 ZINC000977429566 656329670 /nfs/dbraw/zinc/32/96/70/656329670.db2.gz ZQKTZBIJHWWHKI-MRXNPFEDSA-N 0 1 304.434 3.338 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)C(C)(C)C1 ZINC000977489519 656334476 /nfs/dbraw/zinc/33/44/76/656334476.db2.gz XFMJHIAHWBUWNT-MRXNPFEDSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCN1C[C@H](NC(=O)Cc2c(F)cccc2Cl)C(C)(C)C1 ZINC000977537019 656340145 /nfs/dbraw/zinc/34/01/45/656340145.db2.gz XNHYDAGUMRGHMI-HNNXBMFYSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)C2CCC(C3CC3)CC2)C(C)(C)C1 ZINC000977548860 656341171 /nfs/dbraw/zinc/34/11/71/656341171.db2.gz YDGXZBRGSFYZSL-OFLPRAFFSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(F)cc2F)C(C)(C)C1 ZINC000977609882 656347551 /nfs/dbraw/zinc/34/75/51/656347551.db2.gz CBAYZZIQVKDELS-BLLLJJGKSA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)c2ccc(F)cc2F)C(C)(C)C1 ZINC000977609891 656347625 /nfs/dbraw/zinc/34/76/25/656347625.db2.gz CBAYZZIQVKDELS-MLGOLLRUSA-N 0 1 322.399 3.081 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000977647387 656352096 /nfs/dbraw/zinc/35/20/96/656352096.db2.gz XSWSEKQPMWAJPY-FXAWDEMLSA-N 0 1 316.489 3.363 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@]2(C)CCC(C)=C(C)C2)C(C)(C)C1 ZINC000977647410 656352422 /nfs/dbraw/zinc/35/24/22/656352422.db2.gz XSWSEKQPMWAJPY-YLJYHZDGSA-N 0 1 316.489 3.363 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCCC3(C)C)[C@@H]2C1 ZINC000979098588 656411010 /nfs/dbraw/zinc/41/10/10/656411010.db2.gz DYZAPARYHYTSHY-LVQVYYBASA-N 0 1 324.896 3.249 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCCN(CC(=C)Cl)CC1 ZINC001052447151 656437161 /nfs/dbraw/zinc/43/71/61/656437161.db2.gz BKRUKJXOCLXAAH-HNNXBMFYSA-N 0 1 321.852 3.011 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCN(CC(=C)Cl)CC1 ZINC001052447153 656437406 /nfs/dbraw/zinc/43/74/06/656437406.db2.gz BKRUKJXOCLXAAH-OAHLLOKOSA-N 0 1 321.852 3.011 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001052573886 656446056 /nfs/dbraw/zinc/44/60/56/656446056.db2.gz ZSSRHIDREUPIRT-JYJNAYRXSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cc(F)ccc2C)CC1 ZINC001052602035 656447833 /nfs/dbraw/zinc/44/78/33/656447833.db2.gz ZTJKITPVZYSTHA-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CCC(CCCC)CC2)C1 ZINC000980334555 656489156 /nfs/dbraw/zinc/48/91/56/656489156.db2.gz XDDGUEGFZDPMAK-DAWZGUTISA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1cccc(C)c1 ZINC001039413109 656495730 /nfs/dbraw/zinc/49/57/30/656495730.db2.gz JADIONMXHNNUQI-UXHICEINSA-N 0 1 324.468 3.016 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccsc2[nH]1 ZINC001039414643 656495879 /nfs/dbraw/zinc/49/58/79/656495879.db2.gz GZBOPPJAAFYRPM-KGLIPLIRSA-N 0 1 315.442 3.094 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C12CCC(CC1)C2(C)C ZINC001039448470 656503812 /nfs/dbraw/zinc/50/38/12/656503812.db2.gz QQNARIJVCWFUSI-RXCRORICSA-N 0 1 316.489 3.454 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C(C)(C)C)c1 ZINC001039453948 656505715 /nfs/dbraw/zinc/50/57/15/656505715.db2.gz AJLSDWJIJVMKDZ-MOPGFXCFSA-N 0 1 324.468 3.296 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccc(C)s1 ZINC001039460069 656506324 /nfs/dbraw/zinc/50/63/24/656506324.db2.gz XUEOMGOPGRHZJV-OAGGEKHMSA-N 0 1 318.486 3.411 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c(F)cccc1Cl ZINC001039461827 656507444 /nfs/dbraw/zinc/50/74/44/656507444.db2.gz LOQKTWYAIOQPBX-OLZOCXBDSA-N 0 1 322.811 3.344 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1cccc(F)c1 ZINC001039472045 656509537 /nfs/dbraw/zinc/50/95/37/656509537.db2.gz ZHMGRBMGRWOKJN-OLMNPRSZSA-N 0 1 316.420 3.181 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(c2cccc(C)c2)CC1 ZINC001039475011 656510387 /nfs/dbraw/zinc/51/03/87/656510387.db2.gz YXKMOYIKCPEZNH-MOPGFXCFSA-N 0 1 324.468 3.278 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1CC13CCC3)C2 ZINC001048570104 656515272 /nfs/dbraw/zinc/51/52/72/656515272.db2.gz ZHJFDJFUTJKUDO-QKPAOTATSA-N 0 1 322.880 3.288 20 30 DGEDMN C#CCN(C)[C@H]1CCN(C(=O)CC2CCC(C(C)(C)C)CC2)C1 ZINC000980504398 656516109 /nfs/dbraw/zinc/51/61/09/656516109.db2.gz SWKRKDLCBHFHIP-ABHNRTSZSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001027942478 656516905 /nfs/dbraw/zinc/51/69/05/656516905.db2.gz CVGORZDKYQWPAM-FHWLQOOXSA-N 0 1 316.489 3.197 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3CCCCCCC3)C[C@@H]2C1 ZINC001048930475 656547624 /nfs/dbraw/zinc/54/76/24/656547624.db2.gz LJBPKXJUSKCVCZ-CALCHBBNSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001027972572 656559491 /nfs/dbraw/zinc/55/94/91/656559491.db2.gz CJIWNBYCBBSLGZ-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001040333102 656577467 /nfs/dbraw/zinc/57/74/67/656577467.db2.gz RFTMILNCXOICLX-NADMHLTPSA-N 0 1 310.869 3.266 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049292917 656592392 /nfs/dbraw/zinc/59/23/92/656592392.db2.gz PSLQVKMCBYZUJP-WOJBJXKFSA-N 0 1 324.468 3.150 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@H]3C=CCC3)C2)CC1 ZINC001040600324 656599046 /nfs/dbraw/zinc/59/90/46/656599046.db2.gz AXULLCFEYJFHEC-INIZCTEOSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C=C(/C)C3CC3)C2)CC1 ZINC001040621235 656599636 /nfs/dbraw/zinc/59/96/36/656599636.db2.gz NWISWDKUUJOOOR-KAMYIIQDSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CCC2(C1)CCN(CCF)CC2 ZINC001040716647 656604639 /nfs/dbraw/zinc/60/46/39/656604639.db2.gz DWPYYVZTBDGUCM-UHFFFAOYSA-N 0 1 316.848 3.049 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@H]3C[C@H]3C)C2)CC1 ZINC001040833714 656609561 /nfs/dbraw/zinc/60/95/61/656609561.db2.gz ZVFRUAWCWYVRFW-UKRRQHHQSA-N 0 1 310.869 3.100 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc2ccccc2cc1F ZINC001028023131 656611020 /nfs/dbraw/zinc/61/10/20/656611020.db2.gz QVTHNYDHJOQEEY-KRWDZBQOSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3CC[C@H](C)C3)C2)CC1 ZINC001040875165 656612727 /nfs/dbraw/zinc/61/27/27/656612727.db2.gz UNJLSQAXWCQBJZ-HOCLYGCPSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cscc1Cl ZINC001049531360 656636657 /nfs/dbraw/zinc/63/66/57/656636657.db2.gz AZPBFXCWCVNPDT-LSDHHAIUSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C2CCC2)CCC1 ZINC001049622259 656650842 /nfs/dbraw/zinc/65/08/42/656650842.db2.gz SVFVGGSPHZYABB-QZTJIDSGSA-N 0 1 314.473 3.045 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C[C@@H](F)CC ZINC001049632772 656652928 /nfs/dbraw/zinc/65/29/28/656652928.db2.gz BAVAEOLCICPMAN-XHSDSOJGSA-N 0 1 310.457 3.402 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662116 656660036 /nfs/dbraw/zinc/66/00/36/656660036.db2.gz DRPGRNRVYHOSDZ-DLBZAZTESA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1occc1C(C)C ZINC001049662116 656660038 /nfs/dbraw/zinc/66/00/38/656660038.db2.gz DRPGRNRVYHOSDZ-DLBZAZTESA-N 0 1 314.429 3.105 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028072549 656663084 /nfs/dbraw/zinc/66/30/84/656663084.db2.gz HBDOFKICJDXHTA-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)c(C)cc1Cl ZINC001028072549 656663087 /nfs/dbraw/zinc/66/30/87/656663087.db2.gz HBDOFKICJDXHTA-OAHLLOKOSA-N 0 1 318.848 3.174 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C/C=C\Cl ZINC001049697504 656667415 /nfs/dbraw/zinc/66/74/15/656667415.db2.gz DBBDKHHNFPWSJW-STVPKCHCSA-N 0 1 310.869 3.407 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccsc1Cl ZINC001049761603 656681704 /nfs/dbraw/zinc/68/17/04/656681704.db2.gz KMMSILCIPKEQJK-KBPBESRZSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CC)CC1CCCC1 ZINC001049767433 656683836 /nfs/dbraw/zinc/68/38/36/656683836.db2.gz GGNYWVQDXKSBBE-GBESFXJTSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc2ccsc21 ZINC001049824276 656692734 /nfs/dbraw/zinc/69/27/34/656692734.db2.gz GBFGRBLEHSRKQM-DLBZAZTESA-N 0 1 324.449 3.213 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc(C(C)C)c1 ZINC001049827567 656693314 /nfs/dbraw/zinc/69/33/14/656693314.db2.gz WUFWKOZDVXQYCX-MOPGFXCFSA-N 0 1 310.441 3.122 20 30 DGEDMN CC(C)CC(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001041906774 656698419 /nfs/dbraw/zinc/69/84/19/656698419.db2.gz NXYRFPOQSJEUOL-UXHICEINSA-N 0 1 324.468 3.007 20 30 DGEDMN CC(C)(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001049860110 656702528 /nfs/dbraw/zinc/70/25/28/656702528.db2.gz ZIPQVPWDNUZKEF-RTBURBONSA-N 0 1 324.468 3.150 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C2CCCCC2)CC1 ZINC001049868753 656705060 /nfs/dbraw/zinc/70/50/60/656705060.db2.gz XEOMYVPSZDTTGR-QZTJIDSGSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sccc1C1CC1 ZINC001049876136 656709226 /nfs/dbraw/zinc/70/92/26/656709226.db2.gz IFSXQFUAXJXCQW-CVEARBPZSA-N 0 1 316.470 3.490 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1oc2ccccc2c1C ZINC001049876097 656709329 /nfs/dbraw/zinc/70/93/29/656709329.db2.gz IEHCLVAABCXZQW-SJORKVTESA-N 0 1 322.408 3.053 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3csc4ccccc34)C[C@H]21 ZINC001042169900 656730455 /nfs/dbraw/zinc/73/04/55/656730455.db2.gz NSXUBFOZMDFOEV-WMLDXEAASA-N 0 1 324.449 3.071 20 30 DGEDMN CC[C@@H](C)C(=O)N1CC2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC000981627930 656739588 /nfs/dbraw/zinc/73/95/88/656739588.db2.gz SZBFLCGBNCVZLB-GOSISDBHSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2Cc3ccccc32)CC1 ZINC001052667188 656744331 /nfs/dbraw/zinc/74/43/31/656744331.db2.gz IZYUZGUADOVQNP-WBVHZDCISA-N 0 1 318.848 3.050 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc4sccc4c3)C[C@H]21 ZINC001042296601 656748572 /nfs/dbraw/zinc/74/85/72/656748572.db2.gz CLCSKUFVGMSOEC-RHSMWYFYSA-N 0 1 324.449 3.071 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C2CC2)CC1 ZINC000981685785 656748639 /nfs/dbraw/zinc/74/86/39/656748639.db2.gz XXBLZMMCNRJYOU-ZWKOTPCHSA-N 0 1 304.478 3.313 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C(C)C)s3)C[C@@H]21 ZINC001042371064 656766327 /nfs/dbraw/zinc/76/63/27/656766327.db2.gz KQYZRSCBDDWSNX-GJZGRUSLSA-N 0 1 316.470 3.041 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3sccc3CC)C[C@H]21 ZINC001042369483 656766541 /nfs/dbraw/zinc/76/65/41/656766541.db2.gz YSIBSAQXSWCEGK-LSDHHAIUSA-N 0 1 304.459 3.033 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3sccc3CC)C[C@@H]21 ZINC001042369481 656766560 /nfs/dbraw/zinc/76/65/60/656766560.db2.gz YSIBSAQXSWCEGK-GJZGRUSLSA-N 0 1 304.459 3.033 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2(C)CC(F)(F)C2)CC1 ZINC001052855592 656776437 /nfs/dbraw/zinc/77/64/37/656776437.db2.gz RVKJSQSMFCTEDS-LBPRGKRZSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(F)F)c(F)c1 ZINC001028134623 656782927 /nfs/dbraw/zinc/78/29/27/656782927.db2.gz CVEZOANBLTZFLO-LBPRGKRZSA-N 0 1 312.335 3.144 20 30 DGEDMN CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001052886130 656783600 /nfs/dbraw/zinc/78/36/00/656783600.db2.gz GYYOTCBXNXNRLA-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2ccc(F)cc2)CC1 ZINC001052906740 656786944 /nfs/dbraw/zinc/78/69/44/656786944.db2.gz DCLQUGSLTIEAPP-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)C)nc1CC ZINC001028141809 656787233 /nfs/dbraw/zinc/78/72/33/656787233.db2.gz RBQJIBBXZJGKPK-HNNXBMFYSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCN(C(=O)CCC)C2)C1 ZINC001052999220 656806148 /nfs/dbraw/zinc/80/61/48/656806148.db2.gz IXGRRJLBMYHDAI-HOTGVXAUSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(F)c2ccccc12 ZINC001028153864 656808791 /nfs/dbraw/zinc/80/87/91/656808791.db2.gz BTTZLTRHHMKIRM-OAHLLOKOSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@]2(C)[C@@H]3Cc4ccccc4[C@@H]32)C1 ZINC001043166487 656816701 /nfs/dbraw/zinc/81/67/01/656816701.db2.gz ZUBLXJJFVROALG-SVFBPWRDSA-N 0 1 324.468 3.071 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001043505523 656834730 /nfs/dbraw/zinc/83/47/30/656834730.db2.gz UBHAQWLTRBFGLQ-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCCN(C/C=C\Cl)C3)CC1 ZINC000981983343 656846080 /nfs/dbraw/zinc/84/60/80/656846080.db2.gz JDXXGXUTGQCWBJ-OQFOIZHKSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001043965492 656866340 /nfs/dbraw/zinc/86/63/40/656866340.db2.gz HCTWOXCDPHVLRY-PIIMJCKOSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1ccc2nc(C)ccc2c1 ZINC001028213784 656873341 /nfs/dbraw/zinc/87/33/41/656873341.db2.gz LNOCJXJUIVEFOV-SFHVURJKSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)CC[N@H+]1CCCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC000982040712 656874193 /nfs/dbraw/zinc/87/41/93/656874193.db2.gz DIVRUTLASUVPHC-KRWDZBQOSA-N 0 1 304.409 3.198 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CC)CC3CCCC3)C2)C1 ZINC000982051619 656875980 /nfs/dbraw/zinc/87/59/80/656875980.db2.gz SSGSHQTVLVXKGL-SFHVURJKSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001044078321 656879547 /nfs/dbraw/zinc/87/95/47/656879547.db2.gz SEKBYJVNROECTE-UHFFFAOYSA-N 0 1 323.440 3.266 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCCC[C@H]3CC)C2)C1 ZINC000982099043 656893487 /nfs/dbraw/zinc/89/34/87/656893487.db2.gz VRRPRHJGSOKGTE-MSOLQXFVSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc4sccc4c3)C2)C1 ZINC000982100032 656895198 /nfs/dbraw/zinc/89/51/98/656895198.db2.gz PTEPWZFCIIMXPD-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc(-n3cccc3)c2)CC1 ZINC000949146286 656895921 /nfs/dbraw/zinc/89/59/21/656895921.db2.gz AYKNPOGHTHWCMU-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)s2)CC1 ZINC000982132230 656903826 /nfs/dbraw/zinc/90/38/26/656903826.db2.gz LPONVRJFXHWLCI-HZPDHXFCSA-N 0 1 318.486 3.270 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)c2c[nH]c3c2cccc3CC)C1 ZINC001044337086 656909473 /nfs/dbraw/zinc/90/94/73/656909473.db2.gz DHCIDEPOUMZPIV-UHFFFAOYSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@]1(C)C=CCC1)C2 ZINC001054180824 656927076 /nfs/dbraw/zinc/92/70/76/656927076.db2.gz YBFVFLSCUOJWEZ-ZWKOTPCHSA-N 0 1 322.880 3.410 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001028258485 656949268 /nfs/dbraw/zinc/94/92/68/656949268.db2.gz TUMWHVIEPICLJT-MRXNPFEDSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1ccc(C2CC2)s1 ZINC001028261746 656950044 /nfs/dbraw/zinc/95/00/44/656950044.db2.gz GMGNYWDHTYYTMB-CQSZACIVSA-N 0 1 304.459 3.396 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3c(C)ccn3C)cc2C1 ZINC001054262925 656954590 /nfs/dbraw/zinc/95/45/90/656954590.db2.gz XHBYPTTWNBWABP-UHFFFAOYSA-N 0 1 323.440 3.155 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3CC4(CCC4)C3)cc2C1 ZINC001054277071 656958442 /nfs/dbraw/zinc/95/84/42/656958442.db2.gz JYDVFJQQLGCWKX-UHFFFAOYSA-N 0 1 322.452 3.222 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@H]3CC34CCC4)cc2C1 ZINC001054284083 656961437 /nfs/dbraw/zinc/96/14/37/656961437.db2.gz DFINTJDELJKRAI-GOSISDBHSA-N 0 1 310.441 3.385 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H]3C[C@@H]3C(C)C)cc2C1 ZINC001054287832 656963589 /nfs/dbraw/zinc/96/35/89/656963589.db2.gz COZYKPYEWIIZBM-RTBURBONSA-N 0 1 312.457 3.487 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@]2(c3ccccc3)CC2(C)C)CC1 ZINC000982402202 656964279 /nfs/dbraw/zinc/96/42/79/656964279.db2.gz XCMZKBVIJVYADA-HXUWFJFHSA-N 0 1 312.457 3.075 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001028281617 656969107 /nfs/dbraw/zinc/96/91/07/656969107.db2.gz MGRODJPBBZNHAA-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccsc1C(F)(F)F ZINC001028284554 656971181 /nfs/dbraw/zinc/97/11/81/656971181.db2.gz HCJSAKOUIPEEGG-SNVBAGLBSA-N 0 1 318.364 3.147 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cc2c(s1)CCC2 ZINC001028301267 656986475 /nfs/dbraw/zinc/98/64/75/656986475.db2.gz BTZSIZIITNKJJZ-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc2[nH]c(C)c(C)c2c1 ZINC001028311468 656989979 /nfs/dbraw/zinc/98/99/79/656989979.db2.gz PGOHOHTZDQQLCL-KRWDZBQOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CNCc1csc(C)n1 ZINC001045148366 656995398 /nfs/dbraw/zinc/99/53/98/656995398.db2.gz VDIBNKZGXFYRMD-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1c(CC)oc2ccccc21 ZINC001028337462 656997157 /nfs/dbraw/zinc/99/71/57/656997157.db2.gz MPUJWUKQPNXEKR-CQSZACIVSA-N 0 1 312.413 3.375 20 30 DGEDMN CCC(C)(C)C(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001045178962 656998819 /nfs/dbraw/zinc/99/88/19/656998819.db2.gz SLENRBKPRDZUBJ-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001028356082 657004175 /nfs/dbraw/zinc/00/41/75/657004175.db2.gz YOIKJYPZXRJIRJ-LJQANCHMSA-N 0 1 308.425 3.081 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc([C@H]3C[C@@H]3C)o2)CC1 ZINC001045436128 657023330 /nfs/dbraw/zinc/02/33/30/657023330.db2.gz IVXXEYKBBVCCHD-GJZGRUSLSA-N 0 1 314.429 3.011 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCc2cccc(C)c2)C[C@@H]1C ZINC001054937914 657023279 /nfs/dbraw/zinc/02/32/79/657023279.db2.gz BCTDYWIOSLIXPM-YOEHRIQHSA-N 0 1 320.864 3.117 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc3occc3c2)CC1 ZINC001045445915 657023376 /nfs/dbraw/zinc/02/33/76/657023376.db2.gz IQBTVNFXLPENLM-UHFFFAOYSA-N 0 1 310.397 3.040 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(CCC)s2)CC1 ZINC001045452627 657025024 /nfs/dbraw/zinc/02/50/24/657025024.db2.gz MBOFAMYVTGXTMB-UHFFFAOYSA-N 0 1 318.486 3.308 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001045565632 657036784 /nfs/dbraw/zinc/03/67/84/657036784.db2.gz QQQXCXVMQTXUHJ-RTBURBONSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2ccc(Cl)c(F)c2)CC1 ZINC001045568923 657037833 /nfs/dbraw/zinc/03/78/33/657037833.db2.gz LTHUIAKGDFCFSW-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cccc3ccccc32)CC1 ZINC001045633578 657045734 /nfs/dbraw/zinc/04/57/34/657045734.db2.gz DUUQTQYCMODYJY-UHFFFAOYSA-N 0 1 320.436 3.447 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C1CC(C)C1)C2 ZINC001045927806 657095480 /nfs/dbraw/zinc/09/54/80/657095480.db2.gz MEHAJWUEPZLXGW-JFUPDXTOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2cccs2)C1 ZINC001000171739 657100926 /nfs/dbraw/zinc/10/09/26/657100926.db2.gz QPALBQUPHOBRTN-CHWSQXEVSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C1CCC(C(=O)N2CCCC[C@]3(CCN(CCF)C3)C2)CC1 ZINC001045963381 657107752 /nfs/dbraw/zinc/10/77/52/657107752.db2.gz YOPZOYMTGAVKSO-LJQANCHMSA-N 0 1 322.468 3.407 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H]1CC13CC3)C2 ZINC001045969406 657109312 /nfs/dbraw/zinc/10/93/12/657109312.db2.gz PUXJPKXYMARJHX-RDJZCZTQSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccc(C)cc2F)C1 ZINC001000299096 657110326 /nfs/dbraw/zinc/11/03/26/657110326.db2.gz ANYDBKZQDFAJCR-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C(C)(C)C)C2 ZINC001045980584 657111159 /nfs/dbraw/zinc/11/11/59/657111159.db2.gz CSKFXBXVQININB-QGZVFWFLSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001000395917 657124046 /nfs/dbraw/zinc/12/40/46/657124046.db2.gz LNHXEWDOBXISCW-ZACQAIPSSA-N 0 1 318.848 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)c2ccc(C)cc2)C1 ZINC001000724254 657146025 /nfs/dbraw/zinc/14/60/25/657146025.db2.gz JOXIETWNRGRWHG-DOTOQJQBSA-N 0 1 320.864 3.432 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(C(F)F)c2F)C1 ZINC001046319141 657146294 /nfs/dbraw/zinc/14/62/94/657146294.db2.gz JQOGJMRUINCXDS-MRXNPFEDSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3[nH]ccc3s2)C1 ZINC001000801745 657154250 /nfs/dbraw/zinc/15/42/50/657154250.db2.gz OHWCPFOBRCTLLJ-NSHDSACASA-N 0 1 323.849 3.176 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)C2C3CC4CC(C3)CC2C4)C1 ZINC001046378186 657155132 /nfs/dbraw/zinc/15/51/32/657155132.db2.gz LFLBNPOLXWJRHJ-IIBWNOEZSA-N 0 1 316.489 3.215 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524237 657172459 /nfs/dbraw/zinc/17/24/59/657172459.db2.gz QDJRMQSLITZHLZ-QGZVFWFLSA-N 0 1 300.427 3.282 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001046532618 657174075 /nfs/dbraw/zinc/17/40/75/657174075.db2.gz YHBYEOUNNHKMTM-KRWDZBQOSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2CC(C(C)(C)C)C2)C1 ZINC001001048112 657178699 /nfs/dbraw/zinc/17/86/99/657178699.db2.gz SVVIUCWAYFGCPO-NRXISQOPSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2coc3ccccc23)C1 ZINC001001067464 657179924 /nfs/dbraw/zinc/17/99/24/657179924.db2.gz JEHZELTUTRFXBH-ZDUSSCGKSA-N 0 1 318.804 3.380 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C(C)(C)C)oc2C)C1 ZINC001046664172 657199727 /nfs/dbraw/zinc/19/97/27/657199727.db2.gz YZYURNXTSGPEID-GOSISDBHSA-N 0 1 304.434 3.266 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001046686639 657203615 /nfs/dbraw/zinc/20/36/15/657203615.db2.gz GBUHIHBPEDDELA-ZVDOUQERSA-N 0 1 324.468 3.011 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc(C(C)C)c2)CC1 ZINC001001338180 657208883 /nfs/dbraw/zinc/20/88/83/657208883.db2.gz JZIAEHRHSXEEGZ-UHFFFAOYSA-N 0 1 310.441 3.195 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(F)F)cc2F)C1 ZINC001046732141 657209703 /nfs/dbraw/zinc/20/97/03/657209703.db2.gz ZWVKANMOYMKZAK-INIZCTEOSA-N 0 1 312.335 3.144 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001046797760 657222377 /nfs/dbraw/zinc/22/23/77/657222377.db2.gz HVAVWIAVUKFMLN-HNNXBMFYSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001046817959 657228696 /nfs/dbraw/zinc/22/86/96/657228696.db2.gz GYEXKJNETAWKBH-SFHVURJKSA-N 0 1 318.486 3.397 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2oc3c(cccc3C)c2C)C1 ZINC001046854443 657236531 /nfs/dbraw/zinc/23/65/31/657236531.db2.gz PCGJCBLDOAYWNF-IBGZPJMESA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)c2ccccc2C)C1 ZINC001046908879 657244030 /nfs/dbraw/zinc/24/40/30/657244030.db2.gz RMVMRHCKPMAHHU-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)c2cccs2)C1 ZINC001046909307 657245420 /nfs/dbraw/zinc/24/54/20/657245420.db2.gz CLOYPDJKECHDJE-LBPRGKRZSA-N 0 1 314.401 3.011 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2cc(C)cc(C)c2)C1 ZINC001046991232 657250275 /nfs/dbraw/zinc/25/02/75/657250275.db2.gz CWNVXBICZGIPFF-INIZCTEOSA-N 0 1 322.399 3.176 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H]3CN(C/C=C\Cl)C[C@H]32)CC1 ZINC001050132082 657255617 /nfs/dbraw/zinc/25/56/17/657255617.db2.gz FVQOLXCVZBCHTH-NEIPJUKUSA-N 0 1 322.880 3.408 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccc(C4CCC4)c3)[C@@H]2C1 ZINC001050182824 657265424 /nfs/dbraw/zinc/26/54/24/657265424.db2.gz DTMCSCLXNVKCHR-VQTJNVASSA-N 0 1 322.452 3.124 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cc(CC)c(C)s3)[C@@H]2C1 ZINC001050198293 657268731 /nfs/dbraw/zinc/26/87/31/657268731.db2.gz JWIWGNGVQGOUGP-JKSUJKDBSA-N 0 1 318.486 3.341 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCC[C@H](C)C3)C2)CC1 ZINC001050638566 657335716 /nfs/dbraw/zinc/33/57/16/657335716.db2.gz DTSUAGLJKGXEKQ-HOCLYGCPSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@@]3(C)CC)C2)CC1 ZINC001050714400 657346402 /nfs/dbraw/zinc/34/64/02/657346402.db2.gz HSHICPYGYUWYBA-GOEBONIOSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H]3CCCC34CC4)cccc2C1 ZINC001051292742 657381965 /nfs/dbraw/zinc/38/19/65/657381965.db2.gz IWGYLZRQIFGKBZ-IBGZPJMESA-N 0 1 324.468 3.427 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc(C)cc2OC)C1 ZINC000957295975 657394747 /nfs/dbraw/zinc/39/47/47/657394747.db2.gz ASHISGRXNDZQFP-UHFFFAOYSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc(Cl)c2)C1 ZINC000957311176 657397959 /nfs/dbraw/zinc/39/79/59/657397959.db2.gz AIRMGPIQXMJBIZ-UHFFFAOYSA-N 0 1 306.837 3.453 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2csc(Cl)c2)C1 ZINC000968386365 657402570 /nfs/dbraw/zinc/40/25/70/657402570.db2.gz HRRAQHZYAVXPNX-WCQYABFASA-N 0 1 312.866 3.418 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cc(Cl)ccc2C)C1 ZINC000968423390 657409855 /nfs/dbraw/zinc/40/98/55/657409855.db2.gz RUNMCFLSBRVHHB-WMLDXEAASA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncsc2C(C)C)C1 ZINC000968528608 657421799 /nfs/dbraw/zinc/42/17/99/657421799.db2.gz CKRGOYPGFACDGV-KGLIPLIRSA-N 0 1 321.490 3.283 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2sc(C)cc2C)CC1 ZINC000957498625 657423644 /nfs/dbraw/zinc/42/36/44/657423644.db2.gz FYDDCLOBEVYELY-UHFFFAOYSA-N 0 1 306.475 3.018 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC000968548426 657424624 /nfs/dbraw/zinc/42/46/24/657424624.db2.gz GJTPJLQOHGODIM-GDBMZVCRSA-N 0 1 318.461 3.421 20 30 DGEDMN C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000249734276 657426002 /nfs/dbraw/zinc/42/60/02/657426002.db2.gz VKKGGLZRPZMNKA-HFKOZYHYSA-N 0 1 311.168 3.297 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC000968580151 657432030 /nfs/dbraw/zinc/43/20/30/657432030.db2.gz TYLGEZKJKNKDIU-JXFKEZNVSA-N 0 1 320.436 3.303 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968592014 657434669 /nfs/dbraw/zinc/43/46/69/657434669.db2.gz JHBAQPSMVFHLPA-YPMHNXCESA-N 0 1 324.877 3.173 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)csc2Cl)C1 ZINC000968589315 657435258 /nfs/dbraw/zinc/43/52/58/657435258.db2.gz UHVQCRORPXVSMR-JQWIXIFHSA-N 0 1 312.866 3.336 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968591764 657435312 /nfs/dbraw/zinc/43/53/12/657435312.db2.gz FJXPQNHXLMCFKA-JSGCOSHPSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(C)c2)C1 ZINC000968607422 657440632 /nfs/dbraw/zinc/44/06/32/657440632.db2.gz TZQQJRSBOXZITM-QAPCUYQASA-N 0 1 300.446 3.320 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(C)c2)C1 ZINC000968607420 657440707 /nfs/dbraw/zinc/44/07/07/657440707.db2.gz TZQQJRSBOXZITM-CRAIPNDOSA-N 0 1 300.446 3.320 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc(C)c2C)C1 ZINC000968607145 657440842 /nfs/dbraw/zinc/44/08/42/657440842.db2.gz OZUBZZVRKUFBAR-MAUKXSAKSA-N 0 1 300.446 3.320 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607104 657440888 /nfs/dbraw/zinc/44/08/88/657440888.db2.gz OUXJGABCBHSGOY-BEFAXECRSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607104 657440890 /nfs/dbraw/zinc/44/08/90/657440890.db2.gz OUXJGABCBHSGOY-BEFAXECRSA-N 0 1 308.425 3.466 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607567 657440920 /nfs/dbraw/zinc/44/09/20/657440920.db2.gz WHPSTWRTUREVNB-UZLBHIALSA-N 0 1 320.436 3.303 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607105 657441001 /nfs/dbraw/zinc/44/10/01/657441001.db2.gz OUXJGABCBHSGOY-DNVCBOLYSA-N 0 1 308.425 3.466 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607105 657441005 /nfs/dbraw/zinc/44/10/05/657441005.db2.gz OUXJGABCBHSGOY-DNVCBOLYSA-N 0 1 308.425 3.466 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968619833 657445562 /nfs/dbraw/zinc/44/55/62/657445562.db2.gz PPHQWSIASIAWOQ-YJBOKZPZSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC000968677741 657455250 /nfs/dbraw/zinc/45/52/50/657455250.db2.gz IEGHKFHARBCRLU-SWLSCSKDSA-N 0 1 316.376 3.198 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C2CCC(C)(C)CC2)C1 ZINC000968689329 657459274 /nfs/dbraw/zinc/45/92/74/657459274.db2.gz WXNDLKUUBVKDLB-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)C1 ZINC000968692264 657460400 /nfs/dbraw/zinc/46/04/00/657460400.db2.gz MCGWUYBJAURATQ-BQTPPKLHSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc3c2CCC3)C1 ZINC000968690886 657460819 /nfs/dbraw/zinc/46/08/19/657460819.db2.gz BJVCQTNILCXIDD-BEFAXECRSA-N 0 1 312.457 3.192 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc3c2CCC3)C1 ZINC000968690888 657461241 /nfs/dbraw/zinc/46/12/41/657461241.db2.gz BJVCQTNILCXIDD-HNAYVOBHSA-N 0 1 312.457 3.192 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC000968703496 657464033 /nfs/dbraw/zinc/46/40/33/657464033.db2.gz WABYZSVTENVDDC-KXBFYZLASA-N 0 1 323.440 3.308 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](c2ccc(F)cc2)C(C)C)CC1 ZINC000957812064 657464333 /nfs/dbraw/zinc/46/43/33/657464333.db2.gz HKRNFKMADXPEIU-SFHVURJKSA-N 0 1 318.436 3.286 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC000968709881 657465638 /nfs/dbraw/zinc/46/56/38/657465638.db2.gz MFWGJOUAPHPGNJ-BBRMVZONSA-N 0 1 322.399 3.425 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](CC)c2ccc(F)cc2)CC1 ZINC000957823214 657465796 /nfs/dbraw/zinc/46/57/96/657465796.db2.gz DCYAIAMCQLRBSI-QGZVFWFLSA-N 0 1 304.409 3.040 20 30 DGEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968710603 657465894 /nfs/dbraw/zinc/46/58/94/657465894.db2.gz RKPDAIBWPNPMJH-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc2occc2c1 ZINC000968868923 657504462 /nfs/dbraw/zinc/50/44/62/657504462.db2.gz FMLMABUOLAXLNO-KRWDZBQOSA-N 0 1 310.397 3.040 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc3c2cccc3C)C1 ZINC000968924496 657516927 /nfs/dbraw/zinc/51/69/27/657516927.db2.gz MUTFJYZQCGRKBT-CYBMUJFWSA-N 0 1 318.804 3.298 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(Cl)cc1C ZINC000969010815 657532197 /nfs/dbraw/zinc/53/21/97/657532197.db2.gz DXJWMJUYSDSYOX-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1oc(C(C)C)nc1C ZINC000969062296 657546224 /nfs/dbraw/zinc/54/62/24/657546224.db2.gz LIUPRIWRLJRAQK-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C(C)C)oc1C ZINC000969096859 657555289 /nfs/dbraw/zinc/55/52/89/657555289.db2.gz DWUIHTHBECYLFF-MRXNPFEDSA-N 0 1 316.445 3.319 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2sccc2C)CC1 ZINC000985217754 657577131 /nfs/dbraw/zinc/57/71/31/657577131.db2.gz PUNIATDDPMMRBX-UHFFFAOYSA-N 0 1 312.866 3.345 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc(C)oc2C)CC1 ZINC000985259064 657586364 /nfs/dbraw/zinc/58/63/64/657586364.db2.gz QOGLSUKCVGNLOF-UHFFFAOYSA-N 0 1 310.825 3.185 20 30 DGEDMN CC(C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1)=C1CCC1 ZINC001007299348 657593122 /nfs/dbraw/zinc/59/31/22/657593122.db2.gz GFLSXYJSEGSRPN-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN CC[C@@H](C)C(=O)N1CCC(N(C)Cc2ccccc2C#N)CC1 ZINC000985280949 657594000 /nfs/dbraw/zinc/59/40/00/657594000.db2.gz VHKXMMQEDHDDBI-OAHLLOKOSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001007321182 657595123 /nfs/dbraw/zinc/59/51/23/657595123.db2.gz OLFCJTWFWICZAO-ARFHVFGLSA-N 0 1 318.486 3.317 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C(C)(C)C)cn2)C1 ZINC001007357175 657598621 /nfs/dbraw/zinc/59/86/21/657598621.db2.gz OKNXZEDFHVQAMC-INIZCTEOSA-N 0 1 315.461 3.149 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001007399754 657604984 /nfs/dbraw/zinc/60/49/84/657604984.db2.gz IKAPDZJQLLKLOM-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2CC(=C)C)c1ccccc1 ZINC001029592794 657617722 /nfs/dbraw/zinc/61/77/22/657617722.db2.gz OBTUCCIZLXDPJU-OTWHNJEPSA-N 0 1 310.441 3.208 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)C1 ZINC001007632878 657623882 /nfs/dbraw/zinc/62/38/82/657623882.db2.gz CMTAQAPLQHEWHJ-MCIONIFRSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC001007726851 657631328 /nfs/dbraw/zinc/63/13/28/657631328.db2.gz MGFMXMLQAGDURE-CQSZACIVSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001007817548 657636672 /nfs/dbraw/zinc/63/66/72/657636672.db2.gz NQKKIMYCWZLMNC-SFHVURJKSA-N 0 1 323.440 3.248 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)c2c(F)cccc2F)C1 ZINC001007950568 657648502 /nfs/dbraw/zinc/64/85/02/657648502.db2.gz LVAHVKWAKHGYSJ-KGLIPLIRSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc3ccccc3c2C)C1 ZINC001007969234 657649001 /nfs/dbraw/zinc/64/90/01/657649001.db2.gz CDNICXDWDKZPFP-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2C[C@H]2Cc2ccccc2)C1 ZINC001008032696 657655063 /nfs/dbraw/zinc/65/50/63/657655063.db2.gz PCGQAKGXUHTPKG-QYZOEREBSA-N 0 1 312.457 3.022 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001008182605 657667722 /nfs/dbraw/zinc/66/77/22/657667722.db2.gz LFIHSZQFEMAXGV-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001008350607 657685516 /nfs/dbraw/zinc/68/55/16/657685516.db2.gz QEAKFVHLVQMRCG-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000305198410 657694360 /nfs/dbraw/zinc/69/43/60/657694360.db2.gz UWUBWCJQFRYLLG-INIZCTEOSA-N 0 1 318.398 3.378 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC001008681803 657719269 /nfs/dbraw/zinc/71/92/69/657719269.db2.gz TXHSHGDVRLWDOH-QGZVFWFLSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC000985512193 657728148 /nfs/dbraw/zinc/72/81/48/657728148.db2.gz FMFCZPKYMQFKHS-YCPHGPKFSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001008826056 657733447 /nfs/dbraw/zinc/73/34/47/657733447.db2.gz GEPCFAYVICZABN-GGPKGHCWSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC001008877268 657736604 /nfs/dbraw/zinc/73/66/04/657736604.db2.gz SLFGIEUGMYZULY-OAHLLOKOSA-N 0 1 308.372 3.395 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001008896445 657738240 /nfs/dbraw/zinc/73/82/40/657738240.db2.gz WAYXBIHTCOICAW-YJBOKZPZSA-N 0 1 300.446 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC001008954999 657740322 /nfs/dbraw/zinc/74/03/22/657740322.db2.gz IYNLATAVQYQUOV-SFHVURJKSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC001009313999 657767677 /nfs/dbraw/zinc/76/76/77/657767677.db2.gz RBIHUTNFMJLDGO-GFCCVEGCSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001029828827 657772469 /nfs/dbraw/zinc/77/24/69/657772469.db2.gz LIUNFCWKHGXQMX-PBHICJAKSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC[C@H](C)C2)CC1 ZINC000985610213 657781939 /nfs/dbraw/zinc/78/19/39/657781939.db2.gz GLNVTEDKCDRZBR-ZFWWWQNUSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC000985619345 657786938 /nfs/dbraw/zinc/78/69/38/657786938.db2.gz QOEIAEPZKBXBDA-SFHVURJKSA-N 0 1 319.449 3.008 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960384042 657801817 /nfs/dbraw/zinc/80/18/17/657801817.db2.gz MQPYOZTTYDXLCB-AWEZNQCLSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001009679046 657806878 /nfs/dbraw/zinc/80/68/78/657806878.db2.gz CGOXNUNPJPSFHP-CJNGLKHVSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@]2(C)CCc3ccccc32)C1 ZINC001009692087 657809938 /nfs/dbraw/zinc/80/99/38/657809938.db2.gz PCIYHOOIQMNQEO-YLJYHZDGSA-N 0 1 312.457 3.047 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2cnc(CC(C)C)s2)C1 ZINC001009698892 657810140 /nfs/dbraw/zinc/81/01/40/657810140.db2.gz UHMNZGXYUULPLV-AWEZNQCLSA-N 0 1 321.490 3.112 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)Cc2ccco2)CC1 ZINC000985695550 657810638 /nfs/dbraw/zinc/81/06/38/657810638.db2.gz YVOMAHCVGAFDOT-ZDUSSCGKSA-N 0 1 324.852 3.134 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C(C)(C)CC)cc1 ZINC000960467178 657821596 /nfs/dbraw/zinc/82/15/96/657821596.db2.gz YEUPBQOXCCKRQV-BWTSREIZSA-N 0 1 324.468 3.058 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(CF)cc2)CC1 ZINC000985808958 657865462 /nfs/dbraw/zinc/86/54/62/657865462.db2.gz TYLDFYOYHTZGDS-UHFFFAOYSA-N 0 1 324.827 3.445 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC000960840527 657895732 /nfs/dbraw/zinc/89/57/32/657895732.db2.gz WKRSLPYJTSYJSY-PKOBYXMFSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3CCCC3)C2)C1 ZINC000961074022 657939319 /nfs/dbraw/zinc/93/93/19/657939319.db2.gz AUXJRWSOIZJQII-SJORKVTESA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C(C)(C)F)C2)C1 ZINC000961093251 657946318 /nfs/dbraw/zinc/94/63/18/657946318.db2.gz WGMDUNKVUQBCKN-ZIAGYGMSSA-N 0 1 316.848 3.048 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CC3(C)CC3)C2)C1 ZINC000961210921 657977464 /nfs/dbraw/zinc/97/74/64/657977464.db2.gz KCPFOORRGSOMPU-HZPDHXFCSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)[C@@H]2C[C@H]2C)C1 ZINC001029920020 658004314 /nfs/dbraw/zinc/00/43/14/658004314.db2.gz MKCJBTZUWJAYHD-YZGWKJHDSA-N 0 1 324.468 3.159 20 30 DGEDMN CC(C(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@H]1C)=C1CCC1 ZINC000986287116 658031596 /nfs/dbraw/zinc/03/15/96/658031596.db2.gz PSMNRHUBFYBPRA-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(C)c2F)[C@@H]1C ZINC000986312882 658036279 /nfs/dbraw/zinc/03/62/79/658036279.db2.gz KEHVRZRTRRDXHB-TZMCWYRMSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cccc(CC)c2)[C@H]1C ZINC000986440443 658051965 /nfs/dbraw/zinc/05/19/65/658051965.db2.gz KTYDEJSNEWADLE-YOEHRIQHSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cccc(CC)c2)[C@@H]1C ZINC000986440441 658052322 /nfs/dbraw/zinc/05/23/22/658052322.db2.gz KTYDEJSNEWADLE-RHSMWYFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)Cc1cc(OC)ccc1O ZINC000179856440 658073776 /nfs/dbraw/zinc/07/37/76/658073776.db2.gz VPHSKLQMEKGRCT-UHFFFAOYSA-N 0 1 308.381 3.461 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)ccc2F)[C@H]1C ZINC000987059821 658150085 /nfs/dbraw/zinc/15/00/85/658150085.db2.gz SUIPERMSVZBKQU-SWLSCSKDSA-N 0 1 310.800 3.079 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccc(CC)cc2)[C@H]1C ZINC000987078550 658152390 /nfs/dbraw/zinc/15/23/90/658152390.db2.gz VIILDBCEVFLRHK-YOEHRIQHSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001015776081 658185093 /nfs/dbraw/zinc/18/50/93/658185093.db2.gz QUDPEVXRTXKMJK-JKSUJKDBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(CC(C)C)c[nH]2)[C@H]1C ZINC000987365093 658194315 /nfs/dbraw/zinc/19/43/15/658194315.db2.gz GXIOAZLQSLWGGP-ZFWWWQNUSA-N 0 1 323.868 3.158 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccc3ccccc3c2)C1 ZINC001015872466 658197089 /nfs/dbraw/zinc/19/70/89/658197089.db2.gz HQHXFCKXTZBVMY-HSALFYBXSA-N 0 1 320.436 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2Cl)[C@@H]1C ZINC000987395642 658202346 /nfs/dbraw/zinc/20/23/46/658202346.db2.gz ZNFSNUISPCIZPZ-BXUZGUMPSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001015966453 658212666 /nfs/dbraw/zinc/21/26/66/658212666.db2.gz JAYDCMVANBKWCZ-GOEBONIOSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001015966486 658213354 /nfs/dbraw/zinc/21/33/54/658213354.db2.gz JAYDCMVANBKWCZ-HOCLYGCPSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC001016230534 658259798 /nfs/dbraw/zinc/25/97/98/658259798.db2.gz VLIRPWBJIGSNPR-CQSZACIVSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001016310750 658265814 /nfs/dbraw/zinc/26/58/14/658265814.db2.gz PCNUWCUSQUOWMU-ZDUSSCGKSA-N 0 1 306.475 3.426 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2c(C)coc2C)CC1 ZINC001016605400 658305910 /nfs/dbraw/zinc/30/59/10/658305910.db2.gz PHCSHLLSJIYWRT-CQSZACIVSA-N 0 1 322.836 3.233 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2CCC2(C)C)CC1 ZINC001016780283 658328733 /nfs/dbraw/zinc/32/87/33/658328733.db2.gz IKYZRMAYRSNNOH-UONOGXRCSA-N 0 1 310.869 3.146 20 30 DGEDMN CCOc1ccc(-c2n[nH]c(=S)n2N=Cc2ccco2)cc1 ZINC000263630122 658344423 /nfs/dbraw/zinc/34/44/23/658344423.db2.gz IGSVNCJWOBCIHL-UHFFFAOYSA-N 0 1 314.370 3.482 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2ccsc2)C1 ZINC000988960403 658419787 /nfs/dbraw/zinc/41/97/87/658419787.db2.gz MEBSKXDMJYABTI-TZMCWYRMSA-N 0 1 312.866 3.012 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(C)o1 ZINC001017478226 658435116 /nfs/dbraw/zinc/43/51/16/658435116.db2.gz MRXCILKFJSYXOD-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([C@H]2C[C@@H]2C)o1 ZINC001017554354 658439567 /nfs/dbraw/zinc/43/95/67/658439567.db2.gz XQTVJOYBBWIYBS-JONQDZQNSA-N 0 1 314.429 3.268 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C)c(F)c2)C1 ZINC000989139560 658441830 /nfs/dbraw/zinc/44/18/30/658441830.db2.gz VOLPJYJLBHKOGK-GXTWGEPZSA-N 0 1 310.800 3.079 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCC[C@H]1CC ZINC001017663952 658448669 /nfs/dbraw/zinc/44/86/69/658448669.db2.gz YIQSGQMQIZGYAG-VXNCWWDNSA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1(C)CCCCC1 ZINC001017725748 658455129 /nfs/dbraw/zinc/45/51/29/658455129.db2.gz GSHGBQVSUMDPLC-CALCHBBNSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cc(F)cc1C ZINC001017789448 658461607 /nfs/dbraw/zinc/46/16/07/658461607.db2.gz RQJGBZZXIDQXTO-CALCHBBNSA-N 0 1 316.420 3.307 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc[nH]c2C(C)C)C1 ZINC000989329546 658465115 /nfs/dbraw/zinc/46/51/15/658465115.db2.gz PJOPUBOXGFZYQL-QWHCGFSZSA-N 0 1 309.841 3.083 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966325107 658467213 /nfs/dbraw/zinc/46/72/13/658467213.db2.gz LBYZYIXSHZCIIS-BBRMVZONSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C1CC1 ZINC001017853269 658467923 /nfs/dbraw/zinc/46/79/23/658467923.db2.gz XBDWAGPXMVOSLH-GASCZTMLSA-N 0 1 300.402 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccoc2C2CC2)C1 ZINC000989357018 658469052 /nfs/dbraw/zinc/46/90/52/658469052.db2.gz MHQBRGLHIDXERX-WCQYABFASA-N 0 1 308.809 3.102 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc[nH]c1C1CCC1 ZINC001017942219 658481825 /nfs/dbraw/zinc/48/18/25/658481825.db2.gz PFKCWABRUQBNAI-IYBDPMFKSA-N 0 1 313.445 3.147 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2coc3c2cccc3C)C1 ZINC000966726979 658494893 /nfs/dbraw/zinc/49/48/93/658494893.db2.gz WXCYHSDVKOHSJS-GUYCJALGSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc3[nH]ccc32)C1 ZINC000989468174 658494939 /nfs/dbraw/zinc/49/49/39/658494939.db2.gz CKPQOZQJFBNMSQ-QWHCGFSZSA-N 0 1 317.820 3.113 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001018069982 658499467 /nfs/dbraw/zinc/49/94/67/658499467.db2.gz XEDYQYQBXKNSCD-FFZOFVMBSA-N 0 1 324.468 3.051 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(C2CCC2)c1 ZINC001018175821 658510252 /nfs/dbraw/zinc/51/02/52/658510252.db2.gz BIBWDHBNLSHLMY-BGYRXZFFSA-N 0 1 322.452 3.266 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(F)cccc2Cl)CC1 ZINC000989540767 658513542 /nfs/dbraw/zinc/51/35/42/658513542.db2.gz JCEDGVHWNRNWGP-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC000966914380 658513620 /nfs/dbraw/zinc/51/36/20/658513620.db2.gz MISLKDWZLNRABO-IFXJQAMLSA-N 0 1 323.440 3.169 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(C)cc(C)cc2C)CC1 ZINC000989616180 658527791 /nfs/dbraw/zinc/52/77/91/658527791.db2.gz VQDANCOSZQFIGX-UHFFFAOYSA-N 0 1 300.446 3.336 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc(C)nc3ccccc32)CC1 ZINC000989607216 658528168 /nfs/dbraw/zinc/52/81/68/658528168.db2.gz FPOUUHFUTRJHTB-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc(C)c(C)s1 ZINC001018283868 658528934 /nfs/dbraw/zinc/52/89/34/658528934.db2.gz SXJRTOUSLDFKLN-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(OC)c(Cl)c2)CC1 ZINC000989629930 658532824 /nfs/dbraw/zinc/53/28/24/658532824.db2.gz PKTKUDBORVAIAS-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2coc3cc(F)ccc23)C1 ZINC000967086946 658538824 /nfs/dbraw/zinc/53/88/24/658538824.db2.gz MVWLUKZMVJWTNB-WBMJQRKESA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3cccc(F)c3o2)CC1 ZINC000989704380 658546669 /nfs/dbraw/zinc/54/66/69/658546669.db2.gz YFDDWLJWPXHILE-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc(Cl)c2)C1 ZINC000989709014 658548022 /nfs/dbraw/zinc/54/80/22/658548022.db2.gz ZVUOKUNIPHEXRH-FZMZJTMJSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H](C)c2ccccc2C)CC1 ZINC000989714217 658551276 /nfs/dbraw/zinc/55/12/76/658551276.db2.gz LBSUGNIVASLXPX-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccccc2C)CC1 ZINC000989714217 658551280 /nfs/dbraw/zinc/55/12/80/658551280.db2.gz LBSUGNIVASLXPX-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=C[C@@H](C(=O)N1CCCN(CC=C(C)C)CC1)c1ccccc1 ZINC000989718690 658554261 /nfs/dbraw/zinc/55/42/61/658554261.db2.gz BIDFGTNUNDWCAA-LJQANCHMSA-N 0 1 312.457 3.457 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(Cl)s2)C1 ZINC000989752448 658569861 /nfs/dbraw/zinc/56/98/61/658569861.db2.gz MXEROPXVEPFVRK-UWVGGRQHSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ccc(C)c(C)c2)C1 ZINC000989753300 658571583 /nfs/dbraw/zinc/57/15/83/658571583.db2.gz UYFQZNDZUXJLSA-GDBMZVCRSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCc2cccs2)C1 ZINC000989776060 658574712 /nfs/dbraw/zinc/57/47/12/658574712.db2.gz KEQIZYSXWIDZOR-CHWSQXEVSA-N 0 1 312.866 3.012 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397617 658575674 /nfs/dbraw/zinc/57/56/74/658575674.db2.gz QISJTFRPJKKOAF-BBRMVZONSA-N 0 1 306.837 3.275 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)cc(Cl)c2)C1 ZINC000967397116 658575800 /nfs/dbraw/zinc/57/58/00/658575800.db2.gz MDFGGENHWGUPNT-YOEHRIQHSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(-c3ccccc3)[nH]2)CC1 ZINC000989783754 658577076 /nfs/dbraw/zinc/57/70/76/658577076.db2.gz CMOPRUJYENXEQW-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)cc2F)C1 ZINC001019110690 658609464 /nfs/dbraw/zinc/60/94/64/658609464.db2.gz BRLVRIRWIDNTAK-NSHDSACASA-N 0 1 317.191 3.036 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC000967676736 658618319 /nfs/dbraw/zinc/61/83/19/658618319.db2.gz JVHWDHGGABMKAF-KBXCAEBGSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2ccncc2Cl)C1 ZINC001019331736 658632725 /nfs/dbraw/zinc/63/27/25/658632725.db2.gz CBLJJDRKVOWHRV-YOEHRIQHSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968102883 658667506 /nfs/dbraw/zinc/66/75/06/658667506.db2.gz BAHQPRCYBMZPGV-YJBOKZPZSA-N 0 1 300.446 3.265 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2C2(C)CC2)C1 ZINC000968321211 658696811 /nfs/dbraw/zinc/69/68/11/658696811.db2.gz HFAFULVQGBGNCY-MAUKXSAKSA-N 0 1 312.457 3.364 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ccc(Cl)o2)cc1 ZINC000128425464 658741874 /nfs/dbraw/zinc/74/18/74/658741874.db2.gz CSCOEBMYWDGROH-LBPRGKRZSA-N 0 1 316.744 3.457 20 30 DGEDMN C=CCn1cccc1C(=O)NC[C@H]1CCN1[C@@H](C)c1ccccc1 ZINC001038343556 658743126 /nfs/dbraw/zinc/74/31/26/658743126.db2.gz ATQNVJUFHJRIRF-FUHWJXTLSA-N 0 1 323.440 3.239 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001038395793 658748536 /nfs/dbraw/zinc/74/85/36/658748536.db2.gz JQJIFMUUYXDNPG-QRVBRYPASA-N 0 1 312.457 3.337 20 30 DGEDMN C=CCOc1ccc(C(=O)NC[C@H]2CCN2CC=C(C)C)cc1 ZINC001038427777 658753022 /nfs/dbraw/zinc/75/30/22/658753022.db2.gz MEVHIJXXSJFWJP-QGZVFWFLSA-N 0 1 314.429 3.022 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1c(Cl)oc2ccccc21 ZINC001038616916 658771267 /nfs/dbraw/zinc/77/12/67/658771267.db2.gz OZEFEIQFRMQDDC-GFCCVEGCSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001038720244 658786890 /nfs/dbraw/zinc/78/68/90/658786890.db2.gz ADAIWEJUSAEWCK-XUVXKRRUSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001038762061 658797006 /nfs/dbraw/zinc/79/70/06/658797006.db2.gz NBOZDAOIAHSTGB-AWEZNQCLSA-N 0 1 304.434 3.266 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cscc3Cl)CCC[C@@H]12 ZINC000991250105 658845852 /nfs/dbraw/zinc/84/58/52/658845852.db2.gz YJUMAAGUPIRWFB-ZBFHGGJFSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)O[C@@H](CC)C2)c1O ZINC000164318888 658928599 /nfs/dbraw/zinc/92/85/99/658928599.db2.gz TWZYSHNGXPUGMD-BBRMVZONSA-N 0 1 305.418 3.129 20 30 DGEDMN O=C(/C=C/c1cnn(-c2ccccc2)c1)c1ccc(O)cc1O ZINC000156626953 658931311 /nfs/dbraw/zinc/93/13/11/658931311.db2.gz CHNDQMFSZUZPHO-RMKNXTFCSA-N 0 1 306.321 3.180 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(F)F)cc1F ZINC001038844806 658947618 /nfs/dbraw/zinc/94/76/18/658947618.db2.gz GFGRUEZGSVJYTF-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN CC(C)(C)OC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC000056317095 658964845 /nfs/dbraw/zinc/96/48/45/658964845.db2.gz RXDNXOAAQDTIBR-INIZCTEOSA-N 0 1 315.417 3.047 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1csc2c1CCCC2 ZINC001038994196 658976547 /nfs/dbraw/zinc/97/65/47/658976547.db2.gz XKAPNUQOXMJZGB-ZDUSSCGKSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001039001537 658977488 /nfs/dbraw/zinc/97/74/88/658977488.db2.gz SNOXCVCACUUTQB-MRXNPFEDSA-N 0 1 303.450 3.066 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1oc2c(cccc2C)c1C ZINC001039041497 658986038 /nfs/dbraw/zinc/98/60/38/658986038.db2.gz DWGCQPVKPXYSCI-OAHLLOKOSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)c2ccoc2)C1 ZINC001039187846 659030003 /nfs/dbraw/zinc/03/00/03/659030003.db2.gz VBEGYHADDOGYSA-GOEBONIOSA-N 0 1 322.836 3.349 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2coc3ccc(CC)cc23)C[C@H]1C ZINC000947315091 659044729 /nfs/dbraw/zinc/04/47/29/659044729.db2.gz MPQCNTZZSFYRLV-GDBMZVCRSA-N 0 1 324.424 3.211 20 30 DGEDMN C=CCOc1ccc(C(=O)NC2CN(CCC(C)(C)C)C2)cc1 ZINC001030541753 659221231 /nfs/dbraw/zinc/22/12/31/659221231.db2.gz XSMRVLUDFRQHQY-UHFFFAOYSA-N 0 1 316.445 3.102 20 30 DGEDMN N#Cc1ccc(CNC[C@](O)(c2ccccc2)C(F)(F)F)cc1 ZINC000170790737 659237226 /nfs/dbraw/zinc/23/72/26/659237226.db2.gz CMKDNQVPQQPBPT-INIZCTEOSA-N 0 1 320.314 3.098 20 30 DGEDMN CC(C)c1nc(C(=O)C(C#N)C(=O)Nc2ccccc2)cs1 ZINC000171146147 659263718 /nfs/dbraw/zinc/26/37/18/659263718.db2.gz LEBCWQRGJISDHZ-LBPRGKRZSA-N 0 1 313.382 3.228 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cccc3cccnc32)c1 ZINC000172197556 659323904 /nfs/dbraw/zinc/32/39/04/659323904.db2.gz YJRLJIDPLDAIGD-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN N#CC(C(=O)Cc1cc(F)ccc1F)c1nc2ccccc2[nH]1 ZINC000173904556 659405619 /nfs/dbraw/zinc/40/56/19/659405619.db2.gz XIUMKXSAOSOTSY-LBPRGKRZSA-N 0 1 311.291 3.260 20 30 DGEDMN Cc1ccc(N2CC[C@@H](N[C@H](C)c3ccc(C#N)cc3)C2=O)cc1 ZINC000173903857 659405857 /nfs/dbraw/zinc/40/58/57/659405857.db2.gz SVQOYQXQRNGLKT-DNVCBOLYSA-N 0 1 319.408 3.323 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H]1CCC[C@@H](C)C1 ZINC000173977206 659407118 /nfs/dbraw/zinc/40/71/18/659407118.db2.gz QFRLXKRJVMNKCD-HZSPNIEDSA-N 0 1 314.385 3.169 20 30 DGEDMN CC(C)(C)OCCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000174128380 659416240 /nfs/dbraw/zinc/41/62/40/659416240.db2.gz VGOVWETXXXYMLQ-UHFFFAOYSA-N 0 1 319.449 3.129 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2[C@H](C)CC[C@H]2C)cc1C ZINC000174592464 659441351 /nfs/dbraw/zinc/44/13/51/659441351.db2.gz OBTCMNNRUQLSSF-IAGOWNOFSA-N 0 1 313.445 3.423 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ccc(F)c(C)c3)CCC[C@@H]12 ZINC000992151902 659474718 /nfs/dbraw/zinc/47/47/18/659474718.db2.gz IHFARCZWTASZDZ-SJLPKXTDSA-N 0 1 302.393 3.047 20 30 DGEDMN CCC(=O)N[C@H]1CCN(CC#Cc2ccc(Cl)cc2)[C@@H](C)C1 ZINC000946938189 659500128 /nfs/dbraw/zinc/50/01/28/659500128.db2.gz YDWSUSPFHHAADS-YOEHRIQHSA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3cc(Cl)cs3)CCC[C@@H]12 ZINC000992292161 659514225 /nfs/dbraw/zinc/51/42/25/659514225.db2.gz CNKFYZGVLGOCBK-HIFRSBDPSA-N 0 1 310.850 3.314 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3csc(Cl)c3)CCC[C@@H]12 ZINC000992296628 659515684 /nfs/dbraw/zinc/51/56/84/659515684.db2.gz IPGSYSVHOUUSSK-CJNGLKHVSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4c(c3)C=CCC4)CCC[C@@H]12 ZINC000992313341 659521339 /nfs/dbraw/zinc/52/13/39/659521339.db2.gz CZWNYJJNCXZAOI-CTNGQTDRSA-N 0 1 320.436 3.006 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4ccccc4c3)CCC[C@H]12 ZINC000992443760 659551069 /nfs/dbraw/zinc/55/10/69/659551069.db2.gz YCYMQALVIOGJSU-FPOVZHCZSA-N 0 1 318.420 3.200 20 30 DGEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@@H]12 ZINC000992456067 659553800 /nfs/dbraw/zinc/55/38/00/659553800.db2.gz QWLJJJTXWYZUBZ-YLJYHZDGSA-N 0 1 322.408 3.101 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3oc4ccccc4c3C)CCC[C@@H]12 ZINC000992456067 659553804 /nfs/dbraw/zinc/55/38/04/659553804.db2.gz QWLJJJTXWYZUBZ-YLJYHZDGSA-N 0 1 322.408 3.101 20 30 DGEDMN C=CCN1CC[C@@]2(NC(=O)c3ccc(Cl)s3)CCC[C@@H]12 ZINC000992482826 659561802 /nfs/dbraw/zinc/56/18/02/659561802.db2.gz CVBXMSXYXCTLNL-DOMZBBRYSA-N 0 1 310.850 3.314 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@H](C)c3cccc(F)c3)CCC[C@H]12 ZINC000992494209 659563909 /nfs/dbraw/zinc/56/39/09/659563909.db2.gz KBDBHTLFJSIDEG-DKSSEZFCSA-N 0 1 316.420 3.228 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@@H](C)c3cccc(F)c3)CCC[C@@H]12 ZINC000992494214 659563922 /nfs/dbraw/zinc/56/39/22/659563922.db2.gz KBDBHTLFJSIDEG-POZUXBRTSA-N 0 1 316.420 3.228 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@H]12 ZINC000992516093 659568695 /nfs/dbraw/zinc/56/86/95/659568695.db2.gz NGUACHSQSDIBKM-GETDIDHLSA-N 0 1 304.478 3.358 20 30 DGEDMN C=C(C)CN1CC[C@]2(NC(=O)c3ccc(F)s3)CCC[C@@H]12 ZINC000992525719 659571204 /nfs/dbraw/zinc/57/12/04/659571204.db2.gz XHRKQTRMZFOLKC-CZUORRHYSA-N 0 1 308.422 3.190 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001046507543 659623216 /nfs/dbraw/zinc/62/32/16/659623216.db2.gz KUXKZXCXTIPYKD-MRXNPFEDSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001046533548 659630677 /nfs/dbraw/zinc/63/06/77/659630677.db2.gz PSWQLYHDRMQGFT-KRWDZBQOSA-N 0 1 306.837 3.337 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC(C)C)cc1Cl ZINC000130272172 659637036 /nfs/dbraw/zinc/63/70/36/659637036.db2.gz OXKJNFJJBCQBAB-LLVKDONJSA-N 0 1 308.765 3.042 20 30 DGEDMN CCCCC(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000130336980 659678065 /nfs/dbraw/zinc/67/80/65/659678065.db2.gz CJJVQRVHHWAPNJ-LLVKDONJSA-N 0 1 308.765 3.186 20 30 DGEDMN Cc1ccnc(N2CCN(CCCCC3(C#N)CCC3)CC2)c1 ZINC000929845810 659678228 /nfs/dbraw/zinc/67/82/28/659678228.db2.gz NDJTWUNGLRGWAB-UHFFFAOYSA-N 0 1 312.461 3.376 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c(C)noc2CCC)[C@@H]1C ZINC000993360817 659720874 /nfs/dbraw/zinc/72/08/74/659720874.db2.gz SLZXGWBRJNWQOB-LSDHHAIUSA-N 0 1 319.449 3.094 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cccc3c2CCCC3)[C@@H]1C ZINC000993370421 659723219 /nfs/dbraw/zinc/72/32/19/659723219.db2.gz VVHXQQFYEVDZRB-JXFKEZNVSA-N 0 1 324.468 3.171 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2conc2C2CCCC2)[C@@H]1C ZINC000993428891 659737678 /nfs/dbraw/zinc/73/76/78/659737678.db2.gz KAVBEVYHOQHZAZ-XJKSGUPXSA-N 0 1 317.433 3.101 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(C)CCCCCC2)[C@H]1C ZINC000993439556 659741728 /nfs/dbraw/zinc/74/17/28/659741728.db2.gz GHNHUVKTSSMLBD-IAGOWNOFSA-N 0 1 304.478 3.339 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)C1CCC1 ZINC000130435359 659742663 /nfs/dbraw/zinc/74/26/63/659742663.db2.gz DNYFTAMLSQVEHW-GFCCVEGCSA-N 0 1 311.168 3.441 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@@H]1C ZINC000993475848 659747100 /nfs/dbraw/zinc/74/71/00/659747100.db2.gz KUJJMGUAILCYCF-DSLXNQLJSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccccc2C(C)(C)C)[C@@H]1C ZINC000993490181 659754764 /nfs/dbraw/zinc/75/47/64/659754764.db2.gz PWGKDPKFLUIENW-YJBOKZPZSA-N 0 1 312.457 3.200 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(COC)cs2)[C@@H]1C ZINC000993535386 659756767 /nfs/dbraw/zinc/75/67/67/659756767.db2.gz JLJFITIYIJWKIB-ZFWWWQNUSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C)cc(OC)c2C)[C@H]1C ZINC000993544140 659758510 /nfs/dbraw/zinc/75/85/10/659758510.db2.gz FIOMDMIHVSVZOJ-WBVHZDCISA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(Cl)ccc2F)[C@H]1C ZINC000993554292 659760971 /nfs/dbraw/zinc/76/09/71/659760971.db2.gz CIFVXTBXQLWNPJ-IAQYHMDHSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nc3ccccc3cc2C)[C@@H]1C ZINC000993616739 659766685 /nfs/dbraw/zinc/76/66/85/659766685.db2.gz XZRISRFSMVWRRT-DOTOQJQBSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3)CCC2)[C@@H]1C ZINC000993586282 659767835 /nfs/dbraw/zinc/76/78/35/659767835.db2.gz MVAWDTOGZDRUPW-WMZOPIPTSA-N 0 1 312.457 3.263 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(C)ccc2C2CC2)[C@H]1C ZINC000993635159 659769332 /nfs/dbraw/zinc/76/93/32/659769332.db2.gz UFIZFQQPMNTCDQ-OXQOHEQNSA-N 0 1 324.468 3.478 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@@H]1C ZINC000993648103 659770798 /nfs/dbraw/zinc/77/07/98/659770798.db2.gz UGYODTPYQJRUKA-DOTOQJQBSA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)[C@@H]1C ZINC000993652059 659771044 /nfs/dbraw/zinc/77/10/44/659771044.db2.gz JBVWLECFCDFPBK-OXJNMPFZSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)cc(F)cc2C)[C@H]1C ZINC000993669521 659773940 /nfs/dbraw/zinc/77/39/40/659773940.db2.gz BHRIZFUHEGRKDI-GDBMZVCRSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccs2)[C@H]1C ZINC000993684781 659776136 /nfs/dbraw/zinc/77/61/36/659776136.db2.gz DVIDOPCZVDVRKT-ZIAGYGMSSA-N 0 1 306.475 3.181 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2coc(C(F)F)c2)[C@@H]1C ZINC000993716127 659779252 /nfs/dbraw/zinc/77/92/52/659779252.db2.gz NTMDYDSLLPJHOS-WCQYABFASA-N 0 1 312.360 3.376 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc(C(F)F)c2)[C@@H]1C ZINC000993716118 659779651 /nfs/dbraw/zinc/77/96/51/659779651.db2.gz NTMDYDSLLPJHOS-AAEUAGOBSA-N 0 1 312.360 3.376 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(F)c(Cl)c2)[C@@H]1C ZINC000993725024 659781221 /nfs/dbraw/zinc/78/12/21/659781221.db2.gz HJWNMDQBUQCQHX-BLLLJJGKSA-N 0 1 322.811 3.085 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@@H]1C ZINC000993697615 659781337 /nfs/dbraw/zinc/78/13/37/659781337.db2.gz DZBGNHKQZLVSAX-RDJZCZTQSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)cc2C)[C@@H]1C ZINC000993728230 659786198 /nfs/dbraw/zinc/78/61/98/659786198.db2.gz HKQUHBDEOQPIRJ-XJKSGUPXSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)[C@@H]1C ZINC000993771847 659789772 /nfs/dbraw/zinc/78/97/72/659789772.db2.gz HIVVPKADYPONGL-GXTWGEPZSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc[nH]c2C2CCC2)[C@H]1C ZINC000993835951 659794729 /nfs/dbraw/zinc/79/47/29/659794729.db2.gz WMQVKIQNGOHSDE-CZUORRHYSA-N 0 1 301.434 3.051 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)nc2C)[C@H]1C ZINC000993840481 659795652 /nfs/dbraw/zinc/79/56/52/659795652.db2.gz DUFPUURUVDBEME-RHSMWYFYSA-N 0 1 313.445 3.036 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)cc2Cl)[C@H]1C ZINC000993842972 659795757 /nfs/dbraw/zinc/79/57/57/659795757.db2.gz JVELKQPVBMYCNO-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn(C(CC)CC)n2)[C@H]1C ZINC000993933551 659799672 /nfs/dbraw/zinc/79/96/72/659799672.db2.gz XWSXWNGNASZRCM-GDBMZVCRSA-N 0 1 318.465 3.013 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(F)ccc3o2)[C@@H]1C ZINC000993907774 659801446 /nfs/dbraw/zinc/80/14/46/659801446.db2.gz UOPNYPSAZGYOJD-SWLSCSKDSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2csc(C(C)(C)C)n2)[C@H]1C ZINC000993977442 659806997 /nfs/dbraw/zinc/80/69/97/659806997.db2.gz WASPIBBFHBBZHQ-OLZOCXBDSA-N 0 1 321.490 3.209 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CCC2)[C@@H]1C ZINC000994296119 659832598 /nfs/dbraw/zinc/83/25/98/659832598.db2.gz QEPPPHAQCUUGEX-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3ccccc3c2)[C@H]1C ZINC000994300794 659835686 /nfs/dbraw/zinc/83/56/86/659835686.db2.gz MPNHFXWOIZFKJO-BEFAXECRSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc3c(s2)CCCC3)[C@H]1C ZINC000994324414 659837723 /nfs/dbraw/zinc/83/77/23/659837723.db2.gz ANZBKCZXEHMQQN-HIFRSBDPSA-N 0 1 318.486 3.396 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(s2)CCC3)[C@@H]1C ZINC000994380467 659848540 /nfs/dbraw/zinc/84/85/40/659848540.db2.gz RXKZYBQTIFDDIR-ZFWWWQNUSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@@H]1C ZINC000994386295 659850606 /nfs/dbraw/zinc/85/06/06/659850606.db2.gz JHHAPHZDQIAOTB-MAUKXSAKSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C3CCCC3)no2)[C@H]1C ZINC000994452237 659856877 /nfs/dbraw/zinc/85/68/77/659856877.db2.gz PCSOTXKEZCYKOJ-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2sccc2CC)[C@H]1C ZINC000994489737 659860065 /nfs/dbraw/zinc/86/00/65/659860065.db2.gz UYVZPEJABLRNQG-HIFRSBDPSA-N 0 1 306.475 3.469 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@@H]1C ZINC000994484671 659860241 /nfs/dbraw/zinc/86/02/41/659860241.db2.gz AHMVFCNVPUYWHK-BQTPPKLHSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H]1C ZINC000994488583 659860834 /nfs/dbraw/zinc/86/08/34/659860834.db2.gz REBKCQVULRAWHJ-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3cc(C)ccc3o2)[C@H]1C ZINC000994488583 659860836 /nfs/dbraw/zinc/86/08/36/659860836.db2.gz REBKCQVULRAWHJ-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc(CC(C)C)n2)[C@H]1C ZINC000994519456 659869160 /nfs/dbraw/zinc/86/91/60/659869160.db2.gz OGECEXZBZZWYGR-ZIAGYGMSSA-N 0 1 321.490 3.110 20 30 DGEDMN C=C(Cl)CN1CCC([C@@H]2CCCN(C(=O)C3CC3)C2)CC1 ZINC001047215746 659912623 /nfs/dbraw/zinc/91/26/23/659912623.db2.gz MNUKTUFLRWBUMK-MRXNPFEDSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2oc3c(cccc3C)c2C)C[C@H]1C ZINC000948070928 659916361 /nfs/dbraw/zinc/91/63/61/659916361.db2.gz UDIDHJVYQDVPFY-ZBFHGGJFSA-N 0 1 324.424 3.266 20 30 DGEDMN Cc1c(Cl)cccc1S(=O)(=O)Nc1cc(C#N)ccc1O ZINC000175686436 659927230 /nfs/dbraw/zinc/92/72/30/659927230.db2.gz XGLBUXAWHMAGFT-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN COc1ccc(/C=C/C(=O)c2ccc(O)c(F)c2)c(OC)c1 ZINC000170852042 659928546 /nfs/dbraw/zinc/92/85/46/659928546.db2.gz LSLYLYMYAOAAGY-QPJJXVBHSA-N 0 1 302.301 3.445 20 30 DGEDMN CC(=O)N(C)[C@H]1CCCN(CC#Cc2cccc(Cl)c2)CC1 ZINC000948111692 659931500 /nfs/dbraw/zinc/93/15/00/659931500.db2.gz GQFCOSHZHWALGP-SFHVURJKSA-N 0 1 318.848 3.024 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccccc2OC(F)F)c1 ZINC000179736672 659940231 /nfs/dbraw/zinc/94/02/31/659940231.db2.gz RLJGUWRKLQLJLP-UHFFFAOYSA-N 0 1 304.252 3.118 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccccc2CC(C)(C)C)C1 ZINC001031756818 659968891 /nfs/dbraw/zinc/96/88/91/659968891.db2.gz VCXBYQDVLAEXPT-UHFFFAOYSA-N 0 1 300.446 3.123 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC001031818316 659997604 /nfs/dbraw/zinc/99/76/04/659997604.db2.gz OGBDRSYIFLOULI-UHFFFAOYSA-N 0 1 316.445 3.056 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(CC)(CC)CC)C[C@H]1C ZINC000939416597 659998003 /nfs/dbraw/zinc/99/80/03/659998003.db2.gz BIMNFZMUHSJMMM-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN CCOc1ncccc1CN[C@@H](C)c1ccc(OCC#N)cc1 ZINC000181469375 660002008 /nfs/dbraw/zinc/00/20/08/660002008.db2.gz HAIZIDGEJPDQNK-AWEZNQCLSA-N 0 1 311.385 3.233 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(CC)cc2)C[C@H]1C ZINC000939651678 660008575 /nfs/dbraw/zinc/00/85/75/660008575.db2.gz JDAJWXHHWYFXQG-MLGOLLRUSA-N 0 1 306.837 3.052 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc3ccccc3o2)C[C@H]1C ZINC000939668695 660009275 /nfs/dbraw/zinc/00/92/75/660009275.db2.gz DFRWNCFPCPCMDV-RISCZKNCSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)c2ccccc2)C[C@@H]1C ZINC000939696131 660011533 /nfs/dbraw/zinc/01/15/33/660011533.db2.gz FFBROZZLSSSQDR-BBRMVZONSA-N 0 1 320.864 3.153 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C(F)F)cc2)CC1 ZINC000951769207 660014478 /nfs/dbraw/zinc/01/44/78/660014478.db2.gz VNIFHTSYQBZPHQ-UHFFFAOYSA-N 0 1 308.372 3.348 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)c(F)c(C)c2)C[C@@H]1C ZINC000939780092 660014758 /nfs/dbraw/zinc/01/47/58/660014758.db2.gz KOLMYTGOFPHYNZ-SWLSCSKDSA-N 0 1 324.827 3.245 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cscc2Cl)C(C)(C)C1 ZINC000940817103 660067437 /nfs/dbraw/zinc/06/74/37/660067437.db2.gz DZMAOBOGMXWZPA-AWEZNQCLSA-N 0 1 324.877 3.255 20 30 DGEDMN C=C(C)C[N@H+](C)Cc1c(O)ccc(C(=O)c2ccccc2)c1[O-] ZINC000182612339 660067568 /nfs/dbraw/zinc/06/75/68/660067568.db2.gz IVLJOALNWBZBBC-UHFFFAOYSA-N 0 1 311.381 3.337 20 30 DGEDMN C#CCOc1ccc(CNc2cnccc2-n2cc(C)cn2)cc1 ZINC000182669656 660070721 /nfs/dbraw/zinc/07/07/21/660070721.db2.gz DVRDDPZLGCVROB-UHFFFAOYSA-N 0 1 318.380 3.200 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](c2ccccc2)C2CC2)C(C)(C)C1 ZINC000940880826 660070842 /nfs/dbraw/zinc/07/08/42/660070842.db2.gz BYIAINKRIKWWHC-OALUTQOASA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(C)C)oc2C)C(C)(C)C1 ZINC000941139507 660088492 /nfs/dbraw/zinc/08/84/92/660088492.db2.gz UMUXQARAECZDQG-QGZVFWFLSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccc(F)cc2C)C(C)(C)C1 ZINC000941175727 660091504 /nfs/dbraw/zinc/09/15/04/660091504.db2.gz ZPWZHKINUAXYBY-INIZCTEOSA-N 0 1 304.409 3.150 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)C(C)(C)C1 ZINC000941169545 660092745 /nfs/dbraw/zinc/09/27/45/660092745.db2.gz OONSOHRVUHKRDY-IBGZPJMESA-N 0 1 322.452 3.110 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2(C3CCCCC3)CC2)C(C)(C)C1 ZINC000941186375 660094795 /nfs/dbraw/zinc/09/47/95/660094795.db2.gz IRUOVFAGSMRFJG-QGZVFWFLSA-N 0 1 316.489 3.197 20 30 DGEDMN C[C@H]1C[N@@H+](CC#Cc2ccccc2)CC[C@H]1NC(=O)C1CCCC1 ZINC000941719056 660112376 /nfs/dbraw/zinc/11/23/76/660112376.db2.gz GJCHWFGZUBFSNG-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)C1CCCC1 ZINC000941719056 660112378 /nfs/dbraw/zinc/11/23/78/660112378.db2.gz GJCHWFGZUBFSNG-FXAWDEMLSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cc3ccccc3nc2C)[C@@H](C)C1 ZINC000942099147 660136977 /nfs/dbraw/zinc/13/69/77/660136977.db2.gz HCPXBOOOHPERPA-KSSFIOAISA-N 0 1 323.440 3.169 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2occc2-c2ccccc2)[C@@H](C)C1 ZINC000942395389 660150996 /nfs/dbraw/zinc/15/09/96/660150996.db2.gz XRZUQGVMIUVYIG-MAUKXSAKSA-N 0 1 322.408 3.020 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2occc2-c2ccccc2)[C@H](C)C1 ZINC000942395387 660151027 /nfs/dbraw/zinc/15/10/27/660151027.db2.gz XRZUQGVMIUVYIG-CRAIPNDOSA-N 0 1 322.408 3.020 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCN(C/C=C\Cl)C[C@H]2C)CC1 ZINC000942600789 660164170 /nfs/dbraw/zinc/16/41/70/660164170.db2.gz VCZUQPBATPBRCN-TXWXXWJFSA-N 0 1 310.869 3.312 20 30 DGEDMN CCN(Cc1cncc(C(=O)OC)c1)[C@H](C)c1ccc(C#N)cc1 ZINC000929331172 661297911 /nfs/dbraw/zinc/29/79/11/661297911.db2.gz OYRJTMILARPOGX-CQSZACIVSA-N 0 1 323.396 3.323 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000929345074 661299372 /nfs/dbraw/zinc/29/93/72/661299372.db2.gz XXWDYSCKGYFEKC-QGZVFWFLSA-N 0 1 323.396 3.027 20 30 DGEDMN COc1ccc(CC2CCN(Cc3cncc(C#N)c3)CC2)cc1 ZINC000929431099 661306468 /nfs/dbraw/zinc/30/64/68/661306468.db2.gz QCDJIJNKRBEWHD-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN CN(CCC#N)CCCCOc1ccc(Br)cc1 ZINC000929809709 661340269 /nfs/dbraw/zinc/34/02/69/661340269.db2.gz SDHOVZPCCDZBRC-UHFFFAOYSA-N 0 1 311.223 3.454 20 30 DGEDMN C[C@@H]1CN(CCCCC2(C#N)CCC2)CCN1CC(F)(F)F ZINC000930134519 661369752 /nfs/dbraw/zinc/36/97/52/661369752.db2.gz SQHOMNVMAGSGDL-CQSZACIVSA-N 0 1 317.399 3.419 20 30 DGEDMN C[C@@H](NCc1cncc(C#N)c1)c1ccccc1OC(F)F ZINC000930193268 661376398 /nfs/dbraw/zinc/37/63/98/661376398.db2.gz QDADJQSSBBYJDY-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN CC1(C)CN(CC2CCC(C#N)CC2)C[C@@H](C(F)(F)F)O1 ZINC000930551400 661409823 /nfs/dbraw/zinc/40/98/23/661409823.db2.gz BOOOGUFSBWBTFQ-BPCQOVAHSA-N 0 1 304.356 3.358 20 30 DGEDMN CN(CCNC(=O)OC(C)(C)C)Cc1cc(Cl)cc(C#N)c1 ZINC000930687560 661421594 /nfs/dbraw/zinc/42/15/94/661421594.db2.gz QKRSCJVSUSYOQO-UHFFFAOYSA-N 0 1 323.824 3.168 20 30 DGEDMN COC(=O)c1cnc([C@@H](C)NCCCCC2(C#N)CCC2)s1 ZINC000930758956 661427439 /nfs/dbraw/zinc/42/74/39/661427439.db2.gz GXPNVHKUEQLVPX-GFCCVEGCSA-N 0 1 321.446 3.444 20 30 DGEDMN C[C@@H]1CN(C[C@@H]2CCC3(CCCCCC3)O2)C[C@@H](C)N1CC#N ZINC000930827644 661433190 /nfs/dbraw/zinc/43/31/90/661433190.db2.gz GHBOWUBARCCFJP-KURKYZTESA-N 0 1 319.493 3.177 20 30 DGEDMN C[C@@](O)(CN1CCC[C@@]2(CC2(F)F)C1)C(F)(F)C(F)(F)F ZINC000930864668 661435723 /nfs/dbraw/zinc/43/57/23/661435723.db2.gz UWLMBMNKGIEXDR-RKDXNWHRSA-N 0 1 323.252 3.056 20 30 DGEDMN CNC(=O)CN(CCCCC1(C#N)CCC1)Cc1ccccc1 ZINC000931566901 661489610 /nfs/dbraw/zinc/48/96/10/661489610.db2.gz HZMNGMAAOVQEDV-UHFFFAOYSA-N 0 1 313.445 3.099 20 30 DGEDMN CN(C)[C@H](CNC(=O)Nc1sccc1C#N)c1cccs1 ZINC000931849150 661515857 /nfs/dbraw/zinc/51/58/57/661515857.db2.gz WUJBVMADYIBTFJ-LLVKDONJSA-N 0 1 320.443 3.106 20 30 DGEDMN Cc1cc(F)ccc1[C@@H](C)NCC(=O)NC1(C#N)CCCCC1 ZINC000932556249 661578699 /nfs/dbraw/zinc/57/86/99/661578699.db2.gz NJABMXQXDVITCR-CQSZACIVSA-N 0 1 317.408 3.127 20 30 DGEDMN CCN1C[C@H](C)N(C(=O)Nc2ccc(CCC#N)cc2)C[C@@H]1C ZINC000932569767 661581184 /nfs/dbraw/zinc/58/11/84/661581184.db2.gz FTNCRAJUWRDTCI-GJZGRUSLSA-N 0 1 314.433 3.089 20 30 DGEDMN C=C(CC)CN1CCOC2(CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000932713514 661593470 /nfs/dbraw/zinc/59/34/70/661593470.db2.gz ZDXTYHJZMKXMIY-UHFFFAOYSA-N 0 1 324.465 3.055 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC(CO)(C(F)(F)F)CC1 ZINC000932827643 661599967 /nfs/dbraw/zinc/59/99/67/661599967.db2.gz BRVNGYUUNFEJLS-UHFFFAOYSA-N 0 1 312.335 3.004 20 30 DGEDMN CC[C@@H](C)NC(=O)[C@H](C)N1CCC(c2ccc(C#N)cc2)CC1 ZINC000933614363 661645267 /nfs/dbraw/zinc/64/52/67/661645267.db2.gz OIDIRIWOWFRRAD-CABCVRRESA-N 0 1 313.445 3.041 20 30 DGEDMN COc1ccc(CCN2CCO[C@@H](c3ccc(C#N)cc3)C2)cc1 ZINC000933626718 661645855 /nfs/dbraw/zinc/64/58/55/661645855.db2.gz ZXWSXBHRJPYPEJ-HXUWFJFHSA-N 0 1 322.408 3.183 20 30 DGEDMN CN(CCC(=O)N(C)c1ccccc1)Cc1cc(C#N)cs1 ZINC000933771294 661656518 /nfs/dbraw/zinc/65/65/18/661656518.db2.gz RQBVRMVGCLZWHO-UHFFFAOYSA-N 0 1 313.426 3.105 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)c1cccc(Cl)c1 ZINC000933776886 661657497 /nfs/dbraw/zinc/65/74/97/661657497.db2.gz CFFCCYCLTUHESG-HNNXBMFYSA-N 0 1 306.818 3.439 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)c1ccc(F)c(F)c1 ZINC000933775898 661657509 /nfs/dbraw/zinc/65/75/09/661657509.db2.gz OLBZZKWPIGQUOF-HNNXBMFYSA-N 0 1 308.353 3.063 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cccc(F)c1O ZINC000933856646 661663150 /nfs/dbraw/zinc/66/31/50/661663150.db2.gz COKSOEPVBHTLQP-NVXWUHKLSA-N 0 1 324.355 3.443 20 30 DGEDMN CO[C@H]1CC[C@H]1N(C)CC#Cc1ccc(OC(F)(F)F)cc1 ZINC000934115735 661681060 /nfs/dbraw/zinc/68/10/60/661681060.db2.gz SGZCPHVILCDWEE-CABCVRRESA-N 0 1 313.319 3.046 20 30 DGEDMN COc1cnc([C@@H]2CCC[N@H+]2CCCCC(C)(C)C#N)[n-]c1=O ZINC000934274078 661692663 /nfs/dbraw/zinc/69/26/63/661692663.db2.gz QVOSTOUWDQXFAD-ZDUSSCGKSA-N 0 1 318.421 3.048 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@H](c2ccco2)N2CCCC2)cc1 ZINC000934937139 661750218 /nfs/dbraw/zinc/75/02/18/661750218.db2.gz CUVXZLOAWPTITK-QGZVFWFLSA-N 0 1 323.396 3.220 20 30 DGEDMN C[C@@H](C#N)CN(C(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC000935071915 661758412 /nfs/dbraw/zinc/75/84/12/661758412.db2.gz DVAOFJUDDXGIDF-AWEZNQCLSA-N 0 1 315.417 3.030 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)CC2CCC2)CCN1CC#Cc1ccccc1 ZINC000947163205 661829449 /nfs/dbraw/zinc/82/94/49/661829449.db2.gz RYPJTVNYNBANLN-FXAWDEMLSA-N 0 1 324.468 3.197 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@H](C)Oc2cccc(C)c2)n1 ZINC000041521368 661835149 /nfs/dbraw/zinc/83/51/49/661835149.db2.gz ZKSISTUQNDHWNY-GXTWGEPZSA-N 0 1 300.383 3.404 20 30 DGEDMN N#CC(C(=O)CSCC(F)(F)F)c1nc2ccccc2[nH]1 ZINC000155863520 661854004 /nfs/dbraw/zinc/85/40/04/661854004.db2.gz UDFLRDKDWHKWAC-MRVPVSSYSA-N 0 1 313.304 3.035 20 30 DGEDMN COc1cc(C)c(/C=C/C(=O)c2ccc(O)cc2O)cc1OC ZINC000156625007 661864181 /nfs/dbraw/zinc/86/41/81/661864181.db2.gz JNWRBFNHDDKLRE-QPJJXVBHSA-N 0 1 314.337 3.320 20 30 DGEDMN C=C[C@](C)(CCOC)COC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000187563024 661888577 /nfs/dbraw/zinc/88/85/77/661888577.db2.gz PPKBUXPVAPOUTO-QGZVFWFLSA-N 0 1 318.373 3.364 20 30 DGEDMN C[C@@H]1C[C@@H](NC(=O)C=C2CCC2)CCN1CC#Cc1ccccc1 ZINC000947185745 661895528 /nfs/dbraw/zinc/89/55/28/661895528.db2.gz NUIKMNRKHHNUIW-XLIONFOSSA-N 0 1 322.452 3.118 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C[C@H]1C ZINC000947460747 661909977 /nfs/dbraw/zinc/90/99/77/661909977.db2.gz YMPCYEVZAVEOPW-DGCLKSJQSA-N 0 1 322.811 3.003 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)c(F)ccc2Cl)C[C@@H]1C ZINC000947460748 661910155 /nfs/dbraw/zinc/91/01/55/661910155.db2.gz YMPCYEVZAVEOPW-WCQYABFASA-N 0 1 322.811 3.003 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@]2(C)C=CCC2)CC1 ZINC000948694902 661991245 /nfs/dbraw/zinc/99/12/45/661991245.db2.gz VTZHVTHABPIGLV-NVXWUHKLSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)Cc2occc2C)CC1 ZINC000948748101 661995138 /nfs/dbraw/zinc/99/51/38/661995138.db2.gz CXDADGIAEVNABW-OAHLLOKOSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1CC=CC1 ZINC000948901929 662005920 /nfs/dbraw/zinc/00/59/20/662005920.db2.gz HQYDMONDBANSNL-IBGZPJMESA-N 0 1 310.441 3.244 20 30 DGEDMN C=CCOc1ccccc1C(=O)N1CCN(C[C@@H](C)CC)CC1 ZINC000948919055 662007296 /nfs/dbraw/zinc/00/72/96/662007296.db2.gz RBGWEQHDVXIVDQ-INIZCTEOSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H]1CC1(C)C ZINC000948910463 662007697 /nfs/dbraw/zinc/00/76/97/662007697.db2.gz SIYUTZXZFHZRRS-ROUUACIJSA-N 0 1 312.457 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1CC2(CC2)C1 ZINC000948958504 662009548 /nfs/dbraw/zinc/00/95/48/662009548.db2.gz NUAILAKXFJHQNG-LJQANCHMSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c(C)[nH]c3ccccc32)CC1 ZINC000949185798 662012567 /nfs/dbraw/zinc/01/25/67/662012567.db2.gz YNLOISNFSNVROS-UHFFFAOYSA-N 0 1 311.429 3.200 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)Cc2cc3ccccc3o2)CC1 ZINC000949570970 662019807 /nfs/dbraw/zinc/01/98/07/662019807.db2.gz VUCRTFDQFFLJJY-UHFFFAOYSA-N 0 1 312.413 3.086 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(Cl)c(C)c2)CC1 ZINC000950000192 662040705 /nfs/dbraw/zinc/04/07/05/662040705.db2.gz CBOXNEUONLUKCC-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CC(C)(C)OC(C)(C)C2)cc1 ZINC000191194921 662056465 /nfs/dbraw/zinc/05/64/65/662056465.db2.gz LCDFSZQZNHPXJC-CQSZACIVSA-N 0 1 302.418 3.367 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CCCC[C@H]2C)cc1C ZINC000078272616 662057538 /nfs/dbraw/zinc/05/75/38/662057538.db2.gz KTFWNUWAWOVDLL-QGZVFWFLSA-N 0 1 313.445 3.425 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](Cc2ccccc2)C(C)C)CC1 ZINC000950470662 662068251 /nfs/dbraw/zinc/06/82/51/662068251.db2.gz OCAGMIVPCCGBCO-LJQANCHMSA-N 0 1 314.473 3.222 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC000950967116 662097349 /nfs/dbraw/zinc/09/73/49/662097349.db2.gz ZWISEEWKNFGNIR-OSYLJGHBSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](CC)Cc2cccc(C)c2)CC1 ZINC000951361088 662126842 /nfs/dbraw/zinc/12/68/42/662126842.db2.gz RGYONPKVAMVBPM-IBGZPJMESA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(Br)CN1CCCN(Cc2ccccc2)C[C@@H]1C ZINC000383055624 685165636 /nfs/dbraw/zinc/16/56/36/685165636.db2.gz BVEDBAMYQZROFN-HNNXBMFYSA-N 0 1 323.278 3.491 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C=C2CCC2)CN1Cc1cccc(C#N)c1 ZINC001071420581 686659529 /nfs/dbraw/zinc/65/95/29/686659529.db2.gz PPWCPYFYHWIXLW-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C(C)(C)C)n2)CC[C@@H]1C ZINC001071507685 686671051 /nfs/dbraw/zinc/67/10/51/686671051.db2.gz ACQWWCNQECVGIV-UONOGXRCSA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(Cl)c2C)CC[C@@H]1C ZINC001071527664 686680470 /nfs/dbraw/zinc/68/04/70/686680470.db2.gz GYOYWGLKSWUIQE-JSGCOSHPSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc3c2CCCC3)CC[C@H]1C ZINC001071530781 686681221 /nfs/dbraw/zinc/68/12/21/686681221.db2.gz AABWSIVAAXWOOU-SJLPKXTDSA-N 0 1 324.468 3.171 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2scc(C)c2Cl)CC[C@@H]1C ZINC001071531290 686681463 /nfs/dbraw/zinc/68/14/63/686681463.db2.gz JUOACWCHRDOHKY-STQMWFEESA-N 0 1 324.877 3.316 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)oc3ccccc32)CC[C@@H]1C ZINC001071531700 686681924 /nfs/dbraw/zinc/68/19/24/686681924.db2.gz PAZLLZJKEGIHQY-GOEBONIOSA-N 0 1 324.424 3.347 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(CCC)s2)CC[C@H]1C ZINC001071542168 686684460 /nfs/dbraw/zinc/68/44/60/686684460.db2.gz DJQPMOSWEVPGEK-KGLIPLIRSA-N 0 1 306.475 3.469 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2C(C)C)CC[C@@H]1C ZINC001071583622 686694720 /nfs/dbraw/zinc/69/47/20/686694720.db2.gz JPJBKDKBAFNWDV-ZFWWWQNUSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3ccc(C)nc32)CC[C@@H]1C ZINC001071600557 686697389 /nfs/dbraw/zinc/69/73/89/686697389.db2.gz MUHIWVGEQGWHSK-RDJZCZTQSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C)CCC(F)(F)CC2)CC[C@H]1C ZINC001071621726 686705186 /nfs/dbraw/zinc/70/51/86/686705186.db2.gz BYKJFBFLKVOYOF-ZIAGYGMSSA-N 0 1 314.420 3.357 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(COC)cs2)CC[C@H]1C ZINC001071653533 686714104 /nfs/dbraw/zinc/71/41/04/686714104.db2.gz ZOQZHPKANDXKOW-UKRRQHHQSA-N 0 1 322.474 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3ccccc3)CCC2)CC[C@H]1C ZINC001071663377 686716913 /nfs/dbraw/zinc/71/69/13/686716913.db2.gz MIPYGSVYHCNEPJ-SJLPKXTDSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(CCC)cc2)CC[C@@H]1C ZINC001071780376 686751054 /nfs/dbraw/zinc/75/10/54/686751054.db2.gz XQDCGISJWLEZIC-MAUKXSAKSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)CC[C@H]1C ZINC001071835661 686765334 /nfs/dbraw/zinc/76/53/34/686765334.db2.gz OPFSKCWHUFISTK-OLZOCXBDSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@@H]1C ZINC001071880457 686780430 /nfs/dbraw/zinc/78/04/30/686780430.db2.gz RPNUEYAWYNIWSI-UYFTZEKXSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@@H]1C ZINC001071883450 686780757 /nfs/dbraw/zinc/78/07/57/686780757.db2.gz LMDTZWCIMMVMAL-KXBFYZLASA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cccc(C)c2Cl)CC[C@@H]1C ZINC001071904929 686788294 /nfs/dbraw/zinc/78/82/94/686788294.db2.gz RILDBMBGODGJSR-UONOGXRCSA-N 0 1 306.837 3.417 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C)c2Cl)CC[C@@H]1C ZINC001071904916 686788596 /nfs/dbraw/zinc/78/85/96/686788596.db2.gz QZXDQIZHSWCRAY-GJZGRUSLSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(C)C)oc2C)CC[C@@H]1C ZINC001071950302 686795080 /nfs/dbraw/zinc/79/50/80/686795080.db2.gz IFHGLNBSXYKSHP-ZFWWWQNUSA-N 0 1 304.434 3.480 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cnccc3c2)CC[C@@H]1C ZINC001071966779 686799553 /nfs/dbraw/zinc/79/95/53/686799553.db2.gz HXDYDXHMOIESHX-KBXCAEBGSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@@H]1C ZINC001072003559 686811343 /nfs/dbraw/zinc/81/13/43/686811343.db2.gz VRXXDDRJXKLUOK-JXFKEZNVSA-N 0 1 322.452 3.252 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@H]1C ZINC001072003562 686811824 /nfs/dbraw/zinc/81/18/24/686811824.db2.gz VRXXDDRJXKLUOK-UZLBHIALSA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccccc2OC(C)C)CC[C@@H]1C ZINC001072008172 686813725 /nfs/dbraw/zinc/81/37/25/686813725.db2.gz BHOODUWNGJOSEU-HOTGVXAUSA-N 0 1 316.445 3.243 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC001072012661 686818614 /nfs/dbraw/zinc/81/86/14/686818614.db2.gz QUJFYKHBIIUEGB-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3ccccc3c2)CC[C@@H]1C ZINC001072019847 686826497 /nfs/dbraw/zinc/82/64/97/686826497.db2.gz PNOUHZJGAVDMQV-JXFKEZNVSA-N 0 1 320.436 3.446 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc(C(C)C)cc2)CC[C@H]1C ZINC001072020426 686827625 /nfs/dbraw/zinc/82/76/25/686827625.db2.gz ZOXZHUULWOUDTP-VQIMIIECSA-N 0 1 312.457 3.416 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3[nH]ccc3s2)CC[C@H]1C ZINC001072030708 686831240 /nfs/dbraw/zinc/83/12/40/686831240.db2.gz USXKMVXMUSRVCV-OLZOCXBDSA-N 0 1 317.458 3.388 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C(F)F)o2)CC[C@@H]1C ZINC001072033261 686833893 /nfs/dbraw/zinc/83/38/93/686833893.db2.gz LAXVRWWSMNQELT-RYUDHWBXSA-N 0 1 312.360 3.376 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc3ccccc32)CC[C@@H]1C ZINC001072037201 686835765 /nfs/dbraw/zinc/83/57/65/686835765.db2.gz LCNYJXVRIRBUCI-RDJZCZTQSA-N 0 1 306.409 3.056 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ncsc3c2)CC[C@@H]1C ZINC001072045788 686842074 /nfs/dbraw/zinc/84/20/74/686842074.db2.gz UAXUSVKUJFNZAY-JSGCOSHPSA-N 0 1 315.442 3.065 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@@H]1C ZINC001072104665 686868125 /nfs/dbraw/zinc/86/81/25/686868125.db2.gz CPODEHHMDCWRLC-IRXDYDNUSA-N 0 1 324.468 3.090 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCN(C[C@@H](F)CC)C3)CC1 ZINC001072947173 686989470 /nfs/dbraw/zinc/98/94/70/686989470.db2.gz YAWUUOXHNJNDFU-INIZCTEOSA-N 0 1 308.441 3.015 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2ccccc2C)[C@H]1C ZINC001075125355 687338008 /nfs/dbraw/zinc/33/80/08/687338008.db2.gz ZRRHWIUIBNBWNR-ZOBUZTSGSA-N 0 1 320.864 3.430 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3coc4c3cccc4C)[C@@H]2C1 ZINC001075886142 687451558 /nfs/dbraw/zinc/45/15/58/687451558.db2.gz DSBMFVURRNMGSX-WMLDXEAASA-N 0 1 310.397 3.074 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(Cl)sc2Cl)C1 ZINC001079850122 687896973 /nfs/dbraw/zinc/89/69/73/687896973.db2.gz GWUVCZGEHLUVHJ-PSASIEDQSA-N 0 1 319.257 3.291 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001079852058 687897455 /nfs/dbraw/zinc/89/74/55/687897455.db2.gz VSMWFNAODSGJPM-IAQYHMDHSA-N 0 1 316.376 3.116 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001080655107 688006928 /nfs/dbraw/zinc/00/69/28/688006928.db2.gz ZBFLSGQORMAIQB-CRAIPNDOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001080737237 688009920 /nfs/dbraw/zinc/00/99/20/688009920.db2.gz ISEVEGXHDGTTLT-RDTXWAMCSA-N 0 1 310.397 3.183 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001080909648 688026305 /nfs/dbraw/zinc/02/63/05/688026305.db2.gz QWRMKQXEPGOGJV-IUODEOHRSA-N 0 1 306.475 3.245 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC001080905216 688026872 /nfs/dbraw/zinc/02/68/72/688026872.db2.gz FPDHVHHEUCWMAE-ZIAGYGMSSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001080982664 688030662 /nfs/dbraw/zinc/03/06/62/688030662.db2.gz NCRBSGWGYLUIAS-CHWSQXEVSA-N 0 1 306.475 3.282 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCCC2(F)F)C[C@H]1C ZINC001082663807 688121721 /nfs/dbraw/zinc/12/17/21/688121721.db2.gz GUPIZMSQWWHZPI-KGYLQXTDSA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2[C@H]3CCCCCC[C@H]23)C[C@H]1C ZINC001082759703 688126163 /nfs/dbraw/zinc/12/61/63/688126163.db2.gz AYSXXLBNZHUJFI-SYAUCNOPSA-N 0 1 324.896 3.392 20 30 DGEDMN C=CCCCN(CC)[C@@H](C)C(=O)c1[nH]c(C)c(C(=O)OC)c1C ZINC001620646670 1192057378 /nfs/dbraw/zinc/05/73/78/1192057378.db2.gz KVHXXZUFYXWUPK-AWEZNQCLSA-N 0 1 320.433 3.277 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc(C(F)F)nc1 ZINC001620798848 1192082140 /nfs/dbraw/zinc/08/21/40/1192082140.db2.gz AITZURIKJPZXRZ-UHFFFAOYSA-N 0 1 302.324 3.321 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001661896995 1196660274 /nfs/dbraw/zinc/66/02/74/1196660274.db2.gz YHALZAXMIGACAN-PKOBYXMFSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cccc(F)c1Cl ZINC001662302786 1196704254 /nfs/dbraw/zinc/70/42/54/1196704254.db2.gz FGWWOFNZUMNJHZ-NSHDSACASA-N 0 1 312.816 3.286 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2n[nH]c3ccc(Cl)cc32)s1 ZINC001585418596 1192260855 /nfs/dbraw/zinc/26/08/55/1192260855.db2.gz BBMMPNOZIXGFKJ-UHFFFAOYSA-N 0 1 316.773 3.079 20 30 DGEDMN CCC(=O)c1ccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001587347531 1192394645 /nfs/dbraw/zinc/39/46/45/1192394645.db2.gz PFMRNAISFHNTED-UHFFFAOYSA-N 0 1 318.336 3.280 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001664680109 1197033712 /nfs/dbraw/zinc/03/37/12/1197033712.db2.gz ZSXDUXIAOHZAGG-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@@H](COC)NCc1ccc(Oc2ccc(F)cc2)nc1 ZINC001620909580 1192580753 /nfs/dbraw/zinc/58/07/53/1192580753.db2.gz PKBNRMCSRRIWHD-HNNXBMFYSA-N 0 1 302.349 3.304 20 30 DGEDMN Fc1cccc(Cl)c1NN=Cc1ccc(-c2nn[nH]n2)cc1 ZINC001592656555 1192624672 /nfs/dbraw/zinc/62/46/72/1192624672.db2.gz CJBRUUSWWCZIEP-UHFFFAOYSA-N 0 1 316.727 3.105 20 30 DGEDMN Cc1ccc(C)c(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001595734329 1192668609 /nfs/dbraw/zinc/66/86/09/1192668609.db2.gz JTMIXKSYAWJXGR-UHFFFAOYSA-N 0 1 304.353 3.233 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)C1CC1 ZINC001670500850 1176152335 /nfs/dbraw/zinc/15/23/35/1176152335.db2.gz GTOTYSVLVUDGSC-CAOSSQGBSA-N 0 1 310.869 3.050 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CC[N@@H+]1CCc1ccc(C)cc1 ZINC001670646504 1176200595 /nfs/dbraw/zinc/20/05/95/1176200595.db2.gz WJPWRJRYLXNDGI-SFHVURJKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001670646504 1176200599 /nfs/dbraw/zinc/20/05/99/1176200599.db2.gz WJPWRJRYLXNDGI-SFHVURJKSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(C)c(C)c2)C1 ZINC001691994730 1176281134 /nfs/dbraw/zinc/28/11/34/1176281134.db2.gz KYVXFNMIOWJRBT-MRXNPFEDSA-N 0 1 320.864 3.450 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC/C=C\c1ccccc1 ZINC001691097989 1176308810 /nfs/dbraw/zinc/30/88/10/1176308810.db2.gz WVRWGFFHCPWDBM-XCJXAGMVSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001691097330 1176310964 /nfs/dbraw/zinc/31/09/64/1176310964.db2.gz JUJHOBHLIRUBEV-CJNGLKHVSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H](C)c1ccccc1 ZINC001691414024 1176474392 /nfs/dbraw/zinc/47/43/92/1176474392.db2.gz WYDWGBZENYVVNW-WMZOPIPTSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1[C@H]2CCCN(CCF)[C@@H]2C1(C)C ZINC001691427504 1176512642 /nfs/dbraw/zinc/51/26/42/1176512642.db2.gz ATGWKOGNIMOXAZ-NXHRZFHOSA-N 0 1 322.468 3.145 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(CC(C)C)s1)C(C)C ZINC001725727348 1176605336 /nfs/dbraw/zinc/60/53/36/1176605336.db2.gz FBAYOXTVOMVXTH-UHFFFAOYSA-N 0 1 320.502 3.362 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCC(C)=C(C)C1)C(C)C ZINC001725726833 1176605848 /nfs/dbraw/zinc/60/58/48/1176605848.db2.gz AOQSPIOXJAZHHV-SFHVURJKSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)CCCF)C1 ZINC001691449201 1176618513 /nfs/dbraw/zinc/61/85/13/1176618513.db2.gz YCJDJFKPXNNMMD-IAGOWNOFSA-N 0 1 322.399 3.036 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc(Cl)cc(Cl)c2N)c1 ZINC001598128320 1192763537 /nfs/dbraw/zinc/76/35/37/1192763537.db2.gz OQLJBPZCWMVCIU-UHFFFAOYSA-N 0 1 322.151 3.405 20 30 DGEDMN CC(C)C#CC(=O)NCC1(N[C@H](C)c2ccccc2Cl)CC1 ZINC001670776256 1176813275 /nfs/dbraw/zinc/81/32/75/1176813275.db2.gz BYMXSRCZJUFPQE-CQSZACIVSA-N 0 1 318.848 3.299 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001752440197 1176821379 /nfs/dbraw/zinc/82/13/79/1176821379.db2.gz VJEHTAAQBPVNKZ-UHFFFAOYSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ncsc1C(C)C ZINC001755261911 1176876333 /nfs/dbraw/zinc/87/63/33/1176876333.db2.gz ILLQZFSEJZOKPD-WDEREUQCSA-N 0 1 315.870 3.115 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@@H](C)C(CC)CC ZINC001752882405 1176995189 /nfs/dbraw/zinc/99/51/89/1176995189.db2.gz UMLFNJKJUZBJKW-RYUDHWBXSA-N 0 1 319.287 3.062 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001753862184 1176979268 /nfs/dbraw/zinc/97/92/68/1176979268.db2.gz FUHIXMSHJIQNQA-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1CCc2cccc(C(=O)[O-])c2C1 ZINC001600074484 1192787647 /nfs/dbraw/zinc/78/76/47/1192787647.db2.gz GKMLNVUAOBQFCK-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(NCc3ccccc3Cl)CC2)C1 ZINC001670850354 1177228850 /nfs/dbraw/zinc/22/88/50/1177228850.db2.gz GQLXGPQRBAOTOJ-UHFFFAOYSA-N 0 1 318.848 3.435 20 30 DGEDMN Cc1cc(C[N@H+](CCC#N)CC(C)C)cc(C)c1OCC(=O)[O-] ZINC001600190835 1192801333 /nfs/dbraw/zinc/80/13/33/1192801333.db2.gz QKJJPRCPIWZRFC-UHFFFAOYSA-N 0 1 318.417 3.139 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)N(C)CCNCC#Cc1ccccc1 ZINC001691549502 1177309520 /nfs/dbraw/zinc/30/95/20/1177309520.db2.gz YRDCKFYHKJBTOJ-GOSISDBHSA-N 0 1 314.473 3.158 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@@H](NCc2nc(C)cs2)C1 ZINC001691613529 1177328219 /nfs/dbraw/zinc/32/82/19/1177328219.db2.gz JPGAVZNNKCTXDQ-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001752904881 1177404556 /nfs/dbraw/zinc/40/45/56/1177404556.db2.gz AAFOYBDOWHVDJR-CQSZACIVSA-N 0 1 322.880 3.373 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)c1ccccc1 ZINC001691781755 1177420823 /nfs/dbraw/zinc/42/08/23/1177420823.db2.gz CJZGXFZOKGNNQR-MOPGFXCFSA-N 0 1 324.468 3.427 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(c2cccc(C)c2)CC1 ZINC001691679336 1177682094 /nfs/dbraw/zinc/68/20/94/1177682094.db2.gz SVAKFCOZBBKOTQ-SFHVURJKSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001691682255 1177684204 /nfs/dbraw/zinc/68/42/04/1177684204.db2.gz JFXOXRJVYJLUDS-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001691682255 1177684209 /nfs/dbraw/zinc/68/42/09/1177684209.db2.gz JFXOXRJVYJLUDS-YJBOKZPZSA-N 0 1 318.436 3.113 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1C1(C)CC1 ZINC001752899893 1177693786 /nfs/dbraw/zinc/69/37/86/1177693786.db2.gz FDGBIQUOYLTYMG-ZDUSSCGKSA-N 0 1 306.837 3.199 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccccc2Cl)C1 ZINC001691866565 1177778702 /nfs/dbraw/zinc/77/87/02/1177778702.db2.gz AQIWNZCJOUKLSW-CQSZACIVSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C1CN(CCC2CCCCC2)C1 ZINC001671104925 1177873719 /nfs/dbraw/zinc/87/37/19/1177873719.db2.gz IFHWKCOBWODKKM-MRXNPFEDSA-N 0 1 322.493 3.081 20 30 DGEDMN Cc1ccc([C@H]([NH2+]Cc2ccc(C#N)s2)C(=O)[O-])cc1C ZINC001600385251 1192841372 /nfs/dbraw/zinc/84/13/72/1192841372.db2.gz OCBOKILUFVSSID-HNNXBMFYSA-N 0 1 300.383 3.152 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cc(C)ccc2C)C1 ZINC001691860947 1177889902 /nfs/dbraw/zinc/88/99/02/1177889902.db2.gz OCPWBVGEIVJHEL-IBGZPJMESA-N 0 1 314.473 3.345 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cccc(F)c2F)C1 ZINC001691860648 1177889968 /nfs/dbraw/zinc/88/99/68/1177889968.db2.gz JJDHLGCCCSVGAF-AWEZNQCLSA-N 0 1 322.399 3.467 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001671769656 1178039840 /nfs/dbraw/zinc/03/98/40/1178039840.db2.gz WOOYYKRWENNIFB-RDTXWAMCSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C)nc1C(C)C ZINC001331520293 1178233057 /nfs/dbraw/zinc/23/30/57/1178233057.db2.gz ZZEXZZMCSFIPKB-AWEZNQCLSA-N 0 1 323.868 3.316 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C)c(F)c1F ZINC001331566173 1178249183 /nfs/dbraw/zinc/24/91/83/1178249183.db2.gz UTGNOVWRCCKWMH-LLVKDONJSA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001331614446 1178260114 /nfs/dbraw/zinc/26/01/14/1178260114.db2.gz XOQMWOMODCASOY-IILDEKEXSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2c(s1)CCC2 ZINC001331629100 1178263807 /nfs/dbraw/zinc/26/38/07/1178263807.db2.gz PHTQBJSCEYKRPF-NSHDSACASA-N 0 1 312.866 3.039 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cccc(F)c2F)[C@H]1C ZINC001331859715 1178292698 /nfs/dbraw/zinc/29/26/98/1178292698.db2.gz JDYNGXKPMAAATA-IUODEOHRSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cccc(Cl)n2)C[C@@H]1C ZINC001332025375 1178339241 /nfs/dbraw/zinc/33/92/41/1178339241.db2.gz IMNUCRDQQMCBLT-DZGCQCFKSA-N 0 1 321.852 3.170 20 30 DGEDMN C[C@H]1CCc2n[nH]c(C(=O)OCC3CCC(C#N)CC3)c2C1 ZINC001332734816 1178487188 /nfs/dbraw/zinc/48/71/88/1178487188.db2.gz ZTFDUDQONXCWEF-HIFPTAJRSA-N 0 1 301.390 3.021 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1oc(C)nc1C)C(C)(C)C ZINC001332806632 1178501889 /nfs/dbraw/zinc/50/18/89/1178501889.db2.gz GDIREBZDEBRRNX-INIZCTEOSA-N 0 1 321.465 3.268 20 30 DGEDMN C=CCCCC(=O)NC1(C2CCN(C/C=C\Cl)CC2)CC1 ZINC001333180399 1178568471 /nfs/dbraw/zinc/56/84/71/1178568471.db2.gz QSBCVAHDGLUGIZ-WZUFQYTHSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cccc(SCC)c2)CC1 ZINC001333328423 1178589053 /nfs/dbraw/zinc/58/90/53/1178589053.db2.gz PXWKDHHRUOBGNQ-UHFFFAOYSA-N 0 1 317.458 3.018 20 30 DGEDMN C=CCCCC(=O)NCC1=CCN(Cc2ccccc2F)CC1 ZINC001333391657 1178598785 /nfs/dbraw/zinc/59/87/85/1178598785.db2.gz QVJHQVBWVSANJP-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1ccnn1CC1CCC1 ZINC001334378729 1178755715 /nfs/dbraw/zinc/75/57/15/1178755715.db2.gz NBEPVJYNTZGSIJ-OAHLLOKOSA-N 0 1 324.428 3.436 20 30 DGEDMN N#Cc1ccc(C[NH2+][C@@H](C[C@H]2CCCc3ccccc32)C(=O)[O-])o1 ZINC001601101497 1192918874 /nfs/dbraw/zinc/91/88/74/1192918874.db2.gz CBZMKPFQGMKUML-KDOFPFPSSA-N 0 1 324.380 3.204 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)cc1 ZINC001601076631 1192915634 /nfs/dbraw/zinc/91/56/34/1192915634.db2.gz MYUPPVCNFRWTNS-BZSNNMDCSA-N 0 1 312.413 3.218 20 30 DGEDMN CC(C)c1cncc(NS(=O)(=O)c2ccc(CC#N)cc2)c1 ZINC001336093145 1178992411 /nfs/dbraw/zinc/99/24/11/1178992411.db2.gz DSTFZUMMWJQOKS-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN C=CCCC(C)(C)NC(=O)C[C@H](N)c1ccccc1OCC ZINC001342176734 1179114008 /nfs/dbraw/zinc/11/40/08/1179114008.db2.gz USCIRJHQNSJSOR-HNNXBMFYSA-N 0 1 304.434 3.336 20 30 DGEDMN N#CC(C(=O)CSCc1cccs1)c1cccc(F)n1 ZINC001343312305 1179253910 /nfs/dbraw/zinc/25/39/10/1179253910.db2.gz MLEMYCZLEWJNTB-LLVKDONJSA-N 0 1 306.387 3.392 20 30 DGEDMN N#C[C@H](C(=O)CSc1ccc(F)c(F)c1)c1cccc(F)n1 ZINC001343312281 1179254187 /nfs/dbraw/zinc/25/41/87/1179254187.db2.gz LYSLVEGSDGFNAE-JTQLQIEISA-N 0 1 322.311 3.467 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCOC2(CCCC2)C1)c1cccc(F)n1 ZINC001343607642 1179303406 /nfs/dbraw/zinc/30/34/06/1179303406.db2.gz IZONIFJNHWJBCS-CHWSQXEVSA-N 0 1 302.349 3.136 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2ccccc2Cl)CC1 ZINC001343706304 1179319996 /nfs/dbraw/zinc/31/99/96/1179319996.db2.gz ZAWBVQMRYHAYFT-CYBMUJFWSA-N 0 1 313.788 3.273 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1CC(C)(C)Oc2ccccc21 ZINC001344322696 1179412157 /nfs/dbraw/zinc/41/21/57/1179412157.db2.gz VVILFNRAIDBUFL-CABCVRRESA-N 0 1 323.396 3.424 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1c(C)oc2ccccc21 ZINC001344322663 1179413201 /nfs/dbraw/zinc/41/32/01/1179413201.db2.gz VEZVQIMBJYKLKZ-HNNXBMFYSA-N 0 1 307.353 3.377 20 30 DGEDMN N#CC(C(=O)CSC(F)F)c1nc2c(cccc2Cl)[nH]1 ZINC001344367653 1179420535 /nfs/dbraw/zinc/42/05/35/1179420535.db2.gz HQMOBRDYHNBWIA-ZCFIWIBFSA-N 0 1 315.732 3.348 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C12CC(c3ccccc3)(C1)C2 ZINC001344863095 1179487312 /nfs/dbraw/zinc/48/73/12/1179487312.db2.gz DVSVAOCJSVBOCW-MNNVXMFVSA-N 0 1 305.381 3.201 20 30 DGEDMN CCCc1nc(C)c(C(=O)C(C#N)c2nccn2CC)s1 ZINC001344875125 1179490872 /nfs/dbraw/zinc/49/08/72/1179490872.db2.gz KDIGNUYKEHMSNU-NSHDSACASA-N 0 1 302.403 3.111 20 30 DGEDMN C[C@@H](NCc1cccc(OCC#N)c1)c1cnn(CC2CCC2)c1 ZINC001345119564 1179528421 /nfs/dbraw/zinc/52/84/21/1179528421.db2.gz ATLWBAMMZAKICK-OAHLLOKOSA-N 0 1 324.428 3.436 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001345951163 1179629370 /nfs/dbraw/zinc/62/93/70/1179629370.db2.gz FXKUSWQBMBGUKS-VVFCZOMOSA-N 0 1 323.396 3.099 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001345951160 1179629585 /nfs/dbraw/zinc/62/95/85/1179629585.db2.gz FXKUSWQBMBGUKS-AZOIQLNYSA-N 0 1 323.396 3.099 20 30 DGEDMN N#CC(C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)c1nnc2n1CCCCC2 ZINC001346527030 1179715219 /nfs/dbraw/zinc/71/52/19/1179715219.db2.gz PVHDOBMBIFPUPA-LXTVHRRPSA-N 0 1 312.417 3.007 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C)[C@@H](C)C1 ZINC001346626940 1179728026 /nfs/dbraw/zinc/72/80/26/1179728026.db2.gz FERFECBNOFZUBF-STQMWFEESA-N 0 1 314.437 3.035 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C(C)(C)c1ccc(F)cc1 ZINC001347339204 1179798201 /nfs/dbraw/zinc/79/82/01/1179798201.db2.gz WKIQJXYWGOFQLP-LBPRGKRZSA-N 0 1 319.767 3.367 20 30 DGEDMN C#CC[C@@H]([NH2+][C@@H](C)c1ncc(C(=O)[O-])s1)c1ccccc1 ZINC001602693954 1192994435 /nfs/dbraw/zinc/99/44/35/1192994435.db2.gz DLUJWFMRCRKYLC-WCQYABFASA-N 0 1 300.383 3.257 20 30 DGEDMN C#CC[C@@H]([NH2+]Cc1nc2cc(C(=O)[O-])ccc2[nH]1)c1ccccc1 ZINC001602694042 1192994564 /nfs/dbraw/zinc/99/45/64/1192994564.db2.gz FXFNUHAQLRLQCT-OAHLLOKOSA-N 0 1 319.364 3.115 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1[NH2+]Cc1cccc(F)c1-c1nnn[n-]1 ZINC001602825616 1192998913 /nfs/dbraw/zinc/99/89/13/1192998913.db2.gz ZNGUMHJWJQMINW-WFASDCNBSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1[NH2+]Cc1cccc(F)c1-c1nn[n-]n1 ZINC001602825616 1192998915 /nfs/dbraw/zinc/99/89/15/1192998915.db2.gz ZNGUMHJWJQMINW-WFASDCNBSA-N 0 1 315.396 3.230 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2cc(C(=O)[O-])c3n2CCCC3)C1 ZINC001602855006 1192999966 /nfs/dbraw/zinc/99/99/66/1192999966.db2.gz DRYLCUCPPRCTSU-AWEZNQCLSA-N 0 1 302.418 3.311 20 30 DGEDMN C=CC[N@@H+](CCc1ccccc1)Cc1cccc(-c2nn[nH]n2)c1 ZINC001602852527 1193000162 /nfs/dbraw/zinc/00/01/62/1193000162.db2.gz VCBXIXVDHBITGE-UHFFFAOYSA-N 0 1 319.412 3.097 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2cc(C(=O)[O-])c3n2CCCC3)C1 ZINC001602855007 1193000310 /nfs/dbraw/zinc/00/03/10/1193000310.db2.gz DRYLCUCPPRCTSU-CQSZACIVSA-N 0 1 302.418 3.311 20 30 DGEDMN CC(C)(CCC#N)C[N@H+]1CCC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001603592021 1193022662 /nfs/dbraw/zinc/02/26/62/1193022662.db2.gz TUFJAYIFZNPIGI-LBPRGKRZSA-N 0 1 321.446 3.351 20 30 DGEDMN C=C(CC)C(=O)N[C@H](CN(C)C)c1cccc(C(F)(F)F)c1 ZINC001352486057 1180348787 /nfs/dbraw/zinc/34/87/87/1180348787.db2.gz MDKDKMSPMMENQG-CQSZACIVSA-N 0 1 314.351 3.391 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCN1Cc1scnc1C ZINC001480323653 1180367154 /nfs/dbraw/zinc/36/71/54/1180367154.db2.gz KTUDMGJZLPTRIX-OAHLLOKOSA-N 0 1 321.490 3.279 20 30 DGEDMN C=CCO[C@@H]1CCN(Cc2ccc3ccc(F)c(F)c3n2)C1 ZINC001352860250 1180412013 /nfs/dbraw/zinc/41/20/13/1180412013.db2.gz CXXRBDSZDJOFPM-CQSZACIVSA-N 0 1 304.340 3.290 20 30 DGEDMN C=C(C)CCC(=O)Nc1cccc(NC(=O)CN(CC)CC)c1 ZINC001353688371 1180625324 /nfs/dbraw/zinc/62/53/24/1180625324.db2.gz LBPZFAVDEGZLEP-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NCc2ncoc2C(C)C)C1 ZINC001100623587 1180860998 /nfs/dbraw/zinc/86/09/98/1180860998.db2.gz WCTRKLLNQKUEEJ-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN C[C@@H](C[N@@H+](Cc1ccc(C#N)s1)Cc1ccccc1)C(=O)[O-] ZINC001604165859 1193057852 /nfs/dbraw/zinc/05/78/52/1193057852.db2.gz PDHRJMREGYGBKY-ZDUSSCGKSA-N 0 1 314.410 3.343 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CC(NC/C(Cl)=C\Cl)C1 ZINC001100644794 1180886434 /nfs/dbraw/zinc/88/64/34/1180886434.db2.gz ILVCDPDBEGDZBD-PPRQPZOSSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100713174 1180957217 /nfs/dbraw/zinc/95/72/17/1180957217.db2.gz SBTSATFGNPEVMI-UHFFFAOYSA-N 0 1 321.490 3.038 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2cc(C)nc(C)n2)cc1C ZINC001356238977 1181162182 /nfs/dbraw/zinc/16/21/82/1181162182.db2.gz NBZZZKKYMYQMRZ-INIZCTEOSA-N 0 1 307.353 3.095 20 30 DGEDMN C[C@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CCc2ccccc21 ZINC001604787042 1193092536 /nfs/dbraw/zinc/09/25/36/1193092536.db2.gz VQMNNUNWMDJKOM-LIRRHRJNSA-N 0 1 320.392 3.346 20 30 DGEDMN COc1cccc2cc(C(=O)C(C#N)c3cccc(F)n3)oc21 ZINC001357598457 1181412889 /nfs/dbraw/zinc/41/28/89/1181412889.db2.gz HSFYUTBVJONCSH-NSHDSACASA-N 0 1 310.284 3.466 20 30 DGEDMN N#C[C@H]1C[C@H]1C(=O)Nc1ccc2nc(-c3cccc(F)c3)[nH]c2c1 ZINC001357902256 1181436476 /nfs/dbraw/zinc/43/64/76/1181436476.db2.gz GMNYWZXNIKRQAA-BXUZGUMPSA-N 0 1 320.327 3.467 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@H+](Cc1cc(C#N)ccc1F)CC1CC1 ZINC001604888319 1193098432 /nfs/dbraw/zinc/09/84/32/1193098432.db2.gz BVJFGBQCBPKZPJ-KRWDZBQOSA-N 0 1 304.365 3.163 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1ccc(OCC#N)cc1)CC1CC1 ZINC001604890508 1193098594 /nfs/dbraw/zinc/09/85/94/1193098594.db2.gz WVKPCSDSBPSRQG-GOSISDBHSA-N 0 1 316.401 3.054 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CNCc2ncoc2C(C)C)C1 ZINC001480643824 1181681154 /nfs/dbraw/zinc/68/11/54/1181681154.db2.gz LMGNJTHVHWJVOH-HNNXBMFYSA-N 0 1 319.449 3.092 20 30 DGEDMN C=CCOc1ccc(COC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001435842617 1181849515 /nfs/dbraw/zinc/84/95/15/1181849515.db2.gz FLYYITVKXOHSPQ-QGZVFWFLSA-N 0 1 303.402 3.167 20 30 DGEDMN C=CCCC(=O)N1CCN(Cc2ccccc2)[C@H](C(C)C)C1 ZINC001437726635 1181943937 /nfs/dbraw/zinc/94/39/37/1181943937.db2.gz KNSZZMNIVJPBJN-SFHVURJKSA-N 0 1 300.446 3.322 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(F)ccc3Cl)[nH]c2c1 ZINC001438137535 1181959798 /nfs/dbraw/zinc/95/97/98/1181959798.db2.gz UAVGSSNEWHTQSK-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN CCCCCCC[N@@H+]1C[C@@H](c2ccc(O)cc2)[C@H](C(=O)[O-])C1 ZINC001605267333 1193137493 /nfs/dbraw/zinc/13/74/93/1193137493.db2.gz KWZRSNZRJMZALW-DLBZAZTESA-N 0 1 305.418 3.463 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3[nH]c4ccccc4c3C#N)cc2[nH]1 ZINC001445744179 1182154943 /nfs/dbraw/zinc/15/49/43/1182154943.db2.gz NHAVSTNWUYASSB-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN CC[N@@H+](CC(=O)[O-])Cc1cccc(OCc2ccccc2C#N)c1 ZINC001605415612 1193148940 /nfs/dbraw/zinc/14/89/40/1193148940.db2.gz CQVBZJJGAGDYIU-UHFFFAOYSA-N 0 1 324.380 3.044 20 30 DGEDMN N#Cc1cccc2c1CN(C(=O)c1cnc(-c3ccccc3)[nH]1)C2 ZINC001452695165 1182298134 /nfs/dbraw/zinc/29/81/34/1182298134.db2.gz OSWXSUWWKWCTGT-UHFFFAOYSA-N 0 1 314.348 3.104 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc(Cl)c(F)c2)c1 ZINC001454683709 1182350782 /nfs/dbraw/zinc/35/07/82/1182350782.db2.gz RFMNWLBEDQBPQE-UHFFFAOYSA-N 0 1 304.708 3.003 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@@H]2c2cccc(F)c2F)c1 ZINC001454682574 1182350876 /nfs/dbraw/zinc/35/08/76/1182350876.db2.gz MBHXVUIIBHXYOW-ZIAGYGMSSA-N 0 1 314.291 3.050 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@@H]3C[C@H]3C3CCCC3)n2)c1 ZINC001458039995 1182490942 /nfs/dbraw/zinc/49/09/42/1182490942.db2.gz CNSVUSWURKWDOQ-LSDHHAIUSA-N 0 1 321.384 3.108 20 30 DGEDMN Cc1ccc(C)c(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)c1 ZINC001458046929 1182492550 /nfs/dbraw/zinc/49/25/50/1182492550.db2.gz XAMKVFFWVVUMMU-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN CC(C)(C)OC(=O)[C@@]1(C)CCN(CC#Cc2ccc(F)cc2)C1 ZINC001460205477 1182662331 /nfs/dbraw/zinc/66/23/31/1182662331.db2.gz ZHWVJAICSNVJIY-IBGZPJMESA-N 0 1 317.404 3.231 20 30 DGEDMN COc1cccc(CN2CCN(c3ccc(C#N)cc3)CC2)c1C ZINC001460636804 1182701713 /nfs/dbraw/zinc/70/17/13/1182701713.db2.gz KELAONLJHADMHJ-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN CCC#C[C@@H](C)N1CC[C@H](c2nc(C)c(C(=O)OCC)s2)C1 ZINC001460719538 1182712139 /nfs/dbraw/zinc/71/21/39/1182712139.db2.gz QUBDZYLBWQIRIH-OCCSQVGLSA-N 0 1 320.458 3.219 20 30 DGEDMN C#CCN(Cc1ccc2[nH]c(=O)[nH]c2c1)[C@H]1CCc2ccccc21 ZINC001461988184 1182888709 /nfs/dbraw/zinc/88/87/09/1182888709.db2.gz KTAOAQSIDMCSBO-IBGZPJMESA-N 0 1 317.392 3.391 20 30 DGEDMN C=CC[N@H+](Cc1cc(Br)cc(F)c1[O-])C1CC1 ZINC001462063033 1182903108 /nfs/dbraw/zinc/90/31/08/1182903108.db2.gz NVAYUKSKEDCCTD-UHFFFAOYSA-N 0 1 300.171 3.444 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(C(F)(F)F)cc2[N+](=O)[O-])C1 ZINC001462387538 1182946078 /nfs/dbraw/zinc/94/60/78/1182946078.db2.gz ZRCKOGPUATZPSD-LLVKDONJSA-N 0 1 312.291 3.459 20 30 DGEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1ccc(F)c(F)c1[O-] ZINC001462518881 1182963187 /nfs/dbraw/zinc/96/31/87/1182963187.db2.gz MKQWNBCGYVTFED-UHFFFAOYSA-N 0 1 302.324 3.217 20 30 DGEDMN C=C(CC(C)C)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC001464643247 1183104802 /nfs/dbraw/zinc/10/48/02/1183104802.db2.gz UTHDMYNOXIDABS-MRXNPFEDSA-N 0 1 300.446 3.152 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001469519155 1183415093 /nfs/dbraw/zinc/41/50/93/1183415093.db2.gz JXHBBSKRBRYDOS-GFCCVEGCSA-N 0 1 313.788 3.216 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1coc(-c2ccc(Cl)cc2)n1 ZINC001469733337 1183434036 /nfs/dbraw/zinc/43/40/36/1183434036.db2.gz PRSNSIKEXXYAAN-JTQLQIEISA-N 0 1 306.749 3.160 20 30 DGEDMN COc1ccc([C@@H](C)[NH2+]Cc2cccc(C(=O)[O-])c2C#N)cc1C ZINC001607290641 1193222344 /nfs/dbraw/zinc/22/23/44/1193222344.db2.gz NMDDLAOQUIYOMD-CYBMUJFWSA-N 0 1 324.380 3.424 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN([C@@H](C)CC)CC1 ZINC001470786485 1183514267 /nfs/dbraw/zinc/51/42/67/1183514267.db2.gz JDAVQEQRHZODHT-GVDBMIGSSA-N 0 1 307.482 3.247 20 30 DGEDMN CN(CCc1cccc(C#N)c1)C(=O)c1ccc(Cl)c(O)c1 ZINC001470840947 1183518587 /nfs/dbraw/zinc/51/85/87/1183518587.db2.gz DOFQGMQFIPYVSU-UHFFFAOYSA-N 0 1 314.772 3.232 20 30 DGEDMN C[C@]12C[N@@H+](Cc3cccc(C(=O)[O-])c3C#N)C[C@H]1[C@H]1CC[C@@H]2C1 ZINC001607481883 1193231630 /nfs/dbraw/zinc/23/16/30/1193231630.db2.gz YLQFQJGFBIPCEW-XBLMQXIHSA-N 0 1 310.397 3.124 20 30 DGEDMN CCCCCCN(O)C(=O)c1cc(-c2cccc(OC)c2)[nH]n1 ZINC001472621440 1183658144 /nfs/dbraw/zinc/65/81/44/1183658144.db2.gz VCBNBHGQSCBAKU-UHFFFAOYSA-N 0 1 317.389 3.497 20 30 DGEDMN CCCCCCN(O)C(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC001472621269 1183658401 /nfs/dbraw/zinc/65/84/01/1183658401.db2.gz NJRCYXWCPJUMOK-KRWDZBQOSA-N 0 1 304.434 3.449 20 30 DGEDMN COc1ccc(C[C@H]2CCN2Cc2ccc(C#N)cc2OC)cc1 ZINC001473219207 1183732271 /nfs/dbraw/zinc/73/22/71/1183732271.db2.gz QNWZUBDOMDYJRU-GOSISDBHSA-N 0 1 322.408 3.392 20 30 DGEDMN C#CCN(C[C@@H]1CCC[C@H](c2ccccc2)O1)C1CSC1 ZINC001473629239 1183784264 /nfs/dbraw/zinc/78/42/64/1183784264.db2.gz CQFZMIZPUSKCAE-ZWKOTPCHSA-N 0 1 301.455 3.347 20 30 DGEDMN Cc1cc(C[N@@H+](Cc2ccc(C#N)cc2)C2CC2)[nH]c1C(=O)[O-] ZINC001607640508 1193243256 /nfs/dbraw/zinc/24/32/56/1193243256.db2.gz OMZMKOLSNVCQHT-UHFFFAOYSA-N 0 1 309.369 3.058 20 30 DGEDMN C=CCC[C@H]1CCCN1CC(=O)Nc1ccc(C(C)=O)cc1 ZINC001473857547 1183813224 /nfs/dbraw/zinc/81/32/24/1183813224.db2.gz WBAHYQXQFBEUCS-KRWDZBQOSA-N 0 1 300.402 3.258 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2cnn(C)c2C)cc(OC)c1O ZINC001473928111 1183830344 /nfs/dbraw/zinc/83/03/44/1183830344.db2.gz RVVIWJBOMDHEJO-LBPRGKRZSA-N 0 1 315.417 3.022 20 30 DGEDMN C=CCOc1cccc(CN[C@H](C)c2n[nH]c(C(C)C)n2)c1 ZINC001474099431 1183867494 /nfs/dbraw/zinc/86/74/94/1183867494.db2.gz DZXGOPCHBGLGAP-CYBMUJFWSA-N 0 1 300.406 3.344 20 30 DGEDMN C#C[C@H](NCc1ccc(C)c(Br)c1C)[C@@H]1CCCO1 ZINC001474118559 1183871316 /nfs/dbraw/zinc/87/13/16/1183871316.db2.gz NWIZUIDKXYUIQD-GJZGRUSLSA-N 0 1 322.246 3.336 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)CC(C)C)C1 ZINC001474177014 1183877291 /nfs/dbraw/zinc/87/72/91/1183877291.db2.gz JUSCVJCWCSVYDB-JKSUJKDBSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C1CCN(Cc2ccc(CN(C)C)c3c2OC(F)(F)O3)CC1 ZINC001474335638 1183906471 /nfs/dbraw/zinc/90/64/71/1183906471.db2.gz AFPHIGRLEZGDJO-UHFFFAOYSA-N 0 1 324.371 3.222 20 30 DGEDMN C=C(C)C[C@H](NCc1cc(Cl)cc(F)c1O)C(=O)OCC ZINC001474686423 1183961949 /nfs/dbraw/zinc/96/19/49/1183961949.db2.gz VRMNUEXIRSJENU-ZDUSSCGKSA-N 0 1 315.772 3.172 20 30 DGEDMN Cc1ccc(C[N@H+]2CCc3ccc(C(=O)[O-])cc3C2)cc1C#N ZINC001607780961 1193256969 /nfs/dbraw/zinc/25/69/69/1193256969.db2.gz GTYUYCSLPQXICR-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN C=C(CC)CNC(=O)N1CCN([C@H](CC)c2ccccc2)CC1 ZINC001478813072 1184178277 /nfs/dbraw/zinc/17/82/77/1184178277.db2.gz GAUXFKPNTDAGEY-GOSISDBHSA-N 0 1 315.461 3.431 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC001478965817 1184189826 /nfs/dbraw/zinc/18/98/26/1184189826.db2.gz QMJXBYUHZWCHIN-SQNIBIBYSA-N 0 1 321.509 3.493 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2conc2C)[C@@H]1CC ZINC001479640181 1184283242 /nfs/dbraw/zinc/28/32/42/1184283242.db2.gz BDZGOPMVHBAYJM-BHYGNILZSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@@H](NCc2cnc(C)o2)C1 ZINC001479688676 1184290453 /nfs/dbraw/zinc/29/04/53/1184290453.db2.gz UBUFMDROKRLMMC-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2scnc2C)[C@@H]1C ZINC001479716169 1184299313 /nfs/dbraw/zinc/29/93/13/1184299313.db2.gz VBOJLJCTPADALK-ILXRZTDVSA-N 0 1 321.490 3.133 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(CC(C)C)CCCC2)[C@H]1C ZINC001479721670 1184301204 /nfs/dbraw/zinc/30/12/04/1184301204.db2.gz JINUHVXQFMQWCT-SJORKVTESA-N 0 1 304.478 3.195 20 30 DGEDMN CCN(CCCNC(=O)C1(CC)CC1)Cc1ccccc1C#N ZINC001479853439 1184351895 /nfs/dbraw/zinc/35/18/95/1184351895.db2.gz OOBAZKGHIUMYAL-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN N#Cc1cc(Cl)cc(C[N@@H+](C2CC2)C2(C(=O)[O-])CCC2)c1 ZINC001608196786 1193282471 /nfs/dbraw/zinc/28/24/71/1193282471.db2.gz IUSNUESVECEXJQ-UHFFFAOYSA-N 0 1 304.777 3.183 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC001479904381 1184373284 /nfs/dbraw/zinc/37/32/84/1184373284.db2.gz GRJOHJGBERDXKW-QGZVFWFLSA-N 0 1 316.445 3.132 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001479912173 1184379131 /nfs/dbraw/zinc/37/91/31/1184379131.db2.gz WERUHVNIJYCBGY-SJORKVTESA-N 0 1 300.446 3.255 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001479911717 1184379707 /nfs/dbraw/zinc/37/97/07/1184379707.db2.gz ORHNSGUBLOUHOF-AEFFLSMTSA-N 0 1 300.446 3.337 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001479962290 1184392856 /nfs/dbraw/zinc/39/28/56/1184392856.db2.gz MJJSILIMUJBKFN-JKSUJKDBSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1CC12CCN(CC(=C)Cl)CC2 ZINC001479985102 1184403388 /nfs/dbraw/zinc/40/33/88/1184403388.db2.gz XWUYYISCIMMVRY-KSKAUBAUSA-N 0 1 308.853 3.232 20 30 DGEDMN CCCN(C(=O)[C@@H](C)C#N)C1CCN(Cc2ccsc2)CC1 ZINC001479991670 1184405447 /nfs/dbraw/zinc/40/54/47/1184405447.db2.gz OAQIXLYJMFWSCV-AWEZNQCLSA-N 0 1 319.474 3.111 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001480206077 1184459347 /nfs/dbraw/zinc/45/93/47/1184459347.db2.gz RVJQOZYGVQWAMS-HOLFXLRESA-N 0 1 305.249 3.145 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001480293566 1184482216 /nfs/dbraw/zinc/48/22/16/1184482216.db2.gz REDPMZLCUBLPHO-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](CCCC)C(C)C ZINC001480329750 1184495356 /nfs/dbraw/zinc/49/53/56/1184495356.db2.gz CONIXJLPHMLGAI-QZTJIDSGSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCCC)C(C)C ZINC001480329748 1184495734 /nfs/dbraw/zinc/49/57/34/1184495734.db2.gz CONIXJLPHMLGAI-MSOLQXFVSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccoc1Cl ZINC001480344968 1184500748 /nfs/dbraw/zinc/50/07/48/1184500748.db2.gz ONHBCFFCWQLIBF-NSHDSACASA-N 0 1 317.216 3.270 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001480346633 1184501473 /nfs/dbraw/zinc/50/14/73/1184501473.db2.gz VWBVGSVPXCSALX-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001480462317 1184532422 /nfs/dbraw/zinc/53/24/22/1184532422.db2.gz IWMXRKTXNSVRTN-BMFZPTHFSA-N 0 1 320.864 3.273 20 30 DGEDMN CCc1ccc(C(=O)NC[C@H](C)NCc2ccccc2C#N)cc1 ZINC001480582362 1184552697 /nfs/dbraw/zinc/55/26/97/1184552697.db2.gz LXSBSPYKZYPIJO-HNNXBMFYSA-N 0 1 321.424 3.029 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCCN(C(=O)[C@H]2CC2(C)C)C1 ZINC001480723361 1184587882 /nfs/dbraw/zinc/58/78/82/1184587882.db2.gz VZDHVLOBRNVYBB-RBUKOAKNSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](N(C)Cc2cccnc2C)C1 ZINC001480727393 1184590632 /nfs/dbraw/zinc/59/06/32/1184590632.db2.gz VKPUMVXQGNTROJ-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1ccc(Cl)cc1OC ZINC001493560099 1184716859 /nfs/dbraw/zinc/71/68/59/1184716859.db2.gz NJAMDBKTXYKBDN-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC)Cc1ccccc1 ZINC001493711968 1184728591 /nfs/dbraw/zinc/72/85/91/1184728591.db2.gz BINFEBQTBIUMTQ-MRXNPFEDSA-N 0 1 308.853 3.056 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc(C)cc(C)n1)C(C)C ZINC001493865058 1184752861 /nfs/dbraw/zinc/75/28/61/1184752861.db2.gz RVIIADWBGGAXJE-UHFFFAOYSA-N 0 1 323.868 3.281 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2CCCCC(F)(F)F ZINC001493907511 1184762858 /nfs/dbraw/zinc/76/28/58/1184762858.db2.gz CDXHTPWERTUCLL-OKILXGFUSA-N 0 1 318.383 3.360 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001493974847 1184781614 /nfs/dbraw/zinc/78/16/14/1184781614.db2.gz UUXKJIKOSUYJPI-CYBMUJFWSA-N 0 1 324.371 3.167 20 30 DGEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2ccc(C(F)F)o2)C1 ZINC001493974847 1184781621 /nfs/dbraw/zinc/78/16/21/1184781621.db2.gz UUXKJIKOSUYJPI-CYBMUJFWSA-N 0 1 324.371 3.167 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@H](NC(=O)C#CC3CC3)C2)cc1 ZINC001494316863 1184852918 /nfs/dbraw/zinc/85/29/18/1184852918.db2.gz RIJUBLMQAGVFAZ-FQEVSTJZSA-N 0 1 324.468 3.304 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3scnc3c2)C1 ZINC001494477636 1184874917 /nfs/dbraw/zinc/87/49/17/1184874917.db2.gz TUERIBOWXAEUMT-LBPRGKRZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001494510950 1184878035 /nfs/dbraw/zinc/87/80/35/1184878035.db2.gz NTMMAIHUSFRXSO-HNNXBMFYSA-N 0 1 323.440 3.450 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)CCCc2ccccc2Cl)C1 ZINC001494557504 1184886823 /nfs/dbraw/zinc/88/68/23/1184886823.db2.gz DGNHKCYKCOMTRN-UHFFFAOYSA-N 0 1 320.864 3.287 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1CC ZINC001494667240 1184902323 /nfs/dbraw/zinc/90/23/23/1184902323.db2.gz WOBQBBZIMGPROE-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc3ncccc3c2)C1 ZINC001494770387 1184922172 /nfs/dbraw/zinc/92/21/72/1184922172.db2.gz FDTFEPOKCIRGPC-QGZVFWFLSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001494841033 1184940276 /nfs/dbraw/zinc/94/02/76/1184940276.db2.gz DMOQEIUOGGVKLK-CRAIPNDOSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1nc(C)sc1C ZINC001494991779 1184977562 /nfs/dbraw/zinc/97/75/62/1184977562.db2.gz RWLGEUGBPYAYGA-AWEZNQCLSA-N 0 1 309.479 3.101 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](CC)NC/C(Cl)=C\Cl ZINC001495066223 1184990865 /nfs/dbraw/zinc/99/08/65/1184990865.db2.gz UPIZIHBQLVRHCP-JATZPVMKSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2ccccc2)cc1 ZINC001495481358 1185061125 /nfs/dbraw/zinc/06/11/25/1185061125.db2.gz NDCJFQCHXRCWEK-UHFFFAOYSA-N 0 1 314.816 3.459 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)CNCc1ncc(C(C)(C)C)o1 ZINC001495673031 1185088803 /nfs/dbraw/zinc/08/88/03/1185088803.db2.gz NNQIJKKPGJBBHW-AWEZNQCLSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001495869852 1185108702 /nfs/dbraw/zinc/10/87/02/1185108702.db2.gz HEVAAWRPWFFJRS-IRXDYDNUSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C/C(C)=C/CC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001496136704 1185138607 /nfs/dbraw/zinc/13/86/07/1185138607.db2.gz HALHZGPXPNLZNX-KMPOOHAWSA-N 0 1 311.429 3.017 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CCCF)CC1 ZINC001496504303 1185202472 /nfs/dbraw/zinc/20/24/72/1185202472.db2.gz MBACJRYMNUMVJH-UHFFFAOYSA-N 0 1 304.837 3.049 20 30 DGEDMN CCN(CCNCc1cc(C#N)ccc1F)C(=O)CCC(C)C ZINC001497353671 1185321232 /nfs/dbraw/zinc/32/12/32/1185321232.db2.gz YWYZCMYIBUHXOU-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1cccc(Cl)n1 ZINC001497437178 1185332921 /nfs/dbraw/zinc/33/29/21/1185332921.db2.gz RIAYOIFKPKGXNH-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN CC(C)(C)[C@@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001609654839 1193347581 /nfs/dbraw/zinc/34/75/81/1193347581.db2.gz LYDVNHNOIKLRIJ-HZPDHXFCSA-N 0 1 300.402 3.442 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC001497823381 1185398207 /nfs/dbraw/zinc/39/82/07/1185398207.db2.gz XETQZXVVTIMHHL-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CC)c1ccc(OC)cc1 ZINC001497990946 1185420291 /nfs/dbraw/zinc/42/02/91/1185420291.db2.gz KFVVWIPPDQAVDF-CZUORRHYSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1c(C)oc2ccccc21 ZINC001498000194 1185422491 /nfs/dbraw/zinc/42/24/91/1185422491.db2.gz WFCOIUGSWIAKLH-GFCCVEGCSA-N 0 1 320.820 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1ncoc1C(C)C ZINC001498592238 1185520028 /nfs/dbraw/zinc/52/00/28/1185520028.db2.gz ODPHHLVMKUEHPD-UHFFFAOYSA-N 0 1 321.465 3.338 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1cccc(F)c1)C1CC1 ZINC001498873961 1185559281 /nfs/dbraw/zinc/55/92/81/1185559281.db2.gz YIZFXMXNMUPPQB-MLGOLLRUSA-N 0 1 324.827 3.166 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1Cc1cccc(C)c1 ZINC001498985102 1185586651 /nfs/dbraw/zinc/58/66/51/1185586651.db2.gz YWCYOYZIPWYGSN-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1Cc1cccc2cccnc21 ZINC001499032655 1185593769 /nfs/dbraw/zinc/59/37/69/1185593769.db2.gz KPFNWHWIZHVBKS-QGZVFWFLSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cccc2cccnc21 ZINC001499032654 1185594969 /nfs/dbraw/zinc/59/49/69/1185594969.db2.gz KPFNWHWIZHVBKS-KRWDZBQOSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1C ZINC001499038604 1185596720 /nfs/dbraw/zinc/59/67/20/1185596720.db2.gz ZDCOHBPVHQZGTB-KRWDZBQOSA-N 0 1 300.446 3.206 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2Cc2c(C)cccc2C)C1 ZINC001499058639 1185601994 /nfs/dbraw/zinc/60/19/94/1185601994.db2.gz VDMDLOVDKIBOPK-KRWDZBQOSA-N 0 1 312.457 3.350 20 30 DGEDMN C#CCC1(C(=O)NC[C@H]2CCN2CCCCCF)CCCCC1 ZINC001499132445 1185617077 /nfs/dbraw/zinc/61/70/77/1185617077.db2.gz RBKURKBFMLERHK-QGZVFWFLSA-N 0 1 322.468 3.291 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2ccc(F)cc2F)CC1 ZINC001499237838 1185629258 /nfs/dbraw/zinc/62/92/58/1185629258.db2.gz KSTKHXYLOJXRJD-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccc(F)c(F)c2)C1 ZINC001499736915 1185689412 /nfs/dbraw/zinc/68/94/12/1185689412.db2.gz CPRLKNBJABPJFU-ZDUSSCGKSA-N 0 1 322.399 3.005 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H](C)c2cccs2)C1 ZINC001499743502 1185690024 /nfs/dbraw/zinc/69/00/24/1185690024.db2.gz BHSQNLFOQGQWKT-KGLIPLIRSA-N 0 1 306.475 3.349 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001499798260 1185695793 /nfs/dbraw/zinc/69/57/93/1185695793.db2.gz GNDOBJYLJNLHLD-MRXNPFEDSA-N 0 1 318.848 3.089 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2ncc(C)o2)C[C@@H]1C ZINC001499832287 1185702272 /nfs/dbraw/zinc/70/22/72/1185702272.db2.gz LUQLRNAVNAYPJI-DZGCQCFKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)C(CC)CC ZINC001499905723 1185715266 /nfs/dbraw/zinc/71/52/66/1185715266.db2.gz XFXLBVWSIJCFBL-HIFRSBDPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccoc1C1CC1 ZINC001499920499 1185716966 /nfs/dbraw/zinc/71/69/66/1185716966.db2.gz GZFFMFLDZDSOKA-CYBMUJFWSA-N 0 1 308.809 3.104 20 30 DGEDMN C#CCN(C(=O)C1CCCCC1)C1CCN(C/C=C\Cl)CC1 ZINC001500022451 1185732160 /nfs/dbraw/zinc/73/21/60/1185732160.db2.gz CZGHOXNAEIGVJM-WDZFZDKYSA-N 0 1 322.880 3.245 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](C)n2cccc2)CC1 ZINC001500419649 1185788276 /nfs/dbraw/zinc/78/82/76/1185788276.db2.gz POGBJAJCQONUCX-OAHLLOKOSA-N 0 1 323.868 3.020 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](C)c2ccco2)CC1 ZINC001500446106 1185793518 /nfs/dbraw/zinc/79/35/18/1185793518.db2.gz AFHLHARZXAZLRT-AWEZNQCLSA-N 0 1 324.852 3.354 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001500537345 1185818164 /nfs/dbraw/zinc/81/81/64/1185818164.db2.gz CUHXCQSSHFWFJA-FXAWDEMLSA-N 0 1 318.505 3.444 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CCC)CC1)c1ccccc1CC ZINC001501022778 1185857692 /nfs/dbraw/zinc/85/76/92/1185857692.db2.gz QUYNMVKKZFPZJY-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN CCC[C@@H](C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001501928481 1185939072 /nfs/dbraw/zinc/93/90/72/1185939072.db2.gz QDSLGSQFQXDVMW-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C[C@@H](C)c2ccco2)CC1 ZINC001502142111 1185961140 /nfs/dbraw/zinc/96/11/40/1185961140.db2.gz AKRUSAUYLWTJKW-HIFRSBDPSA-N 0 1 324.852 3.496 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@@H](NC(=O)CC(C)(C)CC)CO2 ZINC001502458010 1185984537 /nfs/dbraw/zinc/98/45/37/1185984537.db2.gz PCPPDVYOEDDEOP-JKSUJKDBSA-N 0 1 322.493 3.127 20 30 DGEDMN Cc1cc(C[N@@H+]2C[C@@H](c3ccccc3)[C@H](C(=O)[O-])C2)ccc1C#N ZINC001610458683 1193390185 /nfs/dbraw/zinc/39/01/85/1193390185.db2.gz ACESYEFUEMAZRX-RBUKOAKNSA-N 0 1 320.392 3.167 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C=C)c2ccccc2)C(C)(C)C1 ZINC001502835852 1186021408 /nfs/dbraw/zinc/02/14/08/1186021408.db2.gz CNKANEJUICUFJA-IEBWSBKVSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C=C)c2ccccc2)C(C)(C)C1 ZINC001502835851 1186021888 /nfs/dbraw/zinc/02/18/88/1186021888.db2.gz CNKANEJUICUFJA-HKUYNNGSSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCN(CCF)CC2(C)C)CCCCC1 ZINC001502838955 1186023109 /nfs/dbraw/zinc/02/31/09/1186023109.db2.gz PNSWKEXSLZVQCT-INIZCTEOSA-N 0 1 322.468 3.146 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@@H](C)NC(=O)C(C)(C)C(C)C ZINC001505609635 1186113431 /nfs/dbraw/zinc/11/34/31/1186113431.db2.gz HRWZAYHJSMCLLK-VXGBXAGGSA-N 0 1 319.287 3.060 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNC/C(Cl)=C\Cl ZINC001505403041 1186067543 /nfs/dbraw/zinc/06/75/43/1186067543.db2.gz UDAVDMLJQSMVLL-SRXBQZRASA-N 0 1 305.249 3.242 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNC/C(Cl)=C/Cl ZINC001505403042 1186067789 /nfs/dbraw/zinc/06/77/89/1186067789.db2.gz UDAVDMLJQSMVLL-SUIFULHWSA-N 0 1 305.249 3.242 20 30 DGEDMN CCCCC(=O)N1CCC[C@H]1CN(C)Cc1ccc(C#N)s1 ZINC001505421111 1186074286 /nfs/dbraw/zinc/07/42/86/1186074286.db2.gz POMYRXLLANULML-AWEZNQCLSA-N 0 1 319.474 3.233 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001505448322 1186084783 /nfs/dbraw/zinc/08/47/83/1186084783.db2.gz PHTUZQCPBICWTK-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1Cl ZINC001505573982 1186106383 /nfs/dbraw/zinc/10/63/83/1186106383.db2.gz GBHPPXJXHUFHHW-RYUDHWBXSA-N 0 1 312.816 3.428 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1Cl ZINC001505573980 1186106657 /nfs/dbraw/zinc/10/66/57/1186106657.db2.gz GBHPPXJXHUFHHW-NEPJUHHUSA-N 0 1 312.816 3.428 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001505599637 1186110302 /nfs/dbraw/zinc/11/03/02/1186110302.db2.gz OZTOPCLWXIVDOO-DXIMFJFMSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1nc2ccccc2o1 ZINC001505613016 1186114028 /nfs/dbraw/zinc/11/40/28/1186114028.db2.gz CHXRVRPMFDOLOM-UONOGXRCSA-N 0 1 315.417 3.167 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1nc(C)sc1C ZINC001505697909 1186126191 /nfs/dbraw/zinc/12/61/91/1186126191.db2.gz MZYGYHHSEYHXNC-NEPJUHHUSA-N 0 1 323.506 3.345 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCc2ccc(C(C)C)cc2)C1 ZINC001506634985 1186264880 /nfs/dbraw/zinc/26/48/80/1186264880.db2.gz IIMMQRIAWBCONN-INIZCTEOSA-N 0 1 314.473 3.365 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001506682899 1186274409 /nfs/dbraw/zinc/27/44/09/1186274409.db2.gz PWQXNJUBADJHKA-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)[C@H](C)CCCC)C2)C1 ZINC001506718859 1186277119 /nfs/dbraw/zinc/27/71/19/1186277119.db2.gz BAQKBHXGUNROLV-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)C[C@H](C)CCC)C2)C1 ZINC001506715932 1186277412 /nfs/dbraw/zinc/27/74/12/1186277412.db2.gz BVXJTGSFBYYTPD-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCC(=O)N[C@H]1CN(Cc2cccc(C#N)c2)CC1(C)C ZINC001506731948 1186280772 /nfs/dbraw/zinc/28/07/72/1186280772.db2.gz TYZJRNLTIIHJRK-KRWDZBQOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CN(Cc2cccnc2C)CC1(C)C ZINC001506742076 1186283998 /nfs/dbraw/zinc/28/39/98/1186283998.db2.gz NQUPUGWMUNXQHM-KRWDZBQOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(C)cc1F ZINC001507023839 1186342531 /nfs/dbraw/zinc/34/25/31/1186342531.db2.gz IEBOMNKMYCPNHH-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc(C)sc1C ZINC001507098002 1186359749 /nfs/dbraw/zinc/35/97/49/1186359749.db2.gz JHHDFSVKGRUSFH-SNVBAGLBSA-N 0 1 300.855 3.168 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1coc2ccccc12 ZINC001507134644 1186369050 /nfs/dbraw/zinc/36/90/50/1186369050.db2.gz GOBPIOAEDGGAPE-CYBMUJFWSA-N 0 1 320.820 3.164 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(CCc2ccns2)[C@@H]1C ZINC001507387855 1186413442 /nfs/dbraw/zinc/41/34/42/1186413442.db2.gz YCRDJQZYJHOBAQ-GDBMZVCRSA-N 0 1 321.490 3.011 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCc2cccs2)[C@@H]1C ZINC001507386204 1186413560 /nfs/dbraw/zinc/41/35/60/1186413560.db2.gz OYOCRLBAGBIZFB-RDJZCZTQSA-N 0 1 318.486 3.063 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CCc2ccns2)[C@H]1C ZINC001507387861 1186414011 /nfs/dbraw/zinc/41/40/11/1186414011.db2.gz YCRDJQZYJHOBAQ-HOCLYGCPSA-N 0 1 321.490 3.011 20 30 DGEDMN C#CCN(C)CCN(C(=O)C/C=C\c1ccc(F)cc1)C(C)C ZINC001507808480 1186444420 /nfs/dbraw/zinc/44/44/20/1186444420.db2.gz FYGSFLGTWBXNIJ-SREVYHEPSA-N 0 1 316.420 3.031 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001508015805 1186466784 /nfs/dbraw/zinc/46/67/84/1186466784.db2.gz FPECPWLEQXJNFU-CJNGLKHVSA-N 0 1 300.874 3.462 20 30 DGEDMN C=CCC1(C(=O)NCc2ccc(CNCCF)cc2F)CCC1 ZINC001508241272 1186493247 /nfs/dbraw/zinc/49/32/47/1186493247.db2.gz QRXMEINWKSGMQM-UHFFFAOYSA-N 0 1 322.399 3.247 20 30 DGEDMN O=C(/C=C/c1ccc(F)cc1)C(=O)Nc1cccc(Cl)c1O ZINC001546332342 1186650718 /nfs/dbraw/zinc/65/07/18/1186650718.db2.gz DZOVVOHHHACMBM-RMKNXTFCSA-N 0 1 319.719 3.406 20 30 DGEDMN Cc1ccc(Cl)cc1OCC(=O)Nc1cc(C#N)ccc1O ZINC001546334314 1186670346 /nfs/dbraw/zinc/67/03/46/1186670346.db2.gz HDZXNYNDZWJJDT-UHFFFAOYSA-N 0 1 316.744 3.243 20 30 DGEDMN O=C(c1cn[nH]c1C1CC1)N(O)Cc1cccc2ccccc21 ZINC001611662615 1193439624 /nfs/dbraw/zinc/43/96/24/1193439624.db2.gz OYSBHJNKFVPPJU-UHFFFAOYSA-N 0 1 307.353 3.472 20 30 DGEDMN Cc1cc(F)ccc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001611701930 1193440496 /nfs/dbraw/zinc/44/04/96/1193440496.db2.gz IHTVUVMSSUMJMV-UHFFFAOYSA-N 0 1 321.315 3.043 20 30 DGEDMN CCc1nc(CNc2cc(C(F)(F)F)c(F)cc2C#N)n[nH]1 ZINC001515784443 1186770805 /nfs/dbraw/zinc/77/08/05/1186770805.db2.gz DVMLFNOWKBMIPK-UHFFFAOYSA-N 0 1 313.258 3.009 20 30 DGEDMN CCOCc1nc(C)c(C(=O)C(C#N)c2cccc(F)n2)s1 ZINC001516819653 1186793373 /nfs/dbraw/zinc/79/33/73/1186793373.db2.gz IZBCKVULUMWOLH-JTQLQIEISA-N 0 1 319.361 3.012 20 30 DGEDMN C#CCOc1ccccc1CNc1ccncc1C(F)(F)F ZINC001611989993 1193444832 /nfs/dbraw/zinc/44/48/32/1193444832.db2.gz BGKMGYYKALZOLG-UHFFFAOYSA-N 0 1 306.287 3.146 20 30 DGEDMN C[C@@H]1CCCN1CCNc1c(Br)cccc1C#N ZINC001612055093 1193445879 /nfs/dbraw/zinc/44/58/79/1193445879.db2.gz RFUXIKXEQAERFB-LLVKDONJSA-N 0 1 308.223 3.217 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001520030078 1186911824 /nfs/dbraw/zinc/91/18/24/1186911824.db2.gz UWNQWFNTGRUGQO-CYBMUJFWSA-N 0 1 315.760 3.075 20 30 DGEDMN CCc1ncc(CNc2ccc(C#N)c(Br)c2F)[nH]1 ZINC001612984063 1193465160 /nfs/dbraw/zinc/46/51/60/1193465160.db2.gz YIIHRMWFTGUJFS-UHFFFAOYSA-N 0 1 323.169 3.357 20 30 DGEDMN C=CC[C@@H](Sc1nc2ccc(OCC)cc2[nH]1)C(=O)OC ZINC001613264012 1193469936 /nfs/dbraw/zinc/46/99/36/1193469936.db2.gz LMHFZQAUYBRLFB-CYBMUJFWSA-N 0 1 306.387 3.171 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@@H](C)CC[C@H]1C ZINC001524337220 1187235605 /nfs/dbraw/zinc/23/56/05/1187235605.db2.gz GSKBGFUZGDXCLT-QWHCGFSZSA-N 0 1 314.437 3.178 20 30 DGEDMN N#CC(C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)=C(O)C1(c2ccccc2)CC1 ZINC001526030447 1187348285 /nfs/dbraw/zinc/34/82/85/1187348285.db2.gz BHKIQGWJGMZHIO-RBQNZQIVSA-N 0 1 319.404 3.288 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@]1(C)CCCc2ccccc21 ZINC001526595385 1187377632 /nfs/dbraw/zinc/37/76/32/1187377632.db2.gz YRRRHHGDDBUNHY-BEFAXECRSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C[C@@H]3CCc4ccccc43)[nH]c2c1 ZINC001530615328 1187637612 /nfs/dbraw/zinc/63/76/12/1187637612.db2.gz PDMACFNPFMYMCS-AWEZNQCLSA-N 0 1 316.364 3.493 20 30 DGEDMN CC(C)c1cccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)n1 ZINC001530614978 1187637902 /nfs/dbraw/zinc/63/79/02/1187637902.db2.gz GJGDHPQELCFCBG-UHFFFAOYSA-N 0 1 305.341 3.205 20 30 DGEDMN CCc1ccc(OCC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001534067727 1187873542 /nfs/dbraw/zinc/87/35/42/1187873542.db2.gz FFNZMSLVPQGJOV-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C=CCCc1ccccc1NC(=O)c1cccc2nc(CO)[nH]c21 ZINC001617525616 1193571920 /nfs/dbraw/zinc/57/19/20/1193571920.db2.gz USFFLJWZEBEJOH-UHFFFAOYSA-N 0 1 321.380 3.426 20 30 DGEDMN Cc1cccc(CN2CCCC[C@H]2C(=O)N(C)[C@@H](C)CC#N)c1 ZINC001617525380 1193571932 /nfs/dbraw/zinc/57/19/32/1193571932.db2.gz JGMUKHMKBKMBDC-WMZOPIPTSA-N 0 1 313.445 3.110 20 30 DGEDMN CC(C)(C)CCN1CCN(C(=O)Nc2sccc2C#N)CC1 ZINC001563024087 1188872244 /nfs/dbraw/zinc/87/22/44/1188872244.db2.gz WLUVODLAWMNVBP-UHFFFAOYSA-N 0 1 320.462 3.205 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC(C)(C)C2)CC1 ZINC001566699533 1188950463 /nfs/dbraw/zinc/95/04/63/1188950463.db2.gz HBZOPZNYXUBHEM-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN Cc1ccc(C(=O)/C=C/C(=O)Nc2ccc(O)c(F)c2F)cc1 ZINC001556592336 1189008347 /nfs/dbraw/zinc/00/83/47/1189008347.db2.gz IASDKDRQBRFJKJ-CMDGGOBGSA-N 0 1 317.291 3.356 20 30 DGEDMN C=CCC1(C(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)CCC1 ZINC001557150358 1189044353 /nfs/dbraw/zinc/04/43/53/1189044353.db2.gz ZEDGYWJHHCRTFU-UHFFFAOYSA-N 0 1 305.378 3.050 20 30 DGEDMN CC(C)C#CC(=O)N1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC001557333726 1189057317 /nfs/dbraw/zinc/05/73/17/1189057317.db2.gz DLPJGXQXRWSFAM-RCCFBDPRSA-N 0 1 312.457 3.156 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(OC)cc2Cl)C1 ZINC001567810454 1189122648 /nfs/dbraw/zinc/12/26/48/1189122648.db2.gz QMYXCCDBXVGNHJ-LBPRGKRZSA-N 0 1 322.836 3.117 20 30 DGEDMN N#Cc1ccc(NCc2ccc(Nc3ccncc3)cc2)nc1 ZINC001559247773 1189186155 /nfs/dbraw/zinc/18/61/55/1189186155.db2.gz SCBVGVXODHCNLO-UHFFFAOYSA-N 0 1 301.353 3.126 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC001559513526 1189212649 /nfs/dbraw/zinc/21/26/49/1189212649.db2.gz BOUXSHMLMOTRPB-GJZGRUSLSA-N 0 1 315.310 3.371 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](c2ccccc2OC)CC1 ZINC001560094896 1189278444 /nfs/dbraw/zinc/27/84/44/1189278444.db2.gz SQBDTPYCJLIFIE-DOTOQJQBSA-N 0 1 317.429 3.382 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CNCc1ccc(C#N)c(Cl)c1 ZINC001560452144 1189330450 /nfs/dbraw/zinc/33/04/50/1189330450.db2.gz OKXRCPYWBYRFRJ-UHFFFAOYSA-N 0 1 324.815 3.439 20 30 DGEDMN C=C(CC)CNCc1cccc(F)c1I ZINC001560503389 1189334235 /nfs/dbraw/zinc/33/42/35/1189334235.db2.gz LJNYKXSIJDHQTG-UHFFFAOYSA-N 0 1 319.161 3.486 20 30 DGEDMN C#CCN1CCC(NC(=O)N[C@@H](C)CCC2CCCCC2)CC1 ZINC001564033087 1189546012 /nfs/dbraw/zinc/54/60/12/1189546012.db2.gz DMJYVDVUZUGKGL-INIZCTEOSA-N 0 1 319.493 3.132 20 30 DGEDMN Cc1cc(NC(=O)c2ccc(C#N)c(O)c2)ccc1-n1ccnc1 ZINC001565582218 1189657506 /nfs/dbraw/zinc/65/75/06/1189657506.db2.gz MIUNZMYLSQHZCK-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN CC(C)CCN(CCC#N)C(=O)c1ccc(CN2CCCC2)o1 ZINC001565638815 1189660664 /nfs/dbraw/zinc/66/06/64/1189660664.db2.gz MAXTVBBIXSDJTE-UHFFFAOYSA-N 0 1 317.433 3.277 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001565906965 1189702689 /nfs/dbraw/zinc/70/26/89/1189702689.db2.gz UKTASDWIJSMBJS-QLFBSQMISA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001566629293 1189835350 /nfs/dbraw/zinc/83/53/50/1189835350.db2.gz XRCKQTDKFLQEBO-RDJZCZTQSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN([C@H]2CCc3c2cccc3F)C1 ZINC001566672300 1189852039 /nfs/dbraw/zinc/85/20/39/1189852039.db2.gz PDTFRSOKTBGZIC-KDOFPFPSSA-N 0 1 316.420 3.360 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001566671683 1189852424 /nfs/dbraw/zinc/85/24/24/1189852424.db2.gz DTVZDEWDXSKHFX-CRAIPNDOSA-N 0 1 318.436 3.449 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001566676566 1189855605 /nfs/dbraw/zinc/85/56/05/1189855605.db2.gz LDMZTLMJNRZSMA-ROUUACIJSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2oc(C)cc2C)CC1 ZINC001566697320 1189863427 /nfs/dbraw/zinc/86/34/27/1189863427.db2.gz VCOLOODHSQBGMN-UHFFFAOYSA-N 0 1 316.445 3.236 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1occc1C(C)C)C1CC1 ZINC001566719430 1189873122 /nfs/dbraw/zinc/87/31/22/1189873122.db2.gz KZAOFQKPCUDGIW-CQSZACIVSA-N 0 1 310.825 3.254 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](OC)C(C)C ZINC001566755776 1189891970 /nfs/dbraw/zinc/89/19/70/1189891970.db2.gz RIWMOHRQDLJWOV-KSZLIROESA-N 0 1 322.493 3.079 20 30 DGEDMN CCCC[C@@H](C)C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001566765040 1189894898 /nfs/dbraw/zinc/89/48/98/1189894898.db2.gz UUMPLUWHEHTCEA-MJGOQNOKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001566781096 1189899775 /nfs/dbraw/zinc/89/97/75/1189899775.db2.gz RBMKNDYLYHYBHZ-IDOMTICXSA-N 0 1 318.848 3.341 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1(CC(C)C)CCC1 ZINC001566923518 1189953485 /nfs/dbraw/zinc/95/34/85/1189953485.db2.gz UMACVDHXXTZXDB-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(CF)CCC2)C1 ZINC001566964813 1189975107 /nfs/dbraw/zinc/97/51/07/1189975107.db2.gz BVKSFZSEMGGKEB-KBPBESRZSA-N 0 1 316.848 3.095 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001567004457 1189990034 /nfs/dbraw/zinc/99/00/34/1189990034.db2.gz LZNSYENCGWJSLI-HUUCEWRRSA-N 0 1 320.864 3.222 20 30 DGEDMN C[C@@H](CNC(=O)C1=CCCCCC1)NCC#Cc1ccccc1 ZINC001567011913 1189993722 /nfs/dbraw/zinc/99/37/22/1189993722.db2.gz NKBDWCCNRXTNAV-KRWDZBQOSA-N 0 1 310.441 3.023 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1ccc(F)cc1Cl ZINC001567020576 1189996844 /nfs/dbraw/zinc/99/68/44/1189996844.db2.gz VSLDPDDAIOUOFV-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1cccc(Cl)c1F ZINC001567049315 1190008618 /nfs/dbraw/zinc/00/86/18/1190008618.db2.gz KJYGEKBFPCRZTK-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN CCC[C@H](C(=O)NC[C@H](C)NCc1ccccc1C#N)C(C)C ZINC001567059586 1190014873 /nfs/dbraw/zinc/01/48/73/1190014873.db2.gz QFUUOBGUNWMYBB-YJBOKZPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)C(CC)(CC)CC ZINC001567066583 1190016823 /nfs/dbraw/zinc/01/68/23/1190016823.db2.gz YIUXZHVDOPLVKW-LBPRGKRZSA-N 0 1 319.287 3.206 20 30 DGEDMN CC[C@H](CC(=O)NC[C@@H](C)NCc1ccccc1C#N)C(C)C ZINC001567071584 1190019960 /nfs/dbraw/zinc/01/99/60/1190019960.db2.gz UBRZVJDNVMRGBZ-HZPDHXFCSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001567072109 1190020483 /nfs/dbraw/zinc/02/04/83/1190020483.db2.gz DVMUUGLCDGTWSY-ORVZXPRGSA-N 0 1 310.869 3.050 20 30 DGEDMN CC[C@@H](CC(=O)NC[C@@H](C)NCc1ccccc1C#N)C(C)C ZINC001567071582 1190020551 /nfs/dbraw/zinc/02/05/51/1190020551.db2.gz UBRZVJDNVMRGBZ-CVEARBPZSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)C[C@@H](CC)C(C)C ZINC001567071304 1190020571 /nfs/dbraw/zinc/02/05/71/1190020571.db2.gz NFJDWLGTJQJETM-QWHCGFSZSA-N 0 1 319.287 3.062 20 30 DGEDMN Cc1cc(C)cc(C(=O)NC[C@H](C)NCc2ccccc2C#N)c1 ZINC001567072782 1190021616 /nfs/dbraw/zinc/02/16/16/1190021616.db2.gz NKUWEVYSEDWNAS-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN Cc1cccc(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)c1C ZINC001567074128 1190021740 /nfs/dbraw/zinc/02/17/40/1190021740.db2.gz JAOOXDYOMYKGLB-QGZVFWFLSA-N 0 1 320.436 3.063 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2cnoc2C)CCCCC1 ZINC001567080151 1190024609 /nfs/dbraw/zinc/02/46/09/1190024609.db2.gz JRLXVALJTVGEJN-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC1C2CC3CC(C2)CC1C3 ZINC001567080995 1190024914 /nfs/dbraw/zinc/02/49/14/1190024914.db2.gz YWJSSDGJIFJQRH-CNSOWSRNSA-N 0 1 324.896 3.296 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001567101673 1190030266 /nfs/dbraw/zinc/03/02/66/1190030266.db2.gz ZMDUKHMPYQUFRB-BBRMVZONSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2c(C)nsc2C)C1 ZINC001567188306 1190057202 /nfs/dbraw/zinc/05/72/02/1190057202.db2.gz KXIWCXAKNRJSOX-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN CCC(CC)C(=O)NCC[C@H](C)NCc1cc(F)ccc1C#N ZINC001567209287 1190071280 /nfs/dbraw/zinc/07/12/80/1190071280.db2.gz HEHWXRKTCDTXJC-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(c2cccc(C)c2)CCC1 ZINC001567376420 1190159170 /nfs/dbraw/zinc/15/91/70/1190159170.db2.gz HAMMUTZEVAAHTI-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001567379845 1190160935 /nfs/dbraw/zinc/16/09/35/1190160935.db2.gz DOCPRMVAMMSWPK-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(Cc1cccnc1C)C1CC1 ZINC001567448427 1190198982 /nfs/dbraw/zinc/19/89/82/1190198982.db2.gz AWAWIDOQUQFZRO-IBGZPJMESA-N 0 1 315.461 3.073 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(Cc1cncc(C)c1)C1CC1 ZINC001567448816 1190199300 /nfs/dbraw/zinc/19/93/00/1190199300.db2.gz HZZNOLLVFYYSSG-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN CC(C)[C@H](C)C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001567568956 1190220468 /nfs/dbraw/zinc/22/04/68/1190220468.db2.gz FFTMTKJVQIYAJC-HNNXBMFYSA-N 0 1 320.864 3.032 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](F)CC1CCCCC1 ZINC001567590574 1190222971 /nfs/dbraw/zinc/22/29/71/1190222971.db2.gz DOQZJWJICLAHNS-AWEZNQCLSA-N 0 1 304.837 3.095 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCc1ccc(Cl)s1 ZINC001567604870 1190225045 /nfs/dbraw/zinc/22/50/45/1190225045.db2.gz OEVJMVGGQLBFET-UHFFFAOYSA-N 0 1 321.273 3.135 20 30 DGEDMN C#CCN[C@@H](CNC(=O)Cc1ccoc1)c1ccc(C(C)C)cc1 ZINC001567653878 1190237535 /nfs/dbraw/zinc/23/75/35/1190237535.db2.gz FRQPVUSYMSYPLH-IBGZPJMESA-N 0 1 324.424 3.026 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001567682131 1190250450 /nfs/dbraw/zinc/25/04/50/1190250450.db2.gz JKLRGCGOXAPQBT-MSOLQXFVSA-N 0 1 316.489 3.293 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)C2CCCC2)C1 ZINC001567689729 1190255175 /nfs/dbraw/zinc/25/51/75/1190255175.db2.gz WYBWLGNTRYEDEX-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2sc(C)nc2C)C1 ZINC001567692413 1190256481 /nfs/dbraw/zinc/25/64/81/1190256481.db2.gz AFTFOJPBUDNGIZ-OAHLLOKOSA-N 0 1 321.490 3.263 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C(C)C)C1 ZINC001567745503 1190284012 /nfs/dbraw/zinc/28/40/12/1190284012.db2.gz QYPLTCKKZYJVCX-LJQANCHMSA-N 0 1 312.457 3.159 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)Cc2ccccc2)C1 ZINC001567778881 1190296356 /nfs/dbraw/zinc/29/63/56/1190296356.db2.gz SBHSUHNEECSHEC-SFHVURJKSA-N 0 1 314.473 3.412 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001567891906 1190336391 /nfs/dbraw/zinc/33/63/91/1190336391.db2.gz ZIISSLAEUXSLLQ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001567912798 1190349625 /nfs/dbraw/zinc/34/96/25/1190349625.db2.gz YOAFXRNESJAOKG-QAPCUYQASA-N 0 1 316.420 3.079 20 30 DGEDMN C#CCCCCCC(=O)N(C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001567918921 1190352989 /nfs/dbraw/zinc/35/29/89/1190352989.db2.gz RDMFTKUKZHLAAW-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001567921252 1190353384 /nfs/dbraw/zinc/35/33/84/1190353384.db2.gz TXYRDMXJYGCDKY-INIZCTEOSA-N 0 1 306.475 3.446 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(Cl)c(Cl)[nH]1 ZINC001567971151 1190381861 /nfs/dbraw/zinc/38/18/61/1190381861.db2.gz ZOWJGXLKJSGHRF-MRVPVSSYSA-N 0 1 324.639 3.172 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001567990294 1190390159 /nfs/dbraw/zinc/39/01/59/1190390159.db2.gz DLOZKYGNGPGHFD-YOEHRIQHSA-N 0 1 322.880 3.411 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](CCNCc2cc(C)on2)C1 ZINC001568057771 1190411580 /nfs/dbraw/zinc/41/15/80/1190411580.db2.gz IZFYLWMPNZKUOJ-MRXNPFEDSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1ccc(F)cc1 ZINC001568142804 1190434479 /nfs/dbraw/zinc/43/44/79/1190434479.db2.gz VJALCPVXJOSDBH-MRXNPFEDSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CCCCCCN1CCO[C@H](CNC(=O)C2CCCCC2)C1 ZINC001568212724 1190453519 /nfs/dbraw/zinc/45/35/19/1190453519.db2.gz DGPZKYICPRPCOO-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001568240539 1190459467 /nfs/dbraw/zinc/45/94/67/1190459467.db2.gz LFKMSFJQCJRWNE-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CCCC1CCCCC1)CC2 ZINC001568254120 1190463132 /nfs/dbraw/zinc/46/31/32/1190463132.db2.gz ZJXWPWFZGFRYLK-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001568287087 1190473193 /nfs/dbraw/zinc/47/31/93/1190473193.db2.gz DPLGHBNKYXIULJ-WBMJQRKESA-N 0 1 324.827 3.045 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001568314357 1190487167 /nfs/dbraw/zinc/48/71/67/1190487167.db2.gz LEEPYPUIZJOZMW-ZZFFKQLHSA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1Cc2ccccc21 ZINC001568333376 1190494026 /nfs/dbraw/zinc/49/40/26/1190494026.db2.gz APABLABUWCZPPF-DOTOQJQBSA-N 0 1 320.864 3.199 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)CCc1ccco1 ZINC001568419310 1190515911 /nfs/dbraw/zinc/51/59/11/1190515911.db2.gz NVERGFJYLNXBDB-XJKSGUPXSA-N 0 1 324.852 3.181 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001568443704 1190523356 /nfs/dbraw/zinc/52/33/56/1190523356.db2.gz HGNCUKYHZZUSMQ-KWJIGKFDSA-N 0 1 313.445 3.145 20 30 DGEDMN CN(CCN(C)C(=O)CC(C)(C)C)Cc1ccc(F)c(C#N)c1 ZINC001568474406 1190534426 /nfs/dbraw/zinc/53/44/26/1190534426.db2.gz HBFQMIZPCFDKJQ-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@@H](C)CCNCc1cc(F)ccc1C#N ZINC001568609917 1190568094 /nfs/dbraw/zinc/56/80/94/1190568094.db2.gz ZMEDFZJSCQRVDD-UUSOHVMFSA-N 0 1 317.408 3.038 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001568609916 1190568477 /nfs/dbraw/zinc/56/84/77/1190568477.db2.gz ZMEDFZJSCQRVDD-MECSIWFOSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C)c(F)c1F ZINC001568778896 1190588024 /nfs/dbraw/zinc/58/80/24/1190588024.db2.gz KWNQUZLODYMYAI-UHFFFAOYSA-N 0 1 316.779 3.077 20 30 DGEDMN CCCCC(=O)NC/C=C/CNCC#Cc1ccc(Cl)cc1 ZINC001568817016 1190592079 /nfs/dbraw/zinc/59/20/79/1190592079.db2.gz DHEKYCHQZGOGDH-SNAWJCMRSA-N 0 1 318.848 3.144 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1cccc(F)c1F ZINC001568898718 1190597589 /nfs/dbraw/zinc/59/75/89/1190597589.db2.gz PEIDPLSXTIJNCT-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1cccc(F)c1F ZINC001568914709 1190599501 /nfs/dbraw/zinc/59/95/01/1190599501.db2.gz ZTKTWIJBJPBRCE-MJRGOJFPSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)CCC(C)(C)C ZINC001568952243 1190601916 /nfs/dbraw/zinc/60/19/16/1190601916.db2.gz VTRUHUBHVPANMC-FWWRYZNZSA-N 0 1 300.874 3.463 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)CCC(C)(C)C ZINC001568952244 1190602005 /nfs/dbraw/zinc/60/20/05/1190602005.db2.gz VTRUHUBHVPANMC-KTRBRXNASA-N 0 1 300.874 3.463 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001568996752 1190606190 /nfs/dbraw/zinc/60/61/90/1190606190.db2.gz RERLQXQFSYPVTD-MLGOLLRUSA-N 0 1 322.399 3.403 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)c1ccc(CC)cc1 ZINC001569024991 1190610128 /nfs/dbraw/zinc/61/01/28/1190610128.db2.gz GBUNMKUOMMPCSN-ZIAGYGMSSA-N 0 1 308.853 3.199 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@H](CC)c1ccccc1 ZINC001569146666 1190641472 /nfs/dbraw/zinc/64/14/72/1190641472.db2.gz JKJIKTRPNWVELE-SJORKVTESA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1cc(C)on1 ZINC001569163300 1190647903 /nfs/dbraw/zinc/64/79/03/1190647903.db2.gz CMUAAAVNYHUUQL-NVXWUHKLSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@H]1CNCc1cc(C)on1 ZINC001569163298 1190648156 /nfs/dbraw/zinc/64/81/56/1190648156.db2.gz CMUAAAVNYHUUQL-DOTOQJQBSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1csc(Cl)c1)C1CC1 ZINC001569321408 1190666417 /nfs/dbraw/zinc/66/64/17/1190666417.db2.gz OIHDLDIQYZOSGF-LLVKDONJSA-N 0 1 319.257 3.252 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccn(C(C)C)c1C)C1CC1 ZINC001569316534 1190664991 /nfs/dbraw/zinc/66/49/91/1190664991.db2.gz IOUAWVHYEREKAU-INIZCTEOSA-N 0 1 323.868 3.228 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccccc1CC ZINC001569353504 1190673904 /nfs/dbraw/zinc/67/39/04/1190673904.db2.gz FMWJKAZKDVBEGC-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1ccc(C(F)F)nc1 ZINC001569362206 1190676379 /nfs/dbraw/zinc/67/63/79/1190676379.db2.gz WZFFBDHPWMMXPG-TZMCWYRMSA-N 0 1 323.387 3.178 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](Cc1ccccc1)C(C)C ZINC001569373228 1190680748 /nfs/dbraw/zinc/68/07/48/1190680748.db2.gz LIFGHWOSAULIQD-RTBURBONSA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1sc(C(C)C)nc1C ZINC001569382623 1190687154 /nfs/dbraw/zinc/68/71/54/1190687154.db2.gz BAIYZBMRACLPHK-CQSZACIVSA-N 0 1 321.490 3.345 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001569419481 1190694457 /nfs/dbraw/zinc/69/44/57/1190694457.db2.gz IVOUGTTVYXVBLK-UHFFFAOYSA-N 0 1 318.436 3.475 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)c(C)s1 ZINC001569563055 1190717254 /nfs/dbraw/zinc/71/72/54/1190717254.db2.gz HYWFFPBIEPSQSA-ZDUSSCGKSA-N 0 1 312.866 3.312 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)Cc2ccc(C3CCC3)cc2)CC1 ZINC001569574845 1190719373 /nfs/dbraw/zinc/71/93/73/1190719373.db2.gz XIWAHDQAHPKIFB-UHFFFAOYSA-N 0 1 324.468 3.101 20 30 DGEDMN CCCC(=O)N[C@@H](CNCc1ccccc1C#N)C1CCCC1 ZINC001569598732 1190721179 /nfs/dbraw/zinc/72/11/79/1190721179.db2.gz LEPFVOSKUMVOGY-SFHVURJKSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(F)CCCC1)C1CCCC1 ZINC001569606479 1190722322 /nfs/dbraw/zinc/72/23/22/1190722322.db2.gz PITJNGTVTHOIKW-AWEZNQCLSA-N 0 1 316.848 3.286 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(C)CCN(Cc2ccc(C)nc2C)C1 ZINC001569646577 1190731492 /nfs/dbraw/zinc/73/14/92/1190731492.db2.gz NYHURRRDZGVMCN-IBGZPJMESA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)Cc2occc2C)CC1 ZINC001569704384 1190743623 /nfs/dbraw/zinc/74/36/23/1190743623.db2.gz LTAZJMYFLRFEND-UHFFFAOYSA-N 0 1 324.852 3.101 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](CC)CCC)C1 ZINC001569740395 1190752722 /nfs/dbraw/zinc/75/27/22/1190752722.db2.gz BQUJLSHCSUMDMR-KGLIPLIRSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)c2ccccc2)C1 ZINC001569741303 1190753192 /nfs/dbraw/zinc/75/31/92/1190753192.db2.gz VROQUCZVJOUYHG-ZBFHGGJFSA-N 0 1 322.399 3.050 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](C)C(C)C)c1ccccc1CC ZINC001569801680 1190755647 /nfs/dbraw/zinc/75/56/47/1190755647.db2.gz GGEMTAJORSFQFA-VQIMIIECSA-N 0 1 314.473 3.311 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCC1(C)C)c1ccccc1CC ZINC001569802220 1190756002 /nfs/dbraw/zinc/75/60/02/1190756002.db2.gz QRVNGQSQORGBKI-ZWKOTPCHSA-N 0 1 312.457 3.065 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)CCCCC ZINC001569914637 1190772880 /nfs/dbraw/zinc/77/28/80/1190772880.db2.gz IBQRSCHBVZZCSE-IRXDYDNUSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCC3(C)CC3)cccc2C1 ZINC001570079078 1190791876 /nfs/dbraw/zinc/79/18/76/1190791876.db2.gz KTANXIWFZMUGNE-UHFFFAOYSA-N 0 1 312.457 3.427 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cccn1C(C)C ZINC001570093754 1190793822 /nfs/dbraw/zinc/79/38/22/1190793822.db2.gz RTHNXEQCXHNPIE-OAHLLOKOSA-N 0 1 323.868 3.406 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3c(s2)CCC3)CCC1 ZINC001570124923 1190800952 /nfs/dbraw/zinc/80/09/52/1190800952.db2.gz BVTVZGJGCMMFQF-UHFFFAOYSA-N 0 1 324.877 3.231 20 30 DGEDMN CN(CCCN(C)C(=O)c1ccccc1)Cc1ccccc1C#N ZINC001570189053 1190812948 /nfs/dbraw/zinc/81/29/48/1190812948.db2.gz KTYGOMGNYOIXTE-UHFFFAOYSA-N 0 1 321.424 3.152 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3(F)CCCC3)cc2C1 ZINC001570313212 1190838739 /nfs/dbraw/zinc/83/87/39/1190838739.db2.gz ZSZLQUDOUYFWQM-UHFFFAOYSA-N 0 1 316.420 3.477 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)C=C1CCC1 ZINC001570527698 1190876819 /nfs/dbraw/zinc/87/68/19/1190876819.db2.gz KOVWXTPHGZWSJF-FQEVSTJZSA-N 0 1 322.452 3.071 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1cccc(Cl)c1F ZINC001570540230 1190880951 /nfs/dbraw/zinc/88/09/51/1190880951.db2.gz MMFOFEWCKGWOLQ-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(Cl)c1F ZINC001570540230 1190880955 /nfs/dbraw/zinc/88/09/55/1190880955.db2.gz MMFOFEWCKGWOLQ-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CCC=C)c1ccccc1 ZINC001570548908 1190885565 /nfs/dbraw/zinc/88/55/65/1190885565.db2.gz RUOOBWXIEWOYFS-VQTJNVASSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(C)cc(F)cc1C ZINC001570623776 1190904018 /nfs/dbraw/zinc/90/40/18/1190904018.db2.gz FMMLHHWGGPKIRN-STQMWFEESA-N 0 1 312.816 3.291 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2cccc(Cl)c2o1 ZINC001570755485 1190946111 /nfs/dbraw/zinc/94/61/11/1190946111.db2.gz RIDFLXSNBDOCPO-LBPRGKRZSA-N 0 1 318.804 3.160 20 30 DGEDMN CC(C)=CC(=O)NC[C@H]1CCCCCN1CC#Cc1ccccc1 ZINC001570815841 1190956037 /nfs/dbraw/zinc/95/60/37/1190956037.db2.gz LSXUVPALGKRMHQ-HXUWFJFHSA-N 0 1 324.468 3.365 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001570853466 1190966487 /nfs/dbraw/zinc/96/64/87/1190966487.db2.gz BWDHPULROKVUFI-GUYCJALGSA-N 0 1 306.475 3.287 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)CC(C)C)C2)C1 ZINC001570881603 1190969357 /nfs/dbraw/zinc/96/93/57/1190969357.db2.gz BUPHLXXLJJHYKD-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H](C)NCc1c(C)onc1CC ZINC001571389530 1191011415 /nfs/dbraw/zinc/01/14/15/1191011415.db2.gz OGJFAJYUFZMMTD-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C)CCCC1)C(C)(C)C ZINC001571629010 1191068084 /nfs/dbraw/zinc/06/80/84/1191068084.db2.gz BTSGPCWKXSCDQG-ZDUSSCGKSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2cccc(C(C)C)n2)C[C@H]1C ZINC001571473273 1191026752 /nfs/dbraw/zinc/02/67/52/1191026752.db2.gz OHAIGDWKIMJDGB-WBVHZDCISA-N 0 1 315.461 3.250 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)nc(C)c1)C(C)(C)C ZINC001571624412 1191067459 /nfs/dbraw/zinc/06/74/59/1191067459.db2.gz JAVSBQZDGCZGHQ-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C=C2CCCCC2)c(F)c1 ZINC001571702240 1191085891 /nfs/dbraw/zinc/08/58/91/1191085891.db2.gz MTSNBFXOEFAEMO-UHFFFAOYSA-N 0 1 314.404 3.055 20 30 DGEDMN C#C[C@@H](NCC1(OC)CCC1)c1ccc(Br)cc1 ZINC001621356412 1191441611 /nfs/dbraw/zinc/44/16/11/1191441611.db2.gz ABQZRSYUGPPAKX-CQSZACIVSA-N 0 1 308.219 3.282 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)[C@@H]1CNc2ccccc2[C@@H]1O ZINC001574933348 1191458673 /nfs/dbraw/zinc/45/86/73/1191458673.db2.gz QNFGEFAXZVZYMW-UXHICEINSA-N 0 1 319.408 3.074 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccccc1COC(C)(C)CC ZINC001574969814 1191460459 /nfs/dbraw/zinc/46/04/59/1191460459.db2.gz NKJFPLMPCDTRCO-OAHLLOKOSA-N 0 1 302.418 3.071 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1ccc(N2CCCCC2)c(Cl)c1 ZINC001574970370 1191460835 /nfs/dbraw/zinc/46/08/35/1191460835.db2.gz UTSMOETYKYZREU-HNNXBMFYSA-N 0 1 319.836 3.010 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(Oc2ccc(C)c(C)c2)nc1 ZINC001574969426 1191460845 /nfs/dbraw/zinc/46/08/45/1191460845.db2.gz IVJNXUBNWWZDCR-QGZVFWFLSA-N 0 1 323.396 3.170 20 30 DGEDMN CC#CC[C@H](N)C(=O)Nc1cccc(Nc2ccc(OC)cc2)c1 ZINC001574970712 1191461238 /nfs/dbraw/zinc/46/12/38/1191461238.db2.gz YNWWVYBJAMMDTA-SFHVURJKSA-N 0 1 323.396 3.118 20 30 DGEDMN C=CCCC[C@H](NC(=O)CCCc1c[nH]nn1)c1ccccc1 ZINC001575094071 1191465728 /nfs/dbraw/zinc/46/57/28/1191465728.db2.gz TWWGOLPYILDLAT-KRWDZBQOSA-N 0 1 312.417 3.341 20 30 DGEDMN C=CCCC[C@H](NC(=O)CCCc1cnn[nH]1)c1ccccc1 ZINC001575094071 1191465730 /nfs/dbraw/zinc/46/57/30/1191465730.db2.gz TWWGOLPYILDLAT-KRWDZBQOSA-N 0 1 312.417 3.341 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)Cc1cccc(Cl)c1Cl ZINC001575117965 1191466832 /nfs/dbraw/zinc/46/68/32/1191466832.db2.gz ITTKTUGSVAGYKL-UHFFFAOYSA-N 0 1 309.200 3.137 20 30 DGEDMN C#CC1CCN(Cc2c3ccccc3oc2C(=O)OCC)CC1 ZINC001618792309 1193752231 /nfs/dbraw/zinc/75/22/31/1193752231.db2.gz TXOMPTYUOJEBGT-UHFFFAOYSA-N 0 1 311.381 3.455 20 30 DGEDMN CN(CCCN(C(=O)OC(C)(C)C)C1CC1)[C@@H]1CCC[C@H]1C#N ZINC001620487904 1193771748 /nfs/dbraw/zinc/77/17/48/1193771748.db2.gz IZSNSNBQAQFVNE-GOEBONIOSA-N 0 1 321.465 3.400 20 30 DGEDMN CN(CCCN(C(=O)OC(C)(C)C)C1CC1)[C@@H]1CCC[C@@H]1C#N ZINC001620487903 1193771895 /nfs/dbraw/zinc/77/18/95/1193771895.db2.gz IZSNSNBQAQFVNE-GDBMZVCRSA-N 0 1 321.465 3.400 20 30 DGEDMN CC1(C)[C@H]2[C@H](CCCN2CC#Cc2cccc(Cl)c2)[C@@H]1O ZINC001620490774 1193772287 /nfs/dbraw/zinc/77/22/87/1193772287.db2.gz KYMQPLHKLBGWHZ-BBWFWOEESA-N 0 1 303.833 3.173 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3c(F)cccc3Cl)[nH]c2c1 ZINC001623605418 1193940737 /nfs/dbraw/zinc/94/07/37/1193940737.db2.gz ZHHMKFQSNPGUBL-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN C[C@@H](NC(=O)c1ccc(CN2CCCC2)o1)c1ccc(C#N)cc1 ZINC001625328951 1194044946 /nfs/dbraw/zinc/04/49/46/1194044946.db2.gz SEMXVDWQINFVFY-CQSZACIVSA-N 0 1 323.396 3.238 20 30 DGEDMN N#CC[C@H](CC(=O)NCc1ccc2nc[nH]c2c1)c1ccccc1 ZINC001628079515 1194159329 /nfs/dbraw/zinc/15/93/29/1194159329.db2.gz BEIKEPURAJNPBD-MRXNPFEDSA-N 0 1 318.380 3.267 20 30 DGEDMN C=C(CC)C(=O)N1CCN(Cc2cccc3ccccc32)CC1 ZINC001628708053 1194192962 /nfs/dbraw/zinc/19/29/62/1194192962.db2.gz FGBJWQFKPAELOT-UHFFFAOYSA-N 0 1 308.425 3.450 20 30 DGEDMN C=CC[C@@H]1CCN(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)C1 ZINC001629520174 1194239422 /nfs/dbraw/zinc/23/94/22/1194239422.db2.gz MJOFCVDLSPXQTI-RHSMWYFYSA-N 0 1 319.424 3.036 20 30 DGEDMN N#CCOc1cc(F)ccc1NC(=O)c1ccc(O)c(Cl)c1 ZINC001630477491 1194288373 /nfs/dbraw/zinc/28/83/73/1194288373.db2.gz FXTMDVWMGBQLPD-UHFFFAOYSA-N 0 1 320.707 3.339 20 30 DGEDMN C#CCN(CC1CC1)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001630792277 1194304444 /nfs/dbraw/zinc/30/44/44/1194304444.db2.gz CNXXSPNINJWNAQ-UHFFFAOYSA-N 0 1 313.788 3.216 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2C[C@@H]2c2c(F)cccc2F)c1 ZINC001631983184 1194365071 /nfs/dbraw/zinc/36/50/71/1194365071.db2.gz OPXBRVRQUFFHEC-STQMWFEESA-N 0 1 314.291 3.050 20 30 DGEDMN Cc1cccc(C)c1OCCC(=O)NOc1cccc(C#N)c1 ZINC001631983245 1194365197 /nfs/dbraw/zinc/36/51/97/1194365197.db2.gz QSZMUSKQLFXHEI-UHFFFAOYSA-N 0 1 310.353 3.054 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C[C@@H]2CCC(C)(C)CO2)C1 ZINC001671149241 1194372072 /nfs/dbraw/zinc/37/20/72/1194372072.db2.gz PDVLIIRUPVLDDW-RDJZCZTQSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(CC(C)C)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC001634192704 1194479271 /nfs/dbraw/zinc/47/92/71/1194479271.db2.gz LYEBWKSSDLHSDS-UHFFFAOYSA-N 0 1 309.413 3.466 20 30 DGEDMN C=C[C@@H](C(=O)N1CC(N(C)Cc2ccccc2)C1)c1ccccc1 ZINC001635208527 1194537620 /nfs/dbraw/zinc/53/76/20/1194537620.db2.gz UDUVZYQMGJKUQW-HXUWFJFHSA-N 0 1 320.436 3.299 20 30 DGEDMN N#Cc1cc(NC(=O)[C@H]2CCN2C2CCCC2)ccc1C1CC1 ZINC001636741455 1194631361 /nfs/dbraw/zinc/63/13/61/1194631361.db2.gz BOGLHXGZIPZPFA-GOSISDBHSA-N 0 1 309.413 3.391 20 30 DGEDMN C=CCC1(C(=O)NCCCCc2ccnc(N)c2)CCCC1 ZINC001637968066 1194695369 /nfs/dbraw/zinc/69/53/69/1194695369.db2.gz SRHGHEULJLAGMT-UHFFFAOYSA-N 0 1 301.434 3.239 20 30 DGEDMN CSc1ccc(CNC(=O)c2cccc3nc[nH]c32)cc1C#N ZINC001638253134 1194709149 /nfs/dbraw/zinc/70/91/49/1194709149.db2.gz NTCKPVFITLUXFZ-UHFFFAOYSA-N 0 1 322.393 3.086 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC001639223979 1194756073 /nfs/dbraw/zinc/75/60/73/1194756073.db2.gz GQCNSWZUWZBPHA-KBPBESRZSA-N 0 1 319.424 3.306 20 30 DGEDMN C=CCN(CCC#N)C(=O)c1cc(-c2ccccc2OCC)[nH]n1 ZINC001641425552 1194853239 /nfs/dbraw/zinc/85/32/39/1194853239.db2.gz RHWAEGRQDVSLBW-UHFFFAOYSA-N 0 1 324.384 3.017 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)n2)c1 ZINC001641929445 1194879367 /nfs/dbraw/zinc/87/93/67/1194879367.db2.gz BBAWDMGYVPTDEN-ZSOGYDGISA-N 0 1 321.384 3.108 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C1CN(CC(C)C)C1)c1ccccc1 ZINC001671202147 1194888886 /nfs/dbraw/zinc/88/88/86/1194888886.db2.gz OGZIVXHYTMHKEF-GOSISDBHSA-N 0 1 300.446 3.145 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(c2ccncc2C#N)CC1 ZINC001642325822 1194901943 /nfs/dbraw/zinc/90/19/43/1194901943.db2.gz MOAVPYBXVNPXGF-GOSISDBHSA-N 0 1 306.413 3.227 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001671916586 1194922984 /nfs/dbraw/zinc/92/29/84/1194922984.db2.gz OUFKNFNRWCIJLK-LPHOPBHVSA-N 0 1 304.478 3.054 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc(F)cc2Cl)c1 ZINC001642625802 1194923965 /nfs/dbraw/zinc/92/39/65/1194923965.db2.gz ZFKJXVBKCMNEIT-UHFFFAOYSA-N 0 1 304.708 3.003 20 30 DGEDMN C[C@H](CSc1cccc(Cl)c1C#N)CN1CCOCC1 ZINC001643815858 1195056212 /nfs/dbraw/zinc/05/62/12/1195056212.db2.gz HOBGJBUNMZCTIE-LBPRGKRZSA-N 0 1 310.850 3.272 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1ccc(Nc2ccncc2)cc1 ZINC001644303969 1195098460 /nfs/dbraw/zinc/09/84/60/1195098460.db2.gz KOQGHSKGMWACBY-UHFFFAOYSA-N 0 1 318.336 3.273 20 30 DGEDMN C=CCOCCN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001644400187 1195106051 /nfs/dbraw/zinc/10/60/51/1195106051.db2.gz MSRWULQNQSTTKO-SFHVURJKSA-N 0 1 306.425 3.097 20 30 DGEDMN C=C[C@H](O)C(=O)Nc1ccccc1-c1nc2ccc(C)cc2[nH]1 ZINC001644476728 1195111691 /nfs/dbraw/zinc/11/16/91/1195111691.db2.gz DJPPYJFOAJTMIF-INIZCTEOSA-N 0 1 307.353 3.024 20 30 DGEDMN C[Si](C)(C)C#CCCNC(=O)c1n[nH]c2ccc(Cl)cc21 ZINC001645187456 1195164473 /nfs/dbraw/zinc/16/44/73/1195164473.db2.gz MFLBSBADXAAIGX-UHFFFAOYSA-N 0 1 319.868 3.217 20 30 DGEDMN CC[C@@](C)(O)CN(Cc1ccccc1)Cc1cc(C#N)ccn1 ZINC001645323095 1195175637 /nfs/dbraw/zinc/17/56/37/1195175637.db2.gz LXUGBIQCGAKLJX-LJQANCHMSA-N 0 1 309.413 3.116 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001646080205 1195213220 /nfs/dbraw/zinc/21/32/20/1195213220.db2.gz DUBQHXYCQVSQQZ-GOSISDBHSA-N 0 1 320.371 3.017 20 30 DGEDMN C=CCC[C@@H](C(=O)N[C@@H](C)C1=NN(C)CC1=O)c1ccccc1 ZINC001646316772 1195221519 /nfs/dbraw/zinc/22/15/19/1195221519.db2.gz GXWQJKAIULIRKJ-DZGCQCFKSA-N 0 1 313.401 3.053 20 30 DGEDMN N#Cc1ccsc1NC(=O)CN1C[C@@H](C2CC2)C[C@H]1C1CC1 ZINC001648484898 1195303807 /nfs/dbraw/zinc/30/38/07/1195303807.db2.gz VNMRXHSBSXDJKT-GJZGRUSLSA-N 0 1 315.442 3.069 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@H]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC001648732118 1195326502 /nfs/dbraw/zinc/32/65/02/1195326502.db2.gz YUOHVWWPHSGPMI-SJORKVTESA-N 0 1 310.328 3.301 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC001648732116 1195326675 /nfs/dbraw/zinc/32/66/75/1195326675.db2.gz YUOHVWWPHSGPMI-IAGOWNOFSA-N 0 1 310.328 3.301 20 30 DGEDMN N#Cc1cc(Sc2nc(-c3cc(F)cc(F)c3)n[nH]2)ccn1 ZINC001650790614 1195382851 /nfs/dbraw/zinc/38/28/51/1195382851.db2.gz GXULHNFXDKQQFO-UHFFFAOYSA-N 0 1 315.308 3.168 20 30 DGEDMN C#CC1(NCc2cc(C#N)ccc2Br)CCCC1 ZINC001651472145 1195467326 /nfs/dbraw/zinc/46/73/26/1195467326.db2.gz MBNYTTLFOKDIDH-UHFFFAOYSA-N 0 1 303.203 3.356 20 30 DGEDMN Cc1ccc(C=Cc2n[nH]c(SCc3cncc(C#N)c3)n2)o1 ZINC001652589241 1195623170 /nfs/dbraw/zinc/62/31/70/1195623170.db2.gz TZDRGQXOMBXVNU-PLNGDYQASA-N 0 1 323.381 3.436 20 30 DGEDMN C=CC[C@H](C)N1CCN(C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001653004891 1195678974 /nfs/dbraw/zinc/67/89/74/1195678974.db2.gz NCCJZEBZLPZNJY-ZDUSSCGKSA-N 0 1 307.825 3.454 20 30 DGEDMN O=C(CC1CCCC1)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001673139150 1195696930 /nfs/dbraw/zinc/69/69/30/1195696930.db2.gz IEMISLRNKXIUSU-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C#C[C@@H](NCc1cc(Br)ccc1C)[C@@H]1CCCO1 ZINC001653348511 1195712806 /nfs/dbraw/zinc/71/28/06/1195712806.db2.gz DODXAIOIYRZUEJ-CABCVRRESA-N 0 1 308.219 3.028 20 30 DGEDMN C#C[C@H]1CCCCN1C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001653456123 1195723486 /nfs/dbraw/zinc/72/34/86/1195723486.db2.gz CUJBEXTZIXOAKK-AWEZNQCLSA-N 0 1 313.788 3.358 20 30 DGEDMN CN1CCN([C@@H](C#N)c2ccccc2)C[C@@H]1Cc1ccccc1 ZINC001653537673 1195732732 /nfs/dbraw/zinc/73/27/32/1195732732.db2.gz JJFQEXDOOWLEFA-PMACEKPBSA-N 0 1 305.425 3.110 20 30 DGEDMN C=CCN(CC(=O)Nc1ccc(C(=O)OCC)cc1)C(C)(C)C ZINC001654264458 1195789131 /nfs/dbraw/zinc/78/91/31/1195789131.db2.gz MJYFFQWLYFOBCN-UHFFFAOYSA-N 0 1 318.417 3.088 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C3CCCCCC3)n2)c1 ZINC001654862291 1195848782 /nfs/dbraw/zinc/84/87/82/1195848782.db2.gz MXTZDOYUUABMFF-UHFFFAOYSA-N 0 1 309.373 3.252 20 30 DGEDMN CC1(C)CC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001654862389 1195849259 /nfs/dbraw/zinc/84/92/59/1195849259.db2.gz RNPMVKKHULEFPI-ZDUSSCGKSA-N 0 1 309.373 3.108 20 30 DGEDMN N#Cc1sccc1N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001655053799 1195871014 /nfs/dbraw/zinc/87/10/14/1195871014.db2.gz DAVGYEPDJLPFHB-UHFFFAOYSA-N 0 1 301.459 3.322 20 30 DGEDMN CN(CC[C@@H]1CCCCN1CC1(C#N)CC1)C(=O)OC(C)(C)C ZINC001655148170 1195882572 /nfs/dbraw/zinc/88/25/72/1195882572.db2.gz JSGWENAWQNKBIT-HNNXBMFYSA-N 0 1 321.465 3.402 20 30 DGEDMN Cc1ccc2nc(CNc3nc(C#N)c(Cl)s3)[nH]c2c1 ZINC001655205813 1195890663 /nfs/dbraw/zinc/89/06/63/1195890663.db2.gz IOWNXXJPGIUXBL-UHFFFAOYSA-N 0 1 303.778 3.465 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3ccccc3CF)CC2)cc1 ZINC001655357675 1195907687 /nfs/dbraw/zinc/90/76/87/1195907687.db2.gz KRQQAHIKLQWDDV-UHFFFAOYSA-N 0 1 309.388 3.350 20 30 DGEDMN CC[C@H](C)[C@@H](C(=O)OC)N1CCC(c2ccc(C#N)cc2)CC1 ZINC001655734217 1195958300 /nfs/dbraw/zinc/95/83/00/1195958300.db2.gz ZYGAAHRSWIXNKI-KSSFIOAISA-N 0 1 314.429 3.325 20 30 DGEDMN C=CC[C@H]1CCN1[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001655815335 1195965749 /nfs/dbraw/zinc/96/57/49/1195965749.db2.gz BOEHKXGFNPRESG-DZGCQCFKSA-N 0 1 324.346 3.461 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(C)CCC(F)(F)CC1 ZINC001656078286 1195995869 /nfs/dbraw/zinc/99/58/69/1195995869.db2.gz YACIHISSMWKXTL-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCC(C)(C)c1ccccc1 ZINC001656112492 1196005143 /nfs/dbraw/zinc/00/51/43/1196005143.db2.gz BWXJTMNSGOSXBF-GOSISDBHSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCC[C@@H]1CCCN1Cc1nnnn1-c1c(C)cccc1C ZINC001656376625 1196033868 /nfs/dbraw/zinc/03/38/68/1196033868.db2.gz AMNPZLHZXMQUAV-MRXNPFEDSA-N 0 1 311.433 3.210 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001656711403 1196064724 /nfs/dbraw/zinc/06/47/24/1196064724.db2.gz UWKNUWUCHVFWAH-JKSUJKDBSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cncs2)[C@@H]1CC ZINC001656731199 1196066619 /nfs/dbraw/zinc/06/66/19/1196066619.db2.gz WOVWLFRKRAEBGJ-LSDHHAIUSA-N 0 1 321.490 3.215 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc2c(c1)ncn2C ZINC001657232017 1196120779 /nfs/dbraw/zinc/12/07/79/1196120779.db2.gz XLWKQWCMOYJCHN-UHFFFAOYSA-N 0 1 307.397 3.428 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](N(C)c2ccc(C)cc2)C1 ZINC001657911565 1196192808 /nfs/dbraw/zinc/19/28/08/1196192808.db2.gz BMCMCEHKNWCMKR-MSOLQXFVSA-N 0 1 316.445 3.013 20 30 DGEDMN N#CC1(CNCc2ccc(CC(F)(F)F)cc2)CCOCC1 ZINC001658148514 1196217415 /nfs/dbraw/zinc/21/74/15/1196217415.db2.gz QFKLWNYVDMUBTL-UHFFFAOYSA-N 0 1 312.335 3.201 20 30 DGEDMN CN(C)c1ccc(F)cc1CNCc1ccc(C#N)c(F)c1 ZINC001658350684 1196245726 /nfs/dbraw/zinc/24/57/26/1196245726.db2.gz GCWMTBKBPQUWPL-UHFFFAOYSA-N 0 1 301.340 3.192 20 30 DGEDMN C[C@@H]1C[C@H](C(=O)OC(C)(C)C)CN(CC#Cc2ccccc2)C1 ZINC001658375204 1196247652 /nfs/dbraw/zinc/24/76/52/1196247652.db2.gz OYGPPGLYKJONCP-AEFFLSMTSA-N 0 1 313.441 3.338 20 30 DGEDMN C#Cc1ccc(CN2CC(c3nc(-c4ccccc4)no3)C2)cc1 ZINC001658959363 1196324963 /nfs/dbraw/zinc/32/49/63/1196324963.db2.gz ANTQKGFOPHTGNG-UHFFFAOYSA-N 0 1 315.376 3.317 20 30 DGEDMN C#CCCCCCCN1Cc2ccccc2C[C@@H]1C(=O)NCC ZINC001659104955 1196345313 /nfs/dbraw/zinc/34/53/13/1196345313.db2.gz PABIAMKMQQROKX-LJQANCHMSA-N 0 1 312.457 3.133 20 30 DGEDMN C=CCCCCNCc1oc(Br)cc1C(=O)OC ZINC001659528843 1196392227 /nfs/dbraw/zinc/39/22/27/1196392227.db2.gz YPSWJFCVJCHXRI-UHFFFAOYSA-N 0 1 316.195 3.275 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC)c(OC)c1O)c1ccc(F)cc1 ZINC001659868948 1196423623 /nfs/dbraw/zinc/42/36/23/1196423623.db2.gz REBIKXGVOLHXDI-OAHLLOKOSA-N 0 1 315.344 3.013 20 30 DGEDMN Cc1cc(CN2CC(N(C)Cc3ccccc3)C2)ccc1C#N ZINC001659977824 1196434400 /nfs/dbraw/zinc/43/44/00/1196434400.db2.gz JZFFVDBSZFSJSV-UHFFFAOYSA-N 0 1 305.425 3.183 20 30 DGEDMN N#Cc1cc(F)ccc1CN1C[C@H]2COC[C@@]2(c2ccccc2)C1 ZINC001660107106 1196446271 /nfs/dbraw/zinc/44/62/71/1196446271.db2.gz PISOYTBMGOQTMK-AZUAARDMSA-N 0 1 322.383 3.097 20 30 DGEDMN C[N@H+](Cc1ccc(Cl)cc1O)C[C@@H](O)c1cccc(C#N)c1 ZINC001660210887 1196457519 /nfs/dbraw/zinc/45/75/19/1196457519.db2.gz QEUSDTUGVDIOAX-QGZVFWFLSA-N 0 1 316.788 3.083 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1[O-])C[C@@H](O)c1cccc(C#N)c1 ZINC001660210887 1196457523 /nfs/dbraw/zinc/45/75/23/1196457523.db2.gz QEUSDTUGVDIOAX-QGZVFWFLSA-N 0 1 316.788 3.083 20 30 DGEDMN C[N@H+](Cc1ccc(Cl)cc1[O-])C[C@@H](O)c1cccc(C#N)c1 ZINC001660210887 1196457525 /nfs/dbraw/zinc/45/75/25/1196457525.db2.gz QEUSDTUGVDIOAX-QGZVFWFLSA-N 0 1 316.788 3.083 20 30 DGEDMN CCC[C@@H]1CCCN1CC(=O)Nc1sc(C)c(C)c1C#N ZINC001660494635 1196491170 /nfs/dbraw/zinc/49/11/70/1196491170.db2.gz AQUIZYQMMYVQDE-CYBMUJFWSA-N 0 1 305.447 3.440 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001660862587 1196536858 /nfs/dbraw/zinc/53/68/58/1196536858.db2.gz JBWBRBZMYLNTKN-WSSFADSRSA-N 0 1 310.441 3.002 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001661024572 1196555054 /nfs/dbraw/zinc/55/50/54/1196555054.db2.gz RFHLKXCQZQXKHA-KRWDZBQOSA-N 0 1 318.436 3.449 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2cccc(F)c2)CC1 ZINC001661083456 1196563150 /nfs/dbraw/zinc/56/31/50/1196563150.db2.gz PBAIVLLNRQSDDE-UHFFFAOYSA-N 0 1 316.420 3.166 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2cc(C)c(Cl)c(C)c2)CC1 ZINC001661118984 1196570111 /nfs/dbraw/zinc/57/01/11/1196570111.db2.gz JEOYERWUEKQCKG-UHFFFAOYSA-N 0 1 319.836 3.176 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(F)=C1CCCC1 ZINC001661244745 1196588124 /nfs/dbraw/zinc/58/81/24/1196588124.db2.gz OQHAORCVGMLVAD-IYBDPMFKSA-N 0 1 306.425 3.425 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2csc(CC)n2)C1 ZINC001661640600 1196626978 /nfs/dbraw/zinc/62/69/78/1196626978.db2.gz KONFSELGJPUDJK-MCIONIFRSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CNCc1csc(CC)n1 ZINC001661910392 1196661897 /nfs/dbraw/zinc/66/18/97/1196661897.db2.gz RLLMBTKHBZUQMI-HNNXBMFYSA-N 0 1 321.490 3.142 20 30 DGEDMN CCCC(=O)N[C@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001661977003 1196668382 /nfs/dbraw/zinc/66/83/82/1196668382.db2.gz IMDQCLAZEHECMH-IEBWSBKVSA-N 0 1 312.457 3.055 20 30 DGEDMN C#C[C@H](NCc1cnc(-c2ccsc2)s1)[C@H]1CCCO1 ZINC001662265992 1196701385 /nfs/dbraw/zinc/70/13/85/1196701385.db2.gz GDXKYHPHFVDAER-UONOGXRCSA-N 0 1 304.440 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](F)CC1CCCCC1 ZINC001662387917 1196714840 /nfs/dbraw/zinc/71/48/40/1196714840.db2.gz JVTMKUXFILGAMT-GXTWGEPZSA-N 0 1 304.837 3.142 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(c2ccccc2C)CCC1 ZINC001662399185 1196717045 /nfs/dbraw/zinc/71/70/45/1196717045.db2.gz JEAQBHRHZMGTMN-HNNXBMFYSA-N 0 1 320.864 3.264 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2sccc2Cl)C1 ZINC001662496416 1196725617 /nfs/dbraw/zinc/72/56/17/1196725617.db2.gz AERNTLMVRFMULA-LBPRGKRZSA-N 0 1 312.866 3.448 20 30 DGEDMN C#CCCCC(=O)N1CCC[C@H]([N@@H+](C)Cc2cccc(F)c2)C1 ZINC001662833546 1196757920 /nfs/dbraw/zinc/75/79/20/1196757920.db2.gz IFHKDITZQUTSIJ-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CCCC(=O)NCCC[C@H]1CCCN1Cc1csc(C)n1 ZINC001663036635 1196786187 /nfs/dbraw/zinc/78/61/87/1196786187.db2.gz PXXLJMWCOWZSOB-INIZCTEOSA-N 0 1 321.490 3.279 20 30 DGEDMN C#Cc1ccc(C(=O)NCCN(CC)Cc2cccc(F)c2)cc1 ZINC001663411629 1196861149 /nfs/dbraw/zinc/86/11/49/1196861149.db2.gz ROBRHNXEGUNASX-UHFFFAOYSA-N 0 1 324.399 3.059 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C(C)(C)c1ccccc1Cl ZINC001663447256 1196869871 /nfs/dbraw/zinc/86/98/71/1196869871.db2.gz CCANDAXIAXODRC-UHFFFAOYSA-N 0 1 320.864 3.079 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1Cc1cccc(C(C)C)n1 ZINC001663475674 1196881466 /nfs/dbraw/zinc/88/14/66/1196881466.db2.gz GHWKMQTYXJVCHL-KRWDZBQOSA-N 0 1 315.461 3.252 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCCC1CCCCC1 ZINC001663542550 1196900753 /nfs/dbraw/zinc/90/07/53/1196900753.db2.gz NRBZULLVNLUGFG-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN CC1(CCC(=O)NC[C@H]2CCN(CC#Cc3ccccc3)C2)CC1 ZINC001663630120 1196918267 /nfs/dbraw/zinc/91/82/67/1196918267.db2.gz XSQCUBMBASWJJJ-LJQANCHMSA-N 0 1 324.468 3.057 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001663903199 1196956513 /nfs/dbraw/zinc/95/65/13/1196956513.db2.gz IULIWJBDMKCFMT-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cscc1C(F)F ZINC001663938025 1196959512 /nfs/dbraw/zinc/95/95/12/1196959512.db2.gz RQUWWVPYMVWERI-UHFFFAOYSA-N 0 1 308.781 3.100 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN[C@H]1CCc2c1c(F)ccc2F ZINC001664116298 1196972235 /nfs/dbraw/zinc/97/22/35/1196972235.db2.gz SRTBQJWYVNKWBH-HNNXBMFYSA-N 0 1 322.399 3.212 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC)c1ccc(F)cc1 ZINC001664399017 1196995097 /nfs/dbraw/zinc/99/50/97/1196995097.db2.gz GYNFKEQKZUMKIZ-OAHLLOKOSA-N 0 1 312.816 3.120 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC1CCCCCC1)c1ccccc1 ZINC001664593434 1197019114 /nfs/dbraw/zinc/01/91/14/1197019114.db2.gz XWGZPBDEWZXLOT-IBGZPJMESA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC(C)(C)C(F)(F)F)C1 ZINC001664687398 1197036823 /nfs/dbraw/zinc/03/68/23/1197036823.db2.gz SPSNGNMNIARMGE-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(F)cc2C)C1 ZINC001664853799 1197069474 /nfs/dbraw/zinc/06/94/74/1197069474.db2.gz KHIZXTXBBXNQGS-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@H](OC(C)C)C1 ZINC001665081950 1197095523 /nfs/dbraw/zinc/09/55/23/1197095523.db2.gz MYKAGIYXKWZHHL-CQSZACIVSA-N 0 1 307.821 3.351 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cccc(C)c2Cl)C1 ZINC001665165712 1197104277 /nfs/dbraw/zinc/10/42/77/1197104277.db2.gz JOXZLCQZSSPHGB-CQSZACIVSA-N 0 1 320.864 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(C)cc(OC)c2C)C1 ZINC001665160958 1197104383 /nfs/dbraw/zinc/10/43/83/1197104383.db2.gz CWSKPLYBDLBSSM-CQSZACIVSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc3cc(C)ccc3[nH]2)C1 ZINC001665175372 1197105051 /nfs/dbraw/zinc/10/50/51/1197105051.db2.gz FBWFUXVMLVMFRY-AWEZNQCLSA-N 0 1 311.429 3.245 20 30 DGEDMN C=CCC[C@H](C(=O)NC1CN(CC2CCC2)C1)c1ccccc1 ZINC001665243960 1197113045 /nfs/dbraw/zinc/11/30/45/1197113045.db2.gz CBRLTXLAPUCPTO-IBGZPJMESA-N 0 1 312.457 3.337 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCC1CCCCC1 ZINC001665428723 1197134936 /nfs/dbraw/zinc/13/49/36/1197134936.db2.gz GVBAPXZJFBWOPS-ROUUACIJSA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(CC)CCC(F)(F)CC2)C1 ZINC001665642450 1197163376 /nfs/dbraw/zinc/16/33/76/1197163376.db2.gz UAXYOCQVBFSQPK-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN CN(CCc1ccc2c(c1)OCO2)Cc1cccc(F)c1C#N ZINC001665666862 1197168113 /nfs/dbraw/zinc/16/81/13/1197168113.db2.gz CMWRGLWYYMHDTF-UHFFFAOYSA-N 0 1 312.344 3.101 20 30 DGEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1ccc(F)cc1Cl ZINC001665789354 1197196521 /nfs/dbraw/zinc/19/65/21/1197196521.db2.gz VYVCQLPIPZFBDQ-OAHLLOKOSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(CC(C)C)CCC1 ZINC001665813187 1197200534 /nfs/dbraw/zinc/20/05/34/1197200534.db2.gz DTKWRHWKAQJBNY-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)NCCC1CCN([C@@H](C)c2ncc(C)o2)CC1 ZINC001666355469 1197255317 /nfs/dbraw/zinc/25/53/17/1197255317.db2.gz LHPPTJIRLJWXLT-HNNXBMFYSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1ccsc1 ZINC001666458568 1197261028 /nfs/dbraw/zinc/26/10/28/1197261028.db2.gz HLFFMIFHHZNPKC-GXTWGEPZSA-N 0 1 312.866 3.185 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C)nc1C1CC1 ZINC001666961618 1197301572 /nfs/dbraw/zinc/30/15/72/1197301572.db2.gz BOTQRURLLZWAIP-CYBMUJFWSA-N 0 1 321.852 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001667080615 1197314903 /nfs/dbraw/zinc/31/49/03/1197314903.db2.gz FTRKHRDMDSTFFM-CAOSSQGBSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)C1CC(C)(C)C1 ZINC001667086171 1197315941 /nfs/dbraw/zinc/31/59/41/1197315941.db2.gz GWAOWKLVOVLJFC-AWEZNQCLSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccn(C)c1)C1CCCCC1 ZINC001667130913 1197321705 /nfs/dbraw/zinc/32/17/05/1197321705.db2.gz QWQGQKOPKBANRU-MRXNPFEDSA-N 0 1 323.868 3.046 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)C1(F)CCCC1 ZINC001667380703 1197342677 /nfs/dbraw/zinc/34/26/77/1197342677.db2.gz KLIOIRYLSSNKNU-GXTWGEPZSA-N 0 1 316.848 3.238 20 30 DGEDMN CN(CCN(C)C(=O)CC1CCCC1)Cc1cccc(C#N)c1 ZINC001667529178 1197357626 /nfs/dbraw/zinc/35/76/26/1197357626.db2.gz LMWYWZJPMMASFA-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001668582314 1197421018 /nfs/dbraw/zinc/42/10/18/1197421018.db2.gz ZZGBTJWIYJPADZ-DLBZAZTESA-N 0 1 320.864 3.289 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(Cl)cc1 ZINC001668623802 1197421797 /nfs/dbraw/zinc/42/17/97/1197421797.db2.gz XQYBTWRTPXRXFG-UHFFFAOYSA-N 0 1 301.217 3.144 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001669145715 1197441251 /nfs/dbraw/zinc/44/12/51/1197441251.db2.gz MMKHTXXPKBYHAQ-AATRIKPKSA-N 0 1 320.864 3.287 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNCc1cccc(F)c1F ZINC001669569047 1197462943 /nfs/dbraw/zinc/46/29/43/1197462943.db2.gz UFYUEBZBVFLMPM-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CN(C)Cc1ccc(C)nc1C ZINC001669866969 1197494229 /nfs/dbraw/zinc/49/42/29/1197494229.db2.gz AUQKLYFLTUDGBA-NVXWUHKLSA-N 0 1 317.477 3.237 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1(C)C(C)(C)C1(C)C ZINC001671388824 1197536752 /nfs/dbraw/zinc/53/67/52/1197536752.db2.gz DYNXRFVOJWXCQC-ZDUSSCGKSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C(C)(C)CC(C)(C)C)C1 ZINC001671917327 1197585618 /nfs/dbraw/zinc/58/56/18/1197585618.db2.gz ZPKCFAOATAONLW-IBGZPJMESA-N 0 1 306.494 3.300 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccccc2OCC)CCC1 ZINC001673234172 1197645543 /nfs/dbraw/zinc/64/55/43/1197645543.db2.gz KBCKFGFPFNQSQY-UHFFFAOYSA-N 0 1 322.836 3.080 20 30 DGEDMN C#CCCCCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN(CCF)C1 ZINC001673495655 1197668934 /nfs/dbraw/zinc/66/89/34/1197668934.db2.gz BGGQWNPQHDEKAC-QZTJIDSGSA-N 0 1 322.468 3.243 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3C[C@H]3C3CC3)cc2C1 ZINC001673764622 1197690811 /nfs/dbraw/zinc/69/08/11/1197690811.db2.gz BSODSBJEIDXHNH-ICSRJNTNSA-N 0 1 322.452 3.078 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2(C)CCCCC2)C(C)(C)C1 ZINC001674022708 1197722628 /nfs/dbraw/zinc/72/26/28/1197722628.db2.gz DYILAURUAZFKOK-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1c2ccccc2CC[N@@H+]1C(C)C ZINC001674271086 1197755099 /nfs/dbraw/zinc/75/50/99/1197755099.db2.gz BNDQFXOADQYSLP-KRWDZBQOSA-N 0 1 300.446 3.323 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC[C@H](C)c1ccccc1 ZINC001674486678 1197785056 /nfs/dbraw/zinc/78/50/56/1197785056.db2.gz OCWHPYQVWZUWGK-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C)nc1C1CC1 ZINC001674709834 1197841356 /nfs/dbraw/zinc/84/13/56/1197841356.db2.gz NDOVXTVYBYCHTO-OLZOCXBDSA-N 0 1 321.852 3.116 20 30 DGEDMN CCC[C@@H](C)C(=O)NC[C@@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001674908487 1197911607 /nfs/dbraw/zinc/91/16/07/1197911607.db2.gz PMLWXVWLXFLKRU-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccccc1C(C)(C)C ZINC001674947565 1197930296 /nfs/dbraw/zinc/93/02/96/1197930296.db2.gz AZYNZEANFXUJIH-OAHLLOKOSA-N 0 1 300.446 3.058 20 30 DGEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)CC1CC(c2ccccc2)C1 ZINC001674952940 1197932834 /nfs/dbraw/zinc/93/28/34/1197932834.db2.gz AYFPQQCYMZLKFD-KLHKWILBSA-N 0 1 300.446 3.193 20 30 DGEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001675035689 1197971875 /nfs/dbraw/zinc/97/18/75/1197971875.db2.gz HHULPRSGUSYGFI-MRXNPFEDSA-N 0 1 306.409 3.037 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C(C)(C)C1CCCC1 ZINC001675258469 1198027500 /nfs/dbraw/zinc/02/75/00/1198027500.db2.gz WMJVHTXKZJVCMH-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001675354650 1198051680 /nfs/dbraw/zinc/05/16/80/1198051680.db2.gz VGJLCUKFKQYUJN-LPHOPBHVSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C2(CCc3ccccc3)CC2)C1 ZINC001675369536 1198056574 /nfs/dbraw/zinc/05/65/74/1198056574.db2.gz OCMFJYGMTVLQBW-MRXNPFEDSA-N 0 1 312.457 3.022 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](CC)c3ccccc3)C2)C1 ZINC001675678376 1198113817 /nfs/dbraw/zinc/11/38/17/1198113817.db2.gz QTKVMLQFQZPDLB-GOSISDBHSA-N 0 1 324.468 3.128 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H](CC)C(C)(C)C)C2)C1 ZINC001675678655 1198115805 /nfs/dbraw/zinc/11/58/05/1198115805.db2.gz UXUYBHKWSGWXED-KRWDZBQOSA-N 0 1 318.505 3.397 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H](C)CCCNCc1cc(F)ccc1C#N ZINC001675857083 1198159072 /nfs/dbraw/zinc/15/90/72/1198159072.db2.gz IWGZQILUVXVXJN-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNCc1nc(C)oc1C ZINC001675948844 1198177942 /nfs/dbraw/zinc/17/79/42/1198177942.db2.gz XDJRJUBXEIBBNT-ZDUSSCGKSA-N 0 1 321.465 3.268 20 30 DGEDMN C=C1CCC(CNC(=O)NC[C@@H](c2ccsc2)N(C)C)CC1 ZINC001676024120 1198197059 /nfs/dbraw/zinc/19/70/59/1198197059.db2.gz VHYURXWJWUYNJF-INIZCTEOSA-N 0 1 321.490 3.396 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](CNCc1nccs1)C1CC1 ZINC001676239204 1198259354 /nfs/dbraw/zinc/25/93/54/1198259354.db2.gz QXKQEGKPPXEKRY-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C(C)(C)CCC ZINC001676243474 1198259877 /nfs/dbraw/zinc/25/98/77/1198259877.db2.gz FZHTWRKUHYTMHF-CQSZACIVSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1c(F)cccc1Cl ZINC001676341217 1198294251 /nfs/dbraw/zinc/29/42/51/1198294251.db2.gz CABNJYKGGPZOGJ-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C)cc1Cl ZINC001676428807 1198319155 /nfs/dbraw/zinc/31/91/55/1198319155.db2.gz JPVPXODCAAIHKU-LBPRGKRZSA-N 0 1 315.244 3.451 20 30 DGEDMN CCCC(=O)N1C[C@H](NCC#Cc2ccccc2Cl)C[C@H]1C ZINC001676703667 1198368037 /nfs/dbraw/zinc/36/80/37/1198368037.db2.gz ZLJYVPRFGBXQBY-GDBMZVCRSA-N 0 1 318.848 3.071 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2csc(C)c2)[C@@H]1C ZINC001676859928 1198412693 /nfs/dbraw/zinc/41/26/93/1198412693.db2.gz NOINOTVPRIPBKX-ZBFHGGJFSA-N 0 1 306.475 3.492 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@@H]1C ZINC001676898767 1198428395 /nfs/dbraw/zinc/42/83/95/1198428395.db2.gz RBPJLCNLLPERRZ-STQMWFEESA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(C(F)(F)F)CCCC2)[C@@H]1C ZINC001676898767 1198428397 /nfs/dbraw/zinc/42/83/97/1198428397.db2.gz RBPJLCNLLPERRZ-STQMWFEESA-N 0 1 318.383 3.264 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)C(C)C ZINC001677204628 1198485062 /nfs/dbraw/zinc/48/50/62/1198485062.db2.gz BXFVZWPWZQTERK-OKZBNKHCSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc(C(C)C)oc1C)C(C)C ZINC001677215345 1198490896 /nfs/dbraw/zinc/49/08/96/1198490896.db2.gz KLNKWRCJIRUUPC-UHFFFAOYSA-N 0 1 304.434 3.127 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC001685868678 1199515216 /nfs/dbraw/zinc/51/52/16/1199515216.db2.gz CKWNHNXPCSTHFI-MRXNPFEDSA-N 0 1 315.461 3.257 20 30 DGEDMN C=C(CC)CNC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001686779889 1199588668 /nfs/dbraw/zinc/58/86/68/1199588668.db2.gz NAOKXPUEFXDVKO-SJORKVTESA-N 0 1 315.461 3.257 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)c1ccsc1 ZINC001688184756 1199711112 /nfs/dbraw/zinc/71/11/12/1199711112.db2.gz YXJACJJPBOGNPM-GOSISDBHSA-N 0 1 324.449 3.101 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1ccsc1 ZINC001688184757 1199711650 /nfs/dbraw/zinc/71/16/50/1199711650.db2.gz YXJACJJPBOGNPM-SFHVURJKSA-N 0 1 324.449 3.101 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1c(C)cc(C)cc1C ZINC001688886030 1199943311 /nfs/dbraw/zinc/94/33/11/1199943311.db2.gz GVUZNSZKAIOKJJ-GOSISDBHSA-N 0 1 314.473 3.263 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1C[C@@H](NCc2nc(C)cs2)C1 ZINC001688910974 1199954985 /nfs/dbraw/zinc/95/49/85/1199954985.db2.gz VRIIHHKCROIPNA-JKIFEVAISA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NCc1ncc(C)s1)C(C)C ZINC001753840404 1199989680 /nfs/dbraw/zinc/98/96/80/1199989680.db2.gz DJUJUTMQJJPZCD-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(C)CCC(=O)N1CCCCC[C@H](NCc2ocnc2C)C1 ZINC001689262595 1200074645 /nfs/dbraw/zinc/07/46/45/1200074645.db2.gz UBXLPFIHRZJSSW-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN CCCCC(=O)N(CC)CCNCC#Cc1ccccc1Cl ZINC001754033376 1200078965 /nfs/dbraw/zinc/07/89/65/1200078965.db2.gz KBSQUOIHCBUECI-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC(C)(C)C1 ZINC001689352246 1200106271 /nfs/dbraw/zinc/10/62/71/1200106271.db2.gz ABZYNVNALWKOMS-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C(F)F)cc1 ZINC001689353384 1200107086 /nfs/dbraw/zinc/10/70/86/1200107086.db2.gz FJFDPECSRLMJKL-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN CC[C@@H]1CCC[C@H]1C(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001754165890 1200120287 /nfs/dbraw/zinc/12/02/87/1200120287.db2.gz ASLXHEQBEYGOCX-KJYWSYJISA-N 0 1 324.468 3.126 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001754217639 1200134992 /nfs/dbraw/zinc/13/49/92/1200134992.db2.gz UKPLEPBUOFYWRP-OAHLLOKOSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001754231559 1200143483 /nfs/dbraw/zinc/14/34/83/1200143483.db2.gz LREVTZWECVQTOQ-LLVKDONJSA-N 0 1 319.836 3.245 20 30 DGEDMN CN(CCCNCc1cc(F)ccc1C#N)C(=O)CC(C)(C)C ZINC001754358388 1200243486 /nfs/dbraw/zinc/24/34/86/1200243486.db2.gz CJLLVINPEFCLAD-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2cccc(Cl)n2)CC1 ZINC001754522704 1200334967 /nfs/dbraw/zinc/33/49/67/1200334967.db2.gz VLPJHYHNCNPRGK-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001754521815 1200335011 /nfs/dbraw/zinc/33/50/11/1200335011.db2.gz UCBBPUHXJPKOCM-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001690016586 1200367266 /nfs/dbraw/zinc/36/72/66/1200367266.db2.gz BBDITJDXPRYHNY-KRWDZBQOSA-N 0 1 312.457 3.007 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CCN(C/C=C\Cl)CC1 ZINC001690023272 1200371249 /nfs/dbraw/zinc/37/12/49/1200371249.db2.gz KKIZMMVCEQHIFZ-WDZFZDKYSA-N 0 1 310.869 3.430 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)c2ccn(CC)c2C)C1 ZINC001754582547 1200377962 /nfs/dbraw/zinc/37/79/62/1200377962.db2.gz UZYLKHVNSYINOO-UHFFFAOYSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc3[nH]ccc32)C1 ZINC001754582054 1200379026 /nfs/dbraw/zinc/37/90/26/1200379026.db2.gz REFNKASPTBHUIO-CQSZACIVSA-N 0 1 311.429 3.279 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CNCc1csc(CCC)n1 ZINC001754608579 1200394772 /nfs/dbraw/zinc/39/47/72/1200394772.db2.gz UDPVYDNOZKTHIY-HNNXBMFYSA-N 0 1 321.490 3.142 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](CCC)C(C)C)CC1 ZINC001690136317 1200415311 /nfs/dbraw/zinc/41/53/11/1200415311.db2.gz JSMPSZFGISIIQG-GOSISDBHSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN[C@H](CNC(=O)C[C@H](C)CC)c1ccccc1CC ZINC001754785200 1200488249 /nfs/dbraw/zinc/48/82/49/1200488249.db2.gz JIEZMTWBBNOXPJ-CRAIPNDOSA-N 0 1 300.446 3.065 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN(Cc2cc(F)cc(F)c2)C1 ZINC001690377244 1200522917 /nfs/dbraw/zinc/52/29/17/1200522917.db2.gz XEELPTJQYLQLLG-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@H]1C(C)C ZINC001754946660 1200548049 /nfs/dbraw/zinc/54/80/49/1200548049.db2.gz RBZOHJOTHCXPAG-OALUTQOASA-N 0 1 312.457 3.050 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)C(C)(CC)CC)CO2 ZINC001755066178 1200622137 /nfs/dbraw/zinc/62/21/37/1200622137.db2.gz WGFVZWOZXUVBED-HZPDHXFCSA-N 0 1 322.493 3.127 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N[C@H](C)c1cccc(Cl)c1F ZINC001690570692 1200629781 /nfs/dbraw/zinc/62/97/81/1200629781.db2.gz KCUURJDZFMKVFI-QWHCGFSZSA-N 0 1 324.827 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1cccc(Cl)c1 ZINC001690608442 1200644978 /nfs/dbraw/zinc/64/49/78/1200644978.db2.gz MOBWYYSTDYSLMZ-LBPRGKRZSA-N 0 1 315.244 3.119 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001755143121 1200660674 /nfs/dbraw/zinc/66/06/74/1200660674.db2.gz XDRMDRYSZNDVNB-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](CC)CCCC)C(C)(C)C1 ZINC001755141582 1200660802 /nfs/dbraw/zinc/66/08/02/1200660802.db2.gz NZLXUCRJIBSDCA-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc(C(F)F)c1 ZINC001690639719 1200663792 /nfs/dbraw/zinc/66/37/92/1200663792.db2.gz DDEZVJGDOGDBMN-SNVBAGLBSA-N 0 1 302.752 3.085 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)Cc1ccoc1 ZINC001755171610 1200677990 /nfs/dbraw/zinc/67/79/90/1200677990.db2.gz AEMSKWUZVHIGTI-IBGZPJMESA-N 0 1 324.424 3.114 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cccc2c1CCCC2 ZINC001755193403 1200690808 /nfs/dbraw/zinc/69/08/08/1200690808.db2.gz XGLUPHIESYHERE-QGZVFWFLSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1ncccc1C ZINC001755197588 1200694350 /nfs/dbraw/zinc/69/43/50/1200694350.db2.gz KYRAYRWGWBJTHM-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NCc2ncc(C3CC3)o2)C1 ZINC001690766980 1200705439 /nfs/dbraw/zinc/70/54/39/1200705439.db2.gz WZFNCZBNMPHUPD-CABCVRRESA-N 0 1 317.433 3.035 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C12CCC(CC1)C2(C)C ZINC001755211833 1200705517 /nfs/dbraw/zinc/70/55/17/1200705517.db2.gz XDFYLSLDGGRHOA-GNZRSQJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001690771333 1200707734 /nfs/dbraw/zinc/70/77/34/1200707734.db2.gz DLTODRFNJSUKIR-ZIAGYGMSSA-N 0 1 307.463 3.016 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001690780331 1200710836 /nfs/dbraw/zinc/71/08/36/1200710836.db2.gz IEWCVMVDVDGLEU-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001755227798 1200716650 /nfs/dbraw/zinc/71/66/50/1200716650.db2.gz KSAOADRHXYCQAR-NGPFNDBQSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1nc(C(C)(C)C)cs1 ZINC001755228547 1200717237 /nfs/dbraw/zinc/71/72/37/1200717237.db2.gz QBPIYEROXAAWJE-STQMWFEESA-N 0 1 323.506 3.390 20 30 DGEDMN CCC(=CC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N)CC ZINC001755229390 1200718184 /nfs/dbraw/zinc/71/81/84/1200718184.db2.gz BJCKRXNDNMOSLB-HUUCEWRRSA-N 0 1 313.445 3.287 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)c(F)cc1F ZINC001755240188 1200727716 /nfs/dbraw/zinc/72/77/16/1200727716.db2.gz MMRJNNBDSFBRLM-GHMZBOCLSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001755248425 1200733158 /nfs/dbraw/zinc/73/31/58/1200733158.db2.gz IAOMOYOHZDOBCZ-OLZOCXBDSA-N 0 1 309.841 3.074 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1oc(C(C)C)nc1C ZINC001755250640 1200736573 /nfs/dbraw/zinc/73/65/73/1200736573.db2.gz YPEHIIVNKHPHKN-CHWSQXEVSA-N 0 1 321.465 3.301 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(Cl)s1 ZINC001755265550 1200748640 /nfs/dbraw/zinc/74/86/40/1200748640.db2.gz GTZJWCICOLTWTI-IUCAKERBSA-N 0 1 307.246 3.250 20 30 DGEDMN CCCC(=O)NCCC[C@H]1CCC[N@H+]1Cc1ccc(C#N)s1 ZINC001690882697 1200763359 /nfs/dbraw/zinc/76/33/59/1200763359.db2.gz GWCSCANEIHJZEJ-AWEZNQCLSA-N 0 1 319.474 3.281 20 30 DGEDMN C=CCOCC(=O)NC[C@@H](C)N(C)[C@@H](C)c1ccccc1Cl ZINC001755295492 1200774764 /nfs/dbraw/zinc/77/47/64/1200774764.db2.gz YEPKDFORENVPGU-KGLIPLIRSA-N 0 1 324.852 3.040 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(F)CCCCC1)c1ccccc1 ZINC001691801880 1200814127 /nfs/dbraw/zinc/81/41/27/1200814127.db2.gz XCOZYQXQVAZBCG-KRWDZBQOSA-N 0 1 316.420 3.129 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(CCC)CC2)C1 ZINC001691842272 1200830959 /nfs/dbraw/zinc/83/09/59/1200830959.db2.gz QUUBWHWFFSSUTG-ZWKOTPCHSA-N 0 1 312.457 3.337 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001755371109 1200860158 /nfs/dbraw/zinc/86/01/58/1200860158.db2.gz OELLHMKGZMEZLU-OAHLLOKOSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001755387015 1200870561 /nfs/dbraw/zinc/87/05/61/1200870561.db2.gz DDXBLHORXJBCKL-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2ccc(F)cc2C)CC1(C)C ZINC001755408898 1200884149 /nfs/dbraw/zinc/88/41/49/1200884149.db2.gz VXNVHHPFQYYRLT-KRWDZBQOSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CN(Cc2ccccn2)CC1(C)C ZINC001755413723 1200887896 /nfs/dbraw/zinc/88/78/96/1200887896.db2.gz IDYXJSBODWUHEV-WBVHZDCISA-N 0 1 315.461 3.011 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](c2ccccc2)C(C)C)C(C)(C)C1 ZINC001755412931 1200888467 /nfs/dbraw/zinc/88/84/67/1200888467.db2.gz YUJPEXYSOHATSM-QZTJIDSGSA-N 0 1 314.473 3.439 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)OC2CCCCCC2)C(C)(C)C1 ZINC001755413683 1200889237 /nfs/dbraw/zinc/88/92/37/1200889237.db2.gz HLUPFEWZWBFURZ-NVXWUHKLSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)C(C)C)C1 ZINC001691999240 1200904322 /nfs/dbraw/zinc/90/43/22/1200904322.db2.gz RGFIWHJPTNYVGS-GOSISDBHSA-N 0 1 300.446 3.322 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2conc2CC)[C@@H]1CC ZINC001692005041 1200905888 /nfs/dbraw/zinc/90/58/88/1200905888.db2.gz POMMBHYHEADDOX-DLBZAZTESA-N 0 1 319.449 3.062 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CCCc2ccccc2Cl)C1 ZINC001692091651 1200926883 /nfs/dbraw/zinc/92/68/83/1200926883.db2.gz ZXWBJHLFTKRUQC-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](CC)CC(F)(F)F)C1 ZINC001692157395 1200953581 /nfs/dbraw/zinc/95/35/81/1200953581.db2.gz HLWBVQWTPSKRNR-STQMWFEESA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@@H]1CC ZINC001692201667 1200969350 /nfs/dbraw/zinc/96/93/50/1200969350.db2.gz QGDXPCOLUKFBRN-JKSUJKDBSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1ccn(C(C)C)n1 ZINC001755604776 1200983190 /nfs/dbraw/zinc/98/31/90/1200983190.db2.gz CSLCEMDRIHTUGU-MAUKXSAKSA-N 0 1 320.481 3.003 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc2c1CCC2 ZINC001692263101 1200993187 /nfs/dbraw/zinc/99/31/87/1200993187.db2.gz AAQOJBVKSBWUQZ-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)[C@H]1C ZINC001755654945 1200998159 /nfs/dbraw/zinc/99/81/59/1200998159.db2.gz RGJZFHFQILZDIR-DYVFJYSZSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)sc2C)[C@H]1C ZINC001755658580 1201000861 /nfs/dbraw/zinc/00/08/61/1201000861.db2.gz JTDMBNGWCZLPSC-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1CN(CCCCC(F)(F)F)C1 ZINC001692337848 1201025743 /nfs/dbraw/zinc/02/57/43/1201025743.db2.gz LEBAIHDVOUYBKF-UHFFFAOYSA-N 0 1 320.399 3.369 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C1CCC(C2CC2)CC1)C(C)C ZINC001755817819 1201084075 /nfs/dbraw/zinc/08/40/75/1201084075.db2.gz ZYOHBIKUOFBTBT-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C)c(C)n1)C(C)(C)C ZINC001755828647 1201091033 /nfs/dbraw/zinc/09/10/33/1201091033.db2.gz MMZWZDKDKIASLV-HNNXBMFYSA-N 0 1 323.868 3.185 20 30 DGEDMN CC/C(C)=C\C(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001755885166 1201118493 /nfs/dbraw/zinc/11/84/93/1201118493.db2.gz OGCSSPASEQSBHU-WJDWOHSUSA-N 0 1 323.440 3.163 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C2(CCCC)CC2)c(F)c1 ZINC001755901709 1201126571 /nfs/dbraw/zinc/12/65/71/1201126571.db2.gz ANKZEFGXNSWKQV-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(OC)cc2)C1 ZINC001698568267 1201238069 /nfs/dbraw/zinc/23/80/69/1201238069.db2.gz YTGNARVCMXBIFD-QGZVFWFLSA-N 0 1 316.445 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001698568428 1201239122 /nfs/dbraw/zinc/23/91/22/1201239122.db2.gz CCPJYADYZUQBAX-PKOBYXMFSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CCC(=O)N[C@](C)(CNCc1ncc(C)s1)C1CC1 ZINC001698642985 1201307930 /nfs/dbraw/zinc/30/79/30/1201307930.db2.gz LMEJCELINMKZPP-QGZVFWFLSA-N 0 1 321.490 3.182 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2ccoc2C)CC1 ZINC001698721541 1201361881 /nfs/dbraw/zinc/36/18/81/1201361881.db2.gz KCDBNSQRIWCUKO-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN CCCC(=O)NCCC1CCN(Cc2ccc(C#N)s2)CC1 ZINC001698723137 1201364677 /nfs/dbraw/zinc/36/46/77/1201364677.db2.gz YYYUWIKGTRQGGG-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C)c(F)c(C)c1 ZINC001698861055 1201517688 /nfs/dbraw/zinc/51/76/88/1201517688.db2.gz RXJXVYWEGMXKOB-ZDUSSCGKSA-N 0 1 312.816 3.245 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(F)cc(Cl)c1 ZINC001698862755 1201519252 /nfs/dbraw/zinc/51/92/52/1201519252.db2.gz KASSJIJBFANUMY-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001698864308 1201520268 /nfs/dbraw/zinc/52/02/68/1201520268.db2.gz RZGGHLHXWOOAFV-GDBMZVCRSA-N 0 1 322.880 3.363 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C1CC2(CC2)C1 ZINC001698950098 1201595666 /nfs/dbraw/zinc/59/56/66/1201595666.db2.gz NZHBZZVFJNHOOV-DOMZBBRYSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001698955192 1201603505 /nfs/dbraw/zinc/60/35/05/1201603505.db2.gz CAUPJOHFYJMCLP-MRXNPFEDSA-N 0 1 324.896 3.490 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cccc(Cl)c1Cl ZINC001699003122 1201670349 /nfs/dbraw/zinc/67/03/49/1201670349.db2.gz RAEYTKXISMGUQP-UHFFFAOYSA-N 0 1 313.228 3.021 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccccc1-c1ccccc1 ZINC001699004237 1201675074 /nfs/dbraw/zinc/67/50/74/1201675074.db2.gz IHDBXWUZNBACHG-UHFFFAOYSA-N 0 1 320.436 3.381 20 30 DGEDMN C=CCC1(C(=O)N(CC)CCNCc2ncccc2C)CCC1 ZINC001699199714 1201751706 /nfs/dbraw/zinc/75/17/06/1201751706.db2.gz AFJBMBFJTSWSDD-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1sccc1C(F)F ZINC001699215893 1201759539 /nfs/dbraw/zinc/75/95/39/1201759539.db2.gz KUCDDBIOUURPGF-UHFFFAOYSA-N 0 1 322.808 3.490 20 30 DGEDMN C=C(C)CCC(=O)NCCCN(C)Cc1cccc(F)c1F ZINC001751979609 1201762162 /nfs/dbraw/zinc/76/21/62/1201762162.db2.gz AYWKTKRROYXTIB-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN C#CCCCC(=O)NC/C=C/CNCc1cc(Cl)ccc1F ZINC001699252376 1201770463 /nfs/dbraw/zinc/77/04/63/1201770463.db2.gz QTXWMAVOZWQVAS-AATRIKPKSA-N 0 1 322.811 3.045 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001699274224 1201774777 /nfs/dbraw/zinc/77/47/77/1201774777.db2.gz IOPOKOFINJUVPQ-LAQIPUCWSA-N 0 1 318.848 3.121 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C)c(C)cc1Cl ZINC001699375095 1201819709 /nfs/dbraw/zinc/81/97/09/1201819709.db2.gz VQTVBRBYTMOUFP-GFCCVEGCSA-N 0 1 315.244 3.417 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](CCC)c2ccccn2)C1 ZINC001752326971 1201828154 /nfs/dbraw/zinc/82/81/54/1201828154.db2.gz WZPOVPDJTDIECO-SJORKVTESA-N 0 1 315.461 3.122 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(CC(C)C)CCCC1 ZINC001699383782 1201828415 /nfs/dbraw/zinc/82/84/15/1201828415.db2.gz VEOGXWRZLNWCGE-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNCc1nsc2ccccc12 ZINC001699415734 1201847843 /nfs/dbraw/zinc/84/78/43/1201847843.db2.gz UCMGIDCZACRRLG-LBPRGKRZSA-N 0 1 317.458 3.103 20 30 DGEDMN Cc1ccc(C)c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC(C)C)c1 ZINC001752534806 1201871607 /nfs/dbraw/zinc/87/16/07/1201871607.db2.gz RMRIRAHYQUTUTC-BGYRXZFFSA-N 0 1 324.468 3.138 20 30 DGEDMN Cc1cccc([C@H](C)N2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC(C)C)c1 ZINC001752534722 1201871668 /nfs/dbraw/zinc/87/16/68/1201871668.db2.gz ORENFLZFBUCGLG-YSIASYRMSA-N 0 1 324.468 3.391 20 30 DGEDMN CCC(C)(C)CC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001752571938 1201874993 /nfs/dbraw/zinc/87/49/93/1201874993.db2.gz SREPPJUYUMZBLJ-SFHVURJKSA-N 0 1 312.457 3.055 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1c(F)cccc1Cl)C1CC1 ZINC001699573527 1201954219 /nfs/dbraw/zinc/95/42/19/1201954219.db2.gz HJTCCDBEFLRXFV-MRXNPFEDSA-N 0 1 324.827 3.430 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1cccc(C)n1 ZINC001699612892 1201976409 /nfs/dbraw/zinc/97/64/09/1201976409.db2.gz MTJQBMVVBYEAPA-INIZCTEOSA-N 0 1 303.450 3.165 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1ccc(CC(C)C)cc1 ZINC001699628049 1201990632 /nfs/dbraw/zinc/99/06/32/1201990632.db2.gz MHLGVSPICLUUNY-IBGZPJMESA-N 0 1 314.473 3.194 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CC/C=C\c1ccccc1 ZINC001699626591 1201990802 /nfs/dbraw/zinc/99/08/02/1201990802.db2.gz MOABQPMTORTZHN-QCSXQHJISA-N 0 1 312.457 3.493 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1cccc(C(C)C)n1 ZINC001752892057 1201996242 /nfs/dbraw/zinc/99/62/42/1201996242.db2.gz VVWOKJAHEIGEPL-AWEZNQCLSA-N 0 1 303.450 3.012 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC001699658737 1202018758 /nfs/dbraw/zinc/01/87/58/1202018758.db2.gz LVGOGRQKEBWOHB-ZIAGYGMSSA-N 0 1 310.869 3.216 20 30 DGEDMN CCC(=O)N1CCC[C@@H](N(C)CC#Cc2ccc(Cl)cc2)C1 ZINC001752985332 1202036651 /nfs/dbraw/zinc/03/66/51/1202036651.db2.gz AEMOOCQKVHQZHM-QGZVFWFLSA-N 0 1 318.848 3.024 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCOC(C)C ZINC001699691066 1202037022 /nfs/dbraw/zinc/03/70/22/1202037022.db2.gz WJLNJYQICVGJEC-HDICACEKSA-N 0 1 322.493 3.223 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC001752996590 1202046492 /nfs/dbraw/zinc/04/64/92/1202046492.db2.gz GTYABULXBWDIEU-GOSISDBHSA-N 0 1 312.457 3.007 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC[C@H]2CCC[C@@H](C)C2)C1 ZINC001699711943 1202046800 /nfs/dbraw/zinc/04/68/00/1202046800.db2.gz CFSGFFGUXJKANP-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(OC)cc2C)C1 ZINC001699719121 1202051544 /nfs/dbraw/zinc/05/15/44/1202051544.db2.gz ZAQJDRNCDJXYEQ-HNNXBMFYSA-N 0 1 316.445 3.115 20 30 DGEDMN C/C=C(\C)C(=O)NC1(C)CCN(Cc2ccc(C#N)s2)CC1 ZINC001699771667 1202073861 /nfs/dbraw/zinc/07/38/61/1202073861.db2.gz IEURIDZJXGGHJZ-YIXHJXPBSA-N 0 1 317.458 3.057 20 30 DGEDMN C#CCN(C(=O)C(C)=C1CCC1)C1CCN(C[C@@H](F)CC)CC1 ZINC001699782975 1202077400 /nfs/dbraw/zinc/07/74/00/1202077400.db2.gz SGEADEVBPSIJPP-KRWDZBQOSA-N 0 1 320.452 3.161 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C1CC1)C1CC1)C1CCCC1 ZINC001699799354 1202083610 /nfs/dbraw/zinc/08/36/10/1202083610.db2.gz HXRNQGZKFAURTM-MRXNPFEDSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1ccc(C)c(OC)c1 ZINC001753059593 1202088083 /nfs/dbraw/zinc/08/80/83/1202088083.db2.gz OBRNEYQIQSOSQI-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001699826651 1202101986 /nfs/dbraw/zinc/10/19/86/1202101986.db2.gz SJKHLCJEZQZVJB-KDOFPFPSSA-N 0 1 318.848 3.047 20 30 DGEDMN CCN(CCNC(=O)CCC(C)C)Cc1ccc(F)c(C#N)c1 ZINC001753077968 1202107166 /nfs/dbraw/zinc/10/71/66/1202107166.db2.gz NXHUOBHQMJYRKU-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2C[C@H]2C2CCC2)CC1 ZINC001699866362 1202121232 /nfs/dbraw/zinc/12/12/32/1202121232.db2.gz XSOUFHOXTWGIQQ-JKSUJKDBSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(C)CCCCCC2)C1 ZINC001699870725 1202124596 /nfs/dbraw/zinc/12/45/96/1202124596.db2.gz ATDMUZPXTSQTEY-SFHVURJKSA-N 0 1 304.478 3.198 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCC[N@H+]1CCOc1ccccc1 ZINC001753106427 1202137714 /nfs/dbraw/zinc/13/77/14/1202137714.db2.gz OHVWTGSAQVURGQ-QGZVFWFLSA-N 0 1 316.445 3.002 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CC2(CCC2)C1)c1ccccc1CC ZINC001699930070 1202140029 /nfs/dbraw/zinc/14/00/29/1202140029.db2.gz FWUOUMQBEBEROQ-LJQANCHMSA-N 0 1 324.468 3.209 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccc(C)cc1)c1ccccc1CC ZINC001699934097 1202140702 /nfs/dbraw/zinc/14/07/02/1202140702.db2.gz XAXBFJLEJGWPSS-FQEVSTJZSA-N 0 1 320.436 3.251 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)(C)CC(C)C)C[C@@H]2C1 ZINC001699990855 1202160101 /nfs/dbraw/zinc/16/01/01/1202160101.db2.gz PNOJSXITZAOAPR-GASCZTMLSA-N 0 1 312.885 3.201 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H]1CCC[C@@H]1CC ZINC001700091328 1202177532 /nfs/dbraw/zinc/17/75/32/1202177532.db2.gz MRERQYZEERGTEI-QFBILLFUSA-N 0 1 312.457 3.194 20 30 DGEDMN CCC(CC)[C@@H](C)C(=O)N(C)CCNCc1ccccc1C#N ZINC001753204910 1202183474 /nfs/dbraw/zinc/18/34/74/1202183474.db2.gz HQPAMTKYIWLBQE-OAHLLOKOSA-N 0 1 315.461 3.179 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CCC(F)(F)C2)CCC1 ZINC001700132042 1202187659 /nfs/dbraw/zinc/18/76/59/1202187659.db2.gz MWISFBVTKPIPSR-GFCCVEGCSA-N 0 1 320.811 3.193 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](CC)C(C)C)CCC1 ZINC001700141934 1202191511 /nfs/dbraw/zinc/19/15/11/1202191511.db2.gz MIJOYSPNQAKPKX-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1=CCCC1)c1ccc(C(C)C)cc1 ZINC001753294918 1202198905 /nfs/dbraw/zinc/19/89/05/1202198905.db2.gz XKLNXQHYKISWQZ-LJQANCHMSA-N 0 1 310.441 3.300 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)CC1=CCCCC1)c1ccccc1 ZINC001753297403 1202199487 /nfs/dbraw/zinc/19/94/87/1202199487.db2.gz KPZXDFTZESQBIW-IBGZPJMESA-N 0 1 310.441 3.347 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1cccnc1C)c1ccccc1 ZINC001753296367 1202199707 /nfs/dbraw/zinc/19/97/07/1202199707.db2.gz PDPUKHGWUJXGEA-IBGZPJMESA-N 0 1 323.440 3.303 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CCCC12CC2)c1ccccc1 ZINC001753300669 1202199937 /nfs/dbraw/zinc/19/99/37/1202199937.db2.gz YDAPHZLCISFMHZ-ROUUACIJSA-N 0 1 310.441 3.037 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1ccccc1CCC)c1ccccc1 ZINC001753300079 1202200556 /nfs/dbraw/zinc/20/05/56/1202200556.db2.gz NJWWTADMGQAGBQ-FQEVSTJZSA-N 0 1 320.436 3.333 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC(=C)C)CCCC1 ZINC001753311980 1202203505 /nfs/dbraw/zinc/20/35/05/1202203505.db2.gz OFGDFFSBRDBTHO-CALCHBBNSA-N 0 1 302.462 3.374 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1[C@H]2CCCCCC[C@H]12)c1ccccc1 ZINC001753313848 1202204864 /nfs/dbraw/zinc/20/48/64/1202204864.db2.gz YMBZLSAXLCNOCW-GBESFXJTSA-N 0 1 324.468 3.283 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1Cc2ccccc2CN1CCCF ZINC001700226197 1202215338 /nfs/dbraw/zinc/21/53/38/1202215338.db2.gz GZRUJNBXKSMRHW-GOSISDBHSA-N 0 1 318.436 3.245 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)Cc3ccoc3)cc2C1 ZINC001700254116 1202218822 /nfs/dbraw/zinc/21/88/22/1202218822.db2.gz XHLZFLDJRSWULM-UHFFFAOYSA-N 0 1 310.397 3.030 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)Cc2ccccc2C)C1 ZINC001753359819 1202227823 /nfs/dbraw/zinc/22/78/23/1202227823.db2.gz MBQDKWCGYXQLMJ-MRXNPFEDSA-N 0 1 320.864 3.070 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700291429 1202228194 /nfs/dbraw/zinc/22/81/94/1202228194.db2.gz CTQUVKPYSQWJFF-HOTGVXAUSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001753378300 1202234516 /nfs/dbraw/zinc/23/45/16/1202234516.db2.gz ZJQDLDDLHWXPDK-NUEKZKHPSA-N 0 1 318.486 3.315 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cc3cc(F)ccc3[nH]2)C1 ZINC001753383395 1202235132 /nfs/dbraw/zinc/23/51/32/1202235132.db2.gz FZYHZTKITTYCRD-LBPRGKRZSA-N 0 1 315.392 3.076 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001753390227 1202238661 /nfs/dbraw/zinc/23/86/61/1202238661.db2.gz RQINZQVDRHZFNC-XIRDDKMYSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2c3ccccc3CCN2CC)CCC1 ZINC001700330533 1202239067 /nfs/dbraw/zinc/23/90/67/1202239067.db2.gz SWJSCDMFQQCXST-SFHVURJKSA-N 0 1 312.457 3.468 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CC1CCCC1 ZINC001700329024 1202239080 /nfs/dbraw/zinc/23/90/80/1202239080.db2.gz APBMZEPUEUBVSO-FQEVSTJZSA-N 0 1 324.468 3.306 20 30 DGEDMN C=C[C@@H](CC(=O)NC1CN(CC2CCCC2)C1)c1ccccc1 ZINC001753395211 1202240295 /nfs/dbraw/zinc/24/02/95/1202240295.db2.gz BFDSXKPTRZXMOW-KRWDZBQOSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](c2ccccc2)[C@H](C)CC)C1 ZINC001753415102 1202245919 /nfs/dbraw/zinc/24/59/19/1202245919.db2.gz NDGKICKAHPEGTJ-APWZRJJASA-N 0 1 314.473 3.440 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H]3C[C@H]2CN3CCCF)CCCCC1 ZINC001753427228 1202250024 /nfs/dbraw/zinc/25/00/24/1202250024.db2.gz DMZYIIURRGVSCQ-HOTGVXAUSA-N 0 1 308.441 3.158 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001753434336 1202252629 /nfs/dbraw/zinc/25/26/29/1202252629.db2.gz BUMNKWJHRVIFBR-UZRURVBFSA-N 0 1 310.441 3.036 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC001753437306 1202254142 /nfs/dbraw/zinc/25/41/42/1202254142.db2.gz JHENIORPUDLYFG-HZPDHXFCSA-N 0 1 316.420 3.079 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cscc1Cl ZINC001700404698 1202263415 /nfs/dbraw/zinc/26/34/15/1202263415.db2.gz LSZISNZAIGNWQS-RKDXNWHRSA-N 0 1 307.246 3.250 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2c(C)cccn2c1 ZINC001700423433 1202268854 /nfs/dbraw/zinc/26/88/54/1202268854.db2.gz AKOKNYPTPYQUBP-KGLIPLIRSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(c2ccccc2)CCC1 ZINC001753479905 1202270617 /nfs/dbraw/zinc/27/06/17/1202270617.db2.gz QCGVCLIBWPRAEC-INIZCTEOSA-N 0 1 320.864 3.345 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccccc1CCC ZINC001753480659 1202270673 /nfs/dbraw/zinc/27/06/73/1202270673.db2.gz ZTNSIMXAVWBHKU-HNNXBMFYSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001753492012 1202273862 /nfs/dbraw/zinc/27/38/62/1202273862.db2.gz FHUBGXZVALFLNH-INIZCTEOSA-N 0 1 322.880 3.492 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)C1CN(Cc2ccc(C3CC3)cc2)C1 ZINC001700538055 1202308697 /nfs/dbraw/zinc/30/86/97/1202308697.db2.gz KIJYPERAZAIAOV-INIZCTEOSA-N 0 1 324.468 3.160 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)[C@@H](C)CCCC ZINC001753689364 1202313965 /nfs/dbraw/zinc/31/39/65/1202313965.db2.gz JVXCERUJYKQKBF-AAEUAGOBSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@@H](C)CNCc2ncc(C)s2)CC1 ZINC001753710398 1202318961 /nfs/dbraw/zinc/31/89/61/1202318961.db2.gz DESBVKWIKDEKCD-ZDUSSCGKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)CCCC(C)C ZINC001753713303 1202319201 /nfs/dbraw/zinc/31/92/01/1202319201.db2.gz BQDAHDLACHMXSB-CYBMUJFWSA-N 0 1 319.287 3.158 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC001700619846 1202323477 /nfs/dbraw/zinc/32/34/77/1202323477.db2.gz QMIYGHIBEANQCZ-TWGQIWQCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC001700619845 1202323613 /nfs/dbraw/zinc/32/36/13/1202323613.db2.gz QMIYGHIBEANQCZ-RMKNXTFCSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CCNCc1cscn1 ZINC001753776817 1202332538 /nfs/dbraw/zinc/33/25/38/1202332538.db2.gz KNNJBTUJKXVQMS-MRXNPFEDSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1nc(C)cs1 ZINC001753804884 1202336609 /nfs/dbraw/zinc/33/66/09/1202336609.db2.gz KFWZSXAMVNZQFW-ZFWWWQNUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CCC(C)(F)F ZINC001700724906 1202343204 /nfs/dbraw/zinc/34/32/04/1202343204.db2.gz NEMVQABPQPIZOK-GFCCVEGCSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1c(C)cccc1F ZINC001700736788 1202345429 /nfs/dbraw/zinc/34/54/29/1202345429.db2.gz NWGGHLUXIMZVFN-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(CCCC)cc1)C(C)C ZINC001700973009 1202373360 /nfs/dbraw/zinc/37/33/60/1202373360.db2.gz BUZPFEYSUQTVNS-UHFFFAOYSA-N 0 1 314.473 3.445 20 30 DGEDMN CC(C)N(CCN(C)C/C=C\c1ccccc1)C(=O)[C@H](C)C#N ZINC001700974547 1202374054 /nfs/dbraw/zinc/37/40/54/1202374054.db2.gz KDHUKUFCBGARSS-SDMNGIDPSA-N 0 1 313.445 3.028 20 30 DGEDMN CC(C)N(CCN(C)C/C=C/c1ccccc1)C(=O)[C@H](C)C#N ZINC001700974548 1202374187 /nfs/dbraw/zinc/37/41/87/1202374187.db2.gz KDHUKUFCBGARSS-VGMNTSGFSA-N 0 1 313.445 3.028 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@H](C)c1ccccc1C)C(C)C ZINC001700974896 1202374294 /nfs/dbraw/zinc/37/42/94/1202374294.db2.gz FDWANORNYMTVRU-SFHVURJKSA-N 0 1 314.473 3.291 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CC(C)(C)C(F)(F)F)C(C)C ZINC001700976678 1202375302 /nfs/dbraw/zinc/37/53/02/1202375302.db2.gz ZEVBJZPUVWMQSC-UHFFFAOYSA-N 0 1 320.399 3.157 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cncc2ccccc21)C(C)C ZINC001700981692 1202376143 /nfs/dbraw/zinc/37/61/43/1202376143.db2.gz WMEXVOXUODMECI-UHFFFAOYSA-N 0 1 323.440 3.041 20 30 DGEDMN C[C@H](NC(=O)C#CC(C)(C)C)C1CCN(C/C=C\Cl)CC1 ZINC001701009549 1202380539 /nfs/dbraw/zinc/38/05/39/1202380539.db2.gz DVBIFPLRNZQWQX-MFNCVGGLSA-N 0 1 310.869 3.005 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC(C)(C)c1ccccc1 ZINC001707059977 1202570756 /nfs/dbraw/zinc/57/07/56/1202570756.db2.gz GEOZWYVBPOHTCG-KRWDZBQOSA-N 0 1 300.446 3.073 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](CC)c1ccc(F)cc1 ZINC001707063872 1202571021 /nfs/dbraw/zinc/57/10/21/1202571021.db2.gz RTHXCIIEFIUMPL-ZWKOTPCHSA-N 0 1 318.436 3.428 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)CC(C)(C)C)CCN1Cc1cccc(C#N)c1 ZINC001707241907 1202578055 /nfs/dbraw/zinc/57/80/55/1202578055.db2.gz RYTDQNOHYQEFIX-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(CCC(F)(F)F)[C@@H]1C ZINC001707245995 1202578253 /nfs/dbraw/zinc/57/82/53/1202578253.db2.gz AUURKLIJHYLPBB-NEPJUHHUSA-N 0 1 306.372 3.120 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(Cl)c(F)c1 ZINC001707274035 1202579653 /nfs/dbraw/zinc/57/96/53/1202579653.db2.gz CHWPBTDREWTNLS-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(C)cc1F ZINC001707275759 1202580121 /nfs/dbraw/zinc/58/01/21/1202580121.db2.gz PXSXEGIXSZTFTQ-INIZCTEOSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(CC)Cc1cncs1 ZINC001713019214 1202610380 /nfs/dbraw/zinc/61/03/80/1202610380.db2.gz HHNGQBMGHJEQHX-UHFFFAOYSA-N 0 1 309.479 3.074 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1ccsc1C ZINC001713023934 1202611212 /nfs/dbraw/zinc/61/12/12/1202611212.db2.gz DATKLGPZDNIESA-UHFFFAOYSA-N 0 1 314.882 3.180 20 30 DGEDMN CC/C(C)=C\C(=O)NCCCN(CC#Cc1ccccc1)C1CC1 ZINC001713041746 1202612378 /nfs/dbraw/zinc/61/23/78/1202612378.db2.gz VTGUMTCPKLFUQY-ZCXUNETKSA-N 0 1 324.468 3.365 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)CCC(C)(C)C)CC1 ZINC001713056948 1202613422 /nfs/dbraw/zinc/61/34/22/1202613422.db2.gz AGIIQIJOAFHQRZ-MRXNPFEDSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H](C)C2CCCCC2)CC1 ZINC001713057167 1202613445 /nfs/dbraw/zinc/61/34/45/1202613445.db2.gz DMKQDSYNXZOKNX-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCN([C@@H](CC)c2ccc(F)cc2)C1 ZINC001713081941 1202615173 /nfs/dbraw/zinc/61/51/73/1202615173.db2.gz QJHULYIFAPLQHH-MSOLQXFVSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CC23CCN(CCF)CC3)CCCC1 ZINC001713098857 1202616790 /nfs/dbraw/zinc/61/67/90/1202616790.db2.gz WTKMAXJVQPNJQO-OAHLLOKOSA-N 0 1 308.441 3.063 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CCC)C1CCN(CC#CC)CC1 ZINC001713101277 1202617163 /nfs/dbraw/zinc/61/71/63/1202617163.db2.gz QUKZCVNLDNEXHO-KRWDZBQOSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1sc(C)cc1C)C1CC1 ZINC001713111759 1202618268 /nfs/dbraw/zinc/61/82/68/1202618268.db2.gz RPGGOVOXTICSRB-ZDUSSCGKSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cccc2[nH]ccc21)C1CC1 ZINC001713122411 1202618940 /nfs/dbraw/zinc/61/89/40/1202618940.db2.gz ZHCBQXNPPHVFBW-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CC2CCCC2)C1 ZINC001713126622 1202619330 /nfs/dbraw/zinc/61/93/30/1202619330.db2.gz LKQUNIHVWWITGA-MRXNPFEDSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CC2CCC1CC2)C1CC1 ZINC001713126308 1202619398 /nfs/dbraw/zinc/61/93/98/1202619398.db2.gz FDXMZNUARALNHE-GMEATFMZSA-N 0 1 310.869 3.050 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN(C)Cc2conc2C)C1 ZINC001713130579 1202620249 /nfs/dbraw/zinc/62/02/49/1202620249.db2.gz LPGCQDSVJSFSQI-INIZCTEOSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(C)o1 ZINC001713139142 1202621272 /nfs/dbraw/zinc/62/12/72/1202621272.db2.gz ITZCKCJNUXDOFW-IYBDPMFKSA-N 0 1 302.418 3.151 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001713266642 1202633455 /nfs/dbraw/zinc/63/34/55/1202633455.db2.gz DZBOBIRBYSZQTI-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H]1CCCN(Cc2cncs2)C1 ZINC001713274772 1202634441 /nfs/dbraw/zinc/63/44/41/1202634441.db2.gz ACXUSXJJKYLZAO-HUUCEWRRSA-N 0 1 321.490 3.216 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001713496338 1202660472 /nfs/dbraw/zinc/66/04/72/1202660472.db2.gz RLGHTADMVONURF-SFHVURJKSA-N 0 1 314.473 3.025 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C(C)(C)CC ZINC001713549614 1202668827 /nfs/dbraw/zinc/66/88/27/1202668827.db2.gz XTNSSVJIBCIEKQ-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(Cc1cnccc1C)C1CC1 ZINC001713560425 1202670731 /nfs/dbraw/zinc/67/07/31/1202670731.db2.gz HNAGYVUCFTYOIH-UHFFFAOYSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc(C)c(C)cc1Cl)C1CC1 ZINC001713560506 1202670783 /nfs/dbraw/zinc/67/07/83/1202670783.db2.gz KLCIFVDHGSOLIS-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@]1(C)CC=C(C)CC1)c1ccccc1 ZINC001713703439 1202678883 /nfs/dbraw/zinc/67/88/83/1202678883.db2.gz CRIQYPRPPJIDOF-ICSRJNTNSA-N 0 1 310.441 3.203 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2csc(C)c2C)C1 ZINC001713717688 1202680719 /nfs/dbraw/zinc/68/07/19/1202680719.db2.gz QARWJRDDHMZWOA-HNNXBMFYSA-N 0 1 306.475 3.477 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C/C=C/Cc2ccccc2)C1 ZINC001713717992 1202681058 /nfs/dbraw/zinc/68/10/58/1202681058.db2.gz XCQNXPFDUMANFD-NDDJGDGRSA-N 0 1 324.468 3.122 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2cc(Cl)cs2)C1 ZINC001713720092 1202681354 /nfs/dbraw/zinc/68/13/54/1202681354.db2.gz NXUKUAUFHNIOKT-AWEZNQCLSA-N 0 1 324.877 3.351 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](CC)CC(F)(F)F)C1 ZINC001713720719 1202681393 /nfs/dbraw/zinc/68/13/93/1202681393.db2.gz BPMUKXLNFQTEKG-ZIAGYGMSSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)C[C@@H](C)c2ccc(CC)cc2)C1 ZINC001713830903 1202693663 /nfs/dbraw/zinc/69/36/63/1202693663.db2.gz DHUQJPAIYZXIII-QAPCUYQASA-N 0 1 300.446 3.119 20 30 DGEDMN CCCCCCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001713834909 1202694215 /nfs/dbraw/zinc/69/42/15/1202694215.db2.gz PMHKLVRYNRZGHP-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001713874019 1202697720 /nfs/dbraw/zinc/69/77/20/1202697720.db2.gz BRWDBGFTBFCOPC-GJZGRUSLSA-N 0 1 318.436 3.474 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(CCc2ccccc2F)C1 ZINC001713905820 1202701205 /nfs/dbraw/zinc/70/12/05/1202701205.db2.gz YHJFVMAYJBMHFO-UHFFFAOYSA-N 0 1 318.436 3.019 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc(C)c1 ZINC001713937412 1202703916 /nfs/dbraw/zinc/70/39/16/1202703916.db2.gz PLDIYLARUVITEK-ROUUACIJSA-N 0 1 315.461 3.259 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2csc(C3CC3)n2)C1 ZINC001713988178 1202715838 /nfs/dbraw/zinc/71/58/38/1202715838.db2.gz QAKHTTZXZJKIGM-OKILXGFUSA-N 0 1 319.474 3.114 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001713996866 1202720481 /nfs/dbraw/zinc/72/04/81/1202720481.db2.gz AEHIHJPCYFLRGD-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN CC[C@H](C)C(=O)N(CC)[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001714028132 1202736659 /nfs/dbraw/zinc/73/66/59/1202736659.db2.gz UVFVXBWBNCJBHG-MAUKXSAKSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCCN2C[C@@H](F)CC)CCC1 ZINC001714114152 1202785748 /nfs/dbraw/zinc/78/57/48/1202785748.db2.gz KPFYPVIMSWLEPI-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN CCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2ccccc2C#N)C1 ZINC001714145942 1202801451 /nfs/dbraw/zinc/80/14/51/1202801451.db2.gz SJVGSDANMAQDLC-RDJZCZTQSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2ccccc2F)CCC1 ZINC001714270228 1202857022 /nfs/dbraw/zinc/85/70/22/1202857022.db2.gz LZRBPYPRGURSTK-AWEZNQCLSA-N 0 1 304.409 3.166 20 30 DGEDMN C[C@H](CNC(=O)C12CCC(CC1)CC2)NCC#Cc1ccccc1 ZINC001714304502 1202873036 /nfs/dbraw/zinc/87/30/36/1202873036.db2.gz OSCJWJZZMJYOKI-FQVISZRSSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCCC(=O)NCCC1CCN(Cc2ccns2)CC1 ZINC001714330074 1202885793 /nfs/dbraw/zinc/88/57/93/1202885793.db2.gz UAWGTCHFAYVPOT-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@H](N(C)C/C=C\Cl)C2)CC1 ZINC001714446813 1202939148 /nfs/dbraw/zinc/93/91/48/1202939148.db2.gz WPAPQVFYGRZROP-FIRRRZPPSA-N 0 1 310.869 3.408 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C(C)(C)CC(C)(C)C)CC2 ZINC001714524150 1202977306 /nfs/dbraw/zinc/97/73/06/1202977306.db2.gz YJEIKQCFTOKQDI-UHFFFAOYSA-N 0 1 318.505 3.397 20 30 DGEDMN CC[C@H](c1ccc(F)cc1F)N(CC)CCNC(=O)[C@H](C)C#N ZINC001714580074 1203020556 /nfs/dbraw/zinc/02/05/56/1203020556.db2.gz XBRNAVOVINCFFM-MLGOLLRUSA-N 0 1 323.387 3.014 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCN(Cc2ccc(F)c(Cl)c2)C1 ZINC001714628658 1203062356 /nfs/dbraw/zinc/06/23/56/1203062356.db2.gz JESXGVMOBGTAAA-CQSZACIVSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNCc1nc(C)sc1C ZINC001714629946 1203063947 /nfs/dbraw/zinc/06/39/47/1203063947.db2.gz DWPXMXTWAMYWMY-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN[C@@H]1CCc2c1c(F)ccc2F ZINC001714718327 1203108870 /nfs/dbraw/zinc/10/88/70/1203108870.db2.gz STBMHBICNBHQEO-MRXNPFEDSA-N 0 1 322.399 3.356 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)[C@H](C)C(CC)CC ZINC001714727455 1203111668 /nfs/dbraw/zinc/11/16/68/1203111668.db2.gz IUZOGVMDSWDHPJ-GFCCVEGCSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CC(C)(C)c1ccccc1 ZINC001714796942 1203128747 /nfs/dbraw/zinc/12/87/47/1203128747.db2.gz JUVXBLQXFKVOND-UHFFFAOYSA-N 0 1 308.853 3.155 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CCC2(CC2)CC1)c1ccccc1 ZINC001714835197 1203144460 /nfs/dbraw/zinc/14/44/60/1203144460.db2.gz BFOUKXRAZPSUDA-SFHVURJKSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C)cc2OC)C1 ZINC001714862689 1203162159 /nfs/dbraw/zinc/16/21/59/1203162159.db2.gz SFBAQCOUOXVYKQ-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C=C2CCCCC2)C1 ZINC001714863374 1203162554 /nfs/dbraw/zinc/16/25/54/1203162554.db2.gz JPGWBVACDSGQJT-INIZCTEOSA-N 0 1 310.869 3.458 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)C2(C)CC2)C1 ZINC001714904112 1203189317 /nfs/dbraw/zinc/18/93/17/1203189317.db2.gz DFKNHJMDQRYLPB-IBGZPJMESA-N 0 1 324.468 3.303 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1cnc(C)o1)C1CCCCC1 ZINC001714951614 1203214564 /nfs/dbraw/zinc/21/45/64/1203214564.db2.gz BBOVOEJRMFFYLQ-QGZVFWFLSA-N 0 1 319.449 3.104 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001715041162 1203250528 /nfs/dbraw/zinc/25/05/28/1203250528.db2.gz AZZJBZPVCNTEIV-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1ccc(C)s1 ZINC001715087912 1203268659 /nfs/dbraw/zinc/26/86/59/1203268659.db2.gz KSEMFFBVXWSXGX-CYBMUJFWSA-N 0 1 314.882 3.226 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CC[N@@H+](Cc2scnc2C)C1 ZINC001753441660 1203287521 /nfs/dbraw/zinc/28/75/21/1203287521.db2.gz NGQRAOKBZIDJBB-CABCVRRESA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001753441660 1203287524 /nfs/dbraw/zinc/28/75/24/1203287524.db2.gz NGQRAOKBZIDJBB-CABCVRRESA-N 0 1 321.490 3.087 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](F)c1ccccc1 ZINC001715211879 1203296920 /nfs/dbraw/zinc/29/69/20/1203296920.db2.gz DLAPJHLDTUJXQO-CABCVRRESA-N 0 1 310.800 3.030 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@H]1CCCC1(F)F ZINC001715212401 1203296991 /nfs/dbraw/zinc/29/69/91/1203296991.db2.gz HTRSLLZQOXUCAT-CHWSQXEVSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)C(C)(C)CC(C)C)C1 ZINC001715289270 1203307480 /nfs/dbraw/zinc/30/74/80/1203307480.db2.gz CCBHKSUDLVLVAB-IRXDYDNUSA-N 0 1 324.509 3.230 20 30 DGEDMN C=CCCCN1CCO[C@H](CNC(=O)C2(C)CCCCCC2)C1 ZINC001715283725 1203307622 /nfs/dbraw/zinc/30/76/22/1203307622.db2.gz SSBJIMMCMBHMTG-QGZVFWFLSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2cnc(C)o2)CCCC1 ZINC001715301327 1203310364 /nfs/dbraw/zinc/31/03/64/1203310364.db2.gz NALFDILZQFPOPY-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001715363094 1203325177 /nfs/dbraw/zinc/32/51/77/1203325177.db2.gz SJUJOJSCPPQJFF-BEFAXECRSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@@H](C)c1ccccc1 ZINC001715372298 1203327498 /nfs/dbraw/zinc/32/74/98/1203327498.db2.gz CSVOSDREIPQRLQ-UKRRQHHQSA-N 0 1 308.853 3.369 20 30 DGEDMN CCC(C)(CC)C(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001715378264 1203328965 /nfs/dbraw/zinc/32/89/65/1203328965.db2.gz UNXQMDRVQRNDCQ-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C1(CCF)CC1 ZINC001715386986 1203331349 /nfs/dbraw/zinc/33/13/49/1203331349.db2.gz LMYFJHQYSQGUAN-OCCSQVGLSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C(C)(C)C1CC1 ZINC001715387069 1203331718 /nfs/dbraw/zinc/33/17/18/1203331718.db2.gz DTVJYZOQKADNPK-CQSZACIVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2(CC)CC2)CC1 ZINC001715477190 1203347621 /nfs/dbraw/zinc/34/76/21/1203347621.db2.gz PVQQZZXKCWPQSI-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCCC(=O)NC[C@@H](NCc1cc(O)ccc1Cl)C(C)C ZINC001715521753 1203360118 /nfs/dbraw/zinc/36/01/18/1203360118.db2.gz LRMNLFCGEKOWIM-MRXNPFEDSA-N 0 1 324.852 3.242 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)c1cccc(C)c1 ZINC001715716952 1203384072 /nfs/dbraw/zinc/38/40/72/1203384072.db2.gz DPMRDJWWYQWFQX-UHFFFAOYSA-N 0 1 320.436 3.098 20 30 DGEDMN C=CCCC(=O)N(CC)CCNCc1cccc(F)c1Cl ZINC001715720720 1203384181 /nfs/dbraw/zinc/38/41/81/1203384181.db2.gz LZRHDPHHFXHRAJ-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)cc(Cl)c1 ZINC001715744442 1203386831 /nfs/dbraw/zinc/38/68/31/1203386831.db2.gz OQQMDUNYFQYFRT-UHFFFAOYSA-N 0 1 315.244 3.453 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CNCc1ccccc1F ZINC001715865707 1203398972 /nfs/dbraw/zinc/39/89/72/1203398972.db2.gz ROGRVSGZPCFHRZ-CNZFZVQHSA-N 0 1 304.409 3.190 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(F)cc1Cl ZINC001715904058 1203402315 /nfs/dbraw/zinc/40/23/15/1203402315.db2.gz NGGKKYZVDAKTNO-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C[C@H](CC(=O)N[C@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001715962335 1203412190 /nfs/dbraw/zinc/41/21/90/1203412190.db2.gz INODOAQNSNRNRA-HUUCEWRRSA-N 0 1 306.837 3.193 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2cc(C)ccc2o1 ZINC001716007851 1203420405 /nfs/dbraw/zinc/42/04/05/1203420405.db2.gz AGZQLDHPNIZXRI-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)[C@@H](C)c1ccccc1Cl ZINC001716026748 1203428028 /nfs/dbraw/zinc/42/80/28/1203428028.db2.gz TXLZJADXSIVWRA-GJZGRUSLSA-N 0 1 320.864 3.497 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CC[C@H](C)c1ccccc1 ZINC001716042261 1203434047 /nfs/dbraw/zinc/43/40/47/1203434047.db2.gz QCXIAMCZQQEMKI-IRXDYDNUSA-N 0 1 300.446 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCNCc2ncoc2C(C)C)C1 ZINC001716173497 1203445914 /nfs/dbraw/zinc/44/59/14/1203445914.db2.gz YZMZOYZPSLBXDP-CQSZACIVSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001716257889 1203454417 /nfs/dbraw/zinc/45/44/17/1203454417.db2.gz JBPWQJWWDYASKT-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1CCc2ccccc2C1 ZINC001716421075 1203470234 /nfs/dbraw/zinc/47/02/34/1203470234.db2.gz USANOJRZIOXNIC-HUUCEWRRSA-N 0 1 320.864 3.028 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CNCc1nc2cc(C)ccc2s1 ZINC001716431082 1203472110 /nfs/dbraw/zinc/47/21/10/1203472110.db2.gz DKFAKECYURXRBT-ZDUSSCGKSA-N 0 1 317.458 3.165 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CCC)c1ccccc1 ZINC001716462718 1203478630 /nfs/dbraw/zinc/47/86/30/1203478630.db2.gz QNQUOMQNMVAGHS-GOEBONIOSA-N 0 1 308.853 3.417 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@H](c1ccccc1)C(C)C ZINC001716500452 1203492786 /nfs/dbraw/zinc/49/27/86/1203492786.db2.gz CDZHLIOOSDRMHT-HKUYNNGSSA-N 0 1 314.473 3.276 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC[C@H](CC)CC1)C1CC1 ZINC001716626214 1203508389 /nfs/dbraw/zinc/50/83/89/1203508389.db2.gz GGQQUULOUPODMF-FVQBIDKESA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H](C)c1ccccc1F ZINC001716665199 1203519809 /nfs/dbraw/zinc/51/98/09/1203519809.db2.gz IHWLSEBWPCJJQT-HUUCEWRRSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](CC)Cc1ccccc1C ZINC001716667746 1203520297 /nfs/dbraw/zinc/52/02/97/1203520297.db2.gz GPAWCSORCLBAEC-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2cccc(F)c2Cl)CC1 ZINC001716681302 1203524155 /nfs/dbraw/zinc/52/41/55/1203524155.db2.gz RLDKQMLFXHILHG-UHFFFAOYSA-N 0 1 322.811 3.021 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001716683749 1203524530 /nfs/dbraw/zinc/52/45/30/1203524530.db2.gz OVMQZTJGACZVLG-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001716744869 1203536061 /nfs/dbraw/zinc/53/60/61/1203536061.db2.gz SIEQZPOBYHOKJO-ZDUSSCGKSA-N 0 1 322.399 3.177 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN([C@H]2CCCc3ccccc32)C1 ZINC001716746964 1203536206 /nfs/dbraw/zinc/53/62/06/1203536206.db2.gz WRBBLRMAGSOOPU-FQEVSTJZSA-N 0 1 324.468 3.400 20 30 DGEDMN C#CCN(C(=O)C1CC(C)(C)C1)C1CCN(C[C@@H](F)CC)CC1 ZINC001716805206 1203545034 /nfs/dbraw/zinc/54/50/34/1203545034.db2.gz GQRWHAHHDDPLFM-INIZCTEOSA-N 0 1 322.468 3.097 20 30 DGEDMN C#CCN(C(=O)CC(C)=C(C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001716808080 1203545403 /nfs/dbraw/zinc/54/54/03/1203545403.db2.gz IUNHHSYSUKODFV-QGZVFWFLSA-N 0 1 322.468 3.407 20 30 DGEDMN C#CCN(C(=O)C[C@@H](CC)CCCC)C1CCN(CC#C)CC1 ZINC001716807556 1203545528 /nfs/dbraw/zinc/54/55/28/1203545528.db2.gz SXWDGQZPDJBBCR-SFHVURJKSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001716829912 1203549565 /nfs/dbraw/zinc/54/95/65/1203549565.db2.gz FRXUGYDADJWAES-WMZOPIPTSA-N 0 1 310.457 3.263 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CCCCc2ccccc2)C1 ZINC001716848006 1203554790 /nfs/dbraw/zinc/55/47/90/1203554790.db2.gz VRKZFOSNKVDNJC-HXUWFJFHSA-N 0 1 312.457 3.003 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@H]1CN(CC=C)CCC1(F)F ZINC001716910315 1203567773 /nfs/dbraw/zinc/56/77/73/1203567773.db2.gz DSAKPPDADSHPTO-HNNXBMFYSA-N 0 1 312.404 3.014 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]2[C@H]1CCN2C/C=C/Cl ZINC001717035011 1203579317 /nfs/dbraw/zinc/57/93/17/1203579317.db2.gz FBKPQJGBIFWQKP-HXLJHPCKSA-N 0 1 310.869 3.407 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CCCC(C)C ZINC001717112868 1203586058 /nfs/dbraw/zinc/58/60/58/1203586058.db2.gz CAGUJQKSWYBKQB-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(C)CC=CC3)cccc2C1 ZINC001717143259 1203589957 /nfs/dbraw/zinc/58/99/57/1203589957.db2.gz DCHMPBOXFBJXKI-UHFFFAOYSA-N 0 1 310.441 3.203 20 30 DGEDMN CC1(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)CC=CC1 ZINC001717158336 1203593151 /nfs/dbraw/zinc/59/31/51/1203593151.db2.gz SCOBQXLOUUZENK-UHFFFAOYSA-N 0 1 322.452 3.023 20 30 DGEDMN CC1(CCC(=O)NCC2(NCC#Cc3ccccc3)CCC2)CC1 ZINC001717160019 1203593854 /nfs/dbraw/zinc/59/38/54/1203593854.db2.gz DPPHCIUPNFNKAP-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2nc(C)c(C)s2)CC1 ZINC001717192000 1203596518 /nfs/dbraw/zinc/59/65/18/1203596518.db2.gz XJOHRLYULDKERA-OAHLLOKOSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001717243758 1203609027 /nfs/dbraw/zinc/60/90/27/1203609027.db2.gz KZGAQAWMGWNWMY-YJBOKZPZSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1Cc2ccccc2CN1CC ZINC001717243757 1203609087 /nfs/dbraw/zinc/60/90/87/1203609087.db2.gz KZGAQAWMGWNWMY-QAPCUYQASA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](F)C(C)C ZINC001717343772 1203622201 /nfs/dbraw/zinc/62/22/01/1203622201.db2.gz AJRHGKRHSPEGTH-ROUUACIJSA-N 0 1 318.436 3.272 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1C ZINC001717371266 1203628266 /nfs/dbraw/zinc/62/82/66/1203628266.db2.gz NGQQXQZFZXLSQE-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C/C=C\c1ccc(F)cc1 ZINC001717415104 1203639321 /nfs/dbraw/zinc/63/93/21/1203639321.db2.gz IKDDFXCFXLIQJY-UUSXEQNQSA-N 0 1 324.827 3.464 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(F)cc(C)cc1F ZINC001717418487 1203639710 /nfs/dbraw/zinc/63/97/10/1203639710.db2.gz HLKGHTVPXCJOFC-GHMZBOCLSA-N 0 1 316.779 3.122 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001717419750 1203640022 /nfs/dbraw/zinc/64/00/22/1203640022.db2.gz IMUZFWYOCNQPLK-WDEREUQCSA-N 0 1 323.799 3.156 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1coc(C(F)F)c1 ZINC001717424606 1203641731 /nfs/dbraw/zinc/64/17/31/1203641731.db2.gz ICROTDVMEQKIJL-RKDXNWHRSA-N 0 1 306.740 3.066 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001717424064 1203641358 /nfs/dbraw/zinc/64/13/58/1203641358.db2.gz AXIHXHGOKQDALM-OLZOCXBDSA-N 0 1 310.388 3.304 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)CCCC(C)C ZINC001717426732 1203642112 /nfs/dbraw/zinc/64/21/12/1203642112.db2.gz KEBWNFSQTSSFNV-OLZOCXBDSA-N 0 1 319.287 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCCc1cccs1 ZINC001717438078 1203644191 /nfs/dbraw/zinc/64/41/91/1203644191.db2.gz VGCVYPWGXWTRAJ-STQMWFEESA-N 0 1 314.882 3.306 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2c(F)cccc2F)C1 ZINC001717438619 1203644267 /nfs/dbraw/zinc/64/42/67/1203644267.db2.gz JHDKDXMEEZZUFY-CHWSQXEVSA-N 0 1 322.399 3.304 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(CCCC)CC1 ZINC001717538512 1203676462 /nfs/dbraw/zinc/67/64/62/1203676462.db2.gz KKTRQGTZULJIJV-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCCN1Cc1cccnc1 ZINC001717539642 1203677182 /nfs/dbraw/zinc/67/71/82/1203677182.db2.gz ZKTIMPUQYLYZLX-SFHVURJKSA-N 0 1 315.461 3.299 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@](C)(F)CCCC ZINC001717538971 1203677339 /nfs/dbraw/zinc/67/73/39/1203677339.db2.gz WITWPYUDNOWXSM-SJLPKXTDSA-N 0 1 310.457 3.289 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001717561157 1203682335 /nfs/dbraw/zinc/68/23/35/1203682335.db2.gz ZVGQTXZGHMRQDK-IRXDYDNUSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001717584707 1203686492 /nfs/dbraw/zinc/68/64/92/1203686492.db2.gz BJINGGDVRPEBLT-IUODEOHRSA-N 0 1 320.399 3.368 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CCCCC)C(C)C)C(C)(C)C1 ZINC001717587639 1203687391 /nfs/dbraw/zinc/68/73/91/1203687391.db2.gz JCABLFSUGGVVFX-IAGOWNOFSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCNCc1nc(C)sc1C ZINC001717680177 1203697700 /nfs/dbraw/zinc/69/77/00/1203697700.db2.gz GXRBNQYXCKMDEH-GFCCVEGCSA-N 0 1 323.506 3.347 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(N(C)CC=C(Cl)Cl)CC1 ZINC001717703240 1203702059 /nfs/dbraw/zinc/70/20/59/1203702059.db2.gz ORCTVMTWIZIFFP-UHFFFAOYSA-N 0 1 319.276 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(F)c(C)c1 ZINC001717735825 1203706715 /nfs/dbraw/zinc/70/67/15/1203706715.db2.gz DHXAWDKNUZNNHD-INIZCTEOSA-N 0 1 324.827 3.327 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@H](C)NCc1cccc(F)c1F ZINC001717738957 1203707369 /nfs/dbraw/zinc/70/73/69/1203707369.db2.gz SPMDWHWIYWOGON-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCCc2ccccc2)C1 ZINC001717822475 1203716461 /nfs/dbraw/zinc/71/64/61/1203716461.db2.gz SKFLGRLHWZCBRY-RDJZCZTQSA-N 0 1 320.864 3.341 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CCCCc2ccccc2)[C@H]1C ZINC001717857629 1203723202 /nfs/dbraw/zinc/72/32/02/1203723202.db2.gz JNGTYXAFRAFVNK-MJGOQNOKSA-N 0 1 312.457 3.002 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H](CC)CC1CCCC1)C(C)C ZINC001717951224 1203733535 /nfs/dbraw/zinc/73/35/35/1203733535.db2.gz LHNIAMUNAZKXLH-GOSISDBHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1OC)C(C)(C)C ZINC001717971472 1203738354 /nfs/dbraw/zinc/73/83/54/1203738354.db2.gz LTHSFAGYGNAQHF-HNNXBMFYSA-N 0 1 324.852 3.182 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CC(NC/C(Cl)=C\Cl)C1 ZINC001717995001 1203741626 /nfs/dbraw/zinc/74/16/26/1203741626.db2.gz BJCWFJYZGWNILW-TVBKEKRUSA-N 0 1 319.276 3.487 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCCCC1(C)C)C1CC1 ZINC001723356069 1203965274 /nfs/dbraw/zinc/96/52/74/1203965274.db2.gz NCKZGWCIUSZHHC-LSDHHAIUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001723444025 1203972665 /nfs/dbraw/zinc/97/26/65/1203972665.db2.gz XDQVXJXLQPTRRQ-LJQANCHMSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCCc2ccc(F)cc2)CC1 ZINC001723559543 1203982704 /nfs/dbraw/zinc/98/27/04/1203982704.db2.gz DCZOOANKCWZENF-UHFFFAOYSA-N 0 1 324.827 3.139 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@]2(C1)CCCN(C/C=C/Cl)C2 ZINC001723680847 1203988381 /nfs/dbraw/zinc/98/83/81/1203988381.db2.gz RKPNAMXHOMFFNE-BHMZLHOFSA-N 0 1 310.869 3.410 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc3c(o2)CCCC3)C1 ZINC001723766826 1203992188 /nfs/dbraw/zinc/99/21/88/1203992188.db2.gz YXSANVTWGPVSCI-CQSZACIVSA-N 0 1 316.445 3.269 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(CCCCC2CCOCC2)C1 ZINC001723764741 1203992292 /nfs/dbraw/zinc/99/22/92/1203992292.db2.gz BCESXNXZWCIBCY-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@@H](C)c2ccco2)C1 ZINC001723812460 1203994144 /nfs/dbraw/zinc/99/41/44/1203994144.db2.gz DSKYXZBFJGGTMQ-HUUCEWRRSA-N 0 1 304.434 3.271 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CCC(C)C)C1CCCC1 ZINC001724074652 1204002665 /nfs/dbraw/zinc/00/26/65/1204002665.db2.gz ZYOWBVWHJWIKRN-OAHLLOKOSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C)CC=CC1)C1CCCC1 ZINC001724096222 1204004887 /nfs/dbraw/zinc/00/48/87/1204004887.db2.gz UHNSJPYFVYLCBR-HNNXBMFYSA-N 0 1 310.869 3.360 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCC[C@@]2(CCN(CCF)C2)C1 ZINC001724125983 1204006102 /nfs/dbraw/zinc/00/61/02/1204006102.db2.gz NBJCNSMJKUTSGB-IBGZPJMESA-N 0 1 322.468 3.429 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@H]3CC[C@@H](C2)N3CCF)CCCC1 ZINC001724121946 1204006284 /nfs/dbraw/zinc/00/62/84/1204006284.db2.gz GKJMVMKXJLKWQZ-CVEARBPZSA-N 0 1 308.441 3.158 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001724179026 1204012580 /nfs/dbraw/zinc/01/25/80/1204012580.db2.gz KTBSXRJLHJFZBE-IFXJQAMLSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001724374812 1204025527 /nfs/dbraw/zinc/02/55/27/1204025527.db2.gz BOQRVPNUDYDBSD-ZIAGYGMSSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C3(C)CC(=C)C3)cccc2C1 ZINC001724777990 1204045697 /nfs/dbraw/zinc/04/56/97/1204045697.db2.gz ZONKJZMKCLJHDW-UHFFFAOYSA-N 0 1 310.441 3.203 20 30 DGEDMN CC(C)CCC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001724779948 1204045814 /nfs/dbraw/zinc/04/58/14/1204045814.db2.gz CFVWPZCSTGZCIS-UHFFFAOYSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001724796235 1204048508 /nfs/dbraw/zinc/04/85/08/1204048508.db2.gz LCGBUPDVUBJKLZ-CXUHLZMHSA-N 0 1 323.440 3.209 20 30 DGEDMN C=CCC[C@H](C)N1CC2(C1)C[C@H](NC(=O)CCCC(C)C)CO2 ZINC001724877556 1204061390 /nfs/dbraw/zinc/06/13/90/1204061390.db2.gz BQDBHHFSHFNJMS-IRXDYDNUSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CCCC ZINC001724891638 1204063541 /nfs/dbraw/zinc/06/35/41/1204063541.db2.gz AMJSZCVIJVNLIM-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)/C=C(/C)CC)C2 ZINC001724896798 1204064784 /nfs/dbraw/zinc/06/47/84/1204064784.db2.gz RQJVPNRWXJUUGK-OOXMNIKJSA-N 0 1 310.869 3.410 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CC=CCC3)cc2C1 ZINC001724922502 1204065748 /nfs/dbraw/zinc/06/57/48/1204065748.db2.gz FSWQKUBLNADQRA-QGZVFWFLSA-N 0 1 322.452 3.388 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(C/C=C/Cl)CC1(C)C ZINC001724970216 1204073509 /nfs/dbraw/zinc/07/35/09/1204073509.db2.gz JLVYLKXHRFXJBQ-KUCQQTCKSA-N 0 1 310.869 3.149 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001724969963 1204073659 /nfs/dbraw/zinc/07/36/59/1204073659.db2.gz JIJKHLPCLRJOQW-SJORKVTESA-N 0 1 322.468 3.145 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cncs2)[C@@H](C)C1 ZINC001725003689 1204078316 /nfs/dbraw/zinc/07/83/16/1204078316.db2.gz QZMDEVKHIIXFMT-KBPBESRZSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2ccc(F)cc2F)C1 ZINC001725112557 1204097187 /nfs/dbraw/zinc/09/71/87/1204097187.db2.gz WRONBWYTAUGZAQ-STQMWFEESA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2c1CCC2 ZINC001725133127 1204100545 /nfs/dbraw/zinc/10/05/45/1204100545.db2.gz XURPOBNJYJYGHC-OLZOCXBDSA-N 0 1 306.837 3.024 20 30 DGEDMN C=CCCC(=O)N(C)C1CCC(NCc2csc(C)n2)CC1 ZINC001725133752 1204100724 /nfs/dbraw/zinc/10/07/24/1204100724.db2.gz UTMGEGLXYVWVPM-UHFFFAOYSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1oc(CCC)nc1C ZINC001725135293 1204100970 /nfs/dbraw/zinc/10/09/70/1204100970.db2.gz QVBSPCZVQPVAHE-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001725173221 1204110582 /nfs/dbraw/zinc/11/05/82/1204110582.db2.gz AUSAIQTYNALRQC-HOTGVXAUSA-N 0 1 313.445 3.097 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)N(C)Cc2ncccc2C)CC1 ZINC001725183060 1204114057 /nfs/dbraw/zinc/11/40/57/1204114057.db2.gz YKGQBLFKBBOLBB-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001725253788 1204128572 /nfs/dbraw/zinc/12/85/72/1204128572.db2.gz FQMAGBFERFBYTB-KLHKWILBSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H](C)c2ccc(CC)cc2)C1 ZINC001725261915 1204129939 /nfs/dbraw/zinc/12/99/39/1204129939.db2.gz AFGNEANYKUMGRQ-HOTGVXAUSA-N 0 1 314.473 3.365 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2cccnc2C)CC1(C)C ZINC001725285694 1204133217 /nfs/dbraw/zinc/13/32/17/1204133217.db2.gz DEAIINIGMTXYSZ-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@H](CC)CCCC)C(C)(C)C1 ZINC001725293988 1204134621 /nfs/dbraw/zinc/13/46/21/1204134621.db2.gz MBFKOMIZWRKYFN-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCCC[C@@H](C)CC)C2)C1 ZINC001725338260 1204137945 /nfs/dbraw/zinc/13/79/45/1204137945.db2.gz NNZPPVFNZBUSRP-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C[C@@H](CCCNC/C(Cl)=C/Cl)NC(=O)C#CC(C)(C)C ZINC001725383507 1204143865 /nfs/dbraw/zinc/14/38/65/1204143865.db2.gz AYXNUCHDTXSYAX-IXJPEXDMSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1ccc(C)c(F)c1 ZINC001725458499 1204153124 /nfs/dbraw/zinc/15/31/24/1204153124.db2.gz DVBHTOALEVNHIH-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=CCCC(=O)N(C)C[C@H](C)NCc1cccc(Cl)c1F ZINC001725475426 1204155338 /nfs/dbraw/zinc/15/53/38/1204155338.db2.gz FASYVNGACUTMDI-LBPRGKRZSA-N 0 1 312.816 3.382 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c(F)cc(C)cc1F ZINC001725498986 1204159419 /nfs/dbraw/zinc/15/94/19/1204159419.db2.gz HFMQRHZSVITDHP-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NCc1nc(C)c(C)s1 ZINC001725510282 1204162543 /nfs/dbraw/zinc/16/25/43/1204162543.db2.gz FNPABDHUAAOKME-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2nc(C)sc2C)C[C@@H]1C ZINC001725582498 1204171541 /nfs/dbraw/zinc/17/15/41/1204171541.db2.gz BHWSRGKRQANAKW-WFASDCNBSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC2(C=C)CCCCC2)[C@H]1C ZINC001725630956 1204186309 /nfs/dbraw/zinc/18/63/09/1204186309.db2.gz OCDDNHAAOHBLOS-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cc2c(s1)CCCC2)C(C)C ZINC001725733870 1204205185 /nfs/dbraw/zinc/20/51/85/1204205185.db2.gz UXCSVFFFVFRPST-UHFFFAOYSA-N 0 1 318.486 3.043 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC001725736943 1204207241 /nfs/dbraw/zinc/20/72/41/1204207241.db2.gz BKICBPQRPWNTST-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725798860 1204224190 /nfs/dbraw/zinc/22/41/90/1204224190.db2.gz RAAZUQJIVZNTHT-ZBFHGGJFSA-N 0 1 321.465 3.206 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C(C)=C2CCCC2)c(F)c1 ZINC001725831061 1204229514 /nfs/dbraw/zinc/22/95/14/1204229514.db2.gz JUHAYAALKXNEGL-UHFFFAOYSA-N 0 1 314.404 3.055 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN2C[C@H](F)CC)CCCC1 ZINC001753118435 1204316549 /nfs/dbraw/zinc/31/65/49/1204316549.db2.gz IDLYUWAQHDBHFC-HZPDHXFCSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC[C@@H]1CC ZINC001731314971 1204370385 /nfs/dbraw/zinc/37/03/85/1204370385.db2.gz CDYICEZPHUBGKX-GJZGRUSLSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)CC[C@H](C)c2ccccc2)CC1 ZINC001731350878 1204381547 /nfs/dbraw/zinc/38/15/47/1204381547.db2.gz MUDBYPQRDFACAD-KRWDZBQOSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC[C@@H](C(=O)N(C)C1CCN(CC#CC)CC1)c1ccccc1 ZINC001731351025 1204382062 /nfs/dbraw/zinc/38/20/62/1204382062.db2.gz SALRALVDKVSANK-HXUWFJFHSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1sccc1C1CC1)C1CC1 ZINC001731408580 1204400966 /nfs/dbraw/zinc/40/09/66/1204400966.db2.gz IAWPSBVUYWUIAF-AWEZNQCLSA-N 0 1 324.877 3.476 20 30 DGEDMN C#CCC1(C(=O)NC[C@H](NCC(=C)Cl)C2CC2)CCCCC1 ZINC001731417058 1204405436 /nfs/dbraw/zinc/40/54/36/1204405436.db2.gz VLXSPABAPFWFDQ-INIZCTEOSA-N 0 1 322.880 3.197 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C2(CF)CCC2)C1 ZINC001731421293 1204407030 /nfs/dbraw/zinc/40/70/30/1204407030.db2.gz HGAZGLNUFUWVAD-AWEZNQCLSA-N 0 1 316.848 3.049 20 30 DGEDMN O=C(C1=CCCCCC1)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001731432140 1204410707 /nfs/dbraw/zinc/41/07/07/1204410707.db2.gz JTNGOPCGECUPCM-FQEVSTJZSA-N 0 1 322.452 3.119 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)N2CC[C@H](NCC#Cc3ccccc3)C2)CC1 ZINC001731435988 1204412136 /nfs/dbraw/zinc/41/21/36/1204412136.db2.gz NKKRRMXDUAUCEK-IHPCNDPISA-N 0 1 324.468 3.055 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCCN1Cc1ccccn1 ZINC001731537487 1204449888 /nfs/dbraw/zinc/44/98/88/1204449888.db2.gz HJFWQPRSXCNENX-FUHWJXTLSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001731549016 1204455634 /nfs/dbraw/zinc/45/56/34/1204455634.db2.gz UNQPNMGIIIRNGO-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C(CC)CC)C1 ZINC001731557400 1204461142 /nfs/dbraw/zinc/46/11/42/1204461142.db2.gz RCPAMIKUUHOSPM-ZFWWWQNUSA-N 0 1 300.874 3.392 20 30 DGEDMN C[C@@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)NCC#Cc1ccccc1 ZINC001731591707 1204475546 /nfs/dbraw/zinc/47/55/46/1204475546.db2.gz IEAJSTNOWMZQST-PKOBYXMFSA-N 0 1 324.468 3.103 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2cc(F)ccc2F)CC1 ZINC001731613856 1204482945 /nfs/dbraw/zinc/48/29/45/1204482945.db2.gz CRODYUVEVCVLON-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN CC(C)CC(C)(C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001731628856 1204489084 /nfs/dbraw/zinc/48/90/84/1204489084.db2.gz CAPAGNUZDOIWJH-QGZVFWFLSA-N 0 1 314.473 3.205 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCC[C@@H](NCc2cc(C)on2)C1 ZINC001731687499 1204505195 /nfs/dbraw/zinc/50/51/95/1204505195.db2.gz KGZMRIOWIFFLPK-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H](N(C)Cc2ccccn2)C1 ZINC001731715073 1204518119 /nfs/dbraw/zinc/51/81/19/1204518119.db2.gz SWJIPFFLGJWFBI-KRWDZBQOSA-N 0 1 315.461 3.107 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001731804802 1204571967 /nfs/dbraw/zinc/57/19/67/1204571967.db2.gz AXDLEOVSEIREIF-RTBURBONSA-N 0 1 312.457 3.032 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CC)Cc1cc(C)ccc1OC ZINC001731808827 1204574979 /nfs/dbraw/zinc/57/49/79/1204574979.db2.gz PFZLAKKJQQOURC-UHFFFAOYSA-N 0 1 318.461 3.154 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001731831948 1204590082 /nfs/dbraw/zinc/59/00/82/1204590082.db2.gz JQBAATTWTYKLNH-OAHLLOKOSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCCN1Cc1ccsc1 ZINC001731833220 1204591910 /nfs/dbraw/zinc/59/19/10/1204591910.db2.gz GJUSAIQPOUBJNZ-WBVHZDCISA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN(CCNC(=O)C(C)(C)C1CCCCC1)C1CC1 ZINC001731881872 1204621532 /nfs/dbraw/zinc/62/15/32/1204621532.db2.gz FNADYYSBICIVAI-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN CCC(CC)CC(=O)N(C)CCNCC#Cc1ccc(F)cc1 ZINC001731970329 1204637495 /nfs/dbraw/zinc/63/74/95/1204637495.db2.gz OPSGVYQQEYOOOU-UHFFFAOYSA-N 0 1 318.436 3.052 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001731967133 1204637591 /nfs/dbraw/zinc/63/75/91/1204637591.db2.gz QWDPIGYJEHXRRO-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(COC(C)C)cc1 ZINC001731981520 1204640347 /nfs/dbraw/zinc/64/03/47/1204640347.db2.gz CEJOVSRHQRLQBJ-UHFFFAOYSA-N 0 1 324.852 3.026 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CC=CC1)c1ccc(C(C)C)cc1 ZINC001732018197 1204650574 /nfs/dbraw/zinc/65/05/74/1204650574.db2.gz TZIFAENANBXDGX-IBGZPJMESA-N 0 1 310.441 3.156 20 30 DGEDMN CC(C)C(=O)NC[C@H](NCc1ccc(C#N)cc1)c1ccccc1 ZINC001732018499 1204650842 /nfs/dbraw/zinc/65/08/42/1204650842.db2.gz KAIRVXGXHUAGRG-IBGZPJMESA-N 0 1 321.424 3.161 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(C)CC1)c1ccc(C(C)C)cc1 ZINC001732018112 1204650924 /nfs/dbraw/zinc/65/09/24/1204650924.db2.gz RWWKLDWOCBGRHO-GOSISDBHSA-N 0 1 312.457 3.380 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2oc(C)cc2C)C1 ZINC001732043307 1204663991 /nfs/dbraw/zinc/66/39/91/1204663991.db2.gz KIOCTRMHGOEUPD-CQSZACIVSA-N 0 1 310.825 3.091 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cccc(C)c2F)C1 ZINC001732050828 1204668213 /nfs/dbraw/zinc/66/82/13/1204668213.db2.gz YXYYBEVOLUNLHS-AWEZNQCLSA-N 0 1 324.827 3.328 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C2CCC(=C)CC2)C1 ZINC001732054698 1204671757 /nfs/dbraw/zinc/67/17/57/1204671757.db2.gz UHLWEXYTEBGCDU-OAHLLOKOSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](CC)OC2CCCC2)C1 ZINC001732083573 1204686664 /nfs/dbraw/zinc/68/66/64/1204686664.db2.gz XTUFSJGTORGZOG-WMZOPIPTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccnn2C(CC)CC)C1 ZINC001732101228 1204693789 /nfs/dbraw/zinc/69/37/89/1204693789.db2.gz JXTGASGHNQNWJK-AWEZNQCLSA-N 0 1 318.465 3.013 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001732107089 1204695229 /nfs/dbraw/zinc/69/52/29/1204695229.db2.gz BRVGLRGAXNHAAD-UHFFFAOYSA-N 0 1 320.864 3.348 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2ccccc2Cl)C1 ZINC001732115836 1204700484 /nfs/dbraw/zinc/70/04/84/1204700484.db2.gz OKQFEAZMBTYSHM-ZDUSSCGKSA-N 0 1 306.837 3.038 20 30 DGEDMN C=CC[C@H](C(=O)NC1CN(CC2CC(C)C2)C1)c1ccccc1 ZINC001732118088 1204701558 /nfs/dbraw/zinc/70/15/58/1204701558.db2.gz KZFNXGBUYAPKSS-RJYAGPCLSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001732132272 1204706736 /nfs/dbraw/zinc/70/67/36/1204706736.db2.gz ZCWXUDCRIDZVJY-HNNXBMFYSA-N 0 1 318.436 3.306 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001732152839 1204716054 /nfs/dbraw/zinc/71/60/54/1204716054.db2.gz CXLHBZHPQJADMC-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001732158688 1204719401 /nfs/dbraw/zinc/71/94/01/1204719401.db2.gz OYFROOPCIIOLAC-YOEHRIQHSA-N 0 1 304.409 3.386 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001732164808 1204722031 /nfs/dbraw/zinc/72/20/31/1204722031.db2.gz HILKPWUVLSFPDI-MRXNPFEDSA-N 0 1 306.475 3.446 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001732168761 1204725822 /nfs/dbraw/zinc/72/58/22/1204725822.db2.gz NWBLFFFSDOERHQ-INIZCTEOSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001732197547 1204745621 /nfs/dbraw/zinc/74/56/21/1204745621.db2.gz CLDGJOQMXNGKKN-MELADBBJSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1cc(F)ccc1F ZINC001732199757 1204746344 /nfs/dbraw/zinc/74/63/44/1204746344.db2.gz HOUQDYQHWKJJRS-OAHLLOKOSA-N 0 1 310.388 3.306 20 30 DGEDMN CC[C@H](CNC(=O)C(C)(C)C(C)C)NCc1ccccc1C#N ZINC001732200909 1204746520 /nfs/dbraw/zinc/74/65/20/1204746520.db2.gz VEJCYCLTCSRHIO-QGZVFWFLSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c(F)ccc(C)c1F ZINC001732211443 1204751339 /nfs/dbraw/zinc/75/13/39/1204751339.db2.gz URUUJWHGTJSIHA-NSHDSACASA-N 0 1 316.779 3.124 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001732211368 1204751824 /nfs/dbraw/zinc/75/18/24/1204751824.db2.gz LMELDVMVQOLKSX-MJGOQNOKSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1CCC(C2CC2)CC1 ZINC001732216329 1204755361 /nfs/dbraw/zinc/75/53/61/1204755361.db2.gz DRKGYYBYIBKDSI-GPANFISMSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@@H]1CCc2ccccc21 ZINC001732221293 1204757138 /nfs/dbraw/zinc/75/71/38/1204757138.db2.gz ZZYMPXHMPQSKJR-HOTGVXAUSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2ccccc2[nH]1 ZINC001732221228 1204757415 /nfs/dbraw/zinc/75/74/15/1204757415.db2.gz QNUNMZKPASWUPO-ZDUSSCGKSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001732328423 1204793027 /nfs/dbraw/zinc/79/30/27/1204793027.db2.gz AGQULEDFTBDSON-LACBQNOSSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2nccs2)CCCC1 ZINC001732397159 1204818922 /nfs/dbraw/zinc/81/89/22/1204818922.db2.gz PYKICHRQBBKOJA-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C[C@H](CNCc1cc(C#N)ccc1F)N(C)C(=O)CC(C)(C)C ZINC001732411776 1204827881 /nfs/dbraw/zinc/82/78/81/1204827881.db2.gz RNAZKNAEEVGAMW-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C/C=C\c1ccc(F)cc1 ZINC001732425362 1204834358 /nfs/dbraw/zinc/83/43/58/1204834358.db2.gz FBKUGWMIUYWOHA-ZRUQZJFASA-N 0 1 324.827 3.418 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1cc(C)cc(C)c1 ZINC001732435396 1204839081 /nfs/dbraw/zinc/83/90/81/1204839081.db2.gz GVPQYIMPBYSBJK-OAHLLOKOSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)CC(C)=C(C)C ZINC001732435547 1204839627 /nfs/dbraw/zinc/83/96/27/1204839627.db2.gz QABZQQQNVKUGBH-ZDUSSCGKSA-N 0 1 317.271 3.078 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C(C)(C)Cc1ccccc1 ZINC001732451097 1204847990 /nfs/dbraw/zinc/84/79/90/1204847990.db2.gz TVCQZUWGABYBCJ-HNNXBMFYSA-N 0 1 322.880 3.444 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccnc1)C1CCCCC1 ZINC001732473669 1204862624 /nfs/dbraw/zinc/86/26/24/1204862624.db2.gz VJJKOMPAHIAMAN-INIZCTEOSA-N 0 1 321.852 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)Cc1occc1C ZINC001732544105 1204886795 /nfs/dbraw/zinc/88/67/95/1204886795.db2.gz NNTBLMWECGSLCC-DOMZBBRYSA-N 0 1 324.852 3.100 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001732576054 1204904276 /nfs/dbraw/zinc/90/42/76/1204904276.db2.gz NGRIDCBZUPVRAT-CQSZACIVSA-N 0 1 319.424 3.024 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)Cc1cc(F)ccc1C ZINC001732582527 1204907859 /nfs/dbraw/zinc/90/78/59/1204907859.db2.gz PXFWPUSVGOQRKK-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCN[C@@H](C)c1ncc(C)o1 ZINC001732719138 1204933193 /nfs/dbraw/zinc/93/31/93/1204933193.db2.gz GDFSMIUPJTZLNM-OCCSQVGLSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(C)[nH]c2ccccc21 ZINC001732784617 1204943016 /nfs/dbraw/zinc/94/30/16/1204943016.db2.gz JEYAWGKDLPHFAD-UHFFFAOYSA-N 0 1 319.836 3.281 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CCCC(C)C ZINC001732797034 1204945167 /nfs/dbraw/zinc/94/51/67/1204945167.db2.gz NWGLYRZRRQPSDS-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CN[C@@H](C)c1ccccc1F ZINC001732888597 1204955138 /nfs/dbraw/zinc/95/51/38/1204955138.db2.gz VSOYJEQAQOWXHM-CKXPSTMWSA-N 0 1 304.409 3.361 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2nccc(C)c2c1 ZINC001732956681 1204965105 /nfs/dbraw/zinc/96/51/05/1204965105.db2.gz ZGRNBVGCBCPIGF-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001732964766 1204967345 /nfs/dbraw/zinc/96/73/45/1204967345.db2.gz YEBLEXFNSGYJJW-CJNGLKHVSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(C(F)F)c1 ZINC001732979428 1204971578 /nfs/dbraw/zinc/97/15/78/1204971578.db2.gz XSZXPLAUKJATFV-SNVBAGLBSA-N 0 1 302.752 3.085 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(OC)c(C2CC2)c1 ZINC001733017822 1204982860 /nfs/dbraw/zinc/98/28/60/1204982860.db2.gz RODIGAPVLNJZBE-LBPRGKRZSA-N 0 1 322.836 3.033 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc2cc[nH]c21)C1CC1 ZINC001733181704 1205035993 /nfs/dbraw/zinc/03/59/93/1205035993.db2.gz AIZNOLQZMHYQHQ-OAHLLOKOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1coc2ccccc12)C1CC1 ZINC001733192826 1205038578 /nfs/dbraw/zinc/03/85/78/1205038578.db2.gz OYUFCGBTCAHCKN-HNNXBMFYSA-N 0 1 318.804 3.283 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1CCc1ccc(Cl)cc1 ZINC001733211946 1205046339 /nfs/dbraw/zinc/04/63/39/1205046339.db2.gz HZEKOMYEMWHUCE-KRWDZBQOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)ccc1C ZINC001733223343 1205053954 /nfs/dbraw/zinc/05/39/54/1205053954.db2.gz VROQERSZEQYFCK-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2Cc2ccc3c(c2)CCC3)C1 ZINC001733223947 1205054525 /nfs/dbraw/zinc/05/45/25/1205054525.db2.gz ADSHCAXNVCAJSA-LJQANCHMSA-N 0 1 324.468 3.222 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2CCc2ccccc2)CCC1 ZINC001733225808 1205055281 /nfs/dbraw/zinc/05/52/81/1205055281.db2.gz MSZWTQWCXJWDRU-GOSISDBHSA-N 0 1 312.457 3.166 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001733254492 1205070970 /nfs/dbraw/zinc/07/09/70/1205070970.db2.gz MWNSHJKQEUUAOG-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(Cl)cc2C)CC1 ZINC001733259824 1205072535 /nfs/dbraw/zinc/07/25/35/1205072535.db2.gz PRSQLYDOWSEBFQ-UHFFFAOYSA-N 0 1 313.228 3.253 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC)c1ccccc1 ZINC001733276795 1205079299 /nfs/dbraw/zinc/07/92/99/1205079299.db2.gz ZMJDDFXUTRVXJZ-HSALFYBXSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CCCC3(CC3)C2)C1 ZINC001733304168 1205088234 /nfs/dbraw/zinc/08/82/34/1205088234.db2.gz HEOAUHINRJPDAL-HZPDHXFCSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001733312866 1205091221 /nfs/dbraw/zinc/09/12/21/1205091221.db2.gz PROPRVKRFQGCLQ-OAHLLOKOSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC001733312428 1205091349 /nfs/dbraw/zinc/09/13/49/1205091349.db2.gz FCCGHPIGWNVJHQ-CQSZACIVSA-N 0 1 311.429 3.279 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(C)CCN(Cc2cccnc2)CC1 ZINC001733360367 1205105999 /nfs/dbraw/zinc/10/59/99/1205105999.db2.gz SEGLBIXBBAZHAE-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC2CC(C)(C)C2)C1 ZINC001733476752 1205148993 /nfs/dbraw/zinc/14/89/93/1205148993.db2.gz KZZHEUQDOQEZMD-CQSZACIVSA-N 0 1 314.420 3.072 20 30 DGEDMN CC#CCN[C@H](CNC(=O)CC(C)(F)F)c1ccccc1CC ZINC001733519300 1205152355 /nfs/dbraw/zinc/15/23/55/1205152355.db2.gz WQOKPUBAVYWQKC-MRXNPFEDSA-N 0 1 322.399 3.065 20 30 DGEDMN C#CCN[C@H](CNC(=O)C(C)(C)C(=C)C)c1ccccc1CC ZINC001733519437 1205152657 /nfs/dbraw/zinc/15/26/57/1205152657.db2.gz YKMQFGDCLDRKLW-GOSISDBHSA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1CCC=CCC1 ZINC001733681426 1205183658 /nfs/dbraw/zinc/18/36/58/1205183658.db2.gz XFFFQBMRPNCLCB-UHFFFAOYSA-N 0 1 310.441 3.114 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1cnc(C)s1 ZINC001733778733 1205215595 /nfs/dbraw/zinc/21/55/95/1205215595.db2.gz HDKPZIHEQBDBBO-UHFFFAOYSA-N 0 1 323.506 3.334 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)/C=C(/C)CC ZINC001733809070 1205223461 /nfs/dbraw/zinc/22/34/61/1205223461.db2.gz RRYXBNSFKRGPCW-NXIIHZOPSA-N 0 1 312.457 3.462 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H](C)CCC)C2 ZINC001733815599 1205225259 /nfs/dbraw/zinc/22/52/59/1205225259.db2.gz PWEJALRKIYJUTP-RHSMWYFYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H](C)CCC)C2 ZINC001733815597 1205225712 /nfs/dbraw/zinc/22/57/12/1205225712.db2.gz PWEJALRKIYJUTP-PBHICJAKSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3(C4CC4)CC3)cc2C1 ZINC001733836597 1205227629 /nfs/dbraw/zinc/22/76/29/1205227629.db2.gz YBWFRWKVVMNHRG-UHFFFAOYSA-N 0 1 310.441 3.385 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3C=CCCC3)cc2C1 ZINC001733836614 1205227787 /nfs/dbraw/zinc/22/77/87/1205227787.db2.gz YLBFEYNVWVLFPN-QGZVFWFLSA-N 0 1 322.452 3.388 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)s2)[C@H](C)C1 ZINC001733893919 1205243510 /nfs/dbraw/zinc/24/35/10/1205243510.db2.gz JWEWXWMPTRNVTH-ZIAGYGMSSA-N 0 1 317.458 3.055 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1c2ccccc2CCN1CCCF ZINC001733908564 1205251416 /nfs/dbraw/zinc/25/14/16/1205251416.db2.gz ZUHZIHIZMTVISE-QGZVFWFLSA-N 0 1 304.409 3.028 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C[C@@H](C)CCC ZINC001733922962 1205255470 /nfs/dbraw/zinc/25/54/70/1205255470.db2.gz IHVUMVRYKWXNQD-QKYXUNIQSA-N 0 1 312.457 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC/C=C/c1ccccc1 ZINC001733935725 1205264025 /nfs/dbraw/zinc/26/40/25/1205264025.db2.gz MTYDICOMKLTMJB-LKQLOZHYSA-N 0 1 310.441 3.036 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CC/C=C\c1ccccc1 ZINC001733935374 1205264188 /nfs/dbraw/zinc/26/41/88/1205264188.db2.gz GLXGMNWBMLAZOG-LOJRPPCJSA-N 0 1 324.468 3.426 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC/C=C\c1ccccc1 ZINC001733935729 1205264494 /nfs/dbraw/zinc/26/44/94/1205264494.db2.gz MTYDICOMKLTMJB-XCJXAGMVSA-N 0 1 310.441 3.036 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)/C=C\C(C)(C)C ZINC001733964261 1205272937 /nfs/dbraw/zinc/27/29/37/1205272937.db2.gz MFTDWUBWTRRDKW-PBARLCFESA-N 0 1 313.445 3.143 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)NCc1oc(C(C)C)nc1C ZINC001733976192 1205277469 /nfs/dbraw/zinc/27/74/69/1205277469.db2.gz QGEBRBAUXAKRCZ-KBPBESRZSA-N 0 1 321.465 3.446 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1ccc(Cl)cc1 ZINC001734010221 1205287556 /nfs/dbraw/zinc/28/75/56/1205287556.db2.gz YNPMEISMSOEWJU-NEPJUHHUSA-N 0 1 315.244 3.118 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001734104288 1205325335 /nfs/dbraw/zinc/32/53/35/1205325335.db2.gz RQWYFMSCBHFVTK-WOJBJXKFSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccccc1F ZINC001734293160 1205358071 /nfs/dbraw/zinc/35/80/71/1205358071.db2.gz VFHOMRQQECXPIU-HNNXBMFYSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CC2CCC1CC2 ZINC001734310726 1205361681 /nfs/dbraw/zinc/36/16/81/1205361681.db2.gz ZJNVEJNWXSSBJL-YQEWQEHLSA-N 0 1 324.896 3.392 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC001734316835 1205363410 /nfs/dbraw/zinc/36/34/10/1205363410.db2.gz LCRVRDBTDIYVOD-OLZOCXBDSA-N 0 1 310.388 3.429 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cccc2cccnc21 ZINC001734332541 1205366244 /nfs/dbraw/zinc/36/62/44/1205366244.db2.gz QMCOKQJLEAVMTG-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001734346723 1205368544 /nfs/dbraw/zinc/36/85/44/1205368544.db2.gz QICAPNPAFLBGRC-LESCRADOSA-N 0 1 320.864 3.369 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ncc(C)s2)[C@H]1C ZINC001734396057 1205372196 /nfs/dbraw/zinc/37/21/96/1205372196.db2.gz PRRADMXHSPTCNR-KGLIPLIRSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@@H](NCc2ncc(C)s2)C[C@H]1C ZINC001734416418 1205374527 /nfs/dbraw/zinc/37/45/27/1205374527.db2.gz BVCKUKYAPQIQJM-OCCSQVGLSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H](NCc2ncc(C)s2)C[C@@H]1C ZINC001734416412 1205374531 /nfs/dbraw/zinc/37/45/31/1205374531.db2.gz BVCKUKYAPQIQJM-GXTWGEPZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)/C=C/c1ccco1)C(C)(C)C ZINC001734556648 1205393346 /nfs/dbraw/zinc/39/33/46/1205393346.db2.gz CBNMBKGESRIDRR-NPQIQWPPSA-N 0 1 310.825 3.166 20 30 DGEDMN CCCC(=O)NC1(C2CCN(CC#Cc3ccccc3)CC2)CC1 ZINC001734604394 1205404938 /nfs/dbraw/zinc/40/49/38/1205404938.db2.gz AVGHBUMYEQAUIV-UHFFFAOYSA-N 0 1 324.468 3.199 20 30 DGEDMN C[C@@H]1CN(c2nc(-c3ccc(F)cc3)ccc2C#N)[C@H](C)CN1C ZINC001164630334 719157155 /nfs/dbraw/zinc/15/71/55/719157155.db2.gz IVWSOCRCQHYHQA-ZIAGYGMSSA-N 0 1 324.403 3.288 20 30 DGEDMN Cc1c(Cl)c(Cl)nc(N2C[C@@H](C)N(C)C[C@H]2C)c1C#N ZINC001164643062 719170928 /nfs/dbraw/zinc/17/09/28/719170928.db2.gz ZEUZREPHMMJCNU-RKDXNWHRSA-N 0 1 313.232 3.097 20 30 DGEDMN CCCc1cc(C#N)c(N2C[C@H](C)N(C)C[C@@H]2C)nc1Cl ZINC001164641825 719211580 /nfs/dbraw/zinc/21/15/80/719211580.db2.gz VIRNYJCQPMOBTE-RYUDHWBXSA-N 0 1 306.841 3.088 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc(C)c(CC)s1 ZINC001669176363 1197442989 /nfs/dbraw/zinc/44/29/89/1197442989.db2.gz BXAYRSFLIUQYNB-WAYWQWQTSA-N 0 1 312.866 3.247 20 30 DGEDMN N#Cc1cc(Cl)ccc1C[N@@H+]1CCCC[C@@H]1CCC(=O)[O-] ZINC001594616506 956241033 /nfs/dbraw/zinc/24/10/33/956241033.db2.gz NGVFEWAITGLYCZ-OAHLLOKOSA-N 0 1 306.793 3.431 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1ccc(F)c(C#N)c1)CC1CC1 ZINC001594787378 981819015 /nfs/dbraw/zinc/81/90/15/981819015.db2.gz BWQINRQSWIAUAB-QGZVFWFLSA-N 0 1 304.365 3.163 20 30 DGEDMN C#C[C@H]([NH2+]Cc1cc(C(=O)[O-])c(C(C)C)[nH]1)c1ccc(F)cc1 ZINC001588377744 983358529 /nfs/dbraw/zinc/35/85/29/983358529.db2.gz QJHAQUFALZTLIJ-INIZCTEOSA-N 0 1 314.360 3.440 20 30 DGEDMN C#C[C@@H]([NH2+][C@@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1)C(C)C ZINC001588377973 983360364 /nfs/dbraw/zinc/36/03/64/983360364.db2.gz SXWGCBDUJULZKW-CHWSQXEVSA-N 0 1 300.185 3.367 20 30 DGEDMN C#CC[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001588434291 983469600 /nfs/dbraw/zinc/46/96/00/983469600.db2.gz IUZXNKPHKXOIQR-YGRLFVJLSA-N 0 1 312.196 3.464 20 30 DGEDMN C=C(C)C[N@H+](Cc1ccccc1)C[C@H]1CC(C(=O)[O-])=C(C)O1 ZINC001588567546 983646879 /nfs/dbraw/zinc/64/68/79/983646879.db2.gz MKVSISMITWTWCN-MRXNPFEDSA-N 0 1 301.386 3.212 20 30 DGEDMN CC[N@@H+](CCc1cccs1)Cc1cccc(C(=O)[O-])c1C#N ZINC001596353035 983814259 /nfs/dbraw/zinc/81/42/59/983814259.db2.gz YCOLDFWHZBFEIT-UHFFFAOYSA-N 0 1 314.410 3.383 20 30 DGEDMN CC[N@@H+](Cc1ccc(C(=O)[O-])n1C)[C@H](C)c1ccc(C#N)cc1 ZINC001596358004 983832028 /nfs/dbraw/zinc/83/20/28/983832028.db2.gz YLCKEKSFENWSGV-CYBMUJFWSA-N 0 1 311.385 3.178 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)Cc1ccc(OCC(F)(F)F)cc1 ZINC001588729344 983989070 /nfs/dbraw/zinc/98/90/70/983989070.db2.gz QDQDBNJLSKMPMA-CYBMUJFWSA-N 0 1 317.307 3.089 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC001588731502 984009697 /nfs/dbraw/zinc/00/96/97/984009697.db2.gz JDXIRGWSUGWMFT-HNNXBMFYSA-N 0 1 304.777 3.209 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](Cc2ccccc2O[C@H](C)C(=O)[O-])C1 ZINC001588772937 984145987 /nfs/dbraw/zinc/14/59/87/984145987.db2.gz RAABTZXGWIIAOW-CABCVRRESA-N 0 1 303.402 3.327 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1[C@H]1CCCO1 ZINC001589554095 987297887 /nfs/dbraw/zinc/29/78/87/987297887.db2.gz UYIXYPSRLRGKKI-ARFHVFGLSA-N 0 1 322.449 3.051 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC001593698703 996166641 /nfs/dbraw/zinc/16/66/41/996166641.db2.gz MBJAOZOHKAQXJH-CYBMUJFWSA-N 0 1 306.365 3.376 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593699721 996171988 /nfs/dbraw/zinc/17/19/88/996171988.db2.gz WSAWGVHSYVBWBK-ZDUSSCGKSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001593699721 996171990 /nfs/dbraw/zinc/17/19/90/996171990.db2.gz WSAWGVHSYVBWBK-ZDUSSCGKSA-N 0 1 322.364 3.212 20 30 DGEDMN C[C@@H]1CC[N@@H+](Cc2ccccc2C(C)(C)C#N)[C@@H](C(=O)[O-])C1 ZINC001594426441 999593739 /nfs/dbraw/zinc/59/37/39/999593739.db2.gz LQFMOFYGAFYUPH-CZUORRHYSA-N 0 1 300.402 3.173 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl ZINC001753711695 1202318500 /nfs/dbraw/zinc/31/85/00/1202318500.db2.gz VSHDIOIVPVMRJW-AIDTXJDASA-N 0 1 307.265 3.344 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CCCCCC)C1 ZINC001271099773 1086291358 /nfs/dbraw/zinc/29/13/58/1086291358.db2.gz FQKHSTHLGUOLGL-CQSZACIVSA-N 0 1 302.409 3.216 20 30 DGEDMN O=C(C=CNc1cc(CN2CCCCC2)ccn1)C(F)(F)F ZINC001169473836 1081575473 /nfs/dbraw/zinc/57/54/73/1081575473.db2.gz RVGXDQPPBGJZLB-FNORWQNLSA-N 0 1 313.323 3.125 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCNCc1nc(C)oc1C ZINC001169704500 1081634231 /nfs/dbraw/zinc/63/42/31/1081634231.db2.gz BUHFDILENSJICO-CYBMUJFWSA-N 0 1 307.438 3.022 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCNCc1ncc(C2CC2)o1 ZINC001169706979 1081634857 /nfs/dbraw/zinc/63/48/57/1081634857.db2.gz WTFLYJRMBBTSPQ-CQSZACIVSA-N 0 1 319.449 3.283 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001266320751 1081663489 /nfs/dbraw/zinc/66/34/89/1081663489.db2.gz FITOOYVKKCENNJ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)CCC(=O)NCCN(C)Cc1ccc(Cl)c(OC)c1 ZINC001480869507 1081675971 /nfs/dbraw/zinc/67/59/71/1081675971.db2.gz URDIAOBECJQOIL-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001266336633 1081677909 /nfs/dbraw/zinc/67/79/09/1081677909.db2.gz SLKMNOFRNVFXEC-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001266336618 1081677975 /nfs/dbraw/zinc/67/79/75/1081677975.db2.gz SHEXVVUIMUPSPH-PBHICJAKSA-N 0 1 304.409 3.119 20 30 DGEDMN COC(=O)c1cc2[nH]cnc2c(NC2=CC(=O)CC(C)(C)C2)c1 ZINC001169951335 1081683735 /nfs/dbraw/zinc/68/37/35/1081683735.db2.gz FRLNUMKBHMZBRV-UHFFFAOYSA-N 0 1 313.357 3.034 20 30 DGEDMN CN(C)C=Nc1ccc(NC2=CC(=O)CC(C)(C)C2)cc1C#N ZINC001169953170 1081684346 /nfs/dbraw/zinc/68/43/46/1081684346.db2.gz PFOCDNWJJWUORX-UDWIEESQSA-N 0 1 310.401 3.465 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2(CC)CCC2)CC1 ZINC001266376514 1081717210 /nfs/dbraw/zinc/71/72/10/1081717210.db2.gz DCUHQECEFBAXQU-CQSZACIVSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCCCC(F)(F)F ZINC001109054876 1081760257 /nfs/dbraw/zinc/76/02/57/1081760257.db2.gz CSRFOPKLFPWJJG-RDBSUJKOSA-N 0 1 318.383 3.407 20 30 DGEDMN C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1csc(C)c1 ZINC001109209814 1081784488 /nfs/dbraw/zinc/78/44/88/1081784488.db2.gz VCLPAPZIMVWUGL-IKGGRYGDSA-N 0 1 316.470 3.082 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(CCC)cc1)C1CC1 ZINC001266426973 1081788935 /nfs/dbraw/zinc/78/89/35/1081788935.db2.gz RTANUBLXQYUOEI-QGZVFWFLSA-N 0 1 320.864 3.490 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C\c1ccccc1 ZINC001109245421 1081790299 /nfs/dbraw/zinc/79/02/99/1081790299.db2.gz QLDZUACGULPEKO-QVYSETLCSA-N 0 1 322.452 3.081 20 30 DGEDMN C=CCSCC[NH2+]Cc1ccc(Br)cc1[O-] ZINC000691465488 1081850730 /nfs/dbraw/zinc/85/07/30/1081850730.db2.gz IXBFUIFLJPNBSH-UHFFFAOYSA-N 0 1 302.237 3.164 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cc3ccccc3o1)C2 ZINC001109502464 1081851935 /nfs/dbraw/zinc/85/19/35/1081851935.db2.gz DNBCHKYJWKLFAJ-NJAFHUGGSA-N 0 1 324.424 3.273 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1csc(C)c1 ZINC001109604743 1081885725 /nfs/dbraw/zinc/88/57/25/1081885725.db2.gz XFKGICGUXWYFDN-PMPSAXMXSA-N 0 1 318.486 3.490 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C[C@H](F)CC ZINC001109604621 1081885845 /nfs/dbraw/zinc/88/58/45/1081885845.db2.gz RWHZKOSQENNASA-NCOADZHNSA-N 0 1 308.441 3.040 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001266495528 1081896304 /nfs/dbraw/zinc/89/63/04/1081896304.db2.gz ONJJYHFVFCBIME-MJGOQNOKSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1ccc(CC)cc1)C2 ZINC001109716035 1081902289 /nfs/dbraw/zinc/90/22/89/1081902289.db2.gz OHVMRLKFUMRDHA-XCLNPWKQSA-N 0 1 324.468 3.097 20 30 DGEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@](C)(CC)CCC)C2 ZINC001109890453 1081928715 /nfs/dbraw/zinc/92/87/15/1081928715.db2.gz XCQZFYYJLBVEPH-VUHPKUFZSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC001266518309 1081935530 /nfs/dbraw/zinc/93/55/30/1081935530.db2.gz YHUNTCISSBULLF-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](F)CC1CCCCC1)C2 ZINC001109982827 1081944337 /nfs/dbraw/zinc/94/43/37/1081944337.db2.gz UDYGLZOJXIGXRO-QZWWFDLISA-N 0 1 308.441 3.202 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001266525496 1081946887 /nfs/dbraw/zinc/94/68/87/1081946887.db2.gz JBNUKSKAJBRQPS-ZDUSSCGKSA-N 0 1 322.399 3.115 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CCC)c1ccccc1)C2 ZINC001110070098 1081959044 /nfs/dbraw/zinc/95/90/44/1081959044.db2.gz JDCHMGVJMLWYMD-ZRNYENFQSA-N 0 1 324.468 3.315 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCC1(CNCc2nccs2)CC1 ZINC001167339656 1081984635 /nfs/dbraw/zinc/98/46/35/1081984635.db2.gz KTDGOKRDXMPWKG-UHFFFAOYSA-N 0 1 321.490 3.122 20 30 DGEDMN C#CCCCCC(=O)N1CCN(CC/C=C\c2ccccc2)CC1 ZINC001112775337 1082002527 /nfs/dbraw/zinc/00/25/27/1082002527.db2.gz QNRWAWLOYMRETG-LCYFTJDESA-N 0 1 324.468 3.428 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001112850981 1082023570 /nfs/dbraw/zinc/02/35/70/1082023570.db2.gz OKQVRQIMYDIASC-ZWKOTPCHSA-N 0 1 324.509 3.471 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)OCCCCCC)CC1 ZINC001112851134 1082024053 /nfs/dbraw/zinc/02/40/53/1082024053.db2.gz WRTFBDBBWJRTTL-KRWDZBQOSA-N 0 1 310.482 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2csc(C)c2C)CC1 ZINC001112880545 1082034263 /nfs/dbraw/zinc/03/42/63/1082034263.db2.gz HOKXBZIQSHGLGG-ZDUSSCGKSA-N 0 1 306.475 3.477 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001112885691 1082035686 /nfs/dbraw/zinc/03/56/86/1082035686.db2.gz LXIVQRPVRLKRQZ-UHFFFAOYSA-N 0 1 316.489 3.479 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(COC)CCCCC2)CC1 ZINC001112932880 1082057876 /nfs/dbraw/zinc/05/78/76/1082057876.db2.gz GOMTTWOCHKOSGB-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CC3CCC(C)CC3)CC2)CCC1 ZINC001112953387 1082064549 /nfs/dbraw/zinc/06/45/49/1082064549.db2.gz UESMMXXBMLZLBH-UHFFFAOYSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCn1cccc1C(=O)N1CCN(CCCCCCC)CC1 ZINC001112970233 1082070914 /nfs/dbraw/zinc/07/09/14/1082070914.db2.gz ZYOLNPCMVLVADF-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001113008276 1082082990 /nfs/dbraw/zinc/08/29/90/1082082990.db2.gz LHVDWGSDBQOXPN-KRWDZBQOSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccccc2F)C1 ZINC001086571382 1082094190 /nfs/dbraw/zinc/09/41/90/1082094190.db2.gz TVZPMSCHXSKQGD-PKOBYXMFSA-N 0 1 324.399 3.210 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cccc(F)c2)C1 ZINC001086572813 1082102234 /nfs/dbraw/zinc/10/22/34/1082102234.db2.gz PGYUPHGMKLITDL-RBUKOAKNSA-N 0 1 324.399 3.210 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)n(CC)c2C)CC1 ZINC001113077251 1082109683 /nfs/dbraw/zinc/10/96/83/1082109683.db2.gz ZGQWRFFDEVBKHS-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)ccc2OC)CC1 ZINC001113078549 1082110594 /nfs/dbraw/zinc/11/05/94/1082110594.db2.gz OCUDSSWJRCEKNN-INIZCTEOSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(F)cc(C)cc2F)CC1 ZINC001113085687 1082112429 /nfs/dbraw/zinc/11/24/29/1082112429.db2.gz BOFJNKAGQFYWHV-AWEZNQCLSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(C(C)(C)C)on2)CC1 ZINC001113092983 1082113981 /nfs/dbraw/zinc/11/39/81/1082113981.db2.gz VLXJGRSPVKRABU-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2CCC3(CC3)CC2)CC1 ZINC001113113411 1082119730 /nfs/dbraw/zinc/11/97/30/1082119730.db2.gz QDKVLITZUUBWDS-MRXNPFEDSA-N 0 1 304.478 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](OCC)C2CCCC2)CC1 ZINC001113167009 1082130092 /nfs/dbraw/zinc/13/00/92/1082130092.db2.gz WJQSUKLPBXXKMM-AEFFLSMTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2CC3(CCC3)C2)CC1 ZINC001113218667 1082142281 /nfs/dbraw/zinc/14/22/81/1082142281.db2.gz HNOGXFSNPZZGAT-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113230272 1082143935 /nfs/dbraw/zinc/14/39/35/1082143935.db2.gz ZXBATZCSMGJNPO-CVEARBPZSA-N 0 1 304.478 3.169 20 30 DGEDMN CC#CCCCC(=O)N1CCN(CCC2=CCCCC2)CC1 ZINC001113232418 1082144899 /nfs/dbraw/zinc/14/48/99/1082144899.db2.gz PMDDMVJQCFLGOO-UHFFFAOYSA-N 0 1 302.462 3.215 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC2CC(OCC)C2)CC1 ZINC001113250216 1082148436 /nfs/dbraw/zinc/14/84/36/1082148436.db2.gz SMRLBJXSOMZCBC-UHFFFAOYSA-N 0 1 322.493 3.082 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2cccnc2C)CC1 ZINC001113273013 1082159323 /nfs/dbraw/zinc/15/93/23/1082159323.db2.gz LXPXAHKIEXCFGA-UHFFFAOYSA-N 0 1 303.450 3.118 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(Cl)[nH]2)CC1 ZINC001113315376 1082176656 /nfs/dbraw/zinc/17/66/56/1082176656.db2.gz AVEUAIUDCPSIHK-UHFFFAOYSA-N 0 1 311.857 3.396 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001113325025 1082180326 /nfs/dbraw/zinc/18/03/26/1082180326.db2.gz UYXSGJGESVHBHD-HKUYNNGSSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC001113380881 1082191052 /nfs/dbraw/zinc/19/10/52/1082191052.db2.gz WBHZMHZLUDKWPN-IAGOWNOFSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3ccccn3c2)CC1 ZINC001113398011 1082199479 /nfs/dbraw/zinc/19/94/79/1082199479.db2.gz WQSLBBJDCAJJNG-MRXNPFEDSA-N 0 1 311.429 3.052 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCC2CCOCC2)CC1 ZINC001113400551 1082200298 /nfs/dbraw/zinc/20/02/98/1082200298.db2.gz QTHSFUICJIQLQY-UHFFFAOYSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)c2ccc(C)o2)CC1 ZINC001113412201 1082200763 /nfs/dbraw/zinc/20/07/63/1082200763.db2.gz LDDSQGHMODXFQH-HOCLYGCPSA-N 0 1 304.434 3.190 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H]2CCc3ccccc32)CC1 ZINC001113443966 1082211487 /nfs/dbraw/zinc/21/14/87/1082211487.db2.gz JOTIPXSGEXXSRU-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1cc(F)ccc1Cl ZINC001266808704 1082229991 /nfs/dbraw/zinc/22/99/91/1082229991.db2.gz NMEIQUNMJMRSFL-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)COc2ccsc2)CC1 ZINC001113540601 1082242082 /nfs/dbraw/zinc/24/20/82/1082242082.db2.gz WLCPTYOQYGZKFC-UHFFFAOYSA-N 0 1 322.474 3.018 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](F)CC2CCCCC2)CC1 ZINC001113542144 1082245949 /nfs/dbraw/zinc/24/59/49/1082245949.db2.gz PGJNYLNYCHLYTE-QGZVFWFLSA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001113574430 1082255104 /nfs/dbraw/zinc/25/51/04/1082255104.db2.gz HCEXQTVHBFWSJY-CQSZACIVSA-N 0 1 306.837 3.453 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(OC(C)C)cc2)CC1 ZINC001113604002 1082259987 /nfs/dbraw/zinc/25/99/87/1082259987.db2.gz QITMZEMMAISICT-UHFFFAOYSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC#Cc2ccccc2)CC1 ZINC001113668341 1082274200 /nfs/dbraw/zinc/27/42/00/1082274200.db2.gz CCSOGECRMODVKV-UHFFFAOYSA-N 0 1 324.468 3.319 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)N1CCN(CC(C)C)CC1 ZINC001113662811 1082274303 /nfs/dbraw/zinc/27/43/03/1082274303.db2.gz JZYCHQPSWARRMQ-LJQANCHMSA-N 0 1 314.473 3.222 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccc(C)s2)CC1 ZINC001113677561 1082276549 /nfs/dbraw/zinc/27/65/49/1082276549.db2.gz BBQJOGFIRVBOSN-UHFFFAOYSA-N 0 1 320.502 3.490 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)n2c(C)ccc2C)CC1 ZINC001113679205 1082277988 /nfs/dbraw/zinc/27/79/88/1082277988.db2.gz HSAWCEDEAGSMSA-CRAIPNDOSA-N 0 1 317.477 3.165 20 30 DGEDMN C#CCC1(C(=O)N2CCN(C[C@H](C)CC)CC2)CCCCC1 ZINC001113704084 1082284787 /nfs/dbraw/zinc/28/47/87/1082284787.db2.gz YTKLLBHOYPEEJB-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCCCC)CC2)CCCCC1 ZINC001113703753 1082284909 /nfs/dbraw/zinc/28/49/09/1082284909.db2.gz KHZAALXRXDZUHO-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2(CCOC)CCCC2)CC1 ZINC001113704043 1082284947 /nfs/dbraw/zinc/28/49/47/1082284947.db2.gz XRKQJXGCQWMSPA-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H](C)Cc2cccc(F)c2)CC1 ZINC001113709369 1082288727 /nfs/dbraw/zinc/28/87/27/1082288727.db2.gz ODQOHYUQVVONLP-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](OC)C2CCCCC2)CC1 ZINC001113747114 1082293789 /nfs/dbraw/zinc/29/37/89/1082293789.db2.gz IPLOODMLKZXSRC-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CCCc2ccc(C)s2)CC1 ZINC001113745424 1082294144 /nfs/dbraw/zinc/29/41/44/1082294144.db2.gz AXBSHMZNPUKISA-UHFFFAOYSA-N 0 1 320.502 3.490 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2occc2CC)C1 ZINC001086607784 1082296738 /nfs/dbraw/zinc/29/67/38/1082296738.db2.gz SLXRPLXBILAOAC-ZWKOTPCHSA-N 0 1 324.424 3.226 20 30 DGEDMN COc1ccc(C[C@@H](C)N2CCC[C@H](CC#N)C2)c([N+](=O)[O-])c1 ZINC001170348249 1082356399 /nfs/dbraw/zinc/35/63/99/1082356399.db2.gz WHJOQGCCCQWJIB-ZIAGYGMSSA-N 0 1 317.389 3.160 20 30 DGEDMN Cn1ncc2c1CN(C1CCC(C#N)(c3ccccc3)CC1)CC2 ZINC001170396363 1082359920 /nfs/dbraw/zinc/35/99/20/1082359920.db2.gz YYHXQLCLZHHQGQ-UHFFFAOYSA-N 0 1 320.440 3.182 20 30 DGEDMN COc1cccc(CN2CCC(c3cccc(C#N)c3)CC2)n1 ZINC001137533289 1082364332 /nfs/dbraw/zinc/36/43/32/1082364332.db2.gz LKEUDEMBMHXCDA-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN CCCC(C)(C)C(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001480905813 1082375451 /nfs/dbraw/zinc/37/54/51/1082375451.db2.gz BOENBWHVGZAWBP-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3ccc(F)c(F)c3)C[C@H]21 ZINC001114003075 1082395694 /nfs/dbraw/zinc/39/56/94/1082395694.db2.gz SMQQXRYVFKHURR-XJQUKVTJSA-N 0 1 320.383 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(-c2cccs2)o1 ZINC001266990915 1082410523 /nfs/dbraw/zinc/41/05/23/1082410523.db2.gz WOKBFGLOUWLTLW-NSHDSACASA-N 0 1 324.833 3.469 20 30 DGEDMN COc1ccc(O)c(/C=N/C[C@@H](c2cccs2)N(C)C)c1 ZINC000005290704 1082411104 /nfs/dbraw/zinc/41/11/04/1082411104.db2.gz FYYPKQOZGPNOOX-FBJMNJBLSA-N 0 1 304.415 3.184 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001267061177 1082464989 /nfs/dbraw/zinc/46/49/89/1082464989.db2.gz JEYGDILYMZJRMT-LSDHHAIUSA-N 0 1 319.449 3.084 20 30 DGEDMN C#C[C@](C)(CC)N[C@H]1CCCN(c2cc(C)ccc2F)C1=O ZINC001170450724 1082475095 /nfs/dbraw/zinc/47/50/95/1082475095.db2.gz FZVLETXJBNATFS-MAUKXSAKSA-N 0 1 302.393 3.021 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC[C@H](C)NC/C(Cl)=C/Cl ZINC001267143032 1082560723 /nfs/dbraw/zinc/56/07/23/1082560723.db2.gz JNZSPZCBUCRWHJ-IINBJESKSA-N 0 1 307.265 3.392 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2nccs2)CCCC1 ZINC001267155998 1082575105 /nfs/dbraw/zinc/57/51/05/1082575105.db2.gz NRVGHHKOMKZUJP-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCC[C@@H]1CN(C)CC#CC ZINC001157993892 1082591898 /nfs/dbraw/zinc/59/18/98/1082591898.db2.gz DAEONGNYWJFTKT-GOSISDBHSA-N 0 1 302.462 3.091 20 30 DGEDMN CC(C)(C)c1nc2c(ncnc2NCc2ccccc2C#N)[nH]1 ZINC001167634116 1082628030 /nfs/dbraw/zinc/62/80/30/1082628030.db2.gz DXQAEAGPVZJNFR-UHFFFAOYSA-N 0 1 306.373 3.134 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001267225345 1082663202 /nfs/dbraw/zinc/66/32/02/1082663202.db2.gz UEQLXYIYUJGEOB-GOSISDBHSA-N 0 1 315.461 3.179 20 30 DGEDMN CCc1cccc(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001131673887 1082704540 /nfs/dbraw/zinc/70/45/40/1082704540.db2.gz DLYANGYASNYLFN-UHFFFAOYSA-N 0 1 304.353 3.178 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001267266861 1082726799 /nfs/dbraw/zinc/72/67/99/1082726799.db2.gz JEZZQHIRGFZNRH-AWEZNQCLSA-N 0 1 318.383 3.288 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](C)CC1CCCCC1 ZINC001267280145 1082741905 /nfs/dbraw/zinc/74/19/05/1082741905.db2.gz AHVMMEGLTFNSFT-AEFFLSMTSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H]1CCCCC1(C)C ZINC001267283865 1082747618 /nfs/dbraw/zinc/74/76/18/1082747618.db2.gz SENYIXBPHOUURR-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN(Cc2sccc2Cl)C1 ZINC001267292491 1082756477 /nfs/dbraw/zinc/75/64/77/1082756477.db2.gz XZMKHQZUPWGWTP-CYBMUJFWSA-N 0 1 324.877 3.143 20 30 DGEDMN Cc1oc(C(C)C)nc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131906514 1082759809 /nfs/dbraw/zinc/75/98/09/1082759809.db2.gz KCEXGRPPPHEOII-UHFFFAOYSA-N 0 1 309.329 3.107 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C[C@H](C)CC ZINC001267312957 1082779688 /nfs/dbraw/zinc/77/96/88/1082779688.db2.gz TYBYIOOMNRMXDK-GOSISDBHSA-N 0 1 314.473 3.303 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cccc(F)c2)C1 ZINC001131995493 1082788859 /nfs/dbraw/zinc/78/88/59/1082788859.db2.gz LAHLBRVOJUZRRT-CRAIPNDOSA-N 0 1 316.420 3.098 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2(C)CCCCC2)CC[C@@H]1C ZINC001132169801 1082830013 /nfs/dbraw/zinc/83/00/13/1082830013.db2.gz VKTPXUZDJGOLNB-IRXDYDNUSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](CC)CC(F)F)c1ccccc1 ZINC001267361598 1082833581 /nfs/dbraw/zinc/83/35/81/1082833581.db2.gz DCWOBPCPZBZBSG-GDBMZVCRSA-N 0 1 322.399 3.138 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](CCC)C(C)C)c1ccccc1 ZINC001267371229 1082839941 /nfs/dbraw/zinc/83/99/41/1082839941.db2.gz WRZGUUCOSMZYGI-ZWKOTPCHSA-N 0 1 300.446 3.139 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1c(C)cccc1C)c1ccccc1 ZINC001267370365 1082840583 /nfs/dbraw/zinc/84/05/83/1082840583.db2.gz OUCOCENCEJGCAI-IBGZPJMESA-N 0 1 320.436 3.387 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC(C2CCC2)C2CCC2)CC[C@@H]1C ZINC001132311509 1082878237 /nfs/dbraw/zinc/87/82/37/1082878237.db2.gz VCYMYFKAVRULAK-MAUKXSAKSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C(C)=C2CCCC2)C1 ZINC001267454503 1082892398 /nfs/dbraw/zinc/89/23/98/1082892398.db2.gz OAHZQUBZHQEKMG-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1ccccc1Cl ZINC001132398706 1082898896 /nfs/dbraw/zinc/89/88/96/1082898896.db2.gz LNJQQLVHBQBMGQ-UHFFFAOYSA-N 0 1 306.837 3.130 20 30 DGEDMN C#CCCCCCC(=O)NCCN[C@@H](C)c1ccccc1F ZINC001132407448 1082903051 /nfs/dbraw/zinc/90/30/51/1082903051.db2.gz GWAMYHDETPIGQZ-HNNXBMFYSA-N 0 1 304.409 3.176 20 30 DGEDMN C=CC(C)(C)C(=O)NCCNCc1cccc(Cl)c1Cl ZINC001132391275 1082903891 /nfs/dbraw/zinc/90/38/91/1082903891.db2.gz GOFMVBBDGXJXCM-UHFFFAOYSA-N 0 1 315.244 3.411 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1ccc(Cl)cc1F ZINC001132569479 1082948727 /nfs/dbraw/zinc/94/87/27/1082948727.db2.gz SKGGAJOIBPLJRC-NWDGAFQWSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001132569857 1082948830 /nfs/dbraw/zinc/94/88/30/1082948830.db2.gz ZTTNJZACSPJCNV-NEPJUHHUSA-N 0 1 312.816 3.143 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](OC)C2CCCCC2)C1 ZINC001267496331 1082955476 /nfs/dbraw/zinc/95/54/76/1082955476.db2.gz QZTRPVJLLMYDAL-QZTJIDSGSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCCCC(=O)NCCN[C@H](CC)c1ccc(F)cc1F ZINC001132593224 1082955900 /nfs/dbraw/zinc/95/59/00/1082955900.db2.gz WRSJUCSLOTXYTF-QGZVFWFLSA-N 0 1 322.399 3.315 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001132671339 1082976993 /nfs/dbraw/zinc/97/69/93/1082976993.db2.gz OECRRKCTHLKOBI-CHWSQXEVSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cccc(C)c1C)c1ccccc1 ZINC001267526100 1082988481 /nfs/dbraw/zinc/98/84/81/1082988481.db2.gz RPEMXGAPGUTWSV-HXUWFJFHSA-N 0 1 320.436 3.387 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC[C@H]2CC(C)(C)CO2)C1 ZINC001267548456 1083018832 /nfs/dbraw/zinc/01/88/32/1083018832.db2.gz GDRYXTZISVBROG-IRXDYDNUSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H](CC)CC1CCCCC1 ZINC001481003321 1083070872 /nfs/dbraw/zinc/07/08/72/1083070872.db2.gz CWVIUVIMLNAUEV-GOSISDBHSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2cccc(F)c2Cl)CC1 ZINC001133368818 1083107124 /nfs/dbraw/zinc/10/71/24/1083107124.db2.gz ILNSHMXBILJVHC-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2sccc2Cl)CC1 ZINC001133369514 1083108058 /nfs/dbraw/zinc/10/80/58/1083108058.db2.gz ZJPAXNFTOMYGCD-UHFFFAOYSA-N 0 1 312.866 3.354 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CN(C)CC=C(Cl)Cl)C1 ZINC001267589778 1083114239 /nfs/dbraw/zinc/11/42/39/1083114239.db2.gz QJRVXUVNUWOLJZ-ZDUSSCGKSA-N 0 1 319.276 3.442 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ccc(F)cc2Cl)CCC1 ZINC001133392198 1083114656 /nfs/dbraw/zinc/11/46/56/1083114656.db2.gz LRTXELHJXNWJHX-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCN1Cc1cccc(F)c1 ZINC001481036367 1083116995 /nfs/dbraw/zinc/11/69/95/1083116995.db2.gz VEBYTIVAJPXHSC-GOSISDBHSA-N 0 1 316.420 3.100 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001481053443 1083136736 /nfs/dbraw/zinc/13/67/36/1083136736.db2.gz GRWBRPQBNWPMMZ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1CC(C)(C(=O)NCCNCc2cccc(Cl)c2F)C1 ZINC001133569929 1083152939 /nfs/dbraw/zinc/15/29/39/1083152939.db2.gz GHRRTTMSNQIBOP-UHFFFAOYSA-N 0 1 310.800 3.041 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001133757018 1083189653 /nfs/dbraw/zinc/18/96/53/1083189653.db2.gz DWBZXKMIHBIPHA-OAHLLOKOSA-N 0 1 308.853 3.337 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001133763229 1083190671 /nfs/dbraw/zinc/19/06/71/1083190671.db2.gz OEBXGUXHEVXZST-CYBMUJFWSA-N 0 1 308.853 3.201 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1ccc(Cl)cc1 ZINC001283703673 1083203577 /nfs/dbraw/zinc/20/35/77/1083203577.db2.gz DMIJOCLDFKSIFL-LBPRGKRZSA-N 0 1 315.244 3.072 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C1CCCC1 ZINC001481127977 1083203609 /nfs/dbraw/zinc/20/36/09/1083203609.db2.gz GEPXKFGZGFTXSH-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1nnc(C(C)C)s1 ZINC001133883953 1083205634 /nfs/dbraw/zinc/20/56/34/1083205634.db2.gz ITVVZVQIFHWDCQ-QWHCGFSZSA-N 0 1 324.494 3.001 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1ncc(C(C)(C)C)o1 ZINC001133889337 1083208280 /nfs/dbraw/zinc/20/82/80/1083208280.db2.gz UZKRRLAOMSQLCL-KBPBESRZSA-N 0 1 321.465 3.311 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)C(C)(CC)CC ZINC001283713115 1083227046 /nfs/dbraw/zinc/22/70/46/1083227046.db2.gz GRHDXWCNMAODBF-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN CC#CCN(CCNC(=O)[C@@H](C)c1ccc(Cl)s1)C1CC1 ZINC001481193321 1083236023 /nfs/dbraw/zinc/23/60/23/1083236023.db2.gz QHTJAFKTNSMRSI-LBPRGKRZSA-N 0 1 324.877 3.109 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)c1ccccc1C ZINC001283723860 1083243305 /nfs/dbraw/zinc/24/33/05/1083243305.db2.gz QJNRBRRBXRQRMB-GJZGRUSLSA-N 0 1 308.853 3.288 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001267651812 1083246572 /nfs/dbraw/zinc/24/65/72/1083246572.db2.gz WCXWYXQATXKAAL-INIZCTEOSA-N 0 1 323.440 3.246 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(F)ccc2C)C1 ZINC001267671829 1083257044 /nfs/dbraw/zinc/25/70/44/1083257044.db2.gz XFZDXCMTULLXGZ-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)nc1 ZINC001267702602 1083272326 /nfs/dbraw/zinc/27/23/26/1083272326.db2.gz LZYXQGQARQBYTQ-ROUUACIJSA-N 0 1 315.461 3.259 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN[C@@H](C)c1ccc(F)cc1F ZINC001134428186 1083284387 /nfs/dbraw/zinc/28/43/87/1083284387.db2.gz CEMIYBVLVZZMGX-LBPRGKRZSA-N 0 1 310.388 3.334 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001134411999 1083285245 /nfs/dbraw/zinc/28/52/45/1083285245.db2.gz PAQFZQTUKIOFIO-UHFFFAOYSA-N 0 1 308.853 3.457 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001134457941 1083295912 /nfs/dbraw/zinc/29/59/12/1083295912.db2.gz WFGBZEUOUZZCAR-OLZOCXBDSA-N 0 1 323.506 3.427 20 30 DGEDMN C=C(Cl)CNCCNC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001134473586 1083297594 /nfs/dbraw/zinc/29/75/94/1083297594.db2.gz CZRLYCNOZNUCNT-UHFFFAOYSA-N 0 1 315.244 3.076 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCN(Cc2ccc(C3CCC3)cc2)C1 ZINC001267741417 1083306401 /nfs/dbraw/zinc/30/64/01/1083306401.db2.gz XMTXWXRCEUDMCX-HXUWFJFHSA-N 0 1 324.468 3.304 20 30 DGEDMN CC/C(C)=C\C(=O)N(C)CCNCC#Cc1cccc(Cl)c1 ZINC001481226481 1083306660 /nfs/dbraw/zinc/30/66/60/1083306660.db2.gz FKJJPHFRRKFXNU-SQFISAMPSA-N 0 1 318.848 3.096 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCNCc1ccc(F)cc1F ZINC001481235029 1083310945 /nfs/dbraw/zinc/31/09/45/1083310945.db2.gz ZBLLIMAZADOYLL-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001267756450 1083322314 /nfs/dbraw/zinc/32/23/14/1083322314.db2.gz FJPGMDBETGBEMD-GOSISDBHSA-N 0 1 313.445 3.027 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001267777081 1083340260 /nfs/dbraw/zinc/34/02/60/1083340260.db2.gz PJGJQJMTEFXVAF-PKOBYXMFSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1ccc(C)cc1Cl ZINC001481267605 1083345648 /nfs/dbraw/zinc/34/56/48/1083345648.db2.gz DEGKVKANANWLAV-UHFFFAOYSA-N 0 1 320.864 3.390 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)Cc1ccccc1 ZINC001267830890 1083405890 /nfs/dbraw/zinc/40/58/90/1083405890.db2.gz IRIUMWQPDYGICS-CZUORRHYSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2n[nH]c3nc(C(F)(F)F)ccc23)C1 ZINC001181149389 1083419879 /nfs/dbraw/zinc/41/98/79/1083419879.db2.gz OFQLKRSFTIIXBX-UHFFFAOYSA-N 0 1 310.279 3.272 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001267964606 1083661498 /nfs/dbraw/zinc/66/14/98/1083661498.db2.gz ZTMUUJQCPPILAS-XHDTYXFFSA-N 0 1 319.276 3.488 20 30 DGEDMN C#CCCCCC(=O)NCCN[C@@H](C)c1cccc(Cl)c1F ZINC001125938442 1083654201 /nfs/dbraw/zinc/65/42/01/1083654201.db2.gz ASCLRUHCAQKGOF-ZDUSSCGKSA-N 0 1 324.827 3.440 20 30 DGEDMN CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001267962454 1083655900 /nfs/dbraw/zinc/65/59/00/1083655900.db2.gz OGURXKPDMPWILQ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN CCCCN(CC)[C@@H](C(=O)N/C(C)=C\C(C)=O)c1ccccc1 ZINC001182238039 1083660283 /nfs/dbraw/zinc/66/02/83/1083660283.db2.gz ZXRHEYDQLDBPOK-KGUUONHRSA-N 0 1 316.445 3.459 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1cc(F)ccc1F ZINC001267964369 1083661141 /nfs/dbraw/zinc/66/11/41/1083661141.db2.gz QHAFJMFVAHAAFK-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(CC)cc1 ZINC001267969482 1083670125 /nfs/dbraw/zinc/67/01/25/1083670125.db2.gz FVENFNBWRQHHRH-MRXNPFEDSA-N 0 1 306.837 3.196 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)CCc3cccc(C#N)c3)ccc12 ZINC001182344653 1083692943 /nfs/dbraw/zinc/69/29/43/1083692943.db2.gz SHAJRDADDWCTMP-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F ZINC001182361435 1083702279 /nfs/dbraw/zinc/70/22/79/1083702279.db2.gz RHSWDXYQAOUTFB-ZJUUUORDSA-N 0 1 320.274 3.042 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H]2C=CCCC2)C1 ZINC001481400003 1083867296 /nfs/dbraw/zinc/86/72/96/1083867296.db2.gz CQXLSQRVVSGWBX-QZTJIDSGSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CC2CCC2)C1 ZINC001087423830 1083916485 /nfs/dbraw/zinc/91/64/85/1083916485.db2.gz WFDPISSIVPMVMH-RTBURBONSA-N 0 1 312.457 3.337 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](C)C2CCCC2)C1 ZINC001481457285 1083948978 /nfs/dbraw/zinc/94/89/78/1083948978.db2.gz RZVGGVPWPWGNFJ-HUUCEWRRSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C2CC(C)C2)C1 ZINC001087424873 1083967696 /nfs/dbraw/zinc/96/76/96/1083967696.db2.gz TWMLOLGBVOCPBW-JDGDGYACSA-N 0 1 312.457 3.193 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C(CCC)CCC)CC2 ZINC001268046240 1083995327 /nfs/dbraw/zinc/99/53/27/1083995327.db2.gz UKKLBPPFNFQZDJ-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](CC)CC(C)C)CC2 ZINC001268046686 1083999759 /nfs/dbraw/zinc/99/97/59/1083999759.db2.gz ICZSMSVXEHEKNO-KRWDZBQOSA-N 0 1 304.478 3.006 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CC1(C=C)CCCCC1)CC2 ZINC001268046839 1084001599 /nfs/dbraw/zinc/00/15/99/1084001599.db2.gz MMYNCVFTXNAHAU-UHFFFAOYSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CN(C)Cc2cc(C)ns2)C1 ZINC001481488742 1084005699 /nfs/dbraw/zinc/00/56/99/1084005699.db2.gz VULVGAFRVRYULS-OAHLLOKOSA-N 0 1 321.490 3.088 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)CC[C@@H](C)c1ccccc1)CC2 ZINC001268047256 1084008218 /nfs/dbraw/zinc/00/82/18/1084008218.db2.gz XLMWDRIKZSTUJG-GOSISDBHSA-N 0 1 324.468 3.128 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1nc(C(C)(C)C)cs1 ZINC001268053828 1084045319 /nfs/dbraw/zinc/04/53/19/1084045319.db2.gz IZTFIMQIOAWPJO-CYBMUJFWSA-N 0 1 323.506 3.343 20 30 DGEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@@H](C)CCC(C)(C)C)C1 ZINC001481529946 1084085667 /nfs/dbraw/zinc/08/56/67/1084085667.db2.gz VCOCOLYPCLYPRQ-DLBZAZTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CC)Cc2cccc(C)c2)C1 ZINC001481537497 1084107829 /nfs/dbraw/zinc/10/78/29/1084107829.db2.gz IGGCGTRBABQYPS-MOPGFXCFSA-N 0 1 314.473 3.330 20 30 DGEDMN CC#CCN(C)Cc1c[nH]nc1-c1ccc(Br)s1 ZINC001184116408 1084110382 /nfs/dbraw/zinc/11/03/82/1084110382.db2.gz XNVQPRDEEXOBOZ-UHFFFAOYSA-N 0 1 324.247 3.356 20 30 DGEDMN CCCCCCN(O)C(=O)[C@H]1CCCN(Cc2cccnc2)C1 ZINC001184305825 1084143765 /nfs/dbraw/zinc/14/37/65/1084143765.db2.gz SNECGLXYEWVWSY-KRWDZBQOSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001268105960 1084174821 /nfs/dbraw/zinc/17/48/21/1084174821.db2.gz OLAUBZNSVJPNCS-OLZOCXBDSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H]1CCC1(C)C ZINC001268109076 1084181035 /nfs/dbraw/zinc/18/10/35/1084181035.db2.gz BTJMPUDSMMHYIE-UONOGXRCSA-N 0 1 300.874 3.296 20 30 DGEDMN Cc1ccc(Nc2ccc(N3CCN(C)CC3)c(C#N)c2)cc1O ZINC001211699912 1084183733 /nfs/dbraw/zinc/18/37/33/1084183733.db2.gz QGTSSQOGCPIQEF-UHFFFAOYSA-N 0 1 322.412 3.068 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)Cc1cccc(-c2ccccc2)c1 ZINC001184519653 1084197831 /nfs/dbraw/zinc/19/78/31/1084197831.db2.gz UPNOCBBNKQQNIE-UHFFFAOYSA-N 0 1 302.337 3.130 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC12CC2)C1CCCCC1 ZINC001268120538 1084200604 /nfs/dbraw/zinc/20/06/04/1084200604.db2.gz OOOWAZSVDBGAKU-LSDHHAIUSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2cc(C)cc(C)c2)C1 ZINC001481593704 1084236904 /nfs/dbraw/zinc/23/69/04/1084236904.db2.gz HLFJWQUBSXJATD-UHFFFAOYSA-N 0 1 300.446 3.003 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)Cc2cccc(F)c2)C1 ZINC001268153874 1084245058 /nfs/dbraw/zinc/24/50/58/1084245058.db2.gz RQJSLTRHVZDPLW-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H](NCc2ccccc2F)C1 ZINC001184890479 1084278746 /nfs/dbraw/zinc/27/87/46/1084278746.db2.gz QEKOZKFKONQOCK-QGZVFWFLSA-N 0 1 316.420 3.100 20 30 DGEDMN C#CCC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001087437895 1084351765 /nfs/dbraw/zinc/35/17/65/1084351765.db2.gz RJVNPSBPXLVIPC-VBSBHUPXSA-N 0 1 324.468 3.030 20 30 DGEDMN CC1(C)CC(CC(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)C1 ZINC001185110455 1084354661 /nfs/dbraw/zinc/35/46/61/1084354661.db2.gz VWCAITDMKWYYDB-LJQANCHMSA-N 0 1 324.468 3.055 20 30 DGEDMN CN(CCCN(C)C(=O)C=C1CCC1)Cc1ccc(C#N)s1 ZINC001273500874 1084399312 /nfs/dbraw/zinc/39/93/12/1084399312.db2.gz GZPOBVDXSRSBNN-UHFFFAOYSA-N 0 1 317.458 3.010 20 30 DGEDMN C=CCCC(=O)NC1CN(CC2CCC(C(F)(F)F)CC2)C1 ZINC001268258087 1084424121 /nfs/dbraw/zinc/42/41/21/1084424121.db2.gz ABRGIVWLVQICLO-UHFFFAOYSA-N 0 1 318.383 3.122 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1c2ccccc2oc1CC ZINC001268260727 1084424421 /nfs/dbraw/zinc/42/44/21/1084424421.db2.gz YJAAHFBCJPTCGN-UHFFFAOYSA-N 0 1 312.413 3.022 20 30 DGEDMN N#Cc1nc2ccc(NS(=O)(=O)c3ccsc3)cc2s1 ZINC001185383748 1084426171 /nfs/dbraw/zinc/42/61/71/1084426171.db2.gz GTLFHWCFVJIQHD-UHFFFAOYSA-N 0 1 321.408 3.030 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)SC ZINC001481668302 1084460654 /nfs/dbraw/zinc/46/06/54/1084460654.db2.gz HHJGSKDBJWPKTG-JYJNAYRXSA-N 0 1 312.523 3.384 20 30 DGEDMN Cc1ccccc1[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)C ZINC001481671375 1084463829 /nfs/dbraw/zinc/46/38/29/1084463829.db2.gz XLNHPBHUSVUYNZ-BZSNNMDCSA-N 0 1 310.441 3.001 20 30 DGEDMN Cc1ccccc1[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)C ZINC001481671378 1084464228 /nfs/dbraw/zinc/46/42/28/1084464228.db2.gz XLNHPBHUSVUYNZ-SQNIBIBYSA-N 0 1 310.441 3.001 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001481694398 1084481687 /nfs/dbraw/zinc/48/16/87/1084481687.db2.gz QEMDZJDVQLVDKB-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C[C@@H](C#N)c1ccc(NS(=O)(=O)c2ccc(Cl)nc2)cc1 ZINC001185679018 1084509220 /nfs/dbraw/zinc/50/92/20/1084509220.db2.gz BRTXTLJVHXJFOG-JTQLQIEISA-N 0 1 321.789 3.163 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001268343285 1084511290 /nfs/dbraw/zinc/51/12/90/1084511290.db2.gz OQSMKHKMWOMYBI-PBHICJAKSA-N 0 1 316.445 3.102 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001481722652 1084537359 /nfs/dbraw/zinc/53/73/59/1084537359.db2.gz XZXNBRZZSOPMFT-QGZVFWFLSA-N 0 1 304.409 3.133 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001481750266 1084574973 /nfs/dbraw/zinc/57/49/73/1084574973.db2.gz JUDGXHYSHNKFHH-ROUUACIJSA-N 0 1 300.446 3.289 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCNCc2nccs2)CCCC1 ZINC001268431186 1084592629 /nfs/dbraw/zinc/59/26/29/1084592629.db2.gz LHWAXOPWGULFBM-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3ncccc32)C1 ZINC001268433848 1084595292 /nfs/dbraw/zinc/59/52/92/1084595292.db2.gz VZKOCYRBZDTREF-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cncc3sccc32)C1 ZINC001268438950 1084599071 /nfs/dbraw/zinc/59/90/71/1084599071.db2.gz WGXYKEWIRMWYAR-GFCCVEGCSA-N 0 1 315.442 3.065 20 30 DGEDMN N#Cc1c(NC(=O)c2ccc(O)c(F)c2)sc2c1CCC2 ZINC001186202791 1084608739 /nfs/dbraw/zinc/60/87/39/1084608739.db2.gz XZAFWIUGHUNDEL-UHFFFAOYSA-N 0 1 302.330 3.205 20 30 DGEDMN CCc1cc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)on1 ZINC001203232371 1084613710 /nfs/dbraw/zinc/61/37/10/1084613710.db2.gz QUDWIXSKRAADPR-KRWDZBQOSA-N 0 1 311.385 3.152 20 30 DGEDMN C#CCCCCC(=O)N(CC)[C@H]1CCN(Cc2ccsc2)C1 ZINC001481765984 1084616395 /nfs/dbraw/zinc/61/63/95/1084616395.db2.gz ZYQWEWYORYQRSM-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN CC[C@@H](CNC(=O)CC(C)(C)CC)NCc1ccccc1C#N ZINC001481814612 1084648538 /nfs/dbraw/zinc/64/85/38/1084648538.db2.gz GIBRQSPKGJIVKE-KRWDZBQOSA-N 0 1 315.461 3.369 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)N[C@H]2CCCc3ccccc32)c1 ZINC001186457375 1084655617 /nfs/dbraw/zinc/65/56/17/1084655617.db2.gz KHFALCADAHZDHS-HNNXBMFYSA-N 0 1 307.353 3.463 20 30 DGEDMN CC[C@@H](C)CCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186537200 1084663755 /nfs/dbraw/zinc/66/37/55/1084663755.db2.gz LIROCJVGQLANSP-IEBWSBKVSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](CC)NCc2oc(C)nc2C)CC1 ZINC001481848684 1084663831 /nfs/dbraw/zinc/66/38/31/1084663831.db2.gz QAXBAZRXBOLNGP-INIZCTEOSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCc2ccccc2C1 ZINC001481855326 1084671841 /nfs/dbraw/zinc/67/18/41/1084671841.db2.gz AMCDCJBKPPUNGQ-IAGOWNOFSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc2cccnc2c1 ZINC001481861951 1084673941 /nfs/dbraw/zinc/67/39/41/1084673941.db2.gz KLIZRDMHLIGJCD-HNNXBMFYSA-N 0 1 317.820 3.085 20 30 DGEDMN CC/C=C(/C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001493488046 1084692606 /nfs/dbraw/zinc/69/26/06/1084692606.db2.gz OYSIBJJNDANEAD-UUASQNMZSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C[C@@H](C)c1ccccc1 ZINC001268496698 1084721520 /nfs/dbraw/zinc/72/15/20/1084721520.db2.gz MSLLXSNOXYZEFW-CQSZACIVSA-N 0 1 308.853 3.371 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CCNCc2cscn2)C1 ZINC001481982308 1084745670 /nfs/dbraw/zinc/74/56/70/1084745670.db2.gz KGXJYKOVKJESOL-HNNXBMFYSA-N 0 1 321.490 3.218 20 30 DGEDMN COc1cccc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)n1 ZINC001137536563 1084750020 /nfs/dbraw/zinc/75/00/20/1084750020.db2.gz LEVCPRAZHMEHKK-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](F)CCCCCC)C1 ZINC001187099249 1084759383 /nfs/dbraw/zinc/75/93/83/1084759383.db2.gz NOOFMFMBKKKPFL-ZIAGYGMSSA-N 0 1 304.837 3.238 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN(Cc3ccccc3Cl)C[C@H]21 ZINC001187232414 1084773045 /nfs/dbraw/zinc/77/30/45/1084773045.db2.gz DNMKXPYUINRJRM-DOTOQJQBSA-N 0 1 318.848 3.339 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2CC=CC2)cc1 ZINC001482030891 1084779477 /nfs/dbraw/zinc/77/94/77/1084779477.db2.gz XKCDZMMDNFJCOH-UHFFFAOYSA-N 0 1 304.821 3.111 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2c[nH]cc2C)cc1 ZINC001482035156 1084787545 /nfs/dbraw/zinc/78/75/45/1084787545.db2.gz QERYDEIPQBQTMN-UHFFFAOYSA-N 0 1 317.820 3.095 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CN[C@@H](C)c1cc(F)ccc1F ZINC001268533066 1084789642 /nfs/dbraw/zinc/78/96/42/1084789642.db2.gz NDKSRRXXLMOSNU-AFNCTOJWSA-N 0 1 320.383 3.091 20 30 DGEDMN C[C@@H]1CC[C@H](CC(=O)NC/C=C\CNCC#Cc2ccccc2)C1 ZINC001268578162 1084839929 /nfs/dbraw/zinc/83/99/29/1084839929.db2.gz HIJPXWUPVGSJTQ-XZZFYQAUSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C/CNCc2cccc(F)c2F)C1 ZINC001268592387 1084859047 /nfs/dbraw/zinc/85/90/47/1084859047.db2.gz XJTLRXOOTRNOBR-ONEGZZNKSA-N 0 1 320.383 3.083 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(C)cc1Cl ZINC001268595326 1084867126 /nfs/dbraw/zinc/86/71/26/1084867126.db2.gz XVLFFNITARMGQV-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCN(C)Cc1ccc(C#N)c(F)c1 ZINC001496678489 1084885314 /nfs/dbraw/zinc/88/53/14/1084885314.db2.gz BTKWOAFNWWDYSM-AWEZNQCLSA-N 0 1 319.424 3.024 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)C1=CCCCCC1 ZINC001268684173 1084944735 /nfs/dbraw/zinc/94/47/35/1084944735.db2.gz LCZMCBPGDNRVLR-HNNXBMFYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1C[C@H]1c1ccccc1CC ZINC001268701455 1084957155 /nfs/dbraw/zinc/95/71/55/1084957155.db2.gz SJYFMYSGBXLUIA-RRQGHBQHSA-N 0 1 320.864 3.199 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001268701651 1084959788 /nfs/dbraw/zinc/95/97/88/1084959788.db2.gz APHAPJFKJGIQQX-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2ccccc2c1 ZINC001268713799 1084965484 /nfs/dbraw/zinc/96/54/84/1084965484.db2.gz YMNYFISRHBGJLY-ZDUSSCGKSA-N 0 1 302.805 3.300 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2c(C)cccc2Cl)C1 ZINC001269009924 1085098884 /nfs/dbraw/zinc/09/88/84/1085098884.db2.gz RYZZHVRBZSZYQV-AWEZNQCLSA-N 0 1 306.837 3.305 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)CC(C)(C)c2ccc(F)cc2)C1 ZINC001269034370 1085102837 /nfs/dbraw/zinc/10/28/37/1085102837.db2.gz WMHXQZOVUWPRHX-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1cc(F)ccc1Cl)C1CC1 ZINC001269050157 1085106184 /nfs/dbraw/zinc/10/61/84/1085106184.db2.gz CVSWEXFLZDXYPN-MRXNPFEDSA-N 0 1 324.827 3.430 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCN1CC/C=C\c1ccccc1 ZINC001269235333 1085225003 /nfs/dbraw/zinc/22/50/03/1085225003.db2.gz JVJNPYCWCJJKHA-ABMFHFBKSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C(CC)(CC)c2ccccc2)C1 ZINC001269296789 1085279808 /nfs/dbraw/zinc/27/98/08/1085279808.db2.gz QYUFRDMOSWRALF-SFHVURJKSA-N 0 1 314.473 3.463 20 30 DGEDMN CC#CCCCC(=O)N(CC)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001269344590 1085322007 /nfs/dbraw/zinc/32/20/07/1085322007.db2.gz DPQZQMLCFYPHQX-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001269349604 1085327743 /nfs/dbraw/zinc/32/77/43/1085327743.db2.gz UHKPNRAGYDTWEE-GJZGRUSLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001269356600 1085331853 /nfs/dbraw/zinc/33/18/53/1085331853.db2.gz SAVYRAAUAVXQHO-PBHICJAKSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cccc(C(C)C)n2)CC1 ZINC001269420362 1085379668 /nfs/dbraw/zinc/37/96/68/1085379668.db2.gz VYJNEZGZFKKXNF-UHFFFAOYSA-N 0 1 315.461 3.300 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(CCC)cc1 ZINC001269459895 1085398368 /nfs/dbraw/zinc/39/83/68/1085398368.db2.gz CCOHOYSFTOEGKY-INIZCTEOSA-N 0 1 308.853 3.490 20 30 DGEDMN CC(C)=C(C)CC(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001269469473 1085403437 /nfs/dbraw/zinc/40/34/37/1085403437.db2.gz VXTSNXIFLTYMHH-UHFFFAOYSA-N 0 1 317.458 3.105 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](CC)CC(F)(F)F ZINC001269491393 1085420105 /nfs/dbraw/zinc/42/01/05/1085420105.db2.gz DBBFSGUEROCMCF-MNOVXSKESA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccsc2Cl)CC1 ZINC001269501929 1085426134 /nfs/dbraw/zinc/42/61/34/1085426134.db2.gz JBMXVJAAHDLCPJ-UHFFFAOYSA-N 0 1 305.230 3.006 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cc1ccc(Cl)cc1 ZINC001269503461 1085427158 /nfs/dbraw/zinc/42/71/58/1085427158.db2.gz WLBTVHLZZYAWAY-AWEZNQCLSA-N 0 1 315.244 3.119 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2ccc(Cl)nc2)CC1 ZINC001269497845 1085428312 /nfs/dbraw/zinc/42/83/12/1085428312.db2.gz VXLBHDGRQBPGRG-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)c2ccc(F)cc2)CC1 ZINC001269540576 1085453317 /nfs/dbraw/zinc/45/33/17/1085453317.db2.gz GLFYSSLVCPRDCI-LBPRGKRZSA-N 0 1 324.827 3.310 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CCC)CC(C)C ZINC001269602334 1085488597 /nfs/dbraw/zinc/48/85/97/1085488597.db2.gz YXGFWHRBSSFOMY-KURKYZTESA-N 0 1 304.478 3.147 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC=C(C)C ZINC001269660636 1085517639 /nfs/dbraw/zinc/51/76/39/1085517639.db2.gz PYKIKQYCAWHNTH-HDICACEKSA-N 0 1 302.462 3.212 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H](CC)CC(F)F)C1 ZINC001269800699 1085569239 /nfs/dbraw/zinc/56/92/39/1085569239.db2.gz OTSFJWCCDUFXIL-QWHCGFSZSA-N 0 1 302.409 3.165 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)oc2C)C[C@@H]1C ZINC001269939192 1085623079 /nfs/dbraw/zinc/62/30/79/1085623079.db2.gz WZATVWHTAJUFEY-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2nc(C)oc2C)C[C@H]1C ZINC001269939190 1085623578 /nfs/dbraw/zinc/62/35/78/1085623578.db2.gz WZATVWHTAJUFEY-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN CCCCCC(=O)N1CCC[C@H]1CNCc1ccccc1C#N ZINC001270007283 1085651163 /nfs/dbraw/zinc/65/11/63/1085651163.db2.gz WIHKHWYPZWRGBG-SFHVURJKSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCOC(=O)[C@@H](Nc1ccc2[nH]c(C)nc2c1)[C@@H](C)CC ZINC001167960177 1085670753 /nfs/dbraw/zinc/67/07/53/1085670753.db2.gz VASFHDDBKWCFFG-ZBEGNZNMSA-N 0 1 301.390 3.427 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2ccoc2C)cc1 ZINC001270048776 1085671233 /nfs/dbraw/zinc/67/12/33/1085671233.db2.gz WWWJKBCKNJGFPC-UHFFFAOYSA-N 0 1 318.804 3.360 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@]23C[C@H]2CCC3)cc1 ZINC001270071079 1085679223 /nfs/dbraw/zinc/67/92/23/1085679223.db2.gz LIZIJJBGEAVFHK-SJLPKXTDSA-N 0 1 318.848 3.335 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CNCc1csc(CC)n1 ZINC001270120761 1085701258 /nfs/dbraw/zinc/70/12/58/1085701258.db2.gz IFASYEHWPGDCOB-OAHLLOKOSA-N 0 1 321.490 3.142 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC[C@@H](C)C2)CC1 ZINC001270144339 1085710314 /nfs/dbraw/zinc/71/03/14/1085710314.db2.gz OARJILXWGRWGCT-CZUORRHYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001270144341 1085710378 /nfs/dbraw/zinc/71/03/78/1085710378.db2.gz OARJILXWGRWGCT-XJKSGUPXSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(C)CCN(Cc2conc2C)CC1 ZINC001270243447 1085745801 /nfs/dbraw/zinc/74/58/01/1085745801.db2.gz UAQFILWEFNXPRF-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN(C(=O)C1CCCCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001270279141 1085759055 /nfs/dbraw/zinc/75/90/55/1085759055.db2.gz FEESCRRGNANHQH-QGZVFWFLSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1C)C1CCCC1 ZINC001270378770 1085792348 /nfs/dbraw/zinc/79/23/48/1085792348.db2.gz LLKBHEGBOXYRNT-OAHLLOKOSA-N 0 1 310.825 3.219 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCC1(C)C)C1CCCC1 ZINC001270423662 1085809772 /nfs/dbraw/zinc/80/97/72/1085809772.db2.gz VYNDKFLSGDFXPO-HUUCEWRRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccnc(C)c1)C1CCCC1 ZINC001270440334 1085813979 /nfs/dbraw/zinc/81/39/79/1085813979.db2.gz DFLIFCJZCLCIPV-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN CCCCCCCCC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC001625929763 1085897791 /nfs/dbraw/zinc/89/77/91/1085897791.db2.gz DSQINOMKGAJIDN-HOTGVXAUSA-N 0 1 312.523 3.433 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001482372776 1086089792 /nfs/dbraw/zinc/08/97/92/1086089792.db2.gz KKAADDRMSKVAMP-GFCCVEGCSA-N 0 1 300.874 3.248 20 30 DGEDMN Cc1cccc(C(=O)N(C)[C@@H](C)CNCc2ccccc2C#N)c1 ZINC001270877071 1086113865 /nfs/dbraw/zinc/11/38/65/1086113865.db2.gz BWPOZGZWWYZVEV-INIZCTEOSA-N 0 1 321.424 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H](C)C(F)(F)F ZINC001482392247 1086121458 /nfs/dbraw/zinc/12/14/58/1086121458.db2.gz XBZKALJXACDBIG-WDEREUQCSA-N 0 1 314.779 3.058 20 30 DGEDMN C#CCCCCC(=O)NC[C@@]1(C)CCCN(C/C=C\Cl)C1 ZINC001270949327 1086211454 /nfs/dbraw/zinc/21/14/54/1086211454.db2.gz CLFJINSXLMWXOE-SDMNGIDPSA-N 0 1 310.869 3.151 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)CC/C=C/c2ccccc2)C1 ZINC001270991918 1086233588 /nfs/dbraw/zinc/23/35/88/1086233588.db2.gz QWPLWFLHYJXJLI-SQEWALACSA-N 0 1 324.468 3.332 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccccc2C2CCC2)C1 ZINC001271006991 1086245482 /nfs/dbraw/zinc/24/54/82/1086245482.db2.gz GIBUQVUYEFOBCM-OAQYLSRUSA-N 0 1 324.468 3.419 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(C)CCC(C)CC2)C1 ZINC001271016888 1086251629 /nfs/dbraw/zinc/25/16/29/1086251629.db2.gz KKSZOPIBRZKTAX-CUYAVPTFSA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C)nc1C(C)C ZINC001271052302 1086264977 /nfs/dbraw/zinc/26/49/77/1086264977.db2.gz SJMSBMJLJSFJHB-AWEZNQCLSA-N 0 1 323.868 3.316 20 30 DGEDMN C=CC[C@@H](CCC)Oc1nc(=O)[nH]c(C)c1Br ZINC001226781791 1086280881 /nfs/dbraw/zinc/28/08/81/1086280881.db2.gz MRKAYHCCLPLPJE-VIFPVBQESA-N 0 1 301.184 3.377 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001271099146 1086289836 /nfs/dbraw/zinc/28/98/36/1086289836.db2.gz AZNHYTQOXFTYOW-CABCVRRESA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCN(C[C@@H](F)CC)[C@@H]1CC ZINC001087603113 1086323353 /nfs/dbraw/zinc/32/33/53/1086323353.db2.gz YJVYSQSNXPLDCC-HRCADAONSA-N 0 1 321.440 3.005 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1CC ZINC001087610971 1086325390 /nfs/dbraw/zinc/32/53/90/1086325390.db2.gz PIBREMXLLDOBTK-QWHCGFSZSA-N 0 1 324.371 3.132 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(c2cccc(F)c2)CC1 ZINC001271128381 1086346082 /nfs/dbraw/zinc/34/60/82/1086346082.db2.gz BGYQKVMRYBRKLU-CYBMUJFWSA-N 0 1 324.827 3.046 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3c(ccc(C)c3C)[nH]2)[C@H]1CC ZINC001087685632 1086358964 /nfs/dbraw/zinc/35/89/64/1086358964.db2.gz VDFPFCXGPQVPPI-PKOBYXMFSA-N 0 1 323.440 3.001 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(F)c2Cl)[C@H]1CC ZINC001087739985 1086387695 /nfs/dbraw/zinc/38/76/95/1086387695.db2.gz KFWAIGCZVGVFLB-LSDHHAIUSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2csc(Cl)c2)[C@H]1CC ZINC001087748640 1086394523 /nfs/dbraw/zinc/39/45/23/1086394523.db2.gz SZTSHDKLYHKTRU-QWHCGFSZSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)[C@H]1CC ZINC001087845268 1086443010 /nfs/dbraw/zinc/44/30/10/1086443010.db2.gz QBENQRWRFWXPLB-XWTMOSNGSA-N 0 1 316.420 3.084 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3=CCCCCC3)C[C@@H]2C1 ZINC001087945222 1086491690 /nfs/dbraw/zinc/49/16/90/1086491690.db2.gz LRWRMBQFQMFPOS-SJORKVTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=C[C@H](C(=O)N(C)[C@@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001271215310 1086546746 /nfs/dbraw/zinc/54/67/46/1086546746.db2.gz FBGMZQAVWXUHEH-HOCLYGCPSA-N 0 1 306.837 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)c1ccoc1CC ZINC001271705354 1086707196 /nfs/dbraw/zinc/70/71/96/1086707196.db2.gz MSRIYFCOBZFQLI-IUODEOHRSA-N 0 1 324.852 3.425 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](NCc1oc(C)nc1C)C(C)C ZINC001271891002 1086811432 /nfs/dbraw/zinc/81/14/32/1086811432.db2.gz BACQUDOTXWAWLE-QAPCUYQASA-N 0 1 321.465 3.124 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2cccc(F)c2O)cc1 ZINC001272014494 1086971004 /nfs/dbraw/zinc/97/10/04/1086971004.db2.gz DABHEHYPTCCNOS-UHFFFAOYSA-N 0 1 301.317 3.026 20 30 DGEDMN C=CC(=NCOC)NCc1ccc(-c2ccnc(F)c2)c(F)c1 ZINC001168142649 1086980614 /nfs/dbraw/zinc/98/06/14/1086980614.db2.gz WVRSPLUTLVQSHJ-UHFFFAOYSA-N 0 1 317.339 3.305 20 30 DGEDMN N#CCC1CN(Cc2c[nH]c3cc(Br)ccc23)C1 ZINC001142388534 1087024920 /nfs/dbraw/zinc/02/49/20/1087024920.db2.gz YYJGCMCSPIFENG-UHFFFAOYSA-N 0 1 304.191 3.276 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1cc(C)sc1C ZINC001482619077 1087086493 /nfs/dbraw/zinc/08/64/93/1087086493.db2.gz MZVHYUUIXZMEKN-UHFFFAOYSA-N 0 1 308.491 3.467 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C)c2ccccc12 ZINC001482631402 1087094386 /nfs/dbraw/zinc/09/43/86/1087094386.db2.gz VGLCKTWIBQKLGZ-UHFFFAOYSA-N 0 1 308.425 3.175 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)C[C@H](c1ccccc1)C(C)C ZINC001482641271 1087099928 /nfs/dbraw/zinc/09/99/28/1087099928.db2.gz OTBWECSHEZTEMQ-IBGZPJMESA-N 0 1 314.473 3.230 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2[C@@H](CCN2CC(=C)Cl)C1 ZINC001482660284 1087104581 /nfs/dbraw/zinc/10/45/81/1087104581.db2.gz XCXQLNBWNRIFDO-IRXDYDNUSA-N 0 1 322.880 3.430 20 30 DGEDMN C=CCCCCC[N@@H+]1C[C@@H](NC(=O)C[C@@H](C)CCC)[C@H](OC)C1 ZINC001212009399 1087135322 /nfs/dbraw/zinc/13/53/22/1087135322.db2.gz PYSULYZPSKDVJQ-RCCFBDPRSA-N 0 1 324.509 3.375 20 30 DGEDMN CCC[C@H](C)C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001482818306 1087166481 /nfs/dbraw/zinc/16/64/81/1087166481.db2.gz MXNCIGOJBGZKSV-UONOGXRCSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1cc(F)ccc1F ZINC001483012125 1087233934 /nfs/dbraw/zinc/23/39/34/1087233934.db2.gz MBDZPICQFNCIME-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cccc3c2CCCCC3)[C@H]1C ZINC001088642746 1087242623 /nfs/dbraw/zinc/24/26/23/1087242623.db2.gz WFWFGBFCEPGHFC-BEFAXECRSA-N 0 1 312.457 3.334 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(C)(C)CC)CC[C@@H]21 ZINC001272208943 1087301296 /nfs/dbraw/zinc/30/12/96/1087301296.db2.gz ZHXJEWFQRDHYLV-CABCVRRESA-N 0 1 312.885 3.488 20 30 DGEDMN C#CCCCCCCCCC(=O)N1CCCC2(CN(C)C2)C1 ZINC001272219907 1087318581 /nfs/dbraw/zinc/31/85/81/1087318581.db2.gz SDZDWBZBGPGEAI-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001483109503 1087349463 /nfs/dbraw/zinc/34/94/63/1087349463.db2.gz APSXABBMXPUOHU-CQSZACIVSA-N 0 1 320.864 3.222 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1nc(-c2ccc(C#N)s2)cs1 ZINC001142584219 1087369738 /nfs/dbraw/zinc/36/97/38/1087369738.db2.gz NXBGHJRKEPUDNA-UHFFFAOYSA-N 0 1 320.443 3.022 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1cccc2ccccc21 ZINC001483188010 1087413324 /nfs/dbraw/zinc/41/33/24/1087413324.db2.gz PNUZLNAULONATO-CQSZACIVSA-N 0 1 316.832 3.229 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2c(F)ccc(C)c2F)[C@H]1C ZINC001088836682 1087420169 /nfs/dbraw/zinc/42/01/69/1087420169.db2.gz PTKKBPVKSZWSGB-OCCSQVGLSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)CCC(C)C)CC2)C1 ZINC001105687074 1087423742 /nfs/dbraw/zinc/42/37/42/1087423742.db2.gz ALBFBKUJHABMFO-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001483261704 1087453328 /nfs/dbraw/zinc/45/33/28/1087453328.db2.gz KDBBMZQFQMWHPM-NWDGAFQWSA-N 0 1 315.244 3.290 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)/C=C(\C)CC)CC2)C1 ZINC001105706980 1087458623 /nfs/dbraw/zinc/45/86/23/1087458623.db2.gz HUYYLVWQZGVCDV-DTQAZKPQSA-N 0 1 320.477 3.001 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CCC)c1ccccc1 ZINC001483418149 1087496599 /nfs/dbraw/zinc/49/65/99/1087496599.db2.gz STGIPTGCIICSKY-WMZOPIPTSA-N 0 1 300.446 3.030 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C3CCCC3)c2)[C@H]1C ZINC001088981398 1087614792 /nfs/dbraw/zinc/61/47/92/1087614792.db2.gz QMMYVFWBLRLPQT-BEFAXECRSA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)[C@H]1C ZINC001089031841 1087625198 /nfs/dbraw/zinc/62/51/98/1087625198.db2.gz GWHNPGRNBKWAPU-PBHICJAKSA-N 0 1 311.429 3.163 20 30 DGEDMN C=CCCC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccns1 ZINC001099098795 1087631511 /nfs/dbraw/zinc/63/15/11/1087631511.db2.gz WPNXYQXMILFUGU-CQSZACIVSA-N 0 1 321.490 3.330 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(C(C)C)s2)[C@H]1C ZINC001089091804 1087635887 /nfs/dbraw/zinc/63/58/87/1087635887.db2.gz RDTPSPCJXITCLF-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](CC)Cc1cccc(C)c1 ZINC001483698653 1087675206 /nfs/dbraw/zinc/67/52/06/1087675206.db2.gz UBWCBFOALIVRJY-OALUTQOASA-N 0 1 314.473 3.330 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](CC)Cc1cccc(C)c1 ZINC001483698655 1087675474 /nfs/dbraw/zinc/67/54/74/1087675474.db2.gz UBWCBFOALIVRJY-RTBURBONSA-N 0 1 314.473 3.330 20 30 DGEDMN C#CC(C)(C)C(=O)N1CC2(CCN2C[C@H](CC)CCCC)C1 ZINC001272343768 1087677526 /nfs/dbraw/zinc/67/75/26/1087677526.db2.gz ONPCDDIUMHWZBX-MRXNPFEDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1c(Br)cccc1C#N ZINC001233694938 1087687975 /nfs/dbraw/zinc/68/79/75/1087687975.db2.gz NQYVWDFRJHUIHS-NSHDSACASA-N 0 1 322.158 3.309 20 30 DGEDMN CCCCCCCCN1CC2(C1)COCC(=O)N2C1CCCC1 ZINC001272479072 1087726874 /nfs/dbraw/zinc/72/68/74/1087726874.db2.gz USIAVPWIZVQVDT-UHFFFAOYSA-N 0 1 322.493 3.203 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001158289889 1087762437 /nfs/dbraw/zinc/76/24/37/1087762437.db2.gz LZQGZTWBPDCMKX-QGZVFWFLSA-N 0 1 323.440 3.036 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1ccc(C)o1 ZINC001151720986 1087763259 /nfs/dbraw/zinc/76/32/59/1087763259.db2.gz JUNPFOIDYSEXDE-MRXNPFEDSA-N 0 1 324.424 3.080 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCC1(C)C ZINC001158322193 1087774802 /nfs/dbraw/zinc/77/48/02/1087774802.db2.gz SVAPEHMETRUVMZ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C)c(Cl)c1 ZINC001158438293 1087835887 /nfs/dbraw/zinc/83/58/87/1087835887.db2.gz RSBSFIZJOZKYAI-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(F)c1Cl ZINC001158521795 1087888928 /nfs/dbraw/zinc/88/89/28/1087888928.db2.gz GEMUEKJDPVGGQL-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1)C1CC1 ZINC000176534633 1087893875 /nfs/dbraw/zinc/89/38/75/1087893875.db2.gz UQOIVXREVNWOBI-KCJUWKMLSA-N 0 1 308.740 3.173 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1csc(C)c1 ZINC001158605016 1087929669 /nfs/dbraw/zinc/92/96/69/1087929669.db2.gz JZDYBEONDPVVGN-MRXNPFEDSA-N 0 1 304.459 3.006 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cc(C)ccc1F ZINC001152313828 1087944339 /nfs/dbraw/zinc/94/43/39/1087944339.db2.gz VKJHVYRWRZHLEQ-OAHLLOKOSA-N 0 1 306.425 3.427 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1oc(C(C)C)nc1C ZINC001152352274 1087956410 /nfs/dbraw/zinc/95/64/10/1087956410.db2.gz AXZUBBFZTPOJCR-CQSZACIVSA-N 0 1 321.465 3.399 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](CC)C(C)C ZINC001158768095 1088018542 /nfs/dbraw/zinc/01/85/42/1088018542.db2.gz HWZFMWLIXYAZHJ-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cc(F)ccc1C ZINC001153025420 1088143564 /nfs/dbraw/zinc/14/35/64/1088143564.db2.gz VSHBGSLZRURVRW-MRXNPFEDSA-N 0 1 318.436 3.264 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)C/C=C/c1ccccc1 ZINC001153025056 1088144701 /nfs/dbraw/zinc/14/47/01/1088144701.db2.gz MYNXYPWHOJBYQX-GPZRYRNASA-N 0 1 312.457 3.330 20 30 DGEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cccc(Cl)c1 ZINC001153025498 1088144799 /nfs/dbraw/zinc/14/47/99/1088144799.db2.gz ZURIHKYGTLDCDT-OAHLLOKOSA-N 0 1 320.864 3.470 20 30 DGEDMN N#C[C@H](NC(=O)c1cnc2[nH]ccc(Cl)c1-2)c1ccccc1 ZINC001153095155 1088164341 /nfs/dbraw/zinc/16/43/41/1088164341.db2.gz TXXYNQBZJLNFSI-ZDUSSCGKSA-N 0 1 310.744 3.211 20 30 DGEDMN N#C[C@@H](NC(=O)c1cnc2[nH]ccc(Cl)c1-2)c1ccccc1 ZINC001153095154 1088164918 /nfs/dbraw/zinc/16/49/18/1088164918.db2.gz TXXYNQBZJLNFSI-CYBMUJFWSA-N 0 1 310.744 3.211 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(Cc2ccccc2F)CC1 ZINC001159539030 1088386641 /nfs/dbraw/zinc/38/66/41/1088386641.db2.gz XWKJIFCZJDTSLA-UHFFFAOYSA-N 0 1 316.420 3.430 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](CC)CCC ZINC001099286598 1088477717 /nfs/dbraw/zinc/47/77/17/1088477717.db2.gz ZXFUEXQMAIFOFB-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](CC)C(C)C ZINC001099311134 1088530322 /nfs/dbraw/zinc/53/03/22/1088530322.db2.gz YDSZDRVBAGFKBP-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN CCc1cnc(NC2(C#N)CCN(Cc3ccccc3)CC2)nc1 ZINC001160099588 1088640536 /nfs/dbraw/zinc/64/05/36/1088640536.db2.gz AOQMDCOTFSOAAX-UHFFFAOYSA-N 0 1 321.428 3.009 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1ccccn1 ZINC001154584823 1088662123 /nfs/dbraw/zinc/66/21/23/1088662123.db2.gz ZRGMCUZISRVDCH-OAHLLOKOSA-N 0 1 303.450 3.011 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)Cc1cccc(Cl)n1 ZINC001154620348 1088680512 /nfs/dbraw/zinc/68/05/12/1088680512.db2.gz IBWWOJJSIJZCPD-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001154620197 1088680541 /nfs/dbraw/zinc/68/05/41/1088680541.db2.gz DDGQWIOFSCJEQG-QGZVFWFLSA-N 0 1 312.457 3.077 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCC1=CCN(C[C@@H](F)CC)CC1 ZINC001160242325 1088717958 /nfs/dbraw/zinc/71/79/58/1088717958.db2.gz MQLGFNDTBLLHLE-INIZCTEOSA-N 0 1 310.457 3.475 20 30 DGEDMN Cc1ccc2nc(Nc3nccnc3CN)c(C#N)c(Cl)c2c1 ZINC001160252015 1088724841 /nfs/dbraw/zinc/72/48/41/1088724841.db2.gz QYDSXACHUIXWPK-UHFFFAOYSA-N 0 1 324.775 3.061 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C(C)(C)C)CC(C)(C)C1 ZINC001089544040 1088728697 /nfs/dbraw/zinc/72/86/97/1088728697.db2.gz MIECZAOCODIWIR-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCC1(C(=O)NCCC2=CCN(C[C@H](F)CC)CC2)CCC1 ZINC001160315191 1088752868 /nfs/dbraw/zinc/75/28/68/1088752868.db2.gz WIGYOEYOTDAUDR-QGZVFWFLSA-N 0 1 320.452 3.067 20 30 DGEDMN COc1cc2[nH]ncc2cc1C(=O)Nc1cc(F)c(C#N)cc1C ZINC001154794277 1088761093 /nfs/dbraw/zinc/76/10/93/1088761093.db2.gz WQHSOCHAXPCJDW-UHFFFAOYSA-N 0 1 324.315 3.143 20 30 DGEDMN CCOC(=O)c1c[nH]c2cc(N[C@@H](C#N)CC(C)C)ncc21 ZINC001160363542 1088765708 /nfs/dbraw/zinc/76/57/08/1088765708.db2.gz REVCYUYQAORYIO-LLVKDONJSA-N 0 1 300.362 3.090 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(Cl)o1 ZINC001089609913 1088819395 /nfs/dbraw/zinc/81/93/95/1088819395.db2.gz MLVLUUYCQBFDEB-GFCCVEGCSA-N 0 1 310.825 3.339 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1C(C)(C)C1(C)C ZINC001089626303 1088844612 /nfs/dbraw/zinc/84/46/12/1088844612.db2.gz FNFKOSJDQKMCFP-OAHLLOKOSA-N 0 1 318.505 3.299 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccoc1Cl ZINC001089630492 1088853142 /nfs/dbraw/zinc/85/31/42/1088853142.db2.gz KXOLKEKJTLMNMB-LBPRGKRZSA-N 0 1 310.825 3.339 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001154957690 1088854115 /nfs/dbraw/zinc/85/41/15/1088854115.db2.gz NBZUUXDXMIYTSO-MRXNPFEDSA-N 0 1 303.450 3.073 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)cs1 ZINC001089638007 1088865110 /nfs/dbraw/zinc/86/51/10/1088865110.db2.gz SGWRWICRGRZGQM-CQSZACIVSA-N 0 1 306.475 3.463 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)cs1 ZINC001089638004 1088867199 /nfs/dbraw/zinc/86/71/99/1088867199.db2.gz SGWRWICRGRZGQM-AWEZNQCLSA-N 0 1 306.475 3.463 20 30 DGEDMN COC(=O)c1cc2c(cc(Cl)nc2NCC2(C#N)CCC2)[nH]1 ZINC001154995795 1088884444 /nfs/dbraw/zinc/88/44/44/1088884444.db2.gz WSUBYRIVBLHZRN-UHFFFAOYSA-N 0 1 318.764 3.109 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1[C@H]1CCN(CC(=C)Cl)C1 ZINC001483801595 1088901230 /nfs/dbraw/zinc/90/12/30/1088901230.db2.gz JTMSMVFWBYPSSZ-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001155066609 1088928578 /nfs/dbraw/zinc/92/85/78/1088928578.db2.gz KZXYWRHDNKJRQE-VQIMIIECSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC[C@H](C)F ZINC001483827385 1088930456 /nfs/dbraw/zinc/93/04/56/1088930456.db2.gz QOUBSEVKXAMKKV-HRCADAONSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2sccc2C)C1 ZINC001483865621 1088963028 /nfs/dbraw/zinc/96/30/28/1088963028.db2.gz WFCQBVGBRQOBEN-HZPDHXFCSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(F)cc(C)cc2F)C1 ZINC001483871536 1088973710 /nfs/dbraw/zinc/97/37/10/1088973710.db2.gz NYVUKGUJQYCBFY-ZDUSSCGKSA-N 0 1 322.399 3.384 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc3c(c2)CCC3)C1 ZINC001483889367 1088992975 /nfs/dbraw/zinc/99/29/75/1088992975.db2.gz GBYVWEIJQDDQMT-HNNXBMFYSA-N 0 1 312.457 3.286 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCC1=CCN(C[C@H](F)CC)CC1 ZINC001160856817 1088992932 /nfs/dbraw/zinc/99/29/32/1088992932.db2.gz RMVPKSXEQAWNLO-MRXNPFEDSA-N 0 1 310.457 3.475 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1cc(C)cc(C)c1 ZINC001483942722 1089025658 /nfs/dbraw/zinc/02/56/58/1089025658.db2.gz MIQALKJINSQCGT-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC/C=C/c2ccccc2)CC1 ZINC001483970586 1089050923 /nfs/dbraw/zinc/05/09/23/1089050923.db2.gz DVVCGWTYHLOQCF-YRNVUSSQSA-N 0 1 310.441 3.084 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CCCC)C1CCN(CC#CC)CC1 ZINC001483980767 1089067294 /nfs/dbraw/zinc/06/72/94/1089067294.db2.gz ODIWRVRBINGBPD-SFHVURJKSA-N 0 1 316.489 3.152 20 30 DGEDMN C#CCN(C(=O)CCC(=C)C)C1CCN(C[C@H](F)CC)CC1 ZINC001483984985 1089070980 /nfs/dbraw/zinc/07/09/80/1089070980.db2.gz HHEZCVGMMKSPJJ-MRXNPFEDSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1CC)C1CCCC1 ZINC001483998845 1089076422 /nfs/dbraw/zinc/07/64/22/1089076422.db2.gz WTQNTZSZMFTFIA-OAHLLOKOSA-N 0 1 324.852 3.473 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)cncc1C ZINC001089669388 1089076864 /nfs/dbraw/zinc/07/68/64/1089076864.db2.gz LFJYEVOBHYWGJT-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c(C)cncc1C ZINC001089669388 1089076882 /nfs/dbraw/zinc/07/68/82/1089076882.db2.gz LFJYEVOBHYWGJT-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C2CC2)CC1)C1CCCC1 ZINC001484001066 1089086773 /nfs/dbraw/zinc/08/67/73/1089086773.db2.gz MQRNMYCDDYDBMC-OAHLLOKOSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)CC(C)(F)F)C1CCCC1 ZINC001484008225 1089090949 /nfs/dbraw/zinc/09/09/49/1089090949.db2.gz BPVZOYSHCBAJGR-GFCCVEGCSA-N 0 1 308.800 3.049 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cncc(CC)c1 ZINC001089671406 1089095727 /nfs/dbraw/zinc/09/57/27/1089095727.db2.gz RAEREKJHCYFSDE-QGZVFWFLSA-N 0 1 315.461 3.050 20 30 DGEDMN CC(C)(C(=O)Nc1ccc(F)c(F)c1O)c1ccc(C#N)cc1 ZINC001161073563 1089104010 /nfs/dbraw/zinc/10/40/10/1089104010.db2.gz IPWJOIIWPQMTNP-UHFFFAOYSA-N 0 1 316.307 3.458 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@@H](C)c2ccccc2F)C1 ZINC001484041349 1089127952 /nfs/dbraw/zinc/12/79/52/1089127952.db2.gz GHDDZJZNISFANK-HNAYVOBHSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCCC1(C)C ZINC001089683939 1089159326 /nfs/dbraw/zinc/15/93/26/1089159326.db2.gz KPXXISWPFZBIFE-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN(C(=O)[C@@H](N)Cc1cc(F)cc(F)c1)C1CCCCC1 ZINC001161247690 1089168103 /nfs/dbraw/zinc/16/81/03/1089168103.db2.gz GTUDNODQSCPVHU-KRWDZBQOSA-N 0 1 322.399 3.182 20 30 DGEDMN C=CCN(C(=O)[C@H](N)Cc1ccccc1F)C1CCCCC1 ZINC001161247982 1089168353 /nfs/dbraw/zinc/16/83/53/1089168353.db2.gz OPLJJRMTCFERLQ-QGZVFWFLSA-N 0 1 304.409 3.043 20 30 DGEDMN C=C(C)C[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)c1ccn(C)c1C ZINC001089690986 1089182881 /nfs/dbraw/zinc/18/28/81/1089182881.db2.gz HSVDKRKKDRYWPO-INIZCTEOSA-N 0 1 317.477 3.130 20 30 DGEDMN C=C(C)C[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)c1ccn(C)c1C ZINC001089690987 1089183328 /nfs/dbraw/zinc/18/33/28/1089183328.db2.gz HSVDKRKKDRYWPO-MRXNPFEDSA-N 0 1 317.477 3.130 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1CCC ZINC001089694087 1089201385 /nfs/dbraw/zinc/20/13/85/1089201385.db2.gz SDHCQVUAEKRJDC-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CC)CC2CCCC2)CC1 ZINC001161383025 1089221376 /nfs/dbraw/zinc/22/13/76/1089221376.db2.gz FLGRFAHRWTVKDO-LJQANCHMSA-N 0 1 316.489 3.365 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cocc2C)CC1 ZINC001484140597 1089226829 /nfs/dbraw/zinc/22/68/29/1089226829.db2.gz FMTPCUIAPSXPQR-UHFFFAOYSA-N 0 1 310.825 3.172 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ccncc1Cl ZINC001089700855 1089236276 /nfs/dbraw/zinc/23/62/76/1089236276.db2.gz GQGMUZACGVCWQY-ZDUSSCGKSA-N 0 1 321.852 3.141 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CC12CCCC2 ZINC001089719248 1089293255 /nfs/dbraw/zinc/29/32/55/1089293255.db2.gz ZZTYZXNQJZCZLD-DLBZAZTESA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001089722557 1089298425 /nfs/dbraw/zinc/29/84/25/1089298425.db2.gz AKNIXPXIZQONPW-KZNAEPCWSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccccc2C2CCC2)CC1 ZINC001161790904 1089402932 /nfs/dbraw/zinc/40/29/32/1089402932.db2.gz YEZANJFHODLZMT-UHFFFAOYSA-N 0 1 322.452 3.339 20 30 DGEDMN CC(C)(C)c1cc(C(=O)N(O)Cc2ccccc2Cl)[nH]n1 ZINC001161807089 1089415428 /nfs/dbraw/zinc/41/54/28/1089415428.db2.gz BSGIGPGKQYEXAL-UHFFFAOYSA-N 0 1 307.781 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1cccnc1 ZINC001484460205 1089433037 /nfs/dbraw/zinc/43/30/37/1089433037.db2.gz NHJXCTZQNADYCB-QZTJIDSGSA-N 0 1 313.445 3.003 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@](C)(CC)CCC ZINC001484466036 1089435563 /nfs/dbraw/zinc/43/55/63/1089435563.db2.gz RLVMBOQOAVMZFC-ZHALLVOQSA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@](C)(CC)CCC ZINC001484466036 1089435569 /nfs/dbraw/zinc/43/55/69/1089435569.db2.gz RLVMBOQOAVMZFC-ZHALLVOQSA-N 0 1 304.478 3.291 20 30 DGEDMN CCCC(CCC)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913538 1089467139 /nfs/dbraw/zinc/46/71/39/1089467139.db2.gz AVFIRQDBIPMODH-UHFFFAOYSA-N 0 1 311.389 3.498 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@H](CC=C)c2ccccc2)CC1 ZINC001161918881 1089468976 /nfs/dbraw/zinc/46/89/76/1089468976.db2.gz GPXQQLXTHGQHGA-HXUWFJFHSA-N 0 1 322.452 3.118 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)/C=C\c1ccco1 ZINC001484552648 1089485674 /nfs/dbraw/zinc/48/56/74/1089485674.db2.gz GIMIWZSNKACQDM-QXMHVHEDSA-N 0 1 322.408 3.064 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)/C=C\C(C)(C)C)cccc2C1 ZINC001484611209 1089537759 /nfs/dbraw/zinc/53/77/59/1089537759.db2.gz DKQJWRKGZIPBMU-LUAWRHEFSA-N 0 1 312.457 3.449 20 30 DGEDMN C[C@]1(C(=O)NCC2(NCC#Cc3ccccc3)CCC2)C=CCC1 ZINC001484646258 1089562300 /nfs/dbraw/zinc/56/23/00/1089562300.db2.gz JISCADKECKZKJZ-FQEVSTJZSA-N 0 1 322.452 3.023 20 30 DGEDMN CN1CCC(C#N)(Nc2nc(Cl)cc3cc(F)ccc32)CC1 ZINC001162225688 1089617476 /nfs/dbraw/zinc/61/74/76/1089617476.db2.gz PRPRSBFKVLRNEN-UHFFFAOYSA-N 0 1 318.783 3.427 20 30 DGEDMN Cc1ccc(F)c2cc(C)c(NC3(C#N)CCN(C)CC3)nc12 ZINC001162231943 1089623449 /nfs/dbraw/zinc/62/34/49/1089623449.db2.gz VNLNLMGUSLMYRK-UHFFFAOYSA-N 0 1 312.392 3.391 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1c(C)nsc1C ZINC001484745159 1089640588 /nfs/dbraw/zinc/64/05/88/1089640588.db2.gz UWXOKFRJUNQZEQ-UHFFFAOYSA-N 0 1 323.506 3.397 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)CCCN(C)Cc1cc(C#N)ccc1F ZINC001484733831 1089644465 /nfs/dbraw/zinc/64/44/65/1089644465.db2.gz QPCZNNMZCWHJBD-CQSZACIVSA-N 0 1 319.424 3.024 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001484756175 1089665777 /nfs/dbraw/zinc/66/57/77/1089665777.db2.gz SRRCZBWJKLGLTG-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cnc(C)o2)CC1(C)C ZINC001484938306 1089766734 /nfs/dbraw/zinc/76/67/34/1089766734.db2.gz YFSNZYAKNALSRV-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C=C(\C)C1CC1 ZINC001485005984 1089845506 /nfs/dbraw/zinc/84/55/06/1089845506.db2.gz ZHSRROWGAYPELP-VISDOYDDSA-N 0 1 322.452 3.082 20 30 DGEDMN C=CCOC(=O)[C@@H](Nc1nc(C)cc2[nH]ccc21)[C@@H](C)CC ZINC001157186010 1089861680 /nfs/dbraw/zinc/86/16/80/1089861680.db2.gz AVVQOWRAWZQBRT-NHYWBVRUSA-N 0 1 301.390 3.427 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)C=Cc2ccccc2C#N)n[nH]1 ZINC001157194072 1089867684 /nfs/dbraw/zinc/86/76/84/1089867684.db2.gz XAKNEUUMXJXTOG-QZEKMECESA-N 0 1 320.396 3.357 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(Cl)c(F)c1 ZINC001485070352 1089906106 /nfs/dbraw/zinc/90/61/06/1089906106.db2.gz VSMDHCQLAKJVQJ-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1(CC=C)CCCCC1 ZINC001485080707 1089937996 /nfs/dbraw/zinc/93/79/96/1089937996.db2.gz WIZUXJKDMGAYLW-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CC=C(C)CC1 ZINC001485080286 1089938031 /nfs/dbraw/zinc/93/80/31/1089938031.db2.gz SNXOYEUFMIONLX-PKOBYXMFSA-N 0 1 302.462 3.069 20 30 DGEDMN C#C[C@@H](NC(=O)[C@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1F ZINC001157506892 1090001311 /nfs/dbraw/zinc/00/13/11/1090001311.db2.gz AOUYUTWNJQGTLN-HUUCEWRRSA-N 0 1 322.811 3.142 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C/Cl ZINC001485179939 1090004845 /nfs/dbraw/zinc/00/48/45/1090004845.db2.gz KXCZQTBQEQWMKQ-OECYYCGISA-N 0 1 307.265 3.391 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC001485200026 1090015431 /nfs/dbraw/zinc/01/54/31/1090015431.db2.gz HRVZQRIAQYQBSB-CHWSQXEVSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc2scnc2c1 ZINC001485208103 1090031749 /nfs/dbraw/zinc/03/17/49/1090031749.db2.gz OKEVXMHIIYXPLB-GHMZBOCLSA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(c2cccc(C)c2)CC1 ZINC001485228753 1090036552 /nfs/dbraw/zinc/03/65/52/1090036552.db2.gz FEHJAOBOZYJICL-CABCVRRESA-N 0 1 320.864 3.262 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)[C@@H](C)NCC(=C)Cl)CCCCC1 ZINC001485230803 1090037881 /nfs/dbraw/zinc/03/78/81/1090037881.db2.gz AUZNAKSZNWEHJZ-CABCVRRESA-N 0 1 310.869 3.196 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001485285497 1090069686 /nfs/dbraw/zinc/06/96/86/1090069686.db2.gz DCUMOPWZXPAJMW-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)c1cccc2c1CCCCC2 ZINC001485314348 1090096530 /nfs/dbraw/zinc/09/65/30/1090096530.db2.gz WTPJWIDRFMBSTF-HNNXBMFYSA-N 0 1 300.446 3.192 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001485341883 1090114468 /nfs/dbraw/zinc/11/44/68/1090114468.db2.gz NTCNVDACVBYFFZ-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001485341881 1090114621 /nfs/dbraw/zinc/11/46/21/1090114621.db2.gz NTCNVDACVBYFFZ-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN N#Cc1ccc(C(F)(F)F)nc1N[C@@H]1CNCc2ccsc21 ZINC001163219955 1090119207 /nfs/dbraw/zinc/11/92/07/1090119207.db2.gz IMZPXYHOURIOIU-SNVBAGLBSA-N 0 1 324.331 3.290 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001157973554 1090161264 /nfs/dbraw/zinc/16/12/64/1090161264.db2.gz IACHXAWMKJAWMS-RCCFBDPRSA-N 0 1 318.505 3.395 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@@H](C)C(F)(F)F ZINC001485469196 1090169858 /nfs/dbraw/zinc/16/98/58/1090169858.db2.gz PRNUFGCZAQOUQE-MNOVXSKESA-N 0 1 314.779 3.202 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C2CCC=CCC2)C1 ZINC001493976024 1090173316 /nfs/dbraw/zinc/17/33/16/1090173316.db2.gz AQUORGLZDBJMGO-SFHVURJKSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1cncs1 ZINC001485477098 1090183603 /nfs/dbraw/zinc/18/36/03/1090183603.db2.gz QUGGMVMZCUHDOB-HNNXBMFYSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@@H](C)C1CN(CC=C)C1)c1ccccc1 ZINC001485541412 1090203693 /nfs/dbraw/zinc/20/36/93/1090203693.db2.gz TZFKVRGNIQAQER-LPHOPBHVSA-N 0 1 312.457 3.359 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CCCC2CCCCC2)C1 ZINC001485535613 1090205433 /nfs/dbraw/zinc/20/54/33/1090205433.db2.gz PJBWGAPQSIYLIP-INIZCTEOSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N1CC[C@@]2(C1)CN(CC/C=C/CCC)CCO2 ZINC001485553002 1090207751 /nfs/dbraw/zinc/20/77/51/1090207751.db2.gz DJHHAGJORJWJHA-UCFODXPJSA-N 0 1 320.477 3.002 20 30 DGEDMN Cn1cnc2cc(NC(=N)c3ccc(C(F)(F)F)cc3)cnc21 ZINC001163680791 1090231717 /nfs/dbraw/zinc/23/17/17/1090231717.db2.gz VZMOYRMENBOGKY-UHFFFAOYSA-N 0 1 319.290 3.024 20 30 DGEDMN N=C(Nc1cccc2[nH]nnc21)c1ccc(C(F)(F)F)cc1 ZINC001163684198 1090232609 /nfs/dbraw/zinc/23/26/09/1090232609.db2.gz QBHAENQUNGWWRO-UHFFFAOYSA-N 0 1 305.263 3.014 20 30 DGEDMN N=C(Nc1ccc2c(N)noc2c1)c1ccc(C(F)(F)F)cc1 ZINC001163683743 1090233057 /nfs/dbraw/zinc/23/30/57/1090233057.db2.gz GVYPDDGWRMJWNO-UHFFFAOYSA-N 0 1 320.274 3.466 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCCN(C/C=C/Cl)C2 ZINC001485661864 1090233038 /nfs/dbraw/zinc/23/30/38/1090233038.db2.gz ATWSYNZTHUYKOZ-SOFGYWHQSA-N 0 1 310.869 3.266 20 30 DGEDMN COC(=O)c1c(Cl)cc(Cl)nc1N=C(C)CC(C)=O ZINC001163733138 1090244950 /nfs/dbraw/zinc/24/49/50/1090244950.db2.gz IQGUDRHRPJJRKJ-XQRVVYSFSA-N 0 1 303.145 3.080 20 30 DGEDMN C=CCCC(=O)NCCC1(CNCc2csc(CC)n2)CC1 ZINC001163752467 1090249588 /nfs/dbraw/zinc/24/95/88/1090249588.db2.gz UWUNOXDVMBTEQM-UHFFFAOYSA-N 0 1 321.490 3.048 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC001485762009 1090269364 /nfs/dbraw/zinc/26/93/64/1090269364.db2.gz IQBZJLLAGUQGCN-OAHLLOKOSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001163963501 1090300653 /nfs/dbraw/zinc/30/06/53/1090300653.db2.gz BEAKMMOUCBGKAH-CYBMUJFWSA-N 0 1 321.465 3.341 20 30 DGEDMN CCN1[C@H](C)CN(c2nc(C(C)(C)C)ccc2C#N)C[C@@H]1C ZINC001163997017 1090312334 /nfs/dbraw/zinc/31/23/34/1090312334.db2.gz VJEVAGUQPGFPAE-OKILXGFUSA-N 0 1 300.450 3.170 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001164324091 1090400634 /nfs/dbraw/zinc/40/06/34/1090400634.db2.gz OYJFRKQWXGJTHX-AWEZNQCLSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C=C(/C)C3CC3)CCC[C@@H]12 ZINC001107305329 1090416411 /nfs/dbraw/zinc/41/64/11/1090416411.db2.gz LLJISSMZUUPKSB-UDZWXDPDSA-N 0 1 322.880 3.456 20 30 DGEDMN C=CCCCC(=O)NC[C@]12CCC[C@H]1N(CC(=C)Cl)CC2 ZINC001107524034 1090455860 /nfs/dbraw/zinc/45/58/60/1090455860.db2.gz DLMZGQMUMZUXHO-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN Cc1cnc(N2C[C@H](C)N(Cc3ccccc3)C[C@@H]2C)c(C#N)c1 ZINC001165201096 1090478493 /nfs/dbraw/zinc/47/84/93/1090478493.db2.gz JJOZSFPUKRVGRA-IRXDYDNUSA-N 0 1 320.440 3.361 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@H](CC)CCCC)C1 ZINC001107763404 1090499964 /nfs/dbraw/zinc/49/99/64/1090499964.db2.gz GIZLDBMLZYDICA-MJGOQNOKSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCC(=O)NC[C@@]1(C)CN(CCCC2CCCC2)CCO1 ZINC001107802390 1090507628 /nfs/dbraw/zinc/50/76/28/1090507628.db2.gz QNHQBYJUOXLLAN-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C2CC(C)C2)C1 ZINC001107859522 1090518018 /nfs/dbraw/zinc/51/80/18/1090518018.db2.gz WPTTWHBMLUUGGN-TVPLGVNVSA-N 0 1 324.509 3.210 20 30 DGEDMN Cc1csc([C@@H](NC[C@@H](O)c2cccc(C#N)c2)C2CC2)n1 ZINC001168543897 1090525131 /nfs/dbraw/zinc/52/51/31/1090525131.db2.gz XBTHSEHYRBTSDO-CVEARBPZSA-N 0 1 313.426 3.098 20 30 DGEDMN C=C1CCC(C(=O)NCCCNCc2ncc(C(C)C)o2)CC1 ZINC001165907287 1090560093 /nfs/dbraw/zinc/56/00/93/1090560093.db2.gz YYBLMZOTHMBMRE-UHFFFAOYSA-N 0 1 319.449 3.140 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCCCC(C)(C)C)C1 ZINC001107964884 1090571080 /nfs/dbraw/zinc/57/10/80/1090571080.db2.gz MWSYHMHWWKZAAV-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@]1(C)CN(CCCCCC)CCO1 ZINC001107970996 1090593678 /nfs/dbraw/zinc/59/36/78/1090593678.db2.gz LLLHCQYRDOTZFC-IBGZPJMESA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CC[C@H](C)CC1 ZINC001485832735 1090619831 /nfs/dbraw/zinc/61/98/31/1090619831.db2.gz PPPKPLCYULHSEY-DAXOMENPSA-N 0 1 312.885 3.392 20 30 DGEDMN COc1ccc([C@@H]2C[C@H](N3CCC[C@H](C#N)C3)CCO2)cc1 ZINC001168662897 1090685158 /nfs/dbraw/zinc/68/51/58/1090685158.db2.gz QFVQXSXDVMZYLU-KYJSFNMBSA-N 0 1 300.402 3.151 20 30 DGEDMN N#CCCCN1C[C@@]2(CC1=O)CCCCN2Cc1ccsc1 ZINC001272937083 1090706051 /nfs/dbraw/zinc/70/60/51/1090706051.db2.gz XNANKLPECUNLGK-KRWDZBQOSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cccc2c1CCCC2 ZINC001485876536 1090718608 /nfs/dbraw/zinc/71/86/08/1090718608.db2.gz GSIFATIHONMJMG-AWEZNQCLSA-N 0 1 320.864 3.368 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cnc2ccccc2c1 ZINC001485927845 1090729686 /nfs/dbraw/zinc/72/96/86/1090729686.db2.gz RSFJYAVFPIFMEL-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@H]1C ZINC001486071010 1090741743 /nfs/dbraw/zinc/74/17/43/1090741743.db2.gz OZVFXOMQATYJRF-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001486123168 1090755954 /nfs/dbraw/zinc/75/59/54/1090755954.db2.gz YYRCMBCALSNRRU-QWHCGFSZSA-N 0 1 317.260 3.078 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CN(CCCCC(F)(F)F)C1 ZINC001276413566 1090762819 /nfs/dbraw/zinc/76/28/19/1090762819.db2.gz GEZCROXVSUOKFS-LBPRGKRZSA-N 0 1 320.399 3.368 20 30 DGEDMN CC#CCCCC(=O)N[C@]12CCC[C@@H]1N(C/C=C/Cl)CC2 ZINC001486149771 1090764492 /nfs/dbraw/zinc/76/44/92/1090764492.db2.gz PVHQPDXBPGEHAX-XENLGGRHSA-N 0 1 308.853 3.046 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCC(=C)Cl)C(C)(C)C ZINC001486407928 1090823712 /nfs/dbraw/zinc/82/37/12/1090823712.db2.gz ZEEUPSBBVBTPRC-ZDUSSCGKSA-N 0 1 300.874 3.462 20 30 DGEDMN N#Cc1ccccc1O[C@H]1CCCN(Cc2ccc(O)c(O)c2)C1 ZINC001203879975 1090826632 /nfs/dbraw/zinc/82/66/32/1090826632.db2.gz LCHIUGHHHMATAJ-INIZCTEOSA-N 0 1 324.380 3.013 20 30 DGEDMN COc1cc(OC2CCN(Cc3ccc(C#N)cc3)CC2)ccn1 ZINC001203906368 1090834802 /nfs/dbraw/zinc/83/48/02/1090834802.db2.gz OUIOJFQZXKCKSE-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1ccc(OC2CCN(Cc3ccc(C#N)cc3)CC2)nc1 ZINC001203906269 1090835572 /nfs/dbraw/zinc/83/55/72/1090835572.db2.gz LQALWVCDQAXZJW-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CCN(Cc2ccns2)CC1 ZINC001486439918 1090840020 /nfs/dbraw/zinc/84/00/20/1090840020.db2.gz SCOLJYVKNNKHAO-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001494158825 1090847043 /nfs/dbraw/zinc/84/70/43/1090847043.db2.gz FEBPKSAWTCNBSG-HUUCEWRRSA-N 0 1 312.885 3.346 20 30 DGEDMN CCCOc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001204305644 1090945046 /nfs/dbraw/zinc/94/50/46/1090945046.db2.gz MMIPFINTZLYLKJ-MRXNPFEDSA-N 0 1 303.427 3.250 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)CCc2cccc(F)c2)C1 ZINC001276459613 1090949717 /nfs/dbraw/zinc/94/97/17/1090949717.db2.gz BXXUYEDLNAWOIH-CABCVRRESA-N 0 1 318.436 3.017 20 30 DGEDMN N#Cc1ccccc1CN1CCC(O)(Cc2ccccc2)CC1 ZINC001204366817 1090957908 /nfs/dbraw/zinc/95/79/08/1090957908.db2.gz VPPURUOAQFMCEQ-UHFFFAOYSA-N 0 1 306.409 3.128 20 30 DGEDMN O=C1C=C2CN([C@H]3Cc4cccc(Cl)c4C3)CCC2S1 ZINC001168717532 1090984716 /nfs/dbraw/zinc/98/47/16/1090984716.db2.gz ACGGBNMSUULYTQ-SWLSCSKDSA-N 0 1 305.830 3.081 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2cc(C)c(C)o2)C1=O ZINC001273015478 1090996160 /nfs/dbraw/zinc/99/61/60/1090996160.db2.gz WRBPCXBGYZXIDT-GOSISDBHSA-N 0 1 302.418 3.039 20 30 DGEDMN CC(C)C#CC(=O)NCC1(NCc2sccc2Cl)CCC1 ZINC001273077873 1091027910 /nfs/dbraw/zinc/02/79/10/1091027910.db2.gz VFKJOPYNVUAVMW-UHFFFAOYSA-N 0 1 324.877 3.189 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108114212 1091094649 /nfs/dbraw/zinc/09/46/49/1091094649.db2.gz BWMTUKDXFMOALJ-OLHLWXQYSA-N 0 1 322.493 3.152 20 30 DGEDMN OC1CC2(C1)CN(Cc1ccc(C#Cc3ccccc3)cc1)C2 ZINC001138333724 1091143614 /nfs/dbraw/zinc/14/36/14/1091143614.db2.gz NKOXQZNLVBUUTQ-UHFFFAOYSA-N 0 1 303.405 3.043 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@@H]2c2cccnc2)cc1OC ZINC001138341342 1091147269 /nfs/dbraw/zinc/14/72/69/1091147269.db2.gz NHTHKPLGHYTVNO-GOSISDBHSA-N 0 1 322.408 3.439 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2cccnc2C)cc1OC ZINC001138346861 1091148649 /nfs/dbraw/zinc/14/86/49/1091148649.db2.gz OYOCJWQAVSNKEF-UHFFFAOYSA-N 0 1 310.397 3.043 20 30 DGEDMN C#CCOc1ccc(CN2CC[N@@H+](C)[C@H](c3ccccc3)C2)cc1 ZINC001138559039 1091228000 /nfs/dbraw/zinc/22/80/00/1091228000.db2.gz MGQBCVOCTYHHKZ-NRFANRHFSA-N 0 1 320.436 3.187 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001493622833 1091268893 /nfs/dbraw/zinc/26/88/93/1091268893.db2.gz XWURTVDIEOAVPJ-SJORKVTESA-N 0 1 320.864 3.371 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCc2nc(C)cs2)CCC1 ZINC001273161163 1091270545 /nfs/dbraw/zinc/27/05/45/1091270545.db2.gz HYYDLMDLXWVGNV-UHFFFAOYSA-N 0 1 321.490 3.182 20 30 DGEDMN N#Cc1ccc(CO[C@H]2CCN3Cc4ccccc4N=C23)cc1 ZINC001205604542 1091275793 /nfs/dbraw/zinc/27/57/93/1091275793.db2.gz USDGPCBXUPCRGD-SFHVURJKSA-N 0 1 303.365 3.393 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2ccc3[nH]ccc3c2)C1 ZINC001205611988 1091277807 /nfs/dbraw/zinc/27/78/07/1091277807.db2.gz GFWHOGHIBQPLSN-MRXNPFEDSA-N 0 1 313.401 3.045 20 30 DGEDMN C=C(C)COc1ccccc1CN1CCC[C@@H](n2ccnn2)C1 ZINC001138666137 1091280341 /nfs/dbraw/zinc/28/03/41/1091280341.db2.gz JSJYAOBBXWHNSI-QGZVFWFLSA-N 0 1 312.417 3.070 20 30 DGEDMN C=CCC1(C(=O)NC[C@]2(C)CN(CCCCC)CCO2)CCC1 ZINC001108187349 1091363564 /nfs/dbraw/zinc/36/35/64/1091363564.db2.gz GBHDWIYYDOWPNX-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108188766 1091374986 /nfs/dbraw/zinc/37/49/86/1091374986.db2.gz YJDJRAVLFPDYLL-PSUNPMBVSA-N 0 1 322.493 3.152 20 30 DGEDMN CC(C)COc1ccc(CN2CCC3SC(=O)C=C3C2)cc1 ZINC001138968426 1091397346 /nfs/dbraw/zinc/39/73/46/1091397346.db2.gz VOCZXSYIFOWJPF-KRWDZBQOSA-N 0 1 317.454 3.496 20 30 DGEDMN C=CCOc1ccccc1CN1CCC[C@@H](CC(=O)OCC)C1 ZINC001139029025 1091422903 /nfs/dbraw/zinc/42/29/03/1091422903.db2.gz NNRUHKMNUPIZPO-INIZCTEOSA-N 0 1 317.429 3.417 20 30 DGEDMN C=CCOc1ccccc1CN1CC[C@@]2(C1)CC(F)(F)CO2 ZINC001139030368 1091424688 /nfs/dbraw/zinc/42/46/88/1091424688.db2.gz LOZGHZHMVMVFHI-INIZCTEOSA-N 0 1 309.356 3.252 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001139064716 1091434051 /nfs/dbraw/zinc/43/40/51/1091434051.db2.gz FFNRABBHYYAWCW-OAHLLOKOSA-N 0 1 314.385 3.309 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3c(C)oc4ccccc43)[C@@H]2C1 ZINC001084366917 1091482342 /nfs/dbraw/zinc/48/23/42/1091482342.db2.gz BPNZSHVICINOBM-NVXWUHKLSA-N 0 1 324.424 3.464 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(C)sc3C)[C@@H]2C1 ZINC001084494535 1091495055 /nfs/dbraw/zinc/49/50/55/1091495055.db2.gz JPCITDIALAFNBN-GDBMZVCRSA-N 0 1 304.459 3.087 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3occc3C(C)C)[C@@H]2C1 ZINC001084601090 1091511226 /nfs/dbraw/zinc/51/12/26/1091511226.db2.gz TZIMYAZAOUYVOX-GDBMZVCRSA-N 0 1 302.418 3.125 20 30 DGEDMN C=CCN1CC[C@@]2(CCCN2Cc2ccccc2C(F)F)C1=O ZINC001273222745 1091515374 /nfs/dbraw/zinc/51/53/74/1091515374.db2.gz XYVCECWTTFLWIX-SFHVURJKSA-N 0 1 320.383 3.377 20 30 DGEDMN N#Cc1ccnc(-c2ccc(C[N@@H+]3CCCC(=O)CC3)cc2)c1 ZINC001139402090 1091548493 /nfs/dbraw/zinc/54/84/93/1091548493.db2.gz LYANKCLTBIHDQL-UHFFFAOYSA-N 0 1 305.381 3.175 20 30 DGEDMN N#Cc1ccnc(-c2ccc(CN3CCCC(=O)CC3)cc2)c1 ZINC001139402090 1091548495 /nfs/dbraw/zinc/54/84/95/1091548495.db2.gz LYANKCLTBIHDQL-UHFFFAOYSA-N 0 1 305.381 3.175 20 30 DGEDMN C=CCC[C@@H](NC(=O)CCN1CC[C@H](F)C1)c1ccccc1 ZINC001295328735 1091552300 /nfs/dbraw/zinc/55/23/00/1091552300.db2.gz OHCMDRKFMSBIKN-DLBZAZTESA-N 0 1 304.409 3.244 20 30 DGEDMN N#Cc1ccc(Oc2ccc(CN3CC[C@H]4OCC[C@H]43)cn2)cc1 ZINC001139426119 1091555039 /nfs/dbraw/zinc/55/50/39/1091555039.db2.gz FEVMUTLIRZVCQF-QZTJIDSGSA-N 0 1 321.380 3.109 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)CCCO1 ZINC001139424944 1091555238 /nfs/dbraw/zinc/55/52/38/1091555238.db2.gz XBOGSXRJQGDGFI-OAHLLOKOSA-N 0 1 323.396 3.356 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(C)c(C)s3)[C@@H]2C1 ZINC001084818738 1091582550 /nfs/dbraw/zinc/58/25/50/1091582550.db2.gz QCDJMAVSTKQCAS-HUUCEWRRSA-N 0 1 304.459 3.087 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC[C@H]3CCc4ccccc43)[C@@H]2C1 ZINC001084847391 1091588600 /nfs/dbraw/zinc/58/86/00/1091588600.db2.gz UNYJZFPICUHUQT-QWFCFKBJSA-N 0 1 324.468 3.215 20 30 DGEDMN CCOc1ccc(C)cc1CN1CCC2SC(=O)C=C2C1 ZINC001139600651 1091617272 /nfs/dbraw/zinc/61/72/72/1091617272.db2.gz RWLNVMGIKHWWGW-MRXNPFEDSA-N 0 1 303.427 3.168 20 30 DGEDMN COc1ccncc1CN1CCC(c2cccc(C#N)c2)CC1 ZINC001139609064 1091619582 /nfs/dbraw/zinc/61/95/82/1091619582.db2.gz IFORPJNOYSSHBA-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(F)ccc(C)c1Br ZINC001230827664 1091630883 /nfs/dbraw/zinc/63/08/83/1091630883.db2.gz IBVMFCPDIUWTHB-SSDOTTSWSA-N 0 1 315.138 3.495 20 30 DGEDMN CN1CCN(Cc2cc(C#N)ccc2F)C[C@H]1c1ccccc1 ZINC001139648906 1091634556 /nfs/dbraw/zinc/63/45/56/1091634556.db2.gz GXEWVLOSRJNTPF-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN Cc1nc2cc(C)ccn2c1CN(C)Cc1cccc(C#N)c1 ZINC001139680350 1091641193 /nfs/dbraw/zinc/64/11/93/1091641193.db2.gz YSUVEUKFEQFRFZ-UHFFFAOYSA-N 0 1 304.397 3.455 20 30 DGEDMN COc1cnccc1CN1CCC(c2cccc(C#N)c2)CC1 ZINC001206473516 1091642785 /nfs/dbraw/zinc/64/27/85/1091642785.db2.gz QMJJTURJNDRKGF-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN N#Cc1ccc(C(=O)CO[C@@H]2CCN(Cc3ccccc3)C2)cc1 ZINC001206491602 1091648678 /nfs/dbraw/zinc/64/86/78/1091648678.db2.gz IOBFAKOWQGCPGD-LJQANCHMSA-N 0 1 320.392 3.032 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(N3CCCC3)c2)c1 ZINC001295827961 1091648845 /nfs/dbraw/zinc/64/88/45/1091648845.db2.gz LQBMNPFIGNVYRF-UHFFFAOYSA-N 0 1 307.353 3.116 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cccc(C(F)(F)F)c2N)c1 ZINC001295828368 1091649309 /nfs/dbraw/zinc/64/93/09/1091649309.db2.gz PHCDXWFSAIPNBX-UHFFFAOYSA-N 0 1 321.258 3.117 20 30 DGEDMN Cc1ccc(CN(C)CCN(C)C(=O)OC(C)(C)C)cc1C#N ZINC001139723221 1091655098 /nfs/dbraw/zinc/65/50/98/1091655098.db2.gz WWFPKRQFNOLSOX-UHFFFAOYSA-N 0 1 317.433 3.165 20 30 DGEDMN C=CCn1cc(CN2CCC(C(C)=O)(c3ccccc3)CC2)cn1 ZINC001139772302 1091668388 /nfs/dbraw/zinc/66/83/88/1091668388.db2.gz DCXZHOWPJVFVNY-UHFFFAOYSA-N 0 1 323.440 3.192 20 30 DGEDMN C=CCOC[C@]12CCCO[C@@H]1CCN(Cc1ncc(C)s1)C2 ZINC001139809061 1091676478 /nfs/dbraw/zinc/67/64/78/1091676478.db2.gz RGAJDYHHOKSFFS-NVXWUHKLSA-N 0 1 322.474 3.025 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C[C@@H](C)CCC ZINC001098961225 1091687705 /nfs/dbraw/zinc/68/77/05/1091687705.db2.gz WAOQLPOLBRIOJD-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](C)c2ccc(F)cc2)C[C@H]1C ZINC001206911299 1091793257 /nfs/dbraw/zinc/79/32/57/1091793257.db2.gz JOLSJRQBKYCENJ-RVKKMQEKSA-N 0 1 316.420 3.127 20 30 DGEDMN COc1cccc(-c2ncc(CN3CCC[C@H](CC#N)C3)cn2)c1 ZINC001140194707 1091796879 /nfs/dbraw/zinc/79/68/79/1091796879.db2.gz IYZCNYNVYBQQHO-OAHLLOKOSA-N 0 1 322.412 3.278 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CN(Cc2cc3ccccc3o2)C[C@H]1C ZINC001206938348 1091800996 /nfs/dbraw/zinc/80/09/96/1091800996.db2.gz STPXOGAIIXVLLT-CRAIPNDOSA-N 0 1 324.424 3.029 20 30 DGEDMN Cc1ncsc1CN1CCC[C@@H](Oc2cccc(C#N)c2)C1 ZINC001206987326 1091813186 /nfs/dbraw/zinc/81/31/86/1091813186.db2.gz PGDBUFIOGAAGQA-MRXNPFEDSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1ncc(CN2CCC[C@H](Oc3ccc(C#N)cc3)C2)s1 ZINC001207064359 1091836106 /nfs/dbraw/zinc/83/61/06/1091836106.db2.gz QDWLJFUFKUBQCP-INIZCTEOSA-N 0 1 313.426 3.367 20 30 DGEDMN Cc1cccc(OC2CCN(Cc3cc(C#N)n(C)c3)CC2)c1 ZINC001207108468 1091846287 /nfs/dbraw/zinc/84/62/87/1091846287.db2.gz BYOMZWAVEQTSIA-UHFFFAOYSA-N 0 1 309.413 3.249 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2cnc(-c3ccccc3F)nc2)C1 ZINC001140400040 1091852133 /nfs/dbraw/zinc/85/21/33/1091852133.db2.gz NLWFJLQVPIPVBQ-AWEZNQCLSA-N 0 1 310.376 3.408 20 30 DGEDMN Cc1noc(C)c1CN1CCC[C@@H](Oc2ccccc2C#N)C1 ZINC001207139333 1091858365 /nfs/dbraw/zinc/85/83/65/1091858365.db2.gz BMYBAVDZDNGDOH-MRXNPFEDSA-N 0 1 311.385 3.207 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c(C)oc2ccccc21 ZINC001085614883 1091860079 /nfs/dbraw/zinc/86/00/79/1091860079.db2.gz XYXXHYGQKWSXHA-OAHLLOKOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1ccc(CCC)s1 ZINC001085620468 1091861232 /nfs/dbraw/zinc/86/12/32/1091861232.db2.gz PWQFSBPBRSBAAM-AWEZNQCLSA-N 0 1 306.475 3.423 20 30 DGEDMN COc1ccc(C2CCN(Cc3ccc(C#N)nc3)CC2)cc1 ZINC001140543700 1091904629 /nfs/dbraw/zinc/90/46/29/1091904629.db2.gz RFBNVLGEKJHPDQ-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001085738500 1091905649 /nfs/dbraw/zinc/90/56/49/1091905649.db2.gz NROJSAQYODWBHM-ZJPYXAASSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc(C)c(Cl)cc1C ZINC001085760302 1091917803 /nfs/dbraw/zinc/91/78/03/1091917803.db2.gz GUWPHWGAWDANEN-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)c(Cl)cc1C ZINC001085760302 1091917814 /nfs/dbraw/zinc/91/78/14/1091917814.db2.gz GUWPHWGAWDANEN-HNNXBMFYSA-N 0 1 318.848 3.126 20 30 DGEDMN Cn1ccc(C2CCN(Cc3cc(C#N)ccc3Cl)CC2)n1 ZINC001140604123 1091929356 /nfs/dbraw/zinc/92/93/56/1091929356.db2.gz QXYOKQFPIAFIID-UHFFFAOYSA-N 0 1 314.820 3.325 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2occc2s1 ZINC001085815434 1091948713 /nfs/dbraw/zinc/94/87/13/1091948713.db2.gz VIBLYSOOFQXRSV-GFCCVEGCSA-N 0 1 304.415 3.217 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C(C)C)nc1C ZINC001085819028 1091951336 /nfs/dbraw/zinc/95/13/36/1091951336.db2.gz OATNADKXXNKAOU-INIZCTEOSA-N 0 1 315.461 3.236 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)c(F)c1 ZINC001085837662 1091960206 /nfs/dbraw/zinc/96/02/06/1091960206.db2.gz ONVNKHLGDMDMBH-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(-c2ccccc2)o1 ZINC001085833847 1091963796 /nfs/dbraw/zinc/96/37/96/1091963796.db2.gz VMAXPFYPNRTRQW-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001085840044 1091965719 /nfs/dbraw/zinc/96/57/19/1091965719.db2.gz YSBHMIOZWJJBKR-IKGGRYGDSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001085840044 1091965725 /nfs/dbraw/zinc/96/57/25/1091965725.db2.gz YSBHMIOZWJJBKR-IKGGRYGDSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)/C(C)=C\C)C2)C1 ZINC001276533522 1091971137 /nfs/dbraw/zinc/97/11/37/1091971137.db2.gz YDHBIXQMUUOJEB-QJVFRXGESA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2nc(C)ccc2c1 ZINC001085884018 1091996038 /nfs/dbraw/zinc/99/60/38/1091996038.db2.gz SEQACBGVGCCBCK-GOSISDBHSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc2scnc2c1 ZINC001085904075 1092003797 /nfs/dbraw/zinc/00/37/97/1092003797.db2.gz WMSBDKOBTUYGLM-AWEZNQCLSA-N 0 1 315.442 3.019 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc(C2CCC2)c1 ZINC001085925754 1092014760 /nfs/dbraw/zinc/01/47/60/1092014760.db2.gz DRIZHIAYDKPZFA-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN N#Cc1cccc(CN2CCC(n3ccnc3)CC2)c1Cl ZINC001140812946 1092014852 /nfs/dbraw/zinc/01/48/52/1092014852.db2.gz PFDSCIPZVMQWAS-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN Cc1cccc(CCN2CCN(c3ccc(C#N)cc3F)CC2)c1 ZINC001207536912 1092015494 /nfs/dbraw/zinc/01/54/94/1092015494.db2.gz SKGBINUHPUNCSY-UHFFFAOYSA-N 0 1 323.415 3.371 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@]2(C1)CN(CCCCC)CCO2 ZINC001276541535 1092036793 /nfs/dbraw/zinc/03/67/93/1092036793.db2.gz ZXPMGYYKDVSJKS-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH]cc1-c1ccccc1 ZINC001085970610 1092062606 /nfs/dbraw/zinc/06/26/06/1092062606.db2.gz FELNKDIMGPWPMF-KRWDZBQOSA-N 0 1 323.440 3.404 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1sc(CCC)nc1C ZINC001085970306 1092064351 /nfs/dbraw/zinc/06/43/51/1092064351.db2.gz WXQIRVFJVXYBEJ-AWEZNQCLSA-N 0 1 321.490 3.126 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cc2cc(C)ccc2o1 ZINC001085986822 1092070662 /nfs/dbraw/zinc/07/06/62/1092070662.db2.gz NRSCIKSUWCIGDK-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccn2-c2ccc(Cl)cc2)CCC1=O ZINC001140941923 1092073629 /nfs/dbraw/zinc/07/36/29/1092073629.db2.gz ZVFSQAVIUHNZCW-CYBMUJFWSA-N 0 1 313.788 3.045 20 30 DGEDMN C=CCO[C@H]1CO[C@@]2(C1)CCC[N@H+](Cc1ccc(C)cc1O)C2 ZINC001140950230 1092077632 /nfs/dbraw/zinc/07/76/32/1092077632.db2.gz WLAZMGSCRIYLAT-IEBWSBKVSA-N 0 1 317.429 3.027 20 30 DGEDMN CN(CCC#N)CC1CC2(C1)CCN(C(=O)OC(C)(C)C)CC2 ZINC001207799312 1092104380 /nfs/dbraw/zinc/10/43/80/1092104380.db2.gz VIAGWLSIXXWBHV-UHFFFAOYSA-N 0 1 321.465 3.259 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cc(C)c(OC)c(C)c1 ZINC001273390004 1092105456 /nfs/dbraw/zinc/10/54/56/1092105456.db2.gz URRCZUQWEVFNCT-UHFFFAOYSA-N 0 1 324.852 3.116 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1cccc(F)c1F ZINC001273404520 1092147979 /nfs/dbraw/zinc/14/79/79/1092147979.db2.gz JGOVAIAKEPWSLJ-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)[C@@H](CCC)C(C)C)C1 ZINC001108251726 1092157585 /nfs/dbraw/zinc/15/75/85/1092157585.db2.gz KLXWMVZHXCDFHF-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@H](CCC)C(C)C)C1 ZINC001108252761 1092161116 /nfs/dbraw/zinc/16/11/16/1092161116.db2.gz ZADLHRCRQLOACJ-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2c(cccc2C)o1 ZINC001491692304 1092201773 /nfs/dbraw/zinc/20/17/73/1092201773.db2.gz YWEJIFFCNUTLKG-LBPRGKRZSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@]1(C)CCc2ccccc2C1 ZINC001491699191 1092201906 /nfs/dbraw/zinc/20/19/06/1092201906.db2.gz MIOFFKBQPFCRKQ-KSSFIOAISA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001491729236 1092214028 /nfs/dbraw/zinc/21/40/28/1092214028.db2.gz IWCBZDQMQREIGK-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CC1(CC(=O)NC[C@@]2(C)CN(CCC)CCO2)CCCCC1 ZINC001108274784 1092249359 /nfs/dbraw/zinc/24/93/59/1092249359.db2.gz OLCUITHSSYVSAZ-SFHVURJKSA-N 0 1 322.493 3.130 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2c(F)cccc2F)C1 ZINC001208256093 1092294814 /nfs/dbraw/zinc/29/48/14/1092294814.db2.gz YMHVLCATQAZBIE-CQSZACIVSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@@](C)(CC)CCC)C1 ZINC001108307435 1092324472 /nfs/dbraw/zinc/32/44/72/1092324472.db2.gz ZJSHINCUHUVDNE-RBUKOAKNSA-N 0 1 324.509 3.376 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C(C)(C)C)C1 ZINC001108360448 1092357153 /nfs/dbraw/zinc/35/71/53/1092357153.db2.gz NQMVLXVHALFXIE-SFHVURJKSA-N 0 1 312.498 3.210 20 30 DGEDMN CSc1nc(CN(C)CCOc2ccccc2)ccc1C#N ZINC001141939706 1092365795 /nfs/dbraw/zinc/36/57/95/1092365795.db2.gz UENQHWRDIKYLKP-UHFFFAOYSA-N 0 1 313.426 3.186 20 30 DGEDMN C[C@@H]1CC[C@H](O)C[N@H+]1Cc1ccc(C#Cc2ccccc2)s1 ZINC001141972302 1092372072 /nfs/dbraw/zinc/37/20/72/1092372072.db2.gz GAXNABIJSRSTJQ-WBVHZDCISA-N 0 1 311.450 3.493 20 30 DGEDMN C(#Cc1ccccc1)c1ccc(C[N@H+]2CCC3(COC3)C2)s1 ZINC001141972669 1092373527 /nfs/dbraw/zinc/37/35/27/1092373527.db2.gz PNAQIWQARFORMK-UHFFFAOYSA-N 0 1 309.434 3.370 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CN(CCC(F)(F)F)C[C@H]2C)CCC1 ZINC001208517837 1092382444 /nfs/dbraw/zinc/38/24/44/1092382444.db2.gz XZCGABCHEHJWJM-CHWSQXEVSA-N 0 1 318.383 3.122 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](CCCC)C(C)C)C1 ZINC001108424220 1092443805 /nfs/dbraw/zinc/44/38/05/1092443805.db2.gz HPJOYWWFKMTTHV-HKUYNNGSSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C1CCC(C(=O)NCCN(C)Cc2ccc(F)cc2C)CC1 ZINC001492399079 1092495030 /nfs/dbraw/zinc/49/50/30/1092495030.db2.gz CQXRANUXDVCLPS-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN CN(CCNC(=O)C1(C)CCCCC1)Cc1ccc(C#N)cc1 ZINC001492403089 1092497233 /nfs/dbraw/zinc/49/72/33/1092497233.db2.gz YVFGWQSJMFVSIK-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CCN1CCN(CCCc2ccccc2Br)CC1 ZINC001208847252 1092541738 /nfs/dbraw/zinc/54/17/38/1092541738.db2.gz WPIMSELBXXFMLH-UHFFFAOYSA-N 0 1 323.278 3.185 20 30 DGEDMN CSc1ncc2c(n1)CN(CCCc1ccc(C#N)cc1)CC2 ZINC001208864095 1092551524 /nfs/dbraw/zinc/55/15/24/1092551524.db2.gz IJFWEABSIHPYIJ-UHFFFAOYSA-N 0 1 324.453 3.061 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CCC[C@H](NCc2ccccc2C#N)C1 ZINC001209100884 1092653466 /nfs/dbraw/zinc/65/34/66/1092653466.db2.gz KSORPUIIDLOKTN-XLCPLWEUSA-N 0 1 323.440 3.161 20 30 DGEDMN C=CC(=NCOC)N[C@@H]1CCc2c1cccc2OC(F)(F)F ZINC001169355723 1092664082 /nfs/dbraw/zinc/66/40/82/1092664082.db2.gz HIPBFYMXVQLBHL-GFCCVEGCSA-N 0 1 314.307 3.351 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCC)CC2CCCCC2)C1 ZINC001209125812 1092664484 /nfs/dbraw/zinc/66/44/84/1092664484.db2.gz QXGJWUBLMFSRPX-BHIYHBOVSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC[C@@H](NCc2nc(C)cs2)C1 ZINC001209146518 1092671339 /nfs/dbraw/zinc/67/13/39/1092671339.db2.gz PFTJDCVUHXFMHF-UKRRQHHQSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001209182791 1092684281 /nfs/dbraw/zinc/68/42/81/1092684281.db2.gz IVFKSXLUKVGQJC-HIFRSBDPSA-N 0 1 321.490 3.134 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCCNCc1cc(F)ccc1C#N ZINC001169426700 1092690605 /nfs/dbraw/zinc/69/06/05/1092690605.db2.gz NKRWNNALSFFXDS-CQSZACIVSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCCCCN1CCO[C@H]2[C@@H](Oc3ncccc3F)CC[C@@H]21 ZINC001209203337 1092693850 /nfs/dbraw/zinc/69/38/50/1092693850.db2.gz LSPRXXODVRWMLN-YESZJQIVSA-N 0 1 320.408 3.188 20 30 DGEDMN CCCC(O)(CCC)CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001149883075 1092738981 /nfs/dbraw/zinc/73/89/81/1092738981.db2.gz DTGCJVZTWXZFBN-UHFFFAOYSA-N 0 1 314.389 3.094 20 30 DGEDMN C=CCCCCCN1CCO[C@H]2[C@@H](Oc3cccnc3)CC[C@@H]21 ZINC001209423495 1092770356 /nfs/dbraw/zinc/77/03/56/1092770356.db2.gz VNFSVWSYQGHIAM-GBESFXJTSA-N 0 1 316.445 3.439 20 30 DGEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H](C)CCCCCC)C1 ZINC001150572530 1092795615 /nfs/dbraw/zinc/79/56/15/1092795615.db2.gz PXHJIRQFWVTCFY-QZTJIDSGSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)CC(C)(C)C)C1 ZINC001209993358 1093007811 /nfs/dbraw/zinc/00/78/11/1093007811.db2.gz PVCFGHGYNKUETA-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN N#Cc1cccc(C(=O)CO[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC001210369020 1093142734 /nfs/dbraw/zinc/14/27/34/1093142734.db2.gz LXYSJOIALNUYKC-LJQANCHMSA-N 0 1 320.392 3.032 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H](C)C(C)(C)C)C1 ZINC001210840692 1093298973 /nfs/dbraw/zinc/29/89/73/1093298973.db2.gz XHTOLUJKVBEIIY-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Nc1ccc(N2CCN(C)CC2)c(C#N)c1)c1ccccc1 ZINC001210987695 1093346809 /nfs/dbraw/zinc/34/68/09/1093346809.db2.gz RVZHZPGCWVOYNO-UHFFFAOYSA-N 0 1 318.424 3.393 20 30 DGEDMN C=CCCCN(CC)C(=O)NCCN1CCc2ccccc2C1 ZINC001211259947 1093435514 /nfs/dbraw/zinc/43/55/14/1093435514.db2.gz IDFZGYZTQQAHLS-UHFFFAOYSA-N 0 1 315.461 3.042 20 30 DGEDMN N#Cc1nc(Cl)c(Nc2cc(F)c(O)c(Cl)c2)nc1C#N ZINC001214484635 1093783543 /nfs/dbraw/zinc/78/35/43/1093783543.db2.gz OAEBBYSFCLVTCC-UHFFFAOYSA-N 0 1 324.102 3.115 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)C(CC)CC)C[C@H]1C ZINC001215018149 1093902595 /nfs/dbraw/zinc/90/25/95/1093902595.db2.gz VHLNBVBYIHFYBH-UXIGCNINSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(CC(C)C)CCC2)C[C@H]1C ZINC001215116140 1093920038 /nfs/dbraw/zinc/92/00/38/1093920038.db2.gz APZZAXLGEUMYQU-UKRRQHHQSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NC/C(Cl)=C\Cl)C1 ZINC001215429650 1093981564 /nfs/dbraw/zinc/98/15/64/1093981564.db2.gz LPIAUYVWNWIVKB-ZGPXNKBISA-N 0 1 305.249 3.098 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1cc2[nH]ncc2c(F)c1 ZINC001215557224 1094001341 /nfs/dbraw/zinc/00/13/41/1094001341.db2.gz WJFYJPDFAGUPPI-UHFFFAOYSA-N 0 1 310.288 3.104 20 30 DGEDMN CC(C)Oc1cnc(F)c(Nc2cc(C#N)c(F)cc2O)c1 ZINC001215784510 1094058753 /nfs/dbraw/zinc/05/87/53/1094058753.db2.gz ZLTFIEJEKIJVLA-UHFFFAOYSA-N 0 1 305.284 3.468 20 30 DGEDMN COCc1cc(OC)ccc1Nc1cc(C#N)c(F)cc1O ZINC001215982134 1094099316 /nfs/dbraw/zinc/09/93/16/1094099316.db2.gz NESMWCHYDCXOAD-UHFFFAOYSA-N 0 1 302.305 3.302 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H](C)CC(C)C)C[C@H]1C ZINC001216336016 1094177436 /nfs/dbraw/zinc/17/74/36/1094177436.db2.gz UIEQJMDPMHGSSW-UMVBOHGHSA-N 0 1 300.874 3.248 20 30 DGEDMN CC(C)n1ncc(C#N)c1Nc1cncn1Cc1ccccc1 ZINC001216551169 1094216662 /nfs/dbraw/zinc/21/66/62/1094216662.db2.gz XZHHNIRKKMFSDW-UHFFFAOYSA-N 0 1 306.373 3.324 20 30 DGEDMN C=C(Br)CNCCNC(=O)CCCCCC(C)C ZINC001134786117 1094287954 /nfs/dbraw/zinc/28/79/54/1094287954.db2.gz RNMXPIWGIIXIRM-UHFFFAOYSA-N 0 1 319.287 3.207 20 30 DGEDMN CCCCC[C@H](C)CC(=O)NCCNCc1ccccc1C#N ZINC001134824430 1094303653 /nfs/dbraw/zinc/30/36/53/1094303653.db2.gz QHMHITXSLXXFOR-INIZCTEOSA-N 0 1 315.461 3.371 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@H](CC(C)C)c1ccccc1 ZINC001134913566 1094337134 /nfs/dbraw/zinc/33/71/34/1094337134.db2.gz WUQGKOIBYHBKSW-MRXNPFEDSA-N 0 1 308.853 3.275 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(-c3cc4cccnc4[nH]3)n2)c1 ZINC001217305981 1094367220 /nfs/dbraw/zinc/36/72/20/1094367220.db2.gz JZZIHPRXWHJPKF-UHFFFAOYSA-N 0 1 305.272 3.291 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001135189344 1094425166 /nfs/dbraw/zinc/42/51/66/1094425166.db2.gz XZCBPJGSPUDEGR-NEPJUHHUSA-N 0 1 309.479 3.037 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1nc(C)oc1C ZINC001135182213 1094431691 /nfs/dbraw/zinc/43/16/91/1094431691.db2.gz ZVZARUXBKACSJH-CHWSQXEVSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001135182215 1094432156 /nfs/dbraw/zinc/43/21/56/1094432156.db2.gz ZVZARUXBKACSJH-QWHCGFSZSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1nc(C)c(C)o1 ZINC001135185495 1094434538 /nfs/dbraw/zinc/43/45/38/1094434538.db2.gz YUCKAVQZRSPCEK-STQMWFEESA-N 0 1 321.465 3.267 20 30 DGEDMN N#C[C@H]1CNC[C@H]1OC1=Nc2ccccc2Sc2ccccc21 ZINC001217833406 1094502599 /nfs/dbraw/zinc/50/25/99/1094502599.db2.gz RMPDQXDRAQGCME-SWLSCSKDSA-N 0 1 321.405 3.358 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@H](C)NCc2coc(C)n2)CC1 ZINC001135383791 1094511568 /nfs/dbraw/zinc/51/15/68/1094511568.db2.gz WFUPOQCHMLJKTP-KBPBESRZSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@H](C)NCc2ncc(C)o2)CCC1 ZINC001135390615 1094521981 /nfs/dbraw/zinc/52/19/81/1094521981.db2.gz LPUFEPSWLNUYMC-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@@H](C)NCc2ncc(C)o2)CC1 ZINC001135415539 1094527008 /nfs/dbraw/zinc/52/70/08/1094527008.db2.gz LIOFIGJWCMIQAA-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC001120813426 1094530452 /nfs/dbraw/zinc/53/04/52/1094530452.db2.gz DBCGTNITKMYVKG-LLVKDONJSA-N 0 1 306.366 3.012 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC001120813403 1094530543 /nfs/dbraw/zinc/53/05/43/1094530543.db2.gz CIWSYFOQLOGRSV-LLVKDONJSA-N 0 1 314.345 3.042 20 30 DGEDMN N#Cc1ccc(N2CCC[C@@H](c3nc(C4CC4)n[nH]3)C2)c(F)c1 ZINC001120866711 1094543469 /nfs/dbraw/zinc/54/34/69/1094543469.db2.gz LEJNWKCNYRNGHA-CYBMUJFWSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1ccc(N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)c(F)c1 ZINC001120866711 1094543476 /nfs/dbraw/zinc/54/34/76/1094543476.db2.gz LEJNWKCNYRNGHA-CYBMUJFWSA-N 0 1 311.364 3.077 20 30 DGEDMN C=C(Br)CNCCNC(=O)[C@@H](C)CCCCCC ZINC001135546633 1094572064 /nfs/dbraw/zinc/57/20/64/1094572064.db2.gz BHOBYKVLDFDDAV-LBPRGKRZSA-N 0 1 319.287 3.207 20 30 DGEDMN CC(C)(C)c1cc(O[C@@H]2CNC[C@H]2C#N)c(C(C)(C)C)cc1O ZINC001218200176 1094655114 /nfs/dbraw/zinc/65/51/14/1094655114.db2.gz JYLVXHAOEGWQHH-SJKOYZFVSA-N 0 1 316.445 3.478 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1cccc2cc(Br)ccc21 ZINC001218202388 1094656939 /nfs/dbraw/zinc/65/69/39/1094656939.db2.gz LAMIWWKOUVHDQX-NHYWBVRUSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1nc2c(cc(Cl)cc2Cl)s1 ZINC001218201837 1094657185 /nfs/dbraw/zinc/65/71/85/1094657185.db2.gz VRUYHTQAMMRBMA-MUWHJKNJSA-N 0 1 314.197 3.093 20 30 DGEDMN Cc1cc(O[C@H]2CNC[C@H]2C#N)ccc1OCc1ccccc1 ZINC001218205031 1094658459 /nfs/dbraw/zinc/65/84/59/1094658459.db2.gz XNTHNYFVJPLGGQ-APWZRJJASA-N 0 1 308.381 3.064 20 30 DGEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218204156 1094658651 /nfs/dbraw/zinc/65/86/51/1094658651.db2.gz VMWVEOOVSKWKFS-CRAIPNDOSA-N 0 1 310.422 3.469 20 30 DGEDMN N#Cc1ccnnc1S[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001336678064 1094693343 /nfs/dbraw/zinc/69/33/43/1094693343.db2.gz CDWJKISKADAVID-MRXNPFEDSA-N 0 1 310.426 3.105 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1n[nH]c(C(=O)OCC)c1C1CC1 ZINC001336682313 1094694426 /nfs/dbraw/zinc/69/44/26/1094694426.db2.gz XHNUKILTHWORCQ-UHFFFAOYSA-N 0 1 317.389 3.171 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001135858926 1094720869 /nfs/dbraw/zinc/72/08/69/1094720869.db2.gz GSANCNQPAMKKBR-CABCVRRESA-N 0 1 320.864 3.080 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc2ccccc2c1Br ZINC001218408593 1094758541 /nfs/dbraw/zinc/75/85/41/1094758541.db2.gz IDBJXZPRSPIOHA-FZMZJTMJSA-N 0 1 317.186 3.093 20 30 DGEDMN C=C[C@H](Oc1ccc(C(=O)N2CCNCC2)cc1)c1ccccc1 ZINC001218440760 1094775358 /nfs/dbraw/zinc/77/53/58/1094775358.db2.gz ONSBSHDNWJWNLP-IBGZPJMESA-N 0 1 322.408 3.038 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[NH2+][C@@H]1CC=C ZINC001218452328 1094783579 /nfs/dbraw/zinc/78/35/79/1094783579.db2.gz WBAIQQSLAHFFKS-SJLPKXTDSA-N 0 1 315.413 3.399 20 30 DGEDMN CCc1ccc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)cc1 ZINC001649146705 1094843489 /nfs/dbraw/zinc/84/34/89/1094843489.db2.gz QLUFWUXNKJIWTO-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@H](C)CCCCCC)[C@H]2C1 ZINC001218803782 1094870623 /nfs/dbraw/zinc/87/06/23/1094870623.db2.gz POVVRCRUNCGALW-FGTMMUONSA-N 0 1 322.493 3.081 20 30 DGEDMN N#Cc1ccc(NC(=O)[C@H]2CCC[C@H](c3ccccc3)[NH2+]2)c([O-])c1 ZINC001218992436 1094919958 /nfs/dbraw/zinc/91/99/58/1094919958.db2.gz LLKAPDYVMGLSNJ-NVXWUHKLSA-N 0 1 321.380 3.086 20 30 DGEDMN CCOc1ccc(C(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)cn1 ZINC001122415121 1094971041 /nfs/dbraw/zinc/97/10/41/1094971041.db2.gz OGENAQFYLXAJTN-ZDUSSCGKSA-N 0 1 320.352 3.155 20 30 DGEDMN N#CC(C(=O)C[C@@H]1CCCC1(F)F)c1nnc2n1CCCCC2 ZINC001122514766 1094995258 /nfs/dbraw/zinc/99/52/58/1094995258.db2.gz WROMCLRNHDDURD-NWDGAFQWSA-N 0 1 322.359 3.006 20 30 DGEDMN N#C[C@H](C(=O)CC1CCCCC1)c1nc(N)c2ccccc2n1 ZINC001122708478 1095040262 /nfs/dbraw/zinc/04/02/62/1095040262.db2.gz XYGJLNHRBYJYRA-CQSZACIVSA-N 0 1 308.385 3.359 20 30 DGEDMN N#CC(C(=O)[C@@H]1C[C@]12CCc1ccccc12)c1cccc(F)n1 ZINC001122714664 1095043416 /nfs/dbraw/zinc/04/34/16/1095043416.db2.gz NICSTSLNNWRBJF-RFUYNDQBSA-N 0 1 306.340 3.301 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2ccc(CN(C)C)nc2)cc1 ZINC001136505440 1095057397 /nfs/dbraw/zinc/05/73/97/1095057397.db2.gz JUHDVTBNFGKYOD-UHFFFAOYSA-N 0 1 322.412 3.012 20 30 DGEDMN C#Cc1ccc(CNC(=O)[C@@H](N)c2ccc3ccccc3c2)cc1 ZINC001220382242 1095090444 /nfs/dbraw/zinc/09/04/44/1095090444.db2.gz UCMIZKDGPXDCCB-FQEVSTJZSA-N 0 1 314.388 3.137 20 30 DGEDMN N#CC(C(=O)c1cnn(C2CCC2)c1)c1nc(C2CC2)cs1 ZINC001122980879 1095119484 /nfs/dbraw/zinc/11/94/84/1095119484.db2.gz KRFKUOFSLYRTRY-ZDUSSCGKSA-N 0 1 312.398 3.432 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)[C@@H](N)c1ccccc1 ZINC001220663411 1095134813 /nfs/dbraw/zinc/13/48/13/1095134813.db2.gz DQMNLSOVRSVTAB-KDOFPFPSSA-N 0 1 310.397 3.129 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2ccccc2OC)CCC1 ZINC001123337591 1095245982 /nfs/dbraw/zinc/24/59/82/1095245982.db2.gz IQHODPPAADBGMB-CQSZACIVSA-N 0 1 323.396 3.210 20 30 DGEDMN C=CC[C@@H](NC(=O)[C@@H](N)Cc1ccsc1)c1ccccc1 ZINC001221357535 1095250979 /nfs/dbraw/zinc/25/09/79/1095250979.db2.gz IQLNHKLIEPKYBQ-JKSUJKDBSA-N 0 1 300.427 3.052 20 30 DGEDMN C#CCN1CCC(OC(=O)C[C@H](C)c2c[nH]c3ccccc32)CC1 ZINC001123349141 1095253382 /nfs/dbraw/zinc/25/33/82/1095253382.db2.gz PYHHUEDQITZYON-HNNXBMFYSA-N 0 1 324.424 3.302 20 30 DGEDMN CC(C)[C@@H](C(=O)C(C#N)c1ncc(F)cc1F)N1CCCCC1 ZINC001123475948 1095312643 /nfs/dbraw/zinc/31/26/43/1095312643.db2.gz HZRXWQASXLKZRS-CJNGLKHVSA-N 0 1 321.371 3.047 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCC3CCCCC3)[C@@H]2C1 ZINC001221998409 1095406059 /nfs/dbraw/zinc/40/60/59/1095406059.db2.gz PXDJIHCSHYAPOU-RTBURBONSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CC[C@@H](C)C(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC001144008194 1095465045 /nfs/dbraw/zinc/46/50/45/1095465045.db2.gz DXJMPLJDMWVULZ-ZACQAIPSSA-N 0 1 300.446 3.320 20 30 DGEDMN CCCC[C@@H](CC)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001123936170 1095488145 /nfs/dbraw/zinc/48/81/45/1095488145.db2.gz NKUFERJXBLEZPM-OAHLLOKOSA-N 0 1 319.424 3.120 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1n[nH]c2ncc(Br)cc12 ZINC001144065473 1095493163 /nfs/dbraw/zinc/49/31/63/1095493163.db2.gz BVAVZLYGAHFEMX-UHFFFAOYSA-N 0 1 323.194 3.261 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(C(C)(C)C)CC1)c1ccccc1 ZINC001116389268 1095536663 /nfs/dbraw/zinc/53/66/63/1095536663.db2.gz VAGOPFRRNSCUKP-MRXNPFEDSA-N 0 1 300.446 3.289 20 30 DGEDMN Cc1ccccc1O[C@H]1CCCN(Cc2ccc(C#N)cn2)C1 ZINC001144160364 1095541486 /nfs/dbraw/zinc/54/14/86/1095541486.db2.gz HKIRZJSPEDFXBM-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CCc1cc(C#N)c(NC(=O)c2ccc3cncn3c2C)s1 ZINC001116509803 1095583042 /nfs/dbraw/zinc/58/30/42/1095583042.db2.gz CGDSBLRGYUXAAD-UHFFFAOYSA-N 0 1 310.382 3.391 20 30 DGEDMN C=C[C@@H](COC)NCc1cn(-c2ccc(Cl)cc2)nc1C ZINC001116640411 1095622692 /nfs/dbraw/zinc/62/26/92/1095622692.db2.gz QNHDFQMIFZDVOR-HNNXBMFYSA-N 0 1 305.809 3.125 20 30 DGEDMN C=C[C@H](COC)N[C@@H](COC)c1cccc(C(F)(F)F)c1 ZINC001116642937 1095623230 /nfs/dbraw/zinc/62/32/30/1095623230.db2.gz FABXMTVCEYVBMO-KGLIPLIRSA-N 0 1 303.324 3.184 20 30 DGEDMN CC(C)(C)CCCC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001124782572 1095629648 /nfs/dbraw/zinc/62/96/48/1095629648.db2.gz OHQMPEYWRSNQLC-UHFFFAOYSA-N 0 1 318.436 3.099 20 30 DGEDMN C=C(CSc1nc(-c2ccc(CC)cc2)n[nH]1)C(=O)OCC ZINC001116737295 1095644937 /nfs/dbraw/zinc/64/49/37/1095644937.db2.gz NIQGAIJXKHJKCQ-UHFFFAOYSA-N 0 1 317.414 3.246 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](CC(C)C)C1 ZINC001339528103 1095648901 /nfs/dbraw/zinc/64/89/01/1095648901.db2.gz CFMPHUBQNLTZLI-AWEZNQCLSA-N 0 1 314.437 3.035 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)[C@H](C#N)CCc1ccccc1 ZINC001137569327 1095680114 /nfs/dbraw/zinc/68/01/14/1095680114.db2.gz VDDMJVLMZFWZEW-LBPRGKRZSA-N 0 1 318.327 3.367 20 30 DGEDMN COc1cccc(C2(N[C@@H](C)Cc3cccc(C#N)c3)COC2)c1 ZINC001171196314 1095698720 /nfs/dbraw/zinc/69/87/20/1095698720.db2.gz YWKZWJMVOYTENF-HNNXBMFYSA-N 0 1 322.408 3.013 20 30 DGEDMN COc1ccc(CN2CCN(C)C[C@@H]2c2ccccc2)c(C#N)c1 ZINC001137698128 1095715797 /nfs/dbraw/zinc/71/57/97/1095715797.db2.gz KZHVEXXWNJHAJZ-HXUWFJFHSA-N 0 1 321.424 3.056 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1OCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001222765817 1095745696 /nfs/dbraw/zinc/74/56/96/1095745696.db2.gz KTNRPMYFNWJDDZ-NSHDSACASA-N 0 1 318.369 3.378 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CC[N@@H+](C/C=C\Cl)[C@H]2C1 ZINC001222763416 1095745889 /nfs/dbraw/zinc/74/58/89/1095745889.db2.gz PKLVUFIGMNMGCK-PNPSXAPQSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001222763416 1095745900 /nfs/dbraw/zinc/74/59/00/1095745900.db2.gz PKLVUFIGMNMGCK-PNPSXAPQSA-N 0 1 310.869 3.264 20 30 DGEDMN C=C(CC)C(=O)N1CCN(Cc2ccccc2)[C@H](CCC)C1 ZINC001137818944 1095752670 /nfs/dbraw/zinc/75/26/70/1095752670.db2.gz DBURSGSBAYFXLK-GOSISDBHSA-N 0 1 300.446 3.466 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCC[N@H+](Cc2c(C)cccc2C)C1 ZINC001137854479 1095766898 /nfs/dbraw/zinc/76/68/98/1095766898.db2.gz YCTJMHASBSTDGI-MRXNPFEDSA-N 0 1 302.418 3.180 20 30 DGEDMN COC(=O)c1ccc2c(c1)CN([C@@H](C)Cc1cccc(C#N)c1)C2 ZINC001171230624 1095868464 /nfs/dbraw/zinc/86/84/64/1095868464.db2.gz WQYYEBLGNBDHBN-AWEZNQCLSA-N 0 1 320.392 3.292 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCC1CCN(C/C=C/Cl)CC1 ZINC001223311296 1095933582 /nfs/dbraw/zinc/93/35/82/1095933582.db2.gz AUPRGZUTTPTDJY-QPJJXVBHSA-N 0 1 319.276 3.346 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H]2CCN(C/C=C\Cl)[C@H]2C1 ZINC001223343859 1095941275 /nfs/dbraw/zinc/94/12/75/1095941275.db2.gz ALOUUMDYHJMUJK-UKBPFDPYSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCCC(C)(C)CC1 ZINC001340375648 1095941943 /nfs/dbraw/zinc/94/19/43/1095941943.db2.gz NIOOJODUGKOPKS-UHFFFAOYSA-N 0 1 314.437 3.179 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCCC3CCCCC3)C2)C1 ZINC001276886460 1095956811 /nfs/dbraw/zinc/95/68/11/1095956811.db2.gz KEDLMKNJHLPWJQ-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=CCCCC[N@H+]1C[C@@H](c2ccc(F)cc2F)[C@H](C(=O)[O-])C1 ZINC001118324350 1095983646 /nfs/dbraw/zinc/98/36/46/1095983646.db2.gz OEDXBWJITUCEHK-LSDHHAIUSA-N 0 1 309.356 3.421 20 30 DGEDMN CCOC(=O)CC1CC(O/C(=N\O)c2ccc(Cl)cc2)C1 ZINC001223597469 1096011172 /nfs/dbraw/zinc/01/11/72/1096011172.db2.gz VSNSFQRTOYVHRL-ICFOKQHNSA-N 0 1 311.765 3.224 20 30 DGEDMN CC1(C)CN(C(=O)C2CC2)CCN1CCCCC1(C#N)CCC1 ZINC001340761698 1096027415 /nfs/dbraw/zinc/02/74/15/1096027415.db2.gz OINKCSLTMCLTJV-UHFFFAOYSA-N 0 1 317.477 3.183 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)CCCCCC)C[C@@H]21 ZINC001223707648 1096041457 /nfs/dbraw/zinc/04/14/57/1096041457.db2.gz WJAOVOGDRFDCIE-KSZLIROESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)CCCCCC)C[C@@H]21 ZINC001223707648 1096041467 /nfs/dbraw/zinc/04/14/67/1096041467.db2.gz WJAOVOGDRFDCIE-KSZLIROESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)CCCCCC)C[C@@H]21 ZINC001223707649 1096041590 /nfs/dbraw/zinc/04/15/90/1096041590.db2.gz WJAOVOGDRFDCIE-KURKYZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)CCCCCC)C[C@@H]21 ZINC001223707649 1096041606 /nfs/dbraw/zinc/04/16/06/1096041606.db2.gz WJAOVOGDRFDCIE-KURKYZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C[C@H]1NCCn2c(C(=O)Nc3ccc(C4CC4)c(C#N)c3)ccc21 ZINC001340854852 1096051549 /nfs/dbraw/zinc/05/15/49/1096051549.db2.gz NBTCLNRSKQNQNQ-GFCCVEGCSA-N 0 1 320.396 3.154 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1O[C@H](C)c1cccc(C(=O)OC)c1 ZINC001223789925 1096065705 /nfs/dbraw/zinc/06/57/05/1096065705.db2.gz BOFKEEDVEZJHOZ-YGRLFVJLSA-N 0 1 304.342 3.160 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H](C)CC(C)(C)OC)c1 ZINC001223891752 1096086759 /nfs/dbraw/zinc/08/67/59/1096086759.db2.gz RXZXTLUUYZZNDQ-ISOHDOPZSA-N 0 1 311.378 3.060 20 30 DGEDMN C=C[C@H](C#CC(C)(C)C)O/C(=N\O)c1cc(OC)ccc1OC ZINC001223891925 1096088106 /nfs/dbraw/zinc/08/81/06/1096088106.db2.gz WBPAIWPGCJYYIK-JPWUFHEYSA-N 0 1 317.385 3.460 20 30 DGEDMN CC(C)(c1nc([C@H]2CN3CCC2CC3)no1)c1ccc(C#N)cc1 ZINC001118931750 1096107697 /nfs/dbraw/zinc/10/76/97/1096107697.db2.gz KQFTVLADDRIPPV-INIZCTEOSA-N 0 1 322.412 3.076 20 30 DGEDMN C#CCCCCCC(=O)NCC1CCN(C/C=C\Cl)CC1 ZINC001224062261 1096127101 /nfs/dbraw/zinc/12/71/01/1096127101.db2.gz VGPLIISXOYAUPZ-XFFZJAGNSA-N 0 1 310.869 3.151 20 30 DGEDMN CC(=NNc1ccnc2cccnc21)c1cnn(C(C)(C)C)c1C ZINC001119306814 1096159365 /nfs/dbraw/zinc/15/93/65/1096159365.db2.gz IALLWRIJZWOIAH-UHFFFAOYSA-N 0 1 322.416 3.148 20 30 DGEDMN CC[C@@H]1OC(C)=C(OCCOc2ccc3c(c2)CCC3)C1=O ZINC001224301382 1096182718 /nfs/dbraw/zinc/18/27/18/1096182718.db2.gz ASXLSHPNVKMHLI-INIZCTEOSA-N 0 1 302.370 3.180 20 30 DGEDMN C=CC[C@H]([NH2+]Cc1csc(-c2ccc(C)cc2)n1)C(=O)[O-] ZINC001119598482 1096229571 /nfs/dbraw/zinc/22/95/71/1096229571.db2.gz RICXEKDHXWNSRA-AWEZNQCLSA-N 0 1 302.399 3.237 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001224638735 1096252496 /nfs/dbraw/zinc/25/24/96/1096252496.db2.gz PYEHXWKVWIYKPE-QZTJIDSGSA-N 0 1 311.429 3.041 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@H](OCCCc2ccncc2)C1 ZINC001224948307 1096319797 /nfs/dbraw/zinc/31/97/97/1096319797.db2.gz RPBUMHKFUZQUEQ-HXUWFJFHSA-N 0 1 321.424 3.177 20 30 DGEDMN C#C[C@H](CC)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152055 1096353604 /nfs/dbraw/zinc/35/36/04/1096353604.db2.gz JALLKFPLEXQFEM-QUCCMNQESA-N 0 1 315.457 3.485 20 30 DGEDMN C#C[C@@H](CC)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225152054 1096353717 /nfs/dbraw/zinc/35/37/17/1096353717.db2.gz JALLKFPLEXQFEM-ICSRJNTNSA-N 0 1 315.457 3.485 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1c(F)cccc1F ZINC001225275031 1096380352 /nfs/dbraw/zinc/38/03/52/1096380352.db2.gz DXHLMNVNZNRSLQ-IAGOWNOFSA-N 0 1 322.399 3.448 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCCN(Cc3ccccc3F)C2)cn1 ZINC001225499717 1096450414 /nfs/dbraw/zinc/45/04/14/1096450414.db2.gz NHWXNNTWUHQHBN-QGZVFWFLSA-N 0 1 311.360 3.136 20 30 DGEDMN CC(C)(CCC#N)c1ccc(C(=O)Nc2nc[nH]c2C#N)cc1 ZINC001148900611 1096463613 /nfs/dbraw/zinc/46/36/13/1096463613.db2.gz FWCWIBLVAZINQP-UHFFFAOYSA-N 0 1 307.357 3.115 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C[C@@H](F)CC)C3)CCCC1 ZINC001148935889 1096469944 /nfs/dbraw/zinc/46/99/44/1096469944.db2.gz UQWMXFNJAZWIMP-INIZCTEOSA-N 0 1 322.468 3.405 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)CC(C)C)C2)CC1 ZINC001086899807 1096498997 /nfs/dbraw/zinc/49/89/97/1096498997.db2.gz SXGXETHWAPFEHL-HNNXBMFYSA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C(C)(C)F)C2)CC1 ZINC001086897909 1096507086 /nfs/dbraw/zinc/50/70/86/1096507086.db2.gz VWVHIXHNNUGBPZ-CYBMUJFWSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(Br)cc1C#N ZINC001225837044 1096542258 /nfs/dbraw/zinc/54/22/58/1096542258.db2.gz MIPZSGFIAQMVRI-LLVKDONJSA-N 0 1 322.158 3.309 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc([N+](=O)[O-])c(C(F)(F)F)c1 ZINC001225918391 1096564139 /nfs/dbraw/zinc/56/41/39/1096564139.db2.gz GNZJLVMCSIPGJO-ZCFIWIBFSA-N 0 1 317.219 3.212 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C#N)cc2F)C1 ZINC001226056967 1096600560 /nfs/dbraw/zinc/60/05/60/1096600560.db2.gz YWBCCIRKGZRFGE-UKRRQHHQSA-N 0 1 311.360 3.307 20 30 DGEDMN CCOC(=O)[C@@H](C)[C@@H](Oc1cccc(/C=N/O)c1)C(F)(F)F ZINC001226110737 1096614632 /nfs/dbraw/zinc/61/46/32/1096614632.db2.gz VDICJRWDNDNYKF-RTUDCVQOSA-N 0 1 319.279 3.004 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CCCC23CC3)[C@H](C)C1 ZINC001092720476 1096628594 /nfs/dbraw/zinc/62/85/94/1096628594.db2.gz KTINEGMFNINRBI-BPLDGKMQSA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cc(F)ccc2C)[C@H](C)C1 ZINC001092858777 1096636625 /nfs/dbraw/zinc/63/66/25/1096636625.db2.gz GCRZOOKYNCISLE-TZMCWYRMSA-N 0 1 324.827 3.184 20 30 DGEDMN O=c1ccnc(O[C@@H](/C(=N\O)c2ccccc2)c2ccccc2)[nH]1 ZINC001226323438 1096663062 /nfs/dbraw/zinc/66/30/62/1096663062.db2.gz DQJCYSAREIRQGU-RRMQHPPMSA-N 0 1 321.336 3.181 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)CC(C)(C)C)CC2)C1 ZINC001093505126 1096705565 /nfs/dbraw/zinc/70/55/65/1096705565.db2.gz DOHLRONTGRBWKY-UHFFFAOYSA-N 0 1 322.493 3.081 20 30 DGEDMN Cc1ccc(C#N)cc1O[C@@H]1CC[N@H+]([C@H](C)c2ccccn2)C1 ZINC001226876980 1096801723 /nfs/dbraw/zinc/80/17/23/1096801723.db2.gz HETFDCUNBGTJEV-NVXWUHKLSA-N 0 1 307.397 3.476 20 30 DGEDMN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cc(C#N)cc(C#N)c2)C1 ZINC001226900612 1096809999 /nfs/dbraw/zinc/80/99/99/1096809999.db2.gz ZPEUIHXOVLFPOQ-WMLDXEAASA-N 0 1 318.380 3.039 20 30 DGEDMN C=CCCCCCCCN1CC[C@@H]2C[C@@]21C(=O)NCC(F)F ZINC001277167161 1096818552 /nfs/dbraw/zinc/81/85/52/1096818552.db2.gz KIYLTKDSWDMRJH-PBHICJAKSA-N 0 1 314.420 3.359 20 30 DGEDMN C=C[C@@H](CC(=O)OC(C)(C)C)Oc1[nH]c(=O)nc2ccccc21 ZINC001226977103 1096834243 /nfs/dbraw/zinc/83/42/43/1096834243.db2.gz LSVLJOOMTXEPEU-NSHDSACASA-N 0 1 316.357 3.001 20 30 DGEDMN C#CCCCC(=O)NC1CCN(Cc2cc(C)ccc2F)CC1 ZINC001227084641 1096864457 /nfs/dbraw/zinc/86/44/57/1096864457.db2.gz BVVGMLFEWHPRNF-UHFFFAOYSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCC[C@H](CC)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227094442 1096867570 /nfs/dbraw/zinc/86/75/70/1096867570.db2.gz BCYFVYXPARQLOB-NSHDSACASA-N 0 1 303.318 3.367 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)c1ccccc1)C2 ZINC001110160154 1096868078 /nfs/dbraw/zinc/86/80/78/1096868078.db2.gz BEVCWTFFPRKECC-QYZOEREBSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCc1c(O)ccc(C(C)=O)c1O[C@@H]1CC[N@H+](C(C)C)C1 ZINC001227120917 1096873202 /nfs/dbraw/zinc/87/32/02/1096873202.db2.gz WRJXHOSSCIUNNG-CQSZACIVSA-N 0 1 303.402 3.185 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CC[N@H+](C(C)C)C1 ZINC001227120917 1096873210 /nfs/dbraw/zinc/87/32/10/1096873210.db2.gz WRJXHOSSCIUNNG-CQSZACIVSA-N 0 1 303.402 3.185 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(C(=O)OC)ccc1Cl ZINC001227156675 1096882288 /nfs/dbraw/zinc/88/22/88/1096882288.db2.gz LYPDAIQVIBDTOH-NSHDSACASA-N 0 1 310.733 3.115 20 30 DGEDMN COC(=O)c1ccc([C@H](C)Oc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001227215574 1096896973 /nfs/dbraw/zinc/89/69/73/1096896973.db2.gz FRSMGNZMGXYUDU-NSHDSACASA-N 0 1 321.336 3.361 20 30 DGEDMN COc1ccccc1OC[C@@H](C)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001227219505 1096898273 /nfs/dbraw/zinc/89/82/73/1096898273.db2.gz LUBAPZIMKIDLEQ-GFCCVEGCSA-N 0 1 323.352 3.289 20 30 DGEDMN CC(C)(C)C#CC(=O)NC1CCN(C/C=C\c2ccccc2)CC1 ZINC001227271927 1096910138 /nfs/dbraw/zinc/91/01/38/1096910138.db2.gz CCSGZHVWXOTILF-YFHOEESVSA-N 0 1 324.468 3.330 20 30 DGEDMN Cc1ccc2nc(=O)[nH]c(O[C@H](C#N)c3ccccc3F)c2c1 ZINC001227345790 1096918705 /nfs/dbraw/zinc/91/87/05/1096918705.db2.gz DSCBOVBOWBXIAU-OAHLLOKOSA-N 0 1 309.300 3.427 20 30 DGEDMN C#CCCCCCC[N@@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001171606842 1096933670 /nfs/dbraw/zinc/93/36/70/1096933670.db2.gz DNRYQTUEWSWLIL-KBPBESRZSA-N 0 1 318.383 3.102 20 30 DGEDMN Cc1cc(O)ccc1O[C@H]1CCN(Cc2ccccc2C#N)C1 ZINC001227449741 1096935535 /nfs/dbraw/zinc/93/55/35/1096935535.db2.gz FLHPIXZAOFVQDI-SFHVURJKSA-N 0 1 308.381 3.226 20 30 DGEDMN CCCCCCCCN1CC[C@H]2C[C@]21C(=O)Nc1nccn1C ZINC001277226342 1096963691 /nfs/dbraw/zinc/96/36/91/1096963691.db2.gz VYLBIFRUGZZWGK-MAUKXSAKSA-N 0 1 318.465 3.184 20 30 DGEDMN CCCCCCCCC(=O)NCCCNCc1ncc(C)o1 ZINC001171822943 1096974979 /nfs/dbraw/zinc/97/49/79/1096974979.db2.gz VJKUXKAYXNQMBB-UHFFFAOYSA-N 0 1 309.454 3.330 20 30 DGEDMN C=CC(C=C)Oc1[nH]c(=O)nc2cc(Br)ccc21 ZINC001228068384 1097044398 /nfs/dbraw/zinc/04/43/98/1097044398.db2.gz PUHVQLQSVFQIHY-UHFFFAOYSA-N 0 1 307.147 3.217 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC001228122972 1097055461 /nfs/dbraw/zinc/05/54/61/1097055461.db2.gz LBJFYLURUACRJH-IAOVAPTHSA-N 0 1 319.449 3.102 20 30 DGEDMN Cc1cccc(C2(N[C@H](C)Cc3ccc(C#N)cc3)COC2)c1 ZINC001172303198 1097094832 /nfs/dbraw/zinc/09/48/32/1097094832.db2.gz VYSLDDJKBGJTKQ-MRXNPFEDSA-N 0 1 306.409 3.313 20 30 DGEDMN CCCCCCC[C@@H](CC)N1CCc2c(nnn2C)[C@H]1COC ZINC001172353630 1097123132 /nfs/dbraw/zinc/12/31/32/1097123132.db2.gz AGFQQTAHACKJBD-NVXWUHKLSA-N 0 1 322.497 3.500 20 30 DGEDMN C=C[C@@]1(C)CC[C@H](Oc2nc(Cl)nc3nc[nH]c32)C(C)(C)O1 ZINC001228507520 1097132693 /nfs/dbraw/zinc/13/26/93/1097132693.db2.gz SFTCRJOEMDYPIE-VFZGTOFNSA-N 0 1 322.796 3.287 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OCc2ccccc2)nc1 ZINC001228618138 1097153123 /nfs/dbraw/zinc/15/31/23/1097153123.db2.gz WRPAJMHRHOVEOD-LBPRGKRZSA-N 0 1 311.337 3.259 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(C(=O)OC(C)(C)C)CC12CC2 ZINC001172496335 1097169976 /nfs/dbraw/zinc/16/99/76/1097169976.db2.gz MACGNVHUEOFCGE-AWEZNQCLSA-N 0 1 307.438 3.154 20 30 DGEDMN C[C@@H](CCCC#N)N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001172498310 1097171281 /nfs/dbraw/zinc/17/12/81/1097171281.db2.gz LJFSRPURXWZBKM-AWEZNQCLSA-N 0 1 319.836 3.180 20 30 DGEDMN C=C[C@H](C)[C@H](C)C(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC001228747433 1097181384 /nfs/dbraw/zinc/18/13/84/1097181384.db2.gz ATPMEDJZPYWOKQ-GJZGRUSLSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228749930 1097182578 /nfs/dbraw/zinc/18/25/78/1097182578.db2.gz VQJNLQVTVNMKLK-HUUCEWRRSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001228808742 1097194768 /nfs/dbraw/zinc/19/47/68/1097194768.db2.gz ATQMUUFTIYPZQF-GFCCVEGCSA-N 0 1 306.372 3.122 20 30 DGEDMN C#C[C@H](CC(C)C)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228860223 1097205254 /nfs/dbraw/zinc/20/52/54/1097205254.db2.gz RFQOEQTWHBYMGG-MRVPVSSYSA-N 0 1 318.251 3.141 20 30 DGEDMN C#CC[C@@H](CC)Oc1ccc2c(c1)C(=O)c1ccc(O)cc1C2=O ZINC001229190202 1097274678 /nfs/dbraw/zinc/27/46/78/1097274678.db2.gz JLDDOPVJQWFVFQ-CYBMUJFWSA-N 0 1 320.344 3.348 20 30 DGEDMN CCCCCCCCNC(=S)N1CCCC2(CN(C)C2)C1 ZINC001277371979 1097286823 /nfs/dbraw/zinc/28/68/23/1097286823.db2.gz LDCSWVUZLCAJGF-UHFFFAOYSA-N 0 1 311.539 3.249 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC3(C2)CCCC3)C[C@H]1C#N ZINC001172989122 1097298941 /nfs/dbraw/zinc/29/89/41/1097298941.db2.gz NAWYBERTIBTVSD-CABCVRRESA-N 0 1 319.449 3.154 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@H](CC)CC2CCCC2)CC1 ZINC001229453180 1097324420 /nfs/dbraw/zinc/32/44/20/1097324420.db2.gz KTFFDNHZRBEZFE-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cccc(CCC(=O)OCC)c1 ZINC001229518853 1097338045 /nfs/dbraw/zinc/33/80/45/1097338045.db2.gz WVOBFXNZVHXMOV-OAHLLOKOSA-N 0 1 318.369 3.171 20 30 DGEDMN COc1cc(Nc2cccc(N3CCN(C)CC3)c2)ccc1C#N ZINC001212714801 1097379493 /nfs/dbraw/zinc/37/94/93/1097379493.db2.gz SVQYSQAVYLKJAW-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CN1CCN(c2cccc(Nc3cc(F)ccc3C#N)c2)CC1 ZINC001212714884 1097380211 /nfs/dbraw/zinc/38/02/11/1097380211.db2.gz YRPQHPLYOOHXNL-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=CCOCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC=C(C)C ZINC001277425921 1097400758 /nfs/dbraw/zinc/40/07/58/1097400758.db2.gz RLQKUEZNWIAHBR-HDICACEKSA-N 0 1 320.477 3.001 20 30 DGEDMN CCSC[C@@H](C)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001173299850 1097402723 /nfs/dbraw/zinc/40/27/23/1097402723.db2.gz UFTPFMCWQPMIPT-MRXNPFEDSA-N 0 1 317.502 3.276 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001230017124 1097428934 /nfs/dbraw/zinc/42/89/34/1097428934.db2.gz UJZNCQGZIVBBTE-QGZVFWFLSA-N 0 1 312.457 3.174 20 30 DGEDMN Cc1cc(C(=O)N(C)C)ccc1Nc1cc(C#N)c(F)cc1O ZINC001212733451 1097444764 /nfs/dbraw/zinc/44/47/64/1097444764.db2.gz VSQADYUZFHJRAW-UHFFFAOYSA-N 0 1 313.332 3.157 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cccc(Br)c1C#N ZINC001230323908 1097475638 /nfs/dbraw/zinc/47/56/38/1097475638.db2.gz YRPFYTJMFMOVOZ-NSHDSACASA-N 0 1 322.158 3.309 20 30 DGEDMN COc1cccc(Nc2sc3c(c2C#N)CCN(C)C3)c1F ZINC001173971008 1097553746 /nfs/dbraw/zinc/55/37/46/1097553746.db2.gz PDLFBQYOAXWZMY-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@@H](C)C(C)C)Cc1cccnc1 ZINC001230710002 1097561927 /nfs/dbraw/zinc/56/19/27/1097561927.db2.gz PUECREHJZMIPKZ-QGZVFWFLSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCCC(=O)NCCCN(CC=C(C)C)Cc1cccnc1 ZINC001230709999 1097562070 /nfs/dbraw/zinc/56/20/70/1097562070.db2.gz PJTGEABVGUUCTO-UHFFFAOYSA-N 0 1 315.461 3.322 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)(C)C(C)C)Cc1cccnc1 ZINC001230769310 1097578244 /nfs/dbraw/zinc/57/82/44/1097578244.db2.gz JTMQLHTWGYZOJV-UHFFFAOYSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCN(CCCNC(=O)C1(C(C)C)CC1)Cc1cccnc1 ZINC001230846835 1097605881 /nfs/dbraw/zinc/60/58/81/1097605881.db2.gz YOSCISDBQHWVHO-UHFFFAOYSA-N 0 1 315.461 3.012 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H]1CC[C@H](C)C1)Cc1cccnc1 ZINC001230861612 1097608413 /nfs/dbraw/zinc/60/84/13/1097608413.db2.gz NWIOVOMBQJXYLL-WMZOPIPTSA-N 0 1 315.461 3.012 20 30 DGEDMN C[Si](C)(C)C#C[C@H](Oc1nncc2n[nH]cc21)c1ccccc1 ZINC001230895170 1097617413 /nfs/dbraw/zinc/61/74/13/1097617413.db2.gz DTGFAXWMELWMHS-INIZCTEOSA-N 0 1 322.444 3.354 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@@H](C)C1CC1)Cc1cccnc1 ZINC001230932497 1097625808 /nfs/dbraw/zinc/62/58/08/1097625808.db2.gz XCUHBUSPIOCSKO-MRXNPFEDSA-N 0 1 315.461 3.012 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccc([C@@H](CC)CC(=O)OC)c1 ZINC001230999100 1097641914 /nfs/dbraw/zinc/64/19/14/1097641914.db2.gz HFUZSPHHANVQPJ-AAEUAGOBSA-N 0 1 318.369 3.341 20 30 DGEDMN C=C[C@]1(C)CC[C@H](Oc2nc(Cl)nc3[nH]ncc32)C(C)(C)O1 ZINC001231047393 1097654795 /nfs/dbraw/zinc/65/47/95/1097654795.db2.gz RKSJGYFBOCWUBK-ZUZCIYMTSA-N 0 1 322.796 3.287 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CC[N@H+]1CC1=CCCCC1 ZINC001231094537 1097666695 /nfs/dbraw/zinc/66/66/95/1097666695.db2.gz HOAPPMDADVAGET-FUHWJXTLSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CC1=CCCCC1 ZINC001231094537 1097666698 /nfs/dbraw/zinc/66/66/98/1097666698.db2.gz HOAPPMDADVAGET-FUHWJXTLSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1cc(C)sc1C ZINC001231122365 1097673859 /nfs/dbraw/zinc/67/38/59/1097673859.db2.gz LJOGFYCXWOINII-INIZCTEOSA-N 0 1 306.475 3.364 20 30 DGEDMN Cn1ncc2ccc(Nc3sc4c(c3C#N)CCN(C)C4)cc21 ZINC001174337156 1097681072 /nfs/dbraw/zinc/68/10/72/1097681072.db2.gz GWIOJGHSJDHANJ-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CCc1ccc(Cl)cc1 ZINC001231169040 1097686038 /nfs/dbraw/zinc/68/60/38/1097686038.db2.gz CTQACQUUZYKHOT-KRWDZBQOSA-N 0 1 320.864 3.381 20 30 DGEDMN Cc1ncc(C#N)cc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174394177 1097689399 /nfs/dbraw/zinc/68/93/99/1097689399.db2.gz DWJJQHHNFQXKFZ-UHFFFAOYSA-N 0 1 304.397 3.463 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](Oc2nc(C)cc(O)c2[N+](=O)[O-])C(C)(C)O1 ZINC001231264900 1097708136 /nfs/dbraw/zinc/70/81/36/1097708136.db2.gz VRMKVPLCWICEFO-MLGOLLRUSA-N 0 1 322.361 3.285 20 30 DGEDMN C=CCOC(=O)c1ccc(OC2C(=C)O[C@@H](CC)C2=O)cc1 ZINC001231302497 1097716313 /nfs/dbraw/zinc/71/63/13/1097716313.db2.gz JIPHYBCCGBLRRH-AWEZNQCLSA-N 0 1 302.326 3.018 20 30 DGEDMN CC(C)CC(=O)NCCCN(C)CC#Cc1ccccc1Cl ZINC001231320495 1097721408 /nfs/dbraw/zinc/72/14/08/1097721408.db2.gz YUZXGNVDLLGJGY-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)C1CCCCC1 ZINC001231345488 1097727190 /nfs/dbraw/zinc/72/71/90/1097727190.db2.gz SJIGIFHHRFDILZ-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN N#Cc1ccc(Nc2ccc3c[nH]nc3c2)c(Br)n1 ZINC001174497852 1097729491 /nfs/dbraw/zinc/72/94/91/1097729491.db2.gz MQFJVFDLSLPSCL-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#C[C@H]1CN(Cc2ccccc2C#Cc2ccccc2)CCC1=O ZINC001231578686 1097783447 /nfs/dbraw/zinc/78/34/47/1097783447.db2.gz QQAVUACXSAFXKG-FQEVSTJZSA-N 0 1 314.388 3.001 20 30 DGEDMN CC1(C)CC(=O)C=C(NC(=O)c2cc3c(Cl)[nH]ccc-3n2)C1 ZINC001174601766 1097790274 /nfs/dbraw/zinc/79/02/74/1097790274.db2.gz UNZCOVNRBFBHBX-UHFFFAOYSA-N 0 1 317.776 3.219 20 30 DGEDMN N#Cc1cc(Nc2cnn([C@@H]3CCCCO3)c2)c(O)cc1F ZINC001174604451 1097791323 /nfs/dbraw/zinc/79/13/23/1097791323.db2.gz KFTOPGKGKATRFR-HNNXBMFYSA-N 0 1 302.309 3.042 20 30 DGEDMN CCCCN(CC#N)Cc1n[nH]c2cc(Br)ccc21 ZINC001231618090 1097792817 /nfs/dbraw/zinc/79/28/17/1097792817.db2.gz ZGTXQPSQDSWLGH-UHFFFAOYSA-N 0 1 321.222 3.451 20 30 DGEDMN COc1cc(C#N)ccc1CN1CCN(C)[C@@H](c2ccccc2)C1 ZINC001231618605 1097793191 /nfs/dbraw/zinc/79/31/91/1097793191.db2.gz PCAPXSXAOASHSM-LJQANCHMSA-N 0 1 321.424 3.056 20 30 DGEDMN C#Cc1cccc(CN2CCC[C@H]2C(=O)Nc2ccccc2)c1 ZINC001231660363 1097806048 /nfs/dbraw/zinc/80/60/48/1097806048.db2.gz DKZXKBWWLSCWNJ-IBGZPJMESA-N 0 1 304.393 3.271 20 30 DGEDMN C#Cc1cccc(CN2CC[C@@H](N(C)C(=O)OC(C)(C)C)C2)c1 ZINC001231661963 1097808408 /nfs/dbraw/zinc/80/84/08/1097808408.db2.gz BEUXHGKTLDQVHV-QGZVFWFLSA-N 0 1 314.429 3.109 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)c1ccc(F)cc1)C2 ZINC001110172957 1097825801 /nfs/dbraw/zinc/82/58/01/1097825801.db2.gz SZQMIQCYCBLIJU-OWSLCNJRSA-N 0 1 316.420 3.227 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCCCNCc1ncc(CC)o1 ZINC001174693979 1097827255 /nfs/dbraw/zinc/82/72/55/1097827255.db2.gz GGAFXCVMEGBZNV-CQSZACIVSA-N 0 1 321.465 3.214 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(F)c(C#N)c3)cc2)CC1 ZINC001174814582 1097843677 /nfs/dbraw/zinc/84/36/77/1097843677.db2.gz INHUDRCXWCNRMA-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001174834084 1097853034 /nfs/dbraw/zinc/85/30/34/1097853034.db2.gz VTPDLUFDYDVATA-HOTGVXAUSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001174834086 1097853154 /nfs/dbraw/zinc/85/31/54/1097853154.db2.gz VTPDLUFDYDVATA-JKSUJKDBSA-N 0 1 321.465 3.350 20 30 DGEDMN Cc1csc(Nc2ccc(N3CCN(C)CC3)cc2)c1C#N ZINC001174812459 1097861551 /nfs/dbraw/zinc/86/15/51/1097861551.db2.gz KAIDEZKRQPATEQ-UHFFFAOYSA-N 0 1 312.442 3.424 20 30 DGEDMN CN1CCN(c2ccc(Nc3ccc(F)cc3C#N)cc2)CC1 ZINC001174813622 1097862802 /nfs/dbraw/zinc/86/28/02/1097862802.db2.gz JSBPSJYGPBBWAN-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001174848484 1097866249 /nfs/dbraw/zinc/86/62/49/1097866249.db2.gz CHFNMQQRHIRYKL-LSDHHAIUSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001174848364 1097866840 /nfs/dbraw/zinc/86/68/40/1097866840.db2.gz CHFNMQQRHIRYKL-CABCVRRESA-N 0 1 321.465 3.350 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CCCCNCc1nc(C)oc1C ZINC001174978415 1097902885 /nfs/dbraw/zinc/90/28/85/1097902885.db2.gz MXICVSARWSCOAO-CQSZACIVSA-N 0 1 321.465 3.412 20 30 DGEDMN COc1ccnc(CN2CCC(c3cccc(C#N)c3)CC2)c1 ZINC001231969086 1097904078 /nfs/dbraw/zinc/90/40/78/1097904078.db2.gz OVIAECQUCRJXRA-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1nc(C)no1 ZINC001174979437 1097914356 /nfs/dbraw/zinc/91/43/56/1097914356.db2.gz ZWTMHCQTQMBCEC-UONOGXRCSA-N 0 1 322.453 3.060 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1coc(C)n1 ZINC001174979115 1097914827 /nfs/dbraw/zinc/91/48/27/1097914827.db2.gz VJCJSEMNHCBNIK-AWEZNQCLSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CCCCNCc2ccon2)CC1 ZINC001175073914 1097938993 /nfs/dbraw/zinc/93/89/93/1097938993.db2.gz KAHBHJCULBYFTM-OAHLLOKOSA-N 0 1 319.449 3.186 20 30 DGEDMN CCOCCN(CCOCC)Cc1ccc(C#N)c(Cl)c1 ZINC001232114976 1097942160 /nfs/dbraw/zinc/94/21/60/1097942160.db2.gz BIROOTJKJBIZHU-UHFFFAOYSA-N 0 1 310.825 3.087 20 30 DGEDMN Cc1nnc([C@@H]2CCCN(Cc3ccc(C#N)c(Cl)c3)C2)o1 ZINC001232116533 1097943252 /nfs/dbraw/zinc/94/32/52/1097943252.db2.gz MTQAWBMPMUOXIL-CQSZACIVSA-N 0 1 316.792 3.283 20 30 DGEDMN Cn1cc(CN2CCC(C#N)(c3ccccc3)CC2)c(C2CC2)n1 ZINC001232168011 1097956368 /nfs/dbraw/zinc/95/63/68/1097956368.db2.gz XGELGWOQSPXWJR-UHFFFAOYSA-N 0 1 320.440 3.355 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001277625028 1097958011 /nfs/dbraw/zinc/95/80/11/1097958011.db2.gz BDZGTPISFPMDDZ-IBGZPJMESA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1C(=O)CC[C@]12CCCN(Cc1cnsc1)[C@H]2CC ZINC001232224374 1097973355 /nfs/dbraw/zinc/97/33/55/1097973355.db2.gz KGHPBJHMUKARME-RDJZCZTQSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1=CCCCCC1 ZINC001277638001 1097984596 /nfs/dbraw/zinc/98/45/96/1097984596.db2.gz MDQLGDJFLIAPPE-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3[nH]nc4c3CCC4)CC2)c1 ZINC001232426425 1098034089 /nfs/dbraw/zinc/03/40/89/1098034089.db2.gz IPGQJXIKZJLXAM-UHFFFAOYSA-N 0 1 306.413 3.150 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001175468724 1098054338 /nfs/dbraw/zinc/05/43/38/1098054338.db2.gz JJRKBHOOUHGHFY-CQSZACIVSA-N 0 1 321.465 3.350 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2ccc(C(=O)OCC)cc2Cl)C1 ZINC001232594099 1098087916 /nfs/dbraw/zinc/08/79/16/1098087916.db2.gz MVMFHTFDTOBENA-CYBMUJFWSA-N 0 1 305.805 3.362 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)cc(C#N)c3)sc2C1 ZINC001175683916 1098137331 /nfs/dbraw/zinc/13/73/31/1098137331.db2.gz PCIXGBCAVPOLBO-UHFFFAOYSA-N 0 1 312.373 3.362 20 30 DGEDMN CN1CCN(c2cccc(Nc3cc(F)cc(C#N)c3)c2)CC1 ZINC001175685297 1098137660 /nfs/dbraw/zinc/13/76/60/1098137660.db2.gz ONRHXQTUVWIGGV-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(CF)cc1 ZINC001277735595 1098174468 /nfs/dbraw/zinc/17/44/68/1098174468.db2.gz QQCBYLAWFKZAPN-INIZCTEOSA-N 0 1 324.827 3.349 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc(SC(F)(F)F)c2)CCC1=O ZINC001232948238 1098180216 /nfs/dbraw/zinc/18/02/16/1098180216.db2.gz QBNKYJPXPQJYCN-LLVKDONJSA-N 0 1 314.332 3.213 20 30 DGEDMN C#Cc1cccc(Nc2ccc(N3CCN(C)CC3)cc2OC)c1 ZINC001175974986 1098216749 /nfs/dbraw/zinc/21/67/49/1098216749.db2.gz HIGZRPFYMBKZCS-UHFFFAOYSA-N 0 1 321.424 3.172 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@@H](C(F)(F)F)[C@H]1CO ZINC001233080690 1098221136 /nfs/dbraw/zinc/22/11/36/1098221136.db2.gz TWIMYBPMAMAULT-HUUCEWRRSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(c2cccc(F)c2)CC1 ZINC001233083221 1098222080 /nfs/dbraw/zinc/22/20/80/1098222080.db2.gz AUWLNOROVVKHEY-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCN(c2cccc(C#N)c2)CC1 ZINC001233082212 1098222469 /nfs/dbraw/zinc/22/24/69/1098222469.db2.gz FUIUEMCSJFMPEK-UHFFFAOYSA-N 0 1 316.408 3.061 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@H](Oc2nccnc2C)C1 ZINC001233083573 1098223469 /nfs/dbraw/zinc/22/34/69/1098223469.db2.gz QIEXUNZLSPXPFS-SFHVURJKSA-N 0 1 322.412 3.009 20 30 DGEDMN C[Si](C)(C)C#Cc1ncccc1Nc1ccc2nn[nH]c2c1 ZINC001175998547 1098226263 /nfs/dbraw/zinc/22/62/63/1098226263.db2.gz DTWNUSYMVKLKCI-UHFFFAOYSA-N 0 1 307.433 3.325 20 30 DGEDMN C[Si](C)(C)C#Cc1ncccc1Nc1ccc2n[nH]nc2c1 ZINC001175998547 1098226267 /nfs/dbraw/zinc/22/62/67/1098226267.db2.gz DTWNUSYMVKLKCI-UHFFFAOYSA-N 0 1 307.433 3.325 20 30 DGEDMN N#Cc1cccc(Br)c1Nc1ccc2nn[nH]c2c1 ZINC001176004480 1098230278 /nfs/dbraw/zinc/23/02/78/1098230278.db2.gz OFFUEIGLZRXWNJ-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN N#Cc1cccc(Br)c1Nc1ccc2n[nH]nc2c1 ZINC001176004480 1098230286 /nfs/dbraw/zinc/23/02/86/1098230286.db2.gz OFFUEIGLZRXWNJ-UHFFFAOYSA-N 0 1 314.146 3.336 20 30 DGEDMN C=CCN1CCN(Cc2ccc(Br)c(C)c2C)CC1 ZINC001233221452 1098254539 /nfs/dbraw/zinc/25/45/39/1098254539.db2.gz SQJFSRBCEVZIBM-UHFFFAOYSA-N 0 1 323.278 3.370 20 30 DGEDMN C[N@H+]1CCc2c(C#N)c(Nc3csc(C(=O)[O-])c3)sc2C1 ZINC001176437250 1098342005 /nfs/dbraw/zinc/34/20/05/1098342005.db2.gz UMRVLZPCDDPXOD-UHFFFAOYSA-N 0 1 319.411 3.111 20 30 DGEDMN CCN(C)C(=O)c1cccc(Nc2cc(C#N)c(F)cc2O)c1 ZINC001176471487 1098360866 /nfs/dbraw/zinc/36/08/66/1098360866.db2.gz WHULJQMIWRJVBB-UHFFFAOYSA-N 0 1 313.332 3.238 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)[C@@H](C)CCCC ZINC001277812186 1098442049 /nfs/dbraw/zinc/44/20/49/1098442049.db2.gz WGBCFHMSWXFDTO-AAEUAGOBSA-N 0 1 319.287 3.158 20 30 DGEDMN CC[C@@H](C)C(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001234127157 1098500193 /nfs/dbraw/zinc/50/01/93/1098500193.db2.gz UURDJXQXQIGYDT-OAHLLOKOSA-N 0 1 320.864 3.176 20 30 DGEDMN Cc1cc(CN2CC[C@@H]2CN(C)C(=O)C#CC(C)C)c(C)s1 ZINC001234130871 1098501438 /nfs/dbraw/zinc/50/14/38/1098501438.db2.gz OHBYJEYESBKKGC-QGZVFWFLSA-N 0 1 318.486 3.057 20 30 DGEDMN Cc1ccc(CN2CC[C@@H]2CN(C)C(=O)C#CC(C)(C)C)cc1 ZINC001234194804 1098511657 /nfs/dbraw/zinc/51/16/57/1098511657.db2.gz HBLNXOMALUFEJL-GOSISDBHSA-N 0 1 312.457 3.077 20 30 DGEDMN CN(C[C@H]1CCN1CC1=CCCCC1)C(=O)C#CC(C)(C)C ZINC001234194684 1098512098 /nfs/dbraw/zinc/51/20/98/1098512098.db2.gz FUNQBPGISBAVNP-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN CN(C[C@@H]1CCN1[C@H]1CCc2ccccc21)C(=O)C#CC(C)(C)C ZINC001234207010 1098514491 /nfs/dbraw/zinc/51/44/91/1098514491.db2.gz XUYCPNUBDGHGMX-HKUYNNGSSA-N 0 1 324.468 3.256 20 30 DGEDMN C[C@H](c1ccccc1)[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214596 1098515786 /nfs/dbraw/zinc/51/57/86/1098515786.db2.gz DDQPNUYJVCBBRX-SJLPKXTDSA-N 0 1 312.457 3.330 20 30 DGEDMN C[C@H](c1ccccc1)N1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214596 1098515789 /nfs/dbraw/zinc/51/57/89/1098515789.db2.gz DDQPNUYJVCBBRX-SJLPKXTDSA-N 0 1 312.457 3.330 20 30 DGEDMN COc1ccccc1[C@H](C)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234511889 1098575319 /nfs/dbraw/zinc/57/53/19/1098575319.db2.gz YGJYMWNQJMEKPT-NSHDSACASA-N 0 1 321.336 3.356 20 30 DGEDMN COc1ccccc1[C@@H](C)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234511888 1098575931 /nfs/dbraw/zinc/57/59/31/1098575931.db2.gz YGJYMWNQJMEKPT-LLVKDONJSA-N 0 1 321.336 3.356 20 30 DGEDMN C[C@H](Cc1ccccc1)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234529678 1098585373 /nfs/dbraw/zinc/58/53/73/1098585373.db2.gz DDHIXAHFKCAJTD-GFCCVEGCSA-N 0 1 305.337 3.217 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001234537869 1098589145 /nfs/dbraw/zinc/58/91/45/1098589145.db2.gz SECRUXHYKWRHQW-QGZVFWFLSA-N 0 1 300.446 3.322 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1ccns1 ZINC001234604731 1098616834 /nfs/dbraw/zinc/61/68/34/1098616834.db2.gz UPUIUXGLLRTATK-CQSZACIVSA-N 0 1 309.479 3.260 20 30 DGEDMN C[C@]1(CF)CC(F)(F)CN1C(=O)C(C#N)Cc1cccs1 ZINC001177909449 1098644951 /nfs/dbraw/zinc/64/49/51/1098644951.db2.gz VAJJYVBUMCYATI-ZWNOBZJWSA-N 0 1 316.348 3.026 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCCc1cc(F)cc(F)c1 ZINC001177914857 1098646886 /nfs/dbraw/zinc/64/68/86/1098646886.db2.gz GAKKOKBPMDPWLH-LBPRGKRZSA-N 0 1 320.364 3.067 20 30 DGEDMN CC(C)(NC(=O)C(C#N)Cc1cccs1)c1ccc(C#N)cc1 ZINC001177917305 1098648595 /nfs/dbraw/zinc/64/85/95/1098648595.db2.gz RLRIPODZEVIIIO-CQSZACIVSA-N 0 1 323.421 3.354 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cncc2ccccc21 ZINC001177917984 1098649090 /nfs/dbraw/zinc/64/90/90/1098649090.db2.gz OHGQVYPCPHXJIL-AWEZNQCLSA-N 0 1 321.405 3.295 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1ccc(C)cc1 ZINC001277892285 1098666494 /nfs/dbraw/zinc/66/64/94/1098666494.db2.gz MXUGUPOKFQFTRE-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](Oc2ccccc2C=N[O-])C1 ZINC001234820313 1098671264 /nfs/dbraw/zinc/67/12/64/1098671264.db2.gz UZUVFUNLKSCPER-GDBMZVCRSA-N 0 1 311.385 3.104 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1c(C)onc1CC ZINC001277901421 1098687167 /nfs/dbraw/zinc/68/71/67/1098687167.db2.gz YDIDIDKZCQXLDN-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(C(C)C)cn1 ZINC001277942042 1098991155 /nfs/dbraw/zinc/99/11/55/1098991155.db2.gz TWOIZXXMVWBQQF-OAHLLOKOSA-N 0 1 321.852 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCCCCN[C@@H](C)c1csnn1 ZINC001179235449 1099044329 /nfs/dbraw/zinc/04/43/29/1099044329.db2.gz QUVWUYWTUSTNNS-ZDUSSCGKSA-N 0 1 324.494 3.078 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C/c1cccc(F)c1 ZINC001235474019 1099055351 /nfs/dbraw/zinc/05/53/51/1099055351.db2.gz ZIKYTDONMCDSJB-FZMUQLTDSA-N 0 1 316.420 3.338 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179306924 1099058950 /nfs/dbraw/zinc/05/89/50/1099058950.db2.gz NBKCDUAGRQCOIO-LSDHHAIUSA-N 0 1 321.465 3.207 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179306921 1099059529 /nfs/dbraw/zinc/05/95/29/1099059529.db2.gz NBKCDUAGRQCOIO-CABCVRRESA-N 0 1 321.465 3.207 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCCCCCCNCc1coc(C)n1 ZINC001179335839 1099074852 /nfs/dbraw/zinc/07/48/52/1099074852.db2.gz SXBYVHHUOSUBQU-OAHLLOKOSA-N 0 1 321.465 3.352 20 30 DGEDMN COc1ccc(CN(C)Cc2ccccc2CC#N)c(OC)c1 ZINC001235527660 1099075368 /nfs/dbraw/zinc/07/53/68/1099075368.db2.gz KRUMAPMQIJZRJP-UHFFFAOYSA-N 0 1 310.397 3.402 20 30 DGEDMN N#CCc1ccccc1CN1CCN(c2cccc(F)c2)CC1 ZINC001235528640 1099077626 /nfs/dbraw/zinc/07/76/26/1099077626.db2.gz RXYGXJAWTVFROL-UHFFFAOYSA-N 0 1 309.388 3.214 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2nc(C)cs2)[C@@H]1C ZINC001179384426 1099088660 /nfs/dbraw/zinc/08/86/60/1099088660.db2.gz MEWZURLPQWJSLA-ZNMIVQPWSA-N 0 1 321.490 3.133 20 30 DGEDMN C#CC1(NCc2cc(OC)ccc2Br)CCCC1 ZINC001179437435 1099093617 /nfs/dbraw/zinc/09/36/17/1099093617.db2.gz GXNDLVBOUWLWER-UHFFFAOYSA-N 0 1 308.219 3.493 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2nc(C)c(C)s2)[C@@H]1C ZINC001179451738 1099104083 /nfs/dbraw/zinc/10/40/83/1099104083.db2.gz FPYOKDFJNMORKO-ZFWWWQNUSA-N 0 1 321.490 3.195 20 30 DGEDMN COc1cc2nc(NCc3csc(C#N)c3)[nH]c2cc1OC ZINC001179479469 1099111687 /nfs/dbraw/zinc/11/16/87/1099111687.db2.gz MICLWOHMTXBZRK-UHFFFAOYSA-N 0 1 314.370 3.125 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCN[C@@H](c3ccc(Cl)cc3)C2)cc1 ZINC001235787771 1099178519 /nfs/dbraw/zinc/17/85/19/1099178519.db2.gz HIPRSGUHOJHBOC-GOSISDBHSA-N 0 1 311.816 3.358 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C/C=C\c1ccccc1F ZINC001235796572 1099185461 /nfs/dbraw/zinc/18/54/61/1099185461.db2.gz LITNYGQOQVWORG-QLBOMPFWSA-N 0 1 316.420 3.338 20 30 DGEDMN CCc1cc(C[N@@H+]2CCN[C@H](c3ccccc3)C2)ccc1C#N ZINC001235864414 1099226133 /nfs/dbraw/zinc/22/61/33/1099226133.db2.gz QOLPBRKAOJHEGR-FQEVSTJZSA-N 0 1 305.425 3.267 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(F)c(C#N)c3)ccc-2n1 ZINC001235890464 1099235024 /nfs/dbraw/zinc/23/50/24/1099235024.db2.gz KFWMNLTVAIDIOP-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN CC[C@H]1CN(C)c2ccccc2CN1Cc1cccc(C#N)n1 ZINC001179974190 1099262069 /nfs/dbraw/zinc/26/20/69/1099262069.db2.gz LXSUNCOGIZSTHI-SFHVURJKSA-N 0 1 306.413 3.184 20 30 DGEDMN O=C(CCC1CCCC1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001180749455 1099497847 /nfs/dbraw/zinc/49/78/47/1099497847.db2.gz YYWTWMKPACXMMO-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@](C)(CN[C@H](C)c2ncc(C)o2)C1 ZINC001180846713 1099509932 /nfs/dbraw/zinc/50/99/32/1099509932.db2.gz UENSKDBBKBBWNO-DDUZABMNSA-N 0 1 319.449 3.084 20 30 DGEDMN CC(C)(C(=O)Nc1nc[nH]c1C#N)c1ccccc1C(F)(F)F ZINC001180879869 1099520294 /nfs/dbraw/zinc/52/02/94/1099520294.db2.gz CGWBRNXDNVRYRG-UHFFFAOYSA-N 0 1 322.290 3.216 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1ccc(O)cc1C#N ZINC001188018173 1099667059 /nfs/dbraw/zinc/66/70/59/1099667059.db2.gz XLLBSZGPHOBSNQ-UHFFFAOYSA-N 0 1 310.419 3.366 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCCN(C)Cc1ccc(F)cn1 ZINC001273554046 1099690305 /nfs/dbraw/zinc/69/03/05/1099690305.db2.gz MENTUGBCCSGKHI-GOSISDBHSA-N 0 1 321.440 3.103 20 30 DGEDMN Cc1nc(C)c(CN2CCC[C@H](Oc3cccc(C#N)c3)C2)o1 ZINC001236595418 1099693321 /nfs/dbraw/zinc/69/33/21/1099693321.db2.gz RPUJVJLDAHEHEP-KRWDZBQOSA-N 0 1 311.385 3.207 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)CC(F)(F)F ZINC001277987074 1099694027 /nfs/dbraw/zinc/69/40/27/1099694027.db2.gz FDGLATQRRSBYAS-JTQLQIEISA-N 0 1 314.779 3.154 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(F)F)o1 ZINC001278002363 1099761674 /nfs/dbraw/zinc/76/16/74/1099761674.db2.gz JJJWFKCQVCKWHY-SECBINFHSA-N 0 1 306.740 3.020 20 30 DGEDMN CCOC(=O)C1(C#N)CCN(Cc2cccc3ccoc32)CC1 ZINC001236734959 1099763754 /nfs/dbraw/zinc/76/37/54/1099763754.db2.gz GXONUOULYYMSJK-UHFFFAOYSA-N 0 1 312.369 3.102 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CC2CCC1CC2 ZINC001278007077 1099773919 /nfs/dbraw/zinc/77/39/19/1099773919.db2.gz UPEXTQVVEUFGBR-CKUJCDMFSA-N 0 1 310.869 3.146 20 30 DGEDMN CC(C)C[C@@](C)(C#N)NC(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188598554 1099789773 /nfs/dbraw/zinc/78/97/73/1099789773.db2.gz LXZKRUSYTAGGAQ-AWEZNQCLSA-N 0 1 314.307 3.469 20 30 DGEDMN C=C(C)c1cccc(C(C)(C)NC(=O)N2CCN3CC[C@H]3C2)c1 ZINC001189268574 1100011287 /nfs/dbraw/zinc/01/12/87/1100011287.db2.gz KXZLDYCTNWOVTO-KRWDZBQOSA-N 0 1 313.445 3.054 20 30 DGEDMN C#CCN(Cc1ccccc1OCCO)[C@@H]1CCc2ccccc21 ZINC001237197599 1100026922 /nfs/dbraw/zinc/02/69/22/1100026922.db2.gz KMBBLLWFOUFJIG-HXUWFJFHSA-N 0 1 321.420 3.180 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C[C@H]1C=CCC1 ZINC001151806131 1100113902 /nfs/dbraw/zinc/11/39/02/1100113902.db2.gz IIFAVRJQGLAFMV-QUCCMNQESA-N 0 1 324.468 3.221 20 30 DGEDMN Cc1coc(NC(=O)c2ccc(CN3CCCCC3)o2)c1C#N ZINC001189741456 1100120648 /nfs/dbraw/zinc/12/06/48/1100120648.db2.gz JMIWZZKREPYINF-UHFFFAOYSA-N 0 1 313.357 3.291 20 30 DGEDMN CN(CCc1cccc(C(F)(F)F)n1)Cc1ccnc(C#N)c1 ZINC001237458963 1100132546 /nfs/dbraw/zinc/13/25/46/1100132546.db2.gz DKZAWMZXSUBRGS-UHFFFAOYSA-N 0 1 320.318 3.042 20 30 DGEDMN CO[C@@H]1CCC[C@@H]2CN(Cc3cc(C#N)c(F)cc3F)C[C@@H]21 ZINC001237526108 1100160351 /nfs/dbraw/zinc/16/03/51/1100160351.db2.gz MFXRCJQKNIALFG-HYSWKAIVSA-N 0 1 306.356 3.083 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2Cc2ccccn2)c(F)cc1F ZINC001237534707 1100162379 /nfs/dbraw/zinc/16/23/79/1100162379.db2.gz QTQMLVSLPTUQEA-MRXNPFEDSA-N 0 1 313.351 3.439 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)C2CC(C)(C)C2)C1 ZINC001189944269 1100166189 /nfs/dbraw/zinc/16/61/89/1100166189.db2.gz OZKOZHDFSBAFBI-IBGZPJMESA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN(C(=O)c1ccsc1)C1CCN(C/C=C/Cl)CC1 ZINC001278115128 1100172513 /nfs/dbraw/zinc/17/25/13/1100172513.db2.gz HPJLCBUZNVRJSO-XVNBXDOJSA-N 0 1 322.861 3.040 20 30 DGEDMN C#CCN(C(=O)C[C@@H]1C=CCC1)C1CCN(C/C=C\Cl)CC1 ZINC001278114888 1100173359 /nfs/dbraw/zinc/17/33/59/1100173359.db2.gz AXYBFQKNYZZSOI-MGDKSHQASA-N 0 1 320.864 3.021 20 30 DGEDMN CC/C(C)=C\C(=O)N1CC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001190025804 1100187157 /nfs/dbraw/zinc/18/71/57/1100187157.db2.gz VUHFLGZXDPGDDL-XXYUJHKVSA-N 0 1 317.458 3.009 20 30 DGEDMN CCOC(=O)[C@@H]1CCCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC001237753559 1100238430 /nfs/dbraw/zinc/23/84/30/1100238430.db2.gz LSQJMJGJAAOFMN-OAHLLOKOSA-N 0 1 320.820 3.377 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CC)C1CCN(C/C=C\Cl)CC1 ZINC001278143905 1100244378 /nfs/dbraw/zinc/24/43/78/1100244378.db2.gz BKTXIYNNPULDDD-WUKHSURWSA-N 0 1 310.869 3.101 20 30 DGEDMN COc1cccc(CN2CCC(S)CC2)c1Br ZINC001237862555 1100269796 /nfs/dbraw/zinc/26/97/96/1100269796.db2.gz DVPQHBHFFJVNNB-UHFFFAOYSA-N 0 1 316.264 3.352 20 30 DGEDMN C#CCN(C(=O)[C@@H](CC)CCC)C1CCN(C[C@@H](F)CC)CC1 ZINC001278194449 1100371797 /nfs/dbraw/zinc/37/17/97/1100371797.db2.gz NBJUFOAVYNZQOV-IRXDYDNUSA-N 0 1 324.484 3.487 20 30 DGEDMN Cc1cc(C(=O)[O-])ccc1C[N@H+]1CCc2cc(C#N)ccc2C1 ZINC001238141118 1100372402 /nfs/dbraw/zinc/37/24/02/1100372402.db2.gz OJYUVZWNANYKTO-UHFFFAOYSA-N 0 1 306.365 3.123 20 30 DGEDMN CCOCCN(CCOCC)Cc1ccc(Cl)c(C#N)c1 ZINC001238192319 1100385631 /nfs/dbraw/zinc/38/56/31/1100385631.db2.gz RNTZTRRHJPXWTF-UHFFFAOYSA-N 0 1 310.825 3.087 20 30 DGEDMN N#Cc1cc(CN2CCC(n3ccnc3)CC2)ccc1Cl ZINC001238191528 1100386728 /nfs/dbraw/zinc/38/67/28/1100386728.db2.gz NCAJLYUCQVNDBC-UHFFFAOYSA-N 0 1 300.793 3.245 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3ccccc3s2)C1 ZINC001191187642 1100428005 /nfs/dbraw/zinc/42/80/05/1100428005.db2.gz BCRLTIRGPIOWAL-OAHLLOKOSA-N 0 1 312.438 3.071 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2occc2-c2ccccc2)C1 ZINC001191226263 1100432916 /nfs/dbraw/zinc/43/29/16/1100432916.db2.gz FYJKJBKSFMVYMY-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2occc2-c2ccccc2)C1 ZINC001191226263 1100432921 /nfs/dbraw/zinc/43/29/21/1100432921.db2.gz FYJKJBKSFMVYMY-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN N#C[C@H]1CCCN(C(=O)c2cc(-c3cccc(Cl)c3)[nH]n2)C1 ZINC001191365866 1100458881 /nfs/dbraw/zinc/45/88/81/1100458881.db2.gz GDGAYBIKQBFHKI-LLVKDONJSA-N 0 1 314.776 3.106 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2csc3ccccc23)C1 ZINC001191596127 1100493727 /nfs/dbraw/zinc/49/37/27/1100493727.db2.gz VUJXXPSKQFIMDH-AWEZNQCLSA-N 0 1 312.438 3.071 20 30 DGEDMN CSc1cc(C)cc(CN2CCC3SC(=O)C=C3C2)c1 ZINC001238678488 1100499183 /nfs/dbraw/zinc/49/91/83/1100499183.db2.gz MFARSKXYHXVVSK-HNNXBMFYSA-N 0 1 305.468 3.491 20 30 DGEDMN CCC1(C(=O)N2CC[C@@H](N(C)CC#Cc3ccccc3)C2)CCC1 ZINC001191820201 1100517388 /nfs/dbraw/zinc/51/73/88/1100517388.db2.gz WYJFOHIWZFIZES-LJQANCHMSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191789115 1100521529 /nfs/dbraw/zinc/52/15/29/1100521529.db2.gz CLGOIMJMEAYNOJ-YWFITGJYSA-N 0 1 322.452 3.093 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001191789113 1100522296 /nfs/dbraw/zinc/52/22/96/1100522296.db2.gz CLGOIMJMEAYNOJ-SQWSFHKJSA-N 0 1 322.452 3.093 20 30 DGEDMN CCc1cc(CN2CCN(c3ccc(O)cc3)CC2)ccc1C#N ZINC001238765612 1100522426 /nfs/dbraw/zinc/52/24/26/1100522426.db2.gz GBXAFJUUJLYUFN-UHFFFAOYSA-N 0 1 321.424 3.148 20 30 DGEDMN CCc1cc(CN(C)CCNC(=O)OC(C)(C)C)ccc1C#N ZINC001238766508 1100522989 /nfs/dbraw/zinc/52/29/89/1100522989.db2.gz NOYQVELVSGVAKC-UHFFFAOYSA-N 0 1 317.433 3.077 20 30 DGEDMN CCc1nnc(C2CCN(Cc3ccc(C#N)c(CC)c3)CC2)o1 ZINC001238768942 1100523499 /nfs/dbraw/zinc/52/34/99/1100523499.db2.gz NUUVULKMNBLTDZ-UHFFFAOYSA-N 0 1 324.428 3.446 20 30 DGEDMN N#Cc1cc(C(F)(F)F)ccc1-c1nc(F)nc2nc[nH]c21 ZINC001238837832 1100542210 /nfs/dbraw/zinc/54/22/10/1100542210.db2.gz XJBOCPXJESYZBS-UHFFFAOYSA-N 0 1 307.210 3.049 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001191913440 1100548966 /nfs/dbraw/zinc/54/89/66/1100548966.db2.gz YPYGGDMNPANGSF-GDBMZVCRSA-N 0 1 306.475 3.383 20 30 DGEDMN COc1cc(C#N)ccc1C(=O)Nc1ccc2c(C)[nH]nc2c1 ZINC001192263473 1100595149 /nfs/dbraw/zinc/59/51/49/1100595149.db2.gz DSROTYZZNKQOBF-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN CCCCCCCCCCCCNC(=O)N[C@]1(C#N)CCNC1 ZINC001239222437 1100603279 /nfs/dbraw/zinc/60/32/79/1100603279.db2.gz TYCNZSIZQRRFJS-SFHVURJKSA-N 0 1 322.497 3.462 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)C2CCCCC2)C1 ZINC001192800806 1100663457 /nfs/dbraw/zinc/66/34/57/1100663457.db2.gz IWCGKXUTEUAKPI-SJLPKXTDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193515031 1100759437 /nfs/dbraw/zinc/75/94/37/1100759437.db2.gz IYSVDIAVJMFDGO-ZDUSSCGKSA-N 0 1 322.364 3.320 20 30 DGEDMN CCOc1ccc([C@@H](C)NC(=O)c2ccc(O)c(C#N)c2)cc1 ZINC001193519000 1100760351 /nfs/dbraw/zinc/76/03/51/1100760351.db2.gz LNHPOSXXQZAXSC-GFCCVEGCSA-N 0 1 310.353 3.154 20 30 DGEDMN COc1ccc(C#N)c(S(=O)(=O)Nc2ccc(Cl)cc2)c1 ZINC001193635729 1100777909 /nfs/dbraw/zinc/77/79/09/1100777909.db2.gz DLTDNOVATNRGSA-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN CCCCCCCCC(=O)N1CC[C@@H]([N@@H+](C)CCOCC)C1 ZINC001193643034 1100780213 /nfs/dbraw/zinc/78/02/13/1100780213.db2.gz PRCOVLBKCOMQJK-QGZVFWFLSA-N 0 1 312.498 3.306 20 30 DGEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001193600694 1100780256 /nfs/dbraw/zinc/78/02/56/1100780256.db2.gz JJAAAGWDKPDEPN-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001193600694 1100780261 /nfs/dbraw/zinc/78/02/61/1100780261.db2.gz JJAAAGWDKPDEPN-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN N#Cc1cccc(C(=O)N2CC[C@H](c3ccccc3F)C2)c1O ZINC001193644550 1100780507 /nfs/dbraw/zinc/78/05/07/1100780507.db2.gz ZPNXFTVPOHZJDE-ZDUSSCGKSA-N 0 1 310.328 3.033 20 30 DGEDMN CCCCCCCOC(=O)Nc1cnccc1N1CCOCC1 ZINC001193993664 1100857969 /nfs/dbraw/zinc/85/79/69/1100857969.db2.gz MEOYLDSLPWZXRH-UHFFFAOYSA-N 0 1 321.421 3.437 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc([C@H](C)CC)cc2)C1 ZINC001194324630 1100913095 /nfs/dbraw/zinc/91/30/95/1100913095.db2.gz FPBWGORBRQKJDH-APWZRJJASA-N 0 1 312.457 3.370 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001194358458 1100918026 /nfs/dbraw/zinc/91/80/26/1100918026.db2.gz HMGQLHIVHHICQW-MJGOQNOKSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001194337153 1100926984 /nfs/dbraw/zinc/92/69/84/1100926984.db2.gz PJNFTKPOTBFRLM-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001194531483 1100958844 /nfs/dbraw/zinc/95/88/44/1100958844.db2.gz JXSZFKURNGRHHK-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](N(C)C[C@@H](F)CC)C2)CCCC1 ZINC001194556440 1100965437 /nfs/dbraw/zinc/96/54/37/1100965437.db2.gz WBTYAZQJASHBAN-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](N(C)C/C=C/Cl)C2)CCCC1 ZINC001194567734 1100968294 /nfs/dbraw/zinc/96/82/94/1100968294.db2.gz NLKVXMAQYBXWBY-KDHUCADRSA-N 0 1 310.869 3.408 20 30 DGEDMN CN1CCN(c2cccc(-c3ccc(Cl)c(C#N)c3)n2)CC1 ZINC001240400312 1100977891 /nfs/dbraw/zinc/97/78/91/1100977891.db2.gz ZFNUMZDCIVGJRO-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@H](C)c2ccc(F)cc2)CC1 ZINC001195182008 1101084282 /nfs/dbraw/zinc/08/42/82/1101084282.db2.gz FMJNFKYABXPNEL-OAHLLOKOSA-N 0 1 304.409 3.387 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@H]2CCc3c2cccc3F)CC1 ZINC001195232800 1101095050 /nfs/dbraw/zinc/09/50/50/1101095050.db2.gz OFICSXTUDXFXLS-SFHVURJKSA-N 0 1 316.420 3.314 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC001195464693 1101134396 /nfs/dbraw/zinc/13/43/96/1101134396.db2.gz YXQPULRYDCELBV-CABCVRRESA-N 0 1 302.393 3.196 20 30 DGEDMN C#CCCCC(=O)N1CCCN(C/C=C\c2ccccc2)CC1 ZINC001195645103 1101169232 /nfs/dbraw/zinc/16/92/32/1101169232.db2.gz JPKBQWMIVRATNL-WQLSENKSSA-N 0 1 310.441 3.038 20 30 DGEDMN C#CCCCC(=O)N1CCCN(CCCCC(F)(F)F)CC1 ZINC001195675686 1101172823 /nfs/dbraw/zinc/17/28/23/1101172823.db2.gz XSCVOPCNWLARNF-UHFFFAOYSA-N 0 1 318.383 3.057 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3cc(O)ccc32)c(O)c1 ZINC001195759159 1101190500 /nfs/dbraw/zinc/19/05/00/1101190500.db2.gz XDWATDOUUIIVKH-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN CC(C)OCc1cccc(NC(=O)c2ccc(C#N)cc2O)c1 ZINC001195758712 1101190865 /nfs/dbraw/zinc/19/08/65/1101190865.db2.gz QDFHJDUMNLFNHT-UHFFFAOYSA-N 0 1 310.353 3.441 20 30 DGEDMN CCCCCCCN1CCCN(C(=O)c2ccns2)CC1 ZINC001196004394 1101234788 /nfs/dbraw/zinc/23/47/88/1101234788.db2.gz WSZWRLXUJTWFFI-UHFFFAOYSA-N 0 1 309.479 3.261 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)CCc2ccc(F)cc2)CC1 ZINC001196092893 1101249014 /nfs/dbraw/zinc/24/90/14/1101249014.db2.gz OAIPXGDICOTCFP-MRXNPFEDSA-N 0 1 318.436 3.115 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2ccc(C)c(C#N)c2)c(F)c1 ZINC001196380827 1101294158 /nfs/dbraw/zinc/29/41/58/1101294158.db2.gz ZWXKDLPWUYVFPU-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)C[C@@H](CC)CCCC)CC1 ZINC001196574060 1101347819 /nfs/dbraw/zinc/34/78/19/1101347819.db2.gz NNZFGKAIJMRFTN-SFHVURJKSA-N 0 1 324.509 3.330 20 30 DGEDMN CSc1nc(-c2ccccc2)nc(C2=CCN(C)CC2)c1C#N ZINC001241310731 1101369391 /nfs/dbraw/zinc/36/93/91/1101369391.db2.gz KMCWJJISEBNWBM-UHFFFAOYSA-N 0 1 322.437 3.456 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC001196852903 1101418089 /nfs/dbraw/zinc/41/80/89/1101418089.db2.gz FAMGPCKDIGYLJU-IAGOWNOFSA-N 0 1 300.446 3.179 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCN(Cc2cccnc2C)CC1 ZINC001196901633 1101432018 /nfs/dbraw/zinc/43/20/18/1101432018.db2.gz QHVYOBOCJBPPDH-KRWDZBQOSA-N 0 1 315.461 3.027 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3ccc(C#N)cc3OC)cnc2[nH]1 ZINC001241408183 1101437394 /nfs/dbraw/zinc/43/73/94/1101437394.db2.gz MCJFZWBRDUCCJF-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CC1(CC(=O)N2CCCN(CCOCC)CC2)CCCCC1 ZINC001197417922 1101563741 /nfs/dbraw/zinc/56/37/41/1101563741.db2.gz PFZPEMYBNBHODF-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2(CCOCC)CCCC2)CC1 ZINC001197554273 1101598206 /nfs/dbraw/zinc/59/82/06/1101598206.db2.gz HGFZSEZAYLZXTH-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN COC(=O)c1ccc(-c2ccc3c(C)[nH]nc3c2)cc1CC#N ZINC001241641275 1101603296 /nfs/dbraw/zinc/60/32/96/1101603296.db2.gz NQJKAOCPHVUOJS-UHFFFAOYSA-N 0 1 305.337 3.391 20 30 DGEDMN N#Cc1cccc(NC(=S)Nc2cc(F)c(F)cc2O)c1 ZINC001197714898 1101650208 /nfs/dbraw/zinc/65/02/08/1101650208.db2.gz OVYSBTOEODGKIL-UHFFFAOYSA-N 0 1 305.309 3.351 20 30 DGEDMN C#Cc1cccc(-c2cc(/C=C/N(C)C)c(OCOC)cn2)c1 ZINC001241919012 1101779271 /nfs/dbraw/zinc/77/92/71/1101779271.db2.gz ZAXOSUZJFVUONW-MDZDMXLPSA-N 0 1 308.381 3.245 20 30 DGEDMN C=C(C)CN[C@@H](CNC(=O)c1ccccc1)c1ccccc1OC ZINC001198499078 1101879399 /nfs/dbraw/zinc/87/93/99/1101879399.db2.gz GUDUJHMPCVTACR-SFHVURJKSA-N 0 1 324.424 3.332 20 30 DGEDMN C=CCN[C@H](CNC(=O)CCC(C)C)c1ccccc1OC ZINC001198515767 1101904564 /nfs/dbraw/zinc/90/45/64/1101904564.db2.gz QMUWPGDVDGSUQL-MRXNPFEDSA-N 0 1 304.434 3.064 20 30 DGEDMN C[C@H](C#N)c1ccc(NC(=O)c2cncn2-c2ccncc2)cc1 ZINC001198553073 1101917030 /nfs/dbraw/zinc/91/70/30/1101917030.db2.gz UUMSPYPIFAWDPH-CYBMUJFWSA-N 0 1 317.352 3.147 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H](C)CCC)c1ccccc1OC ZINC001198616535 1101929193 /nfs/dbraw/zinc/92/91/93/1101929193.db2.gz IMYATLSCPDXIOR-DOTOQJQBSA-N 0 1 318.461 3.454 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)[C@@H](C)C1CC1)c1ccccc1OC ZINC001198645991 1101939406 /nfs/dbraw/zinc/93/94/06/1101939406.db2.gz BERYLPXACLDBMK-WMLDXEAASA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@H](C)CCC)c1ccccc1OC ZINC001198617816 1101945765 /nfs/dbraw/zinc/94/57/65/1101945765.db2.gz SVEQQDKJTKUYKB-GDBMZVCRSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C(C)=C1CCC1)c1ccccc1OC ZINC001198713085 1101971944 /nfs/dbraw/zinc/97/19/44/1101971944.db2.gz LWTDMYMZWCIKAL-QGZVFWFLSA-N 0 1 314.429 3.129 20 30 DGEDMN CC(C)(C)n1cncc1[C@@H]1CCCN(Cc2cncc(C#N)c2)C1 ZINC001198790476 1101977502 /nfs/dbraw/zinc/97/75/02/1101977502.db2.gz INFBYRJAGKVIAZ-QGZVFWFLSA-N 0 1 323.444 3.284 20 30 DGEDMN C=CCN[C@H](CNC(=O)C1(C)CCCC1)c1ccccc1OC ZINC001198820112 1101997079 /nfs/dbraw/zinc/99/70/79/1101997079.db2.gz XYBKESBQNGWRRH-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@](C)(C=C)CC)c1ccccc1OC ZINC001198885701 1102023250 /nfs/dbraw/zinc/02/32/50/1102023250.db2.gz PTHVTKRUZJIVAF-QFBILLFUSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@@H](C)C1CC1)c1ccccc1OC ZINC001198992932 1102046875 /nfs/dbraw/zinc/04/68/75/1102046875.db2.gz HVXWFNYIUNFQDD-PBHICJAKSA-N 0 1 316.445 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@H](C)C1CC1)c1ccccc1OC ZINC001198992934 1102047408 /nfs/dbraw/zinc/04/74/08/1102047408.db2.gz HVXWFNYIUNFQDD-WMLDXEAASA-N 0 1 316.445 3.064 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C/c1ccccc1 ZINC001110533328 1102141176 /nfs/dbraw/zinc/14/11/76/1102141176.db2.gz QLDZUACGULPEKO-CUTNJZCYSA-N 0 1 322.452 3.081 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C(C)(C)c1ccccc1C ZINC001273783694 1102154551 /nfs/dbraw/zinc/15/45/51/1102154551.db2.gz NCPLZCQECYGQTJ-UHFFFAOYSA-N 0 1 322.880 3.463 20 30 DGEDMN CCOC(=O)c1nc(-c2ccc(O)c(C#N)c2)nc2ccccc21 ZINC001242562600 1102212087 /nfs/dbraw/zinc/21/20/87/1102212087.db2.gz FOFXOSWGGDYZAZ-UHFFFAOYSA-N 0 1 319.320 3.051 20 30 DGEDMN N#Cc1nc(-c2cc(C(F)(F)F)ccc2N)cc2[nH]cnc21 ZINC001243004289 1102467914 /nfs/dbraw/zinc/46/79/14/1102467914.db2.gz MOKVZDBRMWZPMA-UHFFFAOYSA-N 0 1 303.247 3.098 20 30 DGEDMN C=CC[C@H](CO)CNCc1c(F)cc(Br)cc1F ZINC001201762080 1102722610 /nfs/dbraw/zinc/72/26/10/1102722610.db2.gz YFGNCTNHKBUZEY-VIFPVBQESA-N 0 1 320.177 3.002 20 30 DGEDMN C#Cc1nccnc1NS(=O)(=O)CCCCCCCCCC ZINC001201833840 1102741514 /nfs/dbraw/zinc/74/15/14/1102741514.db2.gz FFXJFZVUCRGDBK-UHFFFAOYSA-N 0 1 323.462 3.340 20 30 DGEDMN N#CC1(c2ccccc2-c2cc(F)cc(F)c2[O-])CC[NH2+]CC1 ZINC001243503350 1102758978 /nfs/dbraw/zinc/75/89/78/1102758978.db2.gz ABBVAGULGQZCFI-UHFFFAOYSA-N 0 1 314.335 3.482 20 30 DGEDMN CCOC(=O)c1cc2[nH]c(-c3ccc(OCC#N)cc3)ccc-2n1 ZINC001243588709 1102830981 /nfs/dbraw/zinc/83/09/81/1102830981.db2.gz KCLRWVPBWJMZTQ-UHFFFAOYSA-N 0 1 321.336 3.309 20 30 DGEDMN CC(C)=CC(=O)N[C@@H]1C[C@H](NCc2ccccc2C#N)C12CCC2 ZINC001202382191 1102969884 /nfs/dbraw/zinc/96/98/84/1102969884.db2.gz FAAQFNKWETZQDS-ZWKOTPCHSA-N 0 1 323.440 3.041 20 30 DGEDMN Cc1nc(OCC(C)C)ccc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001243787911 1102978525 /nfs/dbraw/zinc/97/85/25/1102978525.db2.gz QLNXFXJWKGTVOA-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN N#Cc1csc(CNCc2c(F)cccc2-n2cccn2)c1 ZINC001202427072 1102986250 /nfs/dbraw/zinc/98/62/50/1102986250.db2.gz OMYSFJAFOIVKJU-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)OC1CCCCCC1)C2 ZINC001111004337 1103012815 /nfs/dbraw/zinc/01/28/15/1103012815.db2.gz NTKTUSJUUBKZAP-AHCXZYCDSA-N 0 1 320.477 3.022 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)Cc1ccccc1)C2 ZINC001111079729 1103038122 /nfs/dbraw/zinc/03/81/22/1103038122.db2.gz UNBBGGUNOPDFCH-MANSERQUSA-N 0 1 312.457 3.163 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CCCCCC)C2 ZINC001111172453 1103064743 /nfs/dbraw/zinc/06/47/43/1103064743.db2.gz CTNZEGSWBLZAJE-TVFCKZIOSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1ccccc1 ZINC001488647000 1103082435 /nfs/dbraw/zinc/08/24/35/1103082435.db2.gz HRXIIEXJINFTHC-QGZVFWFLSA-N 0 1 300.446 3.322 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC(C)(C)c1ccccc1 ZINC001488700321 1103132422 /nfs/dbraw/zinc/13/24/22/1103132422.db2.gz VRQOFQKIHZIXSF-GOSISDBHSA-N 0 1 314.473 3.463 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)CCCCCC)C2 ZINC001111267861 1103144252 /nfs/dbraw/zinc/14/42/52/1103144252.db2.gz LOPBCORMINOKPC-MLHJIOFPSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C)c(Cl)c1 ZINC001495052131 1103156474 /nfs/dbraw/zinc/15/64/74/1103156474.db2.gz XXQFZYIZFPWMCZ-CYBMUJFWSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@H](C)c1ccccc1 ZINC001495103510 1103239072 /nfs/dbraw/zinc/23/90/72/1103239072.db2.gz IYKXPHXLCBUWNW-XJKSGUPXSA-N 0 1 308.853 3.417 20 30 DGEDMN CC[C@H](C)C(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)[C@@H]1CC ZINC001489103214 1103270136 /nfs/dbraw/zinc/27/01/36/1103270136.db2.gz JMBACMZORTUXJD-JCGIZDLHSA-N 0 1 313.445 3.073 20 30 DGEDMN CCCCCCN1CCN(C(=O)Nc2cccc(C#N)c2)CC1 ZINC001202782089 1103314155 /nfs/dbraw/zinc/31/41/55/1103314155.db2.gz AWOPEMQQZNWEHJ-UHFFFAOYSA-N 0 1 314.433 3.288 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1CCC2(CC2)CC1 ZINC001326457633 1103324315 /nfs/dbraw/zinc/32/43/15/1103324315.db2.gz CYKWLYZADWIVPC-UHFFFAOYSA-N 0 1 324.468 3.338 20 30 DGEDMN C=C(Cl)CN[C@H](C)c1ccc(CNC(=O)c2ccc[nH]2)cc1 ZINC001326495646 1103341614 /nfs/dbraw/zinc/34/16/14/1103341614.db2.gz WVVDVTKMFJHEAE-CYBMUJFWSA-N 0 1 317.820 3.348 20 30 DGEDMN C[C@H](O)[C@@H](NC1CC(C#N)(c2ccccc2)C1)c1ccccc1F ZINC001326519581 1103352280 /nfs/dbraw/zinc/35/22/80/1103352280.db2.gz SGSJMLUVKHRYBD-HRUBPZQXSA-N 0 1 324.399 3.461 20 30 DGEDMN C[C@H](NC(=O)C(F)(F)F)c1ccc(-c2ccncc2C#N)cc1 ZINC001244338774 1103371418 /nfs/dbraw/zinc/37/14/18/1103371418.db2.gz YFNOEJWBUFICFW-JTQLQIEISA-N 0 1 319.286 3.360 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H](C)C3CCC3)cccc2C1 ZINC001326673884 1103403093 /nfs/dbraw/zinc/40/30/93/1103403093.db2.gz FCJNJULARYIESW-HNNXBMFYSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1CNCc1oc(C)nc1C ZINC001326712985 1103415115 /nfs/dbraw/zinc/41/51/15/1103415115.db2.gz GKJDOCXXYZNOBI-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(Cl)cc3)C[C@H]21 ZINC001114754404 1103429735 /nfs/dbraw/zinc/42/97/35/1103429735.db2.gz BEAFQHLBNRVSLA-VQFNDLOPSA-N 0 1 318.848 3.243 20 30 DGEDMN O=C(CCC1CCC1)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001326802603 1103448363 /nfs/dbraw/zinc/44/83/63/1103448363.db2.gz VZHLKDSMXOSHBC-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN CCC[C@@H](C)C(=O)NCCCN(C)Cc1ccc(C#N)cc1F ZINC001490163827 1103497956 /nfs/dbraw/zinc/49/79/56/1103497956.db2.gz DAJOJHUTGFFYBP-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1ocnc1C ZINC001490295836 1103544665 /nfs/dbraw/zinc/54/46/65/1103544665.db2.gz NZYINKJNXZGSOP-GDBMZVCRSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001327148535 1103554450 /nfs/dbraw/zinc/55/44/50/1103554450.db2.gz SUDAZWXYLHTNSH-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCc2ccccc2F)C1 ZINC001490438439 1103602466 /nfs/dbraw/zinc/60/24/66/1103602466.db2.gz MTQCYIBPKNGXDE-QGZVFWFLSA-N 0 1 318.436 3.305 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN([C@H](C)c2ccccc2F)C1 ZINC001490431572 1103624995 /nfs/dbraw/zinc/62/49/95/1103624995.db2.gz VWCSVHVPIHPVEP-CABCVRRESA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CC)c2ccc(F)cc2)C1 ZINC001490458319 1103634008 /nfs/dbraw/zinc/63/40/08/1103634008.db2.gz JPPZIXASUPYCPN-SJORKVTESA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001490537210 1103639398 /nfs/dbraw/zinc/63/93/98/1103639398.db2.gz JDZTVRROPGPWFG-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001490558184 1103655965 /nfs/dbraw/zinc/65/59/65/1103655965.db2.gz BPHWYXFKGMOXCK-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1CC)C2 ZINC001095931785 1103675657 /nfs/dbraw/zinc/67/56/57/1103675657.db2.gz OVOPJYXUWQTAFV-XZEJUNMKSA-N 0 1 324.468 3.260 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CC(C)(C)C2CC2)CC1 ZINC001490614351 1103680589 /nfs/dbraw/zinc/68/05/89/1103680589.db2.gz GGJWSOSXLIJQCA-UHFFFAOYSA-N 0 1 304.478 3.149 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1nc(C#N)c(Cl)s1 ZINC001327663892 1103700657 /nfs/dbraw/zinc/70/06/57/1103700657.db2.gz YNMWJZVPLQINTH-UHFFFAOYSA-N 0 1 309.826 3.325 20 30 DGEDMN CCc1ccc([C@H](C#N)NC(=O)c2ccc3cncn3c2C)cc1 ZINC001327687354 1103707182 /nfs/dbraw/zinc/70/71/82/1103707182.db2.gz ZOQDYQXHBUHFHJ-SFHVURJKSA-N 0 1 318.380 3.200 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)cccc1Cl)C2 ZINC001095967387 1103715783 /nfs/dbraw/zinc/71/57/83/1103715783.db2.gz SIQQSBCSTGPJOJ-SNPRPXQTSA-N 0 1 304.821 3.170 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)CCc2ccoc2)C1 ZINC001490756567 1103726587 /nfs/dbraw/zinc/72/65/87/1103726587.db2.gz TXUFYVPKPGNFIA-MRXNPFEDSA-N 0 1 324.852 3.135 20 30 DGEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(F)F)c(F)c1)C2 ZINC001096004514 1103726779 /nfs/dbraw/zinc/72/67/79/1103726779.db2.gz CEGJAYVHHSCHEG-UGFHNGPFSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(F)F)c(F)c1)C2 ZINC001096004514 1103726791 /nfs/dbraw/zinc/72/67/91/1103726791.db2.gz CEGJAYVHHSCHEG-UGFHNGPFSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCOC[C@H](O)CN[C@@H](C)c1c(Cl)cccc1Cl ZINC001252488239 1103808745 /nfs/dbraw/zinc/80/87/45/1103808745.db2.gz IVHZLBVUHGZSRZ-WDEREUQCSA-N 0 1 304.217 3.208 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1sccc1C(C)C)C2 ZINC001096602046 1103880881 /nfs/dbraw/zinc/88/08/81/1103880881.db2.gz VUDHEPLJVOBMCN-KBMXLJTQSA-N 0 1 316.470 3.230 20 30 DGEDMN C=CCC[C@@H](O)CNc1cc(Br)cc2[nH]ncc21 ZINC001252609468 1103883626 /nfs/dbraw/zinc/88/36/26/1103883626.db2.gz VUKCXPSEFMUXHO-SNVBAGLBSA-N 0 1 310.195 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCCc3ccccc31)C2 ZINC001096621927 1103890369 /nfs/dbraw/zinc/89/03/69/1103890369.db2.gz HAJBQFPAOYLOLU-LMCOJAPRSA-N 0 1 324.468 3.404 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCC(C)=C(C)C1)C2 ZINC001096636491 1103891098 /nfs/dbraw/zinc/89/10/98/1103891098.db2.gz VYRHXKSVVLGTRT-DFEOGRTESA-N 0 1 302.462 3.421 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c(C)oc3ccccc31)C2 ZINC001096685885 1103902967 /nfs/dbraw/zinc/90/29/67/1103902967.db2.gz CQIROFXOJAHVLU-JLSDUUJJSA-N 0 1 324.424 3.191 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC1CCCCCC1)C2 ZINC001110824677 1103911210 /nfs/dbraw/zinc/91/12/10/1103911210.db2.gz TYNLIGNCVOYNBD-QRVBRYPASA-N 0 1 316.489 3.482 20 30 DGEDMN CC(C)n1cc(CN2CCC[C@@H](Oc3cccc(C#N)c3)C2)cn1 ZINC001137080734 1103960941 /nfs/dbraw/zinc/96/09/41/1103960941.db2.gz QRKMPWPHPGIOBQ-LJQANCHMSA-N 0 1 324.428 3.379 20 30 DGEDMN N#Cc1nccc2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc21 ZINC001245424422 1104045264 /nfs/dbraw/zinc/04/52/64/1104045264.db2.gz DFIDPIZULCEDKV-GOSISDBHSA-N 0 1 319.408 3.375 20 30 DGEDMN N#CCc1cc(F)cc(C2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001245425876 1104047886 /nfs/dbraw/zinc/04/78/86/1104047886.db2.gz GOQDMASQQASIML-GOSISDBHSA-N 0 1 300.377 3.160 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1occc1-c1ccccc1 ZINC001328750400 1104052713 /nfs/dbraw/zinc/05/27/13/1104052713.db2.gz SDBHPPIRAVDVOC-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C12CCC2 ZINC001202599447 1104072630 /nfs/dbraw/zinc/07/26/30/1104072630.db2.gz NGHHLLUNYMJFER-ROUUACIJSA-N 0 1 323.440 3.041 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC1CCC(C)(C)CC1 ZINC001328795238 1104074149 /nfs/dbraw/zinc/07/41/49/1104074149.db2.gz AGNUVOWGJXRWNY-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(C)CCC(C)=C(C)C1 ZINC001328797049 1104076760 /nfs/dbraw/zinc/07/67/60/1104076760.db2.gz LEUIXTLEPFAREM-HKUYNNGSSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1cnc(Cl)s1 ZINC001329051577 1104137870 /nfs/dbraw/zinc/13/78/70/1104137870.db2.gz YIHIAQVYJGUHLR-GHMZBOCLSA-N 0 1 315.870 3.136 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1C2CC3CC(C2)CC1C3 ZINC001329056539 1104139020 /nfs/dbraw/zinc/13/90/20/1104139020.db2.gz NLUZJUGKKAJCKD-ZPMDGPBUSA-N 0 1 324.896 3.294 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001329091188 1104150102 /nfs/dbraw/zinc/15/01/02/1104150102.db2.gz SARLSWPPQUJWGF-CHWSQXEVSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2nc(C)sc2C)C1 ZINC001329124036 1104161794 /nfs/dbraw/zinc/16/17/94/1104161794.db2.gz BXHFDVPAEDCEHT-NEPJUHHUSA-N 0 1 321.490 3.099 20 30 DGEDMN CCCC(=O)N[C@@H](C)C1CCN(CC#Cc2ccccc2)CC1 ZINC001279110614 1104287093 /nfs/dbraw/zinc/28/70/93/1104287093.db2.gz BDHWGQGLXJMGPI-KRWDZBQOSA-N 0 1 312.457 3.055 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)N(C)Cc1ccnc(Cl)c1 ZINC001329518048 1104293921 /nfs/dbraw/zinc/29/39/21/1104293921.db2.gz VXJGAHNKPDSRBS-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN CCn1cc(-c2ccc3cncn3c2)c2sc(C#N)cc2c1=O ZINC001245899866 1104296369 /nfs/dbraw/zinc/29/63/69/1104296369.db2.gz NCNKSMSDIWXFAV-UHFFFAOYSA-N 0 1 320.377 3.269 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]1(C)CCN(Cc2ccsc2)C1 ZINC001278420195 1104299464 /nfs/dbraw/zinc/29/94/64/1104299464.db2.gz KQGCFYWXKLHKFC-RHSMWYFYSA-N 0 1 306.475 3.431 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2ccsc2)C1 ZINC001278420198 1104299615 /nfs/dbraw/zinc/29/96/15/1104299615.db2.gz KQGCFYWXKLHKFC-YOEHRIQHSA-N 0 1 306.475 3.431 20 30 DGEDMN C#CCCc1cc(=O)n(-c2cc(F)ccc2Br)[nH]1 ZINC001245951650 1104338735 /nfs/dbraw/zinc/33/87/35/1104338735.db2.gz GCWQOHDECGIVFU-UHFFFAOYSA-N 0 1 309.138 3.045 20 30 DGEDMN CCCC1(C(=O)NC/C=C/CNCC#Cc2ccccc2)CCC1 ZINC001274286661 1104343833 /nfs/dbraw/zinc/34/38/33/1104343833.db2.gz KFJJQDMCBSDJDD-VOTSOKGWSA-N 0 1 324.468 3.271 20 30 DGEDMN C=CCCC(=O)NC1(CNCc2cscn2)CCCCC1 ZINC001329802925 1104390854 /nfs/dbraw/zinc/39/08/54/1104390854.db2.gz NELINGMCCREDGN-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2c(C)cccc2F)C1=O ZINC001274583008 1104412831 /nfs/dbraw/zinc/41/28/31/1104412831.db2.gz GMWLHONFVYJVJU-LJQANCHMSA-N 0 1 316.420 3.277 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2ccccc2F)[C@H](C)C1 ZINC001274596992 1104415155 /nfs/dbraw/zinc/41/51/55/1104415155.db2.gz MFVMKYUQFARTTO-GDBMZVCRSA-N 0 1 304.409 3.261 20 30 DGEDMN CCCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001274599029 1104416892 /nfs/dbraw/zinc/41/68/92/1104416892.db2.gz XLZZWWWGZKXWOB-HKUYNNGSSA-N 0 1 312.457 3.197 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@@H](C)C2)CCC1 ZINC001274643673 1104433673 /nfs/dbraw/zinc/43/36/73/1104433673.db2.gz KYKCHQOMHYTMSM-XYDVGMDTSA-N 0 1 310.869 3.454 20 30 DGEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001278438309 1104457004 /nfs/dbraw/zinc/45/70/04/1104457004.db2.gz QIIPWGDIFAQZNN-YWZLYKJASA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001278438309 1104457007 /nfs/dbraw/zinc/45/70/07/1104457007.db2.gz QIIPWGDIFAQZNN-YWZLYKJASA-N 0 1 324.424 3.140 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](CCC)CC2CCCC2)C1 ZINC001330054048 1104466017 /nfs/dbraw/zinc/46/60/17/1104466017.db2.gz NQZLWSYUSHHLEZ-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C(C)(C)c2cccc(Cl)c2)C1 ZINC001330054359 1104466153 /nfs/dbraw/zinc/46/61/53/1104466153.db2.gz OGZBPCBVSWLZFT-CYBMUJFWSA-N 0 1 320.864 3.240 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CC(CC)CC)C2)C1 ZINC001330160128 1104492067 /nfs/dbraw/zinc/49/20/67/1104492067.db2.gz LKCXYPBTQJUJSU-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1c2ccccc2CCN1CC ZINC001274848406 1104518276 /nfs/dbraw/zinc/51/82/76/1104518276.db2.gz VBBHLMXCJSJTEJ-YJBOKZPZSA-N 0 1 300.446 3.324 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)CC(C)(C)C ZINC001274848534 1104518805 /nfs/dbraw/zinc/51/88/05/1104518805.db2.gz WECHORQWFUIEHL-GOSISDBHSA-N 0 1 312.457 3.162 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2sccc2c1 ZINC001274974100 1104564488 /nfs/dbraw/zinc/56/44/88/1104564488.db2.gz NAPCBGDFKDNHBR-LLVKDONJSA-N 0 1 308.834 3.362 20 30 DGEDMN C=C[C@H](COC)NCc1cnc(CCc2ccccc2)s1 ZINC001330535765 1104571407 /nfs/dbraw/zinc/57/14/07/1104571407.db2.gz IEEPDXSABCFBRD-OAHLLOKOSA-N 0 1 302.443 3.219 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2ocnc2C)CCCCC1 ZINC001275029697 1104582505 /nfs/dbraw/zinc/58/25/05/1104582505.db2.gz FPXRTPYPUJFAGB-CQSZACIVSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cscc1C ZINC001275062862 1104600929 /nfs/dbraw/zinc/60/09/29/1104600929.db2.gz IWUKLWQRPZIWJS-QZTJIDSGSA-N 0 1 324.449 3.065 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H]2CN(C)C/C=C/Cl)CC1 ZINC001275142317 1104646492 /nfs/dbraw/zinc/64/64/92/1104646492.db2.gz ANBUYLJRQLZCOA-IGKXFLDPSA-N 0 1 310.869 3.408 20 30 DGEDMN C[C@@H](CN[C@@H](C)c1ccc(F)cc1F)NC(=O)C#CC(C)(C)C ZINC001275150054 1104650704 /nfs/dbraw/zinc/65/07/04/1104650704.db2.gz VSAIUWMQQMXSFL-STQMWFEESA-N 0 1 322.399 3.170 20 30 DGEDMN C=CCCC[C@@H](NC(=O)NC[C@H]1CCN1C)c1ccccc1 ZINC001246654952 1104651217 /nfs/dbraw/zinc/65/12/17/1104651217.db2.gz SWHNDIWXUKSNJN-IAGOWNOFSA-N 0 1 301.434 3.087 20 30 DGEDMN C[C@@H](c1ccccc1)N(Cc1ccc(C#N)cc1)[C@@H]1CNC(=O)C1 ZINC001246889120 1104724718 /nfs/dbraw/zinc/72/47/18/1104724718.db2.gz DDIWRHFCKIGYMP-KXBFYZLASA-N 0 1 319.408 3.010 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C)sc1C ZINC001275441548 1104761262 /nfs/dbraw/zinc/76/12/62/1104761262.db2.gz DUZOVRQQEZBHMT-MNOVXSKESA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCC(NCc2coc(C)n2)CC1 ZINC001275624692 1104837823 /nfs/dbraw/zinc/83/78/23/1104837823.db2.gz USIVWDNEZFSFLE-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN CN1CC(c2nc(-c3ccc(-c4ccc(C#N)cc4)cc3)no2)C1 ZINC001247374545 1104885821 /nfs/dbraw/zinc/88/58/21/1104885821.db2.gz USLGROKOCHMGII-UHFFFAOYSA-N 0 1 316.364 3.304 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCC[C@@H]1CNCc1nocc1C ZINC001275768723 1104900879 /nfs/dbraw/zinc/90/08/79/1104900879.db2.gz QBRLCFHDYNUOHR-HZPDHXFCSA-N 0 1 319.449 3.104 20 30 DGEDMN N#Cc1ccc(CN2CC3(CN(CCCC(F)(F)F)C3)C2)cc1 ZINC001275828591 1104923703 /nfs/dbraw/zinc/92/37/03/1104923703.db2.gz BDCMZQZIEBGKKJ-UHFFFAOYSA-N 0 1 323.362 3.018 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001280754777 1104931826 /nfs/dbraw/zinc/93/18/26/1104931826.db2.gz AFIIKPXXEYUJBT-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccc(C)nc1C ZINC001275898023 1104947279 /nfs/dbraw/zinc/94/72/79/1104947279.db2.gz YULZIXCUKVGSSO-HNNXBMFYSA-N 0 1 317.477 3.237 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(Cl)cc1Cl ZINC001275977818 1104977282 /nfs/dbraw/zinc/97/72/82/1104977282.db2.gz VGZJYTSTBCSLIF-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1c(Cl)cccc1Cl ZINC001275985822 1104977848 /nfs/dbraw/zinc/97/78/48/1104977848.db2.gz GCRNZGKZLLVDSP-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C=CCCCCCCCN1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001276042934 1105004466 /nfs/dbraw/zinc/00/44/66/1105004466.db2.gz MESCAVZHSDUJOD-UHFFFAOYSA-N 0 1 302.466 3.196 20 30 DGEDMN C=C(C)CCC(=O)NC1(CNCc2cnc(C)o2)CCCCC1 ZINC001276208694 1105048161 /nfs/dbraw/zinc/04/81/61/1105048161.db2.gz QTFFKVATYAFSJG-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C)c(F)cc1F)C2 ZINC001095601496 1105087507 /nfs/dbraw/zinc/08/75/07/1105087507.db2.gz UXRWLJARRHHFDF-DQYPLSBCSA-N 0 1 320.383 3.184 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C[C@H](F)CC ZINC001110900813 1105107208 /nfs/dbraw/zinc/10/72/08/1105107208.db2.gz RWHZKOSQENNASA-VQHPVUNQSA-N 0 1 308.441 3.040 20 30 DGEDMN Clc1ccc([N-][NH+]=Cc2ccc(N3CCCC3)cc2)nn1 ZINC001248640814 1105140001 /nfs/dbraw/zinc/14/00/01/1105140001.db2.gz SYBMNPARGXTTGS-UHFFFAOYSA-N 0 1 301.781 3.176 20 30 DGEDMN C[C@@]1(C#N)CCN(Cc2n[nH]c3cc(C(F)(F)F)ccc23)C1 ZINC001248848259 1105178774 /nfs/dbraw/zinc/17/87/74/1105178774.db2.gz VGGMLROSZHBPBI-AWEZNQCLSA-N 0 1 308.307 3.317 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc([C@@H]3CCCN3CC3CC3)n2)c1 ZINC001249073245 1105220733 /nfs/dbraw/zinc/22/07/33/1105220733.db2.gz SOIVRBXIMWXXKP-HNNXBMFYSA-N 0 1 312.348 3.294 20 30 DGEDMN CN[C@@H](CC1CCCCC1)c1nc(-c2ccc(C#N)cn2)no1 ZINC001249203847 1105247108 /nfs/dbraw/zinc/24/71/08/1105247108.db2.gz CERYHVFGPJKQHI-HNNXBMFYSA-N 0 1 311.389 3.234 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(OC(F)F)cc3)no2)C1 ZINC001249231474 1105252321 /nfs/dbraw/zinc/25/23/21/1105252321.db2.gz GDDIMJLNBBVDAM-HNNXBMFYSA-N 0 1 307.300 3.103 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@@H](Oc4ccncc4)C3)[nH]c2c1 ZINC001249430378 1105286745 /nfs/dbraw/zinc/28/67/45/1105286745.db2.gz PFNGHMQWWWSOGY-GOSISDBHSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1ccc2cc(CN3CC[C@H](Oc4ccccn4)C3)[nH]c2c1 ZINC001249430305 1105286888 /nfs/dbraw/zinc/28/68/88/1105286888.db2.gz LXRUNULEZAJRGB-KRWDZBQOSA-N 0 1 318.380 3.088 20 30 DGEDMN N#Cc1oc2ccccc2c1N=C1CCC(N2CCOCC2)CC1 ZINC001249558188 1105314507 /nfs/dbraw/zinc/31/45/07/1105314507.db2.gz TZBNMKQIRDUYJA-OAHLLOKOSA-N 0 1 323.396 3.485 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)c1ccc(F)cc1)C2 ZINC001111449545 1105395957 /nfs/dbraw/zinc/39/59/57/1105395957.db2.gz AZELFWZVEAYPTO-PILAGYSTSA-N 0 1 316.420 3.227 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(C)(C)C)oc1C)C2 ZINC001097934214 1105466683 /nfs/dbraw/zinc/46/66/83/1105466683.db2.gz OUGPRSIXVJAQCC-BMFZPTHFSA-N 0 1 316.445 3.407 20 30 DGEDMN CCOC(=O)c1c(C)oc(Nc2ccc3cncn3c2)c1C#N ZINC001250329901 1105500691 /nfs/dbraw/zinc/50/06/91/1105500691.db2.gz PMPKVKWNGOWFEF-UHFFFAOYSA-N 0 1 310.313 3.028 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1C)C2 ZINC001098015274 1105501857 /nfs/dbraw/zinc/50/18/57/1105501857.db2.gz LMZPYJNKXCHOCN-QTUASDBPSA-N 0 1 310.441 3.006 20 30 DGEDMN N#Cc1ccc(-c2nc3cc(F)c(-n4ccnc4)cc3[nH]2)cc1 ZINC001250467952 1105519331 /nfs/dbraw/zinc/51/93/31/1105519331.db2.gz KXBYWFMJZXUTDE-UHFFFAOYSA-N 0 1 303.300 3.426 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cccc3ccccc32)cn1 ZINC001250594583 1105543681 /nfs/dbraw/zinc/54/36/81/1105543681.db2.gz CUEPZEXIWYUJJS-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cccc3ccccc32)cnc1C#N ZINC001250594883 1105544881 /nfs/dbraw/zinc/54/48/81/1105544881.db2.gz HNBOMJRILQFZPU-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(c3ccccc3)CC1(C)C)C2 ZINC001098089873 1105547786 /nfs/dbraw/zinc/54/77/86/1105547786.db2.gz DPBLEFILMPJUFX-DCXXXQMHSA-N 0 1 324.468 3.262 20 30 DGEDMN Cc1ncc(C#N)cc1NS(=O)(=O)c1ccc2ccccc2c1 ZINC001250691940 1105561397 /nfs/dbraw/zinc/56/13/97/1105561397.db2.gz QGNDABWNFLTUSH-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c(C)c1Cl)C2 ZINC001098214341 1105561459 /nfs/dbraw/zinc/56/14/59/1105561459.db2.gz DHFLFXKSPULDDL-BMFZPTHFSA-N 0 1 318.848 3.478 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3ccccc3n1CC)C2 ZINC001098458313 1105576743 /nfs/dbraw/zinc/57/67/43/1105576743.db2.gz GARHWMNHSXASBL-NUJGCVRESA-N 0 1 323.440 3.182 20 30 DGEDMN Cc1ccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)cc1C#N ZINC001250804725 1105586108 /nfs/dbraw/zinc/58/61/08/1105586108.db2.gz PIMLIONLIFNDRY-UHFFFAOYSA-N 0 1 318.380 3.247 20 30 DGEDMN N#Cc1ccc(-c2nc3ccc(N4CCOCC4)cc3[nH]2)c(F)c1 ZINC001250845730 1105593148 /nfs/dbraw/zinc/59/31/48/1105593148.db2.gz IZAXBPKJYSASJI-UHFFFAOYSA-N 0 1 322.343 3.077 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cc[nH]c2CC)CC1 ZINC001278607818 1105594933 /nfs/dbraw/zinc/59/49/33/1105594933.db2.gz QNMSUPGILJUEHH-UHFFFAOYSA-N 0 1 323.868 3.162 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CCC(C)(F)F)CC1 ZINC001278616041 1105607104 /nfs/dbraw/zinc/60/71/04/1105607104.db2.gz PZWCAFMSJPMXIY-UHFFFAOYSA-N 0 1 322.827 3.393 20 30 DGEDMN N#CCc1cccc(NS(=O)(=O)c2cccc3cccnc32)c1 ZINC001251006012 1105618380 /nfs/dbraw/zinc/61/83/80/1105618380.db2.gz TWJNCEUMJBSDFV-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN N#Cc1ccc(-c2nc3cc4c(cc3[nH]2)OCC(=O)N4)cc1Cl ZINC001251069618 1105626308 /nfs/dbraw/zinc/62/63/08/1105626308.db2.gz UNFKZIKMOQMPEI-UHFFFAOYSA-N 0 1 324.727 3.086 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC(C)(C)CN1C/C=C\Cl ZINC001099042740 1105633951 /nfs/dbraw/zinc/63/39/51/1105633951.db2.gz AVKIMHQRFXCMOB-OCOPJHETSA-N 0 1 310.869 3.149 20 30 DGEDMN COCCn1c2cccc(F)c2nc1-c1cc(C#N)ccc1O ZINC001251180408 1105635837 /nfs/dbraw/zinc/63/58/37/1105635837.db2.gz UKICLRUZVIUFDV-UHFFFAOYSA-N 0 1 311.316 3.066 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099092274 1105641101 /nfs/dbraw/zinc/64/11/01/1105641101.db2.gz ATWRCGVCMAAJAX-MRXNPFEDSA-N 0 1 304.478 3.219 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099093212 1105641155 /nfs/dbraw/zinc/64/11/55/1105641155.db2.gz NMOOFAPXUSMWEJ-HNNXBMFYSA-N 0 1 324.896 3.395 20 30 DGEDMN COC(=O)c1cc2[nH]c(-c3ccc(C#N)cc3C)nc2cc1OC ZINC001251262131 1105646308 /nfs/dbraw/zinc/64/63/08/1105646308.db2.gz DVBJDVRLHIIZLL-UHFFFAOYSA-N 0 1 321.336 3.205 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)C(CC)CC ZINC001099131033 1105646875 /nfs/dbraw/zinc/64/68/75/1105646875.db2.gz VQIWCRFRXZFTTJ-NVXWUHKLSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(CC)c(C)s2)C1 ZINC001099154138 1105650770 /nfs/dbraw/zinc/65/07/70/1105650770.db2.gz SUSLZSHZNOSWTB-YJBOKZPZSA-N 0 1 318.486 3.389 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCCC1CC1 ZINC001099189208 1105656045 /nfs/dbraw/zinc/65/60/45/1105656045.db2.gz OVSOUKGMJGNAOA-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)c1ccsc1 ZINC001099234612 1105660632 /nfs/dbraw/zinc/66/06/32/1105660632.db2.gz KHMCXXAFGNPSRQ-HOCLYGCPSA-N 0 1 318.486 3.092 20 30 DGEDMN CC#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)C1CC1 ZINC001099359507 1105673329 /nfs/dbraw/zinc/67/33/29/1105673329.db2.gz PZTHKTKYOROLKK-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)C[C@@H](C)C1CC1 ZINC001099359507 1105673332 /nfs/dbraw/zinc/67/33/32/1105673332.db2.gz PZTHKTKYOROLKK-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN CCCC(=O)NC[C@@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001278641674 1105728259 /nfs/dbraw/zinc/72/82/59/1105728259.db2.gz MZECQVGGDLPFFK-HXUWFJFHSA-N 0 1 312.457 3.057 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1nnc2c(C)cccn12 ZINC001252120240 1105743579 /nfs/dbraw/zinc/74/35/79/1105743579.db2.gz QUVRBVZCUBGVFL-INIZCTEOSA-N 0 1 318.465 3.239 20 30 DGEDMN CCCCCCCC[C@@H](O)CNc1cc(C(=O)OCC)n[nH]1 ZINC001252121239 1105744156 /nfs/dbraw/zinc/74/41/56/1105744156.db2.gz FDMJCIYVEKPGLR-CYBMUJFWSA-N 0 1 311.426 3.110 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491116236 1105756601 /nfs/dbraw/zinc/75/66/01/1105756601.db2.gz JNMRKFTUQJKIHD-IBGZPJMESA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001491173331 1105766717 /nfs/dbraw/zinc/76/67/17/1105766717.db2.gz IHSRCMXQLAXNHV-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCCc2ccccc21 ZINC001491229955 1105778719 /nfs/dbraw/zinc/77/87/19/1105778719.db2.gz LQDYDTQLLLJHBA-OALUTQOASA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCOC[C@H](O)CNC1(c2ccc(Cl)cc2Cl)CC1 ZINC001252488086 1105783745 /nfs/dbraw/zinc/78/37/45/1105783745.db2.gz FOUGHUKLYMRODN-GFCCVEGCSA-N 0 1 316.228 3.136 20 30 DGEDMN C=C[C@@](C)(O)CN1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001252541748 1105791434 /nfs/dbraw/zinc/79/14/34/1105791434.db2.gz SBNFQSYNJBKWJH-VQIMIIECSA-N 0 1 316.445 3.033 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)/C=C\c2ccco2)C1 ZINC001491278034 1105793516 /nfs/dbraw/zinc/79/35/16/1105793516.db2.gz BBCYPXQJJQTKLJ-CYSIVNQBSA-N 0 1 322.836 3.262 20 30 DGEDMN C=CCC[C@@H](O)CN1CCO[C@](C)(c2ccc(Cl)cc2)C1 ZINC001252603211 1105801449 /nfs/dbraw/zinc/80/14/49/1105801449.db2.gz PPILPEQVJPXSBW-SJORKVTESA-N 0 1 309.837 3.215 20 30 DGEDMN C=CCC[C@H](O)CNCc1csc(-c2ccc(OC)cc2)n1 ZINC001252616283 1105804707 /nfs/dbraw/zinc/80/47/07/1105804707.db2.gz NETWSMCFURFLHD-HNNXBMFYSA-N 0 1 318.442 3.235 20 30 DGEDMN C=CCC[C@@H](O)CNCc1cn(Cc2ccccc2Cl)cn1 ZINC001252623758 1105807255 /nfs/dbraw/zinc/80/72/55/1105807255.db2.gz QMUMAXBKLHAYKE-MRXNPFEDSA-N 0 1 319.836 3.002 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2[C@H]3CN(C/C=C\Cl)C[C@H]32)CCCCC1 ZINC001491338151 1105807438 /nfs/dbraw/zinc/80/74/38/1105807438.db2.gz OVUBOISNFGEJKG-ACNFEPKZSA-N 0 1 322.880 3.312 20 30 DGEDMN C=CCC[C@@H](O)CNCc1csc(-c2ccc(F)cc2)n1 ZINC001252623873 1105807378 /nfs/dbraw/zinc/80/73/78/1105807378.db2.gz SFDBJXRANBAWIQ-OAHLLOKOSA-N 0 1 306.406 3.366 20 30 DGEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1ccc(F)cc1Cl ZINC001491452297 1105829298 /nfs/dbraw/zinc/82/92/98/1105829298.db2.gz NPPXSVWNMSQMNJ-LBPRGKRZSA-N 0 1 324.827 3.123 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001491468436 1105831587 /nfs/dbraw/zinc/83/15/87/1105831587.db2.gz GELWGZBFMUVDJD-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)c1ccc(F)cc1)C2 ZINC001111479366 1105833972 /nfs/dbraw/zinc/83/39/72/1105833972.db2.gz SZQMIQCYCBLIJU-TVFCKZIOSA-N 0 1 316.420 3.227 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1C[C@H](F)C[C@H]1CO ZINC001252978612 1105864797 /nfs/dbraw/zinc/86/47/97/1105864797.db2.gz CTURGKCGGAKBEA-IKGGRYGDSA-N 0 1 303.462 3.283 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCC2(C1)OCCO2 ZINC001252985071 1105865355 /nfs/dbraw/zinc/86/53/55/1105865355.db2.gz LWQRNTZDQOZEAF-QGZVFWFLSA-N 0 1 313.482 3.327 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC001252985412 1105865629 /nfs/dbraw/zinc/86/56/29/1105865629.db2.gz NJZFJQWSOCSHOS-LJQANCHMSA-N 0 1 323.525 3.409 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)c1ccc(OC)cc1 ZINC001253226919 1105904779 /nfs/dbraw/zinc/90/47/79/1105904779.db2.gz CDHAJXBUAMGLKO-KRWDZBQOSA-N 0 1 319.445 3.355 20 30 DGEDMN C=CCCCCCC[C@H](O)CNc1cc(N2CCCC2)[nH]n1 ZINC001253233635 1105906189 /nfs/dbraw/zinc/90/61/89/1105906189.db2.gz JTYWZCIHEXIQEF-HNNXBMFYSA-N 0 1 306.454 3.309 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)c1ccccc1OC ZINC001253250643 1105912096 /nfs/dbraw/zinc/91/20/96/1105912096.db2.gz CNJPUOUVDWYQRG-INIZCTEOSA-N 0 1 319.445 3.355 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)Cc1ccccc1 ZINC001253252117 1105912311 /nfs/dbraw/zinc/91/23/11/1105912311.db2.gz RLQXYAQFOMGMBV-SFHVURJKSA-N 0 1 303.446 3.275 20 30 DGEDMN CC(C)(C)OC(=O)[C@@H](O)CN(CCC#N)C1CCCCCCC1 ZINC001253683021 1105968732 /nfs/dbraw/zinc/96/87/32/1105968732.db2.gz YBUARNDNUYOKGG-INIZCTEOSA-N 0 1 324.465 3.018 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)CCCC(C)C)C1 ZINC001278747405 1106000115 /nfs/dbraw/zinc/00/01/15/1106000115.db2.gz MHFNVFFFYHTNFF-OAHLLOKOSA-N 0 1 316.436 3.462 20 30 DGEDMN C[C@@]1(C#N)CCN(C[C@H](O)C(c2ccccc2)c2ccccc2)C1 ZINC001253929933 1106001965 /nfs/dbraw/zinc/00/19/65/1106001965.db2.gz RKTAWXMQPAUPQJ-FPOVZHCZSA-N 0 1 320.436 3.415 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN([C@@H](C)c2ncc(C)o2)C[C@H]1C ZINC001102011756 1106010209 /nfs/dbraw/zinc/01/02/09/1106010209.db2.gz PBVDSSHMWWMGRO-VNQPRFMTSA-N 0 1 319.449 3.084 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@@H](N2CC[C@](C)(C#N)C2)CC1 ZINC001254311578 1106054150 /nfs/dbraw/zinc/05/41/50/1106054150.db2.gz GIUMFHBKDVJQGW-RHSMWYFYSA-N 0 1 307.438 3.012 20 30 DGEDMN C[C@H]1COCCN1C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254352834 1106057901 /nfs/dbraw/zinc/05/79/01/1106057901.db2.gz FZYBNLLKGFOHQU-NNGSBXSVSA-N 0 1 302.393 3.250 20 30 DGEDMN Cc1csc([C@H]2C[C@@H]3CCN([C@@H]4CC[C@H](C#N)C4)C[C@H]3O2)n1 ZINC001254659185 1106125089 /nfs/dbraw/zinc/12/50/89/1106125089.db2.gz LQAMOCJVBWFWFR-AALSBFMBSA-N 0 1 317.458 3.296 20 30 DGEDMN COc1cc(N2CCN([C@H]3CC[C@@H](C#N)C3)CC2)ccc1Cl ZINC001254674474 1106132124 /nfs/dbraw/zinc/13/21/24/1106132124.db2.gz ZXHKPSXNABNIPG-KGLIPLIRSA-N 0 1 319.836 3.163 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@@H](C)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC001255165549 1106196618 /nfs/dbraw/zinc/19/66/18/1106196618.db2.gz YFDLAHKWBYVNPE-RBSFLKMASA-N 0 1 309.454 3.161 20 30 DGEDMN CC[C@@H](CC#N)N1C[C@H]2Cc3c(c(-c4ccccc4)nn3C)[C@H]2C1 ZINC001255169619 1106198531 /nfs/dbraw/zinc/19/85/31/1106198531.db2.gz IITAUCWJPWAYDE-IKGGRYGDSA-N 0 1 320.440 3.351 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@@]2(CC(=O)N(c3ccc(C)cc3)C2)C1 ZINC001255172563 1106198739 /nfs/dbraw/zinc/19/87/39/1106198739.db2.gz PXOZGZUXBKOPJX-VQIMIIECSA-N 0 1 311.429 3.116 20 30 DGEDMN CC[C@H](CC#N)N1CCC(c2cccc(C(=O)OC)c2)CC1 ZINC001255176121 1106199343 /nfs/dbraw/zinc/19/93/43/1106199343.db2.gz XHICMICFUGLWEG-QGZVFWFLSA-N 0 1 300.402 3.345 20 30 DGEDMN C=CCOCc1nn([C@@H](C)Cc2ccccc2F)c2c1CNC2 ZINC001255847851 1106327313 /nfs/dbraw/zinc/32/73/13/1106327313.db2.gz ZNBIQIHOJAWWMH-ZDUSSCGKSA-N 0 1 315.392 3.132 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCCc1ccsc1)C(C)C ZINC001278912594 1106373116 /nfs/dbraw/zinc/37/31/16/1106373116.db2.gz SZZFOXQALMMZEC-UHFFFAOYSA-N 0 1 320.502 3.263 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(CC)cc1)C(C)C ZINC001278926713 1106401126 /nfs/dbraw/zinc/40/11/26/1106401126.db2.gz WCOKYLILIPUGBW-UHFFFAOYSA-N 0 1 300.446 3.055 20 30 DGEDMN COc1cc(NS(=O)(=O)c2ccccc2Cl)ccc1C#N ZINC001256312237 1106403961 /nfs/dbraw/zinc/40/39/61/1106403961.db2.gz VTLTXDOGTCSQNG-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2ccccc2Cl)ccc1C#N ZINC001256316750 1106405083 /nfs/dbraw/zinc/40/50/83/1106405083.db2.gz QQLMMROGQSYJOD-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1oc(C#N)cc1C(=O)Nc1ccc(Br)c(O)c1 ZINC001256459569 1106426145 /nfs/dbraw/zinc/42/61/45/1106426145.db2.gz DBBDIHAXZGMQBL-UHFFFAOYSA-N 0 1 321.130 3.180 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1ccncc1Cl)C(C)(C)C ZINC001278945917 1106443941 /nfs/dbraw/zinc/44/39/41/1106443941.db2.gz QLIINTYFLRZJAN-HNNXBMFYSA-N 0 1 323.868 3.322 20 30 DGEDMN CC[C@@H]1C[C@@H](N2CC[C@](C)(C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257141687 1106543843 /nfs/dbraw/zinc/54/38/43/1106543843.db2.gz JPRRRASUGVOWMJ-RVKKMQEKSA-N 0 1 321.465 3.400 20 30 DGEDMN CC(C)[C@@H]1C[C@@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257199742 1106550217 /nfs/dbraw/zinc/55/02/17/1106550217.db2.gz YCNQWORTCJRAGB-PMPSAXMXSA-N 0 1 321.465 3.256 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001279007978 1106564971 /nfs/dbraw/zinc/56/49/71/1106564971.db2.gz CQUWCIYGZRBCPG-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCOC[C@@H]1c2nnn(CC)c2CCN1[C@@H](CC)CCCC ZINC001257420167 1106586035 /nfs/dbraw/zinc/58/60/35/1106586035.db2.gz JRKAPNMIGAXOCL-DOTOQJQBSA-N 0 1 320.481 3.369 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(F)s1)C(C)(C)C ZINC001279036259 1106623414 /nfs/dbraw/zinc/62/34/14/1106623414.db2.gz ZIMVFHZMWOEYPR-LLVKDONJSA-N 0 1 318.845 3.374 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(F)s1)C(C)(C)C ZINC001279036260 1106623455 /nfs/dbraw/zinc/62/34/55/1106623455.db2.gz ZIMVFHZMWOEYPR-NSHDSACASA-N 0 1 318.845 3.374 20 30 DGEDMN C=C1C[C@@H]2CC(NCc3nccc(OC(C)C)n3)C[C@H](C1)C2 ZINC001257889572 1106641566 /nfs/dbraw/zinc/64/15/66/1106641566.db2.gz GAESQECZOYOCJP-XYPWUTKMSA-N 0 1 301.434 3.488 20 30 DGEDMN CSCC[C@@H](C)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC001258209155 1106697232 /nfs/dbraw/zinc/69/72/32/1106697232.db2.gz TUDAQNIHNOTPGH-MRXNPFEDSA-N 0 1 317.502 3.276 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(OC)c(C)c2)C1 ZINC001316941700 1106793382 /nfs/dbraw/zinc/79/33/82/1106793382.db2.gz YZBHWEDANZTSIN-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)CCc2ccc(F)cc2)C1 ZINC001316942611 1106795438 /nfs/dbraw/zinc/79/54/38/1106795438.db2.gz CNCVPPHCMQITMF-YJBOKZPZSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001316942605 1106796299 /nfs/dbraw/zinc/79/62/99/1106796299.db2.gz CNCVPPHCMQITMF-CRAIPNDOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cnccc2C)C1 ZINC001316946602 1106803297 /nfs/dbraw/zinc/80/32/97/1106803297.db2.gz ZQSZGTXLZHCUSW-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)/C=C/c2ccco2)CC1 ZINC001317000126 1106882576 /nfs/dbraw/zinc/88/25/76/1106882576.db2.gz IWROCFSWRGYDSQ-RGDDUWESSA-N 0 1 320.820 3.016 20 30 DGEDMN C[C@@H](NCc1cc(OCC(F)F)ccn1)c1ccc(C#N)cc1 ZINC001320160726 1106907657 /nfs/dbraw/zinc/90/76/57/1106907657.db2.gz YGYNOALKBKGDPC-GFCCVEGCSA-N 0 1 317.339 3.448 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1c(C)cc(F)cc1C)C1CC1 ZINC001317022280 1106910889 /nfs/dbraw/zinc/91/08/89/1106910889.db2.gz PTBQHZXDTYYETJ-HNNXBMFYSA-N 0 1 324.827 3.293 20 30 DGEDMN Cc1c(C(=O)OCc2ccc(F)c(C#N)c2)ccc2cncn21 ZINC001320182885 1106918639 /nfs/dbraw/zinc/91/86/39/1106918639.db2.gz JTINGNYQDSGZBV-UHFFFAOYSA-N 0 1 309.300 3.011 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001320202116 1106925889 /nfs/dbraw/zinc/92/58/89/1106925889.db2.gz HGXCDDWXCSUMLE-IEBWSBKVSA-N 0 1 316.420 3.271 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)c1ccc2cncn2c1C ZINC001320261134 1106940501 /nfs/dbraw/zinc/94/05/01/1106940501.db2.gz HTORCFHRKUJILI-UHFFFAOYSA-N 0 1 312.417 3.435 20 30 DGEDMN CCCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C)n1 ZINC001317045991 1106947565 /nfs/dbraw/zinc/94/75/65/1106947565.db2.gz SQZKEYOJCMQWOS-IYBDPMFKSA-N 0 1 319.449 3.242 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001317086727 1106982053 /nfs/dbraw/zinc/98/20/53/1106982053.db2.gz OXBWHKZPCZMZIG-RBSFLKMASA-N 0 1 319.449 3.137 20 30 DGEDMN C=CCSc1ccccc1C(=O)Nc1nc(C(C)C)n[nH]1 ZINC001320566673 1107056344 /nfs/dbraw/zinc/05/63/44/1107056344.db2.gz NCUPQFJMVILNIN-UHFFFAOYSA-N 0 1 302.403 3.459 20 30 DGEDMN C=CCSc1ccccc1C(=O)Nc1n[nH]c(C(C)C)n1 ZINC001320566673 1107056358 /nfs/dbraw/zinc/05/63/58/1107056358.db2.gz NCUPQFJMVILNIN-UHFFFAOYSA-N 0 1 302.403 3.459 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1C/C=C/c1ccccc1 ZINC001317171861 1107081959 /nfs/dbraw/zinc/08/19/59/1107081959.db2.gz XHKIDMUQGWFKEG-YPNIWSFNSA-N 0 1 324.468 3.474 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCCCN1C/C=C\c1ccccc1 ZINC001317171859 1107082649 /nfs/dbraw/zinc/08/26/49/1107082649.db2.gz XHKIDMUQGWFKEG-KTZABMDBSA-N 0 1 324.468 3.474 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cccc(F)c1C ZINC001317186483 1107106693 /nfs/dbraw/zinc/10/66/93/1107106693.db2.gz QKWZCFAQVPKQRJ-CQSZACIVSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C(C)(C)CC)C1 ZINC001317211133 1107130150 /nfs/dbraw/zinc/13/01/50/1107130150.db2.gz WTIQZVPKKTUBOB-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C(C)(C)CC)C1 ZINC001317211132 1107130915 /nfs/dbraw/zinc/13/09/15/1107130915.db2.gz WTIQZVPKKTUBOB-KGLIPLIRSA-N 0 1 300.874 3.392 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1cccc(OC(F)F)c1 ZINC001259445906 1107137390 /nfs/dbraw/zinc/13/73/90/1107137390.db2.gz AHVUWGBITKAMLV-UHFFFAOYSA-N 0 1 323.320 3.070 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CCc1cccc(C)c1 ZINC001320810354 1107144767 /nfs/dbraw/zinc/14/47/67/1107144767.db2.gz RDLWUGJSOZQXPM-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCc1ccccc1C#N ZINC001320829964 1107155134 /nfs/dbraw/zinc/15/51/34/1107155134.db2.gz JYDANDITIXRVDI-IBGZPJMESA-N 0 1 313.445 3.099 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001317254030 1107175738 /nfs/dbraw/zinc/17/57/38/1107175738.db2.gz GDEBVEVZESJOPE-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NCc1cc(O)ccc1Cl ZINC001317263682 1107185625 /nfs/dbraw/zinc/18/56/25/1107185625.db2.gz HANVHMWBAFYGFX-OLZOCXBDSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(OC)ccc2Cl)C1 ZINC001317298577 1107217946 /nfs/dbraw/zinc/21/79/46/1107217946.db2.gz NJKIKRYZFYONLW-AWEZNQCLSA-N 0 1 322.836 3.005 20 30 DGEDMN CCC[C@@H](C)CC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001317298255 1107218744 /nfs/dbraw/zinc/21/87/44/1107218744.db2.gz GUQZHRQONUOHMS-MJGOQNOKSA-N 0 1 312.457 3.055 20 30 DGEDMN CC(=NN=c1nc[nH]c2sc(C)c(C)c21)c1ccnc(C)n1 ZINC001321093517 1107245854 /nfs/dbraw/zinc/24/58/54/1107245854.db2.gz ANIIXGDARVOZDM-UHFFFAOYSA-N 0 1 312.402 3.243 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1oc(C(F)F)cc1C ZINC001321096149 1107248669 /nfs/dbraw/zinc/24/86/69/1107248669.db2.gz GVMJQWTVHWFLGR-ONEGZZNKSA-N 0 1 318.751 3.154 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1[C@@H](C=C(C)C)C1(C)C ZINC001321152175 1107266621 /nfs/dbraw/zinc/26/66/21/1107266621.db2.gz PUJIMQQPWOMEOU-CNBITHIKSA-N 0 1 310.869 3.239 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C)c(Cl)c1 ZINC001321222034 1107291585 /nfs/dbraw/zinc/29/15/85/1107291585.db2.gz JJLUWRIDVSRARK-ONEGZZNKSA-N 0 1 313.228 3.277 20 30 DGEDMN CC/C=C(\C)C(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001317389310 1107302802 /nfs/dbraw/zinc/30/28/02/1107302802.db2.gz QKDLNDHMOMPHKQ-BRRGFWCPSA-N 0 1 317.408 3.038 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cc(C)c(C)cc2OC)cc1 ZINC001260772148 1107319040 /nfs/dbraw/zinc/31/90/40/1107319040.db2.gz QRQAUBGVVSUBCV-UHFFFAOYSA-N 0 1 315.394 3.094 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2cccc(Cl)c2F)C1 ZINC001321637095 1107401371 /nfs/dbraw/zinc/40/13/71/1107401371.db2.gz GOMBDAMIFQUVTF-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001321661975 1107406106 /nfs/dbraw/zinc/40/61/06/1107406106.db2.gz PNTGYYONTDORRE-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN CCCCCCCS(=O)(=O)Nc1ccc(C(C)(C)C)nn1 ZINC001321674973 1107410032 /nfs/dbraw/zinc/41/00/32/1107410032.db2.gz USJDXAIXMBIOGY-UHFFFAOYSA-N 0 1 313.467 3.486 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCN(C)C/C=C/c1ccccc1 ZINC001317460423 1107413268 /nfs/dbraw/zinc/41/32/68/1107413268.db2.gz WEGSAUNBBIHNCF-YFPIXMDGSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1CCc2ccccc2C1 ZINC001322060650 1107496190 /nfs/dbraw/zinc/49/61/90/1107496190.db2.gz USANOJRZIOXNIC-LSDHHAIUSA-N 0 1 320.864 3.028 20 30 DGEDMN C[C@H](NC[C@@H](O)CC(C)(C)C#N)c1nc(C(C)(C)C)cs1 ZINC001261762231 1107520634 /nfs/dbraw/zinc/52/06/34/1107520634.db2.gz LBFVDMWLZWCPJN-RYUDHWBXSA-N 0 1 309.479 3.392 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1csc2cc(C)ccc12 ZINC001322169015 1107551701 /nfs/dbraw/zinc/55/17/01/1107551701.db2.gz MQPLRSTUARGIJM-AWEZNQCLSA-N 0 1 314.454 3.283 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001322169091 1107552791 /nfs/dbraw/zinc/55/27/91/1107552791.db2.gz NPIVLJIZSJWGNB-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cc[nH]c1CC)C(C)C ZINC001317687626 1107605768 /nfs/dbraw/zinc/60/57/68/1107605768.db2.gz PYDLOSOIDJCJJS-UHFFFAOYSA-N 0 1 311.857 3.160 20 30 DGEDMN C#CCN1CCC(c2nnc(-c3ccc4ccccc4n3)o2)CC1 ZINC001322296988 1107616793 /nfs/dbraw/zinc/61/67/93/1107616793.db2.gz SWAONTPTDVODAR-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(C)c2C)C1 ZINC001317769464 1107652381 /nfs/dbraw/zinc/65/23/81/1107652381.db2.gz DKNAMESBNJJTNA-QGZVFWFLSA-N 0 1 300.446 3.416 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)c2ccco2)C1 ZINC001317769600 1107653623 /nfs/dbraw/zinc/65/36/23/1107653623.db2.gz GNLQCJCKKCPATA-JKSUJKDBSA-N 0 1 304.434 3.272 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001317803627 1107679646 /nfs/dbraw/zinc/67/96/46/1107679646.db2.gz UYUXDKISYQJGIB-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN COc1cccc(CNCc2cccc(OCC#N)c2)c1F ZINC001322639529 1107721998 /nfs/dbraw/zinc/72/19/98/1107721998.db2.gz GKQXHIJWQFTKCU-UHFFFAOYSA-N 0 1 300.333 3.026 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCCN(Cc2ncccc2C)C1 ZINC001317970662 1107776816 /nfs/dbraw/zinc/77/68/16/1107776816.db2.gz HLUKVKGOOHJKDS-INIZCTEOSA-N 0 1 315.461 3.073 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N1CCCc2[nH]ncc2C1 ZINC001262703025 1107783119 /nfs/dbraw/zinc/78/31/19/1107783119.db2.gz SSYFQWGCHZDBMB-UHFFFAOYSA-N 0 1 324.281 3.392 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cccc3c2CCCC3)C1 ZINC001318026273 1107800342 /nfs/dbraw/zinc/80/03/42/1107800342.db2.gz XRSXMMZTVYCGCV-HNNXBMFYSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H]2CCCCN2CCC)c1 ZINC001318046581 1107806828 /nfs/dbraw/zinc/80/68/28/1107806828.db2.gz NSLIMYOTPWEFPB-KRWDZBQOSA-N 0 1 302.418 3.454 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC001318063137 1107817909 /nfs/dbraw/zinc/81/79/09/1107817909.db2.gz ONUNQUISHCMVHD-AWEZNQCLSA-N 0 1 315.461 3.282 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001318082295 1107829578 /nfs/dbraw/zinc/82/95/78/1107829578.db2.gz XGSUDTWBLQPJEC-UHFFFAOYSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2ncc(C)o2)[C@@H](CC)C1 ZINC001323147071 1107850687 /nfs/dbraw/zinc/85/06/87/1107850687.db2.gz FCRIBABMOYHUQT-JKSUJKDBSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC[C@@H](C(=O)NCC1CN(CC=C(C)C)C1)c1ccccc1 ZINC001318176217 1107879109 /nfs/dbraw/zinc/87/91/09/1107879109.db2.gz LKAXVPWBIIHVFK-LJQANCHMSA-N 0 1 312.457 3.361 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCC[C@@](C)(CC)C1 ZINC001262947323 1107881875 /nfs/dbraw/zinc/88/18/75/1107881875.db2.gz JHSRGHYZBVJGGZ-QGZVFWFLSA-N 0 1 314.437 3.179 20 30 DGEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(CCC)cc1 ZINC001318196306 1107891990 /nfs/dbraw/zinc/89/19/90/1107891990.db2.gz RMRFKCPHYINSGQ-PMACEKPBSA-N 0 1 324.468 3.228 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001323305219 1107928214 /nfs/dbraw/zinc/92/82/14/1107928214.db2.gz ZYUWUTPKMYZXKK-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001318351168 1107952167 /nfs/dbraw/zinc/95/21/67/1107952167.db2.gz CHBMZIIZUYYEAQ-MRXNPFEDSA-N 0 1 312.413 3.480 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001318441150 1108006717 /nfs/dbraw/zinc/00/67/17/1108006717.db2.gz FVHKMBJNMHWAJD-MJGOQNOKSA-N 0 1 314.473 3.282 20 30 DGEDMN CC[C@@H](F)C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001263307690 1108010144 /nfs/dbraw/zinc/01/01/44/1108010144.db2.gz HRTCFOIZUCMKCD-WDEREUQCSA-N 0 1 317.147 3.389 20 30 DGEDMN CC[C@H](F)C(=O)C(C#N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001263307689 1108010912 /nfs/dbraw/zinc/01/09/12/1108010912.db2.gz HRTCFOIZUCMKCD-QWRGUYRKSA-N 0 1 317.147 3.389 20 30 DGEDMN Cc1ccccc1-n1cc(C(=O)C(C#N)c2cccc(F)n2)cn1 ZINC001263378028 1108030741 /nfs/dbraw/zinc/03/07/41/1108030741.db2.gz SZKAUXKFTVEFDB-CQSZACIVSA-N 0 1 320.327 3.205 20 30 DGEDMN Cc1ccccc1-n1cc(C(=O)[C@H](C#N)c2cccc(F)n2)cn1 ZINC001263378028 1108030751 /nfs/dbraw/zinc/03/07/51/1108030751.db2.gz SZKAUXKFTVEFDB-CQSZACIVSA-N 0 1 320.327 3.205 20 30 DGEDMN CCN(C(=O)C#CC(C)(C)C)[C@H]1CCN(Cc2ccsc2)C1 ZINC001318468975 1108030785 /nfs/dbraw/zinc/03/07/85/1108030785.db2.gz ADQQYUOBFYYIOB-INIZCTEOSA-N 0 1 318.486 3.220 20 30 DGEDMN N#C[C@@H](C(=O)c1ccn(-c2ccccc2F)n1)c1cccc(F)n1 ZINC001263377456 1108031926 /nfs/dbraw/zinc/03/19/26/1108031926.db2.gz BNTQSBIUBCQXJJ-LLVKDONJSA-N 0 1 324.290 3.036 20 30 DGEDMN N#CC(C(=O)c1ccn(-c2ccccc2F)n1)c1cccc(F)n1 ZINC001263377456 1108031935 /nfs/dbraw/zinc/03/19/35/1108031935.db2.gz BNTQSBIUBCQXJJ-LLVKDONJSA-N 0 1 324.290 3.036 20 30 DGEDMN C#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1ccccc1 ZINC001323777682 1108080851 /nfs/dbraw/zinc/08/08/51/1108080851.db2.gz ZOOPJXAJSNGZDJ-KDURUIRLSA-N 0 1 310.441 3.055 20 30 DGEDMN C(=NNc1cnnc2ccccc21)c1nc(-c2ccccc2)c[nH]1 ZINC001318536840 1108084351 /nfs/dbraw/zinc/08/43/51/1108084351.db2.gz SKYXQICFNGFZHW-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C)cc1Cl ZINC001318574463 1108103859 /nfs/dbraw/zinc/10/38/59/1108103859.db2.gz CBOWCEBPOIBNIU-GFCCVEGCSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(C)c1Cl ZINC001318582914 1108109319 /nfs/dbraw/zinc/10/93/19/1108109319.db2.gz OAWKABJIQQUXIY-LBPRGKRZSA-N 0 1 315.244 3.499 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1ccc(F)cc1F ZINC001263616764 1108111850 /nfs/dbraw/zinc/11/18/50/1108111850.db2.gz HTOKCGUWXRXUNK-GWCFXTLKSA-N 0 1 303.312 3.161 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)/C=C/c2ccco2)C1 ZINC001323885681 1108114365 /nfs/dbraw/zinc/11/43/65/1108114365.db2.gz OZOMZLBQSQVMBS-ZHACJKMWSA-N 0 1 304.434 3.406 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C)cc2OC)C1 ZINC001324004685 1108145084 /nfs/dbraw/zinc/14/50/84/1108145084.db2.gz OWPHLIKPFMNPFL-HNNXBMFYSA-N 0 1 316.445 3.115 20 30 DGEDMN FC(F)(F)c1cccc(N=NCc2cccc3c[nH]nc32)n1 ZINC001318671745 1108146743 /nfs/dbraw/zinc/14/67/43/1108146743.db2.gz RKRYBIUTBJMAQT-UHFFFAOYSA-N 0 1 305.263 3.423 20 30 DGEDMN CN(Cc1cc(C#N)cs1)Cc1cc(-n2ccnc2)cs1 ZINC001474726439 1108168482 /nfs/dbraw/zinc/16/84/82/1108168482.db2.gz NYKNOJZCDZTRDZ-UHFFFAOYSA-N 0 1 314.439 3.499 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc2cc[nH]c2c1 ZINC001324236206 1108217385 /nfs/dbraw/zinc/21/73/85/1108217385.db2.gz OPPSOJJEFYVFNS-HNNXBMFYSA-N 0 1 317.820 3.115 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1nccs1 ZINC001263814393 1108221312 /nfs/dbraw/zinc/22/13/12/1108221312.db2.gz DLPKZJNWVWMDMX-AWEZNQCLSA-N 0 1 309.479 3.260 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)CC[C@@H](C)c2ccccc2)CC1 ZINC001324336317 1108256410 /nfs/dbraw/zinc/25/64/10/1108256410.db2.gz XUGOSYZFSHDBLI-QGZVFWFLSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN(C(=O)[C@@H]1CC=CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001324363099 1108264882 /nfs/dbraw/zinc/26/48/82/1108264882.db2.gz ZNAJIPJFDFJEGB-IAGOWNOFSA-N 0 1 320.452 3.017 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001263863138 1108267602 /nfs/dbraw/zinc/26/76/02/1108267602.db2.gz GZROELDZOLNRGM-PAYQAHJCSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccco1)C1CCCC1 ZINC001324453135 1108294775 /nfs/dbraw/zinc/29/47/75/1108294775.db2.gz IMCFDEYZJAMCPR-INIZCTEOSA-N 0 1 324.852 3.229 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1nc(C)cs1 ZINC001263982323 1108322906 /nfs/dbraw/zinc/32/29/06/1108322906.db2.gz BNVABXQAWMSXEY-HIFRSBDPSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CCCC#CC ZINC001263999718 1108330762 /nfs/dbraw/zinc/33/07/62/1108330762.db2.gz QCWNPUSZQHRTAW-ZBFHGGJFSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(CCC(F)(F)F)C1 ZINC001324641398 1108393059 /nfs/dbraw/zinc/39/30/59/1108393059.db2.gz KNNLUHYRBZODHG-JSGCOSHPSA-N 0 1 306.372 3.122 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H](C)CC(C)(C)C)CC2 ZINC001319407860 1108448970 /nfs/dbraw/zinc/44/89/70/1108448970.db2.gz PARTWJRHLYWJRD-QGZVFWFLSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1ccc(CC(C)C)cc1 ZINC001264364953 1108472270 /nfs/dbraw/zinc/47/22/70/1108472270.db2.gz NWXGRIORPLSCTF-IBGZPJMESA-N 0 1 314.473 3.146 20 30 DGEDMN C=C1CCC(C(=O)N(C)[C@@H](C)CNC/C(Cl)=C\Cl)CC1 ZINC001319525344 1108506373 /nfs/dbraw/zinc/50/63/73/1108506373.db2.gz NMSBEFSDLFLMQZ-ACLQVGRQSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1F ZINC001264373008 1108483091 /nfs/dbraw/zinc/48/30/91/1108483091.db2.gz PTJXFKWFNMMDKS-WBVHZDCISA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC[C@H]1CCc2ccccc21 ZINC001264380361 1108493445 /nfs/dbraw/zinc/49/34/45/1108493445.db2.gz HZWLJXKBZIDBON-MSOLQXFVSA-N 0 1 312.457 3.215 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H]2CC[C@H](C)C2)CC1 ZINC001324893448 1108498068 /nfs/dbraw/zinc/49/80/68/1108498068.db2.gz SGTZNODXFSUAJS-ZFWWWQNUSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1C[C@@H](NCc2nc(C)c(C)s2)C1 ZINC001264392283 1108504350 /nfs/dbraw/zinc/50/43/50/1108504350.db2.gz JKYJVMDDRFXSLU-GASCZTMLSA-N 0 1 321.490 3.101 20 30 DGEDMN C#CCCCCC(=O)NC[C@@]1(C)CCCN(C[C@H](F)CC)C1 ZINC001324925819 1108516734 /nfs/dbraw/zinc/51/67/34/1108516734.db2.gz FHNRGCTXECQFNV-SJLPKXTDSA-N 0 1 310.457 3.146 20 30 DGEDMN CC#CCCCC(=O)NC[C@@]1(C)CCCN(C[C@@H](F)CC)C1 ZINC001324956828 1108535278 /nfs/dbraw/zinc/53/52/78/1108535278.db2.gz FLQKPMSCGZLCOA-FUHWJXTLSA-N 0 1 310.457 3.146 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](CC=C)c2ccccc2)C1 ZINC001324974558 1108543121 /nfs/dbraw/zinc/54/31/21/1108543121.db2.gz BJNHAQNBUCRXLE-PZJWPPBQSA-N 0 1 324.468 3.198 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001324977569 1108546683 /nfs/dbraw/zinc/54/66/83/1108546683.db2.gz QJEVHGWSOTXZCC-ZHALLVOQSA-N 0 1 304.478 3.054 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC2(C[C@H]1C)CCN(CCF)CC2 ZINC001264495802 1108552961 /nfs/dbraw/zinc/55/29/61/1108552961.db2.gz PLTQZMHIJCGPLX-MRXNPFEDSA-N 0 1 322.468 3.427 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1oc(C)cc1C ZINC001264546989 1108569782 /nfs/dbraw/zinc/56/97/82/1108569782.db2.gz KPJLJNIOFZFDAZ-ABAIWWIYSA-N 0 1 324.852 3.479 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C2CCN(CCF)CC2)C1 ZINC001325364332 1108633531 /nfs/dbraw/zinc/63/35/31/1108633531.db2.gz WVGZENMJXHJUBP-QGZVFWFLSA-N 0 1 310.457 3.263 20 30 DGEDMN CCN(CCC#N)C(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001319855511 1108664577 /nfs/dbraw/zinc/66/45/77/1108664577.db2.gz GFQCOUPQVRKYFY-GOSISDBHSA-N 0 1 313.445 3.112 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCN(C)Cc1c(C)nsc1C ZINC001265041423 1108708328 /nfs/dbraw/zinc/70/83/28/1108708328.db2.gz YIFYFVYKNCIZEY-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](CC)C2CCC(C)CC2)CC1 ZINC001265193415 1108767379 /nfs/dbraw/zinc/76/73/79/1108767379.db2.gz HJUWJFUEROOTNP-FAFZWHIHSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN([C@H](C)c2ccc(F)cc2)C1 ZINC001265209748 1108778673 /nfs/dbraw/zinc/77/86/73/1108778673.db2.gz CQOFJTCBRGUARW-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001265235317 1108794017 /nfs/dbraw/zinc/79/40/17/1108794017.db2.gz MZJWVMVCCBEZIP-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001265301250 1108813446 /nfs/dbraw/zinc/81/34/46/1108813446.db2.gz GZBUTUWPFSXQRP-YOEHRIQHSA-N 0 1 304.409 3.434 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)CCCC2CC2)C1 ZINC001265394643 1108860528 /nfs/dbraw/zinc/86/05/28/1108860528.db2.gz FZVDMQYGWFCHAB-INIZCTEOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NCc2nc(C)sc2C)C1 ZINC001265554687 1108908264 /nfs/dbraw/zinc/90/82/64/1108908264.db2.gz XRSQBEURZHKOHC-SOUVJXGZSA-N 0 1 321.490 3.099 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@@H]1CCCN(CCF)C1)c1ccccc1 ZINC001265596869 1108927405 /nfs/dbraw/zinc/92/74/05/1108927405.db2.gz LULRJAPPVMCAKN-FUHWJXTLSA-N 0 1 318.436 3.144 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](CC)OC1CCCCC1 ZINC001265645431 1108946350 /nfs/dbraw/zinc/94/63/50/1108946350.db2.gz PIHMNZYJHPSVFZ-FUHWJXTLSA-N 0 1 322.493 3.271 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCCN1CCC(F)(F)F ZINC001265651242 1108950254 /nfs/dbraw/zinc/95/02/54/1108950254.db2.gz JLSSAFHRZNGUNS-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001265658191 1108954310 /nfs/dbraw/zinc/95/43/10/1108954310.db2.gz SXNJLRDTNJUNTI-FUHWJXTLSA-N 0 1 300.446 3.022 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCCCC1(C)C ZINC001265660033 1108955613 /nfs/dbraw/zinc/95/56/13/1108955613.db2.gz MDPALOSCEFTGGC-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H]2CCCN(CCF)C2)CCCC1 ZINC001265707379 1108977620 /nfs/dbraw/zinc/97/76/20/1108977620.db2.gz AGLDXHQJBOUVNU-JKSUJKDBSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C(Br)CN[C@H](C)CNC(=O)[C@H](CCC)C(C)C ZINC001265833290 1109020881 /nfs/dbraw/zinc/02/08/81/1109020881.db2.gz ZTONDNKHFMMHLN-CHWSQXEVSA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC12CC3CC(CC(C3)C1)C2 ZINC001265856843 1109028171 /nfs/dbraw/zinc/02/81/71/1109028171.db2.gz UFJIJELZBFPVDL-IILDEKEXSA-N 0 1 324.896 3.440 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC001266069687 1109079279 /nfs/dbraw/zinc/07/92/79/1109079279.db2.gz ZJNRTRBEOJOMOV-UONOGXRCSA-N 0 1 307.463 3.016 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@H](NCc2coc(C)n2)C1 ZINC001266071369 1109079868 /nfs/dbraw/zinc/07/98/68/1109079868.db2.gz LPVRRMOSKATYLD-LSDHHAIUSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@]1(C)CCC[C@H]1C ZINC001266080658 1109083285 /nfs/dbraw/zinc/08/32/85/1109083285.db2.gz PHVMQOUVQQZYIB-CJNGLKHVSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2ccccc2F)C1 ZINC001266093261 1109087795 /nfs/dbraw/zinc/08/77/95/1109087795.db2.gz DMBLOSGIRXRMMU-MRXNPFEDSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCCN(Cc1cnc(C)o1)C1CC1 ZINC001266152781 1109109499 /nfs/dbraw/zinc/10/94/99/1109109499.db2.gz ZZVAAUVEOBWWEN-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001266201770 1109125575 /nfs/dbraw/zinc/12/55/75/1109125575.db2.gz ZYOLMRJLFQDAIJ-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001266234031 1109134503 /nfs/dbraw/zinc/13/45/03/1109134503.db2.gz WJQRUJKMBAWOEH-QIVUSQLPSA-N 0 1 323.440 3.161 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)C1CCN(Cc2ccns2)CC1 ZINC001279146933 1109139545 /nfs/dbraw/zinc/13/95/45/1109139545.db2.gz SVDKJOPOQYMPEU-ZDUSSCGKSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](CCNCc2nccs2)C1 ZINC001280164879 1109178182 /nfs/dbraw/zinc/17/81/82/1109178182.db2.gz TXRVOLFYHNDJLA-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CCCC[C@H]1C ZINC001280333825 1109187083 /nfs/dbraw/zinc/18/70/83/1109187083.db2.gz OARZMYUYVFYJDK-APWZRJJASA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@@]3(C)CCC[C@@H]3C)cccc2C1 ZINC001280427275 1109202047 /nfs/dbraw/zinc/20/20/47/1109202047.db2.gz YSSKDPFXRCJGRH-KKSFZXQISA-N 0 1 324.468 3.120 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@]3(C)CCC[C@H]3C)cccc2C1 ZINC001280427274 1109202113 /nfs/dbraw/zinc/20/21/13/1109202113.db2.gz YSSKDPFXRCJGRH-IIBYNOLFSA-N 0 1 324.468 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccccc1F ZINC001280429622 1109202397 /nfs/dbraw/zinc/20/23/97/1109202397.db2.gz NUSDNMJOVXDLLR-CYBMUJFWSA-N 0 1 310.800 3.163 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNCc1c(F)cccc1F ZINC001280433971 1109203087 /nfs/dbraw/zinc/20/30/87/1109203087.db2.gz GYLOTPAGABQRGY-CQSZACIVSA-N 0 1 322.399 3.402 20 30 DGEDMN CC[C@H](C)CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001280474889 1109209781 /nfs/dbraw/zinc/20/97/81/1109209781.db2.gz GSORVKFNLDCXGR-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cc(F)ccc2F)CC1 ZINC001280712248 1109241712 /nfs/dbraw/zinc/24/17/12/1109241712.db2.gz WRZAEPPJZQACNF-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(Cc2cncs2)CC1(C)C ZINC001281553187 1109378546 /nfs/dbraw/zinc/37/85/46/1109378546.db2.gz ONZFURDBBUFJOT-CQSZACIVSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H](C)CC ZINC001281768227 1109405377 /nfs/dbraw/zinc/40/53/77/1109405377.db2.gz KGGRLPRDOSXBTH-QAPCUYQASA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)C1(C2CC2)CC1 ZINC001281776122 1109406877 /nfs/dbraw/zinc/40/68/77/1109406877.db2.gz ICYPHWMIRGCHAD-IBGZPJMESA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C/C=C(/C)C=C ZINC001281776397 1109407283 /nfs/dbraw/zinc/40/72/83/1109407283.db2.gz NCRWMDRARWOURR-ZZODWALSSA-N 0 1 322.452 3.248 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1(C2CC2)CC1 ZINC001281776123 1109407328 /nfs/dbraw/zinc/40/73/28/1109407328.db2.gz ICYPHWMIRGCHAD-LJQANCHMSA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@@H]1C=CCCC1 ZINC001281868708 1109412168 /nfs/dbraw/zinc/41/21/68/1109412168.db2.gz UWFUKBWMALDLQM-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C[C@H]1C=CCCC1 ZINC001281868709 1109412429 /nfs/dbraw/zinc/41/24/29/1109412429.db2.gz UWFUKBWMALDLQM-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C[C@@H](C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1)C1CC1 ZINC001281929070 1109416424 /nfs/dbraw/zinc/41/64/24/1109416424.db2.gz OBCINRMEWKUNOA-XLIONFOSSA-N 0 1 324.468 3.007 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001282042535 1109435312 /nfs/dbraw/zinc/43/53/12/1109435312.db2.gz WGISVRLSQUXGRR-XFFZJAGNSA-N 0 1 305.249 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2ncccc21 ZINC001282175024 1109462857 /nfs/dbraw/zinc/46/28/57/1109462857.db2.gz MNPVQBZWFQTLIJ-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1nocc1C ZINC001282311406 1109482193 /nfs/dbraw/zinc/48/21/93/1109482193.db2.gz ZXQJFWAKFZGUNP-SFHVURJKSA-N 0 1 321.465 3.160 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001282661388 1109545414 /nfs/dbraw/zinc/54/54/14/1109545414.db2.gz KADBSVCIUJRRNE-FQEVSTJZSA-N 0 1 324.468 3.365 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN([C@@H]2CCc3c2cccc3F)C1 ZINC001282693999 1109553575 /nfs/dbraw/zinc/55/35/75/1109553575.db2.gz ULPRVLLJPMPEAE-FZKQIMNGSA-N 0 1 316.420 3.216 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001282741131 1109560321 /nfs/dbraw/zinc/56/03/21/1109560321.db2.gz QVFQDYPHCKZNJN-JKSUJKDBSA-N 0 1 300.446 3.111 20 30 DGEDMN C=CCCCCCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)CC)C2)C1 ZINC001282775136 1109563838 /nfs/dbraw/zinc/56/38/38/1109563838.db2.gz OANKQTBEFBHEQQ-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H](CCC)CC2CCCC2)C(C)(C)C1 ZINC001282821554 1109570686 /nfs/dbraw/zinc/57/06/86/1109570686.db2.gz PDDNTYNAGLNSFR-MSOLQXFVSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1ccc(C)s1 ZINC001283479605 1109642148 /nfs/dbraw/zinc/64/21/48/1109642148.db2.gz JAMNAJJNCUQBSI-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2ccncc2c1 ZINC001283693681 1109675851 /nfs/dbraw/zinc/67/58/51/1109675851.db2.gz PGAXBRNVYZEVEV-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C(CC(C)C)CC(C)C)[C@H]1C ZINC001284149585 1109749016 /nfs/dbraw/zinc/74/90/16/1109749016.db2.gz XFRNZJVUSSAHPU-AEFFLSMTSA-N 0 1 306.494 3.297 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1oc(C)nc1C)C(C)(C)C ZINC001284614863 1109825481 /nfs/dbraw/zinc/82/54/81/1109825481.db2.gz ZNWBEZUDEDOWFX-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1ccco1)C(C)(C)C ZINC001284625920 1109827679 /nfs/dbraw/zinc/82/76/79/1109827679.db2.gz UPHATQYEVZHDTA-OCCSQVGLSA-N 0 1 312.841 3.256 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC001284626361 1109827973 /nfs/dbraw/zinc/82/79/73/1109827973.db2.gz ZMNYYLFWDDNOAQ-MAUKXSAKSA-N 0 1 321.465 3.206 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](C(F)(F)F)[C@@H](NC/C=C/Cl)C1 ZINC001284731953 1109852151 /nfs/dbraw/zinc/85/21/51/1109852151.db2.gz UDMZEFVLRRIVCU-XTPCBIOYSA-N 0 1 324.774 3.074 20 30 DGEDMN Cc1cc(C#N)cnc1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001288564926 1110186140 /nfs/dbraw/zinc/18/61/40/1110186140.db2.gz GYXDAUJJZTXMGD-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN C#CCN1CCC(NC(=O)/C=C\c2ccc(C(C)(C)C)cc2)CC1 ZINC001303792950 1111153919 /nfs/dbraw/zinc/15/39/19/1111153919.db2.gz RLYZKWXWUCWPLP-FLIBITNWSA-N 0 1 324.468 3.211 20 30 DGEDMN Cc1c(C#N)cccc1NC(=O)c1cc(-c2ccccc2O)n[nH]1 ZINC001306710322 1111237447 /nfs/dbraw/zinc/23/74/47/1111237447.db2.gz KFFCTPBOHILALB-UHFFFAOYSA-N 0 1 318.336 3.215 20 30 DGEDMN C[C@H](C(=O)N([O-])Cc1ccccc1Cl)[NH+]1CCCCCC1 ZINC001306894318 1111248108 /nfs/dbraw/zinc/24/81/08/1111248108.db2.gz JUEDOMMSUDXCNF-CYBMUJFWSA-N 0 1 310.825 3.322 20 30 DGEDMN CC[C@@H](C)c1nc([C@H](C)Nc2cc(C#N)nc(C3CC3)n2)n[nH]1 ZINC001307110464 1111266790 /nfs/dbraw/zinc/26/67/90/1111266790.db2.gz CTEUUODEDMSOOT-ZJUUUORDSA-N 0 1 311.393 3.030 20 30 DGEDMN N#Cc1ccccc1N1CCN(Cc2ccccc2CF)CC1 ZINC001307446734 1111299709 /nfs/dbraw/zinc/29/97/09/1111299709.db2.gz VRKIVXVWHHLTMT-UHFFFAOYSA-N 0 1 309.388 3.350 20 30 DGEDMN C=CCCCCN1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC001307559485 1111314228 /nfs/dbraw/zinc/31/42/28/1111314228.db2.gz NMYJUKDOUHKBRF-UHFFFAOYSA-N 0 1 315.461 3.212 20 30 DGEDMN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1cc(C#N)ccn1 ZINC001307631565 1111322213 /nfs/dbraw/zinc/32/22/13/1111322213.db2.gz WQIKIAJBJGQDKP-PXAZEXFGSA-N 0 1 315.804 3.472 20 30 DGEDMN CCC#C[C@@H](C)N(C)C[C@@H](O)c1ccccc1Br ZINC001307841598 1111345104 /nfs/dbraw/zinc/34/51/04/1111345104.db2.gz DNVADZMOSXDLEE-IUODEOHRSA-N 0 1 310.235 3.216 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2cc(Cl)cc(C(C)=O)c2[O-])C1 ZINC001307872329 1111349171 /nfs/dbraw/zinc/34/91/71/1111349171.db2.gz MZHMGBIXTYFLLS-ZDUSSCGKSA-N 0 1 305.805 3.484 20 30 DGEDMN CN1CCN(Cc2cccc(C#N)c2F)[C@@H](Cc2ccccc2)C1 ZINC001307899264 1111353119 /nfs/dbraw/zinc/35/31/19/1111353119.db2.gz BETPJUPLXPMKBK-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN C#Cc1ccc(CN[C@H](CC(N)=O)c2ccc(Cl)cc2)cc1 ZINC001308349373 1111419745 /nfs/dbraw/zinc/41/97/45/1111419745.db2.gz GLKVLUWTXRTAEQ-QGZVFWFLSA-N 0 1 312.800 3.028 20 30 DGEDMN C#CCN1CCC(NC(=O)N[C@H](CCC(C)C)C(C)(C)C)CC1 ZINC001312000468 1111630582 /nfs/dbraw/zinc/63/05/82/1111630582.db2.gz WSVJNGMYCYMTML-QGZVFWFLSA-N 0 1 321.509 3.234 20 30 DGEDMN CCCCN(C(=O)NCC#CCN(C)C)[C@H](C)c1ccccc1 ZINC001313438355 1111716330 /nfs/dbraw/zinc/71/63/30/1111716330.db2.gz LFPBKWMJUSRJLS-QGZVFWFLSA-N 0 1 315.461 3.124 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC(C)C)c2ccccc2)[C@H]1C ZINC001316794099 1111768255 /nfs/dbraw/zinc/76/82/55/1111768255.db2.gz ICXRBWFDEUFVQS-QRQLOZEOSA-N 0 1 312.457 3.029 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C/C=C\c1ccc(C)cc1 ZINC001316807096 1111773948 /nfs/dbraw/zinc/77/39/48/1111773948.db2.gz ZOXVHCQGLIWQAW-AQWUKCDYSA-N 0 1 324.468 3.344 20 30 DGEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)[C@H](C)CCC)C2 ZINC001316809312 1111774594 /nfs/dbraw/zinc/77/45/94/1111774594.db2.gz KPFLCIOUJGJHEP-CYBMUJFWSA-N 0 1 321.490 3.130 20 30 DGEDMN C=C(C)COCCNCc1cc(OC)ccc1Br ZINC000128904922 432002132 /nfs/dbraw/zinc/00/21/32/432002132.db2.gz MXGPWDFJYZKDAX-UHFFFAOYSA-N 0 1 314.223 3.140 20 30 DGEDMN CC[C@H](C(=O)N(CC#N)C1CCCC1)N(C)Cc1ccccc1 ZINC000339280043 529591795 /nfs/dbraw/zinc/59/17/95/529591795.db2.gz DJWRIFQMORWZRF-GOSISDBHSA-N 0 1 313.445 3.192 20 30 DGEDMN Cc1ccc(C#N)cc1NS(=O)(=O)c1ccc(F)cc1Cl ZINC000063878563 432007390 /nfs/dbraw/zinc/00/73/90/432007390.db2.gz DGFXLEDKIUAKRR-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C[N@H+](Cc1ccc(CC#N)cc1)Cc1nc(=O)c2sccc2[n-]1 ZINC000343598385 529984496 /nfs/dbraw/zinc/98/44/96/529984496.db2.gz LIPGUVJXLFNGKK-UHFFFAOYSA-N 0 1 324.409 3.095 20 30 DGEDMN CCCN(Cc1ccc(C(=O)OC)[nH]1)Cc1ccc(C#N)cc1 ZINC000272712638 210117435 /nfs/dbraw/zinc/11/74/35/210117435.db2.gz BREYQHLQKXPKBF-UHFFFAOYSA-N 0 1 311.385 3.085 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1ccc(C(=O)NC)cc1 ZINC000066872364 432023730 /nfs/dbraw/zinc/02/37/30/432023730.db2.gz JXNSAMHXTRZUDT-UHFFFAOYSA-N 0 1 324.424 3.243 20 30 DGEDMN Cc1nn(-c2ccccc2)cc1CNCc1cccc(C#N)c1 ZINC000066972021 432025021 /nfs/dbraw/zinc/02/50/21/432025021.db2.gz PPZAYWQGYFYPGF-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN CC[C@](C)(NCCOc1cccc(C#N)c1)c1nccs1 ZINC000072682972 191214992 /nfs/dbraw/zinc/21/49/92/191214992.db2.gz DIFOXVOXCPDVTO-INIZCTEOSA-N 0 1 301.415 3.309 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N(C)CCc1cccs1 ZINC000072800952 191229894 /nfs/dbraw/zinc/22/98/94/191229894.db2.gz CNJKEJAMXFDJHP-ZDUSSCGKSA-N 0 1 313.426 3.121 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC(C)(C)C1 ZINC000072827458 191234792 /nfs/dbraw/zinc/23/47/92/191234792.db2.gz XWZMSKVKRGOCBA-LLVKDONJSA-N 0 1 305.809 3.271 20 30 DGEDMN CCNC(=O)c1cccc(CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000173925360 198269510 /nfs/dbraw/zinc/26/95/10/198269510.db2.gz WGTXMSXJVRJOPY-AWEZNQCLSA-N 0 1 307.397 3.159 20 30 DGEDMN C=CCCCC(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000175159256 198436035 /nfs/dbraw/zinc/43/60/35/198436035.db2.gz YORHBYZJNAHMNF-UHFFFAOYSA-N 0 1 301.434 3.042 20 30 DGEDMN N#CC1(CCN2CCCN(CC(F)(F)F)CC2)CCCCC1 ZINC000411165490 283044734 /nfs/dbraw/zinc/04/47/34/283044734.db2.gz PCKCDKRXZNKICG-UHFFFAOYSA-N 0 1 317.399 3.421 20 30 DGEDMN N#Cc1ccccc1CN(Cc1ccccc1)[C@H](CO)C1CC1 ZINC000179440037 432058037 /nfs/dbraw/zinc/05/80/37/432058037.db2.gz CADKQVIKKGDTGF-HXUWFJFHSA-N 0 1 306.409 3.331 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CCOC3(C2)CCCCCC3)cc1 ZINC000119650693 283189019 /nfs/dbraw/zinc/18/90/19/283189019.db2.gz QVNMETJFJHBCHD-GOSISDBHSA-N 0 1 314.429 3.017 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C)C(=O)N(C)C(C)C ZINC000298004669 283292600 /nfs/dbraw/zinc/29/26/00/283292600.db2.gz YHYLOOLVPFXVIH-HNNXBMFYSA-N 0 1 301.434 3.026 20 30 DGEDMN CN(Cc1ccc(C#N)s1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000179472928 199042320 /nfs/dbraw/zinc/04/23/20/199042320.db2.gz AYFUMADVVOGXBG-LBPRGKRZSA-N 0 1 321.446 3.061 20 30 DGEDMN N#Cc1sc(NCCN2CCc3ccccc3C2)nc1Cl ZINC000280974969 215998961 /nfs/dbraw/zinc/99/89/61/215998961.db2.gz MNNHYKKSUUHNRM-UHFFFAOYSA-N 0 1 318.833 3.138 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN(C/C=C/c3ccc(F)cc3)C2)nc1 ZINC000430175607 238069769 /nfs/dbraw/zinc/06/97/69/238069769.db2.gz CWHILZRKLLVBJU-ZMXQLYDGSA-N 0 1 323.371 3.259 20 30 DGEDMN C=CCOc1ccc(CN(CC(=O)N2CCC2)C(C)(C)C)cc1 ZINC000268461194 432091052 /nfs/dbraw/zinc/09/10/52/432091052.db2.gz DZZLJSYSNWATND-UHFFFAOYSA-N 0 1 316.445 3.084 20 30 DGEDMN COCCN(Cc1cccc(C#N)c1F)[C@@H]1CCCSC1 ZINC000433183393 238149625 /nfs/dbraw/zinc/14/96/25/238149625.db2.gz RHRQLQPJHZRWEL-OAHLLOKOSA-N 0 1 308.422 3.041 20 30 DGEDMN C[C@@H](O)C[C@H]1CCCN1Cc1cc(C#N)ccc1Br ZINC000191815782 200770188 /nfs/dbraw/zinc/77/01/88/200770188.db2.gz XGEAVFZGYKGXNA-BXUZGUMPSA-N 0 1 323.234 3.056 20 30 DGEDMN CO[C@H]1CCN(Cc2ccc(C#N)cc2OC(F)F)[C@@H](C)C1 ZINC000441379362 239043984 /nfs/dbraw/zinc/04/39/84/239043984.db2.gz PCMAWXMNFONGRX-FZMZJTMJSA-N 0 1 310.344 3.159 20 30 DGEDMN Cc1nc(-c2ccc(C(=O)C(C#N)c3ccncn3)cc2)cs1 ZINC000442548366 239096118 /nfs/dbraw/zinc/09/61/18/239096118.db2.gz XXGCSAWYTLJOAY-CQSZACIVSA-N 0 1 320.377 3.399 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC000442709348 239113782 /nfs/dbraw/zinc/11/37/82/239113782.db2.gz ABWYOOABQNXURY-CABCVRRESA-N 0 1 306.413 3.143 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3ccsc3s2)cc1O ZINC000442645590 239104512 /nfs/dbraw/zinc/10/45/12/239104512.db2.gz GGOHRTDVDOFWRT-UHFFFAOYSA-N 0 1 301.352 3.187 20 30 DGEDMN C=C[C@@H]1CCCCN1C[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000449289248 240113962 /nfs/dbraw/zinc/11/39/62/240113962.db2.gz RKSIDJXNACIVCN-GOEBONIOSA-N 0 1 307.459 3.234 20 30 DGEDMN C[C@H]1CN(Cc2ccc(C#N)cc2Cl)CC[N@H+](C2CC2)C1 ZINC000451096640 241002464 /nfs/dbraw/zinc/00/24/64/241002464.db2.gz XOTCHJWKXAXWCF-ZDUSSCGKSA-N 0 1 303.837 3.128 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000451608569 241188538 /nfs/dbraw/zinc/18/85/38/241188538.db2.gz NWPWBFPPHRKEHL-KGLIPLIRSA-N 0 1 306.312 3.053 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN(Cc2ccccc2F)C2CC2)cc1 ZINC000268605404 432110258 /nfs/dbraw/zinc/11/02/58/432110258.db2.gz JJHNRUIUXVGDHM-IBGZPJMESA-N 0 1 310.372 3.395 20 30 DGEDMN CCO[C@@H]1C[C@H]([N@@H+](C)Cc2ccc(OCC#N)cc2)C12CCC2 ZINC000268616056 432112285 /nfs/dbraw/zinc/11/22/85/432112285.db2.gz ACIJFXBBNPJYDB-ZWKOTPCHSA-N 0 1 314.429 3.368 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3c[nH]c(C#N)c3)cc2[nH]1 ZINC000181088104 432112881 /nfs/dbraw/zinc/11/28/81/432112881.db2.gz RKFOWEPBPOKVSU-UHFFFAOYSA-N 0 1 307.357 3.358 20 30 DGEDMN COC[C@H]1CCCN(Cc2ccc(C#N)cc2Br)C1 ZINC000181110670 432113521 /nfs/dbraw/zinc/11/35/21/432113521.db2.gz DLHBERNUTZGHIA-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN C=CCC[C@H](C(=O)N[C@@H](C)c1n[nH]c(CC)n1)c1ccccc1 ZINC000275158454 212159614 /nfs/dbraw/zinc/15/96/14/212159614.db2.gz ACNKQTWMHSHTNF-ZFWWWQNUSA-N 0 1 312.417 3.294 20 30 DGEDMN N#C[C@@H]1CCC[C@H]1NCCOc1ccc(OC(F)(F)F)cc1 ZINC000459468725 243383067 /nfs/dbraw/zinc/38/30/67/243383067.db2.gz RUIIVKGUZLDZOK-SMDDNHRTSA-N 0 1 314.307 3.246 20 30 DGEDMN CC(C)C[C@@H](C)N(C)C(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271749806 209180109 /nfs/dbraw/zinc/18/01/09/209180109.db2.gz FKWWGEBZOXAVQF-OAHLLOKOSA-N 0 1 313.445 3.030 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)C(=O)c1c(O)cccc1F ZINC000119830170 284153112 /nfs/dbraw/zinc/15/31/12/284153112.db2.gz PZFXHCBKKVJSFB-UHFFFAOYSA-N 0 1 312.344 3.455 20 30 DGEDMN C[C@H]1COC(C)(C)CN1Cc1cccc(OCCCC#N)c1 ZINC000488381641 245182461 /nfs/dbraw/zinc/18/24/61/245182461.db2.gz SYMRKVWJDPUYIJ-HNNXBMFYSA-N 0 1 302.418 3.368 20 30 DGEDMN CC[C@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc(C#N)c(C)c1 ZINC000488447397 245193194 /nfs/dbraw/zinc/19/31/94/245193194.db2.gz JAMAHSRIEIQFAA-OALUTQOASA-N 0 1 313.445 3.088 20 30 DGEDMN C[N@@H+](CCc1ccc(Cl)cc1)C[C@H](O)c1ccc(C#N)cc1 ZINC000268709273 432123909 /nfs/dbraw/zinc/12/39/09/432123909.db2.gz SWRWOIDTRLLSET-SFHVURJKSA-N 0 1 314.816 3.420 20 30 DGEDMN CCc1ccc([C@@H](C#N)NC(=O)Cc2[nH]nc3ccccc32)cc1 ZINC000489945875 245364878 /nfs/dbraw/zinc/36/48/78/245364878.db2.gz KNZRQBJOUIEMJV-GOSISDBHSA-N 0 1 318.380 3.049 20 30 DGEDMN Cc1ccc(C)c(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)c1 ZINC000271710942 209147120 /nfs/dbraw/zinc/14/71/20/209147120.db2.gz VWFFBSFQQLCVDZ-HNNXBMFYSA-N 0 1 307.397 3.464 20 30 DGEDMN CN(C)C[C@H](NCc1ccc(C#N)o1)c1ccc(Cl)cc1 ZINC000282907947 217317334 /nfs/dbraw/zinc/31/73/34/217317334.db2.gz USVYDGPXLFIKFE-INIZCTEOSA-N 0 1 303.793 3.197 20 30 DGEDMN CCN(C[C@H](C)C#N)C[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000494102397 246113136 /nfs/dbraw/zinc/11/31/36/246113136.db2.gz QLVRUKCEEVAFFI-HUUCEWRRSA-N 0 1 309.454 3.115 20 30 DGEDMN Cc1cc(CN[C@@H](C)c2ccc(C#N)cc2)nc(N2CCCC2)n1 ZINC000293888350 223751165 /nfs/dbraw/zinc/75/11/65/223751165.db2.gz ZBAHNVCWBRUHKO-HNNXBMFYSA-N 0 1 321.428 3.108 20 30 DGEDMN COCCN(C/C=C\c1ccncc1)Cc1ccc(C#N)cc1 ZINC000494918998 246210134 /nfs/dbraw/zinc/21/01/34/246210134.db2.gz GCAACVZWGAXDNS-IHWYPQMZSA-N 0 1 307.397 3.115 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000190269736 432139186 /nfs/dbraw/zinc/13/91/86/432139186.db2.gz CMTZMPJIZOUEPW-BEFAXECRSA-N 0 1 300.446 3.152 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000041519694 183196981 /nfs/dbraw/zinc/19/69/81/183196981.db2.gz SIVDEQPJIFOENF-LLVKDONJSA-N 0 1 301.327 3.158 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cccc([N+](=O)[O-])c2C)n1 ZINC000041519694 183196984 /nfs/dbraw/zinc/19/69/84/183196984.db2.gz SIVDEQPJIFOENF-LLVKDONJSA-N 0 1 301.327 3.158 20 30 DGEDMN C=CCCOCCN[C@H](C)c1cc(Br)ncc1F ZINC000273829039 211094269 /nfs/dbraw/zinc/09/42/69/211094269.db2.gz FLWGCHYTMYCOOI-SNVBAGLBSA-N 0 1 317.202 3.227 20 30 DGEDMN COCC1(C#N)CCN(C[C@@H](OC)c2ccc(Cl)cc2)CC1 ZINC000341985862 284798834 /nfs/dbraw/zinc/79/88/34/284798834.db2.gz XTUKTKSUJNXKNI-MRXNPFEDSA-N 0 1 322.836 3.280 20 30 DGEDMN COC(=O)CC[C@@H](NCc1ccc(C#N)cc1)c1ccccc1 ZINC000106248119 252625563 /nfs/dbraw/zinc/62/55/63/252625563.db2.gz NRBIEXFOZVBLFA-GOSISDBHSA-N 0 1 308.381 3.342 20 30 DGEDMN CCN(Cc1cc(C(N)=O)cs1)[C@H](C)c1ccc(C#N)cc1 ZINC000119879228 195054305 /nfs/dbraw/zinc/05/43/05/195054305.db2.gz XGYQUYCPKZDMDZ-GFCCVEGCSA-N 0 1 313.426 3.302 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc2c(c1)OCCO2 ZINC000120130113 195101857 /nfs/dbraw/zinc/10/18/57/195101857.db2.gz HUIUYVWYUAKRGM-LBPRGKRZSA-N 0 1 312.344 3.319 20 30 DGEDMN C=C(C)[C@H](CC(=O)NC[C@@H](c1ccco1)N(CC)CC)OCC ZINC000270041529 208095330 /nfs/dbraw/zinc/09/53/30/208095330.db2.gz JYKDWQAHNIFYJS-RDJZCZTQSA-N 0 1 322.449 3.150 20 30 DGEDMN CSc1ccccc1NC(=O)CCN1CCC(F)(C#N)CC1 ZINC000352458255 533047001 /nfs/dbraw/zinc/04/70/01/533047001.db2.gz IKDWPVSBZBUHBO-UHFFFAOYSA-N 0 1 321.421 3.065 20 30 DGEDMN CSc1ccccc1[C@@H](C)N[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000336969781 533058487 /nfs/dbraw/zinc/05/84/87/533058487.db2.gz NZKWFOJQQJQKKM-CHWSQXEVSA-N 0 1 317.458 3.010 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000158031443 197237338 /nfs/dbraw/zinc/23/73/38/197237338.db2.gz PXTQDUAWFCVQPR-CQSZACIVSA-N 0 1 318.465 3.427 20 30 DGEDMN Cc1ccc(Cl)c(NS(=O)(=O)c2ccc(C#N)o2)c1C ZINC000342576050 254011613 /nfs/dbraw/zinc/01/16/13/254011613.db2.gz VRSGDDAVMIRXHY-UHFFFAOYSA-N 0 1 310.762 3.222 20 30 DGEDMN C[C@H](NCC(=O)N1c2ccccc2C[C@@H]1C)c1ccc(C#N)cc1 ZINC000271656133 209100327 /nfs/dbraw/zinc/10/03/27/209100327.db2.gz KDEJNNPWHCBDFX-GJZGRUSLSA-N 0 1 319.408 3.187 20 30 DGEDMN Cc1ccc([C@@H](CNc2nccc(C)c2C#N)N2CCCC2)o1 ZINC000343539560 254157731 /nfs/dbraw/zinc/15/77/31/254157731.db2.gz WDQRPWQYPDPEIQ-MRXNPFEDSA-N 0 1 310.401 3.412 20 30 DGEDMN Cc1nc(N2CCN(CCCC(C)(C)C#N)CC2)sc1C ZINC000157460034 197189174 /nfs/dbraw/zinc/18/91/74/197189174.db2.gz ZIPLJTQQBLUPLG-UHFFFAOYSA-N 0 1 306.479 3.212 20 30 DGEDMN CCOc1ccccc1N1CCN(CCC(C)(C)C#N)CC1 ZINC000157333171 197180225 /nfs/dbraw/zinc/18/02/25/197180225.db2.gz PSHXOFUQGXVNBC-UHFFFAOYSA-N 0 1 301.434 3.147 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCN(CCc2ccccc2)CC1 ZINC000343721895 254180854 /nfs/dbraw/zinc/18/08/54/254180854.db2.gz KUYXVSYEVNADQB-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN N#Cc1ccc2ncc(CNCc3cnc4ccccc4c3)n2c1 ZINC000343742878 254183940 /nfs/dbraw/zinc/18/39/40/254183940.db2.gz TXIFJJOLTAYVBB-UHFFFAOYSA-N 0 1 313.364 3.044 20 30 DGEDMN C[C@@H]1CN(Cc2nnc(-c3cccc(C#N)c3)o2)CC(C)(C)C1 ZINC000344096873 254224895 /nfs/dbraw/zinc/22/48/95/254224895.db2.gz XEABVQMGURORPX-ZDUSSCGKSA-N 0 1 310.401 3.476 20 30 DGEDMN CCC[C@]1(CO)CCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000344190973 254237624 /nfs/dbraw/zinc/23/76/24/254237624.db2.gz YGCPZWSEIUYEMJ-KRWDZBQOSA-N 0 1 324.371 3.144 20 30 DGEDMN CC(C)(C)OC(=O)c1ccc(CN2CCC(C#N)CC2)cc1 ZINC000157169850 197167893 /nfs/dbraw/zinc/16/78/93/197167893.db2.gz HARLKFRMMKJTKY-UHFFFAOYSA-N 0 1 300.402 3.377 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2cc(F)ccc2C#N)CC1 ZINC000345772017 254396864 /nfs/dbraw/zinc/39/68/64/254396864.db2.gz NNHGEWAJZANBJI-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN Cc1cnccc1CN1CCC(Oc2cccc(C#N)c2)CC1 ZINC000294866885 224273742 /nfs/dbraw/zinc/27/37/42/224273742.db2.gz PWVOGPOIQVPGSP-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN O[C@@H]1C[C@@H](c2ccccc2)N(CC#Cc2ccc(Cl)cc2)C1 ZINC000411936639 285333911 /nfs/dbraw/zinc/33/39/11/285333911.db2.gz ITOOQYXQNMRQSU-MOPGFXCFSA-N 0 1 311.812 3.499 20 30 DGEDMN CSCc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1 ZINC000066588315 400100770 /nfs/dbraw/zinc/10/07/70/400100770.db2.gz XMUOCKCHIUOERX-UHFFFAOYSA-N 0 1 318.423 3.222 20 30 DGEDMN N#CC1(CC(=O)N2CCNC[C@H]2c2ccccc2)CCCCC1 ZINC000595251463 400114348 /nfs/dbraw/zinc/11/43/48/400114348.db2.gz WEQQJEHJHXBWOB-KRWDZBQOSA-N 0 1 311.429 3.024 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1CCC2(CCCCC2)CC1 ZINC000608833573 400128215 /nfs/dbraw/zinc/12/82/15/400128215.db2.gz SUFRRTWIOJUQLT-FUHWJXTLSA-N 0 1 319.493 3.476 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N(CC#N)c2ccccc2)o1 ZINC000608871901 400129740 /nfs/dbraw/zinc/12/97/40/400129740.db2.gz FYMXCWOLFNGGFD-UHFFFAOYSA-N 0 1 311.385 3.292 20 30 DGEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(Cc2ccc(C#N)c(F)c2)[C@@H]1C ZINC000595554774 400186220 /nfs/dbraw/zinc/18/62/20/400186220.db2.gz RCTVHSMRMOIKKO-WOSRLPQWSA-N 0 1 318.392 3.249 20 30 DGEDMN N#Cc1ccc(NC(=O)CNC2(c3ccc(F)cc3)CCC2)cc1 ZINC000609194281 400149666 /nfs/dbraw/zinc/14/96/66/400149666.db2.gz NYQFFLMKORFOPT-UHFFFAOYSA-N 0 1 323.371 3.305 20 30 DGEDMN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccc(C#N)cc1C(F)(F)F ZINC000595450860 400155069 /nfs/dbraw/zinc/15/50/69/400155069.db2.gz DSWVDOCDJWKPPK-GNXNZQSNSA-N 0 1 312.335 3.311 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3ccccn3)C2)c([N+](=O)[O-])c1 ZINC000595500845 400168975 /nfs/dbraw/zinc/16/89/75/400168975.db2.gz FTHFDDUUFYAELO-OAHLLOKOSA-N 0 1 322.368 3.241 20 30 DGEDMN N#CC1(CN[C@@]2(Cc3ccc(Cl)cc3)CCCOC2)CC1 ZINC000595671266 400222536 /nfs/dbraw/zinc/22/25/36/400222536.db2.gz NTCJRYYGIAHGNK-QGZVFWFLSA-N 0 1 304.821 3.325 20 30 DGEDMN C[C@@H](CCO)N(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000093303091 400238361 /nfs/dbraw/zinc/23/83/61/400238361.db2.gz XOLVHABSNDYULX-HNNXBMFYSA-N 0 1 312.388 3.470 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(C#N)cc3)CC2)c1 ZINC000025750452 400243509 /nfs/dbraw/zinc/24/35/09/400243509.db2.gz QUTHYJZCIXNSEX-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN COc1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000610344016 400244844 /nfs/dbraw/zinc/24/48/44/400244844.db2.gz YJXHDRQDPDIGLM-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)NC1CCC(C)CC1 ZINC000610343986 400244976 /nfs/dbraw/zinc/24/49/76/400244976.db2.gz XSXDXLKSWMCRPM-DIODGJHASA-N 0 1 313.445 3.292 20 30 DGEDMN COC(=O)[C@@H]1CCN(Cc2ccccc2C#N)[C@H]2CCCC[C@H]12 ZINC000595732948 400245926 /nfs/dbraw/zinc/24/59/26/400245926.db2.gz KPXJWODFLSRKPU-KURKYZTESA-N 0 1 312.413 3.112 20 30 DGEDMN C=CCN(Cc1cc(C(=O)OC)ccc1[N+](=O)[O-])C(C)(C)C ZINC000595765782 400252789 /nfs/dbraw/zinc/25/27/89/400252789.db2.gz ONIRYSMISZTGSN-UHFFFAOYSA-N 0 1 306.362 3.168 20 30 DGEDMN C=CCN(CC(=O)Nc1cc(Cl)ccc1C#N)C(C)(C)C ZINC000595764820 400253277 /nfs/dbraw/zinc/25/32/77/400253277.db2.gz DNYPKWYWDYEHSX-UHFFFAOYSA-N 0 1 305.809 3.437 20 30 DGEDMN C[N@@H+](Cc1ccc(Cl)cc1C#N)[C@@H](CO)Cc1ccccc1 ZINC000595592797 400196145 /nfs/dbraw/zinc/19/61/45/400196145.db2.gz QPHNKLXVBTZRSI-GOSISDBHSA-N 0 1 314.816 3.247 20 30 DGEDMN CN(Cc1ccc(Cl)cc1C#N)[C@@H](CO)Cc1ccccc1 ZINC000595592797 400196146 /nfs/dbraw/zinc/19/61/46/400196146.db2.gz QPHNKLXVBTZRSI-GOSISDBHSA-N 0 1 314.816 3.247 20 30 DGEDMN CCN(C(=O)[C@H](C)N1CCC[C@H](CC#N)C1)C1CCCCC1 ZINC000595609474 400203023 /nfs/dbraw/zinc/20/30/23/400203023.db2.gz KKWGDZKVSSPCPS-JKSUJKDBSA-N 0 1 305.466 3.182 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000022775167 400204703 /nfs/dbraw/zinc/20/47/03/400204703.db2.gz CJUQYUCMQPFMJD-HNNXBMFYSA-N 0 1 323.392 3.321 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3cccc(C#N)c3)CC2)c1 ZINC000022773937 400204904 /nfs/dbraw/zinc/20/49/04/400204904.db2.gz CUUONCIMVWRXHW-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN COC(=O)c1cc2cc(CN3CCC[C@@H](CC#N)C3)ccc2o1 ZINC000595613357 400206279 /nfs/dbraw/zinc/20/62/79/400206279.db2.gz BUCIYPRVNYWSIJ-ZDUSSCGKSA-N 0 1 312.369 3.345 20 30 DGEDMN COc1cc(C#N)ccc1CNC(C)(C)c1cccc(C#N)c1 ZINC000595640665 400215338 /nfs/dbraw/zinc/21/53/38/400215338.db2.gz UKHQEKBHHGKDKL-UHFFFAOYSA-N 0 1 305.381 3.463 20 30 DGEDMN C[C@@H]1CCC[C@H](C)N1C(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595643321 400216907 /nfs/dbraw/zinc/21/69/07/400216907.db2.gz XMIUCGWAMRZUSV-GASCZTMLSA-N 0 1 313.445 3.172 20 30 DGEDMN COc1nc(C)cc(C)c1CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000589339141 400289595 /nfs/dbraw/zinc/28/95/95/400289595.db2.gz SWQMWPILXJGVEM-GOSISDBHSA-N 0 1 307.397 3.356 20 30 DGEDMN N#CC1(CCN2CCc3c(cccc3C(N)=O)C2)CCCCC1 ZINC000595903932 400291108 /nfs/dbraw/zinc/29/11/08/400291108.db2.gz VEDKKLJGMSKCMD-UHFFFAOYSA-N 0 1 311.429 3.008 20 30 DGEDMN N#Cc1c(CN2C[C@]34COC[C@@]3(C2)CCCC4)cn2ccccc12 ZINC000595904829 400292350 /nfs/dbraw/zinc/29/23/50/400292350.db2.gz MAHPZQZSTUMDMW-BGYRXZFFSA-N 0 1 321.424 3.204 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N(C)C[C@@H]2CC2(C)C)c(C#N)c1C ZINC000595909065 400292581 /nfs/dbraw/zinc/29/25/81/400292581.db2.gz LQHFBIIJZVTYDD-AAEUAGOBSA-N 0 1 303.406 3.073 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccccc2)[C@@H](C)CC)CC1 ZINC000068919152 400299693 /nfs/dbraw/zinc/29/96/93/400299693.db2.gz LLHOMUYVBGGTHV-KRWDZBQOSA-N 0 1 312.457 3.159 20 30 DGEDMN Cn1cc(CN[C@H]2CCc3cc(C#N)ccc32)c(C(F)F)n1 ZINC000589735940 400324332 /nfs/dbraw/zinc/32/43/32/400324332.db2.gz LAXKRXHITIKDOL-AWEZNQCLSA-N 0 1 302.328 3.006 20 30 DGEDMN N#CCc1cc(Cl)ccc1NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000521641444 400310732 /nfs/dbraw/zinc/31/07/32/400310732.db2.gz JYFJFUSCCPOHFX-GFCCVEGCSA-N 0 1 314.776 3.188 20 30 DGEDMN N#Cc1c(F)cccc1CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000595786873 400259386 /nfs/dbraw/zinc/25/93/86/400259386.db2.gz IDSBSBIYLYPLAF-CRAIPNDOSA-N 0 1 302.393 3.478 20 30 DGEDMN CN(C)[C@@H](CNc1ccc(C#N)cc1[N+](=O)[O-])c1ccsc1 ZINC000026720282 400260729 /nfs/dbraw/zinc/26/07/29/400260729.db2.gz LUXHMZLVPJJREJ-HNNXBMFYSA-N 0 1 316.386 3.243 20 30 DGEDMN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000610480890 400262337 /nfs/dbraw/zinc/26/23/37/400262337.db2.gz XKNQSWXMCCHNFL-IIDMSEBBSA-N 0 1 313.445 3.388 20 30 DGEDMN C[C@@H]1CCc2c1[nH]n(-c1ccc(C#N)c(C(F)(F)F)c1)c2=O ZINC000601938790 400380714 /nfs/dbraw/zinc/38/07/14/400380714.db2.gz JMPWEKCLBIVFSL-KCJUWKMLSA-N 0 1 307.275 3.326 20 30 DGEDMN COc1ccc2ccccc2c1Cn1[nH]c(C)c(CCC#N)c1=O ZINC000601951194 400385962 /nfs/dbraw/zinc/38/59/62/400385962.db2.gz UGSFAUAPVUONQB-OAHLLOKOSA-N 0 1 321.380 3.486 20 30 DGEDMN C[C@@H](NCC(=O)NCCC1CCCCC1)c1ccc(C#N)cc1 ZINC000611381823 400387523 /nfs/dbraw/zinc/38/75/23/400387523.db2.gz GMQSGOINXVRMFS-OAHLLOKOSA-N 0 1 313.445 3.295 20 30 DGEDMN C[C@@H](NCC(=O)N(C)CC1CCCCC1)c1cccc(C#N)c1 ZINC000611386455 400388468 /nfs/dbraw/zinc/38/84/68/400388468.db2.gz KMPCVFJUYHGHSD-OAHLLOKOSA-N 0 1 313.445 3.248 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@@H](c2ccnc(C)n2)C1 ZINC000610953101 400327737 /nfs/dbraw/zinc/32/77/37/400327737.db2.gz LORVBZHBWJBFNV-MRXNPFEDSA-N 0 1 322.412 3.045 20 30 DGEDMN N#Cc1nc(N2CCN(C3CCCCC3)CC2)sc1Cl ZINC000596170220 400339237 /nfs/dbraw/zinc/33/92/37/400339237.db2.gz YMFCMCUPGZPKMS-UHFFFAOYSA-N 0 1 310.854 3.123 20 30 DGEDMN Cc1cc(N[C@H]2CN(C)Cc3ccccc32)cc(C#N)c1[N+](=O)[O-] ZINC000596174757 400340623 /nfs/dbraw/zinc/34/06/23/400340623.db2.gz GJDRMJWYJNRCDR-KRWDZBQOSA-N 0 1 322.368 3.374 20 30 DGEDMN C[C@H](C(=O)Nc1ccc(C#N)c(Cl)c1)N1CCC2(CC2)CC1 ZINC000611177200 400355378 /nfs/dbraw/zinc/35/53/78/400355378.db2.gz ALAOXRGFKHNTPX-GFCCVEGCSA-N 0 1 317.820 3.415 20 30 DGEDMN CN(C)c1ccc(CN2CC=C(c3ccc(C#N)cc3)CC2)cn1 ZINC000611287735 400374028 /nfs/dbraw/zinc/37/40/28/400374028.db2.gz PJXCICCXZUGQFR-UHFFFAOYSA-N 0 1 318.424 3.309 20 30 DGEDMN CCc1nn(C)cc1CN1CC=C(c2ccc(C#N)cc2)CC1 ZINC000611417736 400397975 /nfs/dbraw/zinc/39/79/75/400397975.db2.gz HRNOVAJINMKTTQ-UHFFFAOYSA-N 0 1 306.413 3.143 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1cccc(C#N)c1 ZINC000611438729 400401178 /nfs/dbraw/zinc/40/11/78/400401178.db2.gz XUANUXMROQXJCX-RDTXWAMCSA-N 0 1 311.429 3.142 20 30 DGEDMN C[C@H]1CN(CC(=O)c2cn(CCC#N)c3ccccc23)C[C@@H]1C ZINC000072871663 400410544 /nfs/dbraw/zinc/41/05/44/400410544.db2.gz KHSUIABAGCYKGH-GJZGRUSLSA-N 0 1 309.413 3.325 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)C(C)(C)C#N)c1ccccc1Cl ZINC000042127695 400423933 /nfs/dbraw/zinc/42/39/33/400423933.db2.gz ZHUOUHDTOOYJGW-OAHLLOKOSA-N 0 1 321.852 3.389 20 30 DGEDMN COc1cccc(N2CCN([C@@H](C)c3ccc(C#N)cc3)CC2)c1 ZINC000118390634 400428252 /nfs/dbraw/zinc/42/82/52/400428252.db2.gz WBWDSZBGOICGKX-INIZCTEOSA-N 0 1 321.424 3.450 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)NCc1nc(C2CC2)cs1 ZINC000602571459 400500075 /nfs/dbraw/zinc/50/00/75/400500075.db2.gz MTURIVZOVHWSBU-LBPRGKRZSA-N 0 1 305.447 3.209 20 30 DGEDMN C[C@@H](NCc1cccc(C#N)n1)c1cccc(N2CCCC2)c1 ZINC000602573677 400500553 /nfs/dbraw/zinc/50/05/53/400500553.db2.gz KEEADIOWZJCPLF-OAHLLOKOSA-N 0 1 306.413 3.404 20 30 DGEDMN Cc1ccc([C@@H]2CCCN2CC(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000074255322 400500564 /nfs/dbraw/zinc/50/05/64/400500564.db2.gz TYJNYJNNXGSKFG-HKUYNNGSSA-N 0 1 313.445 3.186 20 30 DGEDMN C[C@H](NCc1cccc(C#N)n1)c1cccc(N2CCCC2)c1 ZINC000602573676 400500694 /nfs/dbraw/zinc/50/06/94/400500694.db2.gz KEEADIOWZJCPLF-HNNXBMFYSA-N 0 1 306.413 3.404 20 30 DGEDMN C[C@]1(C#N)CCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)C1 ZINC000596810638 400503451 /nfs/dbraw/zinc/50/34/51/400503451.db2.gz XIJMBNHZMZNFRJ-OAHLLOKOSA-N 0 1 319.315 3.268 20 30 DGEDMN COc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(C#N)cc2)cc1 ZINC000127521205 400503776 /nfs/dbraw/zinc/50/37/76/400503776.db2.gz ZBAQTARVKYDVBZ-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)n1 ZINC000602438113 400462141 /nfs/dbraw/zinc/46/21/41/400462141.db2.gz NSIFAKQDWQSCNJ-CQSZACIVSA-N 0 1 318.380 3.474 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)Cc1cc2c(cn1)OCCO2 ZINC000602441774 400463933 /nfs/dbraw/zinc/46/39/33/400463933.db2.gz ZYYFAUMCWHCJLX-UHFFFAOYSA-N 0 1 323.396 3.135 20 30 DGEDMN CCN(Cc1cc2c(cn1)OCCO2)[C@H](C)c1ccc(C#N)cc1 ZINC000602446057 400465516 /nfs/dbraw/zinc/46/55/16/400465516.db2.gz ZWMFHPPUDWGXFB-CQSZACIVSA-N 0 1 323.396 3.308 20 30 DGEDMN C[C@H](CCC#N)CN1CCN(C(=O)CC2CCCCC2)CC1 ZINC000602461860 400468381 /nfs/dbraw/zinc/46/83/81/400468381.db2.gz FPHAFDBUAFJBPC-MRXNPFEDSA-N 0 1 305.466 3.041 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](N(CCC#N)CC1CC1)CC2 ZINC000602465622 400469336 /nfs/dbraw/zinc/46/93/36/400469336.db2.gz QQPZQVQIYCNQLD-INIZCTEOSA-N 0 1 300.402 3.317 20 30 DGEDMN CN(C)Cc1cc(-c2cccc(C[C@H](C#N)CO)c2)ccc1F ZINC000596646832 400471074 /nfs/dbraw/zinc/47/10/74/400471074.db2.gz OYHNBVMCRPHKNV-OAHLLOKOSA-N 0 1 312.388 3.229 20 30 DGEDMN C[C@@H](CCC#N)CN[C@H](c1nccn1C)c1cccc(F)c1 ZINC000602500794 400480616 /nfs/dbraw/zinc/48/06/16/400480616.db2.gz PWXHWFWBLMVFMK-BBRMVZONSA-N 0 1 300.381 3.178 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CC[C@H](C2CCC2)C1 ZINC000591062222 400480862 /nfs/dbraw/zinc/48/08/62/400480862.db2.gz YEOCQYMDNQBMMJ-AWEZNQCLSA-N 0 1 317.820 3.272 20 30 DGEDMN C[C@@H](Oc1ccc(F)cc1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000073973258 400482401 /nfs/dbraw/zinc/48/24/01/400482401.db2.gz JQRTXAVMFBLXDI-SECBINFHSA-N 0 1 316.292 3.259 20 30 DGEDMN N#Cc1cccc(CNCc2cccc(OCC(F)(F)F)c2)n1 ZINC000602514067 400485004 /nfs/dbraw/zinc/48/50/04/400485004.db2.gz LCJAEHZZIFGRDT-UHFFFAOYSA-N 0 1 321.302 3.184 20 30 DGEDMN Cc1ccc(-n2cnc3c2CCN(C[C@H](C)CCC#N)C3)cc1 ZINC000602633256 400518901 /nfs/dbraw/zinc/51/89/01/400518901.db2.gz XZFSAXRNKPHDLP-MRXNPFEDSA-N 0 1 308.429 3.479 20 30 DGEDMN COC(=O)c1nc([C@@H]2CCCN2C[C@H](C)CCC#N)sc1C ZINC000602653422 400525073 /nfs/dbraw/zinc/52/50/73/400525073.db2.gz ZYMYUHDYFYRRNW-YPMHNXCESA-N 0 1 321.446 3.315 20 30 DGEDMN N#Cc1cccc(CN[C@H]2c3ccccc3CC23CCOCC3)n1 ZINC000602666319 400531074 /nfs/dbraw/zinc/53/10/74/400531074.db2.gz HIXAZQSWEJLMIP-IBGZPJMESA-N 0 1 319.408 3.137 20 30 DGEDMN N#Cc1cccc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)n1 ZINC000602686186 400537940 /nfs/dbraw/zinc/53/79/40/400537940.db2.gz BUEJDBMNUWAVTH-IBGZPJMESA-N 0 1 321.424 3.337 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000618313847 400565325 /nfs/dbraw/zinc/56/53/25/400565325.db2.gz SXGJJIWCDVDBTH-LSDHHAIUSA-N 0 1 312.335 3.002 20 30 DGEDMN N#CC1(c2ccc(CN3C[C@@]4(CCCO4)[C@H]3C3CC3)cc2)CC1 ZINC000597135996 400567469 /nfs/dbraw/zinc/56/74/69/400567469.db2.gz RLKBTPWKXAZERY-QUCCMNQESA-N 0 1 308.425 3.385 20 30 DGEDMN N#CC1(c2ccc(CN3C[C@]4(CCCO4)[C@H]3C3CC3)cc2)CC1 ZINC000597135997 400567572 /nfs/dbraw/zinc/56/75/72/400567572.db2.gz RLKBTPWKXAZERY-UYAOXDASSA-N 0 1 308.425 3.385 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000140985144 400622485 /nfs/dbraw/zinc/62/24/85/400622485.db2.gz JZGHINBUSAEGCZ-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN CCCN(CC(=O)N1CCC(C)CC1)Cc1ccc(C#N)cc1 ZINC000047740199 400629717 /nfs/dbraw/zinc/62/97/17/400629717.db2.gz WLQPOJWNOAZMLY-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN Cc1cc(CN[C@H](c2ccncc2)C2(CO)CCC2)ccc1C#N ZINC000597255645 400601210 /nfs/dbraw/zinc/60/12/10/400601210.db2.gz XSGWAAXYOCYRBH-LJQANCHMSA-N 0 1 321.424 3.255 20 30 DGEDMN N#CC(C(=O)c1ccc([N+](=O)[O-])cc1)c1nc(C2CC2)cs1 ZINC000592397742 400645155 /nfs/dbraw/zinc/64/51/55/400645155.db2.gz SAOCURMOBQNXAH-GFCCVEGCSA-N 0 1 313.338 3.419 20 30 DGEDMN N#C[C@H](C(=O)c1ccc([N+](=O)[O-])cc1)c1nc(C2CC2)cs1 ZINC000592397742 400645159 /nfs/dbraw/zinc/64/51/59/400645159.db2.gz SAOCURMOBQNXAH-GFCCVEGCSA-N 0 1 313.338 3.419 20 30 DGEDMN O=C(COc1cccc(Cl)c1)Nc1nc(-c2ccco2)n[nH]1 ZINC000076462040 400655760 /nfs/dbraw/zinc/65/57/60/400655760.db2.gz OSAKZVAUCXKLLO-UHFFFAOYSA-N 0 1 318.720 3.385 20 30 DGEDMN CCN1C[C@@H](C)N(c2nc(C(C)(C)C)ccc2C#N)C[C@H]1C ZINC000613431118 400718135 /nfs/dbraw/zinc/71/81/35/400718135.db2.gz OTSALPMEPMMRTJ-ZIAGYGMSSA-N 0 1 300.450 3.170 20 30 DGEDMN COc1cc2c(cc1-c1nc3ccc(C#N)cc3[nH]1)O[C@@H](C)C2 ZINC000603835682 400720697 /nfs/dbraw/zinc/72/06/97/400720697.db2.gz HYJOYGHJLSPVGH-JTQLQIEISA-N 0 1 305.337 3.434 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CC[C@@](C)(C#N)C3)n[nH]2)c(C)c1 ZINC000598415542 400808827 /nfs/dbraw/zinc/80/88/27/400808827.db2.gz QTFBFWDOIBHLJK-SFHVURJKSA-N 0 1 308.385 3.069 20 30 DGEDMN CCn1cc(CN(Cc2ccc(OC)c(C#N)c2)C(C)C)cn1 ZINC000593082213 400757323 /nfs/dbraw/zinc/75/73/23/400757323.db2.gz UPWBFKDDVFZZSK-UHFFFAOYSA-N 0 1 312.417 3.194 20 30 DGEDMN C[N@@H+]1CCN(Cc2ccc(CC#N)cc2)[C@@H](Cc2ccccc2)C1 ZINC000593088604 400758506 /nfs/dbraw/zinc/75/85/06/400758506.db2.gz ILCAPMGVRDSHHW-NRFANRHFSA-N 0 1 319.452 3.111 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN(CCSCc2ccccc2)CC1 ZINC000619166114 400787820 /nfs/dbraw/zinc/78/78/20/400787820.db2.gz ZWOSIYWESUUHSZ-GOSISDBHSA-N 0 1 317.502 3.086 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(Oc2ccccc2C#N)cc1)N(C)C ZINC000078693857 400820349 /nfs/dbraw/zinc/82/03/49/400820349.db2.gz BGMLBLASQXQMFX-ZDUSSCGKSA-N 0 1 309.369 3.239 20 30 DGEDMN C[C@@H](C(=O)Nc1ccc(Oc2cccc(C#N)c2)cc1)N(C)C ZINC000078736240 400823868 /nfs/dbraw/zinc/82/38/68/400823868.db2.gz MYDOGRBTUUAOST-ZDUSSCGKSA-N 0 1 309.369 3.239 20 30 DGEDMN Cc1csc([C@@H]2CCCCN2C[C@H](O)CC(C)(C)C#N)n1 ZINC000598590550 400838945 /nfs/dbraw/zinc/83/89/45/400838945.db2.gz OLKZUDSSNPYLLG-KGLIPLIRSA-N 0 1 307.463 3.279 20 30 DGEDMN C[C@H](NC[C@@H](O)CC(C)(C)C#N)c1ncc(-c2ccccc2)o1 ZINC000598612400 400843208 /nfs/dbraw/zinc/84/32/08/400843208.db2.gz OGKRUDGMCNCQBH-ZFWWWQNUSA-N 0 1 313.401 3.293 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@H]1c1nc2ccccc2[nH]1 ZINC000598621688 400845383 /nfs/dbraw/zinc/84/53/83/400845383.db2.gz XISGWHJTBAINJY-BBRMVZONSA-N 0 1 312.417 3.001 20 30 DGEDMN Cc1ccccc1[C@@]1(F)CCN(C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598619965 400846027 /nfs/dbraw/zinc/84/60/27/400846027.db2.gz QROPCKBHONITGX-MAUKXSAKSA-N 0 1 304.409 3.166 20 30 DGEDMN COc1ncc(Br)cc1CN[C@@H]1CCC[C@H](C#N)C1 ZINC000599069709 400948678 /nfs/dbraw/zinc/94/86/78/400948678.db2.gz GWAMQUXFYAQFAM-GXFFZTMASA-N 0 1 324.222 3.025 20 30 DGEDMN Cc1cnc(OC2CCN(Cc3ccc(C#N)c(C)c3)CC2)nc1 ZINC000594020100 400950715 /nfs/dbraw/zinc/95/07/15/400950715.db2.gz BSDZZUHOAVAOSJ-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN CC(=O)c1ccc(C(=O)C(C#N)c2nc3ccccc3[nH]2)cc1 ZINC000182843075 400898644 /nfs/dbraw/zinc/89/86/44/400898644.db2.gz OZLYPCAQDBVBOG-AWEZNQCLSA-N 0 1 303.321 3.256 20 30 DGEDMN N#Cc1ccc(CNCc2cccc([N+](=O)[O-])c2)cc1Cl ZINC000619748992 400898797 /nfs/dbraw/zinc/89/87/97/400898797.db2.gz VKEGFBZBMPLUPY-UHFFFAOYSA-N 0 1 301.733 3.410 20 30 DGEDMN Cn1ncc2c1CCC[C@@H]2NCc1ccc(C#N)c(Cl)c1 ZINC000619755158 400899612 /nfs/dbraw/zinc/89/96/12/400899612.db2.gz UQXCMLYGMMYMNY-HNNXBMFYSA-N 0 1 300.793 3.112 20 30 DGEDMN N#CCSc1ccccc1NC(=O)CN1CC[C@@H]2CCC[C@H]21 ZINC000614600833 400921476 /nfs/dbraw/zinc/92/14/76/400921476.db2.gz MCRSFMVOKRJWGS-DZGCQCFKSA-N 0 1 315.442 3.115 20 30 DGEDMN CC[C@H]1CN(C)c2ccccc2CN1Cc1ccc(C#N)cn1 ZINC000593961665 400927786 /nfs/dbraw/zinc/92/77/86/400927786.db2.gz IDVJAVIJMQPRGG-SFHVURJKSA-N 0 1 306.413 3.184 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2cccs2)c(F)c1 ZINC000237708991 400993166 /nfs/dbraw/zinc/99/31/66/400993166.db2.gz ZVRJOFOOUCWDRG-UHFFFAOYSA-N 0 1 312.373 3.439 20 30 DGEDMN C=CCSCCNC(=O)c1ccc(Nc2ccncc2)cc1 ZINC000081300960 401049700 /nfs/dbraw/zinc/04/97/00/401049700.db2.gz OAYWZVVSOQRBMU-UHFFFAOYSA-N 0 1 313.426 3.474 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2CCCCCC2)c1 ZINC000058873817 401076172 /nfs/dbraw/zinc/07/61/72/401076172.db2.gz OMMRLUHNVPRZPS-UHFFFAOYSA-N 0 1 313.445 3.426 20 30 DGEDMN C=CCN(Cc1cccs1)C[C@H](O)COc1ccccc1 ZINC000057950402 401037612 /nfs/dbraw/zinc/03/76/12/401037612.db2.gz ZEWGYOUEOFJQGP-HNNXBMFYSA-N 0 1 303.427 3.176 20 30 DGEDMN Cc1ccc(CN2CC[C@H](OCc3ccncc3)C2)cc1C#N ZINC000599390862 401039421 /nfs/dbraw/zinc/03/94/21/401039421.db2.gz SBVNMHGCALLVSP-IBGZPJMESA-N 0 1 307.397 3.053 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CCCC3(CC3)CC2)c(C#N)c1C ZINC000599673251 401108428 /nfs/dbraw/zinc/10/84/28/401108428.db2.gz MXFWXKCDCICGFS-CYBMUJFWSA-N 0 1 315.417 3.361 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOc1ccc(F)cc1)C2 ZINC000599685762 401114550 /nfs/dbraw/zinc/11/45/50/401114550.db2.gz JWBVHIOMVJASRD-UHFFFAOYSA-N 0 1 310.372 3.329 20 30 DGEDMN C=CCNC(=O)NC[C@@H](c1ccccc1Cl)N(CC)CC ZINC000060908704 401140456 /nfs/dbraw/zinc/14/04/56/401140456.db2.gz JFWXNAKVGVCUNZ-HNNXBMFYSA-N 0 1 309.841 3.208 20 30 DGEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000615822156 401156997 /nfs/dbraw/zinc/15/69/97/401156997.db2.gz UNHFIWUQIZIBOI-QLJPJBMISA-N 0 1 321.808 3.063 20 30 DGEDMN C=CCCSCCN[C@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC000594711415 401157281 /nfs/dbraw/zinc/15/72/81/401157281.db2.gz MZUVWOIRAABBAW-INIZCTEOSA-N 0 1 322.449 3.044 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1cccc(C#Cc2cccs2)c1 ZINC000063465817 401194055 /nfs/dbraw/zinc/19/40/55/401194055.db2.gz PABKKWCXSNONMD-UHFFFAOYSA-N 0 1 312.438 3.427 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cccc2n[nH]cc21 ZINC000063251320 401184642 /nfs/dbraw/zinc/18/46/42/401184642.db2.gz FRNOYBXEDGVWFH-UHFFFAOYSA-N 0 1 316.364 3.263 20 30 DGEDMN C=CCN(CCOc1ccccc1C#N)Cc1cccc(C#N)c1 ZINC000063299765 401186627 /nfs/dbraw/zinc/18/66/27/401186627.db2.gz BMXDJBJXKNZVRB-UHFFFAOYSA-N 0 1 317.392 3.497 20 30 DGEDMN C[C@@H]1CC(F)(F)CCN1CC#CCN1CCC(F)(F)C[C@H]1C ZINC000625980782 401272565 /nfs/dbraw/zinc/27/25/65/401272565.db2.gz QHIVVKIIKPNUJH-ZIAGYGMSSA-N 0 1 320.374 3.229 20 30 DGEDMN C[C@H](NCc1ccc(F)c(C(F)(F)F)c1)[C@@H](O)C(F)(F)F ZINC000631235877 401433312 /nfs/dbraw/zinc/43/33/12/401433312.db2.gz KWDVTNVAPQRLPN-QUBYGPBYSA-N 0 1 319.220 3.246 20 30 DGEDMN C=CCc1cc(CN[C@@H]2C[C@H]2C(F)(F)F)cc(OC)c1O ZINC000631259942 401440401 /nfs/dbraw/zinc/44/04/01/401440401.db2.gz GPZVVRMGSJYRKA-VXGBXAGGSA-N 0 1 301.308 3.170 20 30 DGEDMN C=CCC[C@@H](NC[C@@H](n1cncn1)C(F)(F)F)c1ccco1 ZINC000631693902 401537685 /nfs/dbraw/zinc/53/76/85/401537685.db2.gz VRZXNIQXUOONIE-DGCLKSJQSA-N 0 1 314.311 3.272 20 30 DGEDMN C=CCOc1c(Cl)cc(CN(C)Cc2cnc[nH]2)cc1OC ZINC000628337251 401577802 /nfs/dbraw/zinc/57/78/02/401577802.db2.gz ZZQPYMKUHXVEJN-UHFFFAOYSA-N 0 1 321.808 3.269 20 30 DGEDMN Cn1cc(CN[C@@H](c2ccccc2)c2ccncc2)cc1C#N ZINC000190879219 165218860 /nfs/dbraw/zinc/21/88/60/165218860.db2.gz VVQRVPDXIXADDQ-IBGZPJMESA-N 0 1 302.381 3.171 20 30 DGEDMN COc1cc(CN(C)Cc2cc(C#N)n(C)c2)ccc1SC ZINC000191287394 165240285 /nfs/dbraw/zinc/24/02/85/165240285.db2.gz QGZPYOUIHKTHBF-UHFFFAOYSA-N 0 1 315.442 3.259 20 30 DGEDMN C[C@H]1CCN(Cc2cn3ccccc3c2C#N)C[C@H]1n1ccnc1 ZINC000246660141 432152096 /nfs/dbraw/zinc/15/20/96/432152096.db2.gz DHBJDSMGZSOPHF-HNAYVOBHSA-N 0 1 319.412 3.091 20 30 DGEDMN Cc1oc(NC(=O)[C@H](C)N2CC[C@@H](C)C[C@@H](C)C2)c(C#N)c1C ZINC000246947236 432183387 /nfs/dbraw/zinc/18/33/87/432183387.db2.gz ZOSDOVHKKIOZEN-BZPMIXESSA-N 0 1 317.433 3.463 20 30 DGEDMN CC(=O)Nc1cccc(C(=O)C(C#N)c2nc(C)cs2)c1C ZINC000190749073 432187745 /nfs/dbraw/zinc/18/77/45/432187745.db2.gz FOJRJAMYOAWBQN-ZDUSSCGKSA-N 0 1 313.382 3.208 20 30 DGEDMN CC(=O)Nc1cccc(C(=O)[C@H](C#N)c2nc(C)cs2)c1C ZINC000190749073 432187748 /nfs/dbraw/zinc/18/77/48/432187748.db2.gz FOJRJAMYOAWBQN-ZDUSSCGKSA-N 0 1 313.382 3.208 20 30 DGEDMN Cc1ccc(CNCc2ccc(C#N)cc2)c(O[C@H]2CCOC2)c1 ZINC000150764906 432189645 /nfs/dbraw/zinc/18/96/45/432189645.db2.gz VAZJYAXLDLTZCS-IBGZPJMESA-N 0 1 322.408 3.324 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc(-n2cncn2)cc1 ZINC000151162488 432225104 /nfs/dbraw/zinc/22/51/04/432225104.db2.gz PWHQXOVABOOWTQ-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000182696910 432218955 /nfs/dbraw/zinc/21/89/55/432218955.db2.gz QSZGPEBZNVKJBV-ROUUACIJSA-N 0 1 314.335 3.456 20 30 DGEDMN C#CCOc1ccc(CN[C@H]2CCOc3c(F)cccc32)cc1 ZINC000191119419 432223617 /nfs/dbraw/zinc/22/36/17/432223617.db2.gz IGVRRDIASHYLMW-SFHVURJKSA-N 0 1 311.356 3.451 20 30 DGEDMN Cc1cccc(S(=O)(=O)Nc2c(C)cccc2C)c1C#N ZINC000178593872 292576287 /nfs/dbraw/zinc/57/62/87/292576287.db2.gz XOAWVXAAIJPZFR-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN N#Cc1cncc(S(=O)(=O)Nc2cccc(C3CCC3)c2)c1 ZINC000291053695 222101456 /nfs/dbraw/zinc/10/14/56/222101456.db2.gz HFYURYBNEUEWSH-UHFFFAOYSA-N 0 1 313.382 3.022 20 30 DGEDMN CCN(Cc1ccc(Br)cc1C#N)C[C@H](C)OC ZINC000291220411 222232474 /nfs/dbraw/zinc/23/24/74/222232474.db2.gz LLOCDVYUERIZBL-NSHDSACASA-N 0 1 311.223 3.178 20 30 DGEDMN COCC1(C#N)CCN(Cc2ccc(OC(C)C)cc2)CC1 ZINC000341971718 229105596 /nfs/dbraw/zinc/10/55/96/229105596.db2.gz DYXCJGPCBLVUMA-UHFFFAOYSA-N 0 1 302.418 3.226 20 30 DGEDMN COc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C#N)c2)cc1 ZINC000299445234 229122974 /nfs/dbraw/zinc/12/29/74/229122974.db2.gz XUNILFBGCFKWDK-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN N#CCc1ccccc1NS(=O)(=O)c1cnc2ccccc2c1 ZINC000337863633 229055759 /nfs/dbraw/zinc/05/57/59/229055759.db2.gz NJXJNAKNYFAIAF-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C[C@@H]1[C@H](C(F)(F)F)CCN1CCOCC(F)(F)C(F)F ZINC000348246627 229201287 /nfs/dbraw/zinc/20/12/87/229201287.db2.gz RIFISLLHMIIKGW-HTQZYQBOSA-N 0 1 311.241 3.176 20 30 DGEDMN N#CCN(C(=O)c1cccc2[nH]c(-c3cccs3)nc21)C1CC1 ZINC000353521714 229279802 /nfs/dbraw/zinc/27/98/02/229279802.db2.gz KRXVGQUAAAWSJR-UHFFFAOYSA-N 0 1 322.393 3.420 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C=CC=C(Cl)Cl)[nH]c2c1 ZINC001631012517 1194314028 /nfs/dbraw/zinc/31/40/28/1194314028.db2.gz XLHYIVAWOZMZIU-HNQUOIGGSA-N 0 1 307.140 3.248 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000527481996 269886787 /nfs/dbraw/zinc/88/67/87/269886787.db2.gz UTGUUXINJLYQIM-IAQYHMDHSA-N 0 1 312.335 3.254 20 30 DGEDMN C[C@@H]1CN(c2ncccc2C#N)[C@H](C)CN1Cc1ccccc1 ZINC000346661999 264075976 /nfs/dbraw/zinc/07/59/76/264075976.db2.gz VNEMSDGVDRMGKF-HZPDHXFCSA-N 0 1 306.413 3.052 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000346985740 264133554 /nfs/dbraw/zinc/13/35/54/264133554.db2.gz UKZVQTHXVKRFLU-UHFFFAOYSA-N 0 1 322.324 3.192 20 30 DGEDMN N#Cc1cccc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347447560 264223257 /nfs/dbraw/zinc/22/32/57/264223257.db2.gz QCPAQNBQGBFVPC-KRWDZBQOSA-N 0 1 300.789 3.425 20 30 DGEDMN C[C@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1C ZINC000347601535 264249133 /nfs/dbraw/zinc/24/91/33/264249133.db2.gz NAMZPWNWQLHLLU-NWDGAFQWSA-N 0 1 305.809 3.128 20 30 DGEDMN C[C@H]1CN(CC(=O)Nc2ccc(C#N)c(Cl)c2)CC[C@@H]1C ZINC000347601536 264249437 /nfs/dbraw/zinc/24/94/37/264249437.db2.gz NAMZPWNWQLHLLU-RYUDHWBXSA-N 0 1 305.809 3.128 20 30 DGEDMN CCC1(CC)CCN(Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000533758649 270005002 /nfs/dbraw/zinc/00/50/02/270005002.db2.gz PFTUDKYNLLOMNL-UHFFFAOYSA-N 0 1 300.402 3.357 20 30 DGEDMN CN(Cc1cc(C#N)n(C)c1)Cc1ccc(OC(F)F)cc1 ZINC000191173064 432230641 /nfs/dbraw/zinc/23/06/41/432230641.db2.gz ZNIHGEJDMRIGKF-UHFFFAOYSA-N 0 1 305.328 3.130 20 30 DGEDMN CCCNC(=O)CN[C@@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000367781587 266057539 /nfs/dbraw/zinc/05/75/39/266057539.db2.gz BAYUQCXMHRXILF-HNNXBMFYSA-N 0 1 321.424 3.402 20 30 DGEDMN CCN(Cc1ccc(C#N)cc1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000353558236 266135598 /nfs/dbraw/zinc/13/55/98/266135598.db2.gz XOOXNMMVDQUALM-LJQANCHMSA-N 0 1 319.408 3.186 20 30 DGEDMN COC[C@@H](Cc1ccccc1)N(C)Cc1cccc(C#N)c1F ZINC000355734626 266168981 /nfs/dbraw/zinc/16/89/81/266168981.db2.gz CGJUIROVKUDWGB-GOSISDBHSA-N 0 1 312.388 3.387 20 30 DGEDMN CCc1cnccc1[C@H](C)N[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000361136636 266447426 /nfs/dbraw/zinc/44/74/26/266447426.db2.gz RNPDIAGECUABGB-KBPBESRZSA-N 0 1 322.412 3.193 20 30 DGEDMN C[C@H](NCCOc1ccc(C#N)cc1)c1nc2c(s1)CCC2 ZINC000355115723 267184072 /nfs/dbraw/zinc/18/40/72/267184072.db2.gz WRIZQHCXNJMRTB-LBPRGKRZSA-N 0 1 313.426 3.233 20 30 DGEDMN COc1ccc([C@H](NCc2ccc(C#N)cc2OC)C2CC2)cn1 ZINC000528855011 267644442 /nfs/dbraw/zinc/64/44/42/267644442.db2.gz YDUIPOHQKVDNCC-LJQANCHMSA-N 0 1 323.396 3.211 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3ccc(Cl)cc3)n[nH]2)cc1 ZINC000523377796 268205588 /nfs/dbraw/zinc/20/55/88/268205588.db2.gz ARWSBZAORAYMMX-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc(-c3cn[nH]n3)c2)cc1Cl ZINC000527193249 268206537 /nfs/dbraw/zinc/20/65/37/268206537.db2.gz FWWXJMRWVICXAC-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@]3(CCc4ccccc4O3)C2)nc1 ZINC000528731726 268219566 /nfs/dbraw/zinc/21/95/66/268219566.db2.gz UIQXHIGJICAONH-FQEVSTJZSA-N 0 1 319.408 3.313 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCCC4(CCC4)C3)o2)c1 ZINC000348166555 268229216 /nfs/dbraw/zinc/22/92/16/268229216.db2.gz GYIGMMURSCZRQL-UHFFFAOYSA-N 0 1 308.385 3.374 20 30 DGEDMN N#Cc1cccc(F)c1CC(=O)Nc1cccc(Cl)c1O ZINC000354491670 268259362 /nfs/dbraw/zinc/25/93/62/268259362.db2.gz AVBMBIMDKNVTDL-UHFFFAOYSA-N 0 1 304.708 3.238 20 30 DGEDMN C#CCCN1CCN(CCc2c(Cl)cccc2Cl)CC1 ZINC000294967295 273477702 /nfs/dbraw/zinc/47/77/02/273477702.db2.gz NQZVLDMBZJIVJV-UHFFFAOYSA-N 0 1 311.256 3.177 20 30 DGEDMN C[C@@H](N(C)CC(=O)Nc1cc(Cl)ccc1C#N)C1(C)CC1 ZINC000177218357 274234032 /nfs/dbraw/zinc/23/40/32/274234032.db2.gz YOYQCZPAEJHZKR-LLVKDONJSA-N 0 1 305.809 3.271 20 30 DGEDMN C=C(Br)CN[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000292520128 275415634 /nfs/dbraw/zinc/41/56/34/275415634.db2.gz WFGWRYRYFBHXFN-CABZTGNLSA-N 0 1 302.237 3.249 20 30 DGEDMN CC1(C)CN(CC(=O)NC2(C#N)CCCCC2)CC(C)(C)C1 ZINC000466925131 276131408 /nfs/dbraw/zinc/13/14/08/276131408.db2.gz DUJQKGVHIBKKFY-UHFFFAOYSA-N 0 1 305.466 3.087 20 30 DGEDMN CN1CCC[C@@H]1C(=O)Nc1ccc2c(c1)nc1n2CCCCC1 ZINC000329301697 277059785 /nfs/dbraw/zinc/05/97/85/277059785.db2.gz WITRDESRAGFHOB-MRXNPFEDSA-N 0 1 312.417 3.445 20 30 DGEDMN CC(=O)c1ccc(C(=O)NC[C@@H]2N(C)CCCC2(C)C)cc1O ZINC000331324994 277106554 /nfs/dbraw/zinc/10/65/54/277106554.db2.gz GAQVIZLWZJAFNV-INIZCTEOSA-N 0 1 318.417 3.020 20 30 DGEDMN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000329019158 277361914 /nfs/dbraw/zinc/36/19/14/277361914.db2.gz LFSCPUJCKDOIJM-IHRRRGAJSA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000329019158 277361915 /nfs/dbraw/zinc/36/19/15/277361915.db2.gz LFSCPUJCKDOIJM-IHRRRGAJSA-N 0 1 304.438 3.471 20 30 DGEDMN C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329721582 278032758 /nfs/dbraw/zinc/03/27/58/278032758.db2.gz NCFVDVALHRJWCI-VNQPRFMTSA-N 0 1 324.428 3.059 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCCC(C)(C)C3)C2)c1 ZINC000329606385 279034242 /nfs/dbraw/zinc/03/42/42/279034242.db2.gz MKTNIIAFPKIDRR-HUUCEWRRSA-N 0 1 304.438 3.321 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccccc1SC[C@H](C)C#N ZINC000108325108 431131882 /nfs/dbraw/zinc/13/18/82/431131882.db2.gz PARMENVJCOQGNS-CYBMUJFWSA-N 0 1 305.447 3.217 20 30 DGEDMN C=CCOc1ccccc1CNC(=O)c1n[nH]c2ccccc21 ZINC000111030289 431196340 /nfs/dbraw/zinc/19/63/40/431196340.db2.gz NHRHTUCLKGQGCR-UHFFFAOYSA-N 0 1 307.353 3.058 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)Nc2cccc3ncccc32)CC1 ZINC000111256475 431205634 /nfs/dbraw/zinc/20/56/34/431205634.db2.gz VODJXEKMVCQJHL-CQSZACIVSA-N 0 1 309.413 3.460 20 30 DGEDMN CSc1ccccc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000007056679 431333199 /nfs/dbraw/zinc/33/31/99/431333199.db2.gz OLXGIHBTFOOMMO-UHFFFAOYSA-N 0 1 304.396 3.081 20 30 DGEDMN CCCCN(CC)CC(=O)Nc1ccccc1SCC#N ZINC000072949980 431360174 /nfs/dbraw/zinc/36/01/74/431360174.db2.gz BIFZWPHTKGVPJB-UHFFFAOYSA-N 0 1 305.447 3.363 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1c1ccc(C)s1 ZINC000114733767 431409698 /nfs/dbraw/zinc/40/96/98/431409698.db2.gz DCGXVXMPHXWGMO-UKRRQHHQSA-N 0 1 319.474 3.202 20 30 DGEDMN C[C@H](C(=O)N(C)CCC#N)N(C)Cc1csc2ccccc12 ZINC000076990883 431566947 /nfs/dbraw/zinc/56/69/47/431566947.db2.gz YYPZDBXVJCXVPI-CYBMUJFWSA-N 0 1 315.442 3.094 20 30 DGEDMN C=CCc1ccccc1OC[C@H](O)CN(C)Cc1ccc(C)o1 ZINC000078254572 431653776 /nfs/dbraw/zinc/65/37/76/431653776.db2.gz NWLMEUWSWHSGIX-QGZVFWFLSA-N 0 1 315.413 3.188 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC(C)(C)CC(C)(C)C1 ZINC000466940937 280503754 /nfs/dbraw/zinc/50/37/54/280503754.db2.gz SCZINIVBBNRYAW-KDOFPFPSSA-N 0 1 307.482 3.187 20 30 DGEDMN CO[C@H](C)C(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000079493447 431726508 /nfs/dbraw/zinc/72/65/08/431726508.db2.gz CAQQPUHLGQRMBA-SNVBAGLBSA-N 0 1 302.378 3.392 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](c1ncccc1C)C(C)C ZINC000120793176 431734938 /nfs/dbraw/zinc/73/49/38/431734938.db2.gz IMMNIDSKRNOZOT-KRWDZBQOSA-N 0 1 303.450 3.101 20 30 DGEDMN COC(=O)[C@H](C)[C@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC000079923143 431753709 /nfs/dbraw/zinc/75/37/09/431753709.db2.gz PZJFFYFXHVEGTL-KDOFPFPSSA-N 0 1 308.381 3.198 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1cccc(Cl)c1)C(C)C ZINC000079999766 431755660 /nfs/dbraw/zinc/75/56/60/431755660.db2.gz SHXLECSQSAIFLX-UHFFFAOYSA-N 0 1 321.852 3.313 20 30 DGEDMN CCCc1ccccc1NS(=O)(=O)c1ccccc1C#N ZINC000032098427 431771497 /nfs/dbraw/zinc/77/14/97/431771497.db2.gz GWLRHUPAWUJBFY-UHFFFAOYSA-N 0 1 300.383 3.312 20 30 DGEDMN C=CCC[C@@H](NC(=O)c1ccc2[nH]nnc2c1)c1ccccc1 ZINC000123044506 431853302 /nfs/dbraw/zinc/85/33/02/431853302.db2.gz HIAFWZCORQIIIV-OAHLLOKOSA-N 0 1 306.369 3.395 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)Cc3ccc(C)c(O)c3)n2)o1 ZINC000089457468 431867019 /nfs/dbraw/zinc/86/70/19/431867019.db2.gz JJHJEBPULWLPNQ-UHFFFAOYSA-N 0 1 312.329 3.218 20 30 DGEDMN CN(C)c1cc(C(=O)C(C#N)c2ccccn2)c2ccccc2n1 ZINC000123578879 431869345 /nfs/dbraw/zinc/86/93/45/431869345.db2.gz BTNOBNMXDFGGSL-HNNXBMFYSA-N 0 1 316.364 3.186 20 30 DGEDMN C=CCOc1ccc(CN(C)Cc2cccn2C)cc1OC ZINC000093584626 431916123 /nfs/dbraw/zinc/91/61/23/431916123.db2.gz QINFLFJAIDMCHC-UHFFFAOYSA-N 0 1 300.402 3.231 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc(OC(C)C)nc2)n1 ZINC000048789539 431932329 /nfs/dbraw/zinc/93/23/29/431932329.db2.gz UUBKQZPPEBQMAV-GFCCVEGCSA-N 0 1 301.371 3.124 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(OC(C)C)nc2)n1 ZINC000048789539 431932330 /nfs/dbraw/zinc/93/23/30/431932330.db2.gz UUBKQZPPEBQMAV-GFCCVEGCSA-N 0 1 301.371 3.124 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N(C)Cc1ccccc1 ZINC000052857997 431958559 /nfs/dbraw/zinc/95/85/59/431958559.db2.gz SXIBYUJPAZITHP-KRWDZBQOSA-N 0 1 321.424 3.454 20 30 DGEDMN Cc1ccc2[n-]cc(C#N)c(=[NH+]Cc3cn4ccsc4n3)c2c1 ZINC000487907841 281037767 /nfs/dbraw/zinc/03/77/67/281037767.db2.gz IOYGWILZBUPEPY-UHFFFAOYSA-N 0 1 319.393 3.158 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@H]1c1ccc(OC)cc1 ZINC000248206172 432276194 /nfs/dbraw/zinc/27/61/94/432276194.db2.gz AZKJTPIVWNAQOD-SFHVURJKSA-N 0 1 316.445 3.257 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H]1c1ccc(OC)cc1 ZINC000248259310 432277682 /nfs/dbraw/zinc/27/76/82/432277682.db2.gz VBHXPVGRSXPWPF-GOSISDBHSA-N 0 1 314.429 3.033 20 30 DGEDMN CCc1nnc(SCc2cc(C#N)ccc2Br)[nH]1 ZINC000191620729 432283719 /nfs/dbraw/zinc/28/37/19/432283719.db2.gz YKWNDEXJVYFQEF-UHFFFAOYSA-N 0 1 323.219 3.294 20 30 DGEDMN CCc1n[nH]c(SCc2cc(C#N)ccc2Br)n1 ZINC000191620729 432283724 /nfs/dbraw/zinc/28/37/24/432283724.db2.gz YKWNDEXJVYFQEF-UHFFFAOYSA-N 0 1 323.219 3.294 20 30 DGEDMN C=CCN(CCOC)Cc1ccccc1OCc1ccccn1 ZINC000191756932 432299460 /nfs/dbraw/zinc/29/94/60/432299460.db2.gz RTDXMVILJRDBCW-UHFFFAOYSA-N 0 1 312.413 3.295 20 30 DGEDMN CCCCCNC(=O)CN(Cc1ccc(C#N)cc1)C(C)C ZINC000058397182 431996190 /nfs/dbraw/zinc/99/61/90/431996190.db2.gz YGMXDZAHLUSPKX-UHFFFAOYSA-N 0 1 301.434 3.075 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000058384118 431996739 /nfs/dbraw/zinc/99/67/39/431996739.db2.gz FRISJRPRXFRBMT-NSHDSACASA-N 0 1 316.792 3.352 20 30 DGEDMN C=CCN(C[C@@H](C)CC1CCCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000248637610 432308658 /nfs/dbraw/zinc/30/86/58/432308658.db2.gz QQRYSDHFQFOXBJ-RDJZCZTQSA-N 0 1 313.507 3.268 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CC[C@H](C)C2(CCC2)C1 ZINC000271382159 432383825 /nfs/dbraw/zinc/38/38/25/432383825.db2.gz TWZPRISGXZCNDT-LSDHHAIUSA-N 0 1 311.429 3.397 20 30 DGEDMN COc1ccc([C@@H](OC)[C@H](C)NCc2ccc(C#N)o2)cc1 ZINC000271451296 432399806 /nfs/dbraw/zinc/39/98/06/432399806.db2.gz DYKWDCXLTYOBLT-SJCJKPOMSA-N 0 1 300.358 3.026 20 30 DGEDMN CN(Cc1ccc(C#N)o1)CC(C)(C)CNC(=O)OC(C)(C)C ZINC000271229726 432358628 /nfs/dbraw/zinc/35/86/28/432358628.db2.gz TWEIXUXZASKOFO-UHFFFAOYSA-N 0 1 321.421 3.134 20 30 DGEDMN C#CCCOc1ccc(CNCc2c(CC)noc2CC)cc1 ZINC000152821893 432360221 /nfs/dbraw/zinc/36/02/21/432360221.db2.gz UYKFTPNBTLJWSZ-UHFFFAOYSA-N 0 1 312.413 3.491 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)c3cc(C#N)c[nH]3)[nH]c2c1 ZINC000175476074 432440561 /nfs/dbraw/zinc/44/05/61/432440561.db2.gz QBRCRXLGUSIFRS-INIZCTEOSA-N 0 1 319.368 3.048 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3cc(C#N)c[nH]3)cc2[nH]1 ZINC000175209519 432410665 /nfs/dbraw/zinc/41/06/65/432410665.db2.gz QFVIZJACBWRHPF-UHFFFAOYSA-N 0 1 307.357 3.312 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1c1cccc(C)c1 ZINC000255957497 432415094 /nfs/dbraw/zinc/41/50/94/432415094.db2.gz GVVAAHUCFANCEM-WMZOPIPTSA-N 0 1 313.445 3.140 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)NCCCC#N)[nH]c2c1 ZINC000273117588 432553911 /nfs/dbraw/zinc/55/39/11/432553911.db2.gz BWZVMURZJSUKMD-HNNXBMFYSA-N 0 1 311.389 3.022 20 30 DGEDMN CCN(C[C@H](C)C#N)C[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000195163242 432608956 /nfs/dbraw/zinc/60/89/56/432608956.db2.gz ODIGNMFCZMHZDU-PBHICJAKSA-N 0 1 309.454 3.115 20 30 DGEDMN N#Cc1ccccc1CN(CCc1ccccc1)[C@@H]1CCOC1 ZINC000177143567 432590563 /nfs/dbraw/zinc/59/05/63/432590563.db2.gz ITPKZCFCZGLQOC-HXUWFJFHSA-N 0 1 306.409 3.392 20 30 DGEDMN C=CCN1CCC(Nc2nnc(Cc3ccccc3)s2)CC1 ZINC000273393063 432594464 /nfs/dbraw/zinc/59/44/64/432594464.db2.gz VFUQYIKETIPFTF-UHFFFAOYSA-N 0 1 314.458 3.191 20 30 DGEDMN COc1ccc(C#N)cc1CN1CC[C@@H](OCCC(C)C)C1 ZINC000156683943 432604723 /nfs/dbraw/zinc/60/47/23/432604723.db2.gz YSWZTRODTMRFTA-QGZVFWFLSA-N 0 1 302.418 3.204 20 30 DGEDMN CCN(CCC(=O)Nc1ccc(Cl)cc1F)C[C@H](C)C#N ZINC000157655241 432626743 /nfs/dbraw/zinc/62/67/43/432626743.db2.gz LRLYCLOANVZNKK-LLVKDONJSA-N 0 1 311.788 3.289 20 30 DGEDMN C=CCOc1ccc(CN(CC(=O)NC2CC2)C(C)(C)C)cc1 ZINC000177847645 432668831 /nfs/dbraw/zinc/66/88/31/432668831.db2.gz BEXODZPBAZFNID-UHFFFAOYSA-N 0 1 316.445 3.131 20 30 DGEDMN CCn1nc(CNCc2ccc(OCC#N)cc2)c2ccccc21 ZINC000274382260 432660086 /nfs/dbraw/zinc/66/00/86/432660086.db2.gz ARPYOAYPPFOWGP-UHFFFAOYSA-N 0 1 320.396 3.248 20 30 DGEDMN C=C(C)[C@@H](CC(=O)Nc1cc(F)cc(Cl)c1O)OCC ZINC000274659603 432695271 /nfs/dbraw/zinc/69/52/71/432695271.db2.gz GGDPDLHBIFFPCR-GFCCVEGCSA-N 0 1 301.745 3.495 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000265573591 432711043 /nfs/dbraw/zinc/71/10/43/432711043.db2.gz XHAMCDAJYKVAPO-BBRMVZONSA-N 0 1 315.417 3.218 20 30 DGEDMN COc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1Cl ZINC000188216764 432711790 /nfs/dbraw/zinc/71/17/90/432711790.db2.gz VPLHRGJOTSFNAA-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(OC(F)F)c(F)c2)cc1O ZINC000188335963 432718491 /nfs/dbraw/zinc/71/84/91/432718491.db2.gz YGCIUJQXKOZFRD-UHFFFAOYSA-N 0 1 322.242 3.257 20 30 DGEDMN C#CCCCCC(=O)N1c2ccc(C)cc2[C@H]2C[N@@H+](C)CC[C@H]21 ZINC000274897271 432718608 /nfs/dbraw/zinc/71/86/08/432718608.db2.gz OCYNZESNTURMHH-IEBWSBKVSA-N 0 1 310.441 3.323 20 30 DGEDMN CC[C@H](C)C[N@@H+](CC)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000160520786 432724151 /nfs/dbraw/zinc/72/41/51/432724151.db2.gz BWHJPWBUHDUUQP-GXTWGEPZSA-N 0 1 305.447 3.093 20 30 DGEDMN CC[C@@H](C)C[N@@H+](CC)[C@@H]1CCN(c2sccc2C#N)C1=O ZINC000160520670 432725269 /nfs/dbraw/zinc/72/52/69/432725269.db2.gz BWHJPWBUHDUUQP-TZMCWYRMSA-N 0 1 305.447 3.093 20 30 DGEDMN C=CCCCCNC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000274524292 432677271 /nfs/dbraw/zinc/67/72/71/432677271.db2.gz PTSJEGODXMYRJD-HNNXBMFYSA-N 0 1 305.422 3.072 20 30 DGEDMN C=C(C)[C@H](NCCn1c(C)csc1=O)c1ccc(F)c(F)c1 ZINC000187774229 432683862 /nfs/dbraw/zinc/68/38/62/432683862.db2.gz LOCMQFYSSHTTFN-HNNXBMFYSA-N 0 1 324.396 3.403 20 30 DGEDMN Cc1cc2cccnc2c(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000189086704 432763650 /nfs/dbraw/zinc/76/36/50/432763650.db2.gz QLHAYUHDHBARCP-UHFFFAOYSA-N 0 1 303.321 3.373 20 30 DGEDMN N#Cc1ccc(CNCCOc2ncc(Cl)cc2Cl)o1 ZINC000134473657 292670056 /nfs/dbraw/zinc/67/00/56/292670056.db2.gz RMZYCLWMGAVVOB-UHFFFAOYSA-N 0 1 312.156 3.022 20 30 DGEDMN Cc1ccccc1NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160764879 432741097 /nfs/dbraw/zinc/74/10/97/432741097.db2.gz CLTJBPJIXZBVNV-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN C#CC[C@@H](NCc1ccc(N(C)CCOC)nc1)c1ccccc1 ZINC000276612195 432823684 /nfs/dbraw/zinc/82/36/84/432823684.db2.gz ITQUJJVVIZVDTN-LJQANCHMSA-N 0 1 323.440 3.018 20 30 DGEDMN COc1cccc([C@@H](C)N2CCN([C@@H](C)CCC#N)CC2)c1 ZINC000276303351 432795279 /nfs/dbraw/zinc/79/52/79/432795279.db2.gz KKBDHRSJULUXDY-JKSUJKDBSA-N 0 1 301.434 3.066 20 30 DGEDMN C=CCCN(C)Cc1cc(OC)c(OC(F)F)cc1[N+](=O)[O-] ZINC000276341003 432797553 /nfs/dbraw/zinc/79/75/53/432797553.db2.gz CIANPTLQFYLRHB-UHFFFAOYSA-N 0 1 316.304 3.213 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccnc(SCC)c1 ZINC000189585465 432802119 /nfs/dbraw/zinc/80/21/19/432802119.db2.gz QGUNMVKYNXRPJM-UHFFFAOYSA-N 0 1 312.438 3.495 20 30 DGEDMN C=CCCN(C)[C@@H](C)c1nnc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000276374384 432802948 /nfs/dbraw/zinc/80/29/48/432802948.db2.gz HIXRZINZGXOMAG-NSHDSACASA-N 0 1 302.334 3.214 20 30 DGEDMN C=CC[C@H]1N(CC(=O)Nc2c(C)n[nH]c2C)CCCC1(C)C ZINC000277876662 432876670 /nfs/dbraw/zinc/87/66/70/432876670.db2.gz VCKXNPWVYROJKF-CQSZACIVSA-N 0 1 304.438 3.032 20 30 DGEDMN CC(C)(C)c1cc(C(=O)NC[C@@H]2CCCCN2C2CC2)no1 ZINC000329957726 432885316 /nfs/dbraw/zinc/88/53/16/432885316.db2.gz PNTMNXCXDCTFEG-ZDUSSCGKSA-N 0 1 305.422 3.294 20 30 DGEDMN C[C@H]1CC[C@H]([NH+]=C([O-])N2CC[C@@H](C)[C@@H](n3ccnc3)C2)CC1 ZINC000329967876 432885666 /nfs/dbraw/zinc/88/56/66/432885666.db2.gz VVDUPBCJORIVNO-FPCVCCKLSA-N 0 1 304.438 3.259 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CC[C@@H](Cc2ccccc2)C1 ZINC000330126343 432894236 /nfs/dbraw/zinc/89/42/36/432894236.db2.gz IQLKZBYQBDKVBM-DOTOQJQBSA-N 0 1 324.428 3.266 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@@H]1C1CCCCC1 ZINC000330169174 432895081 /nfs/dbraw/zinc/89/50/81/432895081.db2.gz BHFBTYZDUWSJSB-HZPDHXFCSA-N 0 1 316.449 3.157 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)Nc2ccc(C#N)cc2[N+](=O)[O-])n[nH]1 ZINC000277469785 432852647 /nfs/dbraw/zinc/85/26/47/432852647.db2.gz RKAVHFMZHZZMQG-NXEZZACHSA-N 0 1 314.349 3.271 20 30 DGEDMN CC[C@@H](C)c1nc([C@@H](C)NCc2ccc(C#N)cc2F)n[nH]1 ZINC000277517622 432857439 /nfs/dbraw/zinc/85/74/39/432857439.db2.gz XDFKXULQGKHTJJ-GHMZBOCLSA-N 0 1 301.369 3.180 20 30 DGEDMN CC(=O)c1ccc(C(=O)NC[C@H]2N(C)CCCC2(C)C)cc1O ZINC000331324997 432937844 /nfs/dbraw/zinc/93/78/44/432937844.db2.gz GAQVIZLWZJAFNV-MRXNPFEDSA-N 0 1 318.417 3.020 20 30 DGEDMN CC(C)(O)CN(CC(=O)NC12CC3CC(CC(C3)C1)C2)C1CC1 ZINC000330220358 432898375 /nfs/dbraw/zinc/89/83/75/432898375.db2.gz KUOQZGFWZDWKOS-UHFFFAOYSA-N 0 1 320.477 3.147 20 30 DGEDMN O=C(NCc1nc2ccccc2n1C1CC1)[C@@H]1CCCN1C1CC1 ZINC000330217298 432898641 /nfs/dbraw/zinc/89/86/41/432898641.db2.gz IJQPWVBURWQWAJ-KRWDZBQOSA-N 0 1 324.428 3.455 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330283700 432902164 /nfs/dbraw/zinc/90/21/64/432902164.db2.gz WKWIFUBFAPDSAM-RBSFLKMASA-N 0 1 304.438 3.013 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)Nc1cccc(F)c1-c1nc[nH]n1 ZINC000330293203 432902465 /nfs/dbraw/zinc/90/24/65/432902465.db2.gz DNXWAMUKJQGFTQ-QWRGUYRKSA-N 0 1 317.368 3.072 20 30 DGEDMN CC(C)(C)c1cnc(NC(=O)c2c[nH]nc2[C@@H]2CCOC2)s1 ZINC000330326941 432904601 /nfs/dbraw/zinc/90/46/01/432904601.db2.gz KNOHBKIHSJTPAD-SECBINFHSA-N 0 1 320.418 3.304 20 30 DGEDMN C=C[C@@H](CO)N[C@@H]1CCSc2ccc(Br)cc21 ZINC000289632172 432971427 /nfs/dbraw/zinc/97/14/27/432971427.db2.gz FEQARBBDLQSMEN-CMPLNLGQSA-N 0 1 314.248 3.123 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2ncccc2N(C)C)cc1 ZINC000289778838 432974159 /nfs/dbraw/zinc/97/41/59/432974159.db2.gz MPNHBZTUUUPQFX-OAHLLOKOSA-N 0 1 309.413 3.010 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289952770 432981095 /nfs/dbraw/zinc/98/10/95/432981095.db2.gz QFYOPJJRVPJREK-BLLLJJGKSA-N 0 1 300.793 3.416 20 30 DGEDMN CN(C(=O)CNc1ccc2cnccc2c1)C1(C#N)CCCCC1 ZINC000297120906 432961014 /nfs/dbraw/zinc/96/10/14/432961014.db2.gz YNPHYMDVZDCIGS-UHFFFAOYSA-N 0 1 322.412 3.332 20 30 DGEDMN C=CCOc1cccc(CN2C[C@]3(CCOC3)OC(C)(C)C2)c1 ZINC000280392877 433045510 /nfs/dbraw/zinc/04/55/10/433045510.db2.gz XCQYKVRZOYAYHO-IBGZPJMESA-N 0 1 317.429 3.021 20 30 DGEDMN C=CC[C@H]1N(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCCC1(C)C ZINC000332887052 433022758 /nfs/dbraw/zinc/02/27/58/433022758.db2.gz HTZDSJJOFPRMRM-XJKSGUPXSA-N 0 1 316.449 3.043 20 30 DGEDMN C=CC[C@H]1N(C(=O)NCc2n[nH]c(C(C)C)n2)CCCC1(C)C ZINC000298198619 433056665 /nfs/dbraw/zinc/05/66/65/433056665.db2.gz SZNJRPAYLYMWLX-CYBMUJFWSA-N 0 1 319.453 3.204 20 30 DGEDMN C[C@H](C#N)CN(CC1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC000493856010 289239076 /nfs/dbraw/zinc/23/90/76/289239076.db2.gz YQCFUYHGUDYALI-CQSZACIVSA-N 0 1 321.465 3.258 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000425107231 433099549 /nfs/dbraw/zinc/09/95/49/433099549.db2.gz IZJLYMBJMNNBLB-VIFPVBQESA-N 0 1 322.290 3.133 20 30 DGEDMN C=CCOc1ccc(CN2CC([C@H]3CCOC3)C2)cc1Cl ZINC000373465517 433154906 /nfs/dbraw/zinc/15/49/06/433154906.db2.gz AIGNVPZXZRQGOK-AWEZNQCLSA-N 0 1 307.821 3.373 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@H]1CN[C@H](c1ncc[nH]1)c1ccc(F)cc1 ZINC000280775436 433170328 /nfs/dbraw/zinc/17/03/28/433170328.db2.gz HRZCEGKFGZJMCA-XIRDDKMYSA-N 0 1 315.392 3.209 20 30 DGEDMN N#CCCN(C(=O)CN1CC[C@H](c2ccco2)C1)c1ccccc1 ZINC000374004418 433172449 /nfs/dbraw/zinc/17/24/49/433172449.db2.gz WSNFHQXKPDCDIE-INIZCTEOSA-N 0 1 323.396 3.016 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN(C(=O)CCCC#N)C3)[nH]c2c1 ZINC000291059549 433220721 /nfs/dbraw/zinc/22/07/21/433220721.db2.gz BOJVPNJBUCTFJL-AWEZNQCLSA-N 0 1 310.401 3.271 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccc(C(C)(F)F)nc2)n1 ZINC000453597241 433224361 /nfs/dbraw/zinc/22/43/61/433224361.db2.gz YNSQAVVRWAZVHQ-SNVBAGLBSA-N 0 1 307.325 3.448 20 30 DGEDMN Cc1csc([C@H](C#N)C(=O)c2ccc(C(C)(F)F)nc2)n1 ZINC000453597241 433224369 /nfs/dbraw/zinc/22/43/69/433224369.db2.gz YNSQAVVRWAZVHQ-SNVBAGLBSA-N 0 1 307.325 3.448 20 30 DGEDMN N#CC(C(=O)c1cccnc1C1CC1)c1cnc2ccccc2n1 ZINC000361524164 433225275 /nfs/dbraw/zinc/22/52/75/433225275.db2.gz JLBQFDDKMQIHSL-AWEZNQCLSA-N 0 1 314.348 3.392 20 30 DGEDMN Cc1cc(C(=O)C(C#N)c2nc3ccccc3[nH]2)nn1C(C)C ZINC000453596039 433226682 /nfs/dbraw/zinc/22/66/82/433226682.db2.gz WIOOSKJGBKAUJJ-LBPRGKRZSA-N 0 1 307.357 3.139 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)c2nc3ccccc3[nH]2)nn1C(C)C ZINC000453596039 433226695 /nfs/dbraw/zinc/22/66/95/433226695.db2.gz WIOOSKJGBKAUJJ-LBPRGKRZSA-N 0 1 307.357 3.139 20 30 DGEDMN CC(C)(C)OC(=O)[C@]1(C)CCN(Cc2ccc(C#N)s2)C1 ZINC000453602199 433229553 /nfs/dbraw/zinc/22/95/53/433229553.db2.gz GDAPIOARZNCLMH-MRXNPFEDSA-N 0 1 306.431 3.173 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@H](n2ncc3ccccc32)C1 ZINC000374744395 433267497 /nfs/dbraw/zinc/26/74/97/433267497.db2.gz RGVUTALRYRZQHT-SFHVURJKSA-N 0 1 302.381 3.355 20 30 DGEDMN COc1cccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C#N)c2)c1 ZINC000298874046 433267974 /nfs/dbraw/zinc/26/79/74/433267974.db2.gz LJODLNORKXTUFS-ZIAGYGMSSA-N 0 1 323.396 3.245 20 30 DGEDMN CC[C@@H](C(=O)Nc1ccccc1S[C@H](C)CC#N)N(C)C ZINC000298883145 433271147 /nfs/dbraw/zinc/27/11/47/433271147.db2.gz LBHIAUZYKRVQSS-OCCSQVGLSA-N 0 1 305.447 3.360 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000425530360 433285367 /nfs/dbraw/zinc/28/53/67/433285367.db2.gz DZPRVYAJMDBCGC-GFCCVEGCSA-N 0 1 308.385 3.124 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](c1cncc(F)c1)C1CC1 ZINC000281674112 433345075 /nfs/dbraw/zinc/34/50/75/433345075.db2.gz QZYLOOYELZCKNL-IBGZPJMESA-N 0 1 310.372 3.474 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](c1cncc(F)c1)C1CC1 ZINC000281674116 433346496 /nfs/dbraw/zinc/34/64/96/433346496.db2.gz QZYLOOYELZCKNL-LJQANCHMSA-N 0 1 310.372 3.474 20 30 DGEDMN COc1cc(CNC2(c3cccc(C#N)c3)CC2)ccc1C#N ZINC000281740652 433367090 /nfs/dbraw/zinc/36/70/90/433367090.db2.gz QKCXQEIDMBUVSA-UHFFFAOYSA-N 0 1 303.365 3.217 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC)[C@@H]2CCc3ccccc32)CC1 ZINC000299443233 433369304 /nfs/dbraw/zinc/36/93/04/433369304.db2.gz PVLSKBKQHNWRRY-HXUWFJFHSA-N 0 1 324.468 3.258 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)NC(=O)[C@H]1CCCc2[nH]cnc21 ZINC000413983474 433338723 /nfs/dbraw/zinc/33/87/23/433338723.db2.gz HHHQDBRPBNWGPA-CVEARBPZSA-N 0 1 309.413 3.133 20 30 DGEDMN CC(C)CN(C(=O)CN1[C@H](C)CC[C@@H]1C)c1ccc(C#N)cc1 ZINC000342039789 433429827 /nfs/dbraw/zinc/42/98/27/433429827.db2.gz LDBLKWBELYWPMY-IYBDPMFKSA-N 0 1 313.445 3.420 20 30 DGEDMN C=CCC1(C(=O)NCCCN2C[C@@H](C)O[C@@H](C)C2)CCCCC1 ZINC000448626315 433459734 /nfs/dbraw/zinc/45/97/34/433459734.db2.gz AAVDCWHHAUZPMZ-CALCHBBNSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN(Cc1n[nH]c(C(C)C)n1)Cc1ccc(OC)cc1 ZINC000292151320 433484873 /nfs/dbraw/zinc/48/48/73/433484873.db2.gz JJTBKPLCUXCRHG-UHFFFAOYSA-N 0 1 300.406 3.125 20 30 DGEDMN C=CCN1CCC[C@H](c2n[nH]cc2NC(=O)c2ccccc2)C1 ZINC000355214312 433541111 /nfs/dbraw/zinc/54/11/11/433541111.db2.gz IIZCTMUQZTUNJR-HNNXBMFYSA-N 0 1 310.401 3.027 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000355365587 433539613 /nfs/dbraw/zinc/53/96/13/433539613.db2.gz MYETWXAFKWTLHH-UHFFFAOYSA-N 0 1 317.352 3.242 20 30 DGEDMN COCCN(CCc1ccccc1)Cc1ccc(C#N)cc1F ZINC000351566870 433577630 /nfs/dbraw/zinc/57/76/30/433577630.db2.gz DWKCESVPKHNLFO-UHFFFAOYSA-N 0 1 312.388 3.388 20 30 DGEDMN COc1cc(CNc2nccn2-c2ccccc2)ccc1C#N ZINC000351567309 433581911 /nfs/dbraw/zinc/58/19/11/433581911.db2.gz IDUHTEGEILDDEJ-UHFFFAOYSA-N 0 1 304.353 3.365 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1c1ccc(F)cc1C#N ZINC000301512510 433586525 /nfs/dbraw/zinc/58/65/25/433586525.db2.gz BXEVDQMIMYBQRD-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN C[C@H]1CN(C(=O)CC(C)(C)C#N)[C@@H](C)CN1Cc1ccccc1 ZINC000355465881 433546237 /nfs/dbraw/zinc/54/62/37/433546237.db2.gz XQDAZBKPUNTVKS-HOTGVXAUSA-N 0 1 313.445 3.048 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1C(=O)C[C@H](CC#N)c1ccccc1 ZINC000456385440 433635537 /nfs/dbraw/zinc/63/55/37/433635537.db2.gz QYIDKXILCPWBMO-ZWKOTPCHSA-N 0 1 313.445 3.017 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCN(Cc3ccccc3Cl)CC2)C1 ZINC000292791470 433594677 /nfs/dbraw/zinc/59/46/77/433594677.db2.gz LMCHDARIRWHXSK-GDBMZVCRSA-N 0 1 303.837 3.150 20 30 DGEDMN CC[C@@H]1CN(CC)CCN1C(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000456342817 433606202 /nfs/dbraw/zinc/60/62/02/433606202.db2.gz CNIBQNDYAAVKKJ-QGZVFWFLSA-N 0 1 313.445 3.044 20 30 DGEDMN CC(C)C[C@@H](C)n1nccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000426884450 433623318 /nfs/dbraw/zinc/62/33/18/433623318.db2.gz YEXLADVYQQYRKI-GFCCVEGCSA-N 0 1 312.373 3.320 20 30 DGEDMN Cc1nsc(N2CCN(C3CCC(C)CC3)CC2)c1C#N ZINC000342418390 433678160 /nfs/dbraw/zinc/67/81/60/433678160.db2.gz SRTUVYADXGJYTJ-UHFFFAOYSA-N 0 1 304.463 3.024 20 30 DGEDMN Cc1cc([C@@H]2CCCN(C(=O)C=Cc3cccc(C#N)c3)C2)n[nH]1 ZINC000283377385 433711770 /nfs/dbraw/zinc/71/17/70/433711770.db2.gz COXOLPDCGLXJCB-IWAYRVGRSA-N 0 1 320.396 3.009 20 30 DGEDMN CC(C)C[C@@H](N)c1noc([C@@H](C)Oc2ccccc2C#N)n1 ZINC000414622718 433750907 /nfs/dbraw/zinc/75/09/07/433750907.db2.gz SQIHDIYGSIZVIV-DGCLKSJQSA-N 0 1 300.362 3.127 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](c2nc[nH]n2)c2ccccc2)CCCC1 ZINC000456689448 433777437 /nfs/dbraw/zinc/77/74/37/433777437.db2.gz KIWBUGGGKBVKRG-MRXNPFEDSA-N 0 1 324.428 3.189 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2C(=O)[O-])c(C(F)(F)F)c1 ZINC000414674507 433782659 /nfs/dbraw/zinc/78/26/59/433782659.db2.gz FCBJQPKOGKNMKU-CYBMUJFWSA-N 0 1 312.291 3.016 20 30 DGEDMN C=C[C@H](C)NC(=O)CSc1nc(Cc2ccccc2)c(C)[nH]1 ZINC000362613284 433789407 /nfs/dbraw/zinc/78/94/07/433789407.db2.gz JXYJLZUDRRNWRF-LBPRGKRZSA-N 0 1 315.442 3.092 20 30 DGEDMN COc1ccccc1[C@H](CNc1c(C#N)cccc1C#N)N(C)C ZINC000302408139 433820027 /nfs/dbraw/zinc/82/00/27/433820027.db2.gz GHYZMLMRIHCMPF-KRWDZBQOSA-N 0 1 320.396 3.153 20 30 DGEDMN C=CCC1(C(=O)Nc2ccn(-c3ccncc3)n2)CCCCC1 ZINC000449858493 433838042 /nfs/dbraw/zinc/83/80/42/433838042.db2.gz DYVYRRHFEOGKDF-UHFFFAOYSA-N 0 1 310.401 3.732 20 30 DGEDMN COc1cc(CN2CC[C@@]3(C2)OCc2ccccc23)ccc1C#N ZINC000377294388 433853532 /nfs/dbraw/zinc/85/35/32/433853532.db2.gz TUOQPZYUVKLAKX-FQEVSTJZSA-N 0 1 320.392 3.198 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CCC=C(C)C1 ZINC000436250946 433914782 /nfs/dbraw/zinc/91/47/82/433914782.db2.gz HSHFOROGVAHQEN-HNNXBMFYSA-N 0 1 304.459 3.303 20 30 DGEDMN N#Cc1cnc(NCCc2nc3ccc(F)cc3[nH]2)c(Cl)c1 ZINC000362869715 433893894 /nfs/dbraw/zinc/89/38/94/433893894.db2.gz VRRJUAPLALIJFZ-UHFFFAOYSA-N 0 1 315.739 3.277 20 30 DGEDMN CN(C)Cc1cc(CNc2c(C#N)cccc2C#N)ccc1F ZINC000302880238 433894873 /nfs/dbraw/zinc/89/48/73/433894873.db2.gz IEOFSZDVVLBYSS-UHFFFAOYSA-N 0 1 308.360 3.243 20 30 DGEDMN C=CCOCCN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000294072599 433984182 /nfs/dbraw/zinc/98/41/82/433984182.db2.gz KTWVNYFQRYMHGM-CYBMUJFWSA-N 0 1 323.742 3.184 20 30 DGEDMN Cc1c(C#N)c(NC[C@@H](c2ccco2)N(C)C)nc2ccccc12 ZINC000450218603 434033765 /nfs/dbraw/zinc/03/37/65/434033765.db2.gz VTHWAKQRQYBZPH-KRWDZBQOSA-N 0 1 320.396 3.723 20 30 DGEDMN N#Cc1cc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)ccc1F ZINC000305084905 434037590 /nfs/dbraw/zinc/03/75/90/434037590.db2.gz YHNGEFOKPMKZOU-WBVHZDCISA-N 0 1 314.360 3.378 20 30 DGEDMN Cc1ccc2c(c1)C[N@H+](CCCC1(C#N)CCOCC1)CCO2 ZINC000285562857 433993984 /nfs/dbraw/zinc/99/39/84/433993984.db2.gz NGLTYYPRLYWHQX-UHFFFAOYSA-N 0 1 314.429 3.290 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)CCc2ccc(C#N)cc2)c1 ZINC000436682002 434068439 /nfs/dbraw/zinc/06/84/39/434068439.db2.gz UHSRMPZUWBCZHL-UHFFFAOYSA-N 0 1 308.337 3.038 20 30 DGEDMN CN(CCOc1cccc(C#N)c1)Cc1cc(F)cc(F)c1 ZINC000353322298 434074876 /nfs/dbraw/zinc/07/48/76/434074876.db2.gz VFZKVXKQIDONLO-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@@H]1CCCN1Cc1ccc(CO)cc1 ZINC000305795776 434080108 /nfs/dbraw/zinc/08/01/08/434080108.db2.gz CGMYBMCLIYWRBO-UXHICEINSA-N 0 1 306.409 3.451 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1ccc(CO)cc1 ZINC000305795775 434080148 /nfs/dbraw/zinc/08/01/48/434080148.db2.gz CGMYBMCLIYWRBO-PMACEKPBSA-N 0 1 306.409 3.451 20 30 DGEDMN CO[C@H]1CCC[N@@H+](Cc2ccc(C#N)cc2Br)CC1 ZINC000363674166 434115556 /nfs/dbraw/zinc/11/55/56/434115556.db2.gz MCADZOGBOHYUHW-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN CO[C@H]1CCCN(Cc2ccc(C#N)cc2Br)CC1 ZINC000363674166 434115564 /nfs/dbraw/zinc/11/55/64/434115564.db2.gz MCADZOGBOHYUHW-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN CC(C)NC(=O)CN[C@@H](C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000363708713 434124863 /nfs/dbraw/zinc/12/48/63/434124863.db2.gz IVWXTZWWYGSQJR-HNNXBMFYSA-N 0 1 321.424 3.400 20 30 DGEDMN C=CC(C)(C)C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000294691751 434127432 /nfs/dbraw/zinc/12/74/32/434127432.db2.gz KQGVKAJAFVIASA-UHFFFAOYSA-N 0 1 304.459 3.386 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(C#N)c(F)c2)cc1O ZINC000346132086 434138037 /nfs/dbraw/zinc/13/80/37/434138037.db2.gz OMKONYHPSXUCCB-NSHDSACASA-N 0 1 300.333 3.262 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000378398571 434169482 /nfs/dbraw/zinc/16/94/82/434169482.db2.gz GZFYYRQGEXHJON-GJZGRUSLSA-N 0 1 302.393 3.336 20 30 DGEDMN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2Cc1ccc(Cl)cc1C#N ZINC000286435491 434266690 /nfs/dbraw/zinc/26/66/90/434266690.db2.gz JAPCDZFBLSXOCZ-QUESIWGOSA-N 0 1 302.805 3.067 20 30 DGEDMN CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2Cc1ccc(Cl)cc1C#N ZINC000286435487 434267742 /nfs/dbraw/zinc/26/77/42/434267742.db2.gz JAPCDZFBLSXOCZ-LKPRIIMKSA-N 0 1 302.805 3.067 20 30 DGEDMN COC[C@@H]1CCCN(Cc2ccc(C#N)cc2OC(F)F)C1 ZINC000437143402 434223088 /nfs/dbraw/zinc/22/30/88/434223088.db2.gz LXXYJDCHJORRMJ-CYBMUJFWSA-N 0 1 310.344 3.018 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC[C@@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286342448 434238027 /nfs/dbraw/zinc/23/80/27/434238027.db2.gz FDWKTZVJSCTEEI-KCPJHIHWSA-N 0 1 312.335 3.112 20 30 DGEDMN C=CC[C@H](CC)NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000348365626 434292914 /nfs/dbraw/zinc/29/29/14/434292914.db2.gz CEGLHGMVJMWRNV-HOTGVXAUSA-N 0 1 307.413 3.082 20 30 DGEDMN Cc1ccc2c(c1)CC[C@@H]2[NH+]=C([O-])N1CC[C@H](c2nccn2C)C1 ZINC000328795010 434312609 /nfs/dbraw/zinc/31/26/09/434312609.db2.gz SUYMRFNZAUJMQM-RDJZCZTQSA-N 0 1 324.428 3.119 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)NC2CCC(C)(C)CC2)n[nH]1 ZINC000328913391 434330120 /nfs/dbraw/zinc/33/01/20/434330120.db2.gz IBSMJFSJHFSQCV-NSHDSACASA-N 0 1 307.442 3.462 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H]1Cc1ccccc1 ZINC000437827554 434347063 /nfs/dbraw/zinc/34/70/63/434347063.db2.gz FNOQWBDQDHAAOR-FUHWJXTLSA-N 0 1 300.446 3.117 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](C)C3(CCC3)C2)n[nH]1 ZINC000329106174 434358276 /nfs/dbraw/zinc/35/82/76/434358276.db2.gz HJBFSUVZJAXDPY-STQMWFEESA-N 0 1 304.438 3.075 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](NC(=O)N[C@@H]1CCc3nc[nH]c3C1)CCC2 ZINC000329619754 434444420 /nfs/dbraw/zinc/44/44/20/434444420.db2.gz QYMGLNYDJFZOBY-ZBFHGGJFSA-N 0 1 324.428 3.157 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1Cc2ccccc2C1)c1ccc(C#N)cc1 ZINC000359052068 434411370 /nfs/dbraw/zinc/41/13/70/434411370.db2.gz WPMDOXAAPFEUBS-CABCVRRESA-N 0 1 319.408 3.140 20 30 DGEDMN Cc1cc(C)n([C@H](C)CC(=O)Nc2nc(C3CCC3)n[nH]2)n1 ZINC000329441770 434412340 /nfs/dbraw/zinc/41/23/40/434412340.db2.gz BMJGPVXADCKZPA-LLVKDONJSA-N 0 1 302.382 3.125 20 30 DGEDMN Cc1cc(C)n([C@H](C)CC(=O)Nc2n[nH]c(C3CCC3)n2)n1 ZINC000329441770 434412350 /nfs/dbraw/zinc/41/23/50/434412350.db2.gz BMJGPVXADCKZPA-LLVKDONJSA-N 0 1 302.382 3.125 20 30 DGEDMN Cn1cc(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)cc1C#N ZINC000359731305 434424486 /nfs/dbraw/zinc/42/44/86/434424486.db2.gz AVEWUYXFOJGMLA-ROUUACIJSA-N 0 1 313.376 3.046 20 30 DGEDMN C[C@@]1(c2ccccc2)CCCN(CC(=O)NC(=O)NC2CC2)C1 ZINC000329565331 434434634 /nfs/dbraw/zinc/43/46/34/434434634.db2.gz SNBQCPGTSNLFBN-GOSISDBHSA-N 0 1 315.417 3.073 20 30 DGEDMN CCN(CC)[C@@H](C(=O)NCC(C)(C)CC#N)c1ccccc1 ZINC000457995799 434435253 /nfs/dbraw/zinc/43/52/53/434435253.db2.gz REJIIEREVCMOFL-MRXNPFEDSA-N 0 1 301.434 3.126 20 30 DGEDMN CC[C@@H]1Cc2ccccc2CN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329744072 434469342 /nfs/dbraw/zinc/46/93/42/434469342.db2.gz QMMLIRPIZBUXPU-JKSUJKDBSA-N 0 1 324.428 3.018 20 30 DGEDMN CC(C)[C@@H]1CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c2ccccc21 ZINC000329747883 434470998 /nfs/dbraw/zinc/47/09/98/434470998.db2.gz SPRNDCKPIUFSPM-HIFRSBDPSA-N 0 1 324.428 3.441 20 30 DGEDMN CC(C)c1nc([C@H]2CCCCN2CC(=O)NCC2CCC2)n[nH]1 ZINC000329769492 434475516 /nfs/dbraw/zinc/47/55/16/434475516.db2.gz FKENNAVINADAFJ-CQSZACIVSA-N 0 1 319.453 3.212 20 30 DGEDMN CC(C)C[C@@H]1CCCCN1C([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329833058 434488744 /nfs/dbraw/zinc/48/87/44/434488744.db2.gz GGGOSZUOMLNJJL-GJZGRUSLSA-N 0 1 304.438 3.082 20 30 DGEDMN O=C(C[C@H]1CCOC1)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000329822127 434489351 /nfs/dbraw/zinc/48/93/51/434489351.db2.gz HHNYFRKCKDXGQW-IAQYHMDHSA-N 0 1 315.373 3.429 20 30 DGEDMN C[C@H](c1cccs1)N(C)C([O-])=[NH+]C[C@@H]1CCc2nccn2C1 ZINC000329873371 434496671 /nfs/dbraw/zinc/49/66/71/434496671.db2.gz CCRHEYPCDYGGKM-OLZOCXBDSA-N 0 1 318.446 3.114 20 30 DGEDMN C[C@@H]1CN(CCC(=O)Nc2cccc(C#N)c2)C[C@@H](C2CC2)O1 ZINC000329892247 434500570 /nfs/dbraw/zinc/50/05/70/434500570.db2.gz ADKZBMJHVVHIFG-DYVFJYSZSA-N 0 1 313.401 3.036 20 30 DGEDMN O=C(Nc1cccc2c1CCCC2)c1c[nH]nc1[C@@H]1CCOC1 ZINC000329887972 434501117 /nfs/dbraw/zinc/50/11/17/434501117.db2.gz YEIJOWDRVXQAPQ-CYBMUJFWSA-N 0 1 311.385 3.429 20 30 DGEDMN CC(=O)c1ccc(CN(C)CCOCc2ccc(C#N)cc2)cc1 ZINC000451036525 434542966 /nfs/dbraw/zinc/54/29/66/434542966.db2.gz FTCQRSKOMMHHKP-UHFFFAOYSA-N 0 1 322.408 3.409 20 30 DGEDMN C=C(C)CNCc1ccc(F)cc1I ZINC000418034599 434570245 /nfs/dbraw/zinc/57/02/45/434570245.db2.gz XFRPZFYIDQAOAP-UHFFFAOYSA-N 0 1 305.134 3.096 20 30 DGEDMN N#CCCCOc1cccc(CNCc2cnc3n2CCCC3)c1 ZINC000368495202 434574120 /nfs/dbraw/zinc/57/41/20/434574120.db2.gz JAUQOKJJWHHOGY-UHFFFAOYSA-N 0 1 324.428 3.192 20 30 DGEDMN COc1ccc(CN2CCC(C#N)(c3ccccn3)CC2)cc1 ZINC000369204159 434590187 /nfs/dbraw/zinc/59/01/87/434590187.db2.gz FJBPCEFTQQZNBZ-UHFFFAOYSA-N 0 1 307.397 3.148 20 30 DGEDMN C[C@@H](NCCOc1cccc(C#N)c1)c1nc2c(s1)CCC2 ZINC000459633099 434643079 /nfs/dbraw/zinc/64/30/79/434643079.db2.gz KLQIWOKQXDJTGA-GFCCVEGCSA-N 0 1 313.426 3.233 20 30 DGEDMN CCOC(=O)c1ccc(CN[C@H]2CCCc3cc(C#N)ccc32)o1 ZINC000418150085 434606915 /nfs/dbraw/zinc/60/69/15/434606915.db2.gz VWLDZTBLEAMRGU-KRWDZBQOSA-N 0 1 324.380 3.495 20 30 DGEDMN CCOC(=O)c1ccc(CN[C@@H]2CCCc3cc(C#N)ccc32)o1 ZINC000418150086 434607736 /nfs/dbraw/zinc/60/77/36/434607736.db2.gz VWLDZTBLEAMRGU-QGZVFWFLSA-N 0 1 324.380 3.495 20 30 DGEDMN Cn1nccc1[C@H]1CCCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000370207354 434609986 /nfs/dbraw/zinc/60/99/86/434609986.db2.gz UFNNUPNEDMCKFR-INIZCTEOSA-N 0 1 313.832 3.305 20 30 DGEDMN C=CCn1cc(CN[C@@H](CSc2ccccc2)C(C)C)nn1 ZINC000424292053 434679380 /nfs/dbraw/zinc/67/93/80/434679380.db2.gz FYYKYLRJWSXVNC-KRWDZBQOSA-N 0 1 316.474 3.371 20 30 DGEDMN CN1CCC[C@@H]1C(=O)Nc1ccc(Oc2ccccc2C#N)cc1 ZINC000354292775 434707958 /nfs/dbraw/zinc/70/79/58/434707958.db2.gz XBZHYCYBYKRGOP-QGZVFWFLSA-N 0 1 321.380 3.383 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)[C@@H](C)CN1CCOCC1 ZINC000411137232 434729666 /nfs/dbraw/zinc/72/96/66/434729666.db2.gz PIBIBNJGBZURDZ-ZDUSSCGKSA-N 0 1 314.882 3.110 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)NC(C)(C)CC ZINC000411165388 434736449 /nfs/dbraw/zinc/73/64/49/434736449.db2.gz KCTLQVABYLAKSS-OAHLLOKOSA-N 0 1 315.461 3.464 20 30 DGEDMN COCCCCN(C)Cc1ccc(C#N)cc1Br ZINC000419378766 434782211 /nfs/dbraw/zinc/78/22/11/434782211.db2.gz DUQGAGIHHRNVHN-UHFFFAOYSA-N 0 1 311.223 3.179 20 30 DGEDMN C=CC[C@@H]1N([C@H]2CCN(CC(F)(F)F)C2=O)CCCC1(C)C ZINC000444778949 434791450 /nfs/dbraw/zinc/79/14/50/434791450.db2.gz KCENCGNDSQEYJN-STQMWFEESA-N 0 1 318.383 3.216 20 30 DGEDMN CC(C)c1nc(CN[C@H]2CCCCc3cc(C#N)ccc32)n[nH]1 ZINC000453265697 434844496 /nfs/dbraw/zinc/84/44/96/434844496.db2.gz ATBXVXDITBVJIE-INIZCTEOSA-N 0 1 309.417 3.357 20 30 DGEDMN C=CCN(Cc1ccccc1F)C[C@H](OC)C1CCOCC1 ZINC000637964956 434919244 /nfs/dbraw/zinc/91/92/44/434919244.db2.gz DGLZSGDVGAOSQT-SFHVURJKSA-N 0 1 307.409 3.255 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000492024352 434902489 /nfs/dbraw/zinc/90/24/89/434902489.db2.gz PKLYQZQAMPARAW-HZPDHXFCSA-N 0 1 317.433 3.167 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@H](C(C)(C)C)C[C@H]1C ZINC000553990744 434909587 /nfs/dbraw/zinc/90/95/87/434909587.db2.gz FPSWXSZILUBUEJ-VKJFTORMSA-N 0 1 307.482 3.187 20 30 DGEDMN CC(C)N(CCC#N)C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000523282814 434942465 /nfs/dbraw/zinc/94/24/65/434942465.db2.gz DMFPAICVJLDGQS-UHFFFAOYSA-N 0 1 316.792 3.494 20 30 DGEDMN Cc1cccc2nc(CNC(=O)C=Cc3ccc(C#N)cc3)[nH]c21 ZINC000492324854 434962011 /nfs/dbraw/zinc/96/20/11/434962011.db2.gz ZTUKQNMHISAINA-MDZDMXLPSA-N 0 1 316.364 3.073 20 30 DGEDMN CC[C@@H](NCc1ccc(C#N)cn1)c1ccc(OC)c(OC)c1 ZINC000554361021 434923548 /nfs/dbraw/zinc/92/35/48/434923548.db2.gz YFMFYRXHPSVPFV-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN COc1cccc([C@@H](CNCc2ccc(C#N)cc2F)OC)c1 ZINC000527735373 435014071 /nfs/dbraw/zinc/01/40/71/435014071.db2.gz JDZURWAPQONRNB-GOSISDBHSA-N 0 1 314.360 3.183 20 30 DGEDMN Cc1cc([C@H](C#N)C(=O)[C@@H]2Cc3c2cccc3Cl)nc(C)n1 ZINC000528913780 435030212 /nfs/dbraw/zinc/03/02/12/435030212.db2.gz DNLKAXSWBSCJAN-KGLIPLIRSA-N 0 1 311.772 3.263 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)Cc2ccccc2C)nc(C)n1 ZINC000528918681 435030434 /nfs/dbraw/zinc/03/04/34/435030434.db2.gz PWWKXIZFMARGTG-CXAGYDPISA-N 0 1 307.397 3.457 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cnn(C3CC3)c2)n1 ZINC000528967236 435031239 /nfs/dbraw/zinc/03/12/39/435031239.db2.gz WYVGLRBYEPYJCK-GFCCVEGCSA-N 0 1 300.387 3.288 20 30 DGEDMN C[C@H]1C[C@H](C)N(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000524620121 434983382 /nfs/dbraw/zinc/98/33/82/434983382.db2.gz VUGCJQDHNBKHTC-KBPBESRZSA-N 0 1 319.836 3.317 20 30 DGEDMN C=CCC(C)(C)CNC(=O)N1CCC(c2cn[nH]c2C)CC1 ZINC000574628030 434991187 /nfs/dbraw/zinc/99/11/87/434991187.db2.gz WFNKYQJNMWBCHD-UHFFFAOYSA-N 0 1 304.438 3.209 20 30 DGEDMN CCOC(=O)[C@]1(C(C)C)CCN(Cc2cccc(C#N)c2F)C1 ZINC000556067413 434994461 /nfs/dbraw/zinc/99/44/61/434994461.db2.gz QHGRZGLSPOOFQF-GOSISDBHSA-N 0 1 318.392 3.109 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000574701246 434998242 /nfs/dbraw/zinc/99/82/42/434998242.db2.gz VWVCUSYBLTWOFQ-RTBURBONSA-N 0 1 324.424 3.375 20 30 DGEDMN CC(C)(C)c1ccc(CNCc2cnc3c(C#N)cnn3c2)cc1 ZINC000556216002 435000712 /nfs/dbraw/zinc/00/07/12/435000712.db2.gz VIMSUXDLBCIQDJ-UHFFFAOYSA-N 0 1 319.412 3.188 20 30 DGEDMN C[C@H]1C[C@H](C(C)(C)C)CCN1CC(=O)NC1(C#N)CCCCC1 ZINC000558177550 435079917 /nfs/dbraw/zinc/07/99/17/435079917.db2.gz MBRZJAQCXOSSEJ-JKSUJKDBSA-N 0 1 319.493 3.476 20 30 DGEDMN CCC(CC)(CC)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000467153815 435111159 /nfs/dbraw/zinc/11/11/59/435111159.db2.gz WUPKRDSYQPWFGU-UHFFFAOYSA-N 0 1 316.373 3.084 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000494700686 435197930 /nfs/dbraw/zinc/19/79/30/435197930.db2.gz BISDRVLIJUTRMY-CABCVRRESA-N 0 1 307.463 3.101 20 30 DGEDMN C=CCCCCCN(C)CC(=O)N(C)c1ccc([N+](=O)[O-])cc1 ZINC000532966992 435202531 /nfs/dbraw/zinc/20/25/31/435202531.db2.gz FIQZPCSPMNHWTO-UHFFFAOYSA-N 0 1 319.405 3.236 20 30 DGEDMN N#Cc1ccc(CNC2(c3ccccc3)CCOCC2)c(F)c1 ZINC000533309432 435222087 /nfs/dbraw/zinc/22/20/87/435222087.db2.gz YJBLQXVJBPKCAJ-UHFFFAOYSA-N 0 1 310.372 3.493 20 30 DGEDMN N#CCCN(C(=O)c1cccc2[nH]ncc21)c1ccc(F)cc1 ZINC000561917027 435238513 /nfs/dbraw/zinc/23/85/13/435238513.db2.gz ZKGQLQBQDREGSC-UHFFFAOYSA-N 0 1 308.316 3.262 20 30 DGEDMN Cc1cc(F)ccc1N1CCC[C@@H](NC[C@@H](C#N)CCC#N)C1 ZINC000567079732 435292097 /nfs/dbraw/zinc/29/20/97/435292097.db2.gz WUXYPVUAXBSHEY-NVXWUHKLSA-N 0 1 314.408 3.136 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H]1CCc2ncnn21 ZINC000639434124 435293035 /nfs/dbraw/zinc/29/30/35/435293035.db2.gz NEOZSILVVOOOTL-LLVKDONJSA-N 0 1 308.838 3.169 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC[C@H](SC)C2)c1C#N ZINC000535964014 435364385 /nfs/dbraw/zinc/36/43/85/435364385.db2.gz OHZCEAOEUDXUJC-AWEZNQCLSA-N 0 1 318.442 3.062 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NC[C@H](c1ccccc1)C(C)C ZINC000513760534 435401002 /nfs/dbraw/zinc/40/10/02/435401002.db2.gz PACSDAIDNBZKNA-SFHVURJKSA-N 0 1 302.462 3.440 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@@H]1c1ccccc1 ZINC000513275302 435381496 /nfs/dbraw/zinc/38/14/96/435381496.db2.gz CZNBLQMXKZGDKA-SUMDDJOVSA-N 0 1 313.445 3.124 20 30 DGEDMN Clc1ccccc1C#CCN1CCC[C@H](n2ccnn2)CC1 ZINC000639816669 435429934 /nfs/dbraw/zinc/42/99/34/435429934.db2.gz GLQKRWBUJWUZDW-INIZCTEOSA-N 0 1 314.820 3.010 20 30 DGEDMN N#Cc1ccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)cc1F ZINC000568952788 435487463 /nfs/dbraw/zinc/48/74/63/435487463.db2.gz ANUMHAJVMDSWIJ-INIZCTEOSA-N 0 1 313.380 3.227 20 30 DGEDMN Cn1ccnc1[C@H](N[C@H]1CC[C@@H](CC#N)C1)c1cccc(F)c1 ZINC000517216332 435582663 /nfs/dbraw/zinc/58/26/63/435582663.db2.gz LKLXFHKQIFSDNO-RRQGHBQHSA-N 0 1 312.392 3.321 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000649229436 435539621 /nfs/dbraw/zinc/53/96/21/435539621.db2.gz IUWOAJJYGIDOSJ-UHFFFAOYSA-N 0 1 308.372 3.079 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000570824403 435653352 /nfs/dbraw/zinc/65/33/52/435653352.db2.gz YLQJLZDYHMPLRL-CRAIPNDOSA-N 0 1 310.401 3.475 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)c2cc3ccccc3o2)CC1 ZINC000490555563 435663305 /nfs/dbraw/zinc/66/33/05/435663305.db2.gz HSAUBVPKPUDNSP-OAHLLOKOSA-N 0 1 324.424 3.297 20 30 DGEDMN CC#CC[C@H](CO)Nc1ccnc2cc(C(F)(F)F)ccc21 ZINC000645406027 435637319 /nfs/dbraw/zinc/63/73/19/435637319.db2.gz OOCOFOZZUYPMOL-GFCCVEGCSA-N 0 1 308.303 3.440 20 30 DGEDMN CCCN(CC(=O)N[C@](C)(C#N)C(C)C)Cc1ccc(F)cc1 ZINC000520173424 435726674 /nfs/dbraw/zinc/72/66/74/435726674.db2.gz JWDBODGRSGUFRF-GOSISDBHSA-N 0 1 319.424 3.092 20 30 DGEDMN C=CCN1CC[C@@H](N2CCCC[C@H]2Cc2ccccc2F)C1=O ZINC000649794116 435705912 /nfs/dbraw/zinc/70/59/12/435705912.db2.gz AMMABVQAAYURRY-FUHWJXTLSA-N 0 1 316.420 3.010 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(C)(C)C)C[C@@H]2C)CC1 ZINC000491264930 435723727 /nfs/dbraw/zinc/72/37/27/435723727.db2.gz IUBPOAOQNQBBIJ-RDJZCZTQSA-N 0 1 304.478 3.005 20 30 DGEDMN C#C[C@@H](NCc1cn(-c2ccncc2)nc1C)c1ccc(F)cc1 ZINC000491666845 435788244 /nfs/dbraw/zinc/78/82/44/435788244.db2.gz JZLRPDZHDJLPJV-LJQANCHMSA-N 0 1 320.371 3.179 20 30 DGEDMN CCN(CCNc1cccc(F)c1C#N)CCC(F)(F)F ZINC000491584583 435775233 /nfs/dbraw/zinc/77/52/33/435775233.db2.gz VPPDEBUXJISDQZ-UHFFFAOYSA-N 0 1 303.303 3.384 20 30 DGEDMN C#C[C@@H](NC1CCC(NC(C)=O)CC1)c1ccc(Cl)cc1F ZINC000491647592 435784897 /nfs/dbraw/zinc/78/48/97/435784897.db2.gz YKMQBQHRJOPLIM-MQBCKMQZSA-N 0 1 322.811 3.190 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)c1 ZINC000646747903 435914781 /nfs/dbraw/zinc/91/47/81/435914781.db2.gz ABWKPQXYOFHCQI-LRDDRELGSA-N 0 1 311.385 3.279 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000646776104 435918336 /nfs/dbraw/zinc/91/83/36/435918336.db2.gz IVHRQOSNWXITMG-MAUKXSAKSA-N 0 1 323.440 3.333 20 30 DGEDMN CN(CC#Cc1ccc(C(F)(F)F)cc1)CC1=CCCOC1 ZINC000661655569 436136512 /nfs/dbraw/zinc/13/65/12/436136512.db2.gz QEHAHAPZGYVFSS-UHFFFAOYSA-N 0 1 309.331 3.335 20 30 DGEDMN C=CCN([C@H]1CCN(c2ccccc2OC)C1=O)C(C)(C)C ZINC000661756779 436179459 /nfs/dbraw/zinc/17/94/59/436179459.db2.gz VVGGWJMQGDHITO-HNNXBMFYSA-N 0 1 302.418 3.087 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccc(CNC(C)=O)cc1 ZINC000657541769 436240688 /nfs/dbraw/zinc/24/06/88/436240688.db2.gz ROBXFCXSJIDICV-UHFFFAOYSA-N 0 1 324.424 3.177 20 30 DGEDMN C=CCCn1cc(CN[C@H]2C[C@H](OC(C)(C)C)C23CCC3)nn1 ZINC000657701638 436282906 /nfs/dbraw/zinc/28/29/06/436282906.db2.gz AFFOVNBDMRMIQV-HOTGVXAUSA-N 0 1 318.465 3.070 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NCc1nn(C)cc1Br ZINC000658027946 436355420 /nfs/dbraw/zinc/35/54/20/436355420.db2.gz ANQQXJIUYUMOTL-WCQYABFASA-N 0 1 312.255 3.407 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NC[C@H](c1ccsc1)N(C)C ZINC000663626451 436483621 /nfs/dbraw/zinc/48/36/21/436483621.db2.gz AIEHICXOFHEKDJ-CQSZACIVSA-N 0 1 309.479 3.395 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1Nc1nccc2cc(OC)c(OC)cc21 ZINC000663973479 436537399 /nfs/dbraw/zinc/53/73/99/436537399.db2.gz XVANWEVTHMHSNX-CABCVRRESA-N 0 1 314.385 3.398 20 30 DGEDMN C[C@H](N[C@H]1C[C@@H](C)N(c2ccccc2)C1=O)c1ccc(C#N)cc1 ZINC000174255675 312761706 /nfs/dbraw/zinc/76/17/06/312761706.db2.gz CNMIETKZROFSEW-VCBZYWHSSA-N 0 1 319.408 3.403 20 30 DGEDMN CC(C)(NCC(=O)Nc1cccc(C#N)c1)c1cccc(F)c1 ZINC000179565541 312809513 /nfs/dbraw/zinc/80/95/13/312809513.db2.gz RZBFWPKNKZPQGZ-UHFFFAOYSA-N 0 1 311.360 3.161 20 30 DGEDMN N#Cc1cccc(CNC2(c3ccccc3)CCOCC2)c1F ZINC000537047177 313795230 /nfs/dbraw/zinc/79/52/30/313795230.db2.gz QUDIZRJEPOIEOQ-UHFFFAOYSA-N 0 1 310.372 3.493 20 30 DGEDMN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(C#N)cn2)C1(C)C ZINC000565158582 315235124 /nfs/dbraw/zinc/23/51/24/315235124.db2.gz TWBBRTPJBZSYAM-DLBZAZTESA-N 0 1 301.434 3.369 20 30 DGEDMN C[C@H](NCc1cnc2c(C#N)cnn2c1)c1ccc(C2CC2)cc1 ZINC000566243281 315325453 /nfs/dbraw/zinc/32/54/53/315325453.db2.gz RZPAGZQJWXXPSE-ZDUSSCGKSA-N 0 1 317.396 3.329 20 30 DGEDMN Cn1cc([C@H]2CN(Cc3cccc(F)c3C#N)CC2(C)C)cn1 ZINC000566426818 315344644 /nfs/dbraw/zinc/34/46/44/315344644.db2.gz YARSDCPNODNABX-MRXNPFEDSA-N 0 1 312.392 3.056 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3c(c2)OCCCCO3)s1 ZINC000574187530 315940777 /nfs/dbraw/zinc/94/07/77/315940777.db2.gz RVKQVUVIERCQTO-UHFFFAOYSA-N 0 1 314.410 3.461 20 30 DGEDMN N#Cc1ccc(CNCc2c(C3CC3)nc3sccn23)s1 ZINC000574980004 316021373 /nfs/dbraw/zinc/02/13/73/316021373.db2.gz BGJUCTOYNWRJCH-UHFFFAOYSA-N 0 1 314.439 3.496 20 30 DGEDMN C[C@H](N[C@@H]1C[C@@H](C)N(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000181995822 323279181 /nfs/dbraw/zinc/27/91/81/323279181.db2.gz RRZXDYFSUKBBFT-ZRGWGRIASA-N 0 1 319.408 3.403 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000583531962 324365374 /nfs/dbraw/zinc/36/53/74/324365374.db2.gz FIFTZEIYHXQPAC-DLBZAZTESA-N 0 1 313.788 3.226 20 30 DGEDMN C[C@H](NC(=O)CN1CCC(CCC#N)CC1)c1ccc(F)cc1 ZINC000570925853 332843651 /nfs/dbraw/zinc/84/36/51/332843651.db2.gz MPRGUVYBJKCEAN-AWEZNQCLSA-N 0 1 317.408 3.019 20 30 DGEDMN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NC[C@@H](C#N)CCC#N ZINC000556884049 331748428 /nfs/dbraw/zinc/74/84/28/331748428.db2.gz CEPVEMQDJRGMEV-NXWXRZEISA-N 0 1 311.429 3.371 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1nc(-c2ccc(Cl)cc2)n[nH]1 ZINC000518472047 332060593 /nfs/dbraw/zinc/06/05/93/332060593.db2.gz IWCUIXBIWUKHHF-SNVBAGLBSA-N 0 1 320.780 3.045 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000150903440 332198442 /nfs/dbraw/zinc/19/84/42/332198442.db2.gz UUMBCXOBGRMXJT-UHFFFAOYSA-N 0 1 318.417 3.075 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000531066911 332244795 /nfs/dbraw/zinc/24/47/95/332244795.db2.gz HQQFLBQAZHXGHZ-XJKSGUPXSA-N 0 1 324.852 3.040 20 30 DGEDMN Cc1nn(C)c(C)c1CNCc1cccc(OCCCC#N)c1 ZINC000237708947 332385897 /nfs/dbraw/zinc/38/58/97/332385897.db2.gz PUNISWLPKYUTLT-UHFFFAOYSA-N 0 1 312.417 3.009 20 30 DGEDMN Cc1ccc(C(=O)/C=C/c2cn(C)nc2-c2ccncc2)c(O)c1 ZINC000156710792 332482200 /nfs/dbraw/zinc/48/22/00/332482200.db2.gz VSNZHSNJWZXDKM-GQCTYLIASA-N 0 1 319.364 3.392 20 30 DGEDMN C[C@H](Cc1ccccc1Br)NC[C@H](C#N)CCC#N ZINC000516761994 333131391 /nfs/dbraw/zinc/13/13/91/333131391.db2.gz QTNRFBQIUUMLJT-OLZOCXBDSA-N 0 1 320.234 3.413 20 30 DGEDMN CN(CCC#N)C[C@H]1CC[C@H](CNC(=O)OC(C)(C)C)CC1 ZINC000497175941 333205270 /nfs/dbraw/zinc/20/52/70/333205270.db2.gz GDDRUKIGLWQCEX-SHTZXODSSA-N 0 1 309.454 3.163 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@H](c2ccncc2)C1 ZINC000295689727 335137129 /nfs/dbraw/zinc/13/71/29/335137129.db2.gz DGHLLTGJBKFQCY-WMZOPIPTSA-N 0 1 315.461 3.074 20 30 DGEDMN CCOc1ccc(C2=CC[N@@H+](CCOCCC#N)CC2)cc1 ZINC000293721143 334201539 /nfs/dbraw/zinc/20/15/39/334201539.db2.gz FQHKEUIIANPYHY-UHFFFAOYSA-N 0 1 300.402 3.105 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3cccc(CC#N)c3)[nH]c21 ZINC000345367149 335320772 /nfs/dbraw/zinc/32/07/72/335320772.db2.gz CVOLPNXNYSIXHD-HNNXBMFYSA-N 0 1 314.348 3.427 20 30 DGEDMN Cn1ccnc1[C@@H](NCCCCC#N)c1ccc(Cl)cc1 ZINC000357407050 336584347 /nfs/dbraw/zinc/58/43/47/336584347.db2.gz XOTUWKHHUJJGPB-HNNXBMFYSA-N 0 1 302.809 3.446 20 30 DGEDMN C[C@H](C(=O)NC1CCC(C)CC1)N1CCC(CCC#N)CC1 ZINC000583869809 337362643 /nfs/dbraw/zinc/36/26/43/337362643.db2.gz JIOALPMMCPABJX-ISXOHVOVSA-N 0 1 305.466 3.086 20 30 DGEDMN C=CCCC[C@H](C)N(C)CC(=O)Nc1nnc(CC(C)C)s1 ZINC000516217579 338007736 /nfs/dbraw/zinc/00/77/36/338007736.db2.gz MPMPENOMCGMJPH-ZDUSSCGKSA-N 0 1 324.494 3.352 20 30 DGEDMN CC#CCCNCc1cn(-c2ccc(Cl)cc2Cl)nn1 ZINC000499216536 340032743 /nfs/dbraw/zinc/03/27/43/340032743.db2.gz SGOYGHKTBNLZEB-UHFFFAOYSA-N 0 1 309.200 3.077 20 30 DGEDMN COc1cccc(NC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000505425414 340168113 /nfs/dbraw/zinc/16/81/13/340168113.db2.gz AKOHDFQYBDGCOC-AWEZNQCLSA-N 0 1 320.352 3.126 20 30 DGEDMN Cc1cccc(N(CCC#N)C(=O)CN2[C@H](C)CC[C@H]2C)c1C ZINC000174717126 340395011 /nfs/dbraw/zinc/39/50/11/340395011.db2.gz HPKNZSLTWHYFLG-HZPDHXFCSA-N 0 1 313.445 3.423 20 30 DGEDMN C[C@H]1CN(CCCC(C)(C)C#N)CCN1C(=O)OC(C)(C)C ZINC000174875033 340398171 /nfs/dbraw/zinc/39/81/71/340398171.db2.gz VONUNMQNZXJKRE-AWEZNQCLSA-N 0 1 309.454 3.258 20 30 DGEDMN CCN(CC)C(=O)[C@@H](c1ccccc1)N1CCC(CC#N)CC1 ZINC000543807746 341059911 /nfs/dbraw/zinc/05/99/11/341059911.db2.gz QOGDNOCROPFHHQ-GOSISDBHSA-N 0 1 313.445 3.222 20 30 DGEDMN CC[C@H]1CCN(CC(=O)N(CCC#N)c2cccc(Cl)c2)C1 ZINC000131596147 341100163 /nfs/dbraw/zinc/10/01/63/341100163.db2.gz KUGFVCPZEDYAGP-AWEZNQCLSA-N 0 1 319.836 3.319 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN1CC[C@H](c2cccc(F)c2)C1 ZINC000552513201 341396997 /nfs/dbraw/zinc/39/69/97/341396997.db2.gz JMTIORYSAIGGTR-INIZCTEOSA-N 0 1 304.409 3.040 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1 ZINC000554191063 341446545 /nfs/dbraw/zinc/44/65/45/341446545.db2.gz CAKXEICWJMWYEV-QWHCGFSZSA-N 0 1 320.799 3.091 20 30 DGEDMN COC(=O)c1ccc(F)c(CN2CCC(CCC#N)CC2)c1 ZINC000576634273 341855973 /nfs/dbraw/zinc/85/59/73/341855973.db2.gz FHEKMUSEHROAGP-UHFFFAOYSA-N 0 1 304.365 3.128 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H](O)COc1ccc(C(C)(C)C)cc1 ZINC000577409489 341908747 /nfs/dbraw/zinc/90/87/47/341908747.db2.gz YUSOXKRLYGURRC-HOTGVXAUSA-N 0 1 304.434 3.006 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1CCC(F)(F)CC1 ZINC001505698541 1186127526 /nfs/dbraw/zinc/12/75/26/1186127526.db2.gz QXKOXBHIOLWGHW-GHMZBOCLSA-N 0 1 308.800 3.047 20 30 DGEDMN Cc1nc(CN2CCN(CCCCC(C)(C)C#N)CC2)oc1C ZINC000336872564 534358360 /nfs/dbraw/zinc/35/83/60/534358360.db2.gz ODEBDTZKQVAEGH-UHFFFAOYSA-N 0 1 318.465 3.129 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(CC)Cc1cccc(F)c1 ZINC000489439671 534558216 /nfs/dbraw/zinc/55/82/16/534558216.db2.gz ZJSVMUQMAOBQCQ-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN C=C[C@@H]1CCCCN1CCC(=O)c1ccc2c(c1)OCCO2 ZINC000489430963 534558313 /nfs/dbraw/zinc/55/83/13/534558313.db2.gz HQCSSXTVPNUUCE-OAHLLOKOSA-N 0 1 301.386 3.071 20 30 DGEDMN N#CC1CCN(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)CC1 ZINC000181101718 534586547 /nfs/dbraw/zinc/58/65/47/534586547.db2.gz HHJOIWMQMGOOOW-UHFFFAOYSA-N 0 1 319.315 3.268 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCc1ccc(F)cc1Br ZINC000449521571 534608732 /nfs/dbraw/zinc/60/87/32/534608732.db2.gz SHTLGJNSPZBOHF-OLZOCXBDSA-N 0 1 300.171 3.021 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(C)[C@@H](C(C)C)C1)c1ccccc1 ZINC000489399414 534672555 /nfs/dbraw/zinc/67/25/55/534672555.db2.gz UUMNSDHLOOCLJI-SJLPKXTDSA-N 0 1 300.446 3.145 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(C)[C@H](C(C)C)C1)c1ccccc1 ZINC000489399409 534672846 /nfs/dbraw/zinc/67/28/46/534672846.db2.gz UUMNSDHLOOCLJI-AEFFLSMTSA-N 0 1 300.446 3.145 20 30 DGEDMN N#CC(C(=O)c1ccccc1Oc1ccncc1)c1ccncn1 ZINC000338647185 534741246 /nfs/dbraw/zinc/74/12/46/534741246.db2.gz LFADIZPPUUIFHA-HNNXBMFYSA-N 0 1 316.320 3.154 20 30 DGEDMN Cc1ncc(CNCc2cccc(C#N)c2)n1-c1ccccc1 ZINC000173842853 534741970 /nfs/dbraw/zinc/74/19/70/534741970.db2.gz XNGVVWUDJWFGGJ-UHFFFAOYSA-N 0 1 302.381 3.342 20 30 DGEDMN Cn1cc(Oc2ccccc2C(=O)[C@@H](C#N)c2ccccn2)cn1 ZINC000338626434 534748057 /nfs/dbraw/zinc/74/80/57/534748057.db2.gz NXLXWFLBBLJSOB-HNNXBMFYSA-N 0 1 318.336 3.097 20 30 DGEDMN N#Cc1cccc(-c2csc([C@H]3CN4CCC[C@@H]4CO3)n2)c1 ZINC000317795243 534800323 /nfs/dbraw/zinc/80/03/23/534800323.db2.gz ZQLZIKHNGKSWMR-GDBMZVCRSA-N 0 1 311.410 3.217 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C(=O)CN(CC)C1CC1 ZINC000359705845 526334609 /nfs/dbraw/zinc/33/46/09/526334609.db2.gz OREOZKGZPYDZBF-UHFFFAOYSA-N 0 1 312.866 3.400 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)[C@@H](C)c2ccccc2OC)C1=O ZINC000337140083 526474681 /nfs/dbraw/zinc/47/46/81/526474681.db2.gz QQRZUCWCKMZOHG-DOTOQJQBSA-N 0 1 316.445 3.255 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2c(CC)oc3ccccc32)C1=O ZINC000337127083 526500696 /nfs/dbraw/zinc/50/06/96/526500696.db2.gz GMZGOCBIUAVBJU-INIZCTEOSA-N 0 1 312.413 3.214 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(Cc1ccc(F)cc1)C(C)C ZINC000350123897 526520905 /nfs/dbraw/zinc/52/09/05/526520905.db2.gz OUJRSTDBGNYSSH-UHFFFAOYSA-N 0 1 306.425 3.461 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N1CCC(c2ccc(F)cc2C)CC1 ZINC000428729430 526623298 /nfs/dbraw/zinc/62/32/98/526623298.db2.gz UTHSDZWBZSLJKY-CQSZACIVSA-N 0 1 304.409 3.004 20 30 DGEDMN C#CCCN1CCN(Cc2csc(-c3cccs3)n2)CC1 ZINC000127275276 526728535 /nfs/dbraw/zinc/72/85/35/526728535.db2.gz PQSDOKZJNLAZSE-UHFFFAOYSA-N 0 1 317.483 3.013 20 30 DGEDMN C=CCOc1ccc(C(=O)Nc2c(C)n[nH]c2C(F)F)cc1 ZINC000355612739 526853380 /nfs/dbraw/zinc/85/33/80/526853380.db2.gz GVJJQXYRZJOTMI-UHFFFAOYSA-N 0 1 307.300 3.473 20 30 DGEDMN CC(C)(C)c1nc(CN(Cc2ccc(C#N)cc2)C2CC2)n[nH]1 ZINC000292524693 526860927 /nfs/dbraw/zinc/86/09/27/526860927.db2.gz FCVZEXUQKHOSBQ-UHFFFAOYSA-N 0 1 309.417 3.138 20 30 DGEDMN C=C1CCN(C[C@@H](O)COc2ccc(/C=C\C)cc2OC)CC1 ZINC000341990606 526902766 /nfs/dbraw/zinc/90/27/66/526902766.db2.gz DEZDJYQXPQOPRY-VGOKWCNXSA-N 0 1 317.429 3.120 20 30 DGEDMN C=CC1CCN(C(=O)c2ccc(Nc3ccncc3)cc2)CC1 ZINC000347009901 526975607 /nfs/dbraw/zinc/97/56/07/526975607.db2.gz SPPJRAWONDXINZ-UHFFFAOYSA-N 0 1 307.397 3.285 20 30 DGEDMN C=CC1CCN([C@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC000348189329 526983287 /nfs/dbraw/zinc/98/32/87/526983287.db2.gz QMIGLDHMVAJFFI-GFCCVEGCSA-N 0 1 317.820 3.437 20 30 DGEDMN C=CCSCCNC(=O)[C@H](c1ccccc1)N(CC)CC ZINC000171472522 526999097 /nfs/dbraw/zinc/99/90/97/526999097.db2.gz PPIKRPIPJHWVOY-INIZCTEOSA-N 0 1 306.475 3.105 20 30 DGEDMN CC(=O)c1ccc(C#N)c(N(C)Cc2cc(C(C)(C)C)[nH]n2)c1 ZINC000444875095 527223652 /nfs/dbraw/zinc/22/36/52/527223652.db2.gz QJEAHKKENJZVHY-UHFFFAOYSA-N 0 1 310.401 3.418 20 30 DGEDMN C=CCc1ccccc1OC[C@H](O)CN(C)Cc1occc1C ZINC000429400116 527262976 /nfs/dbraw/zinc/26/29/76/527262976.db2.gz KFPIUCRRYIPYSS-QGZVFWFLSA-N 0 1 315.413 3.188 20 30 DGEDMN C=CCn1c(C)cc(C(=O)CN2CCCC[C@@H](COC)C2)c1C ZINC000342746948 527294813 /nfs/dbraw/zinc/29/48/13/527294813.db2.gz GZFNOQFGRQBLND-QGZVFWFLSA-N 0 1 318.461 3.222 20 30 DGEDMN C=CCN1C(=O)N=NC1SCC[C@@H](C)OCc1ccccc1 ZINC000352932501 527332673 /nfs/dbraw/zinc/33/26/73/527332673.db2.gz SZESDNXUMRBZFZ-CYBMUJFWSA-N 0 1 319.430 3.257 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000134869196 527524289 /nfs/dbraw/zinc/52/42/89/527524289.db2.gz VKTXOSJNHIPKMU-IBGZPJMESA-N 0 1 315.461 3.288 20 30 DGEDMN C=CCN(C)C(=O)c1n[nH]c(-c2ccccc2)c1Br ZINC000152913797 527569639 /nfs/dbraw/zinc/56/96/39/527569639.db2.gz UVCPXBNPLRUYED-UHFFFAOYSA-N 0 1 320.190 3.097 20 30 DGEDMN C=CCN(CC=C)C(=O)CN[C@@H](C)c1ccc(SC)cc1 ZINC000337219574 527616622 /nfs/dbraw/zinc/61/66/22/527616622.db2.gz KWZRXRXMKXWYCZ-AWEZNQCLSA-N 0 1 304.459 3.260 20 30 DGEDMN CCN(CCCC(C)(C)C#N)CC(=O)Nc1ccccc1OC ZINC000174808813 528431371 /nfs/dbraw/zinc/43/13/71/528431371.db2.gz PIKPKOCXNSVPTP-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN CCN(Cc1ccc2ccccc2c1)[C@@H](C)C(=O)N(C)CCC#N ZINC000343655068 528802170 /nfs/dbraw/zinc/80/21/70/528802170.db2.gz MXOOUGPMBUOQPQ-INIZCTEOSA-N 0 1 323.440 3.422 20 30 DGEDMN CC[C@@H]1CCCN1CC(=O)N(CCC#N)c1cccc(C)c1C ZINC000341169751 529301467 /nfs/dbraw/zinc/30/14/67/529301467.db2.gz OLHBKXXFFQMNHP-QGZVFWFLSA-N 0 1 313.445 3.425 20 30 DGEDMN CC(C)[C@H](CCN(C)CC1(C#N)CC1)NC(=O)OC(C)(C)C ZINC000494140330 534978831 /nfs/dbraw/zinc/97/88/31/534978831.db2.gz CABGAOWXIHQSSF-AWEZNQCLSA-N 0 1 309.454 3.161 20 30 DGEDMN OC[C@]1(NCC#Cc2ccc(Cl)cc2)CCc2ccccc21 ZINC000132251436 545961769 /nfs/dbraw/zinc/96/17/69/545961769.db2.gz NNVKKYQDGHKGKG-LJQANCHMSA-N 0 1 311.812 3.115 20 30 DGEDMN N#C[C@@H]1CCC[C@@H]1[NH+]=C([O-])N1CCCn2c(cc3ccccc32)C1 ZINC000329386386 546485899 /nfs/dbraw/zinc/48/58/99/546485899.db2.gz QJIDEAXCNRIXQQ-RDJZCZTQSA-N 0 1 322.412 3.453 20 30 DGEDMN O=C(C[C@@H]1CCc2ccccc2C1)NC1(c2nnc[nH]2)CCC1 ZINC000329534356 546486780 /nfs/dbraw/zinc/48/67/80/546486780.db2.gz YPTQNXIJZBPNKN-CYBMUJFWSA-N 0 1 310.401 3.336 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N[C@@H]1CCCCC12CCCC2 ZINC000329575612 546487619 /nfs/dbraw/zinc/48/76/19/546487619.db2.gz BDDPTROUMZUIAY-CZUORRHYSA-N 0 1 316.449 3.274 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC[C@@H](C4CC4)C3)o2)c1 ZINC000329655290 546489320 /nfs/dbraw/zinc/48/93/20/546489320.db2.gz MDNCTLNVFNUBFK-MRXNPFEDSA-N 0 1 308.385 3.230 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1N1CCC[C@H](c2cc(C)n[nH]2)C1 ZINC000669828431 547691092 /nfs/dbraw/zinc/69/10/92/547691092.db2.gz MVEMSDFCIWQJMU-HNNXBMFYSA-N 0 1 308.385 3.176 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1occ2c1CCC2 ZINC000671745382 547823579 /nfs/dbraw/zinc/82/35/79/547823579.db2.gz SPXZOEWAYTUREF-ZDUSSCGKSA-N 0 1 305.337 3.145 20 30 DGEDMN C=C(C)[C@H](CC(=O)NCc1nc2ccc(Cl)cc2[nH]1)OCC ZINC000677306030 548484068 /nfs/dbraw/zinc/48/40/68/548484068.db2.gz DHTWQRVPWUDWOQ-AWEZNQCLSA-N 0 1 321.808 3.204 20 30 DGEDMN CCC#C[C@@H](C)N1CCC(Oc2c(OC)cccc2OC)CC1 ZINC000677802548 548558085 /nfs/dbraw/zinc/55/80/85/548558085.db2.gz RECLXKDTXILIDW-OAHLLOKOSA-N 0 1 317.429 3.349 20 30 DGEDMN C=CCCC[C@@H](C)N1CCN(C(=O)c2ccccc2OC)CC1 ZINC000678714767 548752246 /nfs/dbraw/zinc/75/22/46/548752246.db2.gz OIGNCGFQOMDXKA-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN COCC#CCN[C@@H](COCc1ccccc1)c1ccccc1 ZINC000678781883 548763119 /nfs/dbraw/zinc/76/31/19/548763119.db2.gz CARZATLISHCFST-FQEVSTJZSA-N 0 1 309.409 3.184 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CCC)Cc1ccc(C)cc1 ZINC000679636925 548927628 /nfs/dbraw/zinc/92/76/28/548927628.db2.gz YFUNVTDECGVDFT-UHFFFAOYSA-N 0 1 300.446 3.408 20 30 DGEDMN COc1cc2ccccc2cc1C(=O)[C@H](C#N)c1ccncn1 ZINC000680617022 549075934 /nfs/dbraw/zinc/07/59/34/549075934.db2.gz AXQOAYZWCLQCKT-OAHLLOKOSA-N 0 1 303.321 3.128 20 30 DGEDMN COc1cc2ccccc2cc1C(=O)C(C#N)c1ccncn1 ZINC000680617022 549075939 /nfs/dbraw/zinc/07/59/39/549075939.db2.gz AXQOAYZWCLQCKT-OAHLLOKOSA-N 0 1 303.321 3.128 20 30 DGEDMN C[C@@H](C(=O)OCc1cc(C#N)ccc1F)N1[C@H](C)CC[C@H]1C ZINC000741022589 574524764 /nfs/dbraw/zinc/52/47/64/574524764.db2.gz SBSPOCHDWCTAHL-UPJWGTAASA-N 0 1 304.365 3.002 20 30 DGEDMN CCO[C@@H](CC)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422542 574529265 /nfs/dbraw/zinc/52/92/65/574529265.db2.gz UCRUUNOFZKHLJI-JQWIXIFHSA-N 0 1 306.362 3.427 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2cc(-c3cccs3)n[nH]2)cc1 ZINC000731012042 574541525 /nfs/dbraw/zinc/54/15/25/574541525.db2.gz BSFLSXBNWHFPFH-UHFFFAOYSA-N 0 1 323.377 3.403 20 30 DGEDMN CCOc1c(CN=Nc2ccc(F)cn2)c(C)nn1CC(C)C ZINC000731318098 574546925 /nfs/dbraw/zinc/54/69/25/574546925.db2.gz GJANQLOCIDCQJV-UHFFFAOYSA-N 0 1 319.384 3.226 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H](C)c2nc3ccc(Cl)cc3[nH]2)nc1 ZINC000732540050 574575690 /nfs/dbraw/zinc/57/56/90/574575690.db2.gz ABHXZSUKQZRSLO-SNVBAGLBSA-N 0 1 324.771 3.084 20 30 DGEDMN C[C@H](NC(=O)C1(C#N)CCCC1)c1nc2ccc(Cl)cc2[nH]1 ZINC000732540198 574575705 /nfs/dbraw/zinc/57/57/05/574575705.db2.gz CJWKAAUAKVDEKA-JTQLQIEISA-N 0 1 316.792 3.477 20 30 DGEDMN Cc1ccccc1[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000738770232 574603953 /nfs/dbraw/zinc/60/39/53/574603953.db2.gz XZNKWTUZWFKXDM-PKOBYXMFSA-N 0 1 320.392 3.482 20 30 DGEDMN C(=NNc1cnnc2ccccc21)c1ccc(-n2cccn2)cc1 ZINC000733748022 574636611 /nfs/dbraw/zinc/63/66/11/574636611.db2.gz BTGLLUFUXHIGHF-UHFFFAOYSA-N 0 1 314.352 3.262 20 30 DGEDMN C#CCOc1ccccc1C[NH2+]Cc1cc(Cl)ccc1[O-] ZINC000734159022 574653442 /nfs/dbraw/zinc/65/34/42/574653442.db2.gz CNXQQLYWJHDUDN-UHFFFAOYSA-N 0 1 301.773 3.347 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Cc3ccc(F)cc3)[nH]c21 ZINC000735790944 574714985 /nfs/dbraw/zinc/71/49/85/574714985.db2.gz GKCZSLSKOTXSBK-AWEZNQCLSA-N 0 1 307.328 3.429 20 30 DGEDMN C[C@@H](NC(=O)C1(C#N)CCC1)c1nc2ccc(Cl)cc2[nH]1 ZINC000736018216 574727467 /nfs/dbraw/zinc/72/74/67/574727467.db2.gz JZTLLBDOVIMZKC-SECBINFHSA-N 0 1 302.765 3.087 20 30 DGEDMN C#CC[N@H+](Cc1ccc(F)cc1)Cc1ccc(F)cc1C(=O)[O-] ZINC000736078674 574729827 /nfs/dbraw/zinc/72/98/27/574729827.db2.gz DTKOOFAAKAPDMD-UHFFFAOYSA-N 0 1 315.319 3.298 20 30 DGEDMN C#CC[N@@H+](Cc1ccc(F)cc1)Cc1ccc(F)cc1C(=O)[O-] ZINC000736078674 574729830 /nfs/dbraw/zinc/72/98/30/574729830.db2.gz DTKOOFAAKAPDMD-UHFFFAOYSA-N 0 1 315.319 3.298 20 30 DGEDMN CCCC(N=Nc1oc(C)nc1-c1nn[nH]n1)C1CCCCC1 ZINC000736411900 574748441 /nfs/dbraw/zinc/74/84/41/574748441.db2.gz PYUAROPIORHATH-UHFFFAOYSA-N 0 1 317.397 3.311 20 30 DGEDMN C[C@H]([NH2+][C@@H](C(=O)[O-])c1ccc(C#N)cc1)c1c(F)cccc1F ZINC000736662715 574802155 /nfs/dbraw/zinc/80/21/55/574802155.db2.gz SEHGITAIINNAMI-MGPLVRAMSA-N 0 1 316.307 3.313 20 30 DGEDMN CCS[C@H]1CCCC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000737232124 574828197 /nfs/dbraw/zinc/82/81/97/574828197.db2.gz ZCGWFKSPDCCXOY-HOTGVXAUSA-N 0 1 318.442 3.292 20 30 DGEDMN C[N@@H+](Cc1ccc(F)cc1F)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737477327 574846803 /nfs/dbraw/zinc/84/68/03/574846803.db2.gz QJAVYNGDQSIRQD-INIZCTEOSA-N 0 1 316.307 3.094 20 30 DGEDMN C[N@@H+](Cc1ccc(F)c(F)c1)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737471741 574847525 /nfs/dbraw/zinc/84/75/25/574847525.db2.gz IAVBGLNIECOAGQ-INIZCTEOSA-N 0 1 316.307 3.094 20 30 DGEDMN Cc1ccccc1OCC[N@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000738785931 574882120 /nfs/dbraw/zinc/88/21/20/574882120.db2.gz YQERIRWVVSMOJW-SFHVURJKSA-N 0 1 324.380 3.003 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3F)C2)cc1 ZINC000739106284 574892177 /nfs/dbraw/zinc/89/21/77/574892177.db2.gz RHPACZXDABUVSE-QAPCUYQASA-N 0 1 324.355 3.313 20 30 DGEDMN N#CCOc1ccc(C[N@@H+](CCC(=O)[O-])Cc2ccccc2)cc1 ZINC000739087888 574891163 /nfs/dbraw/zinc/89/11/63/574891163.db2.gz NLOATGXACRNZHR-UHFFFAOYSA-N 0 1 324.380 3.066 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3cccc(F)c3)C2)cc1 ZINC000739105321 574892295 /nfs/dbraw/zinc/89/22/95/574892295.db2.gz KGLCMPSXEKSCCZ-FUHWJXTLSA-N 0 1 324.355 3.313 20 30 DGEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](c3cccc(F)c3)C2)cc1 ZINC000739105318 574892337 /nfs/dbraw/zinc/89/23/37/574892337.db2.gz KGLCMPSXEKSCCZ-AEFFLSMTSA-N 0 1 324.355 3.313 20 30 DGEDMN CC(=NN=c1cc[nH]c(N(C)C)n1)c1ccc2c(c1)CCCC2 ZINC000739414072 574902582 /nfs/dbraw/zinc/90/25/82/574902582.db2.gz UBHCTRPOGMUSQA-UHFFFAOYSA-N 0 1 309.417 3.258 20 30 DGEDMN Cc1ncc(C(C)N=Nc2ccc(C(F)(F)F)cn2)c(C)n1 ZINC000741454057 574958418 /nfs/dbraw/zinc/95/84/18/574958418.db2.gz XKAPZVSUFXQIEY-UHFFFAOYSA-N 0 1 309.295 3.343 20 30 DGEDMN C[C@@H](CN1CCN(c2ccccc2)CC1)/N=C\c1ccccc1O ZINC000741466534 574958622 /nfs/dbraw/zinc/95/86/22/574958622.db2.gz UVACITCVFPEJML-SZHLLGMXSA-N 0 1 323.440 3.022 20 30 DGEDMN CC(=NNc1ccccn1)c1cnn(-c2ccc(C#N)cc2)c1C ZINC000741805893 574972306 /nfs/dbraw/zinc/97/23/06/574972306.db2.gz VKDPDPPSUNXBPR-UHFFFAOYSA-N 0 1 316.368 3.284 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccco1)N(CC)CC)C(C)C ZINC000743341016 575044376 /nfs/dbraw/zinc/04/43/76/575044376.db2.gz PLYNJUACZONKEX-OAHLLOKOSA-N 0 1 307.438 3.269 20 30 DGEDMN O=C(NC1CC1)c1ccc(CN=Nc2cccc(F)c2F)cc1 ZINC000743442726 575051932 /nfs/dbraw/zinc/05/19/32/575051932.db2.gz DPCIBDRRMLEYSY-UHFFFAOYSA-N 0 1 315.323 3.303 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)CC[C@@H]3CC[C@@H](C)O3)[nH]c21 ZINC000744159748 575075384 /nfs/dbraw/zinc/07/53/84/575075384.db2.gz DUTBGLLXONOXBV-HZSPNIEDSA-N 0 1 311.385 3.395 20 30 DGEDMN Cc1ccc(NN=Cc2csc(-c3ccsc3)n2)nn1 ZINC000729938481 575267433 /nfs/dbraw/zinc/26/74/33/575267433.db2.gz YCNHRPBEZOJBFC-UHFFFAOYSA-N 0 1 301.400 3.416 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)c1scnc1Cl ZINC000744929933 575364978 /nfs/dbraw/zinc/36/49/78/575364978.db2.gz RUAVCEDPLOFFDF-QMMMGPOBSA-N 0 1 316.773 3.173 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(CCc1ccccc1)C1CC1 ZINC000746650513 575466078 /nfs/dbraw/zinc/46/60/78/575466078.db2.gz AEGWPVCVISJWNU-UHFFFAOYSA-N 0 1 300.446 3.118 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1NN=C(C)c1ccccc1O ZINC000746679690 575469137 /nfs/dbraw/zinc/46/91/37/575469137.db2.gz KQXLSIARWJKYHJ-UHFFFAOYSA-N 0 1 301.302 3.145 20 30 DGEDMN CCOc1ccccc1C=NNc1ccc([N+](=O)[O-])cc1OC ZINC000746679244 575469716 /nfs/dbraw/zinc/46/97/16/575469716.db2.gz BBQAPUIMTQLBFT-UHFFFAOYSA-N 0 1 315.329 3.448 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NCc1ccc(O)c(Cl)c1 ZINC000746682432 575470297 /nfs/dbraw/zinc/47/02/97/575470297.db2.gz VWSYTLOBQBFGFV-UHFFFAOYSA-N 0 1 321.720 3.408 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)CC(C)(C)C ZINC000747020941 575490526 /nfs/dbraw/zinc/49/05/26/575490526.db2.gz KCWAQUHTVSBLQF-OLZOCXBDSA-N 0 1 301.390 3.191 20 30 DGEDMN O=C(Cc1[nH]nc2ccccc21)OCC#Cc1ccccc1Cl ZINC000747037780 575492275 /nfs/dbraw/zinc/49/22/75/575492275.db2.gz NNRMTBBQWLDCCP-UHFFFAOYSA-N 0 1 324.767 3.354 20 30 DGEDMN CCCCCCCCC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000747471454 575519940 /nfs/dbraw/zinc/51/99/40/575519940.db2.gz SJFYODNEAOUCQV-MRXNPFEDSA-N 0 1 315.421 3.156 20 30 DGEDMN C=C(Br)CN1CCN(Cc2ccccc2)CC[C@@H]1C ZINC000747531395 575523620 /nfs/dbraw/zinc/52/36/20/575523620.db2.gz KAWYTBGUFPESCJ-HNNXBMFYSA-N 0 1 323.278 3.491 20 30 DGEDMN COc1ccc(Br)c(CN=Nc2ccc(F)cn2)c1 ZINC000747750250 575538620 /nfs/dbraw/zinc/53/86/20/575538620.db2.gz NHZHKCWPBZQUNE-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CC(Cc1nc2ccccc2s1)=NNCCN1CCCCC1 ZINC000749157714 575631632 /nfs/dbraw/zinc/63/16/32/575631632.db2.gz IHIRTFUCCFJUNG-UHFFFAOYSA-N 0 1 316.474 3.290 20 30 DGEDMN Cc1ncc(C(=O)[C@H](C#N)C(=O)Nc2ccccc2Cl)s1 ZINC000750668689 575744491 /nfs/dbraw/zinc/74/44/91/575744491.db2.gz VQIKFYOLWBWQEE-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2Cl)s1 ZINC000750668689 575744494 /nfs/dbraw/zinc/74/44/94/575744494.db2.gz VQIKFYOLWBWQEE-VIFPVBQESA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cc(F)ccc1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000750932610 575762403 /nfs/dbraw/zinc/76/24/03/575762403.db2.gz PILYTYIBWYVDGI-UHFFFAOYSA-N 0 1 313.357 3.015 20 30 DGEDMN CCc1ccc(C(C)=NNc2ccccc2S(C)(=O)=O)o1 ZINC000752165947 575825649 /nfs/dbraw/zinc/82/56/49/575825649.db2.gz NLHXQGMXRKSIPG-UHFFFAOYSA-N 0 1 306.387 3.082 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)c2ccc(CCCC)cc2)CC1 ZINC000752371851 575834167 /nfs/dbraw/zinc/83/41/67/575834167.db2.gz CCLACMUHQGJSLL-UHFFFAOYSA-N 0 1 312.457 3.337 20 30 DGEDMN C[C@@H](C=Nn1c(=O)c2ccccc2[nH]c1=S)c1ccccc1 ZINC000725857867 575934225 /nfs/dbraw/zinc/93/42/25/575934225.db2.gz CZNZSHCHTORUKZ-LBPRGKRZSA-N 0 1 309.394 3.323 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1cc(C)cc2c[nH]nc21 ZINC000726529487 576030256 /nfs/dbraw/zinc/03/02/56/576030256.db2.gz WSDIOWLYPADVOX-UHFFFAOYSA-N 0 1 321.355 3.286 20 30 DGEDMN C(=NN=c1cnc2ccccc2[nH]1)c1nc(-c2ccccc2)no1 ZINC000727257583 576116332 /nfs/dbraw/zinc/11/63/32/576116332.db2.gz SSJWCWSWUMEKDM-UHFFFAOYSA-N 0 1 316.324 3.126 20 30 DGEDMN CC(N=Nc1ccc(Br)cc1F)c1cnn(C)c1 ZINC000728432270 576181900 /nfs/dbraw/zinc/18/19/00/576181900.db2.gz LYTWPOJHBFKPSS-UHFFFAOYSA-N 0 1 311.158 3.158 20 30 DGEDMN CC(C)(C)OC(=O)N1CCC[C@H](CCN2CC[C@@](C)(C#N)C2)C1 ZINC000852686064 620641020 /nfs/dbraw/zinc/64/10/20/620641020.db2.gz FBFXWUYOADPVCR-QAPCUYQASA-N 0 1 321.465 3.259 20 30 DGEDMN C=CC[N@@H+](Cc1cc(Br)ccc1F)[C@@H](C)C(=O)[O-] ZINC000262162602 600977801 /nfs/dbraw/zinc/97/78/01/600977801.db2.gz BGCBXVSMJBYEDJ-VIFPVBQESA-N 0 1 316.170 3.049 20 30 DGEDMN C=CCN(CC(=O)N[C@@H](c1ccc(OC)cc1)C1CC1)C(C)C ZINC000917068790 620654906 /nfs/dbraw/zinc/65/49/06/620654906.db2.gz BUCGRJBLCCVNGO-LJQANCHMSA-N 0 1 316.445 3.159 20 30 DGEDMN COc1cccc(/C=N/C[C@H]2CCN2Cc2ccccc2)c1O ZINC000852999372 620658848 /nfs/dbraw/zinc/65/88/48/620658848.db2.gz ZZRFKLBIFOBEBU-XNHPLOEXSA-N 0 1 310.397 3.094 20 30 DGEDMN O=[N+]([O-])c1cc(C=NNc2c(F)c(F)nc(F)c2F)cs1 ZINC000917542540 620673181 /nfs/dbraw/zinc/67/31/81/620673181.db2.gz YUWQOJQLDOCQRG-UHFFFAOYSA-N 0 1 320.227 3.054 20 30 DGEDMN N#Cc1ccc(N=NC2CCCn3nccc32)c(C(F)(F)F)c1 ZINC000853342567 620688094 /nfs/dbraw/zinc/68/80/94/620688094.db2.gz IHLANTYNFQIEOY-UHFFFAOYSA-N 0 1 319.290 3.384 20 30 DGEDMN C=CCN(C(=O)NC[C@H](C)N1CCc2ccccc2C1)C(C)C ZINC000918071304 620695101 /nfs/dbraw/zinc/69/51/01/620695101.db2.gz UBHKDEWECZGHLE-INIZCTEOSA-N 0 1 315.461 3.039 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccco1)N1CCCCC1)C(C)C ZINC000918058423 620695741 /nfs/dbraw/zinc/69/57/41/620695741.db2.gz GMMDJYHRKVXUBZ-MRXNPFEDSA-N 0 1 319.449 3.413 20 30 DGEDMN CCCCCCC(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000919228777 620741358 /nfs/dbraw/zinc/74/13/58/620741358.db2.gz ORQOLAIPYWZRDY-GFCCVEGCSA-N 0 1 308.403 3.141 20 30 DGEDMN CCCCCCC(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000919228777 620741360 /nfs/dbraw/zinc/74/13/60/620741360.db2.gz ORQOLAIPYWZRDY-GFCCVEGCSA-N 0 1 308.403 3.141 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCSC1)c1cc(C(F)(F)F)ccn1 ZINC000813218191 617722355 /nfs/dbraw/zinc/72/23/55/617722355.db2.gz SUTNIJABHCBQHQ-WCBMZHEXSA-N 0 1 300.305 3.030 20 30 DGEDMN C=C[C@H](C)ONC(=O)[C@H](C)c1ccc(Br)s1 ZINC000846546109 617769655 /nfs/dbraw/zinc/76/96/55/617769655.db2.gz LLKZBBCEOPRQSZ-JGVFFNPUSA-N 0 1 304.209 3.236 20 30 DGEDMN CCN(CCC#N)C[C@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000891579268 617842956 /nfs/dbraw/zinc/84/29/56/617842956.db2.gz WHUDYBYHIXGTTF-KRWDZBQOSA-N 0 1 309.454 3.259 20 30 DGEDMN Cc1cc(C#N)nc(N2CCCN(Cc3ccc(F)cc3)CC2)c1 ZINC000891645605 617859628 /nfs/dbraw/zinc/85/96/28/617859628.db2.gz LNJARQLTMZAOIQ-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CC(C)(C)SCCCC(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000155111862 620769244 /nfs/dbraw/zinc/76/92/44/620769244.db2.gz RJSJPNJTSBTBRN-AWEZNQCLSA-N 0 1 324.490 3.456 20 30 DGEDMN COCCOc1ccc(CN[C@H](C)c2ccc(C#N)cc2)o1 ZINC000892521203 618097744 /nfs/dbraw/zinc/09/77/44/618097744.db2.gz MODUNBSRXSCWIO-CYBMUJFWSA-N 0 1 300.358 3.027 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cnc(F)c(C)c2)c1 ZINC000892973581 618375282 /nfs/dbraw/zinc/37/52/82/618375282.db2.gz SCKOUPZASWBNRL-INIZCTEOSA-N 0 1 313.376 3.500 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2cc(OC)ccc2Cl)c1 ZINC000112266178 620806296 /nfs/dbraw/zinc/80/62/96/620806296.db2.gz IOIIIJIPLSDGGP-UHFFFAOYSA-N 0 1 321.785 3.131 20 30 DGEDMN C[C@@H]1CC(NC(=O)c2ccccc2SC(F)(F)F)=NO1 ZINC000777435444 618537654 /nfs/dbraw/zinc/53/76/54/618537654.db2.gz HJMYQPCLXPBNLA-SSDOTTSWSA-N 0 1 304.293 3.151 20 30 DGEDMN CC(C)Cc1ccc(CN(C)C[C@@H](O)c2cccc(C#N)c2)cn1 ZINC000895140353 618550614 /nfs/dbraw/zinc/55/06/14/618550614.db2.gz CLQGARLKLWHBBU-HXUWFJFHSA-N 0 1 323.440 3.317 20 30 DGEDMN O=C1[C@H](NCc2ccc(-c3ccccc3F)s2)CCCN1O ZINC000895165367 618561751 /nfs/dbraw/zinc/56/17/51/618561751.db2.gz JPIYTBKADQVWHT-CQSZACIVSA-N 0 1 320.389 3.024 20 30 DGEDMN CC(C)(C#N)CC(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC000895317425 618593555 /nfs/dbraw/zinc/59/35/55/618593555.db2.gz NRUYPCRTRLBNNY-UHFFFAOYSA-N 0 1 321.178 3.204 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000219075875 618617646 /nfs/dbraw/zinc/61/76/46/618617646.db2.gz BQARWKUOGWOWJO-UHFFFAOYSA-N 0 1 304.434 3.120 20 30 DGEDMN C#CC1(O)CCN(Cc2ccc(-c3cccc(F)c3F)o2)CC1 ZINC000895384435 618776836 /nfs/dbraw/zinc/77/68/36/618776836.db2.gz NXFZHAQXPMUPSU-UHFFFAOYSA-N 0 1 317.335 3.185 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](c2ccc(F)cc2)N(C)C)CC1 ZINC000895798020 618832775 /nfs/dbraw/zinc/83/27/75/618832775.db2.gz WEPTZTLFODPZFM-QGZVFWFLSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CCC1(O)CCN(Cc2cn(-c3ccccc3)nc2C)CC1 ZINC000895835943 618840347 /nfs/dbraw/zinc/84/03/47/618840347.db2.gz RJFBKIMRMQXGLR-UHFFFAOYSA-N 0 1 311.429 3.084 20 30 DGEDMN C[C@@H](CC(C)(C)C#N)N[C@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000895978487 618857230 /nfs/dbraw/zinc/85/72/30/618857230.db2.gz VHDLEEGXMJVXRZ-WFASDCNBSA-N 0 1 319.836 3.363 20 30 DGEDMN CCn1cc(CNCc2ccc(SC)c(C#N)c2)c(C)n1 ZINC000896045629 618865430 /nfs/dbraw/zinc/86/54/30/618865430.db2.gz JSYXZXYVCRLJMX-UHFFFAOYSA-N 0 1 300.431 3.095 20 30 DGEDMN C#C[C@H](C)NCc1cc(Br)ccc1OC(F)F ZINC000123000305 619042927 /nfs/dbraw/zinc/04/29/27/619042927.db2.gz BVOFPQXTGUMEJH-QMMMGPOBSA-N 0 1 304.134 3.162 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCOC[C@@H]2CC)c1O ZINC000151882198 619046340 /nfs/dbraw/zinc/04/63/40/619046340.db2.gz IZBXGRYTAHCTPB-INIZCTEOSA-N 0 1 305.418 3.130 20 30 DGEDMN Cc1cc(CNC(=O)Nc2scc(C(C)(C)C)c2C#N)n[nH]1 ZINC000888218800 619133884 /nfs/dbraw/zinc/13/38/84/619133884.db2.gz KJALPAUJTHYHSE-UHFFFAOYSA-N 0 1 317.418 3.271 20 30 DGEDMN O=C1C=COC2(CCN(CCc3ccc(F)cc3Cl)CC2)C1 ZINC000897599842 619164863 /nfs/dbraw/zinc/16/48/63/619164863.db2.gz XNZBRJPPXZGJBP-UHFFFAOYSA-N 0 1 323.795 3.359 20 30 DGEDMN Cc1cc([O-])c(C[NH+]2CCC3(CC2)CC(=O)C=CO3)c(Cl)c1 ZINC000897599184 619165264 /nfs/dbraw/zinc/16/52/64/619165264.db2.gz DUMOXRUVFWMUJC-UHFFFAOYSA-N 0 1 321.804 3.192 20 30 DGEDMN N#Cc1csc(CNC2CC(c3ccc(F)cc3F)C2)n1 ZINC000897625898 619168309 /nfs/dbraw/zinc/16/83/09/619168309.db2.gz JSSBZGIGTBCTTR-UHFFFAOYSA-N 0 1 305.353 3.329 20 30 DGEDMN N#Cc1csc(CNCCCCCOc2ccccc2)n1 ZINC000897629179 619169151 /nfs/dbraw/zinc/16/91/51/619169151.db2.gz RTTJTFWIJZSFQZ-UHFFFAOYSA-N 0 1 301.415 3.354 20 30 DGEDMN C#Cc1ccc(CN2Cc3ncccc3N3CCC[C@H]3C2)cc1 ZINC000898167946 619219669 /nfs/dbraw/zinc/21/96/69/619219669.db2.gz RAIHHBIKQUBMCZ-SFHVURJKSA-N 0 1 303.409 3.048 20 30 DGEDMN N#Cc1ccc(Br)c(-n2[nH]c(CC3CC3)cc2=O)c1 ZINC000899353087 619328012 /nfs/dbraw/zinc/32/80/12/619328012.db2.gz IOUOUQPUCLGBIV-UHFFFAOYSA-N 0 1 318.174 3.214 20 30 DGEDMN O=C(/C=C/c1ccc(O)c(F)c1F)c1cnn(C2CCC2)c1 ZINC000899401632 619336335 /nfs/dbraw/zinc/33/63/35/619336335.db2.gz XGALMBMLSZCGQW-GQCTYLIASA-N 0 1 304.296 3.488 20 30 DGEDMN Fc1cc2[nH]c(=NN=Cc3ccnn3C3CCC3)[nH]c2cc1F ZINC000901165519 619475791 /nfs/dbraw/zinc/47/57/91/619475791.db2.gz JANOWGKRZDGAOS-UHFFFAOYSA-N 0 1 316.315 3.209 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccnn1CC1CCC1 ZINC000901195405 619477004 /nfs/dbraw/zinc/47/70/04/619477004.db2.gz FNKDIPICRKGARR-UHFFFAOYSA-N 0 1 320.400 3.463 20 30 DGEDMN C=CCOc1ccccc1C[N@@H+]1CC[C@@](C(=O)[O-])(C(C)C)C1 ZINC000901466815 619506089 /nfs/dbraw/zinc/50/60/89/619506089.db2.gz ASHFZHCZVUYVOA-SFHVURJKSA-N 0 1 303.402 3.184 20 30 DGEDMN C=CCOc1ccccc1C[N@@H+]1CC[C@](C(=O)[O-])(C(C)C)C1 ZINC000901466814 619506101 /nfs/dbraw/zinc/50/61/01/619506101.db2.gz ASHFZHCZVUYVOA-GOSISDBHSA-N 0 1 303.402 3.184 20 30 DGEDMN C#CCOc1cccc(Cl)c1C[N@H+](CCC(=O)[O-])CC(C)C ZINC000901593424 619520253 /nfs/dbraw/zinc/52/02/53/619520253.db2.gz OMXNTKCARHVTQW-UHFFFAOYSA-N 0 1 323.820 3.285 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CC3(CCC3)[C@](F)(C(=O)[O-])C2)cc1 ZINC000901913122 619563534 /nfs/dbraw/zinc/56/35/34/619563534.db2.gz AUDVCFLSIIPZHC-GOSISDBHSA-N 0 1 319.376 3.030 20 30 DGEDMN C=CCN(CC1CCCCC1)CN1C[C@H]([C@H]2CCCO2)CC1=O ZINC000902132459 619594362 /nfs/dbraw/zinc/59/43/62/619594362.db2.gz YDYGYEUAGHMEOE-QZTJIDSGSA-N 0 1 320.477 3.040 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC000902349554 619620386 /nfs/dbraw/zinc/62/03/86/619620386.db2.gz FYJNYBRLIVPLTJ-WBVHZDCISA-N 0 1 308.466 3.040 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2cc(C#N)ccn2)c1 ZINC000902866701 619684154 /nfs/dbraw/zinc/68/41/54/619684154.db2.gz LVIWTDALWMZYAP-RHSMWYFYSA-N 0 1 320.396 3.485 20 30 DGEDMN C[C@H](NC[C@H](O)c1c(F)cccc1Cl)c1cc(C#N)ccn1 ZINC000902873096 619685242 /nfs/dbraw/zinc/68/52/42/619685242.db2.gz VPNGCERKEJOTMX-BONVTDFDSA-N 0 1 319.767 3.130 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1cc(C#N)ccn1 ZINC000902887519 619688436 /nfs/dbraw/zinc/68/84/36/619688436.db2.gz ISJCOLSPAUXKAV-UAGWRWDDSA-N 0 1 313.426 3.102 20 30 DGEDMN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1cc(C#N)ccn1 ZINC000902993382 619700595 /nfs/dbraw/zinc/70/05/95/619700595.db2.gz RVTOBTQSRIOECB-PXAZEXFGSA-N 0 1 313.426 3.153 20 30 DGEDMN C[C@@H](COc1c(F)cccc1F)N[C@@H](C)c1cc(C#N)ccn1 ZINC000903050234 619710593 /nfs/dbraw/zinc/71/05/93/619710593.db2.gz VTHFTXYLMMDQAZ-RYUDHWBXSA-N 0 1 317.339 3.350 20 30 DGEDMN CC[C@H]([NH2+]CC1(C#N)CC1)c1cc(Br)ccc1[O-] ZINC000903105251 619717351 /nfs/dbraw/zinc/71/73/51/619717351.db2.gz BEQXMDTWDOBPDS-LBPRGKRZSA-N 0 1 309.207 3.499 20 30 DGEDMN C[C@@H](Cc1ccc(F)cc1[N+](=O)[O-])NCC1(C#N)CCCC1 ZINC000903109021 619718295 /nfs/dbraw/zinc/71/82/95/619718295.db2.gz KKFUUQNYGHXZBE-LBPRGKRZSA-N 0 1 305.353 3.338 20 30 DGEDMN C[C@H](CC(=O)Nc1cccnc1Cl)NCC1(C#N)CCCC1 ZINC000903108202 619718337 /nfs/dbraw/zinc/71/83/37/619718337.db2.gz ORKSIFOHFVQUEA-GFCCVEGCSA-N 0 1 320.824 3.126 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1ccc(C(C)C)nc1 ZINC000903249293 619744626 /nfs/dbraw/zinc/74/46/26/619744626.db2.gz WOQKNLQRIAFXIC-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCC[C@@H](NCc1nc(C#N)cs1)[C@@]1(C)CCCCO1 ZINC000903264899 619747796 /nfs/dbraw/zinc/74/77/96/619747796.db2.gz XMKCVCFRXXSZEC-GDBMZVCRSA-N 0 1 305.447 3.398 20 30 DGEDMN C=CCC[C@@H](NCc1nc(C#N)cs1)[C@]1(C)CCCCO1 ZINC000903264908 619747802 /nfs/dbraw/zinc/74/78/02/619747802.db2.gz XMKCVCFRXXSZEC-ZBFHGGJFSA-N 0 1 305.447 3.398 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cncc(C(C)C)c1 ZINC000903662557 619793541 /nfs/dbraw/zinc/79/35/41/619793541.db2.gz BMMXYPGDGONQNW-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCC[C@](CC)(C(=O)[O-])C2)c1 ZINC000904400850 619919363 /nfs/dbraw/zinc/91/93/63/619919363.db2.gz KHXKPRQOMKZUEG-SFHVURJKSA-N 0 1 303.402 3.328 20 30 DGEDMN C=CCOc1ccccc1C[N@@H+]1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000904401781 619919539 /nfs/dbraw/zinc/91/95/39/619919539.db2.gz ROOFSODVUNQRGA-GOSISDBHSA-N 0 1 303.402 3.328 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CCC[C@@](CC)(C(=O)[O-])C2)c1 ZINC000904400849 619919636 /nfs/dbraw/zinc/91/96/36/619919636.db2.gz KHXKPRQOMKZUEG-GOSISDBHSA-N 0 1 303.402 3.328 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C/c2ccnn2CC2CC2)c1 ZINC000905077817 619967977 /nfs/dbraw/zinc/96/79/77/619967977.db2.gz GGRLIWZZKBZGJA-CMDGGOBGSA-N 0 1 309.413 3.251 20 30 DGEDMN CC(C)Cn1nccc1/C=C/C(=O)c1cccc(CN(C)C)c1 ZINC000905078498 619968004 /nfs/dbraw/zinc/96/80/04/619968004.db2.gz TXSBLEACIPMCHU-CMDGGOBGSA-N 0 1 311.429 3.497 20 30 DGEDMN Cc1c(Cl)c(/C=C\C(=O)c2cccc(CN(C)C)c2)nn1C ZINC000905077933 619968122 /nfs/dbraw/zinc/96/81/22/619968122.db2.gz JMZISCVDJOPGHI-HJWRWDBZSA-N 0 1 317.820 3.340 20 30 DGEDMN CN(Cc1cn(Cc2ccc(C#N)cc2Cl)nn1)C(C)(C)C ZINC000905802355 620030787 /nfs/dbraw/zinc/03/07/87/620030787.db2.gz WLDOCCKQTVQVKZ-UHFFFAOYSA-N 0 1 317.824 3.082 20 30 DGEDMN C#CCCCCCC[N@H+]1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000905802506 620030900 /nfs/dbraw/zinc/03/09/00/620030900.db2.gz GZUWGHOYXCTRFD-UHFFFAOYSA-N 0 1 301.386 3.163 20 30 DGEDMN N#Cc1ccc(O[C@@H]2CCN([C@@H]3C[C@@H]3c3cccc(F)c3)C2)nc1 ZINC000906589308 620106191 /nfs/dbraw/zinc/10/61/91/620106191.db2.gz JWWIVAAJHNXQHW-KZNAEPCWSA-N 0 1 323.371 3.102 20 30 DGEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000908647592 620215306 /nfs/dbraw/zinc/21/53/06/620215306.db2.gz HHGCBZPYCNHEGH-MRXNPFEDSA-N 0 1 323.396 3.217 20 30 DGEDMN C=CCC1(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CCCCC1 ZINC000909221934 620246299 /nfs/dbraw/zinc/24/62/99/620246299.db2.gz BGMFLDMLPCIMBW-BBRMVZONSA-N 0 1 301.434 3.471 20 30 DGEDMN COc1ccc2c(c1)C(=NNc1nc3ccccc3[nH]1)CCO2 ZINC000912783684 620406661 /nfs/dbraw/zinc/40/66/61/620406661.db2.gz QNSDOXZAOADJFX-UHFFFAOYSA-N 0 1 308.341 3.170 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cc(-c3c[nH]c4ccccc34)[nH]n2)C1 ZINC000912985759 620417771 /nfs/dbraw/zinc/41/77/71/620417771.db2.gz KVQRVWATNAOESY-ZDUSSCGKSA-N 0 1 318.380 3.043 20 30 DGEDMN C#CCCCCC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000913512185 620446481 /nfs/dbraw/zinc/44/64/81/620446481.db2.gz TXDMSBLWFABPFC-LJQANCHMSA-N 0 1 307.397 3.077 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)C[C@@H]2CC[C@H](C)C2)cc1 ZINC000151627475 620466686 /nfs/dbraw/zinc/46/66/86/620466686.db2.gz ONAQLPJCDKZCRN-WOSRLPQWSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2ccc(O)cc2O)cc1OC ZINC000031476707 620518832 /nfs/dbraw/zinc/51/88/32/620518832.db2.gz ZMMIEHPFMMRMMN-CLTKARDFSA-N 0 1 300.310 3.011 20 30 DGEDMN Cn1cc(NN=Cc2c[nH]c3cccc(Br)c23)cn1 ZINC000761868090 620533012 /nfs/dbraw/zinc/53/30/12/620533012.db2.gz WBEVTUSKOVDDEB-UHFFFAOYSA-N 0 1 318.178 3.110 20 30 DGEDMN N=C(N)NN=Cc1cccc(Oc2cccc(C(F)(F)F)c2)c1 ZINC000915051138 620566073 /nfs/dbraw/zinc/56/60/73/620566073.db2.gz VMUVHKTUMDFQMG-UHFFFAOYSA-N 0 1 322.290 3.315 20 30 DGEDMN CC(=NNc1ccc(C(F)(F)F)cn1)c1cc(O)ccc1O ZINC000915919094 620606923 /nfs/dbraw/zinc/60/69/23/620606923.db2.gz VGBMTIHGYGRVHI-UHFFFAOYSA-N 0 1 311.263 3.348 20 30 DGEDMN C[C@@H]1CN(c2ccccc2C=NOCc2ccccc2)CCO1 ZINC000915960008 620608344 /nfs/dbraw/zinc/60/83/44/620608344.db2.gz NVIZZBXZNVELQG-MRXNPFEDSA-N 0 1 310.397 3.462 20 30 DGEDMN CCCCn1nc(C)c(CN=Nc2cc(C)nc(C)n2)c1Cl ZINC000915970550 620609858 /nfs/dbraw/zinc/60/98/58/620609858.db2.gz GDNUUBGTKVKKFD-UHFFFAOYSA-N 0 1 320.828 3.498 20 30 DGEDMN O=[N+]([O-])c1ccc(Cl)c(CN=Nc2ccc(Cl)nn2)c1 ZINC000916287730 620624462 /nfs/dbraw/zinc/62/44/62/620624462.db2.gz HVZWSIDIVGEGKO-UHFFFAOYSA-N 0 1 312.116 3.138 20 30 DGEDMN N#Cc1cncc(CSc2n[nH]c(CCC3CCCC3)n2)c1 ZINC000916543285 620634230 /nfs/dbraw/zinc/63/42/30/620634230.db2.gz FKGVYXSIEGFAPY-UHFFFAOYSA-N 0 1 313.430 3.486 20 30 DGEDMN N#Cc1cncc(CSc2nc(CCC3CCCC3)n[nH]2)c1 ZINC000916543285 620634232 /nfs/dbraw/zinc/63/42/32/620634232.db2.gz FKGVYXSIEGFAPY-UHFFFAOYSA-N 0 1 313.430 3.486 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CC1 ZINC000920789972 620822646 /nfs/dbraw/zinc/82/26/46/620822646.db2.gz KIAZSNNOPWQRSW-IINYFYTJSA-N 0 1 304.777 3.424 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)c1nnc2ccccc2c1O ZINC000855169684 620876102 /nfs/dbraw/zinc/87/61/02/620876102.db2.gz BHFDCQFJEDHJRD-GXTWGEPZSA-N 0 1 311.385 3.200 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3c(c2)[C@@H](O)CCCC3)cc1O ZINC000855547111 620911165 /nfs/dbraw/zinc/91/11/65/620911165.db2.gz RPTVWOSQHAJHQQ-KRWDZBQOSA-N 0 1 322.364 3.276 20 30 DGEDMN C[C@]1(C(=O)[C@H](C#N)c2nc3cc(F)ccc3s2)CCCO1 ZINC000756276825 620940186 /nfs/dbraw/zinc/94/01/86/620940186.db2.gz SBZQFYVAUFZFLP-ZUZCIYMTSA-N 0 1 304.346 3.181 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CNCc1cccc2c1OCCO2 ZINC000921742152 620943004 /nfs/dbraw/zinc/94/30/04/620943004.db2.gz VWANSEMDRJTFFR-UHFFFAOYSA-N 0 1 308.381 3.236 20 30 DGEDMN C#CCN1CCC(C(=O)N2c3ccccc3C(C)(C)[C@H]2C)CC1 ZINC000855906811 620947398 /nfs/dbraw/zinc/94/73/98/620947398.db2.gz DJFLJRZQFBLGAC-OAHLLOKOSA-N 0 1 310.441 3.045 20 30 DGEDMN C=C(C)COCCNCc1cc(C#N)ccc1Br ZINC000921849232 620961337 /nfs/dbraw/zinc/96/13/37/620961337.db2.gz OWJBSUGVWOOKGF-UHFFFAOYSA-N 0 1 309.207 3.003 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2CCCCC)CC1 ZINC000856270092 620978403 /nfs/dbraw/zinc/97/84/03/620978403.db2.gz HLKCAJPLVQGOHW-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C#C[C@H](NCc1cccc(Oc2ccccn2)c1)[C@H]1CCCO1 ZINC000922013212 620980219 /nfs/dbraw/zinc/98/02/19/620980219.db2.gz RRIYHADDVZPBKN-ZWKOTPCHSA-N 0 1 308.381 3.144 20 30 DGEDMN CC1(C)CC[C@H](CNCc2cc(C#N)ccc2Br)O1 ZINC000922019967 620981471 /nfs/dbraw/zinc/98/14/71/620981471.db2.gz DANLXHRWBFZQRR-CYBMUJFWSA-N 0 1 323.234 3.368 20 30 DGEDMN COc1cc(CNCc2nccc3c2CCCC3)ccc1C#N ZINC000922140649 620994478 /nfs/dbraw/zinc/99/44/78/620994478.db2.gz KQSHWGQGZNBXQB-UHFFFAOYSA-N 0 1 307.397 3.130 20 30 DGEDMN N#CC1CCC(COC(=O)[C@H]2CCCN2C2CCCC2)CC1 ZINC000922672692 621078025 /nfs/dbraw/zinc/07/80/25/621078025.db2.gz CEOIGFGYIPNEGJ-VMBOVVBDSA-N 0 1 304.434 3.267 20 30 DGEDMN C=C1CCC(CNCc2csc(N(C(C)=O)C3CC3)n2)CC1 ZINC000922710822 621087719 /nfs/dbraw/zinc/08/77/19/621087719.db2.gz BZRSPBHDRAQAFW-UHFFFAOYSA-N 0 1 319.474 3.494 20 30 DGEDMN C#CC[C@@H](COC)NCc1cc(C)c(F)cc1Br ZINC000858333802 621177077 /nfs/dbraw/zinc/17/70/77/621177077.db2.gz BVPRYEDIZVDXQP-LBPRGKRZSA-N 0 1 314.198 3.025 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)c2cc(F)cc3[nH]cnc32)c1 ZINC000103101449 621258823 /nfs/dbraw/zinc/25/88/23/621258823.db2.gz JZLMJASGQGWSNH-UHFFFAOYSA-N 0 1 307.328 3.350 20 30 DGEDMN CCN(CCNC(=O)OC(C)(C)C)Cc1ccc(F)c(C#N)c1 ZINC000859529850 621287400 /nfs/dbraw/zinc/28/74/00/621287400.db2.gz BDGXVSFFBCGBTL-UHFFFAOYSA-N 0 1 321.396 3.044 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@](O)(c3ccccc3)C2)c(F)c1 ZINC000859581805 621294703 /nfs/dbraw/zinc/29/47/03/621294703.db2.gz CDZBEGWPRJZHLX-IBGZPJMESA-N 0 1 310.372 3.181 20 30 DGEDMN C(=NN=c1ccc2ccccc2[nH]1)c1c[nH]nc1-c1cccnc1 ZINC000839384458 621365433 /nfs/dbraw/zinc/36/54/33/621365433.db2.gz FFAONRTVWDYSOT-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN CN1CCc2cc(CN=Nc3ncnc4sccc43)ccc21 ZINC000752721590 621405993 /nfs/dbraw/zinc/40/59/93/621405993.db2.gz XJCUTPBNEXDVPJ-UHFFFAOYSA-N 0 1 309.398 3.130 20 30 DGEDMN CCO[C@@H](C(=O)C(C#N)c1nc2ccccc2s1)C1CC1 ZINC000860452336 621406222 /nfs/dbraw/zinc/40/62/22/621406222.db2.gz WPWGDKDDEHUJHP-IAQYHMDHSA-N 0 1 300.383 3.288 20 30 DGEDMN C#C[C@@H](Cc1ccccc1)OC(=O)c1[nH]nc2c1CCCCC2 ZINC000789402449 625488532 /nfs/dbraw/zinc/48/85/32/625488532.db2.gz VKRQBFBVHISEFO-HNNXBMFYSA-N 0 1 308.381 3.080 20 30 DGEDMN CCCCCNC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000753532542 621446556 /nfs/dbraw/zinc/44/65/56/621446556.db2.gz ISOIFXOEPIENFK-JYJNAYRXSA-N 0 1 306.450 3.464 20 30 DGEDMN COC(=O)C[C@H](/N=C\c1cc(Cl)cc(Cl)c1O)C1CC1 ZINC000753562886 621448750 /nfs/dbraw/zinc/44/87/50/621448750.db2.gz FRDLNFKMNUAUGK-MSBCHBQFSA-N 0 1 316.184 3.460 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1CNc1cccc(OCC#N)c1 ZINC000753571931 621450094 /nfs/dbraw/zinc/45/00/94/621450094.db2.gz ZCULMSQKNATCKU-UHFFFAOYSA-N 0 1 319.368 3.090 20 30 DGEDMN Clc1ccc(Cl)c(C=NNCCN2CCCCC2)c1 ZINC000753697324 621460493 /nfs/dbraw/zinc/46/04/93/621460493.db2.gz MQWDSTISGRGUKU-UHFFFAOYSA-N 0 1 300.233 3.403 20 30 DGEDMN C=CCNC(=S)N1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000753964997 621474852 /nfs/dbraw/zinc/47/48/52/621474852.db2.gz YRIVNAGUUNNPJH-ZDUSSCGKSA-N 0 1 320.849 3.414 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NCc1n[nH]c(-c2ccccc2)n1 ZINC000754026578 621481051 /nfs/dbraw/zinc/48/10/51/621481051.db2.gz VMSXYAXMDABKDX-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN CC(=O)c1ccc(C#N)cc1NCc1nc(-c2ccccc2)n[nH]1 ZINC000754026578 621481053 /nfs/dbraw/zinc/48/10/53/621481053.db2.gz VMSXYAXMDABKDX-UHFFFAOYSA-N 0 1 317.352 3.158 20 30 DGEDMN CC(C)[N@@H+]1CC(N=Nc2ccc(Cl)c(C(=O)[O-])c2)[C@H](C)C1 ZINC000754254662 621499257 /nfs/dbraw/zinc/49/92/57/621499257.db2.gz NULAYXATWFBZMT-SNVBAGLBSA-N 0 1 309.797 3.166 20 30 DGEDMN Cc1noc(C)c1[C@@H](C)CC(=O)C(C#N)c1cc(C)nc(C)n1 ZINC000754530813 621515238 /nfs/dbraw/zinc/51/52/38/621515238.db2.gz FPLDYECAXKIVFU-LKFCYVNXSA-N 0 1 312.373 3.068 20 30 DGEDMN Fc1cccc(F)c1C=NNc1ccc(C(F)(F)F)nn1 ZINC000754710391 621526763 /nfs/dbraw/zinc/52/67/63/621526763.db2.gz FAVFJJCAEJRNDT-UHFFFAOYSA-N 0 1 302.206 3.220 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCOC[C@H]2CC(C)C)c1O ZINC000754725870 621528424 /nfs/dbraw/zinc/52/84/24/621528424.db2.gz DAQNHDZGWJTTLY-QGZVFWFLSA-N 0 1 319.445 3.376 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2ccnc(F)c2C)c1 ZINC000862281486 621572097 /nfs/dbraw/zinc/57/20/97/621572097.db2.gz ZZTBRBOVGRUIJV-INIZCTEOSA-N 0 1 313.376 3.500 20 30 DGEDMN Cn1cc(C=NNc2c(Cl)cc(Cl)cc2Cl)nn1 ZINC000755466029 621574128 /nfs/dbraw/zinc/57/41/28/621574128.db2.gz SOJMMFNKLHLRLA-UHFFFAOYSA-N 0 1 304.568 3.221 20 30 DGEDMN C[C@H](CCC(C)(C)C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000755613781 621581142 /nfs/dbraw/zinc/58/11/42/621581142.db2.gz IPILALFPCMRWNW-OLZOCXBDSA-N 0 1 301.390 3.191 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3cccc(C4CC4)c3)CC2)cn1 ZINC000862422891 621588184 /nfs/dbraw/zinc/58/81/84/621588184.db2.gz JTXYHPQTHFUVFQ-UHFFFAOYSA-N 0 1 318.424 3.153 20 30 DGEDMN CCOc1c(OC)cc(CN=Nc2cc(C)ccn2)cc1OC ZINC000755902434 621601653 /nfs/dbraw/zinc/60/16/53/621601653.db2.gz LYLAFNFQIFUHMT-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN C#CC[C@H]1CCN(C(=O)c2cc(-c3ccc(C)c(C)c3)[nH]n2)C1 ZINC000862572978 621603090 /nfs/dbraw/zinc/60/30/90/621603090.db2.gz RKVHSZNQWPECNA-HNNXBMFYSA-N 0 1 307.397 3.179 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)[C@H](C)C3CCOCC3)[nH]c21 ZINC000756559516 621648692 /nfs/dbraw/zinc/64/86/92/621648692.db2.gz BAQPVLPOSWOBCI-OCCSQVGLSA-N 0 1 311.385 3.110 20 30 DGEDMN CCCCCCCCN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000756909363 621672294 /nfs/dbraw/zinc/67/22/94/621672294.db2.gz OAIRZGVDJXJUAE-MRXNPFEDSA-N 0 1 315.465 3.219 20 30 DGEDMN CS[C@H](C)CC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000757410323 621707887 /nfs/dbraw/zinc/70/78/87/621707887.db2.gz RLKSPBQGTGQVJY-NXEZZACHSA-N 0 1 310.806 3.129 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000839691334 621708462 /nfs/dbraw/zinc/70/84/62/621708462.db2.gz LZSBZUQWMPFURB-MCIONIFRSA-N 0 1 318.392 3.038 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000839691330 621708583 /nfs/dbraw/zinc/70/85/83/621708583.db2.gz LZSBZUQWMPFURB-HZSPNIEDSA-N 0 1 318.392 3.038 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)C[C@@H](c2ccc(O)cc2)C2CC2)c1 ZINC000839696779 621708861 /nfs/dbraw/zinc/70/88/61/621708861.db2.gz PNIVALCUGXMYFM-MRXNPFEDSA-N 0 1 322.364 3.492 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cnn(-c3ccccc3)c2)c(O)c1 ZINC000757472647 621715270 /nfs/dbraw/zinc/71/52/70/621715270.db2.gz QQCNOCJZBNBCTG-YFHOEESVSA-N 0 1 320.348 3.483 20 30 DGEDMN COC(=O)c1ccc(NN=Cc2cnc(C(C)(C)C)s2)nc1 ZINC000758118668 621759146 /nfs/dbraw/zinc/75/91/46/621759146.db2.gz PIZIXRAUOPZTEX-UHFFFAOYSA-N 0 1 318.402 3.068 20 30 DGEDMN COC(=O)c1ccc(N=NCc2c(F)cccc2Cl)nc1 ZINC000758141775 621761020 /nfs/dbraw/zinc/76/10/20/621761020.db2.gz WNTQISPGQYUTSF-UHFFFAOYSA-N 0 1 307.712 3.107 20 30 DGEDMN C[C@H](NC(=O)C1(C#N)CC(C)C1)c1nc2ccc(Cl)cc2[nH]1 ZINC000758228173 621768676 /nfs/dbraw/zinc/76/86/76/621768676.db2.gz XZKIZZAJXVVNAK-MAXPVNGDSA-N 0 1 316.792 3.333 20 30 DGEDMN C(=NNc1ccc(-n2cccn2)nn1)c1cc2ccccc2s1 ZINC000758372095 621777807 /nfs/dbraw/zinc/77/78/07/621777807.db2.gz HLECTISDZLBNPC-UHFFFAOYSA-N 0 1 320.381 3.323 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000758393989 621779488 /nfs/dbraw/zinc/77/94/88/621779488.db2.gz RNNYTZFYKGIGNA-UHFFFAOYSA-N 0 1 313.445 3.145 20 30 DGEDMN CCO[C@@H]1COCC[C@H]1/N=C\c1cc(Cl)cc(Cl)c1O ZINC000758815451 621803068 /nfs/dbraw/zinc/80/30/68/621803068.db2.gz MUEHHQZEPQRMNS-TZPRWQMZSA-N 0 1 318.200 3.312 20 30 DGEDMN C=C(C)CNC(=S)N1CCN(Cc2ccccc2)[C@H](CC)C1 ZINC000758921670 621811284 /nfs/dbraw/zinc/81/12/84/621811284.db2.gz LHHMOMUIQNDNCA-QGZVFWFLSA-N 0 1 317.502 3.033 20 30 DGEDMN CC(C)[C@@H](C(=O)OCc1cc(F)ccc1C#N)N1CCCCC1 ZINC000759360718 621849366 /nfs/dbraw/zinc/84/93/66/621849366.db2.gz CZRBGULHKWPECB-KRWDZBQOSA-N 0 1 318.392 3.251 20 30 DGEDMN C[C@]1(C(=O)Nc2cc(C#N)ccc2O)CCc2ccccc2C1 ZINC000759713253 621885259 /nfs/dbraw/zinc/88/52/59/621885259.db2.gz JUGFZEJWGDYQIL-IBGZPJMESA-N 0 1 306.365 3.398 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)[C@H]1CC12CCC2 ZINC000759834469 621894647 /nfs/dbraw/zinc/89/46/47/621894647.db2.gz PUQXWKWNFZYPBY-WDEREUQCSA-N 0 1 320.751 3.317 20 30 DGEDMN COc1ccc(C)cc1CNCc1cc(C#N)ccc1N(C)C ZINC000865408026 621912167 /nfs/dbraw/zinc/91/21/67/621912167.db2.gz QDFTYGAQUAQDEV-UHFFFAOYSA-N 0 1 309.413 3.231 20 30 DGEDMN C=CCSCCNCc1nccc(C)c1Br ZINC000865450407 621924139 /nfs/dbraw/zinc/92/41/39/621924139.db2.gz PEIJCCAHQUDBSX-UHFFFAOYSA-N 0 1 301.253 3.161 20 30 DGEDMN Cc1ccc2nc(CNCc3cc(C#N)ccc3N(C)C)[nH]c2c1 ZINC000865480060 621931230 /nfs/dbraw/zinc/93/12/30/621931230.db2.gz VMXYRJJLVVDONU-UHFFFAOYSA-N 0 1 319.412 3.099 20 30 DGEDMN N#C[C@H](C(=O)CCOc1ccc2ccccc2c1)c1ccncn1 ZINC000760341373 621933324 /nfs/dbraw/zinc/93/33/24/621933324.db2.gz RAUFQLRGKBAHJY-KRWDZBQOSA-N 0 1 317.348 3.275 20 30 DGEDMN C[C@@H](Oc1ccc(C(C)(C)C)cc1)C(=O)C(C#N)c1ccncn1 ZINC000760346546 621933877 /nfs/dbraw/zinc/93/38/77/621933877.db2.gz RLDUKWGUYWLPAJ-CJNGLKHVSA-N 0 1 323.396 3.418 20 30 DGEDMN Cc1c(CNCc2cc(C#N)ccc2N(C)C)cccc1[N+](=O)[O-] ZINC000865541266 621947230 /nfs/dbraw/zinc/94/72/30/621947230.db2.gz GAZLGWNFEOWVRV-UHFFFAOYSA-N 0 1 324.384 3.131 20 30 DGEDMN C#CCN1CCC[C@@H]1COC(=O)c1cccc(-c2ccoc2)c1 ZINC000760986870 621978001 /nfs/dbraw/zinc/97/80/01/621978001.db2.gz VMQABFHLPIBDRE-GOSISDBHSA-N 0 1 309.365 3.201 20 30 DGEDMN C=CCCn1cc(CNCC(CC)(CC)C(F)(F)F)nn1 ZINC000865756670 621989346 /nfs/dbraw/zinc/98/93/46/621989346.db2.gz XVISTHHPEAATQY-UHFFFAOYSA-N 0 1 304.360 3.313 20 30 DGEDMN CCO[C@H](CC(=O)[C@H](C#N)c1nc2cccc(C)c2[nH]1)C(C)C ZINC000761193176 621993378 /nfs/dbraw/zinc/99/33/78/621993378.db2.gz CCSNMVVLGVJFDA-XJKSGUPXSA-N 0 1 313.401 3.499 20 30 DGEDMN Cc1nn(-c2ccccc2)c(C)c1C(C)N=Nc1cnn(C)c1 ZINC000761861030 622034956 /nfs/dbraw/zinc/03/49/56/622034956.db2.gz TTWNCHBJUGTFEL-UHFFFAOYSA-N 0 1 308.389 3.059 20 30 DGEDMN CC(C)c1nc([C@@H](C)NCc2ccc(O[C@H](C)C#N)cc2)n[nH]1 ZINC000762624379 622087808 /nfs/dbraw/zinc/08/78/08/622087808.db2.gz AXKFYLYNMMMTLK-CHWSQXEVSA-N 0 1 313.405 3.070 20 30 DGEDMN C[C@H](NC1CCN(c2ccccc2C#N)CC1)c1cscn1 ZINC000866449320 622120427 /nfs/dbraw/zinc/12/04/27/622120427.db2.gz UGRPCFGJUFIVSS-ZDUSSCGKSA-N 0 1 312.442 3.334 20 30 DGEDMN N#Cc1ccc(Cn2cc(N[C@@H]3CCCc4[nH]ncc43)cn2)cc1 ZINC000866495256 622127624 /nfs/dbraw/zinc/12/76/24/622127624.db2.gz ZHRICGWFEXYQHE-QGZVFWFLSA-N 0 1 318.384 3.016 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2ccccc2COc2ccccc2)NO1 ZINC000763259634 622133832 /nfs/dbraw/zinc/13/38/32/622133832.db2.gz KZYCIVTWLOZZKS-ZDUSSCGKSA-N 0 1 310.353 3.118 20 30 DGEDMN NC(=O)[C@@H]1CCC[C@@H](/N=C\c2cc(Cl)cc(Cl)c2O)C1 ZINC000764414195 622192432 /nfs/dbraw/zinc/19/24/32/622192432.db2.gz PTCACXDUKANGHX-KZQPJMSXSA-N 0 1 315.200 3.162 20 30 DGEDMN Cc1cc(C)c(NC(=O)[C@@H]2NCCc3cc(C#N)ccc32)c(C)c1 ZINC000867949359 622196629 /nfs/dbraw/zinc/19/66/29/622196629.db2.gz WKYDQOLIUJMMOD-LJQANCHMSA-N 0 1 319.408 3.309 20 30 DGEDMN Cc1ccc(N(C)C(=O)[C@H]2NCCc3cc(C#N)ccc32)cc1C ZINC000867955643 622197056 /nfs/dbraw/zinc/19/70/56/622197056.db2.gz DZPJTWOTWFTVHZ-IBGZPJMESA-N 0 1 319.408 3.025 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)Cc1cccc2nsnc21 ZINC000766028962 622301248 /nfs/dbraw/zinc/30/12/48/622301248.db2.gz WSJLIQHFBXGSRR-UHFFFAOYSA-N 0 1 311.385 3.466 20 30 DGEDMN C#CCN(CC#CC)CCCC(=O)Nc1c(C)cc(C)cc1C ZINC000766898220 622360038 /nfs/dbraw/zinc/36/00/38/622360038.db2.gz WICLGFFJOVWGIM-UHFFFAOYSA-N 0 1 310.441 3.289 20 30 DGEDMN CCOc1ccc(NC(=O)CCN(CCC#N)CC(C)C)cc1 ZINC000766898036 622360106 /nfs/dbraw/zinc/36/01/06/622360106.db2.gz YOHIWOBUUKMFQG-UHFFFAOYSA-N 0 1 317.433 3.286 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NCC2CCC(C(F)(F)F)CC2)n1 ZINC000767351439 622392176 /nfs/dbraw/zinc/39/21/76/622392176.db2.gz BJPMKUUEXWSLFS-UHFFFAOYSA-N 0 1 302.300 3.257 20 30 DGEDMN Cc1cc(N=NC2C[C@@H](C)C[N@H+](Cc3ccccc3)C2)ncn1 ZINC000767376821 622394718 /nfs/dbraw/zinc/39/47/18/622394718.db2.gz FLZTVBWICUSPIO-CQSZACIVSA-N 0 1 309.417 3.095 20 30 DGEDMN Cc1cc(N=NC2C[C@@H](C)CN(Cc3ccccc3)C2)ncn1 ZINC000767376821 622394722 /nfs/dbraw/zinc/39/47/22/622394722.db2.gz FLZTVBWICUSPIO-CQSZACIVSA-N 0 1 309.417 3.095 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)CC ZINC000767658652 622412128 /nfs/dbraw/zinc/41/21/28/622412128.db2.gz IPJFZXJQURIWHB-INTJYQTDSA-N 0 1 318.398 3.084 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)CC ZINC000767658652 622412130 /nfs/dbraw/zinc/41/21/30/622412130.db2.gz IPJFZXJQURIWHB-INTJYQTDSA-N 0 1 318.398 3.084 20 30 DGEDMN COC(=O)c1ccc(C(=O)/C=C\c2cc(F)c(O)c(F)c2)cc1 ZINC000767730796 622419027 /nfs/dbraw/zinc/41/90/27/622419027.db2.gz RMTDBDMIEZKAII-UQCOIBPSSA-N 0 1 318.275 3.353 20 30 DGEDMN COCc1ccc(C(=O)C(C#N)c2nc(C)cs2)cc1F ZINC000870116824 622434486 /nfs/dbraw/zinc/43/44/86/622434486.db2.gz HVMNUKDENQERHK-LBPRGKRZSA-N 0 1 304.346 3.227 20 30 DGEDMN COCc1ccc(C(=O)[C@H](C#N)c2nc(C)cs2)cc1F ZINC000870116824 622434489 /nfs/dbraw/zinc/43/44/89/622434489.db2.gz HVMNUKDENQERHK-LBPRGKRZSA-N 0 1 304.346 3.227 20 30 DGEDMN CCOC(C)(C)C(=O)[C@@H](C#N)c1nc2cc(F)ccc2s1 ZINC000870195578 622441239 /nfs/dbraw/zinc/44/12/39/622441239.db2.gz KUSFXNVYKOKWRX-SNVBAGLBSA-N 0 1 306.362 3.427 20 30 DGEDMN CCOC1CC2(C[C@@H]2C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)C1 ZINC000870207351 622442386 /nfs/dbraw/zinc/44/23/86/622442386.db2.gz FFXRPOYWSJKNSA-IXVUQVCVSA-N 0 1 323.396 3.253 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)COc2ccc3c(c2)CCCC3)c1 ZINC000768184496 622443727 /nfs/dbraw/zinc/44/37/27/622443727.db2.gz HZDXSXUQBWGUHW-UHFFFAOYSA-N 0 1 322.364 3.160 20 30 DGEDMN CCOc1cccc(C=NNc2cc(C#N)cc(Cl)n2)c1O ZINC000768380945 622453536 /nfs/dbraw/zinc/45/35/36/622453536.db2.gz UBSLIYROHLEJGP-UHFFFAOYSA-N 0 1 316.748 3.157 20 30 DGEDMN Oc1ccc(Br)c(CN=Nc2ccc(F)cn2)c1 ZINC000769790441 622559131 /nfs/dbraw/zinc/55/91/31/622559131.db2.gz BGETVBSAPFZJGC-UHFFFAOYSA-N 0 1 310.126 3.135 20 30 DGEDMN COc1cc([N+](=O)[O-])ccc1N=NC(C)C1SC=CC1=O ZINC000769779842 622559139 /nfs/dbraw/zinc/55/91/39/622559139.db2.gz JIQAUMZZCRYSEH-UHFFFAOYSA-N 0 1 307.331 3.207 20 30 DGEDMN C=CCn1c(=O)[nH]nc1SCc1cc(C(F)(F)F)co1 ZINC000871657621 622658897 /nfs/dbraw/zinc/65/88/97/622658897.db2.gz GDNWPMAVEYIJDZ-UHFFFAOYSA-N 0 1 305.281 3.074 20 30 DGEDMN C=CC[C@H]1N(C(=O)NCc2cc(CC)[nH]n2)CCCC1(C)C ZINC000770675634 622659674 /nfs/dbraw/zinc/65/96/74/622659674.db2.gz DUEJVIDCDPSEDI-OAHLLOKOSA-N 0 1 304.438 3.248 20 30 DGEDMN N#Cc1cc(Cl)nc(N2N=C3CCCCCC[C@H]3C2=O)c1 ZINC000871954175 622744107 /nfs/dbraw/zinc/74/41/07/622744107.db2.gz FYYSQKYQPLQCSE-LLVKDONJSA-N 0 1 302.765 3.280 20 30 DGEDMN CC(C)[C@H]1CCC2=NN(c3cc(C#N)cc(Cl)n3)C(=O)[C@@H]2C1 ZINC000871954184 622744234 /nfs/dbraw/zinc/74/42/34/622744234.db2.gz GESOUNYBZXOPHA-NWDGAFQWSA-N 0 1 316.792 3.382 20 30 DGEDMN CN1CCN(c2ccc(/C=C\C(=O)c3ccccc3)cc2)CC1 ZINC000771512855 622770096 /nfs/dbraw/zinc/77/00/96/622770096.db2.gz GFEKJDGDAIAWKL-XFXZXTDPSA-N 0 1 306.409 3.335 20 30 DGEDMN CN1CCN(c2ccc(/C=C/C(=O)c3ccsc3)cc2)CC1 ZINC000771527550 622772440 /nfs/dbraw/zinc/77/24/40/622772440.db2.gz RVKXWPXTQOGOKZ-QPJJXVBHSA-N 0 1 312.438 3.396 20 30 DGEDMN C=CCC[C@H](NC(=O)NCc1cc(CC)[nH]n1)c1ccccc1 ZINC000771984518 622828293 /nfs/dbraw/zinc/82/82/93/622828293.db2.gz CUROUWLYXBNVTD-KRWDZBQOSA-N 0 1 312.417 3.479 20 30 DGEDMN COc1cccc(-c2nc(C)c(C(=O)OCCCCC#N)[nH]2)c1 ZINC000772094036 622845897 /nfs/dbraw/zinc/84/58/97/622845897.db2.gz HGLPZNUVDGMGCT-UHFFFAOYSA-N 0 1 313.357 3.244 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2ccc(F)c(Cl)c2)CC1 ZINC000772133325 622853524 /nfs/dbraw/zinc/85/35/24/622853524.db2.gz KBXZRHXRXABOHR-LBPRGKRZSA-N 0 1 323.795 3.429 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@H](CCNCCC#N)c2ccccc21 ZINC000872220913 622860915 /nfs/dbraw/zinc/86/09/15/622860915.db2.gz USFVYOALSMZZHX-AWEZNQCLSA-N 0 1 315.417 3.419 20 30 DGEDMN CC(C)c1nccnc1N=NC(C)c1c(F)cccc1[N+](=O)[O-] ZINC000872371086 622899214 /nfs/dbraw/zinc/89/92/14/622899214.db2.gz IWWQXPQRGRYOGX-UHFFFAOYSA-N 0 1 317.324 3.483 20 30 DGEDMN CCCCCCCCC(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000772479173 622900966 /nfs/dbraw/zinc/90/09/66/622900966.db2.gz CIZUOXVPOSJHBV-UHFFFAOYSA-N 0 1 303.410 3.499 20 30 DGEDMN O=C(C=Cc1cc(O)ccc1[N+](=O)[O-])c1c[nH]c2ncccc12 ZINC000772799334 622941318 /nfs/dbraw/zinc/94/13/18/622941318.db2.gz BNLGFTRCMDGJHZ-UTCJRWHESA-N 0 1 309.281 3.073 20 30 DGEDMN O=C1c2ccccc2OCC/C1=C\c1cc(O)ccc1[N+](=O)[O-] ZINC000772807225 622943228 /nfs/dbraw/zinc/94/32/28/622943228.db2.gz QFRIFAXPWXGJHP-PKNBQFBNSA-N 0 1 311.293 3.349 20 30 DGEDMN Cc1nnc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)c(C#N)c1C ZINC000872649321 622948257 /nfs/dbraw/zinc/94/82/57/622948257.db2.gz XKXPTKFGBUWZOP-AWEZNQCLSA-N 0 1 324.432 3.196 20 30 DGEDMN CCc1nc(C#N)cc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)n1 ZINC000872649047 622948679 /nfs/dbraw/zinc/94/86/79/622948679.db2.gz RHPCBDPOAVCTFU-ZDUSSCGKSA-N 0 1 324.432 3.141 20 30 DGEDMN CC1(OCC(=O)C(C#N)c2nc3ccccc3s2)CCC1 ZINC000772966057 622958242 /nfs/dbraw/zinc/95/82/42/622958242.db2.gz WXHGODFIWXQWMK-NSHDSACASA-N 0 1 300.383 3.432 20 30 DGEDMN C#CCOc1ccc([C@H](C)N[C@@H](CO)c2ccc(F)cc2)cc1 ZINC000773008382 622964841 /nfs/dbraw/zinc/96/48/41/622964841.db2.gz LDIBOOAPUHQMMF-LIRRHRJNSA-N 0 1 313.372 3.222 20 30 DGEDMN CC1(C)CCCC[C@@H]1NN=Cc1ccc(N2CCOCC2)s1 ZINC000773127203 622988892 /nfs/dbraw/zinc/98/88/92/622988892.db2.gz YVIZFBYGMHRZFL-HNNXBMFYSA-N 0 1 321.490 3.477 20 30 DGEDMN CC[C@H](C)NN=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000790186671 625614276 /nfs/dbraw/zinc/61/42/76/625614276.db2.gz BZWPFLJETYOQLC-AWEZNQCLSA-N 0 1 303.450 3.490 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C1(F)CCCC1 ZINC000773981081 623095791 /nfs/dbraw/zinc/09/57/91/623095791.db2.gz WWPQGLBFEIDXFC-LBPRGKRZSA-N 0 1 318.348 3.015 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccc(Cl)c(N)c2Cl)c1 ZINC000774082059 623105294 /nfs/dbraw/zinc/10/52/94/623105294.db2.gz NDJUVXRDCVSUGZ-UHFFFAOYSA-N 0 1 322.151 3.405 20 30 DGEDMN CC1(C)CC(=NOC2CCOCC2)CN1Cc1ccccc1 ZINC000774429272 623143115 /nfs/dbraw/zinc/14/31/15/623143115.db2.gz AUYCSBUGIAMBAW-UHFFFAOYSA-N 0 1 302.418 3.223 20 30 DGEDMN C[C@@H]1CC(=NOC2CCOCC2)CN(Cc2ccccc2)C1 ZINC000774452690 623147098 /nfs/dbraw/zinc/14/70/98/623147098.db2.gz MZFPWUXWUNEWNW-OAHLLOKOSA-N 0 1 302.418 3.080 20 30 DGEDMN CCC[C@@H](CC)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000873499221 623160460 /nfs/dbraw/zinc/16/04/60/623160460.db2.gz ZZHIAHCVFWKVLQ-OLZOCXBDSA-N 0 1 302.374 3.169 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000873523358 623166827 /nfs/dbraw/zinc/16/68/27/623166827.db2.gz QHLMBDURGUDZPJ-SMDDNHRTSA-N 0 1 322.355 3.116 20 30 DGEDMN Cn1cc[nH+]c1[N-]N=Cc1cc(Br)ccc1Cl ZINC000790300518 625631750 /nfs/dbraw/zinc/63/17/50/625631750.db2.gz ZMWAARKOHNJWPB-UHFFFAOYSA-N 0 1 313.586 3.282 20 30 DGEDMN O=[N+]([O-])c1ccccc1CN=Nc1cccc(F)c1[N+](=O)[O-] ZINC000790306105 625633409 /nfs/dbraw/zinc/63/34/09/625633409.db2.gz DWSOKPLCTBINLU-UHFFFAOYSA-N 0 1 304.237 3.088 20 30 DGEDMN CCCCC[C@@H](C)NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000775335666 623255519 /nfs/dbraw/zinc/25/55/19/623255519.db2.gz OIGXPWQFUNIKKQ-HUUCEWRRSA-N 0 1 301.434 3.294 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)cc2cccnc21)[C@@H]1CCCO1 ZINC000775342645 623257428 /nfs/dbraw/zinc/25/74/28/623257428.db2.gz RWEFOXTUMRCUNZ-HOTGVXAUSA-N 0 1 300.789 3.159 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H]1CCC[C@H](C)[C@H]1C)c1ccccc1 ZINC000775398811 623267710 /nfs/dbraw/zinc/26/77/10/623267710.db2.gz MESBPGUXKIFRDL-ISARSNTHSA-N 0 1 312.457 3.282 20 30 DGEDMN C#CC[C@H](NCC(=O)N(CC(C)C)CC(C)C)c1ccccc1 ZINC000775400297 623268439 /nfs/dbraw/zinc/26/84/39/623268439.db2.gz NMSPUBSYHCZUAO-IBGZPJMESA-N 0 1 314.473 3.481 20 30 DGEDMN C#C[C@H](N[C@H](C)c1cccc(Br)c1)[C@H]1CCCO1 ZINC000775575515 623292593 /nfs/dbraw/zinc/29/25/93/623292593.db2.gz YEKCOGRUKDXILL-BYCMXARLSA-N 0 1 308.219 3.281 20 30 DGEDMN Cc1nc(C#N)c(N=NC2CN(Cc3ccccc3)CC[C@@H]2C)o1 ZINC000776180040 623391699 /nfs/dbraw/zinc/39/16/99/623391699.db2.gz JQTJVMFGQWVTLG-ZDUSSCGKSA-N 0 1 323.400 3.165 20 30 DGEDMN COC(=O)c1ccccc1N=NCc1ccc(O[C@@H](C)C#N)cc1 ZINC000776187251 623392407 /nfs/dbraw/zinc/39/24/07/623392407.db2.gz KLZTWVPBYTYKQL-ZDUSSCGKSA-N 0 1 323.352 3.210 20 30 DGEDMN C[C@H]1Cc2ccccc2C1N=Nc1cccc(S(C)(=O)=O)c1 ZINC000776260492 623402564 /nfs/dbraw/zinc/40/25/64/623402564.db2.gz HHEOVRURBQGMMB-LBPRGKRZSA-N 0 1 314.410 3.099 20 30 DGEDMN C#CCCOc1ccc(CNc2cnccc2-n2cccn2)cc1 ZINC000776256433 623402610 /nfs/dbraw/zinc/40/26/10/623402610.db2.gz AVCBKKZOQBMKIE-UHFFFAOYSA-N 0 1 318.380 3.282 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)CCN(C)C(=O)OC(C)(C)C ZINC000776799912 623464791 /nfs/dbraw/zinc/46/47/91/623464791.db2.gz SZGYHQUZELZUKJ-UHFFFAOYSA-N 0 1 317.433 3.165 20 30 DGEDMN CCc1cc(NN=Cc2ccc(F)nc2)nc(-c2ccccn2)n1 ZINC000777436135 623524052 /nfs/dbraw/zinc/52/40/52/623524052.db2.gz BZQLYYSIZMJAJH-UHFFFAOYSA-N 0 1 322.347 3.081 20 30 DGEDMN Clc1ccnc(N=NCc2cnc([C@@H]3CCCO3)s2)c1 ZINC000777906186 623583732 /nfs/dbraw/zinc/58/37/32/623583732.db2.gz UKBPFQYPQARNBT-NSHDSACASA-N 0 1 308.794 3.489 20 30 DGEDMN O=C(/C=C/c1ccc([N+](=O)[O-])cc1F)c1ccc(O)c(F)c1 ZINC000777916270 623584700 /nfs/dbraw/zinc/58/47/00/623584700.db2.gz HAHIIFTWQOYLNA-GORDUTHDSA-N 0 1 305.236 3.475 20 30 DGEDMN O=C(/C=C/c1cccc(Br)n1)c1ccccc1O ZINC000777919885 623585265 /nfs/dbraw/zinc/58/52/65/623585265.db2.gz UAXKWZQCIDLJHT-CMDGGOBGSA-N 0 1 304.143 3.446 20 30 DGEDMN Cc1ccnc(CON=C(N)c2ccc(N3CCCCC3)cc2)c1 ZINC000790503901 625662243 /nfs/dbraw/zinc/66/22/43/625662243.db2.gz OHGPYYXTRAOJJX-UHFFFAOYSA-N 0 1 324.428 3.217 20 30 DGEDMN C#CCN(Cc1cnc2c(cnn2C)c1)[C@H]1CCc2ccccc21 ZINC000876513343 623631292 /nfs/dbraw/zinc/63/12/92/623631292.db2.gz LAZVZNDYHOYFMA-IBGZPJMESA-N 0 1 316.408 3.091 20 30 DGEDMN COCCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000778297390 623637048 /nfs/dbraw/zinc/63/70/48/623637048.db2.gz IRFZPYGNTZTZOI-RYUDHWBXSA-N 0 1 322.792 3.050 20 30 DGEDMN C=CCN(Cc1ccc(OC)cc1)Cc1cnc2c(cnn2C)c1 ZINC000876626141 623663151 /nfs/dbraw/zinc/66/31/51/623663151.db2.gz PPZKQOJVACJRSC-UHFFFAOYSA-N 0 1 322.412 3.165 20 30 DGEDMN C=CCN(CCc1ccco1)Cn1nccc1-c1cccnc1 ZINC000778584499 623673879 /nfs/dbraw/zinc/67/38/79/623673879.db2.gz FXICUQZLGLVDTO-UHFFFAOYSA-N 0 1 308.385 3.226 20 30 DGEDMN CC[C@@H](C(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)N(CC)CC ZINC000778650607 623679537 /nfs/dbraw/zinc/67/95/37/623679537.db2.gz KRDWSIYGFVMJFG-HIFRSBDPSA-N 0 1 312.417 3.168 20 30 DGEDMN C[C@H](CC#N)N(C)C[C@@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876690970 623683556 /nfs/dbraw/zinc/68/35/56/623683556.db2.gz WSBJYVDWZZXWMC-CABCVRRESA-N 0 1 309.454 3.258 20 30 DGEDMN N#Cc1cnc(Cl)c(CN2CCS[C@H]3CCCC[C@H]32)c1 ZINC000876770750 623702930 /nfs/dbraw/zinc/70/29/30/623702930.db2.gz JQQWYBGLQWVVSQ-KGLIPLIRSA-N 0 1 307.850 3.467 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2c[nH]nc2C)cc(OC)c1OC ZINC000779095192 623729190 /nfs/dbraw/zinc/72/91/90/623729190.db2.gz WBGZSLOQOLARHT-GFCCVEGCSA-N 0 1 315.417 3.315 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H]2COCC[C@H]21 ZINC000779164946 623737254 /nfs/dbraw/zinc/73/72/54/623737254.db2.gz WFRCEDZHDNADOW-RHSMWYFYSA-N 0 1 319.832 3.353 20 30 DGEDMN C[C@@H](CNCc1cc(C#N)cnc1Cl)Oc1ccccc1 ZINC000877046404 623775392 /nfs/dbraw/zinc/77/53/92/623775392.db2.gz LJVGSFBBRNNCSS-LBPRGKRZSA-N 0 1 301.777 3.164 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2cc(OC)ns2)c1 ZINC000877046054 623775612 /nfs/dbraw/zinc/77/56/12/623775612.db2.gz HJWYMRNACQXQOQ-ZDUSSCGKSA-N 0 1 317.414 3.122 20 30 DGEDMN C[C@H](C[C@H](O)c1cccs1)NCc1cc(C#N)cnc1Cl ZINC000877130747 623799723 /nfs/dbraw/zinc/79/97/23/623799723.db2.gz SYUPQQJZHBFACE-MFKMUULPSA-N 0 1 321.833 3.270 20 30 DGEDMN COc1cccc(NN=Cc2c[nH]nc2-c2ccc(C)cc2)n1 ZINC000779816115 623812345 /nfs/dbraw/zinc/81/23/45/623812345.db2.gz BMLKBBSMDYAAQD-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN COc1cccc(NN=Cc2ccc(OC(F)F)c(F)c2)n1 ZINC000779817531 623814066 /nfs/dbraw/zinc/81/40/66/623814066.db2.gz QZNVYXOMKJGKDA-UHFFFAOYSA-N 0 1 311.263 3.277 20 30 DGEDMN COc1cccc(NN=Cc2cn(-c3ccccc3)nc2C)n1 ZINC000779819896 623814386 /nfs/dbraw/zinc/81/43/86/623814386.db2.gz XBNIDJACENUFNA-UHFFFAOYSA-N 0 1 307.357 3.030 20 30 DGEDMN COc1cccc(N=NC(C)c2ccc(Cl)c([N+](=O)[O-])c2)n1 ZINC000779817519 623814551 /nfs/dbraw/zinc/81/45/51/623814551.db2.gz QOIPAAFBBZMMQT-UHFFFAOYSA-N 0 1 320.736 3.488 20 30 DGEDMN COc1cccc(NN=Cc2c[nH]nc2-c2ccccc2F)n1 ZINC000779821084 623815105 /nfs/dbraw/zinc/81/51/05/623815105.db2.gz SDEVYZSSPCSVCK-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN C#CCCCCCCN1CCN([C@H](C)c2nccs2)CC1 ZINC000877380322 623864192 /nfs/dbraw/zinc/86/41/92/623864192.db2.gz HDMGZVKNQIOGTM-MRXNPFEDSA-N 0 1 305.491 3.405 20 30 DGEDMN CC(=NN[C@@H]1CCCOC1)c1ccc(N2CCCCC2)c(F)c1 ZINC000780261283 623898726 /nfs/dbraw/zinc/89/87/26/623898726.db2.gz MBKUTEFGJMEDOF-MRXNPFEDSA-N 0 1 319.424 3.309 20 30 DGEDMN COc1ccc(NN=C2CCCOc3cc(F)ccc32)nc1 ZINC000780265763 623899681 /nfs/dbraw/zinc/89/96/81/623899681.db2.gz RFKKMEBPMMZNOS-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN COc1ccc(N=NCc2ccc(OC(F)F)c(OC)c2)nc1 ZINC000780268086 623900799 /nfs/dbraw/zinc/90/07/99/623900799.db2.gz DKSSOVLNGFPTDI-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN C#C[C@H](N[C@@H](C)C[C@@H]1CCCC(=O)N1)c1ccc(Cl)cc1F ZINC000877516047 623919319 /nfs/dbraw/zinc/91/93/19/623919319.db2.gz AYDIDBFFEYXTOL-RBOXIYTFSA-N 0 1 322.811 3.190 20 30 DGEDMN C#C[C@@H](NCCCc1cnn(C)c1)c1ccc(Cl)cc1F ZINC000877519622 623920841 /nfs/dbraw/zinc/92/08/41/623920841.db2.gz YTOXMCZYFLJYIM-MRXNPFEDSA-N 0 1 305.784 3.109 20 30 DGEDMN COc1ccc(O)c([C@H](C)NCc2cc(C#N)cnc2Cl)c1 ZINC000877532446 623927438 /nfs/dbraw/zinc/92/74/38/623927438.db2.gz GLUJRGWOQFDJPV-JTQLQIEISA-N 0 1 317.776 3.172 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000780461544 623934480 /nfs/dbraw/zinc/93/44/80/623934480.db2.gz QSXGTDCROCLFJE-KRWDZBQOSA-N 0 1 316.445 3.425 20 30 DGEDMN N#Cc1cc(Cl)ccc1COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000780495018 623939228 /nfs/dbraw/zinc/93/92/28/623939228.db2.gz IELCEBAHKJUXKA-CYBMUJFWSA-N 0 1 315.760 3.098 20 30 DGEDMN C#CCCCCCC(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000780517636 623943016 /nfs/dbraw/zinc/94/30/16/623943016.db2.gz FYQDSAHXXVBGLJ-UHFFFAOYSA-N 0 1 304.409 3.087 20 30 DGEDMN CC(C)OC(=O)C[C@H](NCc1cc(C#N)cnc1Cl)C(C)C ZINC000877618709 623964116 /nfs/dbraw/zinc/96/41/16/623964116.db2.gz CQZPYBHRQXBLPL-AWEZNQCLSA-N 0 1 323.824 3.063 20 30 DGEDMN CO[C@@H](CNCc1cc(C#N)cnc1Cl)C1CCCCC1 ZINC000877636572 623972315 /nfs/dbraw/zinc/97/23/15/623972315.db2.gz BVUOFDJPYHNVHP-HNNXBMFYSA-N 0 1 307.825 3.292 20 30 DGEDMN CCN1CCN(c2ccc(N[C@H]3CC[C@H](C#N)C3)cc2F)CC1 ZINC000877767335 624027826 /nfs/dbraw/zinc/02/78/26/624027826.db2.gz ZQOHAORKNCHUAP-GJZGRUSLSA-N 0 1 316.424 3.072 20 30 DGEDMN COc1cc(C)c(/C=C\C(=O)c2ccc(O)c(F)c2)c(C)n1 ZINC000781549939 624090381 /nfs/dbraw/zinc/09/03/81/624090381.db2.gz XNVGGDOQBAAHGK-ALCCZGGFSA-N 0 1 301.317 3.448 20 30 DGEDMN C=CC[N@@H+](Cc1ccccc1)C[C@H](O)C[C@@H](O)c1ccccc1 ZINC000877917258 624091532 /nfs/dbraw/zinc/09/15/32/624091532.db2.gz RNNTYVSOZFTNSC-WOJBJXKFSA-N 0 1 311.425 3.159 20 30 DGEDMN N#CCC1(CN2CCN(Cc3cccc4cccnc43)CC2)CC1 ZINC000877963553 624114176 /nfs/dbraw/zinc/11/41/76/624114176.db2.gz HEBIORZILZZVED-UHFFFAOYSA-N 0 1 320.440 3.046 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1C[C@@H](C)S[C@@H](C)C1)c1ccccc1 ZINC000878137024 624184395 /nfs/dbraw/zinc/18/43/95/624184395.db2.gz MTHLESBTRBPSKE-RVKKMQEKSA-N 0 1 316.470 3.253 20 30 DGEDMN COc1cc(C(C)N=Nc2ccc([N+](=O)[O-])cc2Cl)ccn1 ZINC000782220402 624222519 /nfs/dbraw/zinc/22/25/19/624222519.db2.gz FNYNTELONMOBAW-UHFFFAOYSA-N 0 1 320.736 3.488 20 30 DGEDMN Cc1[nH]c2ccc(F)cc2c1C=NNCCN1CCCCC1 ZINC000782224225 624223290 /nfs/dbraw/zinc/22/32/90/624223290.db2.gz HGKMYGFECRUYLZ-UHFFFAOYSA-N 0 1 302.397 3.025 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NN[C@@H]1CCCC1(C)C ZINC000782299720 624236188 /nfs/dbraw/zinc/23/61/88/624236188.db2.gz JCXBFZBNFDLNSA-CRAIPNDOSA-N 0 1 314.477 3.448 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H]1CCC2(CCC2)O1 ZINC000878605819 624320460 /nfs/dbraw/zinc/32/04/60/624320460.db2.gz DUBQMPVLDZVYJH-MRXNPFEDSA-N 0 1 305.418 3.252 20 30 DGEDMN C[C@H](CN1C[C@@H](C)N(CC#N)[C@@H](C)C1)c1cccc(Cl)c1 ZINC000878772124 624356997 /nfs/dbraw/zinc/35/69/97/624356997.db2.gz FYMPKFOBPOZJJP-KFWWJZLASA-N 0 1 305.853 3.362 20 30 DGEDMN C=CCc1cc(CN2C[C@H](C)O[C@@H](C)[C@@H]2C)c(O)c(OC)c1 ZINC000783541257 624363614 /nfs/dbraw/zinc/36/36/14/624363614.db2.gz GDNOYRLSVJPCFC-IHRRRGAJSA-N 0 1 305.418 3.127 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CC[C@]2(CC2(F)F)C1)c1ccccc1 ZINC000878813718 624365922 /nfs/dbraw/zinc/36/59/22/624365922.db2.gz ODLCAPFKORDCKO-IRXDYDNUSA-N 0 1 318.367 3.158 20 30 DGEDMN C#CC[N@H+](CCCC(=O)Nc1c(C)cccc1C)C1CSC1 ZINC000878913378 624386901 /nfs/dbraw/zinc/38/69/01/624386901.db2.gz MBOXCPYDDLRBGZ-UHFFFAOYSA-N 0 1 316.470 3.073 20 30 DGEDMN Cc1cc(COC(=O)c2c(F)cc(O)cc2F)ccc1C#N ZINC000783716376 624387068 /nfs/dbraw/zinc/38/70/68/624387068.db2.gz PAUQZBJZKQRPCX-UHFFFAOYSA-N 0 1 303.264 3.208 20 30 DGEDMN CC(C)[C@H](C(=O)O[C@H](C)c1cccc(C#N)c1)N1CCCC1 ZINC000783777786 624395173 /nfs/dbraw/zinc/39/51/73/624395173.db2.gz PYRYXWVGYHYUJY-RHSMWYFYSA-N 0 1 300.402 3.283 20 30 DGEDMN CC(C)[C@@H](C(=O)O[C@@H](C)c1cccc(C#N)c1)N1CCCC1 ZINC000783777793 624395622 /nfs/dbraw/zinc/39/56/22/624395622.db2.gz PYRYXWVGYHYUJY-YOEHRIQHSA-N 0 1 300.402 3.283 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)c1cccc(C#N)c1 ZINC000783776058 624395658 /nfs/dbraw/zinc/39/56/58/624395658.db2.gz KGMXGMOXLLOKLW-KBXIAJHMSA-N 0 1 300.402 3.424 20 30 DGEDMN C[C@H](C=Nn1c(=O)c2ccccc2[nH]c1=S)Cc1ccccc1 ZINC000783821312 624397769 /nfs/dbraw/zinc/39/77/69/624397769.db2.gz LYMQZDVRBNGSMO-ZDUSSCGKSA-N 0 1 323.421 3.398 20 30 DGEDMN Cc1cc[nH+]c([N-]N=C2CCOc3c2cc(F)cc3[N+](=O)[O-])c1 ZINC000783856199 624401485 /nfs/dbraw/zinc/40/14/85/624401485.db2.gz ZHPSSYJPJQOXPX-UHFFFAOYSA-N 0 1 316.292 3.036 20 30 DGEDMN CC(C)(NCCOCCC#N)c1nc2cc(Cl)ccc2o1 ZINC000879160345 624441242 /nfs/dbraw/zinc/44/12/42/624441242.db2.gz XUEKBNLQNXIQPQ-UHFFFAOYSA-N 0 1 307.781 3.236 20 30 DGEDMN C[C@H](OC(=O)c1cccc(-c2nnc[nH]2)c1)c1cccc(C#N)c1 ZINC000784473815 624529780 /nfs/dbraw/zinc/52/97/80/624529780.db2.gz YPMITHDRHJJLSV-LBPRGKRZSA-N 0 1 318.336 3.261 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H](F)C(C)C ZINC000784490780 624533423 /nfs/dbraw/zinc/53/34/23/624533423.db2.gz KIFKKJBKVZEGQI-GJZGRUSLSA-N 0 1 318.392 3.453 20 30 DGEDMN Cc1nc(CN(C)CCc2cccc(C#N)c2)nc2ccccc12 ZINC000879432701 624546176 /nfs/dbraw/zinc/54/61/76/624546176.db2.gz FMHOEACGKASQMF-UHFFFAOYSA-N 0 1 316.408 3.484 20 30 DGEDMN C=CCCC[C@@H](C(=O)NOC1CCOCC1)c1ccccc1 ZINC000879533027 624580757 /nfs/dbraw/zinc/58/07/57/624580757.db2.gz INFLBGTYTHJPJI-QGZVFWFLSA-N 0 1 303.402 3.353 20 30 DGEDMN N#Cc1ccc(N2CCN(CCCCC(F)(F)F)CC2)cc1 ZINC000879586483 624598683 /nfs/dbraw/zinc/59/86/83/624598683.db2.gz MLTYKLKCOLBLRK-UHFFFAOYSA-N 0 1 311.351 3.413 20 30 DGEDMN C#CCSCC(=O)Nc1cccc2c1CN(CCC(C)C)C2 ZINC000888780323 624623156 /nfs/dbraw/zinc/62/31/56/624623156.db2.gz PPNYANWSTMILGR-UHFFFAOYSA-N 0 1 316.470 3.353 20 30 DGEDMN COc1cc(Cl)ccc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000785419354 624663205 /nfs/dbraw/zinc/66/32/05/624663205.db2.gz HEBUJOOYDLFPEU-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000785457689 624669931 /nfs/dbraw/zinc/66/99/31/624669931.db2.gz PIADVSNOSOVJSM-KBPBESRZSA-N 0 1 324.350 3.208 20 30 DGEDMN C[C@H](O)[C@@H]1C[C@H](C)CCN1Cc1cc(Cl)ccc1OCC#N ZINC000785545517 624684497 /nfs/dbraw/zinc/68/44/97/624684497.db2.gz HMTFNNGKVUYSJB-WWGRRREGSA-N 0 1 322.836 3.224 20 30 DGEDMN C[C@H]1CC[C@H](C)N1CC(=O)OCC#Cc1ccccc1Cl ZINC000785732780 624719642 /nfs/dbraw/zinc/71/96/42/624719642.db2.gz DJSTUGPHBHLJME-KBPBESRZSA-N 0 1 305.805 3.108 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)C[C@H](C)c1c[nH]c2ccccc21 ZINC000786400012 624872011 /nfs/dbraw/zinc/87/20/11/624872011.db2.gz DSHWZUKKDGKMBD-HOTGVXAUSA-N 0 1 324.424 3.302 20 30 DGEDMN N#CC(C(=O)NCc1cccc(Cl)c1)C(=O)C1CCCCC1 ZINC000786536087 624913069 /nfs/dbraw/zinc/91/30/69/624913069.db2.gz XDMKJKUKZIQGFJ-HNNXBMFYSA-N 0 1 318.804 3.245 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880349286 624926188 /nfs/dbraw/zinc/92/61/88/624926188.db2.gz CBLUOVRTRDHSJF-FHSNZYRGSA-N 0 1 322.412 3.167 20 30 DGEDMN C#CCC1(O)CCN(Cc2cc(Cl)nc3ccccc23)CC1 ZINC000880481034 624964949 /nfs/dbraw/zinc/96/49/49/624964949.db2.gz LLMSPBZDWZLPOP-UHFFFAOYSA-N 0 1 314.816 3.238 20 30 DGEDMN C#CCC1(O)CCN([C@H](C)c2cccc(Br)c2)CC1 ZINC000880480231 624965084 /nfs/dbraw/zinc/96/50/84/624965084.db2.gz LQWHOCUVEPKWIT-CYBMUJFWSA-N 0 1 322.246 3.360 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000880517861 624971316 /nfs/dbraw/zinc/97/13/16/624971316.db2.gz XZQDQJOHYDFEFF-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000880517861 624971319 /nfs/dbraw/zinc/97/13/19/624971319.db2.gz XZQDQJOHYDFEFF-UHFFFAOYSA-N 0 1 318.465 3.312 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CC12CCC2)c1ccccc1 ZINC000787031842 624972614 /nfs/dbraw/zinc/97/26/14/624972614.db2.gz NLCOIJWDESEYKB-PMPSAXMXSA-N 0 1 310.397 3.153 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1CC[C@@H](C)C1)c1ccccc1 ZINC000787040247 624973405 /nfs/dbraw/zinc/97/34/05/624973405.db2.gz UWIRGTVUBJFSOC-XBVQOTNRSA-N 0 1 312.413 3.399 20 30 DGEDMN N#Cc1cnc2c(c1)CC/C(=C\c1cc(F)c(O)c(F)c1)C2=O ZINC000788356724 625136267 /nfs/dbraw/zinc/13/62/67/625136267.db2.gz ONIJNFALBPAYPY-KGVSQERTSA-N 0 1 312.275 3.150 20 30 DGEDMN CC(N=Nc1ccnc2ccccc12)c1cn2ccccc2n1 ZINC000788430065 625169226 /nfs/dbraw/zinc/16/92/26/625169226.db2.gz RXPJZTRBDILCPK-UHFFFAOYSA-N 0 1 301.353 3.141 20 30 DGEDMN COCc1cc(C#N)ccc1NC[C@H]1c2ccccc2CN1C ZINC000882701043 625790603 /nfs/dbraw/zinc/79/06/03/625790603.db2.gz VTEYJOWBPCJNML-IBGZPJMESA-N 0 1 307.397 3.303 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000796326670 626038754 /nfs/dbraw/zinc/03/87/54/626038754.db2.gz RDBQYGYLXWUQIK-MLGOLLRUSA-N 0 1 322.430 3.387 20 30 DGEDMN CCC[C@@](C)(CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000796326670 626038757 /nfs/dbraw/zinc/03/87/57/626038757.db2.gz RDBQYGYLXWUQIK-MLGOLLRUSA-N 0 1 322.430 3.387 20 30 DGEDMN N#CC(C(=O)[C@H]1Cc2ccc(F)cc21)c1nc2ccccc2[nH]1 ZINC000796617328 626066486 /nfs/dbraw/zinc/06/64/86/626066486.db2.gz BLVNWPICDPSMIK-KBPBESRZSA-N 0 1 305.312 3.218 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)CC(C)(C)C(F)(F)F ZINC000796634325 626068502 /nfs/dbraw/zinc/06/85/02/626068502.db2.gz YHKDVFPVQQTKRP-NSHDSACASA-N 0 1 320.355 3.370 20 30 DGEDMN N#C[C@H](C(=O)c1occ2c1CCOC2)c1ccc2ccccc2n1 ZINC000796750209 626078738 /nfs/dbraw/zinc/07/87/38/626078738.db2.gz UHWWQXXUUIDWSF-HNNXBMFYSA-N 0 1 318.332 3.391 20 30 DGEDMN N#CC(C(=O)c1occ2c1CCOC2)c1ccc2ccccc2n1 ZINC000796750209 626078740 /nfs/dbraw/zinc/07/87/40/626078740.db2.gz UHWWQXXUUIDWSF-HNNXBMFYSA-N 0 1 318.332 3.391 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)[C@H]1CC[C@H](C(F)(F)F)C1 ZINC000796787374 626082716 /nfs/dbraw/zinc/08/27/16/626082716.db2.gz GNLKOFXVPPGPHY-GVXVVHGQSA-N 0 1 324.302 3.313 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000796786128 626082787 /nfs/dbraw/zinc/08/27/87/626082787.db2.gz BRPPKFDAOZSMTG-SWLSCSKDSA-N 0 1 302.374 3.169 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000796791310 626083749 /nfs/dbraw/zinc/08/37/49/626083749.db2.gz APRHYDGWZUCQLU-STQMWFEESA-N 0 1 302.374 3.169 20 30 DGEDMN C#C[C@@H](NCc1ccc(N(C)c2ccccc2)nc1)[C@H]1CCCO1 ZINC000797876168 626176140 /nfs/dbraw/zinc/17/61/40/626176140.db2.gz YFFKSILIPLMVQO-RTBURBONSA-N 0 1 321.424 3.120 20 30 DGEDMN N#C[C@@H](C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959400 626184388 /nfs/dbraw/zinc/18/43/88/626184388.db2.gz MLIYQBFAPBAZHE-VLWUPBBWSA-N 0 1 317.320 3.237 20 30 DGEDMN N#CC(C(=O)C=CSc1cc(F)ccc1F)c1ccncn1 ZINC000797959400 626184390 /nfs/dbraw/zinc/18/43/90/626184390.db2.gz MLIYQBFAPBAZHE-VLWUPBBWSA-N 0 1 317.320 3.237 20 30 DGEDMN C#CCN(C)Cc1ccc(I)c(Cl)c1 ZINC000797988326 626187433 /nfs/dbraw/zinc/18/74/33/626187433.db2.gz VYXJSBVOCGERKL-UHFFFAOYSA-N 0 1 319.573 3.010 20 30 DGEDMN O=[N+]([O-])c1cc(N=NC2CCCc3cccnc32)ccc1F ZINC000799113004 626272972 /nfs/dbraw/zinc/27/29/72/626272972.db2.gz DIWGAZDINCMAQI-UHFFFAOYSA-N 0 1 300.293 3.281 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2cc(C(C)C)c(C)cc2O)C1=O ZINC000799144318 626275634 /nfs/dbraw/zinc/27/56/34/626275634.db2.gz XRLMEHDIHJULRS-KRWDZBQOSA-N 0 1 316.445 3.043 20 30 DGEDMN FC(F)(F)c1cc(CN=Nc2ncccc2C(F)(F)F)n[nH]1 ZINC000799475994 626303778 /nfs/dbraw/zinc/30/37/78/626303778.db2.gz QTNQOELDHKNCKY-UHFFFAOYSA-N 0 1 323.200 3.288 20 30 DGEDMN N#Cc1ccc(N=NC2CN3CCC2CC3)c(C(F)(F)F)c1 ZINC000799492070 626305277 /nfs/dbraw/zinc/30/52/77/626305277.db2.gz MDHMEPYXNDFADK-UHFFFAOYSA-N 0 1 308.307 3.071 20 30 DGEDMN COC(=O)c1[nH]c(CN=Nc2nc3ccccc3cc2C)cc1C ZINC000799883894 626333176 /nfs/dbraw/zinc/33/31/76/626333176.db2.gz VMEUZBKJKQXLMV-UHFFFAOYSA-N 0 1 322.368 3.412 20 30 DGEDMN Cc1nc(C(C)N=Nc2ccccc2Br)cn1C ZINC000800809922 626375896 /nfs/dbraw/zinc/37/58/96/626375896.db2.gz ILFSYQVZCBITOL-UHFFFAOYSA-N 0 1 307.195 3.327 20 30 DGEDMN Cn1cc(-c2ccc(CN=Nc3ccc(F)cn3)s2)cn1 ZINC000800813084 626376163 /nfs/dbraw/zinc/37/61/63/626376163.db2.gz PXOFGRCILZHAQV-UHFFFAOYSA-N 0 1 301.350 3.129 20 30 DGEDMN C[C@H](C#N)OCCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000801937216 626427101 /nfs/dbraw/zinc/42/71/01/626427101.db2.gz HOOSJADBJAEQHS-MFKMUULPSA-N 0 1 304.287 3.337 20 30 DGEDMN C[C@@H](NCCO[C@@H](C)C#N)c1nc2ccccc2n1C(F)F ZINC000801947039 626427831 /nfs/dbraw/zinc/42/78/31/626427831.db2.gz QSDDYHGQHFIGFU-WDEREUQCSA-N 0 1 308.332 3.011 20 30 DGEDMN C[C@@H](C#N)OCCN(CCC(F)(F)F)Cc1ccccc1 ZINC000801949627 626427841 /nfs/dbraw/zinc/42/78/41/626427841.db2.gz PHKCCXLGXHQRCI-ZDUSSCGKSA-N 0 1 300.324 3.370 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1C[C@H](C)[C@H](C)C1=O ZINC000839979167 626573731 /nfs/dbraw/zinc/57/37/31/626573731.db2.gz AEBSGYROHXJZST-HOTGVXAUSA-N 0 1 313.445 3.088 20 30 DGEDMN CC[C@@H](NC(=O)C(C#N)C(=O)C1CC(C)(C)C1)c1ccccc1 ZINC000802800410 626573986 /nfs/dbraw/zinc/57/39/86/626573986.db2.gz QWNCQBHOECRRGZ-JKSUJKDBSA-N 0 1 312.413 3.399 20 30 DGEDMN C#C[C@H](C)Oc1ccc(COC(=O)c2ccc3cncn3c2)cc1 ZINC000802809252 626574276 /nfs/dbraw/zinc/57/42/76/626574276.db2.gz DFNVVXPOOHPRKA-AWEZNQCLSA-N 0 1 320.348 3.092 20 30 DGEDMN O=C(C/N=C/c1cc(Cl)cc(Cl)c1O)Nc1cccnc1 ZINC000131216043 626608303 /nfs/dbraw/zinc/60/83/03/626608303.db2.gz DFOALGGXAGIXFX-NGYBGAFCSA-N 0 1 324.167 3.152 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@H](CC)c1sccc1Cl ZINC000883215778 626611491 /nfs/dbraw/zinc/61/14/91/626611491.db2.gz FXRITVDTWPFNGP-LLVKDONJSA-N 0 1 307.868 3.043 20 30 DGEDMN C=C(C)C[C@H](CO)NCc1cnn(Cc2ccccc2)c1Cl ZINC000883400082 626673040 /nfs/dbraw/zinc/67/30/40/626673040.db2.gz DBUDMGPLTPOWGQ-MRXNPFEDSA-N 0 1 319.836 3.002 20 30 DGEDMN CC1(C)CCC(C(=O)C(C#N)C(=O)NCc2ccccc2)CC1 ZINC000131976577 626691653 /nfs/dbraw/zinc/69/16/53/626691653.db2.gz BZAWCTGSICVPCP-INIZCTEOSA-N 0 1 312.413 3.228 20 30 DGEDMN C#CCSCCN[C@@H](COC)c1cccc(Cl)c1F ZINC000806146086 626701930 /nfs/dbraw/zinc/70/19/30/626701930.db2.gz JUXMBAMYTKVDFK-ZDUSSCGKSA-N 0 1 301.814 3.123 20 30 DGEDMN C#Cc1cccc(NC(=O)c2ccc(Br)c(O)c2)c1 ZINC000132978188 626756427 /nfs/dbraw/zinc/75/64/27/626756427.db2.gz GZYYHVYVOLFKOD-UHFFFAOYSA-N 0 1 316.154 3.388 20 30 DGEDMN COc1ccc(CN=Nc2nc3ccccc3n2C)c(C)c1OC ZINC000807963791 626780385 /nfs/dbraw/zinc/78/03/85/626780385.db2.gz KRWUODAWBHNQDD-UHFFFAOYSA-N 0 1 324.384 3.345 20 30 DGEDMN CC(C)(O)c1ccc(CN=Nc2cnnc3ccccc23)cc1 ZINC000807973581 626781588 /nfs/dbraw/zinc/78/15/88/626781588.db2.gz SQRGHWSZGZSFCK-UHFFFAOYSA-N 0 1 306.369 3.303 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NN[C@H]2CCSC2)ccc1[O-] ZINC000808001781 626785013 /nfs/dbraw/zinc/78/50/13/626785013.db2.gz QBXTWGNJQGDGLH-INIZCTEOSA-N 0 1 321.490 3.053 20 30 DGEDMN COc1cccc2c(C(=O)O[C@@H](C#N)C3CCCCC3)[nH]nc21 ZINC000808672152 626848312 /nfs/dbraw/zinc/84/83/12/626848312.db2.gz FIDGOYTXPNQWLL-AWEZNQCLSA-N 0 1 313.357 3.201 20 30 DGEDMN C=C(C)CN(CC[C@H](O)c1ccccc1)Cc1ccc(CO)o1 ZINC000808758974 626858795 /nfs/dbraw/zinc/85/87/95/626858795.db2.gz IMRCFNFYLOALPU-IBGZPJMESA-N 0 1 315.413 3.274 20 30 DGEDMN CSCCON=Cc1cc(Br)cc(F)c1O ZINC000884460658 626883491 /nfs/dbraw/zinc/88/34/91/626883491.db2.gz HQBYLZHFPDTKCQ-UHFFFAOYSA-N 0 1 308.172 3.007 20 30 DGEDMN CC(C)OCCON=Cc1cc(Br)cc(F)c1O ZINC000884470996 626884961 /nfs/dbraw/zinc/88/49/61/626884961.db2.gz IKHPLXLEJVSAPJ-UHFFFAOYSA-N 0 1 320.158 3.069 20 30 DGEDMN C#Cc1ccc(CN[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)cc1 ZINC000809621659 626927583 /nfs/dbraw/zinc/92/75/83/626927583.db2.gz MMYBOZNDJZWKRH-GOSISDBHSA-N 0 1 324.811 3.216 20 30 DGEDMN C#C[C@@H](C)NCc1cc(Br)cc(C)c1OC(F)F ZINC000809683968 626936067 /nfs/dbraw/zinc/93/60/67/626936067.db2.gz TVVXUDYEKJWKQW-SECBINFHSA-N 0 1 318.161 3.470 20 30 DGEDMN C#C[C@H](NCc1cc2c(cc1OC(F)F)OCO2)C(C)(C)C ZINC000809781953 626952242 /nfs/dbraw/zinc/95/22/42/626952242.db2.gz FCTXVNUANXSBLV-AWEZNQCLSA-N 0 1 311.328 3.154 20 30 DGEDMN COc1cc(CN[C@H]2CCc3nc(Cl)ccc32)ccc1C#N ZINC000810251184 626992504 /nfs/dbraw/zinc/99/25/04/626992504.db2.gz UTXDKSLKRJGLNZ-AWEZNQCLSA-N 0 1 313.788 3.392 20 30 DGEDMN COc1cccc(NC(=O)C(C#N)C(=O)C2(F)CCCCC2)c1 ZINC000810529158 627012324 /nfs/dbraw/zinc/01/23/24/627012324.db2.gz ABGMPFAFQFXIQK-CQSZACIVSA-N 0 1 318.348 3.015 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)c(Cl)c1 ZINC000885669424 627041412 /nfs/dbraw/zinc/04/14/12/627041412.db2.gz FFKWWYOTEMXZGO-MFKMUULPSA-N 0 1 313.788 3.349 20 30 DGEDMN CCOC(=O)C(C)(C)C(=O)C(C#N)c1nc(C(C)C)cs1 ZINC000136340473 627057447 /nfs/dbraw/zinc/05/74/47/627057447.db2.gz WXGZPTOQSGBRBG-JTQLQIEISA-N 0 1 308.403 3.032 20 30 DGEDMN C=CCN(Cc1ccccc1F)C[C@@H](O)CC1(C#N)CCC1 ZINC000885964175 627071391 /nfs/dbraw/zinc/07/13/91/627071391.db2.gz XZCBVFGSGDSMHV-INIZCTEOSA-N 0 1 302.393 3.259 20 30 DGEDMN C=CCc1cc(CNCc2[nH]nc(C)c2C)cc(OC)c1OC ZINC000885970995 627073472 /nfs/dbraw/zinc/07/34/72/627073472.db2.gz MSSGWZWDTVAUTK-UHFFFAOYSA-N 0 1 315.417 3.062 20 30 DGEDMN CSc1ccc(CNCc2cncn2C(C)C)cc1C#N ZINC000886277187 627129900 /nfs/dbraw/zinc/12/99/00/627129900.db2.gz JNVVWUHJTCZEIA-UHFFFAOYSA-N 0 1 300.431 3.347 20 30 DGEDMN CSc1ccc(CNCc2ccnn2CC(C)C)cc1C#N ZINC000886277486 627129979 /nfs/dbraw/zinc/12/99/79/627129979.db2.gz SCRONAVPDXGBRF-UHFFFAOYSA-N 0 1 314.458 3.422 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000886353701 627141298 /nfs/dbraw/zinc/14/12/98/627141298.db2.gz NPXZVIZHGLSPSJ-KGLIPLIRSA-N 0 1 302.443 3.081 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000886354176 627141321 /nfs/dbraw/zinc/14/13/21/627141321.db2.gz XPJLFQYJYNBDES-LBPRGKRZSA-N 0 1 301.777 3.235 20 30 DGEDMN C#CC[C@@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000886353748 627141526 /nfs/dbraw/zinc/14/15/26/627141526.db2.gz OOHWFCYHASIMQV-GFCCVEGCSA-N 0 1 301.777 3.235 20 30 DGEDMN CC(C)c1cccc(S(=O)(=O)Nc2cccc(CC#N)n2)c1 ZINC000886435807 627148507 /nfs/dbraw/zinc/14/85/07/627148507.db2.gz JUACUVKHTRZFBS-UHFFFAOYSA-N 0 1 315.398 3.072 20 30 DGEDMN COC(=O)c1cncc([C@H](C)N[C@H]2CCc3cc(C#N)ccc32)c1 ZINC000886603042 627171001 /nfs/dbraw/zinc/17/10/01/627171001.db2.gz FZIHSASUQOGBPY-SGTLLEGYSA-N 0 1 321.380 3.078 20 30 DGEDMN COC(=O)c1cncc([C@@H](C)N[C@@H]2CCc3cc(C#N)ccc32)c1 ZINC000886603041 627171345 /nfs/dbraw/zinc/17/13/45/627171345.db2.gz FZIHSASUQOGBPY-KZULUSFZSA-N 0 1 321.380 3.078 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ccnc(CN[C@@H]2CC[C@@H](C#N)C2)c1 ZINC000886681312 627188515 /nfs/dbraw/zinc/18/85/15/627188515.db2.gz WYELFCKDJSXVJX-CHWSQXEVSA-N 0 1 316.405 3.210 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3ncccn3)C2)c(Cl)c1 ZINC000886685915 627189645 /nfs/dbraw/zinc/18/96/45/627189645.db2.gz GIODZORYBOQMTC-OAHLLOKOSA-N 0 1 312.804 3.381 20 30 DGEDMN C=CC[C@@H](C)[C@H](C)NCc1nc(Cc2ccccc2F)no1 ZINC000886714572 627196486 /nfs/dbraw/zinc/19/64/86/627196486.db2.gz OEJAPGPEQNJLED-OLZOCXBDSA-N 0 1 303.381 3.490 20 30 DGEDMN Cc1cc(N=NC2CCN(Cc3ccccc3)[C@@H]2C)nc(C)n1 ZINC000811637722 627297510 /nfs/dbraw/zinc/29/75/10/627297510.db2.gz ITSOZRKQIIDDDN-CQSZACIVSA-N 0 1 309.417 3.156 20 30 DGEDMN C[C@@H]1C(N=Nc2nccnc2Cl)CCN1Cc1ccccc1 ZINC000811643422 627298020 /nfs/dbraw/zinc/29/80/20/627298020.db2.gz DTHRQYBFAUUVSJ-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN Oc1cc(Cl)c(C=NNC[C@H]2CCSC2)cc1Cl ZINC000811660757 627301918 /nfs/dbraw/zinc/30/19/18/627301918.db2.gz UKKHTUIWLGJPHW-MRVPVSSYSA-N 0 1 305.230 3.376 20 30 DGEDMN Oc1cc(Cl)c(C=NNC[C@@H]2CCSC2)cc1Cl ZINC000811660758 627301923 /nfs/dbraw/zinc/30/19/23/627301923.db2.gz UKKHTUIWLGJPHW-QMMMGPOBSA-N 0 1 305.230 3.376 20 30 DGEDMN C#CCOc1ccc(F)cc1NS(=O)(=O)CCC(C)(C)C ZINC000811685498 627305540 /nfs/dbraw/zinc/30/55/40/627305540.db2.gz UTQJJKSBFNAHFI-UHFFFAOYSA-N 0 1 313.394 3.016 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000811748068 627315969 /nfs/dbraw/zinc/31/59/69/627315969.db2.gz JEKDYPXLLFZFCT-SJORKVTESA-N 0 1 320.477 3.182 20 30 DGEDMN CCCCCc1cc(C(=O)N(C)Cc2cc(C#N)cs2)n[nH]1 ZINC000887965550 627349461 /nfs/dbraw/zinc/34/94/61/627349461.db2.gz IBFDBEOLGFSRNT-UHFFFAOYSA-N 0 1 316.430 3.348 20 30 DGEDMN C=CCN(C(=O)NCc1ccc(Nc2ccncc2)cc1)C1CC1 ZINC000889395677 627414778 /nfs/dbraw/zinc/41/47/78/627414778.db2.gz IZTLSDDCJOWLSQ-UHFFFAOYSA-N 0 1 322.412 3.107 20 30 DGEDMN Cc1cc(Cl)ccc1CN1CCN([C@@H](C)CCC#N)CC1 ZINC000411717328 627582405 /nfs/dbraw/zinc/58/24/05/627582405.db2.gz GZOXAWGOIRBRCG-HNNXBMFYSA-N 0 1 305.853 3.458 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2CC1 ZINC000814676671 627582816 /nfs/dbraw/zinc/58/28/16/627582816.db2.gz YPUXVFYCSLHOBD-OKILXGFUSA-N 0 1 314.857 3.318 20 30 DGEDMN CC(=[NH+]Nc1ccc(C(=O)[O-])cc1)c1cc(F)c(N)c(F)c1 ZINC000814680911 627583195 /nfs/dbraw/zinc/58/31/95/627583195.db2.gz NYYMYNZWMIMCGE-UHFFFAOYSA-N 0 1 305.284 3.081 20 30 DGEDMN C(=NN=c1nc[nH]c2sccc21)c1nc2c(s1)CCCC2 ZINC000814767553 627589870 /nfs/dbraw/zinc/58/98/70/627589870.db2.gz LOQZZDGKWJFYPB-UHFFFAOYSA-N 0 1 315.427 3.473 20 30 DGEDMN Oc1cc(F)c(Cl)cc1C=Nn1cnnc1-c1ccccc1 ZINC000814779380 627590176 /nfs/dbraw/zinc/59/01/76/627590176.db2.gz LMABUFOMNUZZQG-UHFFFAOYSA-N 0 1 316.723 3.325 20 30 DGEDMN Fc1cc(F)c(N=NCc2ccccc2-n2cncn2)cc1F ZINC000814851167 627598226 /nfs/dbraw/zinc/59/82/26/627598226.db2.gz HNBZYDRHPBGNBL-UHFFFAOYSA-N 0 1 317.274 3.131 20 30 DGEDMN CCC(=NNc1ccc(C(=O)OC)cc1OC)c1ccco1 ZINC000814887790 627603161 /nfs/dbraw/zinc/60/31/61/627603161.db2.gz RBKJNLHSJYRETH-UHFFFAOYSA-N 0 1 302.330 3.301 20 30 DGEDMN COCC(=NNc1cccc(OC)n1)c1cccc(Cl)c1 ZINC000814900433 627604785 /nfs/dbraw/zinc/60/47/85/627604785.db2.gz SQCAHVXNZDDMLY-UHFFFAOYSA-N 0 1 305.765 3.206 20 30 DGEDMN C[C@H]1C(=NOC2CCOCC2)CCC[N@@H+]1Cc1ccccc1 ZINC000814907311 627605797 /nfs/dbraw/zinc/60/57/97/627605797.db2.gz CYQIMRKVFQZWMN-HNNXBMFYSA-N 0 1 302.418 3.223 20 30 DGEDMN C[C@H]1C(=NOC2CCOCC2)CCCN1Cc1ccccc1 ZINC000814907311 627605798 /nfs/dbraw/zinc/60/57/98/627605798.db2.gz CYQIMRKVFQZWMN-HNNXBMFYSA-N 0 1 302.418 3.223 20 30 DGEDMN C[C@H](COc1ccccc1)NN=C1CCCc2ccc(N)cc21 ZINC000814935558 627610816 /nfs/dbraw/zinc/61/08/16/627610816.db2.gz NWIFESSKVVDGEW-CQSZACIVSA-N 0 1 309.413 3.366 20 30 DGEDMN COC(=O)c1coc(C(C)N=Nc2ccc(F)c(F)c2F)c1 ZINC000814945639 627612124 /nfs/dbraw/zinc/61/21/24/627612124.db2.gz JFEBKRZSJWZBLV-UHFFFAOYSA-N 0 1 312.247 3.320 20 30 DGEDMN Fc1ccc(N=NCc2ccccc2-n2cncn2)c(F)c1F ZINC000814944936 627612261 /nfs/dbraw/zinc/61/22/61/627612261.db2.gz DFHRLDAGYMBRER-UHFFFAOYSA-N 0 1 317.274 3.131 20 30 DGEDMN CC(=NNc1cnnn1C)c1ccc(Oc2ccccc2)cc1 ZINC000814980443 627615187 /nfs/dbraw/zinc/61/51/87/627615187.db2.gz BBMCUYPFLJESHX-UHFFFAOYSA-N 0 1 307.357 3.444 20 30 DGEDMN COc1ccc(Oc2ccc(CN=Nc3cnnn3C)cc2)cc1 ZINC000814980928 627615302 /nfs/dbraw/zinc/61/53/02/627615302.db2.gz PBZSUIXDMRFPIQ-UHFFFAOYSA-N 0 1 323.356 3.062 20 30 DGEDMN C[C@H](NCCOCCC#N)c1nc(-c2ccccc2)cs1 ZINC000815090984 627625079 /nfs/dbraw/zinc/62/50/79/627625079.db2.gz YAYFKKMMVYUJQK-ZDUSSCGKSA-N 0 1 301.415 3.391 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H](C)C2CCCCC2)n1 ZINC000815102534 627626669 /nfs/dbraw/zinc/62/66/69/627626669.db2.gz KAXLMYFZVMNLBJ-KRWDZBQOSA-N 0 1 317.477 3.181 20 30 DGEDMN C=CCCCCCN(C)C(=O)NCc1cc(N(C)C)ccn1 ZINC000924660715 627663971 /nfs/dbraw/zinc/66/39/71/627663971.db2.gz PINHJCJSRZCDKO-UHFFFAOYSA-N 0 1 304.438 3.035 20 30 DGEDMN CCc1cc(CNC(=O)c2sc3ccc(C#N)cc3c2C)n[nH]1 ZINC000815581438 627687129 /nfs/dbraw/zinc/68/71/29/627687129.db2.gz GGYGAHVPSZPJOO-UHFFFAOYSA-N 0 1 324.409 3.297 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCN(Cc2ccccc2)CC[C@@H]1C ZINC000815740462 627701612 /nfs/dbraw/zinc/70/16/12/627701612.db2.gz LRIMVFUNWIBSJB-HNNXBMFYSA-N 0 1 322.399 3.321 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000815740444 627701812 /nfs/dbraw/zinc/70/18/12/627701812.db2.gz LCKMDNTZWIBNSY-ZDUSSCGKSA-N 0 1 316.779 3.270 20 30 DGEDMN CC(C)O[C@@H](C(=O)Nc1cc(C#N)ccc1O)c1ccccc1 ZINC000815877981 627708817 /nfs/dbraw/zinc/70/88/17/627708817.db2.gz ODKKIOGFVNMWAH-QGZVFWFLSA-N 0 1 310.353 3.369 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](N1CCOc3ccc(N)cc3C1)CC2 ZINC000925753244 627788549 /nfs/dbraw/zinc/78/85/49/627788549.db2.gz FMKYFDAZVIFHRL-GOSISDBHSA-N 0 1 305.381 3.022 20 30 DGEDMN Cc1ccc2nc(NC(=O)Cc3ccccc3CC#N)[nH]c2c1 ZINC000817017526 627846190 /nfs/dbraw/zinc/84/61/90/627846190.db2.gz RCHRGBDZGZNRCQ-UHFFFAOYSA-N 0 1 304.353 3.119 20 30 DGEDMN Nc1ccc2c(c1)C(=NN[C@H](CO)c1ccccc1)CCCC2 ZINC000817327533 627883395 /nfs/dbraw/zinc/88/33/95/627883395.db2.gz KLNMWLVWDYGWNP-LJQANCHMSA-N 0 1 309.413 3.023 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@H](C)C#N)cc2)C[C@@H](CC)O1 ZINC000817412226 627895112 /nfs/dbraw/zinc/89/51/12/627895112.db2.gz GRCSLHDXRUGMFB-DJIMGWMZSA-N 0 1 302.418 3.367 20 30 DGEDMN C=C1CCC(CNC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)CC1 ZINC000926545229 627912170 /nfs/dbraw/zinc/91/21/70/627912170.db2.gz KKSNTUGSCJQRAC-LBPRGKRZSA-N 0 1 305.426 3.035 20 30 DGEDMN C=CCOCCCN[C@H](C)c1nc(Br)ccc1F ZINC000926703512 627936365 /nfs/dbraw/zinc/93/63/65/627936365.db2.gz LFOVDPLNXAEKRL-SNVBAGLBSA-N 0 1 317.202 3.227 20 30 DGEDMN CON1CCC(/N=C\c2cc(Cl)cc(Cl)c2O)CC1 ZINC000817707217 627936578 /nfs/dbraw/zinc/93/65/78/627936578.db2.gz HPOILJBNOVYKEB-PXNMLYILSA-N 0 1 303.189 3.144 20 30 DGEDMN CCCCc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CC23CC3)cc1 ZINC000817714608 627937905 /nfs/dbraw/zinc/93/79/05/627937905.db2.gz VHGPPRUODCLXEY-HZPDHXFCSA-N 0 1 310.397 3.477 20 30 DGEDMN Brc1ccc2c(c1)C(N=Nc1ccccn1)CCO2 ZINC000818224613 628007039 /nfs/dbraw/zinc/00/70/39/628007039.db2.gz GGLQBTCTUBZAHE-UHFFFAOYSA-N 0 1 318.174 3.443 20 30 DGEDMN C=C(Br)CNC[C@@H](O)c1ccc(F)cc1Cl ZINC000818979602 628072068 /nfs/dbraw/zinc/07/20/68/628072068.db2.gz RMBYXJFLSFJLTQ-LLVKDONJSA-N 0 1 308.578 3.011 20 30 DGEDMN CCC(CC)c1cc(CNC[C@@H](O)c2ccc(C#N)cc2)on1 ZINC000819104956 628092837 /nfs/dbraw/zinc/09/28/37/628092837.db2.gz BFUDDEUVVRWRLJ-GOSISDBHSA-N 0 1 313.401 3.273 20 30 DGEDMN N#CC(C(=O)C=C[C@H]1CCCO1)c1nc2cc(F)ccc2s1 ZINC000820031524 628215182 /nfs/dbraw/zinc/21/51/82/628215182.db2.gz KVXFYAXPCPLPSK-XLMCQVRKSA-N 0 1 316.357 3.347 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2c(C)cccc2C(C)C)CC1 ZINC000929190409 628223244 /nfs/dbraw/zinc/22/32/44/628223244.db2.gz YIXATKZVABPYNH-UHFFFAOYSA-N 0 1 313.445 3.338 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@H]2CCCC[C@@H]2C)c1 ZINC000820761757 628295536 /nfs/dbraw/zinc/29/55/36/628295536.db2.gz SECZLRARMHIGOB-BHYGNILZSA-N 0 1 312.413 3.146 20 30 DGEDMN COC(=O)c1ccc(/C=C/C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000821017417 628326591 /nfs/dbraw/zinc/32/65/91/628326591.db2.gz HCGBCIYKZKOQSX-FMIVXFBMSA-N 0 1 323.392 3.431 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2cccc(CN(C)C)c2)cc1O ZINC000821021603 628327275 /nfs/dbraw/zinc/32/72/75/628327275.db2.gz JEIIIRJVYBSTFB-CLFYSBASSA-N 0 1 311.381 3.359 20 30 DGEDMN Cc1nc(-c2ccccc2)[nH]c1C(=O)C=Cc1ccc(N)nc1 ZINC000821038590 628329375 /nfs/dbraw/zinc/32/93/75/628329375.db2.gz JKESEVPQXJLFAR-VQHVLOKHSA-N 0 1 304.353 3.258 20 30 DGEDMN N#CCCCOc1cccc(C[N@@H+]2CCC[C@H](CC(=O)[O-])C2)c1 ZINC000821320558 628356268 /nfs/dbraw/zinc/35/62/68/628356268.db2.gz RKOUAAULTBMEKR-MRXNPFEDSA-N 0 1 316.401 3.056 20 30 DGEDMN CCN(C[C@H](C)C#N)C[C@H](CNC(=O)OC(C)(C)C)C(C)C ZINC000823409019 628557780 /nfs/dbraw/zinc/55/77/80/628557780.db2.gz TZMGGHSPCYJEHJ-CABCVRRESA-N 0 1 311.470 3.265 20 30 DGEDMN CCN(C[C@@H](CNC(=O)OC(C)(C)C)C(C)C)C[C@H](C)C#N ZINC000823409034 628557823 /nfs/dbraw/zinc/55/78/23/628557823.db2.gz TZMGGHSPCYJEHJ-HUUCEWRRSA-N 0 1 311.470 3.265 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCCC[C@@H]1CNC(=O)[O-] ZINC000823737752 628592163 /nfs/dbraw/zinc/59/21/63/628592163.db2.gz KWLVSIQILDNMLY-OAHLLOKOSA-N 0 1 315.417 3.110 20 30 DGEDMN C=CCCC(N=Nc1oc(C(C)C)nc1-c1nn[nH]n1)C1CC1 ZINC000823888581 628607413 /nfs/dbraw/zinc/60/74/13/628607413.db2.gz RBNOHQLMZCJZKZ-UHFFFAOYSA-N 0 1 315.381 3.122 20 30 DGEDMN CC[C@@H](CC#N)N[C@H](C)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000824173108 628634034 /nfs/dbraw/zinc/63/40/34/628634034.db2.gz WLFMRHRMXKGIBZ-QLFBSQMISA-N 0 1 309.454 3.446 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)C[C@H](C)C2)o1 ZINC000824858585 628701276 /nfs/dbraw/zinc/70/12/76/628701276.db2.gz KSDCCDGKCODJQY-AOOOYVTPSA-N 0 1 317.397 3.202 20 30 DGEDMN C=CCC[C@@H](NCc1cc(Cl)c(F)cc1O)C(=O)OCC ZINC000825117714 628729942 /nfs/dbraw/zinc/72/99/42/628729942.db2.gz KIXRGKXIRWTWGC-CYBMUJFWSA-N 0 1 315.772 3.172 20 30 DGEDMN C[C@@H](C#N)C[N@H+](C)[C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967718 628816190 /nfs/dbraw/zinc/81/61/90/628816190.db2.gz ZERHBTROYIZJKK-QPUJVOFHSA-N 0 1 301.173 3.211 20 30 DGEDMN C[C@@H](C#N)C[N@@H+](C)[C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC000825967718 628816192 /nfs/dbraw/zinc/81/61/92/628816192.db2.gz ZERHBTROYIZJKK-QPUJVOFHSA-N 0 1 301.173 3.211 20 30 DGEDMN CC(C)C[C@@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000826832960 628892418 /nfs/dbraw/zinc/89/24/18/628892418.db2.gz YYVBNNYCXCMNIK-RDJZCZTQSA-N 0 1 300.402 3.442 20 30 DGEDMN CC(C)C[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC000826832959 628892688 /nfs/dbraw/zinc/89/26/88/628892688.db2.gz YYVBNNYCXCMNIK-NVXWUHKLSA-N 0 1 300.402 3.442 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@@H]1[C@H](O)c1ccccc1 ZINC000828049512 629017919 /nfs/dbraw/zinc/01/79/19/629017919.db2.gz XOBOIJNZKIRKML-RTBURBONSA-N 0 1 307.397 3.041 20 30 DGEDMN N#Cc1cnccc1CN1CCCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000828049509 629018273 /nfs/dbraw/zinc/01/82/73/629018273.db2.gz XOBOIJNZKIRKML-MOPGFXCFSA-N 0 1 307.397 3.041 20 30 DGEDMN CN(C(=O)c1cccc2[nH]c(C(F)F)nc21)[C@@H]1CCC[C@H]1C#N ZINC000828141066 629027223 /nfs/dbraw/zinc/02/72/23/629027223.db2.gz JPCULANTBZWUAA-JOYOIKCWSA-N 0 1 318.327 3.265 20 30 DGEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)[C@@H]1c1ccccc1 ZINC000828412962 629051807 /nfs/dbraw/zinc/05/18/07/629051807.db2.gz PIQZFAJVQGLMCZ-JEBQAFNWSA-N 0 1 306.365 3.377 20 30 DGEDMN C[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)[C@@H]1c1ccccc1 ZINC000828412962 629051810 /nfs/dbraw/zinc/05/18/10/629051810.db2.gz PIQZFAJVQGLMCZ-JEBQAFNWSA-N 0 1 306.365 3.377 20 30 DGEDMN N#C[C@@H](NC(=O)c1cccc2nc(C(F)F)[nH]c21)C1CCCC1 ZINC000829368546 629153244 /nfs/dbraw/zinc/15/32/44/629153244.db2.gz IGXNDERUFUOMDZ-GFCCVEGCSA-N 0 1 318.327 3.313 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(CN2CCCCC2)o1)C1CCCC1 ZINC000829371624 629153926 /nfs/dbraw/zinc/15/39/26/629153926.db2.gz WTUSPGAKDHTPJY-INIZCTEOSA-N 0 1 315.417 3.078 20 30 DGEDMN Cc1nc2c(Cl)cccc2cc1C(=O)NC1=NO[C@@H](C)C1 ZINC000867062784 629168574 /nfs/dbraw/zinc/16/85/74/629168574.db2.gz JJQNWILTNILNFT-QMMMGPOBSA-N 0 1 303.749 3.049 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000829773363 629190194 /nfs/dbraw/zinc/19/01/94/629190194.db2.gz DKQANIQBMQCBOI-CABCVRRESA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccccc2SC)C1 ZINC000829774619 629190593 /nfs/dbraw/zinc/19/05/93/629190593.db2.gz RAALMPUAJJNHFT-CABCVRRESA-N 0 1 316.470 3.471 20 30 DGEDMN C#CC[C@@H]1CCCN(C[C@@H](O)c2cccc(Br)c2)C1 ZINC000829774578 629190955 /nfs/dbraw/zinc/19/09/55/629190955.db2.gz QIVOQOIPNDYSOC-CZUORRHYSA-N 0 1 322.246 3.218 20 30 DGEDMN C#CC[C@H]1CCCN(Cc2cn(-c3ccc(Cl)cc3)nn2)C1 ZINC000829788900 629192777 /nfs/dbraw/zinc/19/27/77/629192777.db2.gz JMRSSIBGTQKVJX-AWEZNQCLSA-N 0 1 314.820 3.156 20 30 DGEDMN C[N@@H+](CCCc1ccccc1)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830777015 629304275 /nfs/dbraw/zinc/30/42/75/629304275.db2.gz IQGBCRQWEYFXRB-GOSISDBHSA-N 0 1 308.381 3.249 20 30 DGEDMN C[N@@H+](CCCOc1cccc(C#N)c1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000830777193 629304721 /nfs/dbraw/zinc/30/47/21/629304721.db2.gz URIFYRZNYKTIPU-GOSISDBHSA-N 0 1 324.380 3.085 20 30 DGEDMN CO[C@H]1CCN(Cc2cc(Cl)ccc2OCC#N)C[C@@H]1C ZINC000831528059 629386382 /nfs/dbraw/zinc/38/63/82/629386382.db2.gz KSBWBPVDMCOAHZ-WFASDCNBSA-N 0 1 308.809 3.099 20 30 DGEDMN CO[C@@H]1CCN(Cc2cc(Cl)ccc2OCC#N)CC1(C)C ZINC000831543077 629388811 /nfs/dbraw/zinc/38/88/11/629388811.db2.gz AKCKIPYFSUFXKB-MRXNPFEDSA-N 0 1 322.836 3.489 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000831890953 629424003 /nfs/dbraw/zinc/42/40/03/629424003.db2.gz BXSVCQPULCIOAI-CXAGYDPISA-N 0 1 302.422 3.179 20 30 DGEDMN CC(C)(C)OC(=O)N1CCN(CCC(C)(C)C#N)CC1(C)C ZINC000832703062 629505253 /nfs/dbraw/zinc/50/52/53/629505253.db2.gz KISHZYORHWTSIB-UHFFFAOYSA-N 0 1 309.454 3.258 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3cccc(F)c3)C2)c1 ZINC000833074333 629555218 /nfs/dbraw/zinc/55/52/18/629555218.db2.gz VRWHKWCNDQXWRM-SJLPKXTDSA-N 0 1 324.355 3.313 20 30 DGEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CCCc3sccc3C2)c1 ZINC000833074192 629555615 /nfs/dbraw/zinc/55/56/15/629555615.db2.gz RMGDDDHOKYPWPY-MRXNPFEDSA-N 0 1 312.394 3.194 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@H](C)[C@H](C(=O)[O-])C2)cc1Cl ZINC000833377561 629594204 /nfs/dbraw/zinc/59/42/04/629594204.db2.gz BQWHLQKOBNXMKE-WCQYABFASA-N 0 1 309.793 3.057 20 30 DGEDMN C=CCOc1ccc(C[N@@H+](CC(=O)[O-])C2CCCC2)cc1OC ZINC000833377890 629594581 /nfs/dbraw/zinc/59/45/81/629594581.db2.gz QFMPNDWMDRWQOS-UHFFFAOYSA-N 0 1 319.401 3.089 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CCCCC[C@H]2C(=O)[O-])cc1OC ZINC000833377650 629594760 /nfs/dbraw/zinc/59/47/60/629594760.db2.gz HAPGYQOHCVUPBA-HNNXBMFYSA-N 0 1 319.401 3.089 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000833413113 629601205 /nfs/dbraw/zinc/60/12/05/629601205.db2.gz JSPVJIKEJSWOJQ-MRXNPFEDSA-N 0 1 300.402 3.317 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(C(=O)[O-])c(Cl)c2)C[N@H+]1C1CC1 ZINC000833588211 629626723 /nfs/dbraw/zinc/62/67/23/629626723.db2.gz OQEFSBYBWGIVAC-VIFPVBQESA-N 0 1 307.781 3.063 20 30 DGEDMN COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1cccc(C(=O)[O-])c1C#N ZINC000833730528 629644031 /nfs/dbraw/zinc/64/40/31/629644031.db2.gz FPPAOWXMEIPQJS-CYBMUJFWSA-N 0 1 324.380 3.424 20 30 DGEDMN Fc1ccc(NN=Cc2ccc3c(c2)OCCCCO3)nc1 ZINC000834957014 629811965 /nfs/dbraw/zinc/81/19/65/629811965.db2.gz RRTWJIDSDLXDQL-UHFFFAOYSA-N 0 1 301.321 3.218 20 30 DGEDMN COC(=O)c1ccc(N=NC2C[C@@H](C)n3ccnc32)cc1Cl ZINC000834973727 629815313 /nfs/dbraw/zinc/81/53/13/629815313.db2.gz NDOCFTFGRQKWDE-SECBINFHSA-N 0 1 318.764 3.104 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2cc(F)c(C)cc2F)nc(C)n1 ZINC000835061035 629840449 /nfs/dbraw/zinc/84/04/49/629840449.db2.gz WDWQZIKJINMHNU-CYBMUJFWSA-N 0 1 315.323 3.099 20 30 DGEDMN CC[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)Nc1ccc(OC)cc1 ZINC000835063813 629841422 /nfs/dbraw/zinc/84/14/22/629841422.db2.gz AOQQBWYPCTWBLN-UHOFOFEASA-N 0 1 314.385 3.169 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)cc1 ZINC000835063163 629841881 /nfs/dbraw/zinc/84/18/81/629841881.db2.gz VGTSTXPVCLTZSY-YQFWSFKMSA-N 0 1 310.397 3.333 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H]1CC1(F)F ZINC000835064391 629842511 /nfs/dbraw/zinc/84/25/11/629842511.db2.gz VXJAUQKZPQBANJ-OLZOCXBDSA-N 0 1 320.339 3.114 20 30 DGEDMN N#CC(C(=O)C=C[C@@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145741 629863569 /nfs/dbraw/zinc/86/35/69/629863569.db2.gz VQMHTRLOEVLOQP-UXHBDDFESA-N 0 1 316.357 3.204 20 30 DGEDMN N#C[C@H](C(=O)C=C[C@@H]1CCOC1)c1nc2cc(F)ccc2s1 ZINC000835145741 629863576 /nfs/dbraw/zinc/86/35/76/629863576.db2.gz VQMHTRLOEVLOQP-UXHBDDFESA-N 0 1 316.357 3.204 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CC12CCSCC2)c1cnc2ccccc2n1 ZINC000835348251 629912296 /nfs/dbraw/zinc/91/22/96/629912296.db2.gz METRHNHNLJBTOJ-CHWSQXEVSA-N 0 1 323.421 3.339 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)Nc1ccccn1)C1CCCCC1 ZINC000102122888 629951603 /nfs/dbraw/zinc/95/16/03/629951603.db2.gz OTLJEJNCVOPTHQ-ZFWWWQNUSA-N 0 1 313.401 3.335 20 30 DGEDMN CC(C)N(CCCCC#N)c1ccc(N2CCOCC2)cc1 ZINC000102401600 630102366 /nfs/dbraw/zinc/10/23/66/630102366.db2.gz HFNMOHVEXOLSSF-UHFFFAOYSA-N 0 1 301.434 3.432 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCN(Cc2ccccc2)C[C@H](C)C1 ZINC000836920685 630187244 /nfs/dbraw/zinc/18/72/44/630187244.db2.gz STTTZNWAXAYOIO-HNNXBMFYSA-N 0 1 322.399 3.178 20 30 DGEDMN C=CCC(F)(F)C(=O)N1CCN(Cc2ccccc2)C[C@@H](C)C1 ZINC000836920687 630187319 /nfs/dbraw/zinc/18/73/19/630187319.db2.gz STTTZNWAXAYOIO-OAHLLOKOSA-N 0 1 322.399 3.178 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@H](C)c2ccc3c(c2)CCC3)CC1 ZINC000837525399 630270824 /nfs/dbraw/zinc/27/08/24/630270824.db2.gz UECOINPLMLVOGD-OAHLLOKOSA-N 0 1 311.425 3.125 20 30 DGEDMN C[C@H](Cc1cnn(C)c1)/N=C/c1cc(Cl)cc(Cl)c1O ZINC000840708851 630350855 /nfs/dbraw/zinc/35/08/55/630350855.db2.gz JCCWUIFTIPUWMC-KFPHLYOZSA-N 0 1 312.200 3.483 20 30 DGEDMN Cc1ncsc1CCC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1 ZINC000842305444 630514382 /nfs/dbraw/zinc/51/43/82/630514382.db2.gz BSSQUZDAFLVXKP-GFCCVEGCSA-N 0 1 324.409 3.445 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=C[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000842525249 630544531 /nfs/dbraw/zinc/54/45/31/630544531.db2.gz LLMXKCKXDIKDLS-AGIUHOORSA-N 0 1 311.410 3.121 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@H](c3ccccc3C)CC2=O)C1 ZINC000842629202 630556220 /nfs/dbraw/zinc/55/62/20/630556220.db2.gz LFAKOXLIDHWHFI-ZWKOTPCHSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@H](c3cccc(C)c3)CC2=O)C1 ZINC000842629477 630556405 /nfs/dbraw/zinc/55/64/05/630556405.db2.gz NQOSSBMUKDTLPW-PKOBYXMFSA-N 0 1 310.441 3.004 20 30 DGEDMN CC(C)(C)C[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NC1CCCCC1 ZINC000842685376 630563191 /nfs/dbraw/zinc/56/31/91/630563191.db2.gz DMWXFVFOHOGVIL-NWANDNLSSA-N 0 1 304.434 3.216 20 30 DGEDMN COC1(C(=O)[C@@H](C#N)c2nc3cc(Cl)ccc3s2)CC1 ZINC000842690268 630563821 /nfs/dbraw/zinc/56/38/21/630563821.db2.gz RJFJZSCJHHNEHM-SECBINFHSA-N 0 1 306.774 3.305 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2C[C@H](C)OC3(CCC3)C2)cc1 ZINC000843435976 630658790 /nfs/dbraw/zinc/65/87/90/630658790.db2.gz YCTKATMVUZPSQG-CABCVRRESA-N 0 1 300.402 3.121 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC[C@@H]([C@H]3CCCC3(C)C)C2)CC1 ZINC000843699408 630689775 /nfs/dbraw/zinc/68/97/75/630689775.db2.gz HTXUKPGULDLNSK-QZTJIDSGSA-N 0 1 316.489 3.006 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](CC)OC[C@H]2C)c1O ZINC000844729180 630816026 /nfs/dbraw/zinc/81/60/26/630816026.db2.gz GMRHTMDESOVMRH-CJNGLKHVSA-N 0 1 305.418 3.129 20 30 DGEDMN Cc1nccc(N(C)C[C@@H](O)c2cccc(C#N)c2)c1Cl ZINC000845608026 631183349 /nfs/dbraw/zinc/18/33/49/631183349.db2.gz CUXSSHHTUWDVRF-OAHLLOKOSA-N 0 1 301.777 3.085 20 30 DGEDMN CC(F)(F)CCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845836669 631198296 /nfs/dbraw/zinc/19/82/96/631198296.db2.gz BMCQBUIMAIDERC-CYBMUJFWSA-N 0 1 305.324 3.432 20 30 DGEDMN C[C@@H](C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1)c1ccco1 ZINC000845841231 631198575 /nfs/dbraw/zinc/19/85/75/631198575.db2.gz LUAVMOMYUWVFPT-UKRRQHHQSA-N 0 1 307.349 3.393 20 30 DGEDMN C[C@H](C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1)c1ccco1 ZINC000845841232 631198651 /nfs/dbraw/zinc/19/86/51/631198651.db2.gz LUAVMOMYUWVFPT-ZFWWWQNUSA-N 0 1 307.349 3.393 20 30 DGEDMN CC(C)SCC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1 ZINC000845843848 631198878 /nfs/dbraw/zinc/19/88/78/631198878.db2.gz ZSLSNYYCVCOYLS-AWEZNQCLSA-N 0 1 301.411 3.138 20 30 DGEDMN CCCCCCCCCS(=O)(=O)N[C@@H]1CCN(C)[C@@H](C)C1 ZINC000845876379 631200528 /nfs/dbraw/zinc/20/05/28/631200528.db2.gz ACJIBQFTHNRODI-JKSUJKDBSA-N 0 1 318.527 3.139 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCC[C@](O)(c3ccc(Cl)cc3)C2)o1 ZINC000846009465 631205521 /nfs/dbraw/zinc/20/55/21/631205521.db2.gz AELQPXHQEVLMQZ-QGZVFWFLSA-N 0 1 316.788 3.288 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@](O)(c3ccc(Cl)cc3)C2)o1 ZINC000846009465 631205522 /nfs/dbraw/zinc/20/55/22/631205522.db2.gz AELQPXHQEVLMQZ-QGZVFWFLSA-N 0 1 316.788 3.288 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C2(CCC2)CO1 ZINC000846067030 631208828 /nfs/dbraw/zinc/20/88/28/631208828.db2.gz LVFZZPVRXHMQOT-MRXNPFEDSA-N 0 1 313.445 3.180 20 30 DGEDMN C=C[C@H](C)ONC(=O)C(C)(C)c1cccc(Br)c1 ZINC000846551984 631260091 /nfs/dbraw/zinc/26/00/91/631260091.db2.gz YSVYGOVDZRYJRJ-JTQLQIEISA-N 0 1 312.207 3.349 20 30 DGEDMN C=C(C)[C@@H](NC[C@H]1CN(CC)C(=O)O1)c1ccc(F)c(F)c1 ZINC000846862280 631331438 /nfs/dbraw/zinc/33/14/38/631331438.db2.gz UKTWCZHJHANSBX-SWLSCSKDSA-N 0 1 310.344 3.012 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2cccc(SC(=O)N(C)C)c2)C1 ZINC000847026932 631385142 /nfs/dbraw/zinc/38/51/42/631385142.db2.gz RCQIOCCCNBDGGH-CQSZACIVSA-N 0 1 302.443 3.306 20 30 DGEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N2CCC[C@@H]2c2cccs2)C1 ZINC000847031400 631386208 /nfs/dbraw/zinc/38/62/08/631386208.db2.gz QAWXXUNOVCXTJP-BZUAXINKSA-N 0 1 316.470 3.145 20 30 DGEDMN N#CC(C(=O)CCC1CCCCCC1)C(=O)Nc1ccccn1 ZINC000847629123 631499015 /nfs/dbraw/zinc/49/90/15/631499015.db2.gz TYIIAYHOENDJOG-OAHLLOKOSA-N 0 1 313.401 3.480 20 30 DGEDMN CC(C)c1nccnc1NN=C1CCc2c1cccc2[N+](=O)[O-] ZINC000848410152 631656256 /nfs/dbraw/zinc/65/62/56/631656256.db2.gz HIIBDSHTMRSFAS-UHFFFAOYSA-N 0 1 311.345 3.271 20 30 DGEDMN C[C@@H]1CN(C)CC1N=Nc1ccc(-c2ccc(Cl)s2)nn1 ZINC000848415407 631657674 /nfs/dbraw/zinc/65/76/74/631657674.db2.gz UGOVYAKHLSUYJI-SECBINFHSA-N 0 1 321.837 3.208 20 30 DGEDMN Cc1ccc(CON=C(N)c2ccc(N3CCCCC3)cc2)cn1 ZINC000848510878 631672064 /nfs/dbraw/zinc/67/20/64/631672064.db2.gz XMECYZBBYAERJL-UHFFFAOYSA-N 0 1 324.428 3.217 20 30 DGEDMN CC(C)c1ccccc1CN1CCN(c2cnccc2C#N)CC1 ZINC000848913422 631785345 /nfs/dbraw/zinc/78/53/45/631785345.db2.gz WMARUHBXTNEOOY-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2c(C3CCC3)cnn2C)c(O)c1 ZINC000849245736 631863318 /nfs/dbraw/zinc/86/33/18/631863318.db2.gz BXZPWOAXMLJRGH-HJWRWDBZSA-N 0 1 312.369 3.298 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2c(C3CCC3)cnn2C)c(O)c1 ZINC000849245731 631863403 /nfs/dbraw/zinc/86/34/03/631863403.db2.gz BXZPWOAXMLJRGH-CMDGGOBGSA-N 0 1 312.369 3.298 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1cccc(C2CCCC2)c1 ZINC000850243507 632091575 /nfs/dbraw/zinc/09/15/75/632091575.db2.gz AMJKMIVTIKICRO-UHFFFAOYSA-N 0 1 307.459 3.035 20 30 DGEDMN CCCCCCCOc1ccc(CN[C@H]2CCNC2=O)cc1 ZINC000850343355 632118855 /nfs/dbraw/zinc/11/88/55/632118855.db2.gz MWEQNVKBEHFTKX-KRWDZBQOSA-N 0 1 304.434 3.014 20 30 DGEDMN COc1cccc([C@H](OC)C(=O)[C@H](C#N)c2nc(C)cs2)c1 ZINC000850737175 632210315 /nfs/dbraw/zinc/21/03/15/632210315.db2.gz SBDQCKHKCGPEPN-ZFWWWQNUSA-N 0 1 316.382 3.024 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@@H]1CCCC2(CC2)C1 ZINC000850758129 632217110 /nfs/dbraw/zinc/21/71/10/632217110.db2.gz YSPRMSDHQCJOKR-UKRRQHHQSA-N 0 1 302.418 3.115 20 30 DGEDMN N#CC(C(=O)NC1CCCC1)C(=O)C1CC2(C1)CCCCC2 ZINC000850767886 632218851 /nfs/dbraw/zinc/21/88/51/632218851.db2.gz JETJHYBTGKAAGR-OAHLLOKOSA-N 0 1 302.418 3.115 20 30 DGEDMN N#CC(C(=O)C1CCC2(CC2)CC1)c1nnc2n1CCCCC2 ZINC000850779967 632222758 /nfs/dbraw/zinc/22/27/58/632222758.db2.gz CZWIWASJDKUZAC-AWEZNQCLSA-N 0 1 312.417 3.151 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1nc(C2CC2)cs1)C1CCCC1 ZINC000851101600 632310645 /nfs/dbraw/zinc/31/06/45/632310645.db2.gz WSZDEWUDWJDSEO-WFASDCNBSA-N 0 1 304.415 3.402 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000852098492 632553551 /nfs/dbraw/zinc/55/35/51/632553551.db2.gz ORPBAOZIILSFRX-NHYWBVRUSA-N 0 1 312.335 3.424 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccc(Br)cc1 ZINC000852100357 632554179 /nfs/dbraw/zinc/55/41/79/632554179.db2.gz HFMUVRLJTYHZSR-ABAIWWIYSA-N 0 1 323.234 3.168 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1ccc(N2CCCC2)cc1 ZINC000852099767 632554407 /nfs/dbraw/zinc/55/44/07/632554407.db2.gz ASTVBISSWQRQFA-BEFAXECRSA-N 0 1 313.445 3.005 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1ccc(OC(F)F)cc1 ZINC000852098795 632554479 /nfs/dbraw/zinc/55/44/79/632554479.db2.gz WCUZOSQHPMMYFH-ZBEGNZNMSA-N 0 1 310.344 3.007 20 30 DGEDMN C#C[C@](C)(CC)NCc1nc2ccc(Br)cc2n1C ZINC000852103471 632555629 /nfs/dbraw/zinc/55/56/29/632555629.db2.gz IWWVOCQCKPWGAO-OAHLLOKOSA-N 0 1 320.234 3.227 20 30 DGEDMN COc1ccc2c(c1)CN(CCc1ccc(C#N)cc1)CCO2 ZINC000852137316 632561186 /nfs/dbraw/zinc/56/11/86/632561186.db2.gz NFCFYRCUHTZTRD-UHFFFAOYSA-N 0 1 308.381 3.004 20 30 DGEDMN C#CCOCCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000852157129 632564586 /nfs/dbraw/zinc/56/45/86/632564586.db2.gz UJQNMBUXVKUDFR-INIZCTEOSA-N 0 1 317.820 3.393 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccccc2C)CC1(C)C ZINC000995386297 660200734 /nfs/dbraw/zinc/20/07/34/660200734.db2.gz VXDFDAIWNPWBJO-HNNXBMFYSA-N 0 1 306.837 3.188 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccccc2-c2ccccc2)C1 ZINC001032169762 660210045 /nfs/dbraw/zinc/21/00/45/660210045.db2.gz WJXYTMURPJGTCE-UHFFFAOYSA-N 0 1 306.409 3.201 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(C)(C)C)s2)[C@H](C)C1 ZINC000945007669 660238324 /nfs/dbraw/zinc/23/83/24/660238324.db2.gz SDKQMNXRXFNOCU-ZIAGYGMSSA-N 0 1 318.486 3.119 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)coc2C)CC1(C)C ZINC000995762442 660270894 /nfs/dbraw/zinc/27/08/94/660270894.db2.gz INWUMECYBGPNEP-CYBMUJFWSA-N 0 1 310.825 3.089 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccoc2CC)CC1(C)C ZINC000995751143 660270569 /nfs/dbraw/zinc/27/05/69/660270569.db2.gz HSQMYNPKKRTMJT-AWEZNQCLSA-N 0 1 310.825 3.035 20 30 DGEDMN C#CCCN1CC[C@H](NC(=O)c2cc3cc(C)ccc3s2)C1 ZINC001015929277 660272725 /nfs/dbraw/zinc/27/27/25/660272725.db2.gz RWUBQRZUELDAMZ-HNNXBMFYSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC000996139369 660276067 /nfs/dbraw/zinc/27/60/67/660276067.db2.gz YEKAWJDQHRMMGE-AWEZNQCLSA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)ccc2F)CC1(C)C ZINC000996353137 660280674 /nfs/dbraw/zinc/28/06/74/660280674.db2.gz ZOLWCMUQPXTPAI-OAHLLOKOSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C2CCC2)CC1(C)C ZINC000996403381 660281974 /nfs/dbraw/zinc/28/19/74/660281974.db2.gz WIQNULZWFVVFFQ-RRFJBIMHSA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001015955551 660282158 /nfs/dbraw/zinc/28/21/58/660282158.db2.gz KFHOPNGSTFCEHH-WBVHZDCISA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(C(F)F)cc1 ZINC001032516178 660336253 /nfs/dbraw/zinc/33/62/53/660336253.db2.gz KQCOKJKBRTXROM-HOTGVXAUSA-N 0 1 320.383 3.028 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](c1ccccc1)C1CC1 ZINC001032518637 660336753 /nfs/dbraw/zinc/33/67/53/660336753.db2.gz PPAMGXOEVURYAD-GBESFXJTSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)cc(Cl)c1 ZINC001032521049 660337001 /nfs/dbraw/zinc/33/70/01/660337001.db2.gz SMXMVJMAQZFDAH-HOTGVXAUSA-N 0 1 304.821 3.123 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cc(C)ccc1C ZINC001032562078 660348944 /nfs/dbraw/zinc/34/89/44/660348944.db2.gz KCVYJNDLBQBNTR-ROUUACIJSA-N 0 1 312.457 3.301 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2ccc(C)s2)CC1 ZINC000997909011 660353273 /nfs/dbraw/zinc/35/32/73/660353273.db2.gz MZZNUTLFGPLTRK-INIZCTEOSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(CN[C@H](C)c2ncc(C)o2)CC1 ZINC000997967695 660360699 /nfs/dbraw/zinc/36/06/99/660360699.db2.gz QQWGRBNLRIUKAJ-CQSZACIVSA-N 0 1 319.449 3.084 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2ccc(F)s2)CC1 ZINC000998202402 660375901 /nfs/dbraw/zinc/37/59/01/660375901.db2.gz DNQJVJOVTVEABQ-AWEZNQCLSA-N 0 1 322.449 3.227 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](N(CC)C(=O)c2cc(C)c(C)s2)CC1 ZINC000998200192 660375979 /nfs/dbraw/zinc/37/59/79/660375979.db2.gz YUMCVSRXPDJXOX-INIZCTEOSA-N 0 1 318.486 3.315 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC000999232486 660411278 /nfs/dbraw/zinc/41/12/78/660411278.db2.gz LZDCRGOZWMAQJK-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)c1ccccc1C ZINC001032662163 660408934 /nfs/dbraw/zinc/40/89/34/660408934.db2.gz IXESQGYSFSQMAH-SQNIBIBYSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cscc2Cl)C1 ZINC000999433717 660418145 /nfs/dbraw/zinc/41/81/45/660418145.db2.gz MGKZNXZREIULFA-SNVBAGLBSA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCC=CCCC2)C1 ZINC000999476117 660419487 /nfs/dbraw/zinc/41/94/87/660419487.db2.gz PSLDWSYBGZLXLH-HOTGVXAUSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2c(C)oc(C)c2C)C1 ZINC000999649432 660423912 /nfs/dbraw/zinc/42/39/12/660423912.db2.gz HHCBYZRKQYLLOZ-AWEZNQCLSA-N 0 1 310.825 3.152 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NCc2nc(C)sc2C)C1 ZINC000999900591 660430862 /nfs/dbraw/zinc/43/08/62/660430862.db2.gz REDNHMRIHPMKPQ-AWEZNQCLSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)n(C(C)C)c1C ZINC001032774145 660442691 /nfs/dbraw/zinc/44/26/91/660442691.db2.gz YQEHMIBKQCDOPS-IRXDYDNUSA-N 0 1 315.461 3.161 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001032804701 660450959 /nfs/dbraw/zinc/45/09/59/660450959.db2.gz QQPNWUFPANAUGF-WDSOQIARSA-N 0 1 312.457 3.035 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)c1ccc(F)cc1 ZINC001032807528 660451883 /nfs/dbraw/zinc/45/18/83/660451883.db2.gz LIMFVJKNLMWZGT-OKZBNKHCSA-N 0 1 316.420 3.181 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C1CC(c2ccccc2)C1 ZINC001032812174 660453779 /nfs/dbraw/zinc/45/37/79/660453779.db2.gz HHTPEOZGMCMGMZ-BTRQGYIVSA-N 0 1 310.441 3.041 20 30 DGEDMN CCOc1cc(/C=C\C(=O)c2ccc(O)cc2O)ccc1O ZINC000255133048 660478410 /nfs/dbraw/zinc/47/84/10/660478410.db2.gz LMOYJFYHCNHGAL-CLTKARDFSA-N 0 1 300.310 3.098 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(Cl)c(F)c2)C1 ZINC001043972657 660484412 /nfs/dbraw/zinc/48/44/12/660484412.db2.gz KIFSOISAVCXRNM-UHFFFAOYSA-N 0 1 324.827 3.130 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001016381187 660489572 /nfs/dbraw/zinc/48/95/72/660489572.db2.gz CRKWIKSSKAUMKW-AWEZNQCLSA-N 0 1 306.837 3.454 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(F)c3ccccc23)CC1 ZINC001003674611 660499767 /nfs/dbraw/zinc/49/97/67/660499767.db2.gz YJMXKYMNCQZWAR-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc3sccc3c2)CC1 ZINC001003892400 660516152 /nfs/dbraw/zinc/51/61/52/660516152.db2.gz MXKJKYGJMZEKNP-UHFFFAOYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc([C@H](C)CC)cc2)CC1 ZINC001004223205 660534325 /nfs/dbraw/zinc/53/43/25/660534325.db2.gz ZVNKVQPGNWWOJL-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC001004240020 660534866 /nfs/dbraw/zinc/53/48/66/660534866.db2.gz FJMWEOXXADZGNZ-LJQANCHMSA-N 0 1 302.462 3.117 20 30 DGEDMN CC(C(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1)=C1CCC1 ZINC001033066508 660535344 /nfs/dbraw/zinc/53/53/44/660535344.db2.gz NBMTUOWSAWCIQE-IBGZPJMESA-N 0 1 323.440 3.091 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(Cl)sc2Cl)C1 ZINC001033149304 660560955 /nfs/dbraw/zinc/56/09/55/660560955.db2.gz JKHLQXQQCRQVSN-VIFPVBQESA-N 0 1 319.257 3.387 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(Cl)sc2Cl)C1 ZINC001033149303 660561108 /nfs/dbraw/zinc/56/11/08/660561108.db2.gz JKHLQXQQCRQVSN-SECBINFHSA-N 0 1 319.257 3.387 20 30 DGEDMN C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3CCC)CC[C@@H]2C1 ZINC000946768498 660593474 /nfs/dbraw/zinc/59/34/74/660593474.db2.gz SLMLOCGPZYHDDG-WCXIOVBPSA-N 0 1 324.896 3.346 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc(C3CCCC3)cc2)C1 ZINC001033269325 660601951 /nfs/dbraw/zinc/60/19/51/660601951.db2.gz QQKJNMQWCYUKOM-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3ncccc3s2)C1 ZINC001033322169 660608501 /nfs/dbraw/zinc/60/85/01/660608501.db2.gz SKBSHUZGFRHPNK-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001033326199 660608999 /nfs/dbraw/zinc/60/89/99/660608999.db2.gz AZBSEWMKRVSIMC-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001033391226 660618687 /nfs/dbraw/zinc/61/86/87/660618687.db2.gz PDTFCSSMVZMPTQ-XJKSGUPXSA-N 0 1 306.837 3.162 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CCN(Cc3ccc(F)cc3)C2)C1 ZINC001033400338 660620002 /nfs/dbraw/zinc/62/00/02/660620002.db2.gz UODOSFPSWDHWQS-KRWDZBQOSA-N 0 1 316.420 3.215 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001033571069 660634379 /nfs/dbraw/zinc/63/43/79/660634379.db2.gz LDULRUYLEBXHFQ-GDBMZVCRSA-N 0 1 312.413 3.255 20 30 DGEDMN C[C@H](C(=O)N(C)C1CCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001005233165 660637122 /nfs/dbraw/zinc/63/71/22/660637122.db2.gz UNBBEYCOOHHGOL-KRWDZBQOSA-N 0 1 324.468 3.007 20 30 DGEDMN CN(C(=O)C=C1CCC1)C1CCN(Cc2cccc(C#N)c2)CC1 ZINC001005237096 660640046 /nfs/dbraw/zinc/64/00/46/660640046.db2.gz YKXZMWNVFBJUMM-UHFFFAOYSA-N 0 1 323.440 3.091 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2oc3ccccc3c2C)C1 ZINC001033635643 660642892 /nfs/dbraw/zinc/64/28/92/660642892.db2.gz MFELKEOPDALXMO-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccccc2-c2ccccc2)C1 ZINC001033637807 660643510 /nfs/dbraw/zinc/64/35/10/660643510.db2.gz SEMOCWAGRMADQD-GOSISDBHSA-N 0 1 318.420 3.133 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)C2(c3ccccc3)CCCC2)C1 ZINC001033637995 660643602 /nfs/dbraw/zinc/64/36/02/660643602.db2.gz CBCOVKBWVXFFIE-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CCC(CCCC)CC2)C1 ZINC001033640263 660644689 /nfs/dbraw/zinc/64/46/89/660644689.db2.gz NMXYJWOWWSCZFJ-DAWZGUTISA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2oc3ccccc3c2CC)C1 ZINC001033696857 660651074 /nfs/dbraw/zinc/65/10/74/660651074.db2.gz YYQPQYPPVJOSML-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001033689294 660651315 /nfs/dbraw/zinc/65/13/15/660651315.db2.gz BAIWQFRQNBMROA-QFBILLFUSA-N 0 1 312.457 3.370 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700579 660651917 /nfs/dbraw/zinc/65/19/17/660651917.db2.gz ZQDZCEGQLJLNAW-KRWDZBQOSA-N 0 1 300.446 3.217 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccccc2CC(C)C)C1 ZINC001033700579 660651918 /nfs/dbraw/zinc/65/19/18/660651918.db2.gz ZQDZCEGQLJLNAW-KRWDZBQOSA-N 0 1 300.446 3.217 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(-c3ccccc3)[nH]2)C1 ZINC001033707813 660652690 /nfs/dbraw/zinc/65/26/90/660652690.db2.gz VCAXGUFQMPYSAT-INIZCTEOSA-N 0 1 309.413 3.014 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001033710311 660653798 /nfs/dbraw/zinc/65/37/98/660653798.db2.gz DUAGSJUVIYKHQE-OAHLLOKOSA-N 0 1 308.372 3.131 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cscc2C(F)(F)F)C1 ZINC001033723116 660653899 /nfs/dbraw/zinc/65/38/99/660653899.db2.gz OBHHJOIKNKJXOC-SNVBAGLBSA-N 0 1 318.364 3.099 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001033712300 660654351 /nfs/dbraw/zinc/65/43/51/660654351.db2.gz XBMJHWWSGFYNEH-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(F)cc3ccoc32)C1 ZINC001033877581 660673195 /nfs/dbraw/zinc/67/31/95/660673195.db2.gz KFZOWJRBSSBDBX-HNNXBMFYSA-N 0 1 316.376 3.294 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc3c2CCCCC3)C1 ZINC001033870546 660673804 /nfs/dbraw/zinc/67/38/04/660673804.db2.gz UTBOPNJOMMVQEJ-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc(C(C)(C)C)on2)C1 ZINC001033901741 660675919 /nfs/dbraw/zinc/67/59/19/660675919.db2.gz ZRSDYBYHMAJSJU-AWEZNQCLSA-N 0 1 319.449 3.085 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cncc3sccc32)C1 ZINC001033935463 660682650 /nfs/dbraw/zinc/68/26/50/660682650.db2.gz GBHJAMFGEYXYDY-CYBMUJFWSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc[nH]c2C2CCC2)C1 ZINC001033960116 660683736 /nfs/dbraw/zinc/68/37/36/660683736.db2.gz YQGNBNHMEUPGGX-HNNXBMFYSA-N 0 1 301.434 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001033943109 660685007 /nfs/dbraw/zinc/68/50/07/660685007.db2.gz JGIHVTYPZBCNJA-HNNXBMFYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C)cc2Cl)C1 ZINC001033968344 660686775 /nfs/dbraw/zinc/68/67/75/660686775.db2.gz ORZRLZWZRAEFTM-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001033986407 660689262 /nfs/dbraw/zinc/68/92/62/660689262.db2.gz QKYVOZMAHZSYRI-YZGWKJHDSA-N 0 1 324.468 3.045 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cc([C@H](C)CC)no2)C1 ZINC001033980466 660689880 /nfs/dbraw/zinc/68/98/80/660689880.db2.gz TVNFJKFJTKVLEO-CABCVRRESA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3scnc3c2)C1 ZINC001034009258 660692099 /nfs/dbraw/zinc/69/20/99/660692099.db2.gz ILKZAAOVOYHXII-CQSZACIVSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001034014477 660694153 /nfs/dbraw/zinc/69/41/53/660694153.db2.gz BYLFRACYSBGIMR-KRWDZBQOSA-N 0 1 323.440 3.404 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@H](CC)CC2)C1 ZINC001034021303 660695082 /nfs/dbraw/zinc/69/50/82/660695082.db2.gz WKIWZCXVRGPAFU-BZSNNMDCSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034022278 660698476 /nfs/dbraw/zinc/69/84/76/660698476.db2.gz GOZQXTBDZDDCJY-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc3ccccc3c2)C1 ZINC001034024119 660699057 /nfs/dbraw/zinc/69/90/57/660699057.db2.gz ZQNLFCZQYCKEBV-FQEVSTJZSA-N 0 1 320.436 3.400 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)C2CC(c3ccccc3)C2)C1 ZINC001034051004 660702400 /nfs/dbraw/zinc/70/24/00/660702400.db2.gz KYJXIQBTELMQNT-ACBHZAAOSA-N 0 1 312.457 3.289 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCC(C)(C)C2)C1 ZINC001034050315 660702571 /nfs/dbraw/zinc/70/25/71/660702571.db2.gz JHFRONQOLLUVFL-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CC(C)(C)C)C2)CC1 ZINC001040606483 660718423 /nfs/dbraw/zinc/71/84/23/660718423.db2.gz IZEZNQWCUSEGED-UHFFFAOYSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001034274520 660731219 /nfs/dbraw/zinc/73/12/19/660731219.db2.gz NQEJWMMVNLMFPS-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCCCN(C[C@@H](F)CC)C1 ZINC001034248245 660727613 /nfs/dbraw/zinc/72/76/13/660727613.db2.gz XLAOWMLSAAFVDD-HOTGVXAUSA-N 0 1 321.440 3.007 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cc3ccncc3s2)C1 ZINC001034249087 660727793 /nfs/dbraw/zinc/72/77/93/660727793.db2.gz ICHUPNDDVZMAJW-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3ccncc3s2)C1 ZINC001034249082 660727896 /nfs/dbraw/zinc/72/78/96/660727896.db2.gz ICHUPNDDVZMAJW-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H](NC(=O)c2cccc(F)c2F)C1 ZINC001034369380 660741876 /nfs/dbraw/zinc/74/18/76/660741876.db2.gz QMKFRWMBHFOYQX-CYBMUJFWSA-N 0 1 308.372 3.125 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H]2CCCCN(C/C=C/Cl)C2)CC1 ZINC001034381136 660745484 /nfs/dbraw/zinc/74/54/84/660745484.db2.gz NCHUGGCVJFBVKE-IGKXFLDPSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2CCCCN(C[C@@H](F)CC)C2)CC1 ZINC001034381520 660745574 /nfs/dbraw/zinc/74/55/74/660745574.db2.gz NOVJTNGTVVGMNI-IRXDYDNUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCCN(CCC(F)(F)F)C2)C1 ZINC001034389589 660746590 /nfs/dbraw/zinc/74/65/90/660746590.db2.gz SBFYOUWRKSZAKU-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001034508042 660763490 /nfs/dbraw/zinc/76/34/90/660763490.db2.gz NCBAXYFYHPNCEK-ABHNRTSZSA-N 0 1 304.478 3.360 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC001034439251 660754574 /nfs/dbraw/zinc/75/45/74/660754574.db2.gz SQBWGXVNSHMCBF-ZWOKBUDYSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(CC)c(CC)o2)C1 ZINC001034490918 660758980 /nfs/dbraw/zinc/75/89/80/660758980.db2.gz NTSXQALIELBBPD-HNNXBMFYSA-N 0 1 304.434 3.175 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001034483128 660761302 /nfs/dbraw/zinc/76/13/02/660761302.db2.gz ACGYBCWNEZJJES-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001034522705 660766878 /nfs/dbraw/zinc/76/68/78/660766878.db2.gz WCPLQVXAKFIJGF-KRWDZBQOSA-N 0 1 323.440 3.002 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC001034541025 660770267 /nfs/dbraw/zinc/77/02/67/660770267.db2.gz MTULRIYPRIHRSO-QGZVFWFLSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CCC(C)=C(C)C2)C1 ZINC001034543856 660770927 /nfs/dbraw/zinc/77/09/27/660770927.db2.gz BHYXDNDIJGSYGW-MJGOQNOKSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccccc2CC(C)C)C1 ZINC001034545785 660772003 /nfs/dbraw/zinc/77/20/03/660772003.db2.gz XPVDKSBZKZIIRG-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC001034509019 660764028 /nfs/dbraw/zinc/76/40/28/660764028.db2.gz LYJZEVUPYFNRAZ-QGZVFWFLSA-N 0 1 310.441 3.172 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)CC1 ZINC001005686778 660789473 /nfs/dbraw/zinc/78/94/73/660789473.db2.gz YJXSTVXQWNVAFS-MSOLQXFVSA-N 0 1 316.489 3.171 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc3ccccc3cc2F)CC1 ZINC001005700597 660790642 /nfs/dbraw/zinc/79/06/42/660790642.db2.gz VMKDRYYGHUFTFZ-UHFFFAOYSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H]1CC1(C)C)CC2 ZINC001035178391 660823181 /nfs/dbraw/zinc/82/31/81/660823181.db2.gz QQSFRSQBXCPZID-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC3(CN(C[C@H](F)CC)C3)CC2)C1 ZINC001035788823 660852428 /nfs/dbraw/zinc/85/24/28/660852428.db2.gz KZYDVIVYXALJHC-OAHLLOKOSA-N 0 1 308.441 3.015 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC=CCCC1)CC2 ZINC001035729396 660847547 /nfs/dbraw/zinc/84/75/47/660847547.db2.gz FEIYIIKUIMIVQF-SFHVURJKSA-N 0 1 314.473 3.071 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc3ccccc3s1)CC2 ZINC001035742466 660848893 /nfs/dbraw/zinc/84/88/93/660848893.db2.gz FRJIXECBEVHGBA-UHFFFAOYSA-N 0 1 324.449 3.073 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)CC1CCCC1)CC2 ZINC001035812823 660855393 /nfs/dbraw/zinc/85/53/93/660855393.db2.gz RRUTYCRNAOAYSI-MRXNPFEDSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(CC)c(C)s1)CC2 ZINC001035850221 660856213 /nfs/dbraw/zinc/85/62/13/660856213.db2.gz SCQMZGRKTAVEIZ-UHFFFAOYSA-N 0 1 318.486 3.343 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C(CC)(CC)CC)CC2 ZINC001035829690 660856709 /nfs/dbraw/zinc/85/67/09/660856709.db2.gz UIVCEIBOLIXMGF-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCSCC[NH2+]Cc1c([O-])cccc1Br ZINC000706872059 660875746 /nfs/dbraw/zinc/87/57/46/660875746.db2.gz FKJHERAOQJBXPQ-UHFFFAOYSA-N 0 1 302.237 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3sccc3C)CC[C@@H]21 ZINC001036619776 660926488 /nfs/dbraw/zinc/92/64/88/660926488.db2.gz VUHGBBCYWABYKP-KGLIPLIRSA-N 0 1 324.877 3.345 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc(F)c3ccccc23)CC1 ZINC001006027470 660957297 /nfs/dbraw/zinc/95/72/97/660957297.db2.gz CBJUZTFHGLTYDM-UHFFFAOYSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3CC(C)(C)C3)CC[C@H]21 ZINC001036705021 660957831 /nfs/dbraw/zinc/95/78/31/660957831.db2.gz BYLQHYFIFCXYJO-UKRRQHHQSA-N 0 1 310.869 3.098 20 30 DGEDMN CC1(CNN=Cc2ccc(N3CCOCC3)c(F)c2)CCCC1 ZINC000793081521 661004081 /nfs/dbraw/zinc/00/40/81/661004081.db2.gz MKWOQZZFNKDTNX-UHFFFAOYSA-N 0 1 319.424 3.166 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCC(=O)c3ccccc32)nc(C)n1 ZINC000793122873 661006138 /nfs/dbraw/zinc/00/61/38/661006138.db2.gz FLIRPCZAYDPUNY-HOTGVXAUSA-N 0 1 319.364 3.030 20 30 DGEDMN COc1ncc([C@H](C)NCc2ccc(C#N)cc2)cc1Cl ZINC000793525441 661041612 /nfs/dbraw/zinc/04/16/12/661041612.db2.gz OEWJTAADHCZIDQ-NSHDSACASA-N 0 1 301.777 3.466 20 30 DGEDMN C=CC[C@H](NCc1cnc2ccc(C#N)cn12)c1ccncc1 ZINC000793583729 661047982 /nfs/dbraw/zinc/04/79/82/661047982.db2.gz GQJFDRRFYMWLON-KRWDZBQOSA-N 0 1 303.369 3.008 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000793876483 661061146 /nfs/dbraw/zinc/06/11/46/661061146.db2.gz WZEHMXVGMPQBOV-IAGOWNOFSA-N 0 1 312.498 3.041 20 30 DGEDMN CCCCCCCCCC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000793876486 661061160 /nfs/dbraw/zinc/06/11/60/661061160.db2.gz WZEHMXVGMPQBOV-SJORKVTESA-N 0 1 312.498 3.041 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C3CC3)O[C@@H](C)C2)c1O ZINC000794648278 661095588 /nfs/dbraw/zinc/09/55/88/661095588.db2.gz YTFOADRPEWWAPL-UGSOOPFHSA-N 0 1 317.429 3.129 20 30 DGEDMN CSCCON=C(C)c1cc(Br)c(F)cc1O ZINC000794576905 661092356 /nfs/dbraw/zinc/09/23/56/661092356.db2.gz KASYNIBPQSGEAV-UHFFFAOYSA-N 0 1 322.199 3.397 20 30 DGEDMN C#C[C@@H](NC(=O)c1cccc2nc(C)[nH]c21)c1ccc(F)cc1 ZINC000794891065 661112139 /nfs/dbraw/zinc/11/21/39/661112139.db2.gz IGXFBWSASPOWJP-OAHLLOKOSA-N 0 1 307.328 3.115 20 30 DGEDMN CC(N=Nc1nc2ccccc2n1C)c1ccc2[nH]c(=O)oc2c1 ZINC000794894411 661112162 /nfs/dbraw/zinc/11/21/62/661112162.db2.gz DVECBXWRLKUDJD-UHFFFAOYSA-N 0 1 321.340 3.256 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1ccc2[nH]c(=O)oc2c1 ZINC000794894411 661112163 /nfs/dbraw/zinc/11/21/63/661112163.db2.gz DVECBXWRLKUDJD-UHFFFAOYSA-N 0 1 321.340 3.256 20 30 DGEDMN O=[N+]([O-])c1cccc(-c2ccc(CN=Nc3cncnc3)o2)c1 ZINC000794979052 661118650 /nfs/dbraw/zinc/11/86/50/661118650.db2.gz VKOQCTOYXFFGDD-UHFFFAOYSA-N 0 1 309.285 3.091 20 30 DGEDMN Cc1nn(-c2ccccc2)c(C)c1C=NNc1cccc(F)n1 ZINC000795006737 661120957 /nfs/dbraw/zinc/12/09/57/661120957.db2.gz SCQZIQFGJBFXOQ-UHFFFAOYSA-N 0 1 309.348 3.469 20 30 DGEDMN CC(N=Nc1cccc(F)n1)c1ccc(N2CCCC2)cc1O ZINC000795006362 661120963 /nfs/dbraw/zinc/12/09/63/661120963.db2.gz NQFIJERZMRYJIU-UHFFFAOYSA-N 0 1 314.364 3.363 20 30 DGEDMN COc1c(Br)cccc1C=NNc1cccc(F)n1 ZINC000795008888 661121341 /nfs/dbraw/zinc/12/13/41/661121341.db2.gz RKCJCGGTDKZPRI-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CC(C)c1n[nH]c(COC(=O)c2ccc(C(C)(C)C#N)cc2)n1 ZINC000795575711 661161172 /nfs/dbraw/zinc/16/11/72/661161172.db2.gz OMXMLHGMLIPHAT-UHFFFAOYSA-N 0 1 312.373 3.086 20 30 DGEDMN CC(C)c1nc(COC(=O)c2ccc(C(C)(C)C#N)cc2)n[nH]1 ZINC000795575711 661161174 /nfs/dbraw/zinc/16/11/74/661161174.db2.gz OMXMLHGMLIPHAT-UHFFFAOYSA-N 0 1 312.373 3.086 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC000969125025 655526147 /nfs/dbraw/zinc/52/61/47/655526147.db2.gz XVFKGOPWSXQHHM-BZSNNMDCSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c(F)cccc1Cl ZINC000969127220 655526293 /nfs/dbraw/zinc/52/62/93/655526293.db2.gz SKHHHKSNKGIMHM-ZDUSSCGKSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1cc2[nH]ccc2s1 ZINC000969127330 655526447 /nfs/dbraw/zinc/52/64/47/655526447.db2.gz UURLILXASXSOCZ-CYBMUJFWSA-N 0 1 317.458 3.390 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1cc(C)ccc1C ZINC000969131046 655526568 /nfs/dbraw/zinc/52/65/68/655526568.db2.gz WHSWGVCKJAYTIT-GOSISDBHSA-N 0 1 312.457 3.301 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc2cc(C)ccc2o1 ZINC000969155612 655528882 /nfs/dbraw/zinc/52/88/82/655528882.db2.gz BZDMCJJLXJXZOB-QGZVFWFLSA-N 0 1 324.424 3.349 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cccc(C2CC2)c1 ZINC000969160541 655529822 /nfs/dbraw/zinc/52/98/22/655529822.db2.gz GPQQWENEUNBYEJ-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@H]1CNC(=O)c1cnc(CC)s1 ZINC000969164738 655529918 /nfs/dbraw/zinc/52/99/18/655529918.db2.gz NINOOZZRMZUYFX-AWEZNQCLSA-N 0 1 321.490 3.256 20 30 DGEDMN C=C(C)CN1CCCCC[C@H]1CNC(=O)c1cnc(CC)s1 ZINC000969164738 655529919 /nfs/dbraw/zinc/52/99/19/655529919.db2.gz NINOOZZRMZUYFX-AWEZNQCLSA-N 0 1 321.490 3.256 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC000969570010 655551015 /nfs/dbraw/zinc/55/10/15/655551015.db2.gz GTMWNDJZIOVMIX-CQSZACIVSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC000969584574 655551493 /nfs/dbraw/zinc/55/14/93/655551493.db2.gz JULXNDKRIWWPER-GXTWGEPZSA-N 0 1 322.399 3.429 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC000969802875 655558186 /nfs/dbraw/zinc/55/81/86/655558186.db2.gz FLELBRUVTKZSTN-AWEZNQCLSA-N 0 1 324.399 3.052 20 30 DGEDMN C=CCOCCCOC(=O)c1[nH]c(-c2cccc(C)c2)nc1C ZINC000795577812 661161422 /nfs/dbraw/zinc/16/14/22/661161422.db2.gz NVBXKNVWAFVFBD-UHFFFAOYSA-N 0 1 314.385 3.443 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)C2=CCCC2)CCN1Cc1ccccc1C#N ZINC000947191633 655561412 /nfs/dbraw/zinc/56/14/12/655561412.db2.gz VRACZYNFBAUWQM-DNVCBOLYSA-N 0 1 323.440 3.138 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NCc3ccccc3Cl)C2)C1 ZINC000969884259 655562156 /nfs/dbraw/zinc/56/21/56/655562156.db2.gz IAYGQTMCTPGZEI-OAHLLOKOSA-N 0 1 318.848 3.387 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC000969890248 655562588 /nfs/dbraw/zinc/56/25/88/655562588.db2.gz DNBHTAAUSRWPJR-LBPRGKRZSA-N 0 1 321.490 3.067 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc(F)cc3ccoc32)C1 ZINC000970006089 655567838 /nfs/dbraw/zinc/56/78/38/655567838.db2.gz YVIQCALTHXZXTK-LBPRGKRZSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC000970106289 655576166 /nfs/dbraw/zinc/57/61/66/655576166.db2.gz DUXUTKDXUIFTPD-IAGOWNOFSA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CC[C@H](C)C2(C)C)C1 ZINC000970178270 655587055 /nfs/dbraw/zinc/58/70/55/655587055.db2.gz OQYKLFXVFYAZJJ-QEORTHHSSA-N 0 1 312.885 3.392 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC000970218673 655589417 /nfs/dbraw/zinc/58/94/17/655589417.db2.gz ZIMUUXUONAMALN-AWEZNQCLSA-N 0 1 312.413 3.286 20 30 DGEDMN CCN(C(C)=O)c1nc(/C=C\C(=O)c2ccccc2O)cs1 ZINC000255096330 655597640 /nfs/dbraw/zinc/59/76/40/655597640.db2.gz YSYJSABHSPDVHE-HJWRWDBZSA-N 0 1 316.382 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CCC(C3CC3)CC2)C1 ZINC000970605087 655608798 /nfs/dbraw/zinc/60/87/98/655608798.db2.gz DBDZCEDGHFOJLJ-UYSNPLJNSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)c2ccccc2C)C1 ZINC000970775967 655619317 /nfs/dbraw/zinc/61/93/17/655619317.db2.gz XDSAKLHDVWCVFB-LSDHHAIUSA-N 0 1 306.837 3.042 20 30 DGEDMN CC(C)C(=O)N1CCC[C@@H]2CN(CC#Cc3ccccc3)CC[C@@H]21 ZINC001021528023 655625428 /nfs/dbraw/zinc/62/54/28/655625428.db2.gz VIIRHPBXKSYLRM-UXHICEINSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(C)C)s2)C1 ZINC000970821712 655626332 /nfs/dbraw/zinc/62/63/32/655626332.db2.gz CSWUBEVXNQNGOC-GFCCVEGCSA-N 0 1 312.866 3.428 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(CCC)c(C)s2)C1 ZINC000970852337 655629880 /nfs/dbraw/zinc/62/98/80/655629880.db2.gz XLSGCZGLEQAUHI-LBPRGKRZSA-N 0 1 306.475 3.245 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3c(s2)CC[C@H](C)C3)C1 ZINC000970861587 655630987 /nfs/dbraw/zinc/63/09/87/655630987.db2.gz SJTZFSKVLSRUSK-QWHCGFSZSA-N 0 1 318.486 3.109 20 30 DGEDMN C[C@H]1CC[C@@H](c2ccccc2)N(Cn2cccc(C#N)c2=O)C1 ZINC000795696371 661168336 /nfs/dbraw/zinc/16/83/36/661168336.db2.gz BIXGBZYBAPNAPO-YJBOKZPZSA-N 0 1 307.397 3.151 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC000970994325 655648142 /nfs/dbraw/zinc/64/81/42/655648142.db2.gz XAVULGKFSBBZPA-AWEZNQCLSA-N 0 1 300.446 3.048 20 30 DGEDMN CCO[C@H]1CCN(CC#Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000932974260 655665642 /nfs/dbraw/zinc/66/56/42/655665642.db2.gz RNILNTSEAIWCSG-HNNXBMFYSA-N 0 1 313.319 3.048 20 30 DGEDMN N#CC(C(=O)CCCc1ccccc1)C(=O)NC1CCCCC1 ZINC000050683102 655673749 /nfs/dbraw/zinc/67/37/49/655673749.db2.gz TZROSZGEFJCONT-KRWDZBQOSA-N 0 1 312.413 3.167 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000933364905 655705387 /nfs/dbraw/zinc/70/53/87/655705387.db2.gz NIIDLAKYYCIJML-AWEZNQCLSA-N 0 1 314.776 3.244 20 30 DGEDMN Cn1cc(/C=C\C(=O)c2cc(F)ccc2O)c(C(C)(C)C)n1 ZINC000255369079 655719705 /nfs/dbraw/zinc/71/97/05/655719705.db2.gz KYCDNKMLYUTESA-ALCCZGGFSA-N 0 1 302.349 3.458 20 30 DGEDMN COc1cccc(/C=C\C(=O)c2cc(F)ccc2O)c1OC ZINC000255390593 655726776 /nfs/dbraw/zinc/72/67/76/655726776.db2.gz VFLNVEMSAAFZIM-VURMDHGXSA-N 0 1 302.301 3.445 20 30 DGEDMN O=C(/C=C\c1cc(O)ccc1[N+](=O)[O-])c1ccc([N+](=O)[O-])cc1 ZINC000255391362 655727167 /nfs/dbraw/zinc/72/71/67/655727167.db2.gz QXTHMITZXCWTQE-BAQGIRSFSA-N 0 1 314.253 3.105 20 30 DGEDMN COC1(C/N=C\c2cc(Cl)cc(Cl)c2O)CCOCC1 ZINC000255477009 655760352 /nfs/dbraw/zinc/76/03/52/655760352.db2.gz NIVFHBCYFUCREI-IUXPMGMMSA-N 0 1 318.200 3.314 20 30 DGEDMN Fc1ccc(C#CCN2CC[C@H](Cc3nccs3)C2)cc1 ZINC000934332846 655768288 /nfs/dbraw/zinc/76/82/88/655768288.db2.gz MCYQWOLDBURTKW-OAHLLOKOSA-N 0 1 300.402 3.198 20 30 DGEDMN O=C(/C=C\c1ccccc1)c1ccc(O)c(CN2CCOCC2)c1 ZINC000255505930 655775295 /nfs/dbraw/zinc/77/52/95/655775295.db2.gz IWLWHHXHUBDIBI-VURMDHGXSA-N 0 1 323.392 3.121 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H](N(C)Cc3ccsc3)C2)C1 ZINC000972286185 655785747 /nfs/dbraw/zinc/78/57/47/655785747.db2.gz YCWGFHHJILIIDV-HNNXBMFYSA-N 0 1 304.459 3.137 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2cc3ccccc3cc2F)C1 ZINC001023481477 655836840 /nfs/dbraw/zinc/83/68/40/655836840.db2.gz GIGJKJMVZITWHT-OAHLLOKOSA-N 0 1 324.399 3.054 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2csc(-c3ccoc3)n2)c1 ZINC000176820519 655847777 /nfs/dbraw/zinc/84/77/77/655847777.db2.gz FVTKZVVRVYVVHJ-UHFFFAOYSA-N 0 1 311.322 3.233 20 30 DGEDMN CCOc1ccc2cc(C(=O)Nc3cc(C#N)ccc3O)[nH]c2c1 ZINC000176823321 655849461 /nfs/dbraw/zinc/84/94/61/655849461.db2.gz AFMLWNHBWAMSGW-UHFFFAOYSA-N 0 1 321.336 3.396 20 30 DGEDMN Cc1nc(C(F)(F)F)ccc1C(=O)Nc1cc(C#N)ccc1O ZINC000176830666 655849827 /nfs/dbraw/zinc/84/98/27/655849827.db2.gz CUMTTWKAAMKTBQ-UHFFFAOYSA-N 0 1 321.258 3.238 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@H]2c2c(F)cccc2F)c1 ZINC000176826732 655849959 /nfs/dbraw/zinc/84/99/59/655849959.db2.gz IJNBSLXUNOWQMZ-MNOVXSKESA-N 0 1 314.291 3.284 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2cccc3ccccc32)C1 ZINC001023730903 655858876 /nfs/dbraw/zinc/85/88/76/655858876.db2.gz KOILFBGMTIRISV-KRWDZBQOSA-N 0 1 320.436 3.305 20 30 DGEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)C(C)(CC)CC)C2)C1 ZINC000972683530 655865480 /nfs/dbraw/zinc/86/54/80/655865480.db2.gz NHALQIGYGJEJQY-LJQANCHMSA-N 0 1 322.493 3.082 20 30 DGEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC(C)(C)C2CCCCC2)C1 ZINC000972728885 655874450 /nfs/dbraw/zinc/87/44/50/655874450.db2.gz LLKNJNZMDVWMAB-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN CN(CCCOc1ccc(C#N)cc1)Cc1cc(Cl)cn1C ZINC000177013296 655886720 /nfs/dbraw/zinc/88/67/20/655886720.db2.gz BJRSRAKZDRWBTL-UHFFFAOYSA-N 0 1 317.820 3.451 20 30 DGEDMN CC(=Cc1ccccc1Cl)C(=O)[C@H](C#N)C(=O)N1CCCC1 ZINC000179379690 655891214 /nfs/dbraw/zinc/89/12/14/655891214.db2.gz ROBJGCJMUXOGAH-WONIAPNHSA-N 0 1 316.788 3.075 20 30 DGEDMN N#Cc1cccc(C[N@@H+]2CCCC[C@H]2CNC(=O)C2=CCCC2)c1 ZINC001024390499 655903709 /nfs/dbraw/zinc/90/37/09/655903709.db2.gz INGWYMBMHGUXAM-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@H]2CNC(=O)C2=CCCC2)c1 ZINC001024390499 655903710 /nfs/dbraw/zinc/90/37/10/655903710.db2.gz INGWYMBMHGUXAM-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1nc(C(C)C)oc1C ZINC001024437828 655905952 /nfs/dbraw/zinc/90/59/52/655905952.db2.gz WVGYQPBHHFBIBW-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC001024462131 655907661 /nfs/dbraw/zinc/90/76/61/655907661.db2.gz NVLAVBUWBHQFCD-OAHLLOKOSA-N 0 1 318.486 3.308 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cc2c(o1)CCCC2 ZINC001024478026 655909107 /nfs/dbraw/zinc/90/91/07/655909107.db2.gz QBTMWNNJWGXYOG-MRXNPFEDSA-N 0 1 316.445 3.319 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1nccc2ccsc21 ZINC001024492597 655910359 /nfs/dbraw/zinc/91/03/59/655910359.db2.gz NODWBVOQXCUWEN-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001024492213 655910436 /nfs/dbraw/zinc/91/04/36/655910436.db2.gz BEYPWIIZKBATMM-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc2c1CCCCC2 ZINC001024492213 655910437 /nfs/dbraw/zinc/91/04/37/655910437.db2.gz BEYPWIIZKBATMM-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC=CCCC1 ZINC001024505456 655911505 /nfs/dbraw/zinc/91/15/05/655911505.db2.gz DGHVGTNIYYNMAS-QZTJIDSGSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC=CCCC1 ZINC001024505458 655911599 /nfs/dbraw/zinc/91/15/99/655911599.db2.gz DGHVGTNIYYNMAS-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C)c2ccccc2n1 ZINC001024513785 655912096 /nfs/dbraw/zinc/91/20/96/655912096.db2.gz SMVHUMJIOVJAHU-INIZCTEOSA-N 0 1 323.440 3.314 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001024542099 655914999 /nfs/dbraw/zinc/91/49/99/655914999.db2.gz TVXFBJJYOFYHPB-INIZCTEOSA-N 0 1 323.440 3.084 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccccc1CCC ZINC001024545885 655915640 /nfs/dbraw/zinc/91/56/40/655915640.db2.gz PJEGZMFWWTVVSJ-SFHVURJKSA-N 0 1 312.457 3.247 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1CCCCCCC1 ZINC001024546675 655915889 /nfs/dbraw/zinc/91/58/89/655915889.db2.gz BKCNQRIKEDCFFB-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc2ccc(C)cc2[nH]1 ZINC001024580661 655920078 /nfs/dbraw/zinc/92/00/78/655920078.db2.gz XQOQJHKBTIUGHU-INIZCTEOSA-N 0 1 311.429 3.247 20 30 DGEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc2ncccc21 ZINC001024581443 655920333 /nfs/dbraw/zinc/92/03/33/655920333.db2.gz JRRBLPDBPILZRN-OAHLLOKOSA-N 0 1 309.413 3.005 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCCCN2C[C@H](F)CC)cc1 ZINC001024587540 655921204 /nfs/dbraw/zinc/92/12/04/655921204.db2.gz LCULIMXAXOXJSS-QZTJIDSGSA-N 0 1 316.420 3.000 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(F)c(Cl)c1 ZINC001024626837 655925251 /nfs/dbraw/zinc/92/52/51/655925251.db2.gz HJPKQAXRLFOWKC-ZDUSSCGKSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c(C)cccc1Cl ZINC001024637660 655926944 /nfs/dbraw/zinc/92/69/44/655926944.db2.gz MSQUUZYVELYCJO-AWEZNQCLSA-N 0 1 306.837 3.419 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)c(F)c1F ZINC001024671827 655928661 /nfs/dbraw/zinc/92/86/61/655928661.db2.gz MTQPGHWCOQDAPU-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001024676261 655929049 /nfs/dbraw/zinc/92/90/49/655929049.db2.gz SZPYUKABJKOHGR-MRXNPFEDSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001024674873 655929938 /nfs/dbraw/zinc/92/99/38/655929938.db2.gz ZMNBWGFZNSDDTI-IKGGRYGDSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)c(OC)c1 ZINC001024690841 655931165 /nfs/dbraw/zinc/93/11/65/655931165.db2.gz LQWQTXQGTUPQND-CQSZACIVSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(OCC)c(C)c1 ZINC001024689927 655931240 /nfs/dbraw/zinc/93/12/40/655931240.db2.gz ONFPFBSDLVVOIF-QGZVFWFLSA-N 0 1 316.445 3.164 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(C(F)F)cc1 ZINC001024710402 655932633 /nfs/dbraw/zinc/93/26/33/655932633.db2.gz ADUCJMJILVCEAV-INIZCTEOSA-N 0 1 320.383 3.232 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2cccnc2c1 ZINC001024716254 655932981 /nfs/dbraw/zinc/93/29/81/655932981.db2.gz RBPRHWKWUPZNKL-KRWDZBQOSA-N 0 1 309.413 3.005 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC001024741976 655934545 /nfs/dbraw/zinc/93/45/45/655934545.db2.gz DWUYEZALPZSOBR-IBGZPJMESA-N 0 1 312.457 3.418 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2cnccc2c1 ZINC001024745111 655934838 /nfs/dbraw/zinc/93/48/38/655934838.db2.gz OGNMFRMLEAJGDF-SFHVURJKSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ncoc1C(C)(C)C ZINC001024749353 655935148 /nfs/dbraw/zinc/93/51/48/655935148.db2.gz UMPLNXCUBCBXLR-CQSZACIVSA-N 0 1 319.449 3.133 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2ccncc2c1 ZINC001024749443 655935383 /nfs/dbraw/zinc/93/53/83/655935383.db2.gz WVOVVBBGPNBZFO-GOSISDBHSA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc(C)c(CC)o1 ZINC001024754884 655935608 /nfs/dbraw/zinc/93/56/08/655935608.db2.gz ZNXLLBQZJKYFSP-HNNXBMFYSA-N 0 1 304.434 3.311 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001024767802 655936942 /nfs/dbraw/zinc/93/69/42/655936942.db2.gz JGAQVAAIGKNXBK-KZNAEPCWSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc2ccccc2c1 ZINC001024775883 655938125 /nfs/dbraw/zinc/93/81/25/655938125.db2.gz LBPLEMIUNWVOQL-IBGZPJMESA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC001024790531 655939278 /nfs/dbraw/zinc/93/92/78/655939278.db2.gz LETRWIPFKTZVSI-AWEZNQCLSA-N 0 1 304.459 3.396 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC001024791280 655939973 /nfs/dbraw/zinc/93/99/73/655939973.db2.gz XOILHUXKHANALE-OAHLLOKOSA-N 0 1 316.470 3.233 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001024825139 655942941 /nfs/dbraw/zinc/94/29/41/655942941.db2.gz TXVNJSYPNAMMEI-KRWDZBQOSA-N 0 1 323.440 3.452 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1cnc(C)o1 ZINC001025039318 655958306 /nfs/dbraw/zinc/95/83/06/655958306.db2.gz LUXJHYPFBJZKMD-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1occc1CC ZINC001017899446 655971189 /nfs/dbraw/zinc/97/11/89/655971189.db2.gz AZMJGOIOUJZKHV-IYBDPMFKSA-N 0 1 302.418 3.097 20 30 DGEDMN C#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)C(F)=C1CCCC1 ZINC001025220799 655980568 /nfs/dbraw/zinc/98/05/68/655980568.db2.gz JGJSMAAKFNMBCR-DLBZAZTESA-N 0 1 318.436 3.263 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ccsc1C ZINC001025228242 655981839 /nfs/dbraw/zinc/98/18/39/655981839.db2.gz WUDKDWQKIBIGQV-IRXDYDNUSA-N 0 1 316.470 3.149 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCC[C@H]2[C@@H]2CCCN2CCF)C1 ZINC001025268486 655986478 /nfs/dbraw/zinc/98/64/78/655986478.db2.gz BPOUHKVRLQKHNB-HOTGVXAUSA-N 0 1 308.441 3.158 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)c2cccc(F)c2)C1 ZINC001025315061 655992472 /nfs/dbraw/zinc/99/24/72/655992472.db2.gz YTYJMQYHZRIZEJ-UKRRQHHQSA-N 0 1 324.827 3.409 20 30 DGEDMN C[C@H](NC(=O)C1CCC1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001025317421 655992760 /nfs/dbraw/zinc/99/27/60/655992760.db2.gz QIYIYDMMXBIQRO-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001019332718 656018649 /nfs/dbraw/zinc/01/86/49/656018649.db2.gz WLYUVZRZONVUQW-MAUKXSAKSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2ccccc2C)C1 ZINC001019805220 656064637 /nfs/dbraw/zinc/06/46/37/656064637.db2.gz XDSAKLHDVWCVFB-CABCVRRESA-N 0 1 306.837 3.042 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)C2=CCCCCC2)C1 ZINC001020102242 656070723 /nfs/dbraw/zinc/07/07/23/656070723.db2.gz LMBTWCGDJDNMTF-WGSAOQKQSA-N 0 1 323.440 3.186 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C[C@H]1C ZINC000947685654 656146300 /nfs/dbraw/zinc/14/63/00/656146300.db2.gz OBWARXOKEWTIFV-UKRRQHHQSA-N 0 1 306.837 3.417 20 30 DGEDMN C#CCN1CCC(C(=O)N(c2ccc(CC)cc2)C(C)C)CC1 ZINC000813260278 661231616 /nfs/dbraw/zinc/23/16/16/661231616.db2.gz VBTILDZXSWBSPI-UHFFFAOYSA-N 0 1 312.457 3.336 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(Cl)c2C)C(C)(C)C1 ZINC000974620727 656217674 /nfs/dbraw/zinc/21/76/74/656217674.db2.gz NIFFUTRDGNVVCB-OAHLLOKOSA-N 0 1 306.837 3.275 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000974756796 656228076 /nfs/dbraw/zinc/22/80/76/656228076.db2.gz JTIFWDRMNLGBKU-NKELODKYSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(Cl)cc2F)C(C)(C)C1 ZINC000974787060 656230260 /nfs/dbraw/zinc/23/02/60/656230260.db2.gz DAOPJSDODWWPHA-HNNXBMFYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2c(F)cccc2F)C(C)(C)C1 ZINC000974832837 656233378 /nfs/dbraw/zinc/23/33/78/656233378.db2.gz YYOBZHWTLGYBOM-WFASDCNBSA-N 0 1 322.399 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3[nH]2)C(C)(C)C1 ZINC000974895129 656238634 /nfs/dbraw/zinc/23/86/34/656238634.db2.gz CNUSBWSGHHNMIE-QGZVFWFLSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccoc2C2CC2)C(C)(C)C1 ZINC000974956519 656245815 /nfs/dbraw/zinc/24/58/15/656245815.db2.gz QBUCYSHNSOMHTN-HNNXBMFYSA-N 0 1 302.418 3.173 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000975003858 656247006 /nfs/dbraw/zinc/24/70/06/656247006.db2.gz GSLMTKGHGHNNEF-HNNXBMFYSA-N 0 1 306.837 3.275 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C(C2CCC2)C2CCC2)C(C)(C)C1 ZINC000974984732 656248109 /nfs/dbraw/zinc/24/81/09/656248109.db2.gz MCRYSDVXWZXDDO-KRWDZBQOSA-N 0 1 316.489 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c(C)cccc2Cl)C(C)(C)C1 ZINC000975010284 656249487 /nfs/dbraw/zinc/24/94/87/656249487.db2.gz IIJTXOJGAGFTEU-OAHLLOKOSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2ccc(C)c(F)c2F)C(C)(C)C1 ZINC000975079352 656254563 /nfs/dbraw/zinc/25/45/63/656254563.db2.gz MVZODPZNWWWAFO-AWEZNQCLSA-N 0 1 322.399 3.290 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C(C)(C)C1 ZINC000975101297 656256314 /nfs/dbraw/zinc/25/63/14/656256314.db2.gz YJPPQYGSRQNDRI-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C2CCC(F)(F)CC2)C(C)(C)C1 ZINC000975099069 656257630 /nfs/dbraw/zinc/25/76/30/656257630.db2.gz ZXXNEZHPMSXRAD-CQSZACIVSA-N 0 1 314.420 3.215 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(C(C)C)oc2C)CC1 ZINC000957028871 656268397 /nfs/dbraw/zinc/26/83/97/656268397.db2.gz IVVNJNPXEKYUDE-UHFFFAOYSA-N 0 1 304.434 3.435 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(C(C)C)nc2C)C(C)(C)C1 ZINC000977284537 656320137 /nfs/dbraw/zinc/32/01/37/656320137.db2.gz LULGFXHZJDCVIH-AWEZNQCLSA-N 0 1 319.449 3.123 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2[nH]ccc2-c2ccccc2)C(C)(C)C1 ZINC000977453825 656331218 /nfs/dbraw/zinc/33/12/18/656331218.db2.gz AAWICFMSNFJWQK-QGZVFWFLSA-N 0 1 323.440 3.308 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(CC)cc2C)C(C)(C)C1 ZINC000977455685 656331643 /nfs/dbraw/zinc/33/16/43/656331643.db2.gz STKZGCFFXPFKMB-HNNXBMFYSA-N 0 1 304.434 3.167 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc3ccccc3n2)C(C)(C)C1 ZINC000977507096 656337215 /nfs/dbraw/zinc/33/72/15/656337215.db2.gz CJMFMTRYVWTHEE-GOSISDBHSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(C)C)cc2)C(C)(C)C1 ZINC000977529569 656339168 /nfs/dbraw/zinc/33/91/68/656339168.db2.gz IDNNZJYXVMGJEG-KRWDZBQOSA-N 0 1 300.446 3.436 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3[nH]ccc3s2)C(C)(C)C1 ZINC000977526250 656339355 /nfs/dbraw/zinc/33/93/55/656339355.db2.gz KPESXSOXAACMHJ-HNNXBMFYSA-N 0 1 317.458 3.246 20 30 DGEDMN C=CC[N@@H+]1C[C@H](NC(=O)C(C)(C)c2ccccc2C)C(C)(C)C1 ZINC000977663467 656353444 /nfs/dbraw/zinc/35/34/44/656353444.db2.gz ABHJQJWECRNXSB-KRWDZBQOSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)c2ccccc2C)C(C)(C)C1 ZINC000977663467 656353445 /nfs/dbraw/zinc/35/34/45/656353445.db2.gz ABHJQJWECRNXSB-KRWDZBQOSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCC(C)(C)C3)[C@@H]2C1 ZINC000979102658 656412367 /nfs/dbraw/zinc/41/23/67/656412367.db2.gz NMKONFMSYSHPIF-YHUYYLMFSA-N 0 1 324.896 3.249 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cccc(C)c2)CC1 ZINC001052177924 656420212 /nfs/dbraw/zinc/42/02/12/656420212.db2.gz XXVUELPQSJQHTM-MRXNPFEDSA-N 0 1 306.837 3.332 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cc(Cl)c[nH]2)CC1 ZINC001052200540 656421689 /nfs/dbraw/zinc/42/16/89/656421689.db2.gz DUYHJSFICBAIKG-GFCCVEGCSA-N 0 1 316.232 3.005 20 30 DGEDMN O=C(C=C1CCC1)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052298214 656426090 /nfs/dbraw/zinc/42/60/90/656426090.db2.gz WVWNATZQUQOFRR-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN N#Cc1ccccc1CN1CCC[C@@H](NC(=O)C=C2CCC2)CC1 ZINC001052297153 656426124 /nfs/dbraw/zinc/42/61/24/656426124.db2.gz POKRWJHJLMMMLY-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2C=CC=CC=C2)CC1 ZINC001052423166 656435155 /nfs/dbraw/zinc/43/51/55/656435155.db2.gz PDFJOKZQEIUELB-INIZCTEOSA-N 0 1 306.837 3.008 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC001052573887 656446212 /nfs/dbraw/zinc/44/62/12/656446212.db2.gz ZSSRHIDREUPIRT-XHSDSOJGSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2(C3CC3)CCC2)CC1 ZINC001052596460 656448071 /nfs/dbraw/zinc/44/80/71/656448071.db2.gz INUHPKRIPLNVBR-OAHLLOKOSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2c(o1)CCCC2 ZINC001039384568 656490959 /nfs/dbraw/zinc/49/09/59/656490959.db2.gz BVISTKIBDWORDD-CVEARBPZSA-N 0 1 314.429 3.023 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCCCCCC1 ZINC001039402952 656493955 /nfs/dbraw/zinc/49/39/55/656493955.db2.gz AGLXDOHBBXGEOJ-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1(C)CCCCC1 ZINC001039404354 656495168 /nfs/dbraw/zinc/49/51/68/656495168.db2.gz VSVDBHPRWIYPMA-MSOLQXFVSA-N 0 1 316.489 3.436 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001039413865 656495686 /nfs/dbraw/zinc/49/56/86/656495686.db2.gz CSIWSDUDWUASLE-SJORKVTESA-N 0 1 323.440 3.341 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1coc(C(F)F)c1 ZINC001039425502 656499434 /nfs/dbraw/zinc/49/94/34/656499434.db2.gz HAWGKRLFXXMAME-KGLIPLIRSA-N 0 1 324.371 3.472 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1cccs1 ZINC001039434682 656501492 /nfs/dbraw/zinc/50/14/92/656501492.db2.gz LKZNHQADJQGZOM-ZNMIVQPWSA-N 0 1 304.459 3.103 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc2[nH]ccc2s1 ZINC001039464211 656508161 /nfs/dbraw/zinc/50/81/61/656508161.db2.gz YMXSOULCWMVJHV-OLZOCXBDSA-N 0 1 315.442 3.094 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)s1 ZINC001039477356 656511618 /nfs/dbraw/zinc/51/16/18/656511618.db2.gz VHAWMQVGSKMVAW-OLZOCXBDSA-N 0 1 308.422 3.142 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C)C(C)(C)C1(C)C ZINC001039478675 656512548 /nfs/dbraw/zinc/51/25/48/656512548.db2.gz VRQUFACMRPHPAU-CABCVRRESA-N 0 1 304.478 3.310 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)C1CC3(CC3)C1)C2 ZINC001048561170 656513072 /nfs/dbraw/zinc/51/30/72/656513072.db2.gz LNIZOEKHGGLPSN-RBVVOMGSSA-N 0 1 322.880 3.288 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC[C@@H](C)F)C1 ZINC001039906330 656541153 /nfs/dbraw/zinc/54/11/53/656541153.db2.gz KZNMQINMKCAXJN-OAGGEKHMSA-N 0 1 308.441 3.156 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3CCC4(CC4)CC3)C[C@@H]2C1 ZINC001048940500 656548030 /nfs/dbraw/zinc/54/80/30/656548030.db2.gz OSLXUUSFVGGGEX-IYBDPMFKSA-N 0 1 322.880 3.100 20 30 DGEDMN CC(C)C(=O)N1CC[C@@]2(C1)CCCN(CC#Cc1ccccc1)C2 ZINC001040079866 656560833 /nfs/dbraw/zinc/56/08/33/656560833.db2.gz JCWCQRNHFXCRAL-NRFANRHFSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1c(C)c(F)ccc1Cl ZINC001027979923 656564692 /nfs/dbraw/zinc/56/46/92/656564692.db2.gz JSKNDYCZRQEGTJ-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC000950051158 656567666 /nfs/dbraw/zinc/56/76/66/656567666.db2.gz GATBSTGTTLAUCV-ZBFHGGJFSA-N 0 1 314.420 3.168 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3ccc(CC)cc3)C[C@@H]2C1 ZINC001049197305 656570845 /nfs/dbraw/zinc/57/08/45/656570845.db2.gz NHGVWDRCNQCSTC-CALCHBBNSA-N 0 1 318.848 3.005 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(C)C(C)(C)C3(C)C)C[C@@H]2C1 ZINC001049251985 656578603 /nfs/dbraw/zinc/57/86/03/656578603.db2.gz VATNXLQMQFHJDY-OKILXGFUSA-N 0 1 324.896 3.201 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccc1C#N ZINC001049290960 656591635 /nfs/dbraw/zinc/59/16/35/656591635.db2.gz HATPSWSHIRYEIH-MOPGFXCFSA-N 0 1 323.440 3.090 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049292915 656592673 /nfs/dbraw/zinc/59/26/73/656592673.db2.gz PSLQVKMCBYZUJP-UXHICEINSA-N 0 1 324.468 3.150 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2cc(Cl)ccc2o1 ZINC001028007812 656594618 /nfs/dbraw/zinc/59/46/18/656594618.db2.gz QMAIEWSAYRYUEX-CQSZACIVSA-N 0 1 318.804 3.466 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C2CCCC2)cc1 ZINC001028030695 656621820 /nfs/dbraw/zinc/62/18/20/656621820.db2.gz HJQCZTUZGGQFAB-LJQANCHMSA-N 0 1 310.441 3.172 20 30 DGEDMN CC[C@@H](C)C(=O)N1CC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041086606 656630470 /nfs/dbraw/zinc/63/04/70/656630470.db2.gz HPJICDFXFBWSPC-NQIIRXRSSA-N 0 1 324.468 3.009 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(CCC)s1 ZINC001049498156 656630585 /nfs/dbraw/zinc/63/05/85/656630585.db2.gz MHOOZPLIINHREJ-JKSUJKDBSA-N 0 1 316.470 3.013 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1c(C)oc2ccccc21 ZINC001049496668 656631030 /nfs/dbraw/zinc/63/10/30/656631030.db2.gz CWFJNSJEIGCXLY-IRXDYDNUSA-N 0 1 322.408 3.053 20 30 DGEDMN CCCc1ccc(CN2CCCN(C(=O)C#CC3CC3)CC2)cc1 ZINC000981125653 656631438 /nfs/dbraw/zinc/63/14/38/656631438.db2.gz IVFZROCXLGJJNG-UHFFFAOYSA-N 0 1 324.468 3.087 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C)CCCCCC1 ZINC001049522142 656635487 /nfs/dbraw/zinc/63/54/87/656635487.db2.gz DHOPUZMKLDFPCZ-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2cscc2s1 ZINC001028034975 656637947 /nfs/dbraw/zinc/63/79/47/656637947.db2.gz UYUOTAKQQTZGES-GFCCVEGCSA-N 0 1 306.456 3.343 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(CCCC)cc1 ZINC001049581606 656644987 /nfs/dbraw/zinc/64/49/87/656644987.db2.gz IXEFKTIKUOLGDG-WOJBJXKFSA-N 0 1 324.468 3.341 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581397 656645295 /nfs/dbraw/zinc/64/52/95/656645295.db2.gz ZSKKIEPWMBOIIM-HZPDHXFCSA-N 0 1 316.470 3.013 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)ccc1C1CC1 ZINC001049612821 656650295 /nfs/dbraw/zinc/65/02/95/656650295.db2.gz CMXPOKVTPZHFTA-UXHICEINSA-N 0 1 322.452 3.185 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001041536829 656651869 /nfs/dbraw/zinc/65/18/69/656651869.db2.gz RQUTZJHYZZSDIJ-AFCDSYGPSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1csc2ccccc12 ZINC001028054365 656652930 /nfs/dbraw/zinc/65/29/30/656652930.db2.gz WMAVAJSTIPPMGO-CQSZACIVSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@@]3(CCN(C/C=C/Cl)C3)C2)CC1 ZINC001041636690 656660440 /nfs/dbraw/zinc/66/04/40/656660440.db2.gz VKGPYORDHNWCGJ-OCHGDQFBSA-N 0 1 322.880 3.410 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C)CCCCC1 ZINC001049689636 656665766 /nfs/dbraw/zinc/66/57/66/656665766.db2.gz AXSQJYKTUCZXGW-IRXDYDNUSA-N 0 1 302.462 3.045 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2ccc(C)s2)CC1 ZINC000957310837 656668551 /nfs/dbraw/zinc/66/85/51/656668551.db2.gz ABBIUTAJESFUKH-HNNXBMFYSA-N 0 1 306.475 3.270 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@@H]3[C@H]2CCN3C[C@@H](F)CC)C1 ZINC001049702438 656670252 /nfs/dbraw/zinc/67/02/52/656670252.db2.gz LKSVKGOUGGVJPU-ARFHVFGLSA-N 0 1 308.441 3.156 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccsc1Cl ZINC001049761606 656681840 /nfs/dbraw/zinc/68/18/40/656681840.db2.gz KMMSILCIPKEQJK-ZIAGYGMSSA-N 0 1 322.861 3.104 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001049763161 656682872 /nfs/dbraw/zinc/68/28/72/656682872.db2.gz UQUCDWHNODQGQT-BOSXTWCSSA-N 0 1 316.489 3.147 20 30 DGEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001049813084 656690810 /nfs/dbraw/zinc/69/08/10/656690810.db2.gz YWQYJWJYNAUGEV-VJANTYMQSA-N 0 1 316.489 3.147 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001049813081 656690960 /nfs/dbraw/zinc/69/09/60/656690960.db2.gz YWQYJWJYNAUGEV-NCXUSEDFSA-N 0 1 316.489 3.147 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc2ccsc21 ZINC001049824277 656692174 /nfs/dbraw/zinc/69/21/74/656692174.db2.gz GBFGRBLEHSRKQM-IAGOWNOFSA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(CC)c(CC)o1 ZINC001049846654 656697081 /nfs/dbraw/zinc/69/70/81/656697081.db2.gz LBPJTLUNVCVRHZ-HOTGVXAUSA-N 0 1 316.445 3.269 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc2ccccc2c1 ZINC001049860756 656703253 /nfs/dbraw/zinc/70/32/53/656703253.db2.gz CSLUNCAOBHNZON-UXHICEINSA-N 0 1 318.420 3.152 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C2CCCCC2)CC1 ZINC001049868752 656705205 /nfs/dbraw/zinc/70/52/05/656705205.db2.gz XEOMYVPSZDTTGR-MSOLQXFVSA-N 0 1 314.473 3.045 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2ccccc2o1 ZINC001049873839 656708170 /nfs/dbraw/zinc/70/81/70/656708170.db2.gz ZUCHPRIZZGDECQ-HZPDHXFCSA-N 0 1 310.397 3.298 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H](C)CC1CCCCC1 ZINC001049876379 656709396 /nfs/dbraw/zinc/70/93/96/656709396.db2.gz LYYUPGRWQYQXJG-QRQLOZEOSA-N 0 1 316.489 3.291 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(CC(C)C)cc1 ZINC001049888942 656715473 /nfs/dbraw/zinc/71/54/73/656715473.db2.gz LSEPIIBAQSWSTE-UXHICEINSA-N 0 1 324.468 3.197 20 30 DGEDMN C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc2c(c1)CCC2 ZINC001049892234 656715876 /nfs/dbraw/zinc/71/58/76/656715876.db2.gz LCXLYWXBBYJJLX-RTBURBONSA-N 0 1 310.441 3.040 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CC=C(C)CC1 ZINC001049904856 656717155 /nfs/dbraw/zinc/71/71/55/656717155.db2.gz SNEULUUDPGIHPB-NLWGTHIKSA-N 0 1 314.473 3.212 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C4CCC4)cc3)C[C@@H]21 ZINC001042083898 656720531 /nfs/dbraw/zinc/72/05/31/656720531.db2.gz NIDJZWRNYBYLDW-ICSRJNTNSA-N 0 1 322.452 3.124 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1sccc1CC ZINC001049918844 656722848 /nfs/dbraw/zinc/72/28/48/656722848.db2.gz MZGUHASMVWNTRJ-HZPDHXFCSA-N 0 1 316.470 3.013 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1coc2ccccc12 ZINC001049929623 656726590 /nfs/dbraw/zinc/72/65/90/656726590.db2.gz BBZJDGBVDKPRAP-QZTJIDSGSA-N 0 1 322.408 3.135 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H]2CCc3c2cccc3Cl)CC1 ZINC000981628364 656739290 /nfs/dbraw/zinc/73/92/90/656739290.db2.gz IXFKEDOSAVQMEL-INIZCTEOSA-N 0 1 318.848 3.090 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2Cc3ccccc32)CC1 ZINC001052667185 656744460 /nfs/dbraw/zinc/74/44/60/656744460.db2.gz IZYUZGUADOVQNP-DOTOQJQBSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2cccc(C)c2)CC1 ZINC001052673918 656745384 /nfs/dbraw/zinc/74/53/84/656745384.db2.gz FKMGLQBAMPQWEB-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cc(Cl)cs3)C[C@H]21 ZINC001042256175 656746880 /nfs/dbraw/zinc/74/68/80/656746880.db2.gz URXPUWJTKHANHW-DGCLKSJQSA-N 0 1 310.850 3.124 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001052694312 656750197 /nfs/dbraw/zinc/75/01/97/656750197.db2.gz RYHJUXKHPMIMAF-ZOBUZTSGSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](C)c2ccco2)CC1 ZINC001052704596 656751880 /nfs/dbraw/zinc/75/18/80/656751880.db2.gz DFJSZUYIVDQAGY-ZIAGYGMSSA-N 0 1 310.825 3.106 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C(C)(C)C)cc3)C[C@H]21 ZINC001042325607 656753608 /nfs/dbraw/zinc/75/36/08/656753608.db2.gz DTSMKEUDVDBMAZ-VQIMIIECSA-N 0 1 324.468 3.154 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccco2)CC1 ZINC001052735726 656755586 /nfs/dbraw/zinc/75/55/86/656755586.db2.gz KSAUWODQUNZRMK-RRFJBIMHSA-N 0 1 322.836 3.106 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC000981750952 656757333 /nfs/dbraw/zinc/75/73/33/656757333.db2.gz LHSQKEUYINPHKM-UHFFFAOYSA-N 0 1 300.446 3.242 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2(F)CCCCC2)CC1 ZINC001052842969 656774807 /nfs/dbraw/zinc/77/48/07/656774807.db2.gz FWRVXWZCCBDULM-CQSZACIVSA-N 0 1 316.848 3.382 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)CC1 ZINC000981820152 656776078 /nfs/dbraw/zinc/77/60/78/656776078.db2.gz KNGZAXNBPJLTHF-CHWSQXEVSA-N 0 1 324.877 3.225 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cncc3sccc32)CC1 ZINC000981816444 656777359 /nfs/dbraw/zinc/77/73/59/656777359.db2.gz CNTALXRTUCAMJV-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(Cl)cc2OC)CC1 ZINC000981835003 656780390 /nfs/dbraw/zinc/78/03/90/656780390.db2.gz VZSHYVBGMZJKRQ-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCC=CCCC3)C2)C1 ZINC000981838630 656781785 /nfs/dbraw/zinc/78/17/85/656781785.db2.gz CHMXUPLZUBQHGV-SFHVURJKSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(OC)cc2)CC1 ZINC001052887656 656783163 /nfs/dbraw/zinc/78/31/63/656783163.db2.gz BNNAUKZAUOKACG-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(C)C)nc1CC ZINC001028141810 656787440 /nfs/dbraw/zinc/78/74/40/656787440.db2.gz RBQJIBBXZJGKPK-OAHLLOKOSA-N 0 1 315.461 3.148 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(Cl)cc2C)CC1 ZINC000981869351 656790975 /nfs/dbraw/zinc/79/09/75/656790975.db2.gz UGCBVKKNNPXRJS-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCN(C(=O)CC3CC3)C2)C1 ZINC001053004768 656814353 /nfs/dbraw/zinc/81/43/53/656814353.db2.gz ZILYDDYNZAIGLB-IRXDYDNUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2ccc(C)cc2Cl)C1 ZINC001043122591 656814700 /nfs/dbraw/zinc/81/47/00/656814700.db2.gz ROEKGAVAVGZPSW-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001043493982 656833133 /nfs/dbraw/zinc/83/31/33/656833133.db2.gz GTVOTGQXSQIOON-UHFFFAOYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(Cl)c(C)c2)C1 ZINC001043728589 656850605 /nfs/dbraw/zinc/85/06/05/656850605.db2.gz FJLSOTKYPAVXAP-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccccc1OCC(C)C ZINC001028203919 656863520 /nfs/dbraw/zinc/86/35/20/656863520.db2.gz FVJPIHIQEXWUSS-INIZCTEOSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2cccc(C)c2)C1 ZINC001043980703 656868301 /nfs/dbraw/zinc/86/83/01/656868301.db2.gz BPYZLBBPENDDNT-INIZCTEOSA-N 0 1 300.446 3.207 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001044065943 656877524 /nfs/dbraw/zinc/87/75/24/656877524.db2.gz CSVKEJSEOVNTQQ-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ccco1 ZINC001054028919 656881750 /nfs/dbraw/zinc/88/17/50/656881750.db2.gz AEPUGDLEKUWPON-QGZVFWFLSA-N 0 1 310.397 3.012 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3cccc(C(C)(C)C)c3)C2)C1 ZINC000982077701 656890385 /nfs/dbraw/zinc/89/03/85/656890385.db2.gz GUDHVILQUNWWPY-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@@H](CC)Cc2cccc(C)c2)CC1 ZINC000982093902 656891442 /nfs/dbraw/zinc/89/14/42/656891442.db2.gz IBXIMCLZZDMKSK-IBGZPJMESA-N 0 1 314.473 3.284 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)c3ccc([C@H](C)CC)cc3)C2)C1 ZINC000982162578 656905230 /nfs/dbraw/zinc/90/52/30/656905230.db2.gz VBQRCJCRZHHNMQ-QGZVFWFLSA-N 0 1 324.468 3.371 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C[C@H]1C=CCC1)C2 ZINC001054082517 656906361 /nfs/dbraw/zinc/90/63/61/656906361.db2.gz LIXDZZUJTIJHGK-WMZOPIPTSA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCN1CCCN(C(=O)c2ccccc2OCC(C)C)CC1 ZINC000982227828 656921530 /nfs/dbraw/zinc/92/15/30/656921530.db2.gz LFTFYYGSDBRVNX-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1CCC1(C)C)C2 ZINC001054179784 656927142 /nfs/dbraw/zinc/92/71/42/656927142.db2.gz GQLJTPNDXRIVJU-MAUKXSAKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CC[N@@H+]1C[C@@]2(C)CN(C(=O)c3cc(C)cs3)C[C@@]2(C)C1 ZINC000982274928 656932345 /nfs/dbraw/zinc/93/23/45/656932345.db2.gz XICHPAQVNNKPOC-CALCHBBNSA-N 0 1 304.459 3.027 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1CC)C2 ZINC001054201166 656936790 /nfs/dbraw/zinc/93/67/90/656936790.db2.gz VTKYFJRGECNXKO-BFYDXBDKSA-N 0 1 310.869 3.100 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cccc(-c2ccoc2)c1 ZINC001028250213 656944206 /nfs/dbraw/zinc/94/42/06/656944206.db2.gz UEQIQYZAFCDMPH-IBGZPJMESA-N 0 1 322.408 3.164 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(CCCC)cc2)CC1 ZINC000949181867 656947327 /nfs/dbraw/zinc/94/73/27/656947327.db2.gz NGGUEVWZAHVTBA-UHFFFAOYSA-N 0 1 300.446 3.363 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C[C@H](C)C1CC1)C2 ZINC001054246855 656948301 /nfs/dbraw/zinc/94/83/01/656948301.db2.gz KUPXIVCHQWLKJQ-KSSFIOAISA-N 0 1 324.896 3.490 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cc(C)c(C)o3)cc2C1 ZINC001054260949 656953699 /nfs/dbraw/zinc/95/36/99/656953699.db2.gz IGQULIHYYVTZBU-UHFFFAOYSA-N 0 1 322.408 3.165 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccccc1C(F)(F)F ZINC001028281854 656969045 /nfs/dbraw/zinc/96/90/45/656969045.db2.gz QSTKFZUPKQGBBQ-LBPRGKRZSA-N 0 1 312.335 3.086 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@]2(C)CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC000982433669 656971539 /nfs/dbraw/zinc/97/15/39/656971539.db2.gz WYPFPYGYWXQFRM-NBAKUHIDSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC001028304908 656986000 /nfs/dbraw/zinc/98/60/00/656986000.db2.gz BOMBTDKSNVASQJ-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2c(s1)CC[C@H](C)C2 ZINC001028307238 656988854 /nfs/dbraw/zinc/98/88/54/656988854.db2.gz YLNPHCUOBJZRDG-ZFWWWQNUSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2cc(F)ccc2s1 ZINC001028310999 656989770 /nfs/dbraw/zinc/98/97/70/656989770.db2.gz CJRBTYVXABDDOM-AWEZNQCLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1c(CC)oc2ccccc21 ZINC001028337461 656997183 /nfs/dbraw/zinc/99/71/83/656997183.db2.gz MPUJWUKQPNXEKR-AWEZNQCLSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2cccc(Cl)c2o1 ZINC001028357892 657006025 /nfs/dbraw/zinc/00/60/25/657006025.db2.gz NGIAWZUZACKUTP-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(CC)c(CC)c1 ZINC001028359475 657006475 /nfs/dbraw/zinc/00/64/75/657006475.db2.gz QVGQGFMOAZYLRO-LJQANCHMSA-N 0 1 312.457 3.029 20 30 DGEDMN CC#CC[N@H+]1C[C@@]2(C)CN(C(=O)[C@@H]3CCCC[C@@H]3C)C[C@@]2(C)C1 ZINC000982492738 657015474 /nfs/dbraw/zinc/01/54/74/657015474.db2.gz VSXYKMWZCTXGFC-KVPLUYHFSA-N 0 1 316.489 3.006 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCc2ccc(C)cc2)C[C@H]1C ZINC001054883607 657019159 /nfs/dbraw/zinc/01/91/59/657019159.db2.gz FYZZGJHMQAJIRM-PBHICJAKSA-N 0 1 320.864 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCc2cccc(C)c2)C[C@H]1C ZINC001054937911 657023208 /nfs/dbraw/zinc/02/32/08/657023208.db2.gz BCTDYWIOSLIXPM-PBHICJAKSA-N 0 1 320.864 3.117 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC001045476984 657027842 /nfs/dbraw/zinc/02/78/42/657027842.db2.gz IJNCSZGSWKFJLD-RTBURBONSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2coc3cc(C)c(C)cc23)CC1 ZINC001045512930 657031439 /nfs/dbraw/zinc/03/14/39/657031439.db2.gz AAEIKHMVZLLRMA-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C)c(Cl)c2F)CC1 ZINC001045512033 657031748 /nfs/dbraw/zinc/03/17/48/657031748.db2.gz BUCQCIOOMQIAJT-UHFFFAOYSA-N 0 1 322.811 3.005 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cc(C(C)C)oc2C)CC1 ZINC001045605848 657041671 /nfs/dbraw/zinc/04/16/71/657041671.db2.gz HINQYZAZPGTRAU-UHFFFAOYSA-N 0 1 316.445 3.319 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2ccc([C@@H](C)CC)cc2)CC1 ZINC001045646676 657048461 /nfs/dbraw/zinc/04/84/61/657048461.db2.gz PRTZGTVCGKRRAW-INIZCTEOSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C(C)(F)F)C2 ZINC001045906566 657084649 /nfs/dbraw/zinc/08/46/49/657084649.db2.gz CLKFREXKTZTWKA-HNNXBMFYSA-N 0 1 320.811 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ccccc2CC)C1 ZINC001000021926 657086235 /nfs/dbraw/zinc/08/62/35/657086235.db2.gz JHBVKSWZJISVEW-OAHLLOKOSA-N 0 1 306.837 3.196 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](NCc2nc(C)cs2)C1 ZINC001000093188 657093611 /nfs/dbraw/zinc/09/36/11/657093611.db2.gz UVLDIZVXJFOAHG-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@H](C)C1CC1)C2 ZINC001045927903 657095406 /nfs/dbraw/zinc/09/54/06/657095406.db2.gz VGUDXUQZAULZAS-QAPCUYQASA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@H]1C[C@@H]1C)C2 ZINC001045959028 657105197 /nfs/dbraw/zinc/10/51/97/657105197.db2.gz FSEYWWZHQZFXDE-QRTARXTBSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@@H]1C[C@H]1CC)C2 ZINC001045968124 657109678 /nfs/dbraw/zinc/10/96/78/657109678.db2.gz JZRJFABXVLPZBB-JFIYKMOQSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cccn2C2CCCC2)CC1 ZINC000982966470 657116196 /nfs/dbraw/zinc/11/61/96/657116196.db2.gz IDKZUSDLKGFWNW-UHFFFAOYSA-N 0 1 315.461 3.327 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001000481846 657130023 /nfs/dbraw/zinc/13/00/23/657130023.db2.gz AOZYQVDMXOMJAM-KBPBESRZSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc3ccccc3o2)C1 ZINC001000850706 657158875 /nfs/dbraw/zinc/15/88/75/657158875.db2.gz UGJMHGKBSKFHJS-CQSZACIVSA-N 0 1 318.804 3.380 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc3c(cc(C)cc3C)[nH]2)C1 ZINC001046513777 657171773 /nfs/dbraw/zinc/17/17/73/657171773.db2.gz BFJIQXHXQLAVGK-HXUWFJFHSA-N 0 1 323.440 3.002 20 30 DGEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2csc3ccccc23)C1 ZINC001046524020 657172730 /nfs/dbraw/zinc/17/27/30/657172730.db2.gz LSIDSZAZYJTBOL-SFHVURJKSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ccc(CF)cc2)C1 ZINC001001027763 657176250 /nfs/dbraw/zinc/17/62/50/657176250.db2.gz WFKQZJAWHVNSTK-HNNXBMFYSA-N 0 1 310.800 3.103 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2cccc(C)c2Cl)CC1 ZINC001001275975 657203634 /nfs/dbraw/zinc/20/36/34/657203634.db2.gz SFZLNHWGIAQVSL-UHFFFAOYSA-N 0 1 316.832 3.034 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001046742503 657210625 /nfs/dbraw/zinc/21/06/25/657210625.db2.gz LKGSAVFRJFSQIM-LJQANCHMSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001046740796 657211076 /nfs/dbraw/zinc/21/10/76/657211076.db2.gz YBVLHOAJUMRYOK-SFHVURJKSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2oc3ccccc3c2C)CC1 ZINC001001430123 657219389 /nfs/dbraw/zinc/21/93/89/657219389.db2.gz PANVOUGDYPBQFJ-UHFFFAOYSA-N 0 1 322.408 3.126 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(-c3cccs3)o2)C1 ZINC001046811129 657225319 /nfs/dbraw/zinc/22/53/19/657225319.db2.gz OIZZVJVLLCCZMI-QGZVFWFLSA-N 0 1 316.426 3.388 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccc(C(C)C)s2)CC1 ZINC001001481838 657225533 /nfs/dbraw/zinc/22/55/33/657225533.db2.gz RTHIWDLJTXZJEL-UHFFFAOYSA-N 0 1 316.470 3.257 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(s2)CC[C@@H](C)C3)C1 ZINC001046827441 657231809 /nfs/dbraw/zinc/23/18/09/657231809.db2.gz SBHXGGRKDGVOGT-FZKQIMNGSA-N 0 1 318.486 3.253 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc(C)c(CC)s2)C1 ZINC001046826165 657231865 /nfs/dbraw/zinc/23/18/65/657231865.db2.gz VJWAAPPLCRQJEA-QGZVFWFLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001046879487 657242530 /nfs/dbraw/zinc/24/25/30/657242530.db2.gz FHDSKMUEIMCCDA-QGZVFWFLSA-N 0 1 318.804 3.466 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001046968268 657247273 /nfs/dbraw/zinc/24/72/73/657247273.db2.gz CPJQHMCESNKSIR-KBPBESRZSA-N 0 1 314.420 3.072 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3csc4ccccc34)[C@@H]2C1 ZINC001050107477 657250966 /nfs/dbraw/zinc/25/09/66/657250966.db2.gz IYQQBHNVNVPWBR-WMLDXEAASA-N 0 1 324.449 3.071 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H]3CCC[C@H]3C)C2)CC1 ZINC001050530934 657320340 /nfs/dbraw/zinc/32/03/40/657320340.db2.gz GBWSMXTXONSWQJ-GDBMZVCRSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)CC1 ZINC001050589494 657328010 /nfs/dbraw/zinc/32/80/10/657328010.db2.gz DYUSZKGTMZKIRS-HUUCEWRRSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CCCC3(C)C)C2)CC1 ZINC001050623611 657333235 /nfs/dbraw/zinc/33/32/35/657333235.db2.gz RILNJJBHSSTOAU-HNNXBMFYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC3CC(C)(C)C3)C2)CC1 ZINC001050633516 657334633 /nfs/dbraw/zinc/33/46/33/657334633.db2.gz XGKAQCXBNQKDCI-UHFFFAOYSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CC34CCCC4)C2)CC1 ZINC001050721028 657347679 /nfs/dbraw/zinc/34/76/79/657347679.db2.gz ZEQFCJXDABEWRG-OAHLLOKOSA-N 0 1 322.880 3.244 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccoc3C)cccc2C1 ZINC001051279260 657379500 /nfs/dbraw/zinc/37/95/00/657379500.db2.gz WXXBNJIGJAIUSH-UHFFFAOYSA-N 0 1 310.397 3.062 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@H]3CC=CCC3)cccc2C1 ZINC001051279273 657379601 /nfs/dbraw/zinc/37/96/01/657379601.db2.gz XRTMZMHPFCUPJM-KRWDZBQOSA-N 0 1 322.452 3.041 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)c3cccs3)cccc2C1 ZINC001051279016 657380204 /nfs/dbraw/zinc/38/02/04/657380204.db2.gz ORAPDKOVVJDZBQ-UHFFFAOYSA-N 0 1 324.449 3.060 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3C[C@]3(C)CC)cccc2C1 ZINC001051306213 657384577 /nfs/dbraw/zinc/38/45/77/657384577.db2.gz ORVQFJOSYFXMNM-QUCCMNQESA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(F)cc(Cl)c2)C1 ZINC000968354764 657391751 /nfs/dbraw/zinc/39/17/51/657391751.db2.gz WCZJFMSZQCCIJM-WBMJQRKESA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC000968354028 657392102 /nfs/dbraw/zinc/39/21/02/657392102.db2.gz LVINCKVZWZTMOP-MLGOLLRUSA-N 0 1 324.827 3.034 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC000968360115 657394030 /nfs/dbraw/zinc/39/40/30/657394030.db2.gz FVOMUQZUUWMMAS-HNAYVOBHSA-N 0 1 323.440 3.251 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(OCC)c(C)c2)C1 ZINC000968372517 657398876 /nfs/dbraw/zinc/39/88/76/657398876.db2.gz ABQRUWXJXZNSQB-WMLDXEAASA-N 0 1 316.445 3.020 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2scnc2C2CC2)C1 ZINC000968400255 657405412 /nfs/dbraw/zinc/40/54/12/657405412.db2.gz ODCJKRWSQJFMFZ-JSGCOSHPSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC000968467771 657414321 /nfs/dbraw/zinc/41/43/21/657414321.db2.gz HPQGQYDIUCDARG-RDJZCZTQSA-N 0 1 315.461 3.229 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(CC)o2)C1 ZINC000968538090 657422507 /nfs/dbraw/zinc/42/25/07/657422507.db2.gz HWBVEXWQPIBYPN-ZFWWWQNUSA-N 0 1 304.434 3.167 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)c2ccc(F)cc2)C1 ZINC000968557767 657426322 /nfs/dbraw/zinc/42/63/22/657426322.db2.gz NWGIGVPHHDFVJA-YOEHRIQHSA-N 0 1 318.436 3.116 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968560604 657426333 /nfs/dbraw/zinc/42/63/33/657426333.db2.gz DPBFOBYGYYSFNC-IUODEOHRSA-N 0 1 308.372 3.250 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968562833 657427218 /nfs/dbraw/zinc/42/72/18/657427218.db2.gz XEUHIRILXAASEM-CJNGLKHVSA-N 0 1 320.383 3.088 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2c(F)cccc2Cl)C1 ZINC000968569701 657429079 /nfs/dbraw/zinc/42/90/79/657429079.db2.gz KUQWHPSKYBFRAZ-WFASDCNBSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592750 657435376 /nfs/dbraw/zinc/43/53/76/657435376.db2.gz PQENURNRODXJLQ-TZMCWYRMSA-N 0 1 317.458 3.246 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc3[nH]ccc3s2)C1 ZINC000968592750 657435381 /nfs/dbraw/zinc/43/53/81/657435381.db2.gz PQENURNRODXJLQ-TZMCWYRMSA-N 0 1 317.458 3.246 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968620694 657445258 /nfs/dbraw/zinc/44/52/58/657445258.db2.gz YBBSGFBOMKTEJV-OXJNMPFZSA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc3c(c2)CCCC3)C1 ZINC000968619923 657445555 /nfs/dbraw/zinc/44/55/55/657445555.db2.gz QJTNLETTYCDIPK-HNAYVOBHSA-N 0 1 312.457 3.192 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(CC)s2)C1 ZINC000968624823 657447719 /nfs/dbraw/zinc/44/77/19/657447719.db2.gz ZTPGQDSVMCIXFE-UKRRQHHQSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc3ccccc3c2)C1 ZINC000968626996 657448796 /nfs/dbraw/zinc/44/87/96/657448796.db2.gz FXPKLCNLRUGABH-OXJNMPFZSA-N 0 1 322.452 3.395 20 30 DGEDMN C=CCCN1CCN(C(=O)CC(C)(C)c2ccccc2)CC1 ZINC000957769019 657460149 /nfs/dbraw/zinc/46/01/49/657460149.db2.gz BMPSBVOOYVWRBI-UHFFFAOYSA-N 0 1 300.446 3.075 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968708662 657465135 /nfs/dbraw/zinc/46/51/35/657465135.db2.gz DIPKSEPHTUYTRS-AEFFLSMTSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)CC2CCC(C)(C)CC2)C1 ZINC000968710605 657465252 /nfs/dbraw/zinc/46/52/52/657465252.db2.gz RKPDAIBWPNPMJH-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C2CC(c3ccccc3)C2)CC1 ZINC000957885989 657470944 /nfs/dbraw/zinc/47/09/44/657470944.db2.gz YMSIDENEGDTKKG-UHFFFAOYSA-N 0 1 312.457 3.291 20 30 DGEDMN O=C(NC[C@H]1CCCCCN1CC#Cc1ccccc1)C1CCC1 ZINC000968727353 657471938 /nfs/dbraw/zinc/47/19/38/657471938.db2.gz RYRLYSORXNHJOS-HXUWFJFHSA-N 0 1 324.468 3.199 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ccc2occc2c1 ZINC000968863248 657504800 /nfs/dbraw/zinc/50/48/00/657504800.db2.gz BNCZXVUNKNLCNG-SFHVURJKSA-N 0 1 324.424 3.431 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(Cl)cc1F ZINC000968941071 657518697 /nfs/dbraw/zinc/51/86/97/657518697.db2.gz GZLFTANUVPUPTH-CQSZACIVSA-N 0 1 322.811 3.087 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C)c(F)c1 ZINC000968941243 657518985 /nfs/dbraw/zinc/51/89/85/657518985.db2.gz KFOFJTUJBOVIQB-QGZVFWFLSA-N 0 1 316.420 3.132 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)[C@H]1CCCC1(C)C ZINC000968990298 657529191 /nfs/dbraw/zinc/52/91/91/657529191.db2.gz AJKCIQIZRPCBTN-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cccc(F)c1Cl ZINC000969044497 657541363 /nfs/dbraw/zinc/54/13/63/657541363.db2.gz REJJPLVBDJOGSP-CYBMUJFWSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC001007528086 657617679 /nfs/dbraw/zinc/61/76/79/657617679.db2.gz SVJQRNRCBKWMHW-BZSNNMDCSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCCC3)C1 ZINC001007556634 657618921 /nfs/dbraw/zinc/61/89/21/657618921.db2.gz YFZXMGYQORGMQF-QGZVFWFLSA-N 0 1 312.457 3.336 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001007950565 657647539 /nfs/dbraw/zinc/64/75/39/657647539.db2.gz LVAHVKWAKHGYSJ-KBPBESRZSA-N 0 1 322.399 3.225 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@@H](F)C2)CC1 ZINC000985427190 657663865 /nfs/dbraw/zinc/66/38/65/657663865.db2.gz XRHREVPFRNMMIL-ZIAGYGMSSA-N 0 1 316.848 3.190 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(C)(C)C(C)C)CC1 ZINC000985451705 657681872 /nfs/dbraw/zinc/68/18/72/657681872.db2.gz XDIFBULHLUAUFD-UHFFFAOYSA-N 0 1 300.874 3.344 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2C=CCCC2)CC1 ZINC000985501722 657722883 /nfs/dbraw/zinc/72/28/83/657722883.db2.gz ZHTKPOOOGJPXCK-OAHLLOKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3cc(F)ccc3o2)C1 ZINC001008717748 657725517 /nfs/dbraw/zinc/72/55/17/657725517.db2.gz AOEPXSQIPKPLSM-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001008835686 657734405 /nfs/dbraw/zinc/73/44/05/657734405.db2.gz PRFFAFOZMNALBB-CEXWTWQISA-N 0 1 312.457 3.255 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)C1 ZINC001008917560 657740082 /nfs/dbraw/zinc/74/00/82/657740082.db2.gz DUIJEZJLROEYEQ-QGZVFWFLSA-N 0 1 300.446 3.364 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2[nH]c3ccc(C)cc3c2C)C1 ZINC001009042969 657744480 /nfs/dbraw/zinc/74/44/80/657744480.db2.gz GYXZXSIPWJSDOA-HNNXBMFYSA-N 0 1 311.429 3.165 20 30 DGEDMN C=CCCN1CCC[C@@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001009089026 657746217 /nfs/dbraw/zinc/74/62/17/657746217.db2.gz VWUCYZSVBKJJNE-CQSZACIVSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)C1 ZINC001009141254 657750353 /nfs/dbraw/zinc/75/03/53/657750353.db2.gz YKLKRRYCPGFHHN-HOCLYGCPSA-N 0 1 312.413 3.303 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001009141235 657751740 /nfs/dbraw/zinc/75/17/40/657751740.db2.gz YKLKRRYCPGFHHN-GDBMZVCRSA-N 0 1 312.413 3.303 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001009168837 657753057 /nfs/dbraw/zinc/75/30/57/657753057.db2.gz RAAKPSIOGTUOIN-MRXNPFEDSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccccc2OC(C)C)C1 ZINC001009295602 657763169 /nfs/dbraw/zinc/76/31/69/657763169.db2.gz ITRKLCIMKLQVFG-INIZCTEOSA-N 0 1 316.445 3.244 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001009297180 657764097 /nfs/dbraw/zinc/76/40/97/657764097.db2.gz WPNKVCFVDZEVSF-RBUKOAKNSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCC=CCCC1 ZINC000960275290 657770592 /nfs/dbraw/zinc/77/05/92/657770592.db2.gz BKSREYDAUWHZJR-PWIZWCRZSA-N 0 1 322.452 3.128 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](CN(C)Cc2ccns2)C1 ZINC001029828830 657772182 /nfs/dbraw/zinc/77/21/82/657772182.db2.gz LIUNFCWKHGXQMX-YOEHRIQHSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](CN(C)Cc2ccns2)C1 ZINC001029828828 657772326 /nfs/dbraw/zinc/77/23/26/657772326.db2.gz LIUNFCWKHGXQMX-RHSMWYFYSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2CCC(C3CC3)CC2)C1 ZINC001009380416 657774781 /nfs/dbraw/zinc/77/47/81/657774781.db2.gz XCGCAWFPWXVQCP-ABHNRTSZSA-N 0 1 304.478 3.360 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(N(C)Cc2ccns2)CC1 ZINC000985621757 657785364 /nfs/dbraw/zinc/78/53/64/657785364.db2.gz SQQISXOFAJRTRW-KRWDZBQOSA-N 0 1 321.490 3.168 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(CC)c(C)s2)C1 ZINC001009446934 657786686 /nfs/dbraw/zinc/78/66/86/657786686.db2.gz DKRYIWUPHGIWQM-OAHLLOKOSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC(N(C)Cc2cncs2)CC1 ZINC000985621978 657787020 /nfs/dbraw/zinc/78/70/20/657787020.db2.gz WZTGNGMPRQSGNU-KRWDZBQOSA-N 0 1 321.490 3.168 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC000985687234 657807472 /nfs/dbraw/zinc/80/74/72/657807472.db2.gz QYQXUMKZQXAACG-INIZCTEOSA-N 0 1 324.827 3.373 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@]2(C)CCc3ccccc32)C1 ZINC001009692084 657809418 /nfs/dbraw/zinc/80/94/18/657809418.db2.gz PCIYHOOIQMNQEO-FXAWDEMLSA-N 0 1 312.457 3.047 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H](C)c2ccc(C)o2)CC1 ZINC000985693900 657810512 /nfs/dbraw/zinc/81/05/12/657810512.db2.gz XZCXACCPHGWUHI-CQSZACIVSA-N 0 1 324.852 3.367 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001009760608 657816278 /nfs/dbraw/zinc/81/62/78/657816278.db2.gz JZTSEJPJORBARW-GOSISDBHSA-N 0 1 322.408 3.164 20 30 DGEDMN C/C=C(\C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC000960539142 657834756 /nfs/dbraw/zinc/83/47/56/657834756.db2.gz AUUBKZNLDPGJPA-QJIMOZNHSA-N 0 1 317.458 3.009 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H](C)c2ccco2)CC1 ZINC000985757296 657834782 /nfs/dbraw/zinc/83/47/82/657834782.db2.gz CUBYNPXRBMWPKE-CYBMUJFWSA-N 0 1 324.852 3.449 20 30 DGEDMN CN(Cc1ccccc1C#N)C1CCN(C(=O)C(C)(C)C)CC1 ZINC000985765087 657839887 /nfs/dbraw/zinc/83/98/87/657839887.db2.gz RRZJCSIUQQBYFK-UHFFFAOYSA-N 0 1 313.445 3.027 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@H]1CN(C)Cc1ccccn1 ZINC000960787465 657874394 /nfs/dbraw/zinc/87/43/94/657874394.db2.gz NBASJPYBAUQWCE-QGZVFWFLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)c3ccoc3)C2)C1 ZINC000961100309 657948976 /nfs/dbraw/zinc/94/89/76/657948976.db2.gz JJPFPLDOGIKBEL-GJZGRUSLSA-N 0 1 322.836 3.206 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C3CC=CC3)C2)C1 ZINC000961131943 657959302 /nfs/dbraw/zinc/95/93/02/657959302.db2.gz TXTCYJQQYYURLQ-IAGOWNOFSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CC3(C)CC3)C2)C1 ZINC000961210917 657977776 /nfs/dbraw/zinc/97/77/76/657977776.db2.gz KCPFOORRGSOMPU-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)sc2C)[C@@H]1C ZINC000986498759 658055911 /nfs/dbraw/zinc/05/59/11/658055911.db2.gz DJVSIXHDJRDPIB-BXUZGUMPSA-N 0 1 312.866 3.310 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001014860453 658056705 /nfs/dbraw/zinc/05/67/05/658056705.db2.gz RYSDBQPWQSAQSG-KRWDZBQOSA-N 0 1 300.446 3.364 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2CC)[C@H]1C ZINC000986766794 658096756 /nfs/dbraw/zinc/09/67/56/658096756.db2.gz KREKBTFUYAQUBW-XJKSGUPXSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001015398019 658116933 /nfs/dbraw/zinc/11/69/33/658116933.db2.gz MFIZMKBLDFTCMS-OAHLLOKOSA-N 0 1 312.413 3.430 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csc3ccccc23)C1 ZINC001015428486 658120679 /nfs/dbraw/zinc/12/06/79/658120679.db2.gz BXWLUHQOFXZPFV-CYBMUJFWSA-N 0 1 300.427 3.282 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3cccc(F)c3)CCC2)C1 ZINC001015467393 658123660 /nfs/dbraw/zinc/12/36/60/658123660.db2.gz PPXZKRLXOQYSOC-QGZVFWFLSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(C/C=C\c3ccccc3)C2)C1 ZINC001015663545 658160749 /nfs/dbraw/zinc/16/07/49/658160749.db2.gz UHNXHAWKIHMMQI-OTIVFRFGSA-N 0 1 310.441 3.247 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2csc(Cl)c2)[C@@H]1C ZINC000987121794 658161830 /nfs/dbraw/zinc/16/18/30/658161830.db2.gz APUQZUREIPPVEM-KOLCDFICSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001015733535 658173411 /nfs/dbraw/zinc/17/34/11/658173411.db2.gz SCTRWOWVNNGOIR-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(F)F)o2)[C@@H]1C ZINC000987428341 658209138 /nfs/dbraw/zinc/20/91/38/658209138.db2.gz NTIPSOWCFWGHCQ-NXEZZACHSA-N 0 1 318.751 3.162 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001015955548 658211241 /nfs/dbraw/zinc/21/12/41/658211241.db2.gz KFHOPNGSTFCEHH-DOTOQJQBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccc(C)c(C)c2)[C@H]1C ZINC000987482071 658215995 /nfs/dbraw/zinc/21/59/95/658215995.db2.gz MVSQQGVURAYRSH-DOTOQJQBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001015995424 658216210 /nfs/dbraw/zinc/21/62/10/658216210.db2.gz UWMRSKYIMITQQN-AWEZNQCLSA-N 0 1 306.837 3.337 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccccc2F)[C@@H]1C ZINC000987520063 658225032 /nfs/dbraw/zinc/22/50/32/658225032.db2.gz XKTNZRLEZAKEGB-CJNGLKHVSA-N 0 1 324.827 3.090 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)c(F)c(C)c2)[C@H]1C ZINC000987555577 658230368 /nfs/dbraw/zinc/23/03/68/658230368.db2.gz GOLKLPWAKSVNQN-ZFWWWQNUSA-N 0 1 324.827 3.388 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001016068488 658230677 /nfs/dbraw/zinc/23/06/77/658230677.db2.gz LIGZZDJZRVLFAL-CQSZACIVSA-N 0 1 312.335 3.086 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(s2)CCCC3)C1 ZINC001016175563 658248806 /nfs/dbraw/zinc/24/88/06/658248806.db2.gz XFWONDXUZRJQEF-CQSZACIVSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)C2CCC(CCCC)CC2)C1 ZINC001016186350 658250267 /nfs/dbraw/zinc/25/02/67/658250267.db2.gz DZQGHHRQTAMWCO-DAWZGUTISA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001016425961 658280463 /nfs/dbraw/zinc/28/04/63/658280463.db2.gz CJTMGJIXLLCRSN-QGZVFWFLSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C[C@@H]2C=CCC2)CC1 ZINC001016497338 658294519 /nfs/dbraw/zinc/29/45/19/658294519.db2.gz FSWCNOSVOPIHQY-HUUCEWRRSA-N 0 1 308.853 3.066 20 30 DGEDMN C[C@@H]1C[C@H](NCc2ccccc2C#N)CN1C(=O)CC(C)(C)C ZINC000988066120 658295263 /nfs/dbraw/zinc/29/52/63/658295263.db2.gz APLMNRTVRQVJHX-PBHICJAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001016723683 658321004 /nfs/dbraw/zinc/32/10/04/658321004.db2.gz IERSDFLLBHTYPX-RBSFLKMASA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(C2CC2)C2CC2)CC1 ZINC001016922102 658349850 /nfs/dbraw/zinc/34/98/50/658349850.db2.gz XPMGYAAVUGAPBJ-OAHLLOKOSA-N 0 1 322.880 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2c(C)cccc2C)C1 ZINC000988907392 658415354 /nfs/dbraw/zinc/41/53/54/658415354.db2.gz VWRADCGEHKNNJZ-JKSUJKDBSA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2sc(C)cc2C)C1 ZINC000988899369 658416795 /nfs/dbraw/zinc/41/67/95/658416795.db2.gz PSPLJZXMOVIEHL-YPMHNXCESA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2cccc(CC)c2)C1 ZINC000989055263 658429976 /nfs/dbraw/zinc/42/99/76/658429976.db2.gz GWJOCPJOXDQUJM-YOEHRIQHSA-N 0 1 320.864 3.123 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([C@H]2C[C@H]2C)o1 ZINC001017554356 658439885 /nfs/dbraw/zinc/43/98/85/658439885.db2.gz XQTVJOYBBWIYBS-WCVJEAGWSA-N 0 1 314.429 3.268 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)n(CC)c2C)C1 ZINC000989142890 658442712 /nfs/dbraw/zinc/44/27/12/658442712.db2.gz VFIGXIKAJASEDL-WFASDCNBSA-N 0 1 323.868 3.070 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCC[C@@H]1CC ZINC001017663948 658449117 /nfs/dbraw/zinc/44/91/17/658449117.db2.gz YIQSGQMQIZGYAG-IMBTUZDBSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2sccc2Cl)C1 ZINC000966268500 658460486 /nfs/dbraw/zinc/46/04/86/658460486.db2.gz TZSRYYWDPIITQU-WCQYABFASA-N 0 1 312.866 3.418 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001017776651 658460636 /nfs/dbraw/zinc/46/06/36/658460636.db2.gz JDKZPTAXYVSOEX-CALCHBBNSA-N 0 1 323.440 3.341 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC000966327460 658466441 /nfs/dbraw/zinc/46/64/41/658466441.db2.gz POZGUCWNCGPAIJ-OXJNMPFZSA-N 0 1 324.468 3.029 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc3[nH]ccc3c2)C1 ZINC000989364519 658469110 /nfs/dbraw/zinc/46/91/10/658469110.db2.gz FKFQTRJTDIVNSJ-SWLSCSKDSA-N 0 1 317.820 3.113 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(CCC)s2)C1 ZINC000966456404 658476209 /nfs/dbraw/zinc/47/62/09/658476209.db2.gz OPWIUUIQLSFEED-ZBFHGGJFSA-N 0 1 318.486 3.164 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC000966456397 658476483 /nfs/dbraw/zinc/47/64/83/658476483.db2.gz OPWIUUIQLSFEED-GDBMZVCRSA-N 0 1 318.486 3.164 20 30 DGEDMN C=Cc1ccc(C(=O)N2C[C@H](NCC(=C)Cl)C[C@H]2C)cc1 ZINC000989471937 658494631 /nfs/dbraw/zinc/49/46/31/658494631.db2.gz IXGHTLDGLHJTTN-CZUORRHYSA-N 0 1 304.821 3.275 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc3cc[nH]c32)C1 ZINC000989467793 658494723 /nfs/dbraw/zinc/49/47/23/658494723.db2.gz AWXQOTRQDXAKSV-GXTWGEPZSA-N 0 1 317.820 3.113 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C2(C)CCCCCC2)C1 ZINC000966817151 658500753 /nfs/dbraw/zinc/50/07/53/658500753.db2.gz WIUBBVDGNFWOPY-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@H](F)CC2CCCCC2)CC1 ZINC000989487755 658500934 /nfs/dbraw/zinc/50/09/34/658500934.db2.gz FPNCSMBPAHBTBC-QGZVFWFLSA-N 0 1 310.457 3.405 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC000966817149 658501419 /nfs/dbraw/zinc/50/14/19/658501419.db2.gz WIUBBVDGNFWOPY-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC000966817150 658501552 /nfs/dbraw/zinc/50/15/52/658501552.db2.gz WIUBBVDGNFWOPY-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C2(C)CCCCCC2)C1 ZINC000966817153 658501610 /nfs/dbraw/zinc/50/16/10/658501610.db2.gz WIUBBVDGNFWOPY-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cccc(OCC)c2)C1 ZINC000989493721 658501710 /nfs/dbraw/zinc/50/17/10/658501710.db2.gz VTIDQHDZRXKMJM-DZGCQCFKSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1coc2ccccc12 ZINC001018100089 658502691 /nfs/dbraw/zinc/50/26/91/658502691.db2.gz WKJQFBGYWSLDIQ-CALCHBBNSA-N 0 1 324.424 3.227 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@H]2CCCc3ccccc32)CC1 ZINC000989523612 658509223 /nfs/dbraw/zinc/50/92/23/658509223.db2.gz OVBQZCVRNUMYDH-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC000966903663 658510718 /nfs/dbraw/zinc/51/07/18/658510718.db2.gz JDBVHQCITYKODJ-PXAZEXFGSA-N 0 1 322.399 3.290 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(C(C)(C)C)cc2)CC1 ZINC000989543620 658510955 /nfs/dbraw/zinc/51/09/55/658510955.db2.gz SRHRZBZSKWWKLJ-UHFFFAOYSA-N 0 1 312.457 3.155 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(C)c(Cl)c2)C1 ZINC000966908092 658513161 /nfs/dbraw/zinc/51/31/61/658513161.db2.gz KJHYUVOHFMPQOT-PBHICJAKSA-N 0 1 320.864 3.204 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)o1 ZINC001018224143 658520927 /nfs/dbraw/zinc/52/09/27/658520927.db2.gz ITEOUJWHVWYLGA-TXEJJXNPSA-N 0 1 310.344 3.082 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc2ccccc2[nH]1 ZINC001018248506 658525505 /nfs/dbraw/zinc/52/55/05/658525505.db2.gz BPCPGXRTFIQNGX-IYBDPMFKSA-N 0 1 309.413 3.033 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sc(C)nc1C ZINC001018248808 658525801 /nfs/dbraw/zinc/52/58/01/658525801.db2.gz GSJCLAKENBTFPT-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3ncsc3c2)CC1 ZINC000989604298 658528149 /nfs/dbraw/zinc/52/81/49/658528149.db2.gz XJGLQPWRIVRWRR-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1coc2ccccc12 ZINC001018338650 658540716 /nfs/dbraw/zinc/54/07/16/658540716.db2.gz ABOBAEXXHJJEHG-GASCZTMLSA-N 0 1 310.397 3.298 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(CC)c(CC)c1 ZINC001018355654 658541685 /nfs/dbraw/zinc/54/16/85/658541685.db2.gz QZUVDHBMSLLBJX-BGYRXZFFSA-N 0 1 324.468 3.124 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCCC1(C)C ZINC001018346300 658542190 /nfs/dbraw/zinc/54/21/90/658542190.db2.gz HPFMPKZILWMABG-KURKYZTESA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccc(F)c(F)c2)CC1 ZINC000989702333 658545570 /nfs/dbraw/zinc/54/55/70/658545570.db2.gz PKLJPNLOYUIPNW-AWEZNQCLSA-N 0 1 322.399 3.179 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(OCC)cc2)C1 ZINC000989740053 658561663 /nfs/dbraw/zinc/56/16/63/658561663.db2.gz QPHFDDXQKKIWAP-ZFWWWQNUSA-N 0 1 322.836 3.030 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)C1 ZINC000967283463 658562803 /nfs/dbraw/zinc/56/28/03/658562803.db2.gz HKEFHFAIMMHPOY-DYVFJYSZSA-N 0 1 311.429 3.103 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](CC)c2ccc(F)cc2)CC1 ZINC000989756981 658563103 /nfs/dbraw/zinc/56/31/03/658563103.db2.gz FFTKXDDNZJRYAD-GOSISDBHSA-N 0 1 318.436 3.430 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cccnc2C(F)F)C1 ZINC000967291939 658564888 /nfs/dbraw/zinc/56/48/88/658564888.db2.gz PHVQRFLTKDGICB-JSGCOSHPSA-N 0 1 323.387 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C)c(C)c2)C1 ZINC000989753301 658571306 /nfs/dbraw/zinc/57/13/06/658571306.db2.gz UYFQZNDZUXJLSA-GOEBONIOSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2CC(c3ccccc3)C2)CC1 ZINC000989780385 658577113 /nfs/dbraw/zinc/57/71/13/658577113.db2.gz IZVUDRPZQSSNNE-UHFFFAOYSA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2coc3ccccc23)C1 ZINC000989858137 658599407 /nfs/dbraw/zinc/59/94/07/658599407.db2.gz XMAVAUWWVVZDIP-STQMWFEESA-N 0 1 318.804 3.378 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2csc3ccccc23)C1 ZINC001019206499 658616044 /nfs/dbraw/zinc/61/60/44/658616044.db2.gz SAUGFVGEKAZYPQ-LBPRGKRZSA-N 0 1 320.845 3.458 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC001019332203 658633972 /nfs/dbraw/zinc/63/39/72/658633972.db2.gz MQKQKRMJXKXGQU-YJBOKZPZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(C)C)cc2)C1 ZINC001019697947 658664877 /nfs/dbraw/zinc/66/48/77/658664877.db2.gz UYQBCOVXUSUZGI-INIZCTEOSA-N 0 1 306.837 3.367 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2sccc2C(C)C)C1 ZINC001019807253 658675450 /nfs/dbraw/zinc/67/54/50/658675450.db2.gz FTFACHAHEDVCDA-LBPRGKRZSA-N 0 1 312.866 3.428 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC000968208333 658682375 /nfs/dbraw/zinc/68/23/75/658682375.db2.gz DHEIMDYQLWFGRQ-MLGOLLRUSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(Cl)c(F)c2)C1 ZINC000968350482 658707349 /nfs/dbraw/zinc/70/73/49/658707349.db2.gz ZBIZDRCSZLXKPJ-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1c(C)c(F)ccc1Cl ZINC001038425472 658751594 /nfs/dbraw/zinc/75/15/94/658751594.db2.gz AJRILMITOCWZKX-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2sccc2s1 ZINC001038417718 658751616 /nfs/dbraw/zinc/75/16/16/658751616.db2.gz SUYZVVLYJUTZBL-NSHDSACASA-N 0 1 306.456 3.343 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001038793316 658803383 /nfs/dbraw/zinc/80/33/83/658803383.db2.gz WTGMQPOOKOKOAX-GBESFXJTSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CCc1cc(OCC)cc(CN2CCO[C@H](C)[C@@H]2C)c1O ZINC000164333790 658928930 /nfs/dbraw/zinc/92/89/30/658928930.db2.gz AYOINKPXGBGLOP-UONOGXRCSA-N 0 1 305.418 3.129 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1ncsc1C(C)C ZINC001038910123 658955531 /nfs/dbraw/zinc/95/55/31/658955531.db2.gz XYKCMDVKRWFJJR-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001038927355 658958289 /nfs/dbraw/zinc/95/82/89/658958289.db2.gz VMPHKDWTVLSSQI-MRXNPFEDSA-N 0 1 318.848 3.120 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1csc2c1CCCC2 ZINC001038994195 658976782 /nfs/dbraw/zinc/97/67/82/658976782.db2.gz XKAPNUQOXMJZGB-CYBMUJFWSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(CC(C)C)cc1 ZINC001039005419 658979388 /nfs/dbraw/zinc/97/93/88/658979388.db2.gz KFFYILGNDCYKGM-GOSISDBHSA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1CC(c2ccc(F)cc2)C1 ZINC001039087763 658995138 /nfs/dbraw/zinc/99/51/38/658995138.db2.gz KAYMGGYUTMDAHD-LEOMRAHMSA-N 0 1 316.420 3.086 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@H](C)c2ccco2)CC1 ZINC000948772287 659184063 /nfs/dbraw/zinc/18/40/63/659184063.db2.gz VIXBNVBCJRCJPE-HUUCEWRRSA-N 0 1 324.852 3.449 20 30 DGEDMN C=CCOc1ccccc1C(=O)NC1CN(CCC(C)(C)C)C1 ZINC001030542089 659222128 /nfs/dbraw/zinc/22/21/28/659222128.db2.gz MQGVXMSJOJBPLR-UHFFFAOYSA-N 0 1 316.445 3.102 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC001030611158 659262992 /nfs/dbraw/zinc/26/29/92/659262992.db2.gz QSVJJNRZJYHYCR-UHFFFAOYSA-N 0 1 300.446 3.119 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)/C(C)=C\C)C2 ZINC001045929973 659354955 /nfs/dbraw/zinc/35/49/55/659354955.db2.gz HYBHIXYXDBIIIN-ZGKREOHOSA-N 0 1 310.869 3.410 20 30 DGEDMN CCCCCC[C@H](C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174011976 659408001 /nfs/dbraw/zinc/40/80/01/659408001.db2.gz GBWCBDUGSYPONS-KBPBESRZSA-N 0 1 301.390 3.335 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc([C@H](C)CC)no3)CCC[C@@H]12 ZINC000992309600 659520637 /nfs/dbraw/zinc/52/06/37/659520637.db2.gz VACADRGZNUJRAM-MZMPZRCHSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc([C@H](C)CC)no3)CCC[C@H]12 ZINC000992309610 659520856 /nfs/dbraw/zinc/52/08/56/659520856.db2.gz VACADRGZNUJRAM-RPVQJOFSSA-N 0 1 317.433 3.101 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc(Cl)ccc3C)CCC[C@H]12 ZINC000992332621 659526673 /nfs/dbraw/zinc/52/66/73/659526673.db2.gz PLFHRXOBWFMEMS-FUHWJXTLSA-N 0 1 316.832 3.008 20 30 DGEDMN C=C1CC(C)(C(=O)NC2CN(CC3CCC4(CC4)CC3)C2)C1 ZINC001030924540 659539007 /nfs/dbraw/zinc/53/90/07/659539007.db2.gz SECWZZKUXTVZAN-UHFFFAOYSA-N 0 1 302.462 3.114 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4c(c3)CCC=C4)CCC[C@H]12 ZINC000992434710 659548248 /nfs/dbraw/zinc/54/82/48/659548248.db2.gz SEKIGWDDMPIBSZ-FPOVZHCZSA-N 0 1 320.436 3.006 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc(C)c(C)s3)CCC[C@H]12 ZINC000992475138 659559956 /nfs/dbraw/zinc/55/99/56/659559956.db2.gz NPEZGAKKKWEVQH-FUHWJXTLSA-N 0 1 316.470 3.115 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3ccc(Cl)s3)CCC[C@H]12 ZINC000992484263 659561467 /nfs/dbraw/zinc/56/14/67/659561467.db2.gz DXHKHEIXDAFLSY-XJKSGUPXSA-N 0 1 322.861 3.152 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccc(Cl)s3)CCC[C@H]12 ZINC000992484238 659561635 /nfs/dbraw/zinc/56/16/35/659561635.db2.gz DXHKHEIXDAFLSY-BBRMVZONSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@H](C)c3cccc(F)c3)CCC[C@@H]12 ZINC000992494213 659563825 /nfs/dbraw/zinc/56/38/25/659563825.db2.gz KBDBHTLFJSIDEG-LSBZLQRGSA-N 0 1 316.420 3.228 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc4cccc(C)c4o3)CCC[C@H]12 ZINC000992531317 659571745 /nfs/dbraw/zinc/57/17/45/659571745.db2.gz VCDGODYZNZNSGT-FXAWDEMLSA-N 0 1 322.408 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nc(C(C)C)oc2C)[C@H]1C ZINC000993335360 659717284 /nfs/dbraw/zinc/71/72/84/659717284.db2.gz WKHIUEBGISUZKO-HIFRSBDPSA-N 0 1 319.449 3.265 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nc(C(C)C)oc2C)[C@@H]1C ZINC000993335365 659717496 /nfs/dbraw/zinc/71/74/96/659717496.db2.gz WKHIUEBGISUZKO-ZFWWWQNUSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cscc2C(F)F)[C@H]1C ZINC000993363508 659721170 /nfs/dbraw/zinc/72/11/70/659721170.db2.gz IUAPMEXGVRLLBO-ZWNOBZJWSA-N 0 1 314.401 3.454 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2Cl)[C@@H]1C ZINC000993362764 659721263 /nfs/dbraw/zinc/72/12/63/659721263.db2.gz OKWAGNPRNNGMMB-WCQYABFASA-N 0 1 310.850 3.008 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2C)[C@@H]1C ZINC000993358821 659721355 /nfs/dbraw/zinc/72/13/55/659721355.db2.gz KKNAAGCWDXOZPC-WMLDXEAASA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2C)[C@H]1C ZINC000993358820 659721583 /nfs/dbraw/zinc/72/15/83/659721583.db2.gz KKNAAGCWDXOZPC-RHSMWYFYSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3ccccc3nc2C)[C@H]1C ZINC000993398690 659727251 /nfs/dbraw/zinc/72/72/51/659727251.db2.gz RYAZKNLSYNTZLS-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(CCC)s2)[C@@H]1C ZINC000993410356 659729455 /nfs/dbraw/zinc/72/94/55/659729455.db2.gz JITZYKOJCRNEHL-HOCLYGCPSA-N 0 1 318.486 3.307 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)ccc2F)[C@@H]1C ZINC000993437563 659740315 /nfs/dbraw/zinc/74/03/15/659740315.db2.gz APOKABLJORIFDE-LRDDRELGSA-N 0 1 308.372 3.124 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2oc(C(F)F)cc2C)[C@H]1C ZINC000993476716 659746189 /nfs/dbraw/zinc/74/61/89/659746189.db2.gz LWRRJUACMYSYFG-OLZOCXBDSA-N 0 1 324.371 3.132 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2oc(C(F)F)cc2C)[C@@H]1C ZINC000993462381 659749724 /nfs/dbraw/zinc/74/97/24/659749724.db2.gz FDFRJONZIAPAQG-NWDGAFQWSA-N 0 1 312.360 3.294 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2nccc3ccsc32)[C@@H]1C ZINC000993466914 659749843 /nfs/dbraw/zinc/74/98/43/659749843.db2.gz RXUPQCLSCLSVBC-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccccc2CCC)[C@H]1C ZINC000993565759 659764058 /nfs/dbraw/zinc/76/40/58/659764058.db2.gz RVZYSGRSCQPUAZ-CRAIPNDOSA-N 0 1 300.446 3.408 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(F)cc(C)cc2F)[C@@H]1C ZINC000993566543 659764497 /nfs/dbraw/zinc/76/44/97/659764497.db2.gz SUGGFIVMFIPIQC-WFASDCNBSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C)cc(Cl)c2)[C@H]1C ZINC000993609935 659765675 /nfs/dbraw/zinc/76/56/75/659765675.db2.gz DZUVGSIMNCHBAE-CZUORRHYSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCC2)[C@@H]1C ZINC000993586270 659767200 /nfs/dbraw/zinc/76/72/00/659767200.db2.gz MVAWDTOGZDRUPW-FUHWJXTLSA-N 0 1 312.457 3.263 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)[nH]c3ccccc32)[C@H]1C ZINC000993594391 659768467 /nfs/dbraw/zinc/76/84/67/659768467.db2.gz ZPEHDSHBJZGZFM-WBVHZDCISA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(CCCC)cc2)[C@@H]1C ZINC000993591757 659768725 /nfs/dbraw/zinc/76/87/25/659768725.db2.gz VZYAPHUZWSIULS-LPHOPBHVSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)[C@@H]1C ZINC000993632455 659773101 /nfs/dbraw/zinc/77/31/01/659773101.db2.gz BTZMIVGEJLKWNE-DOTOQJQBSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccn(C(C)C)c2C)[C@@H]1C ZINC000993699579 659781133 /nfs/dbraw/zinc/78/11/33/659781133.db2.gz DNCGEAZNYYDTBR-RDJZCZTQSA-N 0 1 303.450 3.146 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccn(C(C)C)c2C)[C@H]1C ZINC000993699581 659781412 /nfs/dbraw/zinc/78/14/12/659781412.db2.gz DNCGEAZNYYDTBR-WBVHZDCISA-N 0 1 303.450 3.146 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(F)ccc(C)c2F)[C@H]1C ZINC000993771852 659789390 /nfs/dbraw/zinc/78/93/90/659789390.db2.gz HIVVPKADYPONGL-OCCSQVGLSA-N 0 1 308.372 3.042 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(F)ccc(C)c2F)[C@H]1C ZINC000993778247 659789683 /nfs/dbraw/zinc/78/96/83/659789683.db2.gz RUEGSMMMRWZLBI-HIFRSBDPSA-N 0 1 322.399 3.432 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(CCC(F)(F)F)[C@@H]2C)C1 ZINC000993788793 659790818 /nfs/dbraw/zinc/79/08/18/659790818.db2.gz LJIZYLHJHIGFBO-OLZOCXBDSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)[C@H]1C ZINC000993807917 659791677 /nfs/dbraw/zinc/79/16/77/659791677.db2.gz TWQOIGLLEYOVGM-RHSMWYFYSA-N 0 1 318.436 3.258 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)[C@@H]1C ZINC000993807919 659791818 /nfs/dbraw/zinc/79/18/18/659791818.db2.gz TWQOIGLLEYOVGM-WMLDXEAASA-N 0 1 318.436 3.258 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@H]1C ZINC000993859228 659793598 /nfs/dbraw/zinc/79/35/98/659793598.db2.gz IZXMAWBCYOEESC-MLGOLLRUSA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H]1C ZINC000993859226 659793665 /nfs/dbraw/zinc/79/36/65/659793665.db2.gz IZXMAWBCYOEESC-BLLLJJGKSA-N 0 1 322.811 3.085 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncsc2C2CC2)[C@H]1C ZINC000993837434 659794576 /nfs/dbraw/zinc/79/45/76/659794576.db2.gz ZISWKWMJSKBGIH-TZMCWYRMSA-N 0 1 319.474 3.179 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H]1C ZINC000993880337 659796567 /nfs/dbraw/zinc/79/65/67/659796567.db2.gz SWSTYDBVGYIJAZ-XHDPSFHLSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(OCC)c2)[C@H]1C ZINC000993907830 659801125 /nfs/dbraw/zinc/80/11/25/659801125.db2.gz URHYSMZOPOMZDM-NVXWUHKLSA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H]1C ZINC000993956461 659802468 /nfs/dbraw/zinc/80/24/68/659802468.db2.gz YWSLQOBZGGLKHQ-HITAIPBASA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3sccc3c2)[C@@H]1C ZINC000994118183 659813063 /nfs/dbraw/zinc/81/30/63/659813063.db2.gz AQQJEUCZQYISTC-XJKSGUPXSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)c2cccc3ccsc32)[C@H]1C ZINC000994172970 659817792 /nfs/dbraw/zinc/81/77/92/659817792.db2.gz QCSWXMFVAPBRPH-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)C2CCCCCC2)C1 ZINC001046920050 659818010 /nfs/dbraw/zinc/81/80/10/659818010.db2.gz DQGYMOZAYPYPMV-OAHLLOKOSA-N 0 1 314.420 3.216 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncoc2C(C)(C)C)[C@@H]1C ZINC000994191351 659819011 /nfs/dbraw/zinc/81/90/11/659819011.db2.gz RYRLHGFVYFDOSB-UONOGXRCSA-N 0 1 319.449 3.131 20 30 DGEDMN C[C@@H]1[C@H](NC(=O)C(C)(C)C)CCCN1Cc1ccc(C#N)cc1 ZINC000994255773 659826320 /nfs/dbraw/zinc/82/63/20/659826320.db2.gz CEHQJUDGACFPFR-RHSMWYFYSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3ncsc3c2)[C@@H]1C ZINC000994324548 659838269 /nfs/dbraw/zinc/83/82/69/659838269.db2.gz BVBUYFJFCIRFRZ-GXTWGEPZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C)nc3ccccc32)[C@@H]1C ZINC000994340291 659838692 /nfs/dbraw/zinc/83/86/92/659838692.db2.gz TUFCWYRPXCRONF-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC(C)C)cc2)[C@@H]1C ZINC000994340364 659839537 /nfs/dbraw/zinc/83/95/37/659839537.db2.gz UFFNFPBQFMPVIX-YJBOKZPZSA-N 0 1 316.445 3.243 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C)cc2C)[C@@H]1C ZINC000994344190 659839767 /nfs/dbraw/zinc/83/97/67/659839767.db2.gz BYNKPVXOEINPEH-FUHWJXTLSA-N 0 1 300.446 3.462 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1C ZINC000994353709 659842642 /nfs/dbraw/zinc/84/26/42/659842642.db2.gz QLWPKCUWPBRGHG-WBMJQRKESA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(F)cc2Cl)[C@H]1C ZINC000994353709 659842645 /nfs/dbraw/zinc/84/26/45/659842645.db2.gz QLWPKCUWPBRGHG-WBMJQRKESA-N 0 1 322.811 3.085 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)cc(C)cc2C)[C@@H]1C ZINC000994374228 659846875 /nfs/dbraw/zinc/84/68/75/659846875.db2.gz IGDAKGYTRAUCQS-ZWKOTPCHSA-N 0 1 312.457 3.218 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc3c2CCCC3)[C@H]1C ZINC000994382158 659848945 /nfs/dbraw/zinc/84/89/45/659848945.db2.gz UUMDCEJAIYDWGK-CZUORRHYSA-N 0 1 318.486 3.396 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C3CCCC3)no2)[C@@H]1C ZINC000994452248 659857071 /nfs/dbraw/zinc/85/70/71/659857071.db2.gz PCSOTXKEZCYKOJ-ZFWWWQNUSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CC(c4ccccc4)(C2)C3)[C@H]1C ZINC000994494043 659861952 /nfs/dbraw/zinc/86/19/52/659861952.db2.gz LSLWQHAABYHZSA-SPNPKDGLSA-N 0 1 324.468 3.263 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)[C@@H]1C ZINC000994497111 659863192 /nfs/dbraw/zinc/86/31/92/659863192.db2.gz QGZUBDRYBVINGN-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccccc3C)CC2)[C@H]1C ZINC000994518373 659868666 /nfs/dbraw/zinc/86/86/66/659868666.db2.gz MHHAHPJCMZPEFN-AEFFLSMTSA-N 0 1 312.457 3.182 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(-c3ccccc3)[nH]2)[C@H]1C ZINC000994519011 659869490 /nfs/dbraw/zinc/86/94/90/659869490.db2.gz NUSKLYXJYFNPSK-NVXWUHKLSA-N 0 1 323.440 3.450 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(CC)c(CC)c2)[C@@H]1C ZINC000994556842 659881756 /nfs/dbraw/zinc/88/17/56/659881756.db2.gz FTHBCYYBZFXGNY-HNAYVOBHSA-N 0 1 312.457 3.027 20 30 DGEDMN C[C@@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@H]1n1ccnc1 ZINC000930055601 659901468 /nfs/dbraw/zinc/90/14/68/659901468.db2.gz PYQDNXSRLJTBGS-CXAGYDPISA-N 0 1 314.820 3.491 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)CCC1CCC1 ZINC000175110531 659916067 /nfs/dbraw/zinc/91/60/67/659916067.db2.gz GVVWEBOBQIGQSC-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN COC(=O)c1ccc(/C=C/C(=O)c2ccc(O)c(F)c2)cc1 ZINC000170851868 659927978 /nfs/dbraw/zinc/92/79/78/659927978.db2.gz WJZWSLLDQXANNV-XBXARRHUSA-N 0 1 300.285 3.214 20 30 DGEDMN Cc1nn(C)c2ncc(/C=C/C(=O)c3ccc(O)c(F)c3)cc12 ZINC000170851723 659928001 /nfs/dbraw/zinc/92/80/01/659928001.db2.gz POKVCONOQJOJSS-HWKANZROSA-N 0 1 311.316 3.018 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2oc3c(cccc3F)c2C)C1 ZINC001031732783 659931478 /nfs/dbraw/zinc/93/14/78/659931478.db2.gz YJDGSSIXDUXRTC-UHFFFAOYSA-N 0 1 316.376 3.118 20 30 DGEDMN O=C(C#Cc1ccccc1)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000179850538 659943807 /nfs/dbraw/zinc/94/38/07/659943807.db2.gz INSPFUJBYOJCAW-UHFFFAOYSA-N 0 1 311.291 3.491 20 30 DGEDMN C#CCCCCC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000180736023 659969716 /nfs/dbraw/zinc/96/97/16/659969716.db2.gz DCRGUAUAALKDGD-CQSZACIVSA-N 0 1 302.761 3.181 20 30 DGEDMN C=CCN1CC(CNC(=O)c2sc3ccccc3c2C)C1 ZINC001031829521 660003486 /nfs/dbraw/zinc/00/34/86/660003486.db2.gz USCSWLBNINBBJO-UHFFFAOYSA-N 0 1 300.427 3.057 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC[C@@H]2c2ccccc2)C1 ZINC001031836866 660008240 /nfs/dbraw/zinc/00/82/40/660008240.db2.gz RWRSLDNCXNRILZ-RTBURBONSA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2sccc2C2CC2)C[C@@H]1C ZINC000939675853 660008911 /nfs/dbraw/zinc/00/89/11/660008911.db2.gz WAJIIBLSDXQNOR-IINYFYTJSA-N 0 1 324.877 3.428 20 30 DGEDMN CC(C)C[C@@H](C)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000070032818 660034092 /nfs/dbraw/zinc/03/40/92/660034092.db2.gz HLHHRVLWEABFGR-ZBFHGGJFSA-N 0 1 300.402 3.084 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2sccc2Cl)C(C)(C)C1 ZINC000940765822 660062515 /nfs/dbraw/zinc/06/25/15/660062515.db2.gz WQONNMZLBSIJPJ-CYBMUJFWSA-N 0 1 324.877 3.255 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2[C@@H]3CCCCCC[C@@H]23)C(C)(C)C1 ZINC000941058518 660083327 /nfs/dbraw/zinc/08/33/27/660083327.db2.gz NVAALDICNQAGPX-ZACQAIPSSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccc(OC)c2C)C(C)(C)C1 ZINC000941063164 660083468 /nfs/dbraw/zinc/08/34/68/660083468.db2.gz BTEIVBHUSMANGC-KRWDZBQOSA-N 0 1 316.445 3.020 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)C(C)(C)C1 ZINC000941087113 660085133 /nfs/dbraw/zinc/08/51/33/660085133.db2.gz DUWFHBSUVIILMN-LJQANCHMSA-N 0 1 322.452 3.110 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C3CC3)s2)C(C)(C)C1 ZINC000941164262 660089923 /nfs/dbraw/zinc/08/99/23/660089923.db2.gz UBOJPPNXPJDGNO-INIZCTEOSA-N 0 1 316.470 3.089 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)C2CCC(C3CC3)CC2)C(C)(C)C1 ZINC000941193378 660093276 /nfs/dbraw/zinc/09/32/76/660093276.db2.gz JVYYGZFMJTWMOK-DAWZGUTISA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCC[C@@H]2c2ccccc2)C1 ZINC001031991835 660133140 /nfs/dbraw/zinc/13/31/40/660133140.db2.gz XYWGREOTSLXRGO-RTBURBONSA-N 0 1 312.457 3.194 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2scc(C)c2Cl)[C@H](C)C1 ZINC000942079804 660135992 /nfs/dbraw/zinc/13/59/92/660135992.db2.gz QKWZLWBAFALOFG-DGCLKSJQSA-N 0 1 324.877 3.173 20 30 DGEDMN CC(C)[N@H+](Cc1cccc(C(F)(F)F)c1)C[C@H](O)CC#N ZINC000929391152 661303637 /nfs/dbraw/zinc/30/36/37/661303637.db2.gz NSAAQUJOSWFVLL-CQSZACIVSA-N 0 1 300.324 3.190 20 30 DGEDMN Cn1cc(C[C@H]2CC[N@@H+](Cc3cc(Cl)cc(C#N)c3)C2)cn1 ZINC000929769018 661336828 /nfs/dbraw/zinc/33/68/28/661336828.db2.gz MBRHMGZSZXYPAL-CYBMUJFWSA-N 0 1 314.820 3.010 20 30 DGEDMN CN(CCC#N)CCC1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC000929809319 661340811 /nfs/dbraw/zinc/34/08/11/661340811.db2.gz NVYJAGMRCRYAJY-MQVJKMGUSA-N 0 1 321.465 3.400 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)c1cccc(C)c1 ZINC000930455240 661399997 /nfs/dbraw/zinc/39/99/97/661399997.db2.gz JCUBYXWVEKFQQB-IBGZPJMESA-N 0 1 315.413 3.192 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3Cc3cncc(C#N)c3)[nH]c2c1 ZINC000930487404 661403816 /nfs/dbraw/zinc/40/38/16/661403816.db2.gz VVTSKWXPFASCPJ-SFHVURJKSA-N 0 1 317.396 3.475 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)[C@H]1CCC[N@H+]1C(C)C ZINC000930527398 661407803 /nfs/dbraw/zinc/40/78/03/661407803.db2.gz BBKHPKFZOPXMKK-MRXNPFEDSA-N 0 1 315.417 3.157 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)[C@H]1CCCN1C(C)C ZINC000930527398 661407805 /nfs/dbraw/zinc/40/78/05/661407805.db2.gz BBKHPKFZOPXMKK-MRXNPFEDSA-N 0 1 315.417 3.157 20 30 DGEDMN C[C@H](c1ccc(F)nc1)N1CCC(c2ccc(C#N)cn2)CC1 ZINC000931112081 661453173 /nfs/dbraw/zinc/45/31/73/661453173.db2.gz DBQOOCNYGPUEKJ-CYBMUJFWSA-N 0 1 310.376 3.428 20 30 DGEDMN CC(C)C[C@@](C)(C#N)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000931303762 661465405 /nfs/dbraw/zinc/46/54/05/661465405.db2.gz JVTVHSXOWKEJNS-SFHVURJKSA-N 0 1 317.433 3.324 20 30 DGEDMN N#Cc1cnccc1CN1CCC[C@H](c2c[nH]c3ncccc23)C1 ZINC000931375813 661472275 /nfs/dbraw/zinc/47/22/75/661472275.db2.gz LQOKYUOUJMOQHI-HNNXBMFYSA-N 0 1 317.396 3.209 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000931470098 661480328 /nfs/dbraw/zinc/48/03/28/661480328.db2.gz BATXBBGXMTVNTB-HZPDHXFCSA-N 0 1 304.434 3.443 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2ccc(C)c(C)c2Cl)CC1 ZINC000931805577 661511151 /nfs/dbraw/zinc/51/11/51/661511151.db2.gz GYMKMGWLASUYQA-UHFFFAOYSA-N 0 1 319.836 3.176 20 30 DGEDMN N#Cc1c(F)cccc1CN1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000931908164 661520535 /nfs/dbraw/zinc/52/05/35/661520535.db2.gz LKGAZLSIHJPTHZ-XLIONFOSSA-N 0 1 322.383 3.097 20 30 DGEDMN CC(C)(C)OC[C@@H]1CCC[N@H+]1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000932167915 661543887 /nfs/dbraw/zinc/54/38/87/661543887.db2.gz BCMQNQPOERWZNH-HNNXBMFYSA-N 0 1 317.389 3.246 20 30 DGEDMN CC(C)(C)OC[C@@H]1CCCN1Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000932167915 661543888 /nfs/dbraw/zinc/54/38/88/661543888.db2.gz BCMQNQPOERWZNH-HNNXBMFYSA-N 0 1 317.389 3.246 20 30 DGEDMN N#CCCc1ccc(NC(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000932572752 661581758 /nfs/dbraw/zinc/58/17/58/661581758.db2.gz JHVJDPCZNVNAGH-HNNXBMFYSA-N 0 1 309.373 3.065 20 30 DGEDMN C#CCCN1C[C@H]2[C@@H](C1)C(F)(F)C(F)(F)C(F)(F)C2(F)F ZINC000932945268 661611333 /nfs/dbraw/zinc/61/13/33/661611333.db2.gz ULCUFBNXCJFDFW-OCAPTIKFSA-N 0 1 321.211 3.113 20 30 DGEDMN C#CCC1(NCc2ccc(C#N)cc2Br)CCC1 ZINC000933299040 661628369 /nfs/dbraw/zinc/62/83/69/661628369.db2.gz MGBCKHLCHLEIAG-UHFFFAOYSA-N 0 1 303.203 3.356 20 30 DGEDMN Cc1cc(=O)[nH]c([C@H](C)N2CCC(c3ccc(C#N)cc3)CC2)n1 ZINC000933612869 661644774 /nfs/dbraw/zinc/64/47/74/661644774.db2.gz RXSMPYORBWYQKE-AWEZNQCLSA-N 0 1 322.412 3.303 20 30 DGEDMN Cc1ccc2ncc(CN3CCC4(CC3)CC(=O)C=CO4)cc2c1 ZINC000933648287 661647774 /nfs/dbraw/zinc/64/77/74/661647774.db2.gz WWDCEQCCMYWXQV-UHFFFAOYSA-N 0 1 322.408 3.381 20 30 DGEDMN CC(C)Oc1ccc(CN2CCC3(CC2)CC(=O)C=CO3)cc1 ZINC000933652961 661648069 /nfs/dbraw/zinc/64/80/69/661648069.db2.gz UDMHDFZRXQORSN-UHFFFAOYSA-N 0 1 315.413 3.312 20 30 DGEDMN O=C1C=COC2(CCN([C@H]3CCc4ccc(F)cc43)CC2)C1 ZINC000933651208 661648095 /nfs/dbraw/zinc/64/80/95/661648095.db2.gz GFWYCEWNBZZLHN-KRWDZBQOSA-N 0 1 301.361 3.151 20 30 DGEDMN COc1ccc([C@@H]2CCC[N@H+]2CCOc2ccccc2C#N)nc1 ZINC000934349907 661700717 /nfs/dbraw/zinc/70/07/17/661700717.db2.gz UNLLMHKBLFVETB-SFHVURJKSA-N 0 1 323.396 3.178 20 30 DGEDMN COc1ccc([C@@H]2CCCN2CCOc2ccccc2C#N)nc1 ZINC000934349907 661700718 /nfs/dbraw/zinc/70/07/18/661700718.db2.gz UNLLMHKBLFVETB-SFHVURJKSA-N 0 1 323.396 3.178 20 30 DGEDMN C#Cc1ccc(NC(=O)N[C@H](C)c2n[nH]c([C@H](C)CC)n2)cc1 ZINC000934928226 661749134 /nfs/dbraw/zinc/74/91/34/661749134.db2.gz KOQZPJQHRRVYLL-VXGBXAGGSA-N 0 1 311.389 3.182 20 30 DGEDMN C#C[C@@H](NC(=O)c1cccc2nc(C(F)F)[nH]c21)C(C)(C)C ZINC000936000765 661824760 /nfs/dbraw/zinc/82/47/60/661824760.db2.gz GRNJIRQVDIQXBL-LLVKDONJSA-N 0 1 305.328 3.278 20 30 DGEDMN C#C[C@H](NC(=O)c1cccc2nc(C(F)F)[nH]c21)C(C)(C)C ZINC000936000766 661824784 /nfs/dbraw/zinc/82/47/84/661824784.db2.gz GRNJIRQVDIQXBL-NSHDSACASA-N 0 1 305.328 3.278 20 30 DGEDMN N#CC(C(=O)CSc1ccc(F)c(F)c1)c1ccccn1 ZINC000041493139 661834663 /nfs/dbraw/zinc/83/46/63/661834663.db2.gz YJPDPHDDGWLANW-NSHDSACASA-N 0 1 304.321 3.328 20 30 DGEDMN O=C(/C=C/c1c(Cl)nc2ccccn12)c1ccc(O)cc1O ZINC000156632581 661864190 /nfs/dbraw/zinc/86/41/90/661864190.db2.gz YJIPYQTYLQIVMO-VOTSOKGWSA-N 0 1 314.728 3.295 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2cn3ccccc3n2)cc1 ZINC000159413658 661887678 /nfs/dbraw/zinc/88/76/78/661887678.db2.gz YWUYEWATLVEGHV-HNNXBMFYSA-N 0 1 305.381 3.197 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cscc3s2)C[C@H]1C ZINC000947551350 661915940 /nfs/dbraw/zinc/91/59/40/661915940.db2.gz IXPXBSQZQLVLSY-YPMHNXCESA-N 0 1 318.467 3.179 20 30 DGEDMN C=CCOc1cccc(NC(=O)Cc2n[nH]c3c2CCCC3)c1 ZINC000188350349 661926934 /nfs/dbraw/zinc/92/69/34/661926934.db2.gz VMJSSVWSKXOVFI-UHFFFAOYSA-N 0 1 311.385 3.035 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(-c3ccccc3)co2)C[C@H]1C ZINC000948103202 661951385 /nfs/dbraw/zinc/95/13/85/661951385.db2.gz COXLTTOZPHSIGC-QAPCUYQASA-N 0 1 322.408 3.163 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccoc2C)CC1 ZINC000948168510 661956934 /nfs/dbraw/zinc/95/69/34/661956934.db2.gz BRSWKYKMIWCFSM-AWEZNQCLSA-N 0 1 310.825 3.267 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2[nH]c(C)cc2C)CC1 ZINC000948556085 661982753 /nfs/dbraw/zinc/98/27/53/661982753.db2.gz CNYWRPNNUJUNDW-HNNXBMFYSA-N 0 1 323.868 3.311 20 30 DGEDMN C=CCCN1CCN(C(=O)C2(c3cccc(Cl)c3)CC2)CC1 ZINC000948634008 661987609 /nfs/dbraw/zinc/98/76/09/661987609.db2.gz IYCYTOJDCBACDS-UHFFFAOYSA-N 0 1 318.848 3.092 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C2(C)CC=CC2)CC1 ZINC000948747247 661995156 /nfs/dbraw/zinc/99/51/56/661995156.db2.gz SIZLRCNCQHNKEK-OAHLLOKOSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CCOc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000076842913 662002043 /nfs/dbraw/zinc/00/20/43/662002043.db2.gz VORFWZCSCPJDDF-HNNXBMFYSA-N 0 1 316.316 3.330 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2sccc2C(C)C)CC1 ZINC001006437943 662005599 /nfs/dbraw/zinc/00/55/99/662005599.db2.gz GWSZHQYNZMAFHG-UHFFFAOYSA-N 0 1 304.459 3.041 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCc3c2cccc3Cl)CC1 ZINC000949323726 662014472 /nfs/dbraw/zinc/01/44/72/662014472.db2.gz OIJKQSHPOFGUOW-MRXNPFEDSA-N 0 1 318.848 3.090 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)c2cccs2)CC1 ZINC000949631703 662021889 /nfs/dbraw/zinc/02/18/89/662021889.db2.gz OBACOMLNQCOOTO-UHFFFAOYSA-N 0 1 306.475 3.136 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(Cl)cc2C)CC1 ZINC000950000827 662041276 /nfs/dbraw/zinc/04/12/76/662041276.db2.gz WUKZLBJOTFAJBC-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN CCN(C)c1ccc(/C=C/C(=O)c2ccc(OC)cc2O)cn1 ZINC000191318935 662059219 /nfs/dbraw/zinc/05/92/19/662059219.db2.gz HDUCHXOVPAAOFO-WEVVVXLNSA-N 0 1 312.369 3.148 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2nccn2-c2ccccc2)c(O)c1 ZINC000191319033 662059417 /nfs/dbraw/zinc/05/94/17/662059417.db2.gz OXBXLVOWJKPKQG-MDZDMXLPSA-N 0 1 320.348 3.483 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CC3CCC4(CC4)CC3)CC2)C1 ZINC000950386187 662062758 /nfs/dbraw/zinc/06/27/58/662062758.db2.gz WEXPALKHCYIYJT-UHFFFAOYSA-N 0 1 316.489 3.457 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C)cc2Cl)CC1 ZINC000950647235 662076691 /nfs/dbraw/zinc/07/66/91/662076691.db2.gz CPYZTJLCALCSDR-UHFFFAOYSA-N 0 1 306.837 3.372 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@@H](C)O[C@@H](CC)C2)c1O ZINC000246987417 662295462 /nfs/dbraw/zinc/29/54/62/662295462.db2.gz TWZYSHNGXPUGMD-CJNGLKHVSA-N 0 1 305.418 3.129 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCCN1Cc1ccc(F)cc1)c1ccccn1 ZINC000091811466 662318919 /nfs/dbraw/zinc/31/89/19/662318919.db2.gz CRIUYLLJSJINOE-SJLPKXTDSA-N 0 1 323.371 3.062 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3ccncc32)C1 ZINC000957077203 662348395 /nfs/dbraw/zinc/34/83/95/662348395.db2.gz RVRNCZNYSCYQRT-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)CCC3CCCCC3)C2)C1 ZINC000982135366 685343675 /nfs/dbraw/zinc/34/36/75/685343675.db2.gz FRTNUSHQNJUFJS-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc3occc3c2)C1 ZINC000988873803 685356744 /nfs/dbraw/zinc/35/67/44/685356744.db2.gz CZJZIYYWZRSCSW-SWLSCSKDSA-N 0 1 318.804 3.378 20 30 DGEDMN C[C@@H]1CC[C@H](NC(=O)C2=CCCC2)CN1Cc1ccc(C#N)cc1 ZINC001071417418 686658306 /nfs/dbraw/zinc/65/83/06/686658306.db2.gz UIODUGMNSHLFNC-BEFAXECRSA-N 0 1 323.440 3.138 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2sccc2Cl)CC[C@@H]1C ZINC001071526653 686679181 /nfs/dbraw/zinc/67/91/81/686679181.db2.gz UFSFSHPKWKMSEM-RYUDHWBXSA-N 0 1 310.850 3.008 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2nsc3ccccc32)CC[C@@H]1C ZINC001071531941 686681065 /nfs/dbraw/zinc/68/10/65/686681065.db2.gz SNCNEVNISZXROS-QWHCGFSZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3cc(C)cn3c2)CC[C@@H]1C ZINC001071555771 686687996 /nfs/dbraw/zinc/68/79/96/686687996.db2.gz GNFDBGXFJIZDIS-DOTOQJQBSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(F)ccc2F)CC[C@@H]1C ZINC001071569028 686690774 /nfs/dbraw/zinc/69/07/74/686690774.db2.gz VWJCATCELWMTSG-JSGCOSHPSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2oc(C(F)F)cc2C)CC[C@@H]1C ZINC001071584453 686696348 /nfs/dbraw/zinc/69/63/48/686696348.db2.gz QJUUEWPQHOGGKF-RYUDHWBXSA-N 0 1 312.360 3.294 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(C)cc(OC)c2C)CC[C@H]1C ZINC001071647243 686711368 /nfs/dbraw/zinc/71/13/68/686711368.db2.gz FSDRMIFVPULUIP-GDBMZVCRSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)cc(OC)c2C)CC[C@H]1C ZINC001071647246 686711657 /nfs/dbraw/zinc/71/16/57/686711657.db2.gz FSDRMIFVPULUIP-ZBFHGGJFSA-N 0 1 316.445 3.081 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(-n3cccc3)cc2)CC[C@H]1C ZINC001071654223 686713380 /nfs/dbraw/zinc/71/33/80/686713380.db2.gz DJRVKDRXLYHFAY-AEFFLSMTSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(Cl)ccc2F)CC[C@@H]1C ZINC001071662123 686715649 /nfs/dbraw/zinc/71/56/49/686715649.db2.gz AYNAMHRWDIAZJD-AAEUAGOBSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C(C2CCC2)C2CCC2)CC[C@H]1C ZINC001071773205 686747633 /nfs/dbraw/zinc/74/76/33/686747633.db2.gz YBSLLIVTGWCAFM-QAPCUYQASA-N 0 1 316.489 3.195 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C(F)F)c2)CC[C@H]1C ZINC001071772884 686748048 /nfs/dbraw/zinc/74/80/48/686748048.db2.gz SAEGGTKYSALHGX-DGCLKSJQSA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3ncccc3c2)CC[C@H]1C ZINC001071787028 686753129 /nfs/dbraw/zinc/75/31/29/686753129.db2.gz ANSSASWWJHYDET-RHSMWYFYSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ncccc3c2)CC[C@@H]1C ZINC001071787030 686753723 /nfs/dbraw/zinc/75/37/23/686753723.db2.gz ANSSASWWJHYDET-YOEHRIQHSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(F)ccc(C)c2F)CC[C@H]1C ZINC001071796220 686757134 /nfs/dbraw/zinc/75/71/34/686757134.db2.gz QPHBXVKHVMZUIW-ZIAGYGMSSA-N 0 1 322.399 3.432 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CC[C@@H](C)N(CCC(F)(F)F)C2)C1 ZINC001071807476 686759599 /nfs/dbraw/zinc/75/95/99/686759599.db2.gz SOLKSVVGNURCSE-OLZOCXBDSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc[nH]c2C2CCC2)CC[C@@H]1C ZINC001071828751 686763091 /nfs/dbraw/zinc/76/30/91/686763091.db2.gz TWOHFTDXWDWWGI-DZGCQCFKSA-N 0 1 301.434 3.051 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C3CC3)nc2C)CC[C@H]1C ZINC001071832127 686764513 /nfs/dbraw/zinc/76/45/13/686764513.db2.gz RDALHTIKORWFEL-CZUORRHYSA-N 0 1 313.445 3.036 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(F)c2Cl)CC[C@H]1C ZINC001071839505 686767687 /nfs/dbraw/zinc/76/76/87/686767687.db2.gz FQBPIQQWBLVMTC-OLZOCXBDSA-N 0 1 322.811 3.085 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)cc(Cl)c2)CC[C@H]1C ZINC001071844479 686770035 /nfs/dbraw/zinc/77/00/35/686770035.db2.gz NBIIJQKDYORPNT-ABAIWWIYSA-N 0 1 310.800 3.248 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccc(C)c3ccccc23)CC[C@@H]1C ZINC001071847395 686770532 /nfs/dbraw/zinc/77/05/32/686770532.db2.gz KOCAXUZOQXTLMV-DLBZAZTESA-N 0 1 320.436 3.364 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccn(C(CC)CC)n2)CC[C@H]1C ZINC001071871979 686777188 /nfs/dbraw/zinc/77/71/88/686777188.db2.gz KNLJPIJRBQDFHY-CABCVRRESA-N 0 1 318.465 3.013 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(Cl)c(OC)c2)CC[C@@H]1C ZINC001071876132 686778863 /nfs/dbraw/zinc/77/88/63/686778863.db2.gz JEVUGNBUOJRDHY-JSGCOSHPSA-N 0 1 322.836 3.117 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2scnc2C(C)C)CC[C@H]1C ZINC001071883312 686780997 /nfs/dbraw/zinc/78/09/97/686780997.db2.gz JJQHBBPLTJZJHX-KGLIPLIRSA-N 0 1 321.490 3.425 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(F)F)cc2)CC[C@H]1C ZINC001071890963 686783767 /nfs/dbraw/zinc/78/37/67/686783767.db2.gz UZHWQFXUAYLPJZ-DOMZBBRYSA-N 0 1 308.372 3.393 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC)cc2Cl)CC[C@@H]1C ZINC001071908654 686789581 /nfs/dbraw/zinc/78/95/81/686789581.db2.gz ZTVQENNFWYWYMB-STQMWFEESA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3ccncc3c2)CC[C@H]1C ZINC001071964401 686798395 /nfs/dbraw/zinc/79/83/95/686798395.db2.gz MXUNXOXDNLWWFA-KDOFPFPSSA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2coc(C3CCC3)n2)CC[C@@H]1C ZINC001071972523 686800528 /nfs/dbraw/zinc/80/05/28/686800528.db2.gz WWRSBDKJGWFYJM-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cc(CC)c(CC)o2)CC[C@H]1C ZINC001071997301 686809577 /nfs/dbraw/zinc/80/95/77/686809577.db2.gz XVUSSVAWJOZWEU-UKRRQHHQSA-N 0 1 304.434 3.173 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)CC[C@@H]1C ZINC001072009580 686815763 /nfs/dbraw/zinc/81/57/63/686815763.db2.gz YHBSCNJYSSVSMQ-XSLAGTTESA-N 0 1 304.478 3.195 20 30 DGEDMN C[C@H]1CC[C@H](NC(=O)C(C)(C)C)CN1Cc1ccc(C#N)cc1 ZINC001072016873 686822741 /nfs/dbraw/zinc/82/27/41/686822741.db2.gz VMXMCOGIQRQHRW-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3ccccc3c2)CC[C@H]1C ZINC001072019849 686826943 /nfs/dbraw/zinc/82/69/43/686826943.db2.gz PNOUHZJGAVDMQV-OXQOHEQNSA-N 0 1 320.436 3.446 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C(F)F)o2)CC[C@H]1C ZINC001072033251 686834497 /nfs/dbraw/zinc/83/44/97/686834497.db2.gz LAXVRWWSMNQELT-NEPJUHHUSA-N 0 1 312.360 3.376 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(Cl)s2)CC[C@H]1C ZINC001072050179 686845993 /nfs/dbraw/zinc/84/59/93/686845993.db2.gz UCSLTKAIXRKQSV-VXGBXAGGSA-N 0 1 310.850 3.008 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(Cl)s2)CC[C@H]1C ZINC001072050175 686846442 /nfs/dbraw/zinc/84/64/42/686846442.db2.gz UCSLTKAIXRKQSV-NEPJUHHUSA-N 0 1 310.850 3.008 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CC)c(C)s2)CC[C@@H]1C ZINC001072054927 686850628 /nfs/dbraw/zinc/85/06/28/686850628.db2.gz MYBPUDLWAJPGKW-BBRMVZONSA-N 0 1 318.486 3.225 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)CC[C@@H]1C ZINC001072101108 686866332 /nfs/dbraw/zinc/86/63/32/686866332.db2.gz DHZSULJLAHVFGQ-HOTGVXAUSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC)C3CCC(C)CC3)C2)C1 ZINC001072805915 686963217 /nfs/dbraw/zinc/96/32/17/686963217.db2.gz SYJALIYZXYQFFJ-ABHNRTSZSA-N 0 1 316.489 3.006 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C(C)(C)CC)cc3)C2)C1 ZINC001072839606 686968207 /nfs/dbraw/zinc/96/82/07/686968207.db2.gz ICEHJXRPXMJNFW-UHFFFAOYSA-N 0 1 324.468 3.155 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC)CC3CCCCC3)C2)C1 ZINC001073030414 687002952 /nfs/dbraw/zinc/00/29/52/687002952.db2.gz YYCXOWXBBOKFDD-SFHVURJKSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C)c(C)c3Cl)[C@@H]2C1 ZINC001076460939 687525898 /nfs/dbraw/zinc/52/58/98/687525898.db2.gz JKJRYPGDHHZNOG-GOEBONIOSA-N 0 1 318.848 3.289 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC3CCC(C)(C)CC3)[C@@H]2C1 ZINC001076648919 687546406 /nfs/dbraw/zinc/54/64/06/687546406.db2.gz NTCLBCYMKASJGF-ZWKOTPCHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC001080100537 687919799 /nfs/dbraw/zinc/91/97/99/687919799.db2.gz FQXZFHYSHLDTPT-ZIAGYGMSSA-N 0 1 314.385 3.166 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001080108312 687920722 /nfs/dbraw/zinc/92/07/22/687920722.db2.gz RXZZIALAWYREFZ-FZKQIMNGSA-N 0 1 312.388 3.215 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2O[C@@H](C)CC)C1 ZINC001080530817 687993555 /nfs/dbraw/zinc/99/35/55/687993555.db2.gz WJKPXAKIGDUVEB-HLLBOEOZSA-N 0 1 316.445 3.100 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001080744037 688010266 /nfs/dbraw/zinc/01/02/66/688010266.db2.gz HXZZASWRSXAEDS-IJLUTSLNSA-N 0 1 312.866 3.128 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(F)F)cc2)C1 ZINC001081064996 688045318 /nfs/dbraw/zinc/04/53/18/688045318.db2.gz MAXFUQHUGCNCMB-IUODEOHRSA-N 0 1 308.372 3.034 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](F)CC)C[C@H]1c1ccccc1 ZINC001081162550 688057346 /nfs/dbraw/zinc/05/73/46/688057346.db2.gz QDTFWAGRBCCPMU-ARFHVFGLSA-N 0 1 324.827 3.071 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)c2ccccc2F)C[C@H]1C ZINC001082813968 688129368 /nfs/dbraw/zinc/12/93/68/688129368.db2.gz KSCIHDHSEADOGT-PVXIVEMSSA-N 0 1 324.827 3.118 20 30 DGEDMN CCC[C@H]1CNCCN1C(=O)Nc1ccc(C#N)cc1C1CC1 ZINC001577383861 1191896592 /nfs/dbraw/zinc/89/65/92/1191896592.db2.gz RZPKEXLUWRKKNH-HNNXBMFYSA-N 0 1 312.417 3.041 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001661097521 1196566045 /nfs/dbraw/zinc/56/60/45/1196566045.db2.gz RBZOIZCZBFDJRV-MSOLQXFVSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCOc1ccccc1CNCc1cccc(Cl)c1N ZINC001620799218 1192082843 /nfs/dbraw/zinc/08/28/43/1192082843.db2.gz PGVBSHKNFPIOPS-UHFFFAOYSA-N 0 1 300.789 3.224 20 30 DGEDMN N#CC1(CNC(=O)c2ccc(CN3CCCC3)o2)CCCCC1 ZINC001584783483 1192220437 /nfs/dbraw/zinc/22/04/37/1192220437.db2.gz LNOADXOECQGVEK-UHFFFAOYSA-N 0 1 315.417 3.079 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3cc(Cl)c(F)cc3[nH]2)o1 ZINC001586818688 1192351871 /nfs/dbraw/zinc/35/18/71/1192351871.db2.gz JSAKGTFMSXWTRR-UHFFFAOYSA-N 0 1 304.668 3.072 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2c(C)cc(-c3nn[nH]n3)cc2C)C1 ZINC001588560511 1192449627 /nfs/dbraw/zinc/44/96/27/1192449627.db2.gz RURBERASONBNQY-OAHLLOKOSA-N 0 1 311.433 3.272 20 30 DGEDMN CCC(N=Nc1oc(C(C)C)nc1-c1nn[nH]n1)[C@@H]1CC1(C)C ZINC001590358644 1192502071 /nfs/dbraw/zinc/50/20/71/1192502071.db2.gz ALYIEAOUMCDWPN-VIFPVBQESA-N 0 1 317.397 3.202 20 30 DGEDMN CCCC(CCC)N=Nc1oc(CC(C)C)nc1-c1nn[nH]n1 ZINC001590555340 1192521830 /nfs/dbraw/zinc/52/18/30/1192521830.db2.gz ZDOLHKXEAMZCCV-UHFFFAOYSA-N 0 1 319.413 3.421 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001665637540 1197162584 /nfs/dbraw/zinc/16/25/84/1197162584.db2.gz UXSSGPMHQXRROL-AWEZNQCLSA-N 0 1 318.383 3.240 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001671968073 1176021311 /nfs/dbraw/zinc/02/13/11/1176021311.db2.gz GPHAVFLEHAFEBF-AWEZNQCLSA-N 0 1 314.420 3.238 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](CC)C(C)(C)C)C2)C1 ZINC001772517135 1176107036 /nfs/dbraw/zinc/10/70/36/1176107036.db2.gz OVEYNSXJTZYQOS-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccc(F)c1C ZINC001671297425 1176137464 /nfs/dbraw/zinc/13/74/64/1176137464.db2.gz AINQROPSMGOZNS-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1occc1C)c1ccc(C(C)C)cc1 ZINC001691768627 1176156707 /nfs/dbraw/zinc/15/67/07/1176156707.db2.gz XMGCDHJTXQFHCP-GOSISDBHSA-N 0 1 324.424 3.405 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1C=CCC1)C1CCCC1 ZINC001671519537 1176165859 /nfs/dbraw/zinc/16/58/59/1176165859.db2.gz VFCLVIITOGICDZ-GDBMZVCRSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1sccc1CC)C1CC1 ZINC001670557147 1176172221 /nfs/dbraw/zinc/17/22/21/1176172221.db2.gz RSTYGWQXOXXDKF-ZDUSSCGKSA-N 0 1 312.866 3.161 20 30 DGEDMN CCN(CCNCc1ccc(C#N)cc1F)C(=O)CC(C)(C)C ZINC001754033145 1176189081 /nfs/dbraw/zinc/18/90/81/1176189081.db2.gz BFULIAPOGZZAAO-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CCc1ccc(Cl)cc1 ZINC001670671465 1176209981 /nfs/dbraw/zinc/20/99/81/1176209981.db2.gz BCGBQNDRXCCESE-MRXNPFEDSA-N 0 1 320.864 3.285 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1cc(Cl)cs1 ZINC001670695599 1176222645 /nfs/dbraw/zinc/22/26/45/1176222645.db2.gz CQPMGGVAZHRORR-ZDUSSCGKSA-N 0 1 312.866 3.101 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@@H](C)NCc2nocc2C)C1 ZINC001772541457 1176272693 /nfs/dbraw/zinc/27/26/93/1176272693.db2.gz KMMGKZWVTLRUEE-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](CCC)c1ccccn1 ZINC001691056025 1176280866 /nfs/dbraw/zinc/28/08/66/1176280866.db2.gz UCLGOBODQJWPJQ-SJORKVTESA-N 0 1 315.461 3.074 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccc(C)c(C)c2)C1 ZINC001691994729 1176281194 /nfs/dbraw/zinc/28/11/94/1176281194.db2.gz KYVXFNMIOWJRBT-INIZCTEOSA-N 0 1 320.864 3.450 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001691097332 1176310083 /nfs/dbraw/zinc/31/00/83/1176310083.db2.gz JUJHOBHLIRUBEV-XJKSGUPXSA-N 0 1 306.837 3.210 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1C[C@H](NCc2ncc(C)s2)C1 ZINC001691161820 1176348696 /nfs/dbraw/zinc/34/86/96/1176348696.db2.gz PGDJBQFEBCLFRN-RBSFLKMASA-N 0 1 321.490 3.038 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@]1(C)C=CCC1 ZINC001691188684 1176372839 /nfs/dbraw/zinc/37/28/39/1176372839.db2.gz DVFCEBMYWWNZHH-OAQYLSRUSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN(CCNC(=O)C[C@@H](CC)c1ccc(C)cc1)C1CC1 ZINC001691225564 1176403394 /nfs/dbraw/zinc/40/33/94/1176403394.db2.gz JKSNXZJFJZYXEV-QGZVFWFLSA-N 0 1 312.457 3.093 20 30 DGEDMN CC(C)Oc1ccc(C#N)cc1NC(=O)c1cccc(O)c1F ZINC001597608405 1192740235 /nfs/dbraw/zinc/74/02/35/1192740235.db2.gz RHCDOXJQTIEQDM-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1cscn1 ZINC001691293309 1176427121 /nfs/dbraw/zinc/42/71/21/1176427121.db2.gz BEJCEYDLCYWKEB-ZBFHGGJFSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cccc2c1CCCC2 ZINC001691784925 1176449189 /nfs/dbraw/zinc/44/91/89/1176449189.db2.gz UQUNEWKFJLFKOD-SFHVURJKSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCc1ccc(Cl)cc1 ZINC001691388598 1176459945 /nfs/dbraw/zinc/45/99/45/1176459945.db2.gz NNFPVGJHSJGAGT-QGZVFWFLSA-N 0 1 320.864 3.381 20 30 DGEDMN CC(C)C#CC(=O)NCC1(N[C@@H](C)c2ccccc2Cl)CC1 ZINC001670776255 1176813708 /nfs/dbraw/zinc/81/37/08/1176813708.db2.gz BYMXSRCZJUFPQE-AWEZNQCLSA-N 0 1 318.848 3.299 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C(CC)CC)C1 ZINC001752501786 1176861376 /nfs/dbraw/zinc/86/13/76/1176861376.db2.gz BQONEIKOPCKJEB-CQSZACIVSA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C)c(F)cc1F ZINC001755240191 1176864612 /nfs/dbraw/zinc/86/46/12/1176864612.db2.gz MMRJNNBDSFBRLM-WDEREUQCSA-N 0 1 316.779 3.122 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C23CCC(CC2)CC3)C1 ZINC001269892261 1177011332 /nfs/dbraw/zinc/01/13/32/1177011332.db2.gz DULSBBMFTUDSTA-LYGPFTKASA-N 0 1 304.478 3.454 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](CC)CCCC)C2)C1 ZINC001755454770 1177040831 /nfs/dbraw/zinc/04/08/31/1177040831.db2.gz OSLUKFSNVNIIKR-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+]1Cc2ccc(C(=O)[O-])cc2C1 ZINC001600074565 1192787275 /nfs/dbraw/zinc/78/72/75/1192787275.db2.gz JVCZQCVHSXDKIC-UHFFFAOYSA-N 0 1 306.365 3.389 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1Cc2ccc(C(=O)[O-])cc2C1 ZINC001600074565 1192787278 /nfs/dbraw/zinc/78/72/78/1192787278.db2.gz JVCZQCVHSXDKIC-UHFFFAOYSA-N 0 1 306.365 3.389 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ccnc(Cl)c2)CC1 ZINC001670833627 1177218035 /nfs/dbraw/zinc/21/80/35/1177218035.db2.gz ZPURJEJRNMGWDW-UHFFFAOYSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(c2ccccc2)CCC1 ZINC001691647269 1177483984 /nfs/dbraw/zinc/48/39/84/1177483984.db2.gz ZBTANGVCKGSNGR-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)CCCCC ZINC001670968899 1177557382 /nfs/dbraw/zinc/55/73/82/1177557382.db2.gz FCFOCZFBMGDAMM-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1(C=C)CCCCC1 ZINC001670965290 1177557988 /nfs/dbraw/zinc/55/79/88/1177557988.db2.gz UQFKJBTUTCKUSF-MSOLQXFVSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1ccccc1F ZINC001691666541 1177619883 /nfs/dbraw/zinc/61/98/83/1177619883.db2.gz ZDXBAPKICWBBMV-HZPDHXFCSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1C1(C)CC1 ZINC001752899892 1177693656 /nfs/dbraw/zinc/69/36/56/1177693656.db2.gz FDGBIQUOYLTYMG-CYBMUJFWSA-N 0 1 306.837 3.199 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccccc2F)C1 ZINC001691864067 1177737580 /nfs/dbraw/zinc/73/75/80/1177737580.db2.gz FQJOCJCLKQVPLO-JKSUJKDBSA-N 0 1 318.436 3.428 20 30 DGEDMN Cc1ccccc1[C@H](N)C(=O)Nc1ccc(C2CC2)c(C#N)c1 ZINC001330983092 1178104954 /nfs/dbraw/zinc/10/49/54/1178104954.db2.gz NKCLIBWEQWAKPN-SFHVURJKSA-N 0 1 305.381 3.383 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](CNCc1csc(C)n1)C1CC1 ZINC001331288797 1178175413 /nfs/dbraw/zinc/17/54/13/1178175413.db2.gz KNNYPZXFWZPSDM-INIZCTEOSA-N 0 1 321.490 3.134 20 30 DGEDMN Cc1cccc(C)c1C[N@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001600520446 1192866627 /nfs/dbraw/zinc/86/66/27/1192866627.db2.gz QFYKVCXKSYCVHK-SFHVURJKSA-N 0 1 308.381 3.433 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1nsc2ccccc12 ZINC001331546679 1178242088 /nfs/dbraw/zinc/24/20/88/1178242088.db2.gz FBGSMDLYWVIOLI-CYBMUJFWSA-N 0 1 315.442 3.485 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](F)CC1CCCCC1 ZINC001331614609 1178260022 /nfs/dbraw/zinc/26/00/22/1178260022.db2.gz HZLJPJJRIGFDDC-UKRRQHHQSA-N 0 1 318.864 3.484 20 30 DGEDMN Cc1ccccc1C1([NH2+]Cc2cccc(C(=O)[O-])c2C#N)CC1 ZINC001600575091 1192878048 /nfs/dbraw/zinc/87/80/48/1192878048.db2.gz CLPIAVRUILLMNN-UHFFFAOYSA-N 0 1 306.365 3.344 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001332158075 1178372121 /nfs/dbraw/zinc/37/21/21/1178372121.db2.gz JYKWDQSYRQVOLC-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](CC(C)C)c2ccccc2)CC1 ZINC001333416523 1178603100 /nfs/dbraw/zinc/60/31/00/1178603100.db2.gz JGKFILYQLJCKEQ-FQEVSTJZSA-N 0 1 324.468 3.198 20 30 DGEDMN Cc1[nH+]c(N[C@@H]2CCCc3cc(C#N)ccc32)ccc1C(=O)[O-] ZINC001600714177 1192895292 /nfs/dbraw/zinc/89/52/92/1192895292.db2.gz DNKQYVFCOPZFEP-MRXNPFEDSA-N 0 1 307.353 3.449 20 30 DGEDMN Cc1sc(C(=O)[O-])cc1C[N@@H+](C)CCc1cccc(C#N)c1 ZINC001600866316 1192905659 /nfs/dbraw/zinc/90/56/59/1192905659.db2.gz KPICVZYYXPZTOK-UHFFFAOYSA-N 0 1 314.410 3.301 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+](C[C@H]1CCCC[C@@H]1C(=O)[O-])C2 ZINC001601077552 1192916460 /nfs/dbraw/zinc/91/64/60/1192916460.db2.gz FSOSCTAGQUEZOA-AEFFLSMTSA-N 0 1 312.413 3.002 20 30 DGEDMN N#CCc1ccc(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)cc1 ZINC001601076632 1192916510 /nfs/dbraw/zinc/91/65/10/1192916510.db2.gz MYUPPVCNFRWTNS-FGTMMUONSA-N 0 1 312.413 3.218 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN[C@@H]2C[C@@]2(F)c2ccccc2)c1 ZINC001335413193 1178907527 /nfs/dbraw/zinc/90/75/27/1178907527.db2.gz UIZWTBRFZNHSRC-IEBWSBKVSA-N 0 1 323.371 3.114 20 30 DGEDMN Cc1cccc(NC[C@H]2CCCN2Cc2csc(C#N)c2)n1 ZINC001335423168 1178907740 /nfs/dbraw/zinc/90/77/40/1178907740.db2.gz YSEQAAOPWNQOBA-OAHLLOKOSA-N 0 1 312.442 3.400 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001341825279 1179067079 /nfs/dbraw/zinc/06/70/79/1179067079.db2.gz XSGDNALQWVJKSC-LBTNJELSSA-N 0 1 323.396 3.097 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001341825273 1179067093 /nfs/dbraw/zinc/06/70/93/1179067093.db2.gz XSGDNALQWVJKSC-IYOUNJFTSA-N 0 1 323.396 3.097 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)[C@H](C)CC ZINC001342976597 1179219884 /nfs/dbraw/zinc/21/98/84/1179219884.db2.gz ADQDNAFWTIMHCW-GFCCVEGCSA-N 0 1 302.426 3.178 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1CC[C@H](C)[C@@H]1CC ZINC001342977223 1179220086 /nfs/dbraw/zinc/22/00/86/1179220086.db2.gz CJQRSUOJROESCH-JSGCOSHPSA-N 0 1 314.437 3.178 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCCN1Cc1ccccc1)c1cccc(F)n1 ZINC001343330686 1179256343 /nfs/dbraw/zinc/25/63/43/1179256343.db2.gz JFERZTYPRQNGGI-NVXWUHKLSA-N 0 1 323.371 3.062 20 30 DGEDMN N#CC(C(=O)C1=Cc2cc(F)ccc2OC1)c1cccc(F)n1 ZINC001343330299 1179256729 /nfs/dbraw/zinc/25/67/29/1179256729.db2.gz HXVICKHJSJXNGE-ZDUSSCGKSA-N 0 1 312.275 3.012 20 30 DGEDMN C[C@@H]1CO[C@@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)C1 ZINC001343415664 1179269984 /nfs/dbraw/zinc/26/99/84/1179269984.db2.gz IGRSRYYKPBTGOZ-PTOFAABTSA-N 0 1 304.346 3.037 20 30 DGEDMN C[C@@H]1CO[C@H](C(=O)C(C#N)c2nc3cc(F)ccc3s2)C1 ZINC001343415660 1179270092 /nfs/dbraw/zinc/27/00/92/1179270092.db2.gz IGRSRYYKPBTGOZ-PEXQALLHSA-N 0 1 304.346 3.037 20 30 DGEDMN C#Cc1cccc(NC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)c1 ZINC001343831368 1179336873 /nfs/dbraw/zinc/33/68/73/1179336873.db2.gz IUYNTECUOBTLTB-UHFFFAOYSA-N 0 1 310.401 3.352 20 30 DGEDMN Cc1nc(C(F)(F)F)ccc1C(=O)C(C#N)c1cccc(F)n1 ZINC001344004074 1179362864 /nfs/dbraw/zinc/36/28/64/1179362864.db2.gz JMQCQBQRRSTZKZ-JTQLQIEISA-N 0 1 323.249 3.433 20 30 DGEDMN C#C[C@@H](CC)OC(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1 ZINC001344340012 1179416557 /nfs/dbraw/zinc/41/65/57/1179416557.db2.gz MYPROBZHEUDSDI-VIFPVBQESA-N 0 1 310.375 3.059 20 30 DGEDMN C=CC1CCN(c2nnc(-c3[nH]cnc3C)n2CC(C)C)CC1 ZINC001344872467 1179489914 /nfs/dbraw/zinc/48/99/14/1179489914.db2.gz UBPOQEVNDGDACP-UHFFFAOYSA-N 0 1 314.437 3.035 20 30 DGEDMN CCCCCCN(C)CC(=O)C(C#N)c1ncc(F)cc1F ZINC001344982625 1179506655 /nfs/dbraw/zinc/50/66/55/1179506655.db2.gz FSUPPTPBFYNDKL-CYBMUJFWSA-N 0 1 309.360 3.048 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001479846201 1179570266 /nfs/dbraw/zinc/57/02/66/1179570266.db2.gz ZKXLFVQTERXHSH-OWCLPIDISA-N 0 1 310.869 3.169 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001346095472 1179651923 /nfs/dbraw/zinc/65/19/23/1179651923.db2.gz DYQLQCNBEYIJRU-JQWIXIFHSA-N 0 1 303.312 3.161 20 30 DGEDMN N#CC(C(=O)c1csc([C@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125872 1179780856 /nfs/dbraw/zinc/78/08/56/1179780856.db2.gz YIIHNLIWIBXOGU-BXKDBHETSA-N 0 1 317.345 3.019 20 30 DGEDMN N#C[C@@H](C(=O)c1csc([C@H]2CCCO2)n1)c1cccc(F)n1 ZINC001347125872 1179780861 /nfs/dbraw/zinc/78/08/61/1179780861.db2.gz YIIHNLIWIBXOGU-BXKDBHETSA-N 0 1 317.345 3.019 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1cn(C)c2ccccc12 ZINC001347337198 1179797013 /nfs/dbraw/zinc/79/70/13/1179797013.db2.gz DUZAYWZCFJOUKK-HIFRSBDPSA-N 0 1 320.396 3.375 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1oc2ccccc2c1COC ZINC001347337109 1179797536 /nfs/dbraw/zinc/79/75/36/1179797536.db2.gz CRVPCUHUBHHCMO-ZDUSSCGKSA-N 0 1 323.352 3.286 20 30 DGEDMN CCc1sc(C(=O)C(C#N)c2ncc(Cl)n2C)cc1C ZINC001347339238 1179798518 /nfs/dbraw/zinc/79/85/18/1179798518.db2.gz WWANUDFAVQVCHH-VIFPVBQESA-N 0 1 307.806 3.496 20 30 DGEDMN C#CCN1CCC(OC(=O)C[C@H](C)c2ccc(F)cc2F)CC1 ZINC001349770099 1179948331 /nfs/dbraw/zinc/94/83/31/1179948331.db2.gz CJXFLTMVRRXHMQ-ZDUSSCGKSA-N 0 1 321.367 3.099 20 30 DGEDMN C#C[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cccc(Cl)c2Cl)C1 ZINC001602681534 1192994641 /nfs/dbraw/zinc/99/46/41/1192994641.db2.gz NJTQBZQURROLBF-HZMBPMFUSA-N 0 1 312.196 3.464 20 30 DGEDMN C=C(C)[C@@H]([NH2+]Cc1cncc(C(=O)[O-])c1)c1ccc(F)c(F)c1 ZINC001602746592 1192996532 /nfs/dbraw/zinc/99/65/32/1192996532.db2.gz DCXKMEITLIOBAX-MRXNPFEDSA-N 0 1 318.323 3.465 20 30 DGEDMN C=CCN(Cc1cccc(F)c1)Cc1cccc(-c2nn[nH]n2)c1 ZINC001602860232 1193000857 /nfs/dbraw/zinc/00/08/57/1193000857.db2.gz YBCWHAAQUXUGSI-UHFFFAOYSA-N 0 1 323.375 3.194 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2ccccc2OC)o1 ZINC001350395579 1180067879 /nfs/dbraw/zinc/06/78/79/1180067879.db2.gz ZMQFEUHUMOTVPB-OKILXGFUSA-N 0 1 311.385 3.428 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])oc1C)Cc1cccc(C#N)c1 ZINC001602893593 1193001681 /nfs/dbraw/zinc/00/16/81/1193001681.db2.gz GRYUIOJDVLIDAQ-UHFFFAOYSA-N 0 1 310.353 3.346 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])oc1C)Cc1cccc(C#N)c1 ZINC001602893593 1193001684 /nfs/dbraw/zinc/00/16/84/1193001684.db2.gz GRYUIOJDVLIDAQ-UHFFFAOYSA-N 0 1 310.353 3.346 20 30 DGEDMN CC1(c2ccccc2)CN(CCC(=O)Nc2cccc(C#N)c2)C1 ZINC001351216560 1180187332 /nfs/dbraw/zinc/18/73/32/1180187332.db2.gz NKGWABQACHXRKP-UHFFFAOYSA-N 0 1 319.408 3.160 20 30 DGEDMN C[C@@H](CN=Nc1oc(C(C)(C)C)nc1-c1nn[nH]n1)C(C)(C)C ZINC001603437405 1193018322 /nfs/dbraw/zinc/01/83/22/1193018322.db2.gz WXZOKAVBPPVCRE-VIFPVBQESA-N 0 1 319.413 3.232 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)CCCCCCCCC)[C@H](O)C1 ZINC001100087055 1180369194 /nfs/dbraw/zinc/36/91/94/1180369194.db2.gz FJQRHXBIUXGJCI-QZTJIDSGSA-N 0 1 324.509 3.255 20 30 DGEDMN C=CCCC(=O)NCC1CC(NCc2c(F)cccc2Cl)C1 ZINC001100170666 1180418361 /nfs/dbraw/zinc/41/83/61/1180418361.db2.gz ZNTCSPWTIPSBIO-UHFFFAOYSA-N 0 1 324.827 3.430 20 30 DGEDMN CC(C)C[N@@H+](Cc1cc(C#N)cnc1Cl)CC(C)(C)C(=O)[O-] ZINC001603811355 1193033789 /nfs/dbraw/zinc/03/37/89/1193033789.db2.gz OAXGYHWOHSTQLC-UHFFFAOYSA-N 0 1 323.824 3.175 20 30 DGEDMN CCCCCCCCCNC(=S)N1CC(N2CCCC2)C1 ZINC001200373858 1180583482 /nfs/dbraw/zinc/58/34/82/1180583482.db2.gz DIRDHJRHHHUDJH-UHFFFAOYSA-N 0 1 311.539 3.392 20 30 DGEDMN C=CCCCC(=O)NCC1CC(NCc2nc(C)c(C)s2)C1 ZINC001100623595 1180860265 /nfs/dbraw/zinc/86/02/65/1180860265.db2.gz WPDDTQTZICZUPQ-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)CN(C)CCc1ccccc1 ZINC001300737858 1180921494 /nfs/dbraw/zinc/92/14/94/1180921494.db2.gz RZMWVVFNVVZVQE-RBUKOAKNSA-N 0 1 314.473 3.412 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@@H+]1Cc1cc(Cl)cc(C#N)c1 ZINC001604651652 1193086102 /nfs/dbraw/zinc/08/61/02/1193086102.db2.gz BDMWVPNKLJIOHE-GNXNZQSNSA-N 0 1 306.793 3.285 20 30 DGEDMN CC[C@H](CC#N)[NH2+][C@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC001604980970 1193103567 /nfs/dbraw/zinc/10/35/67/1193103567.db2.gz QNNLQVIQAVZEJK-NEPJUHHUSA-N 0 1 301.173 3.401 20 30 DGEDMN CC[C@@H]1C[C@H]1C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001480736475 1181691131 /nfs/dbraw/zinc/69/11/31/1181691131.db2.gz QMGAUXUEZXMYGH-HSALFYBXSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(Cc3ccccc3)CC2)CC1 ZINC001401947768 1181736028 /nfs/dbraw/zinc/73/60/28/1181736028.db2.gz GRIAKFXDLQHYEH-UHFFFAOYSA-N 0 1 318.848 3.000 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccccc2)CCC1 ZINC001421776927 1181761737 /nfs/dbraw/zinc/76/17/37/1181761737.db2.gz DSIPEFXXUYXZTB-UHFFFAOYSA-N 0 1 306.837 3.000 20 30 DGEDMN Cc1cccc([C@H](C)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001438133580 1181959401 /nfs/dbraw/zinc/95/94/01/1181959401.db2.gz BGHPMWPWKFKPAA-LBPRGKRZSA-N 0 1 304.353 3.485 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)c1 ZINC001447052571 1182182837 /nfs/dbraw/zinc/18/28/37/1182182837.db2.gz XALRMWDFOLYISN-XOKHGSTOSA-N 0 1 324.767 3.442 20 30 DGEDMN C=CCC1(CNC(=O)c2cc(O)cc([N+](=O)[O-])c2)CCCC1 ZINC001449433605 1182227274 /nfs/dbraw/zinc/22/72/74/1182227274.db2.gz SSLWCTVWNMVNEK-UHFFFAOYSA-N 0 1 304.346 3.167 20 30 DGEDMN C=CCONC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC001449656806 1182232695 /nfs/dbraw/zinc/23/26/95/1182232695.db2.gz OFIFTOMHIMJZRM-UHFFFAOYSA-N 0 1 310.397 3.166 20 30 DGEDMN C=CCNc1ncc(C(=O)Nc2nc3ccc(C)cc3[nH]2)s1 ZINC001450301765 1182246560 /nfs/dbraw/zinc/24/65/60/1182246560.db2.gz WKZAMSVGERPLQQ-UHFFFAOYSA-N 0 1 313.386 3.178 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2cccc(F)c2F)c1 ZINC001454682569 1182350812 /nfs/dbraw/zinc/35/08/12/1182350812.db2.gz MBHXVUIIBHXYOW-UONOGXRCSA-N 0 1 314.291 3.050 20 30 DGEDMN C[C@H]1CN(c2cc(F)ccc2C#N)CCN1Cc1ccccc1 ZINC001458426806 1182523157 /nfs/dbraw/zinc/52/31/57/1182523157.db2.gz BPCARWRZXOCTHY-HNNXBMFYSA-N 0 1 309.388 3.408 20 30 DGEDMN C=CCO[C@@H]1CCN(CCc2ccccc2Br)C1 ZINC001459821200 1182619726 /nfs/dbraw/zinc/61/97/26/1182619726.db2.gz UQUKSGGKYBOMQE-CQSZACIVSA-N 0 1 310.235 3.269 20 30 DGEDMN CN(Cc1ccc(CC#N)cc1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001460220003 1182663354 /nfs/dbraw/zinc/66/33/54/1182663354.db2.gz YOQJZQLYOCPSIS-GOSISDBHSA-N 0 1 317.396 3.092 20 30 DGEDMN N#Cc1sccc1N1CCN(CCCc2ccccc2)CC1 ZINC001460420142 1182687749 /nfs/dbraw/zinc/68/77/49/1182687749.db2.gz UMRIRCOULUCNOZ-UHFFFAOYSA-N 0 1 311.454 3.375 20 30 DGEDMN CCc1ccc2c(c1)CC[N@@H+]([C@H](C(=O)[O-])c1ccc(C#N)cc1)C2 ZINC001605824679 1193176538 /nfs/dbraw/zinc/17/65/38/1193176538.db2.gz LWGWRELCTKIELS-IBGZPJMESA-N 0 1 320.392 3.305 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCC[N@H+]2CCC(C)(C)C#N)n1C ZINC001460943138 1182742630 /nfs/dbraw/zinc/74/26/30/1182742630.db2.gz FCMDZTXQABWSLZ-OAHLLOKOSA-N 0 1 317.433 3.279 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCCN2CCC(C)(C)C#N)n1C ZINC001460943138 1182742635 /nfs/dbraw/zinc/74/26/35/1182742635.db2.gz FCMDZTXQABWSLZ-OAHLLOKOSA-N 0 1 317.433 3.279 20 30 DGEDMN C=CCC[C@H](O)CN(CC)Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001460964528 1182747282 /nfs/dbraw/zinc/74/72/82/1182747282.db2.gz IOXNNUVJVKZGBE-KBPBESRZSA-N 0 1 308.491 3.417 20 30 DGEDMN N#Cc1ccc(NCCNCc2c(F)ccc(F)c2Cl)nc1 ZINC001461028325 1182758960 /nfs/dbraw/zinc/75/89/60/1182758960.db2.gz GXTJCSIMZXVTAG-UHFFFAOYSA-N 0 1 322.746 3.087 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cc2c(cn1)OCCO2 ZINC001461981766 1182890659 /nfs/dbraw/zinc/89/06/59/1182890659.db2.gz JOFDKHUIIWMYHN-UHFFFAOYSA-N 0 1 310.397 3.084 20 30 DGEDMN C=CCC[C@@H](C)[NH2+][C@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC001462390985 1182945888 /nfs/dbraw/zinc/94/58/88/1182945888.db2.gz JBZVWWPVMRAUBV-CHWSQXEVSA-N 0 1 308.426 3.013 20 30 DGEDMN C=CCCC[C@H](C(=O)NC[C@H]1CCN1CC)c1ccccc1 ZINC001462434334 1182952552 /nfs/dbraw/zinc/95/25/52/1182952552.db2.gz BJVFMEDDLLNXFJ-MSOLQXFVSA-N 0 1 300.446 3.337 20 30 DGEDMN C[N@@H+](CCCOc1ccccc1)Cc1cccc(C(=O)[O-])c1C#N ZINC001606126174 1193191508 /nfs/dbraw/zinc/19/15/08/1193191508.db2.gz NPYNTUJKSFOWGI-UHFFFAOYSA-N 0 1 324.380 3.157 20 30 DGEDMN CC(C)(C)n1cncc1[C@H]1CCCN(Cc2cccc(C#N)n2)C1 ZINC001462666396 1182982772 /nfs/dbraw/zinc/98/27/72/1182982772.db2.gz KAJOPQZKPKUUJQ-HNNXBMFYSA-N 0 1 323.444 3.284 20 30 DGEDMN C=CCC1(NS(=O)(=O)c2c(F)cccc2F)CCCC1 ZINC001464089542 1183070189 /nfs/dbraw/zinc/07/01/89/1183070189.db2.gz JSQLCNZHMPGKDM-UHFFFAOYSA-N 0 1 301.358 3.132 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCN(CC(C)C)CC2)c(Cl)c1 ZINC001467401806 1183259420 /nfs/dbraw/zinc/25/94/20/1183259420.db2.gz OYDQCHQDVQCPEA-UHFFFAOYSA-N 0 1 319.836 3.127 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCN1C1CCCC1)c1ccc(Cl)cc1 ZINC001468721846 1183353402 /nfs/dbraw/zinc/35/34/02/1183353402.db2.gz ZKWIBLNBHXOWAH-DLBZAZTESA-N 0 1 316.832 3.147 20 30 DGEDMN C=C[C@@H](C)ONC(=O)Cc1coc(-c2ccc(Cl)cc2)n1 ZINC001469733338 1183433899 /nfs/dbraw/zinc/43/38/99/1183433899.db2.gz PRSNSIKEXXYAAN-SNVBAGLBSA-N 0 1 306.749 3.160 20 30 DGEDMN C=C(C)CCNC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC001470233704 1183471699 /nfs/dbraw/zinc/47/16/99/1183471699.db2.gz WFGYYDGLZMGMNC-UHFFFAOYSA-N 0 1 315.461 3.259 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3nc(C4CC4)cs3)[nH]c2c1 ZINC001470720003 1183507890 /nfs/dbraw/zinc/50/78/90/1183507890.db2.gz ZVHCGOBYLPGELA-UHFFFAOYSA-N 0 1 309.354 3.021 20 30 DGEDMN C=CCCC[C@@H](NC(=O)N(C)CC1CN(C)C1)c1ccccc1 ZINC001471204222 1183546519 /nfs/dbraw/zinc/54/65/19/1183546519.db2.gz WQAWMOFVWATCSI-GOSISDBHSA-N 0 1 315.461 3.287 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001472635852 1183659943 /nfs/dbraw/zinc/65/99/43/1183659943.db2.gz PHBWXKSMCWKLTG-ZDUSSCGKSA-N 0 1 311.389 3.354 20 30 DGEDMN N#Cc1ccc(CNc2nc(Cl)nc3[nH]cnc32)cc1Cl ZINC001472767801 1183678326 /nfs/dbraw/zinc/67/83/26/1183678326.db2.gz PQPMLJBRPBSBCB-UHFFFAOYSA-N 0 1 319.155 3.095 20 30 DGEDMN CN(C)c1cccc([C@H]2CCCN2Cc2cc(C#N)ccn2)c1 ZINC001473172068 1183724161 /nfs/dbraw/zinc/72/41/61/1183724161.db2.gz CLIFBGGPIVIZLC-LJQANCHMSA-N 0 1 306.413 3.356 20 30 DGEDMN Cc1c(Cl)ccc2c1CN(C[C@H](O)CC1(C#N)CCC1)CC2 ZINC001473738221 1183795923 /nfs/dbraw/zinc/79/59/23/1183795923.db2.gz YBNDSCVRJHABPN-OAHLLOKOSA-N 0 1 318.848 3.451 20 30 DGEDMN C=CCc1cc(CN[C@H](C)c2cnn(C)c2C)cc(OC)c1O ZINC001473928109 1183829895 /nfs/dbraw/zinc/82/98/95/1183829895.db2.gz RVVIWJBOMDHEJO-GFCCVEGCSA-N 0 1 315.417 3.022 20 30 DGEDMN C#Cc1ccc(CN2CC[C@@]3(C2)CC(=O)c2ccccc2O3)cc1 ZINC001474578558 1183943917 /nfs/dbraw/zinc/94/39/17/1183943917.db2.gz ZXHGPJGRXQIEDL-NRFANRHFSA-N 0 1 317.388 3.278 20 30 DGEDMN CN(C)c1ccc(C#N)cc1C[NH2+]Cc1cc(Cl)ccc1[O-] ZINC001474609270 1183948218 /nfs/dbraw/zinc/94/82/18/1183948218.db2.gz RLNQTLUIFQPNRT-UHFFFAOYSA-N 0 1 315.804 3.273 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCCCC1(C)C ZINC001479272903 1184223872 /nfs/dbraw/zinc/22/38/72/1184223872.db2.gz BZXVMGAJBPKBCF-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1CCN(Cc2ccccn2)[C@@H]1CC ZINC001479641296 1184283755 /nfs/dbraw/zinc/28/37/55/1184283755.db2.gz UHTFTAMGAKENAU-YQVWRLOYSA-N 0 1 315.461 3.153 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001479804869 1184331377 /nfs/dbraw/zinc/33/13/77/1184331377.db2.gz PXJDUIAMUGSBOR-MSOLQXFVSA-N 0 1 314.473 3.158 20 30 DGEDMN CCC[C@@H](CC)C(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001479804800 1184331639 /nfs/dbraw/zinc/33/16/39/1184331639.db2.gz OHSITALDEYFPKV-LJQANCHMSA-N 0 1 314.473 3.303 20 30 DGEDMN N#CC1(CCCC[N@H+]2C[C@H]3CCCC[C@@]3(C(=O)[O-])C2)CCC1 ZINC001608174800 1193280768 /nfs/dbraw/zinc/28/07/68/1193280768.db2.gz CSHNAHARVZUBNZ-CRAIPNDOSA-N 0 1 304.434 3.427 20 30 DGEDMN N#CC1CC[NH+]([C@H](C(=O)[O-])c2ccc(Cl)c(Cl)c2)CC1 ZINC001608180373 1193281465 /nfs/dbraw/zinc/28/14/65/1193281465.db2.gz YHGSPSNIRXIFCW-ZDUSSCGKSA-N 0 1 313.184 3.355 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CCCc2cccs2)CC1 ZINC001479895390 1184368940 /nfs/dbraw/zinc/36/89/40/1184368940.db2.gz TUQNEZBDZSYDNN-UHFFFAOYSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001479950771 1184387105 /nfs/dbraw/zinc/38/71/05/1184387105.db2.gz JGDBUWOODMXRQX-DLENHJPASA-N 0 1 310.441 3.084 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001479962288 1184393650 /nfs/dbraw/zinc/39/36/50/1184393650.db2.gz MJJSILIMUJBKFN-HOTGVXAUSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)CCCC(F)(F)F)CC1 ZINC001479995174 1184406999 /nfs/dbraw/zinc/40/69/99/1184406999.db2.gz JCNATDKOKWYCEB-UHFFFAOYSA-N 0 1 318.383 3.055 20 30 DGEDMN C=CCCC(=O)NC[C@@H](N[C@H](C)c1c(F)cccc1F)C1CC1 ZINC001480010092 1184409528 /nfs/dbraw/zinc/40/95/28/1184409528.db2.gz VRVTWUNLGOGHBJ-MLGOLLRUSA-N 0 1 322.399 3.476 20 30 DGEDMN Nc1ccc2c(c1)CCCC2=[NH+]Nc1ccc(CC(=O)[O-])cc1 ZINC001608273617 1193285137 /nfs/dbraw/zinc/28/51/37/1193285137.db2.gz UJCRSURDWMXCSI-UHFFFAOYSA-N 0 1 309.369 3.048 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)C23CCC(CC2)C3)C1 ZINC001480079346 1184428246 /nfs/dbraw/zinc/42/82/46/1184428246.db2.gz KRSWXVOHGDUFLL-PQUAAJSLSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001480110581 1184436857 /nfs/dbraw/zinc/43/68/57/1184436857.db2.gz FWBAXWANSCEVEY-IBGZPJMESA-N 0 1 323.440 3.017 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001480156505 1184447190 /nfs/dbraw/zinc/44/71/90/1184447190.db2.gz LNPFZKHYEDYZPM-DCGLDWPTSA-N 0 1 321.490 3.045 20 30 DGEDMN CC[C@@H](C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001480178459 1184452856 /nfs/dbraw/zinc/45/28/56/1184452856.db2.gz OAOZMPRCGGVSKJ-VOBGVNJCSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2csc(CCC)n2)C1 ZINC001480218482 1184461832 /nfs/dbraw/zinc/46/18/32/1184461832.db2.gz KZVDSLMIBHXHPX-BETUJISGSA-N 0 1 321.490 3.045 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001480224619 1184463811 /nfs/dbraw/zinc/46/38/11/1184463811.db2.gz JFHLSHMBNPCSKX-BFWKAJHVSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN(Cc2cnc(C)s2)C1 ZINC001480254097 1184472373 /nfs/dbraw/zinc/47/23/73/1184472373.db2.gz SWHXTWHKAAHOJH-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H](CNCc2cscn2)C1 ZINC001480293564 1184482029 /nfs/dbraw/zinc/48/20/29/1184482029.db2.gz REDPMZLCUBLPHO-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)CO[C@@H]2CCCC[C@H]2CC)CC1 ZINC001480357017 1184504902 /nfs/dbraw/zinc/50/49/02/1184504902.db2.gz PYBUZTPLLIDGOH-QZTJIDSGSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001480403012 1184523693 /nfs/dbraw/zinc/52/36/93/1184523693.db2.gz MXOXRHQIFMODTO-QQFBHYJXSA-N 0 1 319.449 3.417 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(CC(C)C)CCCC1 ZINC001480557822 1184548349 /nfs/dbraw/zinc/54/83/49/1184548349.db2.gz VADDBGLTDMYLJN-CQSZACIVSA-N 0 1 300.874 3.440 20 30 DGEDMN CCC(CC)CC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1F ZINC001480563362 1184549140 /nfs/dbraw/zinc/54/91/40/1184549140.db2.gz YBZFUAGBBRBGRA-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@H](NCc2ncc(C)o2)C1 ZINC001480696054 1184578296 /nfs/dbraw/zinc/57/82/96/1184578296.db2.gz GOBDSECEDXDRTC-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN CC/C=C(\C)C(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001480720176 1184586192 /nfs/dbraw/zinc/58/61/92/1184586192.db2.gz QGBMJNLEPGTFNZ-CYEGBWLXSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2cccnc2C)C1 ZINC001480727392 1184589799 /nfs/dbraw/zinc/58/97/99/1184589799.db2.gz VKPUMVXQGNTROJ-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001480734584 1184591441 /nfs/dbraw/zinc/59/14/41/1184591441.db2.gz IMQHVXODTBXVNW-CYBMUJFWSA-N 0 1 306.372 3.218 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001493161022 1184661848 /nfs/dbraw/zinc/66/18/48/1184661848.db2.gz RAUQTOPZRPBLER-MOPGFXCFSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001493207605 1184668841 /nfs/dbraw/zinc/66/88/41/1184668841.db2.gz SCMCZZDXAZROPO-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2ccccc2cc1F ZINC001493625217 1184720272 /nfs/dbraw/zinc/72/02/72/1184720272.db2.gz BDXGSABNLCXMKE-UHFFFAOYSA-N 0 1 320.795 3.393 20 30 DGEDMN Cc1cccc(C(=O)N(C)CCNCC#Cc2ccccc2)c1C ZINC001493778621 1184737768 /nfs/dbraw/zinc/73/77/68/1184737768.db2.gz CLVQVQFUVSHRIY-UHFFFAOYSA-N 0 1 320.436 3.017 20 30 DGEDMN C#CCN[C@H](CNC(=O)C12CCC(CC1)CC2)c1ccccc1 ZINC001493904470 1184762615 /nfs/dbraw/zinc/76/26/15/1184762615.db2.gz HWDKEGAUXUWVND-KGXSXCIVSA-N 0 1 310.441 3.037 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cc(C)cc(C)n2)C1 ZINC001493957932 1184775740 /nfs/dbraw/zinc/77/57/40/1184775740.db2.gz XRIQJOJIFPMEKE-KRWDZBQOSA-N 0 1 315.461 3.201 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001493965176 1184777799 /nfs/dbraw/zinc/77/77/99/1184777799.db2.gz RECLTYONCRRQFO-XMTFNYHQSA-N 0 1 304.478 3.312 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CCC)C(=O)c2cc3ccccc3o2)C1 ZINC001493974623 1184782943 /nfs/dbraw/zinc/78/29/43/1184782943.db2.gz TYSVAKDBQJSUJH-KRWDZBQOSA-N 0 1 324.424 3.383 20 30 DGEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2cc3ccccc3o2)C1 ZINC001493974623 1184782951 /nfs/dbraw/zinc/78/29/51/1184782951.db2.gz TYSVAKDBQJSUJH-KRWDZBQOSA-N 0 1 324.424 3.383 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC001494041502 1184796453 /nfs/dbraw/zinc/79/64/53/1184796453.db2.gz ACVSSGHVKLBUSA-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)Cc2ccccc2C)C1 ZINC001494081950 1184806797 /nfs/dbraw/zinc/80/67/97/1184806797.db2.gz WWWJYQKGYWPZBL-INIZCTEOSA-N 0 1 320.864 3.118 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2c[nH]cc2C2CC2)C1 ZINC001494171066 1184822100 /nfs/dbraw/zinc/82/21/00/1184822100.db2.gz TUVTVBKCWYFRNO-ZDUSSCGKSA-N 0 1 321.852 3.039 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)C(C)(C)C2CC2)CC1 ZINC001494205440 1184832085 /nfs/dbraw/zinc/83/20/85/1184832085.db2.gz PPIQOJYWDJCGDU-UHFFFAOYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001494317450 1184853125 /nfs/dbraw/zinc/85/31/25/1184853125.db2.gz ACYHNHHTBBEBCJ-WMZOPIPTSA-N 0 1 322.493 3.271 20 30 DGEDMN C#CCCCC(=O)NCC1CN([C@H](CC)c2ccc(F)cc2)C1 ZINC001494543310 1184884875 /nfs/dbraw/zinc/88/48/75/1184884875.db2.gz WAODZZFBTDGKBJ-GOSISDBHSA-N 0 1 316.420 3.128 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2cc3ccccc3o2)C1 ZINC001494575957 1184888900 /nfs/dbraw/zinc/88/89/00/1184888900.db2.gz CGTIOTVNZGHMKO-UHFFFAOYSA-N 0 1 312.413 3.193 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN(C/C=C\c3ccccc3)C2)C1 ZINC001494593918 1184892876 /nfs/dbraw/zinc/89/28/76/1184892876.db2.gz UGPYIAHQHZHMGF-TWGQIWQCSA-N 0 1 310.441 3.104 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)ccc(C)c1F ZINC001494668657 1184903798 /nfs/dbraw/zinc/90/37/98/1184903798.db2.gz SEGIOVIYHPHUKD-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001494804983 1184931447 /nfs/dbraw/zinc/93/14/47/1184931447.db2.gz BNMUJAMSMICTGD-QGZVFWFLSA-N 0 1 304.409 3.133 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001494843283 1184939321 /nfs/dbraw/zinc/93/93/21/1184939321.db2.gz ZRNQWJPJDFJAFK-KRWDZBQOSA-N 0 1 316.445 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001494849155 1184941538 /nfs/dbraw/zinc/94/15/38/1184941538.db2.gz WQVDNEPIXNWIEW-PKOBYXMFSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](CC)OC2CCCCC2)C1 ZINC001494897527 1184957287 /nfs/dbraw/zinc/95/72/87/1184957287.db2.gz PELRZGSYJFMOGL-WMZOPIPTSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](F)CC2CCCCC2)C1 ZINC001494920555 1184963028 /nfs/dbraw/zinc/96/30/28/1184963028.db2.gz YHCXHWKHGSJYLG-IRXDYDNUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C)cc(Cl)c1 ZINC001495023940 1184980591 /nfs/dbraw/zinc/98/05/91/1184980591.db2.gz MJFAMCQZBWSIKO-AWEZNQCLSA-N 0 1 315.244 3.499 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001495034646 1184983192 /nfs/dbraw/zinc/98/31/92/1184983192.db2.gz KTDHKWMFOWYMPO-CYBMUJFWSA-N 0 1 323.799 3.158 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)c1cccc(F)c1 ZINC001495105538 1184997007 /nfs/dbraw/zinc/99/70/07/1184997007.db2.gz AXFODQLMHSXCEJ-DOMZBBRYSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(CNCc2cscn2)CCCC1 ZINC001495161796 1185005977 /nfs/dbraw/zinc/00/59/77/1185005977.db2.gz USUVDVWFJQFXMV-UHFFFAOYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cccc2cc[nH]c21 ZINC001495578515 1185076597 /nfs/dbraw/zinc/07/65/97/1185076597.db2.gz VEZDJQZOXALOTK-CQSZACIVSA-N 0 1 317.820 3.115 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)CC2=CCCCC2)C1 ZINC001495837880 1185107475 /nfs/dbraw/zinc/10/74/75/1185107475.db2.gz NDFYEUIOTDYPSJ-FUHWJXTLSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(C)[C@H]([NH2+]Cc1cccc(C(=O)[O-])n1)c1ccc(F)c(F)c1 ZINC001609226595 1193332399 /nfs/dbraw/zinc/33/23/99/1193332399.db2.gz SYVJKJZSJMJMDZ-INIZCTEOSA-N 0 1 318.323 3.465 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1sccc1C(F)F ZINC001496218093 1185150418 /nfs/dbraw/zinc/15/04/18/1185150418.db2.gz JPLAHKGYDMABIJ-SECBINFHSA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H](C)C1CCC1 ZINC001496275050 1185157839 /nfs/dbraw/zinc/15/78/39/1185157839.db2.gz MIVSJIHCYFUFOO-DZGCQCFKSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1ncc(C2CC2)o1 ZINC001497242460 1185301429 /nfs/dbraw/zinc/30/14/29/1185301429.db2.gz XYWBIYMESDTCAW-ZDUSSCGKSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c[nH]c2ccc(F)cc12 ZINC001497508465 1185343799 /nfs/dbraw/zinc/34/37/99/1185343799.db2.gz XFPBSUOQFACSRT-UHFFFAOYSA-N 0 1 323.799 3.111 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C2CCC2)cc1 ZINC001497825662 1185397896 /nfs/dbraw/zinc/39/78/96/1185397896.db2.gz UQCDRDNMIXXMET-CYBMUJFWSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C1(c2ccccc2)CCCC1 ZINC001497977099 1185417831 /nfs/dbraw/zinc/41/78/31/1185417831.db2.gz IQGVEGDRDDKZCT-OAHLLOKOSA-N 0 1 320.864 3.345 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@@H](C)c1ccc(CC)cc1 ZINC001498377760 1185481434 /nfs/dbraw/zinc/48/14/34/1185481434.db2.gz BJKFDWFHONKPDG-IAGOWNOFSA-N 0 1 314.473 3.202 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001498373924 1185481668 /nfs/dbraw/zinc/48/16/68/1185481668.db2.gz AOAOFKHESFPFFC-DYVFJYSZSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC001498380013 1185483382 /nfs/dbraw/zinc/48/33/82/1185483382.db2.gz SGGPECQSMSXHIB-ROUUACIJSA-N 0 1 314.473 3.339 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCNCc1c(F)cccc1F ZINC001498592477 1185520376 /nfs/dbraw/zinc/52/03/76/1185520376.db2.gz RWEVZIPUQZBVKV-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN CCCCCCCN1CC[C@H]1CNC(=O)c1cc(C)sn1 ZINC001498965641 1185580405 /nfs/dbraw/zinc/58/04/05/1185580405.db2.gz DQSDTVPNOVRQRH-AWEZNQCLSA-N 0 1 309.479 3.226 20 30 DGEDMN O=C(NCC1(NCC#Cc2ccccc2)CC1)C1=CCCCCC1 ZINC001499208211 1185626322 /nfs/dbraw/zinc/62/63/22/1185626322.db2.gz BTPGKXTVJXMNJG-UHFFFAOYSA-N 0 1 322.452 3.167 20 30 DGEDMN C=CCC1(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CCCF)CCC1 ZINC001499554922 1185667936 /nfs/dbraw/zinc/66/79/36/1185667936.db2.gz LDCVCGIECINHTG-IYBDPMFKSA-N 0 1 308.441 3.158 20 30 DGEDMN CC[C@@H](F)CN1CCC[C@@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001499569653 1185669856 /nfs/dbraw/zinc/66/98/56/1185669856.db2.gz FSAUSQAWHPAPMO-VQIMIIECSA-N 0 1 322.468 3.099 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@]2(CCN(C/C=C\Cl)C2)C1 ZINC001499604549 1185676127 /nfs/dbraw/zinc/67/61/27/1185676127.db2.gz VUSVWEHWVLYOMR-PRCIHIDRSA-N 0 1 322.880 3.432 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)/C=C/c2ccco2)C1 ZINC001499627134 1185679959 /nfs/dbraw/zinc/67/99/59/1185679959.db2.gz INSYTPWWWHGPGJ-ZHACJKMWSA-N 0 1 302.418 3.182 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCCc2ccccc2)C1 ZINC001499738706 1185689629 /nfs/dbraw/zinc/68/96/29/1185689629.db2.gz KHMWZPYQZQXZCP-UHFFFAOYSA-N 0 1 300.446 3.118 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CC(C)(C)CC(F)(F)F)C1 ZINC001499776744 1185694453 /nfs/dbraw/zinc/69/44/53/1185694453.db2.gz SOJMMCUAIJWTAE-UHFFFAOYSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cc2ccc(C)s2)C1 ZINC001499795380 1185696586 /nfs/dbraw/zinc/69/65/86/1185696586.db2.gz MHZFYWQNKUKLMP-ZDUSSCGKSA-N 0 1 306.475 3.096 20 30 DGEDMN C#CCN(C(=O)[C@@H](C)CCCC)C1CCN(C/C=C\Cl)CC1 ZINC001500034833 1185733067 /nfs/dbraw/zinc/73/30/67/1185733067.db2.gz WPPDSSYZRHESAT-UBAGTECSSA-N 0 1 324.896 3.491 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001500250883 1185765352 /nfs/dbraw/zinc/76/53/52/1185765352.db2.gz GQQVCRVMDDJBLP-ATPWARJRSA-N 0 1 323.440 3.161 20 30 DGEDMN C=CCCC(=O)N1CC[C@](C)(CNCc2ncoc2C(C)C)C1 ZINC001500324139 1185775304 /nfs/dbraw/zinc/77/53/04/1185775304.db2.gz FSUPPSTWIJXQSH-GOSISDBHSA-N 0 1 319.449 3.092 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@H](C)c2ccco2)CC1 ZINC001500446107 1185793748 /nfs/dbraw/zinc/79/37/48/1185793748.db2.gz AFHLHARZXAZLRT-CQSZACIVSA-N 0 1 324.852 3.354 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](CNCc2ncc(C)o2)CC1 ZINC001501697155 1185923658 /nfs/dbraw/zinc/92/36/58/1185923658.db2.gz RIWLJEVAJLSTLX-WKILWMFISA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@](C)(C=C)CC)cccc2C1 ZINC001501853832 1185932102 /nfs/dbraw/zinc/93/21/02/1185932102.db2.gz FZPUOPPQCZLWNG-HXUWFJFHSA-N 0 1 312.457 3.449 20 30 DGEDMN CCC[C@H](C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001501928479 1185938582 /nfs/dbraw/zinc/93/85/82/1185938582.db2.gz QDSLGSQFQXDVMW-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN CC1(CC(=O)N[C@@H]2CCCN(CC#Cc3ccccc3)CC2)CC1 ZINC001502130699 1185958614 /nfs/dbraw/zinc/95/86/14/1185958614.db2.gz YDSUDGGMDMBVLB-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2conc2C)CC1 ZINC001502136787 1185959718 /nfs/dbraw/zinc/95/97/18/1185959718.db2.gz ARELFHOURWFMCU-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCN(C)Cc1cncc(F)c1 ZINC001502338374 1185970960 /nfs/dbraw/zinc/97/09/60/1185970960.db2.gz JLWKGORHEXJAMA-UHFFFAOYSA-N 0 1 321.440 3.103 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@@H]1[C@H]1CCCN(CCF)C1 ZINC001502406871 1185981959 /nfs/dbraw/zinc/98/19/59/1185981959.db2.gz VHVNAIKTONQZAY-ZWKOTPCHSA-N 0 1 322.468 3.243 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@@H]1CNC(=O)[C@H](F)C(C)C ZINC001502532577 1185991950 /nfs/dbraw/zinc/99/19/50/1185991950.db2.gz TXCZELRNEBRBHH-QZTJIDSGSA-N 0 1 318.436 3.100 20 30 DGEDMN Cc1cc(C[N@H+]2CC[C@@](C(=O)[O-])(c3ccccc3)C2)ccc1C#N ZINC001610461109 1193390502 /nfs/dbraw/zinc/39/05/02/1193390502.db2.gz JHNUXDMHHBFWFV-FQEVSTJZSA-N 0 1 320.392 3.095 20 30 DGEDMN CCCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001502815351 1186016246 /nfs/dbraw/zinc/01/62/46/1186016246.db2.gz SQNFPRGOECLAJJ-GOSISDBHSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CCCCCC(C)C)C(C)(C)C1 ZINC001502834546 1186022123 /nfs/dbraw/zinc/02/21/23/1186022123.db2.gz MLHCYRNOUMQALL-QGZVFWFLSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(Cc2oc(C)nc2C)[C@@H](C)C1 ZINC001502970491 1186032838 /nfs/dbraw/zinc/03/28/38/1186032838.db2.gz RWBKZGOCPQGNJX-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)[C@@H](C)C(C)C ZINC001503690013 1186051240 /nfs/dbraw/zinc/05/12/40/1186051240.db2.gz CUWAPPHWCYPFFW-LPHOPBHVSA-N 0 1 312.457 3.017 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)C1CCCC1 ZINC001505417437 1186071645 /nfs/dbraw/zinc/07/16/45/1186071645.db2.gz FUEXSQSPSPVPMS-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1coc2ccc(CC)cc21 ZINC001505431081 1186076460 /nfs/dbraw/zinc/07/64/60/1186076460.db2.gz OQKLJYWQUFDYPU-MRXNPFEDSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)C[C@H]1CCCCC1(C)C ZINC001505468786 1186091069 /nfs/dbraw/zinc/09/10/69/1186091069.db2.gz FUSIYSCSWKSUFZ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H]1CCCCC1(C)C ZINC001505468786 1186091074 /nfs/dbraw/zinc/09/10/74/1186091074.db2.gz FUSIYSCSWKSUFZ-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(c2ccccc2)CCC1 ZINC001505649508 1186118445 /nfs/dbraw/zinc/11/84/45/1186118445.db2.gz NMNPXLZBLGMTFW-HUUCEWRRSA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cc1cccc(C2CC2)c1 ZINC001505659468 1186120399 /nfs/dbraw/zinc/12/03/99/1186120399.db2.gz FSXAEDLDSQMSAO-UONOGXRCSA-N 0 1 320.864 3.342 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C)c(Cl)c1 ZINC001505681905 1186124776 /nfs/dbraw/zinc/12/47/76/1186124776.db2.gz PKLIBTGQBGKEKJ-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2Cc3ccccc3C3(CC3)C2)c1 ZINC001610630149 1193401887 /nfs/dbraw/zinc/40/18/87/1193401887.db2.gz KILFXIYCTSBYCT-SFHVURJKSA-N 0 1 318.376 3.231 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ncccc1C ZINC001506539186 1186242955 /nfs/dbraw/zinc/24/29/55/1186242955.db2.gz KHZYZYOCSIQUDI-CQSZACIVSA-N 0 1 309.841 3.021 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)Cc2ccc(CCCC)cc2)C1 ZINC001506639940 1186266309 /nfs/dbraw/zinc/26/63/09/1186266309.db2.gz IKLWVIKNBFTLEO-INIZCTEOSA-N 0 1 314.473 3.194 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CN(Cc3cccc(F)c3)C2)C1 ZINC001506656013 1186268909 /nfs/dbraw/zinc/26/89/09/1186268909.db2.gz WHRKRDIUAILFJT-CQSZACIVSA-N 0 1 316.420 3.119 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)C=C(CC)CC)C2)C1 ZINC001506720134 1186279046 /nfs/dbraw/zinc/27/90/46/1186279046.db2.gz UKLCDGURTZIKIK-IBGZPJMESA-N 0 1 320.477 3.002 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)CCCC(C)C)C2)C1 ZINC001506727857 1186279191 /nfs/dbraw/zinc/27/91/91/1186279191.db2.gz HTWJILWQGBIGPC-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(C(F)(F)F)CCCC2)C(C)(C)C1 ZINC001506752135 1186286906 /nfs/dbraw/zinc/28/69/06/1186286906.db2.gz GYQGJYMOULQRMW-LBPRGKRZSA-N 0 1 318.383 3.122 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCCC2CCCCC2)C(C)(C)C1 ZINC001506755201 1186289585 /nfs/dbraw/zinc/28/95/85/1186289585.db2.gz ZWAGFWQXMPDVRY-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3(CC)CCCC3)[C@@H]2C1 ZINC001506821222 1186292611 /nfs/dbraw/zinc/29/26/11/1186292611.db2.gz MITODTCJZRXKHO-QKDCVEJESA-N 0 1 310.869 3.003 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2c(C)cccn2c1 ZINC001507142275 1186369822 /nfs/dbraw/zinc/36/98/22/1186369822.db2.gz DCBSUMMGUOUUIQ-AWEZNQCLSA-N 0 1 319.836 3.050 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(Cl)cc1 ZINC001507148695 1186372522 /nfs/dbraw/zinc/37/25/22/1186372522.db2.gz SOXLSZUDZOBFJW-NSHDSACASA-N 0 1 301.217 3.143 20 30 DGEDMN C=C(Br)CN[C@H]1C[C@@H](C)N(C(=O)CCCCC)C1 ZINC001507300145 1186384590 /nfs/dbraw/zinc/38/45/90/1186384590.db2.gz LSKFEHKYQWCDIJ-OLZOCXBDSA-N 0 1 317.271 3.054 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(CCc2ccns2)[C@@H]1C ZINC001507387863 1186413333 /nfs/dbraw/zinc/41/33/33/1186413333.db2.gz YCRDJQZYJHOBAQ-ZBFHGGJFSA-N 0 1 321.490 3.011 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1ccc(Cl)cc1)C(C)C ZINC001507864481 1186451641 /nfs/dbraw/zinc/45/16/41/1186451641.db2.gz UGLCMSOYTRLLDN-UHFFFAOYSA-N 0 1 320.864 3.075 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)C1CC(NCc2ccccc2C#N)C1 ZINC001508101897 1186481132 /nfs/dbraw/zinc/48/11/32/1186481132.db2.gz ASTUZRKBNIGHQN-RWBZWWBESA-N 0 1 313.445 3.073 20 30 DGEDMN C=C[C@@](C)(CCOC)C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001611208598 1193426800 /nfs/dbraw/zinc/42/68/00/1193426800.db2.gz HNITVDHDILTUBD-HNNXBMFYSA-N 0 1 307.781 3.384 20 30 DGEDMN CC(=CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C(C)(C)C ZINC001611702091 1193440479 /nfs/dbraw/zinc/44/04/79/1193440479.db2.gz NWCJOXWPUQZWNZ-DHZHZOJOSA-N 0 1 309.373 3.274 20 30 DGEDMN N#CC(C(=O)c1csc(-c2ccco2)n1)c1cccc(F)n1 ZINC001516711517 1186790269 /nfs/dbraw/zinc/79/02/69/1186790269.db2.gz WDVILMZOBDLYLC-VIFPVBQESA-N 0 1 313.313 3.427 20 30 DGEDMN N#C[C@H](C(=O)c1csc(-c2ccco2)n1)c1cccc(F)n1 ZINC001516711517 1186790274 /nfs/dbraw/zinc/79/02/74/1186790274.db2.gz WDVILMZOBDLYLC-VIFPVBQESA-N 0 1 313.313 3.427 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCC(=O)c2ccccc21)c1cccc(F)n1 ZINC001516821440 1186793604 /nfs/dbraw/zinc/79/36/04/1186793604.db2.gz SQVNNZZPGKGNRB-KGLIPLIRSA-N 0 1 308.312 3.157 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1ccccc1OC(C)C ZINC001517065335 1186801142 /nfs/dbraw/zinc/80/11/42/1186801142.db2.gz WICMBDXSFSSZSC-OAHLLOKOSA-N 0 1 311.385 3.109 20 30 DGEDMN CC1CCC(OCC(=O)[C@@H](C#N)c2ncc(F)cc2F)CC1 ZINC001518066324 1186831286 /nfs/dbraw/zinc/83/12/86/1186831286.db2.gz RAODGGHKVVQJQP-KGPNIALWSA-N 0 1 308.328 3.131 20 30 DGEDMN N#CC(C(=O)C=Cc1nccs1)c1nc(C2CC2)cs1 ZINC001518387424 1186840087 /nfs/dbraw/zinc/84/00/87/1186840087.db2.gz MSJKQPSLSZTQHP-UMBAGQNISA-N 0 1 301.396 3.367 20 30 DGEDMN Cc1nc([C@@H](C)Nc2c(C#N)cnc3c(Cl)cccc32)n[nH]1 ZINC001612357710 1193450993 /nfs/dbraw/zinc/45/09/93/1193450993.db2.gz OHBUKPMHDVJPCV-MRVPVSSYSA-N 0 1 312.764 3.360 20 30 DGEDMN N#C[C@@H](NC(=O)c1cccc(F)c1O)c1ccc(Cl)cc1 ZINC001521590125 1187032808 /nfs/dbraw/zinc/03/28/08/1187032808.db2.gz JWLZGWDZRJVYQX-CYBMUJFWSA-N 0 1 304.708 3.179 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC001521927669 1187057661 /nfs/dbraw/zinc/05/76/61/1187057661.db2.gz QRCKYYOBOSJKSY-UHFFFAOYSA-N 0 1 317.352 3.008 20 30 DGEDMN N#C[C@@H](C(=O)C[C@H]1CC=CCC1)c1nc(N)c2ccccc2n1 ZINC001524018734 1187209292 /nfs/dbraw/zinc/20/92/92/1187209292.db2.gz NFWPOLKZHXUDQM-JSGCOSHPSA-N 0 1 306.369 3.135 20 30 DGEDMN N#C[C@H]1CC[C@@H](OC(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC001525006354 1187289564 /nfs/dbraw/zinc/28/95/64/1187289564.db2.gz DLZUXVMPORTELO-UONOGXRCSA-N 0 1 302.374 3.115 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)c1c(F)cccc1F ZINC001526587834 1187376239 /nfs/dbraw/zinc/37/62/39/1187376239.db2.gz UQFQZWCIYZBYPP-MNOVXSKESA-N 0 1 303.312 3.161 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1C[C@]1(F)c1ccccc1 ZINC001526587009 1187376823 /nfs/dbraw/zinc/37/68/23/1187376823.db2.gz INLNEOLTFFCOEP-HSMVNMDESA-N 0 1 317.751 3.135 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1CCCc2sccc21 ZINC001526588816 1187376959 /nfs/dbraw/zinc/37/69/59/1187376959.db2.gz ZIMMJOYWOROWMA-MNOVXSKESA-N 0 1 319.817 3.431 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@]1(C)CCCc2ccccc21 ZINC001526595387 1187377377 /nfs/dbraw/zinc/37/73/77/1187377377.db2.gz YRRRHHGDDBUNHY-DNVCBOLYSA-N 0 1 307.397 3.373 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC001526809539 1187391495 /nfs/dbraw/zinc/39/14/95/1187391495.db2.gz SWKCKWMXKIHKPZ-OAHLLOKOSA-N 0 1 311.389 3.230 20 30 DGEDMN CCc1nc(C)c(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)s1 ZINC001530615826 1187637363 /nfs/dbraw/zinc/63/73/63/1187637363.db2.gz WIDXUVYNPPFXLT-UHFFFAOYSA-N 0 1 311.370 3.014 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2cccc(F)c2)CC1 ZINC001615181165 1193516771 /nfs/dbraw/zinc/51/67/71/1193516771.db2.gz NPBAZZWOQQLIAS-QGZVFWFLSA-N 0 1 305.393 3.198 20 30 DGEDMN C#Cc1ccc(CNC(=O)[C@@H](c2ccccc2)N(CC)CC)cc1 ZINC001533826520 1187852988 /nfs/dbraw/zinc/85/29/88/1187852988.db2.gz UZKBHSLDQYDITI-HXUWFJFHSA-N 0 1 320.436 3.367 20 30 DGEDMN CC1(C)CCC[C@@H](CN2CCN(c3ccccc3C#N)CC2)O1 ZINC001615378961 1193521367 /nfs/dbraw/zinc/52/13/67/1193521367.db2.gz KNKCFQLFCFQNTK-KRWDZBQOSA-N 0 1 313.445 3.028 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3ccsc3Cl)[nH]c2c1 ZINC001534067873 1187873551 /nfs/dbraw/zinc/87/35/51/1187873551.db2.gz LQXCPXXNIFNRMR-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN CCCCCCCCOCC(=O)N1C[C@@H](C)N(CC)C[C@@H]1C ZINC001537409144 1188072189 /nfs/dbraw/zinc/07/21/89/1188072189.db2.gz XWHRSNSRLRPEJW-SJORKVTESA-N 0 1 312.498 3.305 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001540011106 1188213515 /nfs/dbraw/zinc/21/35/15/1188213515.db2.gz ZBFUPZBKIBGBQL-UHFFFAOYSA-N 0 1 315.320 3.318 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3C[C@]3(F)c3ccccc3)[nH]c2c1 ZINC001543407654 1188331837 /nfs/dbraw/zinc/33/18/37/1188331837.db2.gz JASAOVXSPBBVKI-UGSOOPFHSA-N 0 1 320.327 3.258 20 30 DGEDMN Cc1c(C(=O)N(C)c2ccc(C#N)c(Cl)c2)ccc2cncn21 ZINC001543991547 1188372963 /nfs/dbraw/zinc/37/29/63/1188372963.db2.gz FNELGCPLZONFDT-UHFFFAOYSA-N 0 1 324.771 3.444 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC001544648669 1188402678 /nfs/dbraw/zinc/40/26/78/1188402678.db2.gz KNXDYXHUWQJSGR-IAGOWNOFSA-N 0 1 300.446 3.464 20 30 DGEDMN Nc1cccnc1NN=C(C[C@H]1CCOC1)c1ccc(F)cc1 ZINC001552218386 1188825665 /nfs/dbraw/zinc/82/56/65/1188825665.db2.gz MBYRERIVMUMCGF-GFCCVEGCSA-N 0 1 314.364 3.046 20 30 DGEDMN C=CC[N@H+](C)CCN(CC)C(=O)CCOc1ccccc1Cl ZINC001556465974 1189002280 /nfs/dbraw/zinc/00/22/80/1189002280.db2.gz FFFMDAQQWKHMFT-UHFFFAOYSA-N 0 1 324.852 3.075 20 30 DGEDMN C=CCN(C(=O)c1ccc(Cc2nc[nH]n2)cc1)c1ccccc1 ZINC001556871413 1189025449 /nfs/dbraw/zinc/02/54/49/1189025449.db2.gz ILQDFWGUSOIWIO-UHFFFAOYSA-N 0 1 318.380 3.228 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H](C)CC(C)C ZINC001657079286 1196101429 /nfs/dbraw/zinc/10/14/29/1196101429.db2.gz BSNAHHBJIVOYOU-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN CC(C)(C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)C1CCC1 ZINC001559046599 1189165389 /nfs/dbraw/zinc/16/53/89/1189165389.db2.gz MIASAKLMVQAZOS-UHFFFAOYSA-N 0 1 309.373 3.108 20 30 DGEDMN COCCN(CCOC1CCCCC1)Cc1ccc(C#N)cc1 ZINC001559523186 1189213040 /nfs/dbraw/zinc/21/30/40/1189213040.db2.gz JQVYEVLFOBTJDO-UHFFFAOYSA-N 0 1 316.445 3.356 20 30 DGEDMN CC(C)[C@H](NCc1cc(C#N)ccn1)c1ccc2c(c1)OCCO2 ZINC001559708067 1189232812 /nfs/dbraw/zinc/23/28/12/1189232812.db2.gz KHNMASFUVMDDML-IBGZPJMESA-N 0 1 323.396 3.211 20 30 DGEDMN C=CCN1CC[C@H](N(C)[C@H](C)c2nccc3ccccc32)C1=O ZINC001560788971 1189381894 /nfs/dbraw/zinc/38/18/94/1189381894.db2.gz JASYMOBWUSFZGB-PBHICJAKSA-N 0 1 309.413 3.015 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)CN1CC#Cc1ccccc1 ZINC001560893680 1189402552 /nfs/dbraw/zinc/40/25/52/1189402552.db2.gz UPLRYJNPAFYDJI-AEFFLSMTSA-N 0 1 313.441 3.480 20 30 DGEDMN COC(=O)[C@@H](CNCc1cccc(F)c1C#N)C1CCCCC1 ZINC001561002841 1189418153 /nfs/dbraw/zinc/41/81/53/1189418153.db2.gz ZEFNPPCJBBCAFT-INIZCTEOSA-N 0 1 318.392 3.156 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NS(=O)(=O)c1c(F)cccc1F ZINC001561745455 1189458452 /nfs/dbraw/zinc/45/84/52/1189458452.db2.gz SIDLUYSWTWUBJS-FZMZJTMJSA-N 0 1 315.385 3.378 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001565882788 1189699604 /nfs/dbraw/zinc/69/96/04/1189699604.db2.gz LYFYXABCJPFAOH-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1scc(C)c1Cl ZINC001566121915 1189739770 /nfs/dbraw/zinc/73/97/70/1189739770.db2.gz BQGHDXOWOLQCRR-ZDUSSCGKSA-N 0 1 324.877 3.270 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](C)c1ccco1 ZINC001566123808 1189740121 /nfs/dbraw/zinc/74/01/21/1189740121.db2.gz WVBDBJAGUQXLJW-SJORKVTESA-N 0 1 316.445 3.109 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc(CF)cc1 ZINC001566125223 1189742923 /nfs/dbraw/zinc/74/29/23/1189742923.db2.gz ZRNPLIFUCDDMCE-SFHVURJKSA-N 0 1 316.420 3.106 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H](C)CC)CC(C)(C)C1 ZINC001566128424 1189743565 /nfs/dbraw/zinc/74/35/65/1189743565.db2.gz SMXUCAWLNBYTNV-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN CC(C)C1CCC(N2CCN(C(=O)C#CC(C)(C)C)CC2)CC1 ZINC001566208705 1189752830 /nfs/dbraw/zinc/75/28/30/1189752830.db2.gz JZPKSURSMMZHLE-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1c(C)nsc1C ZINC001566458150 1189774333 /nfs/dbraw/zinc/77/43/33/1189774333.db2.gz LNSIPQKEYVBVSE-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C[C@H](C(=O)NCCCN(C)C/C=C\Cl)c1ccccc1 ZINC001566518650 1189789291 /nfs/dbraw/zinc/78/92/91/1189789291.db2.gz IQQLCIMHUYNSKB-UBAGTECSSA-N 0 1 306.837 3.147 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C1CCN(Cc2ccc(F)cc2)CC1 ZINC001566610921 1189821095 /nfs/dbraw/zinc/82/10/95/1189821095.db2.gz SCKVOYHEJYLRKT-UHFFFAOYSA-N 0 1 318.436 3.461 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC001566626342 1189832684 /nfs/dbraw/zinc/83/26/84/1189832684.db2.gz JGYCEVMKZZQCIC-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001566630402 1189834702 /nfs/dbraw/zinc/83/47/02/1189834702.db2.gz KBAWHKFWBZNXPJ-MJGOQNOKSA-N 0 1 312.457 3.174 20 30 DGEDMN C=CC1(CC(=O)N[C@H]2CCN(C[C@@H](F)CC)C2)CCCCC1 ZINC001566674769 1189854197 /nfs/dbraw/zinc/85/41/97/1189854197.db2.gz BWFAHXNABBWCQI-HOTGVXAUSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1scnc1C ZINC001566747987 1189889744 /nfs/dbraw/zinc/88/97/44/1189889744.db2.gz ATVKREPFNSYBTF-AEGPPILISA-N 0 1 319.474 3.095 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001566927662 1189956782 /nfs/dbraw/zinc/95/67/82/1189956782.db2.gz UMQVNCIYIIPVOP-GGPKGHCWSA-N 0 1 312.457 3.337 20 30 DGEDMN CCC[C@@H](C(=O)NC[C@@H](C)NCc1ccccc1C#N)C(C)C ZINC001567059583 1190013998 /nfs/dbraw/zinc/01/39/98/1190013998.db2.gz QFUUOBGUNWMYBB-CRAIPNDOSA-N 0 1 315.461 3.225 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001567066460 1190016836 /nfs/dbraw/zinc/01/68/36/1190016836.db2.gz XRRXTOMHWCKWHO-PXNSSMCTSA-N 0 1 314.473 3.349 20 30 DGEDMN CC(C)CC(=O)N1CCC[C@H](N(C)CC#Cc2ccccc2)C1 ZINC001567186771 1190057476 /nfs/dbraw/zinc/05/74/76/1190057476.db2.gz UIIIJGLAGFNIPZ-IBGZPJMESA-N 0 1 312.457 3.007 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(C)C/C=C\c1ccccc1 ZINC001567283411 1190110423 /nfs/dbraw/zinc/11/04/23/1190110423.db2.gz DILMPSZYUPJZSC-XFXZXTDPSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(C)Cc1cccc(Cl)c1 ZINC001567297267 1190116752 /nfs/dbraw/zinc/11/67/52/1190116752.db2.gz PWNOHFAKGYDMGW-UHFFFAOYSA-N 0 1 308.853 3.490 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1ccc(C#N)s1 ZINC001567429628 1190188424 /nfs/dbraw/zinc/18/84/24/1190188424.db2.gz WOQATBUHVHDLFL-UHFFFAOYSA-N 0 1 319.474 3.160 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C)c(C)cc1Cl ZINC001567543603 1190215400 /nfs/dbraw/zinc/21/54/00/1190215400.db2.gz OHIIQVMBTIJRDB-UHFFFAOYSA-N 0 1 315.244 3.371 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1(C(C)(F)F)CC1)C(C)C ZINC001567648639 1190236127 /nfs/dbraw/zinc/23/61/27/1190236127.db2.gz BRCXEVWZGXQHGY-UHFFFAOYSA-N 0 1 322.827 3.391 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(CCCC)CC1)c1ccccc1 ZINC001567664527 1190242207 /nfs/dbraw/zinc/24/22/07/1190242207.db2.gz ZVRMGXFEOYVWSO-GOSISDBHSA-N 0 1 312.457 3.427 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2coc(C(C)(C)C)n2)C1 ZINC001567683034 1190250744 /nfs/dbraw/zinc/25/07/44/1190250744.db2.gz RXXFFJKZDGSUBB-CQSZACIVSA-N 0 1 319.449 3.085 20 30 DGEDMN CC#CCCCC(=O)N(CCC)[C@H]1CCN(C[C@@H](F)CC)C1 ZINC001567686379 1190252830 /nfs/dbraw/zinc/25/28/30/1190252830.db2.gz MEZHZNLDDWENAF-IRXDYDNUSA-N 0 1 310.457 3.241 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(C)cc2C)C1 ZINC001567743295 1190282388 /nfs/dbraw/zinc/28/23/88/1190282388.db2.gz WVEJWBDEMRFISS-MRXNPFEDSA-N 0 1 320.864 3.450 20 30 DGEDMN CC#CCN1CCC[C@H](N(Cc2ccccc2)C(=O)CC2CC2)C1 ZINC001567745448 1190283807 /nfs/dbraw/zinc/28/38/07/1190283807.db2.gz PIRQWIYWQJEXLN-FQEVSTJZSA-N 0 1 324.468 3.303 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001567800506 1190301474 /nfs/dbraw/zinc/30/14/74/1190301474.db2.gz NMJXTQXDKAXKFE-BEFAXECRSA-N 0 1 312.457 3.335 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001567835620 1190314221 /nfs/dbraw/zinc/31/42/21/1190314221.db2.gz DCTSCSXUDOOJSC-FLIBITNWSA-N 0 1 312.457 3.350 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001567881399 1190333248 /nfs/dbraw/zinc/33/32/48/1190333248.db2.gz KOZDUBJMQYCDPH-LLVKDONJSA-N 0 1 301.390 3.351 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@@H](C)c2cccc(OC)c2)C1 ZINC001567894218 1190336665 /nfs/dbraw/zinc/33/66/65/1190336665.db2.gz WEJVDFPBLPODMV-GOEBONIOSA-N 0 1 316.445 3.159 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567906039 1190344877 /nfs/dbraw/zinc/34/48/77/1190344877.db2.gz HGBCHUMKXMPXBR-QAPCUYQASA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cncc(C)c2)C1 ZINC001567922744 1190354959 /nfs/dbraw/zinc/35/49/59/1190354959.db2.gz YKKQDYLFEWIPOT-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C2CC2)cc1 ZINC001567988650 1190388750 /nfs/dbraw/zinc/38/87/50/1190388750.db2.gz GSRJPULTAXOUDZ-MRXNPFEDSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc2ccccc2o1 ZINC001567987457 1190389349 /nfs/dbraw/zinc/38/93/49/1190389349.db2.gz PJPGMWRIYAMBOR-ZDUSSCGKSA-N 0 1 306.793 3.283 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CCNCc2ncc(C)o2)C1 ZINC001568058260 1190411326 /nfs/dbraw/zinc/41/13/26/1190411326.db2.gz PHWRNSOBRMUPHL-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001568293814 1190476106 /nfs/dbraw/zinc/47/61/06/1190476106.db2.gz PPZNSXSFWIUAIQ-KGLIPLIRSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2ncccc2c1 ZINC001568296759 1190477474 /nfs/dbraw/zinc/47/74/74/1190477474.db2.gz HRXFINMVUUHDTD-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1oc(CC)nc1C ZINC001568298809 1190478309 /nfs/dbraw/zinc/47/83/09/1190478309.db2.gz XLUYOXKUYKKDEI-UGSOOPFHSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]([C@@H](C)NCc2ncc(C)o2)C1 ZINC001568406015 1190512606 /nfs/dbraw/zinc/51/26/06/1190512606.db2.gz BTCDHWWFWXQMAQ-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001568430228 1190517515 /nfs/dbraw/zinc/51/75/15/1190517515.db2.gz SWHJCAOALYQPDF-RBRCJPGISA-N 0 1 310.869 3.002 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](F)CC1CCCCC1 ZINC001568791824 1190589333 /nfs/dbraw/zinc/58/93/33/1190589333.db2.gz VQERFCDKOOCRSJ-HNNXBMFYSA-N 0 1 318.864 3.486 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1cc(C)ccc1F ZINC001568913914 1190599048 /nfs/dbraw/zinc/59/90/48/1190599048.db2.gz NQBWGXUZPKWGHK-FQQSSWHASA-N 0 1 318.436 3.498 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001568934423 1190601688 /nfs/dbraw/zinc/60/16/88/1190601688.db2.gz RADXIGMTTQDXOL-JUBSNLHESA-N 0 1 300.874 3.319 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001569008530 1190607877 /nfs/dbraw/zinc/60/78/77/1190607877.db2.gz WYVKWOREFXSALN-LLVKDONJSA-N 0 1 322.836 3.495 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CCC)c1ccccc1 ZINC001569034014 1190611617 /nfs/dbraw/zinc/61/16/17/1190611617.db2.gz QNQUOMQNMVAGHS-ZBFHGGJFSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2c(cccc2C)o1 ZINC001569038654 1190612184 /nfs/dbraw/zinc/61/21/84/1190612184.db2.gz PTQIOTFUNJCORP-GFCCVEGCSA-N 0 1 306.793 3.202 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CNCc1ccc(F)cc1F ZINC001569075723 1190617080 /nfs/dbraw/zinc/61/70/80/1190617080.db2.gz BUHLGIVGEDXCRM-QWHCGFSZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)C[N@H+](C)Cc1cccc(OC)c1 ZINC001569130905 1190633438 /nfs/dbraw/zinc/63/34/38/1190633438.db2.gz FRBGFKPKCADCQT-OAHLLOKOSA-N 0 1 318.461 3.234 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(C)(C)CC(C)C)C1CC1 ZINC001569324395 1190666300 /nfs/dbraw/zinc/66/63/00/1190666300.db2.gz RPBKMKPHNCZJSD-CQSZACIVSA-N 0 1 300.874 3.296 20 30 DGEDMN C=CCC[C@H](C)[N@H+]1CC[C@H]1CNC(=O)c1cc(C)c(F)cc1F ZINC001569362611 1190675840 /nfs/dbraw/zinc/67/58/40/1190675840.db2.gz DRGWIJWPPJAQAD-KBPBESRZSA-N 0 1 322.399 3.432 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](CC)c1ccc(F)cc1 ZINC001569385814 1190689048 /nfs/dbraw/zinc/68/90/48/1190689048.db2.gz GAGJPMQAZUECHT-IRXDYDNUSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001569414897 1190693816 /nfs/dbraw/zinc/69/38/16/1190693816.db2.gz URJZMXQGPCRFCU-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN CCC1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CCCC1 ZINC001569423639 1190695597 /nfs/dbraw/zinc/69/55/97/1190695597.db2.gz CDKJGHYXSYMISU-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN CC(C)(C)C#CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCCF ZINC001569459947 1190702387 /nfs/dbraw/zinc/70/23/87/1190702387.db2.gz XMIBMTZPSWHMAE-CALCHBBNSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N(C)C1CN(CCCC(C)(C)C)C1)OCC ZINC001569491994 1190707220 /nfs/dbraw/zinc/70/72/20/1190707220.db2.gz IBQFRAVFNQTNJS-QGZVFWFLSA-N 0 1 324.509 3.327 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccccc2C)C1 ZINC001569500672 1190709097 /nfs/dbraw/zinc/70/90/97/1190709097.db2.gz SUIWXJSTAQUPIC-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cc(C)ccc2F)C1 ZINC001569504403 1190710094 /nfs/dbraw/zinc/71/00/94/1190710094.db2.gz KVIXDSLSPRFHSW-OAHLLOKOSA-N 0 1 318.436 3.174 20 30 DGEDMN C=C(C)CCC(=O)NC1(C)CCN(Cc2c(C)noc2C)CC1 ZINC001569572542 1190718874 /nfs/dbraw/zinc/71/88/74/1190718874.db2.gz MVXWAZQTIQTBRP-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C(CC)CC)C1CCCC1 ZINC001569601094 1190721582 /nfs/dbraw/zinc/72/15/82/1190721582.db2.gz PMLCQTXLULSASW-OAHLLOKOSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@](C)(CN[C@@H](C)c2ncc(C)o2)C1 ZINC001569670877 1190738804 /nfs/dbraw/zinc/73/88/04/1190738804.db2.gz BCSNBADKGDDDPX-MAUKXSAKSA-N 0 1 319.449 3.229 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C/C=C\Cc2ccccc2)C1 ZINC001569716389 1190747440 /nfs/dbraw/zinc/74/74/40/1190747440.db2.gz XDGQYRFKEGNHMQ-GIWCCYDRSA-N 0 1 324.468 3.027 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCC1(C)C)c1ccccc1CC ZINC001569802217 1190756376 /nfs/dbraw/zinc/75/63/76/1190756376.db2.gz QRVNGQSQORGBKI-MSOLQXFVSA-N 0 1 312.457 3.065 20 30 DGEDMN CCC(=O)NCc1ccccc1CN(C)Cc1ccc(C#N)cc1 ZINC001570025411 1190782719 /nfs/dbraw/zinc/78/27/19/1190782719.db2.gz FRZKHQHRRNWBLQ-UHFFFAOYSA-N 0 1 321.424 3.216 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCCC[C@@H]1CNCC(=C)Cl ZINC001570085436 1190792698 /nfs/dbraw/zinc/79/26/98/1190792698.db2.gz IHAONEZFCHLPQY-MRXNPFEDSA-N 0 1 310.869 3.478 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1Cc2ccccc2CN1CC=C(C)C ZINC001570269107 1190833872 /nfs/dbraw/zinc/83/38/72/1190833872.db2.gz ODUBGXXRHZOSPP-FQEVSTJZSA-N 0 1 324.468 3.299 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C(C)(C)CCC)cc2C1 ZINC001570312313 1190838356 /nfs/dbraw/zinc/83/83/56/1190838356.db2.gz AKLXFMNOYXTBSP-UHFFFAOYSA-N 0 1 312.457 3.468 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NCc2ccc(F)cc2Cl)C1 ZINC001570334425 1190839926 /nfs/dbraw/zinc/83/99/26/1190839926.db2.gz LTCWNMFNVCWLFH-WBMJQRKESA-N 0 1 324.827 3.382 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2cc(F)ccc2F)[C@@H](C)C1 ZINC001570351444 1190842027 /nfs/dbraw/zinc/84/20/27/1190842027.db2.gz GPSHLOYHFAJANT-GUYCJALGSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2conc2C)CC1(C)C ZINC001570393535 1190851858 /nfs/dbraw/zinc/85/18/58/1190851858.db2.gz KZYNMOKOTADCAP-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccccn2)CC1(C)C ZINC001570397856 1190853595 /nfs/dbraw/zinc/85/35/95/1190853595.db2.gz PCNWSBPGZBRYIG-KRWDZBQOSA-N 0 1 315.461 3.155 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C[C@@H](C)C1CC1 ZINC001570478340 1190867098 /nfs/dbraw/zinc/86/70/98/1190867098.db2.gz NUAMRFLSACMQTI-UZLBHIALSA-N 0 1 324.468 3.162 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)CCCC1CC1 ZINC001570478215 1190867630 /nfs/dbraw/zinc/86/76/30/1190867630.db2.gz LKZQLHNULPBZOA-FQEVSTJZSA-N 0 1 324.468 3.306 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001570539249 1190879787 /nfs/dbraw/zinc/87/97/87/1190879787.db2.gz ZBOMKZPESOHHHO-ZIAGYGMSSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H]1C[N@@H+](C)C/C=C/Cl ZINC001570538068 1190879954 /nfs/dbraw/zinc/87/99/54/1190879954.db2.gz KIOHFQYKKVURPW-YHVDPYDOSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H]1CN(C)C/C=C/Cl ZINC001570538068 1190879957 /nfs/dbraw/zinc/87/99/57/1190879957.db2.gz KIOHFQYKKVURPW-YHVDPYDOSA-N 0 1 310.869 3.245 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001570541978 1190882641 /nfs/dbraw/zinc/88/26/41/1190882641.db2.gz MYEVPPSSACBRMI-ZVDOUQERSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CCC=C)c1ccccc1 ZINC001570548909 1190885178 /nfs/dbraw/zinc/88/51/78/1190885178.db2.gz RUOOBWXIEWOYFS-WOJBJXKFSA-N 0 1 324.468 3.292 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)c1c2[nH]cnc2ccc1F ZINC001570556353 1190887982 /nfs/dbraw/zinc/88/79/82/1190887982.db2.gz YLTKZFCHWORGOA-LLVKDONJSA-N 0 1 322.343 3.407 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1Cl ZINC001570597968 1190897132 /nfs/dbraw/zinc/89/71/32/1190897132.db2.gz FZBMYLPEIVYZJZ-OLZOCXBDSA-N 0 1 324.827 3.265 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1oc(CC)nc1C ZINC001570636931 1190907487 /nfs/dbraw/zinc/90/74/87/1190907487.db2.gz PBBGUYINTLPWEF-OLZOCXBDSA-N 0 1 321.465 3.131 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1ccc(C)c(Cl)c1 ZINC001570725967 1190931119 /nfs/dbraw/zinc/93/11/19/1190931119.db2.gz HODQGFJQHTVZMY-HNNXBMFYSA-N 0 1 320.864 3.041 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001570745550 1190941278 /nfs/dbraw/zinc/94/12/78/1190941278.db2.gz JBHABAHTWGLUTN-IAGOWNOFSA-N 0 1 300.446 3.030 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCCN1Cc1ocnc1C ZINC001570822546 1190958843 /nfs/dbraw/zinc/95/88/43/1190958843.db2.gz FZZWJWICDBBTKB-INIZCTEOSA-N 0 1 319.449 3.200 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001570849294 1190965215 /nfs/dbraw/zinc/96/52/15/1190965215.db2.gz FAENPUUDEYFKLV-CQSZACIVSA-N 0 1 318.436 3.283 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)C1CN(Cc2ccsc2)C1 ZINC001570853465 1190966651 /nfs/dbraw/zinc/96/66/51/1190966651.db2.gz BWDHPULROKVUFI-DYVFJYSZSA-N 0 1 306.475 3.287 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001570904825 1190973987 /nfs/dbraw/zinc/97/39/87/1190973987.db2.gz KWIVQBHDRYLWME-DLBZAZTESA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C1(C(F)F)CCC1 ZINC001571365844 1191003337 /nfs/dbraw/zinc/00/33/37/1191003337.db2.gz DGPVRKWSROQDBC-LBPRGKRZSA-N 0 1 320.811 3.001 20 30 DGEDMN C[C@H](CN(C)C(=O)CC1CCCC1)NCc1ccccc1C#N ZINC001571376130 1191006912 /nfs/dbraw/zinc/00/69/12/1191006912.db2.gz LAZWGGAUSZDGOW-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccncc1Cl ZINC001571399635 1191014171 /nfs/dbraw/zinc/01/41/71/1191014171.db2.gz WJNZCZCTNOXMJP-CYBMUJFWSA-N 0 1 323.868 3.274 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001571411128 1191017972 /nfs/dbraw/zinc/01/79/72/1191017972.db2.gz XOCAMHQXPUJZKN-JKSUJKDBSA-N 0 1 315.461 3.321 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(C(C)C)n2)C[C@H]1C ZINC001571473271 1191026496 /nfs/dbraw/zinc/02/64/96/1191026496.db2.gz OHAIGDWKIMJDGB-NVXWUHKLSA-N 0 1 315.461 3.250 20 30 DGEDMN C#CCN(C)CCN(C(=O)CCc1ccc(C)cc1C)C(C)C ZINC001571614109 1191061074 /nfs/dbraw/zinc/06/10/74/1191061074.db2.gz VXRKGAJLYZWOSL-UHFFFAOYSA-N 0 1 314.473 3.038 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@](C)(CC)CCC)c(F)c1 ZINC001571703402 1191086321 /nfs/dbraw/zinc/08/63/21/1191086321.db2.gz DXVYHCOEGXFVBO-LJQANCHMSA-N 0 1 318.436 3.381 20 30 DGEDMN C#CCN(Cc1c[nH]nn1)[C@H](C)c1cc(Cl)ccc1Cl ZINC001574936828 1191458650 /nfs/dbraw/zinc/45/86/50/1191458650.db2.gz CWJZELLOCZARFJ-SNVBAGLBSA-N 0 1 309.200 3.308 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1cccc(Nc2ccc(OC)cc2)c1 ZINC001574970710 1191460452 /nfs/dbraw/zinc/46/04/52/1191460452.db2.gz YNWWVYBJAMMDTA-GOSISDBHSA-N 0 1 323.396 3.118 20 30 DGEDMN CC(C)(C#N)CCN1CCOC[C@H]1C[C@H]1CNc2ccccc21 ZINC001575083635 1191465629 /nfs/dbraw/zinc/46/56/29/1191465629.db2.gz ZWGBQOKFAQZMEH-JKSUJKDBSA-N 0 1 313.445 3.226 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC001575117937 1191466956 /nfs/dbraw/zinc/46/69/56/1191466956.db2.gz HSDCUAKVJYCVHH-RBUKOAKNSA-N 0 1 308.429 3.140 20 30 DGEDMN CC#CCN(Cc1c[nH]nn1)Cc1ccc(SC(F)F)cc1 ZINC001575119212 1191467086 /nfs/dbraw/zinc/46/70/86/1191467086.db2.gz WOQJOCSRHDJTJG-UHFFFAOYSA-N 0 1 322.384 3.145 20 30 DGEDMN C#C[C@@](C)(CC)NCCS(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC001618048897 1193723210 /nfs/dbraw/zinc/72/32/10/1193723210.db2.gz WDQZRWYEGFKDHN-SFHVURJKSA-N 0 1 321.486 3.149 20 30 DGEDMN C#C[C@](C)(CC)NCCS(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC001618048896 1193723460 /nfs/dbraw/zinc/72/34/60/1193723460.db2.gz WDQZRWYEGFKDHN-GOSISDBHSA-N 0 1 321.486 3.149 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CCO[C@@H](c3ccccc3)CC2)c1 ZINC001620670947 1193789689 /nfs/dbraw/zinc/78/96/89/1193789689.db2.gz BGYSNCUFCMWYKE-WOJBJXKFSA-N 0 1 322.408 3.055 20 30 DGEDMN CC[C@](C)(CN1CCN(c2ccc(C#N)cc2Cl)CC1)OC ZINC001621290666 1193827547 /nfs/dbraw/zinc/82/75/47/1193827547.db2.gz XWAXSOSHDLVPLC-QGZVFWFLSA-N 0 1 321.852 3.149 20 30 DGEDMN C=C(C)CN(Cc1ccc(C(=O)OC)n1C)Cc1ccccc1 ZINC001621304360 1193828726 /nfs/dbraw/zinc/82/87/26/1193828726.db2.gz BGFUDAGKNUSIAL-UHFFFAOYSA-N 0 1 312.413 3.390 20 30 DGEDMN C#C[C@@H](NC1CC(OCC)C1)c1ccc(Br)cc1 ZINC001621356460 1193832837 /nfs/dbraw/zinc/83/28/37/1193832837.db2.gz AXUQPPALRRANQU-YMAMQOFZSA-N 0 1 308.219 3.281 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@H](C(C)(C)O)C1 ZINC001621513248 1193851866 /nfs/dbraw/zinc/85/18/66/1193851866.db2.gz IERFHVAWIFZSFW-CQSZACIVSA-N 0 1 321.848 3.335 20 30 DGEDMN COC(=O)CCC1(NCc2cccc(F)c2C#N)CCCCC1 ZINC001621537578 1193855157 /nfs/dbraw/zinc/85/51/57/1193855157.db2.gz JCHSJIXOFINSRP-UHFFFAOYSA-N 0 1 318.392 3.443 20 30 DGEDMN C[C@@H](Cc1ccc(F)cc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001623604168 1193941128 /nfs/dbraw/zinc/94/11/28/1193941128.db2.gz BNUWBDWEYLWGQI-NSHDSACASA-N 0 1 322.343 3.391 20 30 DGEDMN CC(C)(C(=O)NOCc1ccc(F)cc1)c1ccc(C#N)cc1 ZINC001624931981 1194023263 /nfs/dbraw/zinc/02/32/63/1194023263.db2.gz QUIYAZNRVPCCRW-UHFFFAOYSA-N 0 1 312.344 3.223 20 30 DGEDMN Cc1cc(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)ncc1C#N ZINC001625529452 1194056698 /nfs/dbraw/zinc/05/66/98/1194056698.db2.gz FYDJCIMTRBGYHE-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN N#C[C@H](NC(=O)c1cccc2nc[nH]c21)c1ccc(Cl)cc1 ZINC001628135112 1194162411 /nfs/dbraw/zinc/16/24/11/1194162411.db2.gz SWABZXBNLPWYKG-AWEZNQCLSA-N 0 1 310.744 3.211 20 30 DGEDMN C=C(CC)CNC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC001632590372 1194397810 /nfs/dbraw/zinc/39/78/10/1194397810.db2.gz POYGVBWRNFZYOQ-HNNXBMFYSA-N 0 1 309.841 3.208 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC001633861900 1194460489 /nfs/dbraw/zinc/46/04/89/1194460489.db2.gz NXSDRLSHUISINE-UHFFFAOYSA-N 0 1 310.288 3.241 20 30 DGEDMN N#C[C@@H](NC(=O)c1cccc(C(F)(F)F)c1O)C1CCCC1 ZINC001636732651 1194630646 /nfs/dbraw/zinc/63/06/46/1194630646.db2.gz IELJGTKEWRHUTD-GFCCVEGCSA-N 0 1 312.291 3.223 20 30 DGEDMN C[C@H](CC1CCCC1)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001639135422 1194752456 /nfs/dbraw/zinc/75/24/56/1194752456.db2.gz IIKUQHIACHSBSV-GFCCVEGCSA-N 0 1 323.400 3.498 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N1CCCC[C@H]1c1cc(C)[nH]n1)OCC ZINC001639472920 1194768050 /nfs/dbraw/zinc/76/80/50/1194768050.db2.gz ZYLHVHDRASTYML-JKSUJKDBSA-N 0 1 305.422 3.143 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001640396958 1194811257 /nfs/dbraw/zinc/81/12/57/1194811257.db2.gz BWWZMCDXXVQWPG-NVXWUHKLSA-N 0 1 324.428 3.260 20 30 DGEDMN C=CC[C@@H]1CCCN(C(=O)[C@H](c2ccccc2)N(C)CC)C1 ZINC001641029893 1194836807 /nfs/dbraw/zinc/83/68/07/1194836807.db2.gz MWXSOLUBDSQBQZ-AEFFLSMTSA-N 0 1 300.446 3.494 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001641354042 1194849625 /nfs/dbraw/zinc/84/96/25/1194849625.db2.gz ONORTMSZSKBJDB-GZBFAFLISA-N 0 1 301.434 3.399 20 30 DGEDMN CCOCCOC1CCN(CC#Cc2ccc(Cl)cc2)CC1 ZINC001641519570 1194858706 /nfs/dbraw/zinc/85/87/06/1194858706.db2.gz LQINRWGDIIHZJM-UHFFFAOYSA-N 0 1 321.848 3.209 20 30 DGEDMN CCC1CCN([C@H](C)C(=O)N(C)C2(C#N)CCC(C)CC2)CC1 ZINC001641721720 1194868098 /nfs/dbraw/zinc/86/80/98/1194868098.db2.gz HMRQWWBPAWFBNZ-KOHRHEQBSA-N 0 1 319.493 3.428 20 30 DGEDMN C=C[C@@H](C(=O)N(C)C1CN(CCCCC)C1)c1ccccc1 ZINC001671201536 1194882913 /nfs/dbraw/zinc/88/29/13/1194882913.db2.gz ALZURIBIUPDDDI-GOSISDBHSA-N 0 1 300.446 3.289 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CC[C@@](F)(c3ccccc3)C2)c1 ZINC001642884385 1194951085 /nfs/dbraw/zinc/95/10/85/1194951085.db2.gz WWVOTJSQHYULMJ-MOPGFXCFSA-N 0 1 310.372 3.162 20 30 DGEDMN CC(C)(NCc1cnnn1-c1ccccc1)c1cccc(C#N)c1 ZINC001643799490 1195055978 /nfs/dbraw/zinc/05/59/78/1195055978.db2.gz QDIFDYFXBGJQLI-UHFFFAOYSA-N 0 1 317.396 3.164 20 30 DGEDMN N#CCC1(CN2CCC[C@H](Oc3ccc(C4CC4)cn3)C2)CC1 ZINC001644015617 1195072620 /nfs/dbraw/zinc/07/26/20/1195072620.db2.gz VPQRWLBPIXUTRE-KRWDZBQOSA-N 0 1 311.429 3.496 20 30 DGEDMN CCCCCCCCN1CCN(c2cnccc2C#N)CC1 ZINC001645924966 1195207430 /nfs/dbraw/zinc/20/74/30/1195207430.db2.gz JGTTUSSDVWKSTM-UHFFFAOYSA-N 0 1 300.450 3.436 20 30 DGEDMN C[C@@H]1CN([C@@H](C#N)c2ccccc2)CCN1Cc1ccccc1 ZINC001646164950 1195215806 /nfs/dbraw/zinc/21/58/06/1195215806.db2.gz MYGPVYXEQMSRJW-XLIONFOSSA-N 0 1 305.425 3.458 20 30 DGEDMN CC(C)N1CCN(c2cc(C(F)(F)F)c(F)cc2C#N)CC1 ZINC001650317051 1195360902 /nfs/dbraw/zinc/36/09/02/1195360902.db2.gz LIACHFMXRGNCHZ-UHFFFAOYSA-N 0 1 315.314 3.247 20 30 DGEDMN CC(C)c1nc(CNc2cc(Br)ccc2C#N)n[nH]1 ZINC001651881916 1195519170 /nfs/dbraw/zinc/51/91/70/1195519170.db2.gz RZFBRIQEQAVQSS-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN CC[C@H](c1ccccc1)N1CCN(Cc2ccc(C#N)o2)CC1 ZINC001652586416 1195621660 /nfs/dbraw/zinc/62/16/60/1195621660.db2.gz BZBWFWKCRLUKPT-LJQANCHMSA-N 0 1 309.413 3.420 20 30 DGEDMN N#Cc1ccc(OC2CN(C[C@H]3CCc4ccccc43)C2)cc1 ZINC001652625828 1195628955 /nfs/dbraw/zinc/62/89/55/1195628955.db2.gz MSEFDGNKJIBTAM-QGZVFWFLSA-N 0 1 304.393 3.351 20 30 DGEDMN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@H](O)c1cccc(C#N)c1 ZINC001654113391 1195776360 /nfs/dbraw/zinc/77/63/60/1195776360.db2.gz PLPGQQOBZOLXKR-UGFHNGPFSA-N 0 1 312.335 3.254 20 30 DGEDMN CCCCCCCCCCN1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001654718508 1195832382 /nfs/dbraw/zinc/83/23/82/1195832382.db2.gz GXQWHZZXWKAKHW-SJORKVTESA-N 0 1 315.523 3.494 20 30 DGEDMN N#Cc1ccc(CN2CCCC[C@]23CCCOC3)c([N+](=O)[O-])c1 ZINC001655145477 1195882887 /nfs/dbraw/zinc/88/28/87/1195882887.db2.gz XXQQLDTZEQUGNQ-QGZVFWFLSA-N 0 1 315.373 3.002 20 30 DGEDMN CC#CCN1Cc2cnn(-c3ccccc3F)c2CC(C)(C)C1 ZINC001655208034 1195891035 /nfs/dbraw/zinc/89/10/35/1195891035.db2.gz GALMKBLHRFHKIX-UHFFFAOYSA-N 0 1 311.404 3.419 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2cccc(OC)c2)CC1 ZINC001655325682 1195904045 /nfs/dbraw/zinc/90/40/45/1195904045.db2.gz HHTRLRPEGYOKAR-GOSISDBHSA-N 0 1 317.429 3.067 20 30 DGEDMN COCC#CCN1CCC[C@]1(C)Cc1cc(F)c(F)c(F)c1 ZINC001655460281 1195920188 /nfs/dbraw/zinc/92/01/88/1195920188.db2.gz GRKBVIPFZGHHPL-QGZVFWFLSA-N 0 1 311.347 3.151 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C\C(C)(C)C)C1 ZINC001656248119 1196023490 /nfs/dbraw/zinc/02/34/90/1196023490.db2.gz FVVDVTYTRXIENM-FRRBRAEUSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1nc2cc(C)ccc2s1 ZINC001656378498 1196033906 /nfs/dbraw/zinc/03/39/06/1196033906.db2.gz RQVRQBATAFMUNQ-UHFFFAOYSA-N 0 1 318.427 3.380 20 30 DGEDMN C=CCNC(=O)CNCc1ccc(-c2ccccc2)c(Cl)c1 ZINC001657660279 1196166119 /nfs/dbraw/zinc/16/61/19/1196166119.db2.gz OBDCDJNNSSZHAN-UHFFFAOYSA-N 0 1 314.816 3.399 20 30 DGEDMN C=CC[C@H]1CC[C@@H](NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC001657822515 1196181670 /nfs/dbraw/zinc/18/16/70/1196181670.db2.gz IFECUZLPDQMQRY-QWHCGFSZSA-N 0 1 318.408 3.481 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](N(C)c2ccc(C)cc2)C1 ZINC001657911569 1196193152 /nfs/dbraw/zinc/19/31/52/1196193152.db2.gz BMCMCEHKNWCMKR-ZWKOTPCHSA-N 0 1 316.445 3.013 20 30 DGEDMN CC#CC[C@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001658211758 1196225629 /nfs/dbraw/zinc/22/56/29/1196225629.db2.gz PWBCUPQNNBPSAG-GFCCVEGCSA-N 0 1 305.324 3.089 20 30 DGEDMN C=C1CCN(Cc2cc(Br)cc(F)c2O)CC1 ZINC001658332972 1196243223 /nfs/dbraw/zinc/24/32/23/1196243223.db2.gz WDCUVUGPAKMWRQ-UHFFFAOYSA-N 0 1 300.171 3.446 20 30 DGEDMN CCC[C@]1(C(=O)OCC)CCCN1CCc1ccc(C#N)cc1 ZINC001658483619 1196263550 /nfs/dbraw/zinc/26/35/50/1196263550.db2.gz BFZUZHGVUAMEFX-LJQANCHMSA-N 0 1 314.429 3.299 20 30 DGEDMN C=C(C)CN(CC[S@@](=O)C(F)(F)F)Cc1ccccc1 ZINC001658520867 1196268324 /nfs/dbraw/zinc/26/83/24/1196268324.db2.gz PNIPRLIQTDWPMQ-HXUWFJFHSA-N 0 1 305.365 3.333 20 30 DGEDMN C=C(C)CN(CC[S@](=O)C(F)(F)F)Cc1ccccc1 ZINC001658520866 1196268721 /nfs/dbraw/zinc/26/87/21/1196268721.db2.gz PNIPRLIQTDWPMQ-FQEVSTJZSA-N 0 1 305.365 3.333 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OC)c(CC)[nH]1 ZINC001658606360 1196280028 /nfs/dbraw/zinc/28/00/28/1196280028.db2.gz WKIQYGHSUGLSMR-UHFFFAOYSA-N 0 1 316.401 3.187 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc(OCCC)c(C)c2)C1=O ZINC001659014463 1196331716 /nfs/dbraw/zinc/33/17/16/1196331716.db2.gz OYYJWRUGZXGTMH-QGZVFWFLSA-N 0 1 316.445 3.003 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cc(O)ccc1[N+](=O)[O-] ZINC001659101015 1196345530 /nfs/dbraw/zinc/34/55/30/1196345530.db2.gz ZFNKYKLHZSPHIN-LBPRGKRZSA-N 0 1 311.341 3.365 20 30 DGEDMN C#C[C@H](NCc1c2cc(C)ccc2[nH]c1C(=O)OCC)C(C)C ZINC001659148656 1196352844 /nfs/dbraw/zinc/35/28/44/1196352844.db2.gz CXNAEZLVVHOJQR-INIZCTEOSA-N 0 1 312.413 3.400 20 30 DGEDMN CC[C@H](C)CC(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001660116310 1196448293 /nfs/dbraw/zinc/44/82/93/1196448293.db2.gz IZAQWRCVTOSRSZ-INIZCTEOSA-N 0 1 318.436 3.052 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1cnc(C)o1 ZINC001660441866 1196484824 /nfs/dbraw/zinc/48/48/24/1196484824.db2.gz WKSPLVBLYYUSID-RHSMWYFYSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1cnc(C)o1 ZINC001660448467 1196485375 /nfs/dbraw/zinc/48/53/75/1196485375.db2.gz YSSNKKSOLUINIM-PBHICJAKSA-N 0 1 319.449 3.199 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)Nc1cc(C)nn1C(C)(C)C ZINC001660484446 1196489896 /nfs/dbraw/zinc/48/98/96/1196489896.db2.gz PYLQGASBDXULBU-OAHLLOKOSA-N 0 1 318.465 3.316 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C[C@@H](C)CCC=C(C)C)CC1 ZINC001660787359 1196524179 /nfs/dbraw/zinc/52/41/79/1196524179.db2.gz ILLZFGOOUJNNNF-KRWDZBQOSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC001660809994 1196527528 /nfs/dbraw/zinc/52/75/28/1196527528.db2.gz CIKKNTUHRPASFC-QGZVFWFLSA-N 0 1 304.409 3.181 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@H](C)c2ccccc2F)C1 ZINC001660818815 1196529902 /nfs/dbraw/zinc/52/99/02/1196529902.db2.gz TWOVGJSQCPFQEX-HZPDHXFCSA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@@H](C)c2ccccc2F)C1 ZINC001660818816 1196530151 /nfs/dbraw/zinc/53/01/51/1196530151.db2.gz TWOVGJSQCPFQEX-JKSUJKDBSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001661016399 1196552867 /nfs/dbraw/zinc/55/28/67/1196552867.db2.gz ZRJPRWMZRFGUPD-RDJZCZTQSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001661024573 1196555510 /nfs/dbraw/zinc/55/55/10/1196555510.db2.gz RFHLKXCQZQXKHA-QGZVFWFLSA-N 0 1 318.436 3.449 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cscc1Cl)C1CC1 ZINC001661135935 1196571488 /nfs/dbraw/zinc/57/14/88/1196571488.db2.gz BUJVBZQNYNNVDB-LBPRGKRZSA-N 0 1 319.257 3.252 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](CN(C)Cc2cc(C)ns2)C1 ZINC001661203270 1196580849 /nfs/dbraw/zinc/58/08/49/1196580849.db2.gz ZZCFACVSSZMBOY-HNNXBMFYSA-N 0 1 321.490 3.088 20 30 DGEDMN C=CCCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccncc1 ZINC001661240154 1196587272 /nfs/dbraw/zinc/58/72/72/1196587272.db2.gz ZEYACMZJFFNRAH-HDICACEKSA-N 0 1 313.445 3.117 20 30 DGEDMN C#CCOc1ccccc1CNCc1ccc2c(c1)C[C@@H](C)O2 ZINC001661468262 1196609050 /nfs/dbraw/zinc/60/90/50/1196609050.db2.gz LMVUHLSVCMGWFO-OAHLLOKOSA-N 0 1 307.393 3.312 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001661650254 1196628035 /nfs/dbraw/zinc/62/80/35/1196628035.db2.gz PXWJAWCZOZXQRM-YARAZESWSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H](C)Cc1ccco1 ZINC001661955281 1196666009 /nfs/dbraw/zinc/66/60/09/1196666009.db2.gz ZXYCBJZSXULZDQ-HIFRSBDPSA-N 0 1 324.852 3.181 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(CCF)CC2)C1 ZINC001661994863 1196672602 /nfs/dbraw/zinc/67/26/02/1196672602.db2.gz ALRIMZLCTNBXCW-KBPBESRZSA-N 0 1 316.848 3.095 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1cccc(F)c1F ZINC001662328714 1196708035 /nfs/dbraw/zinc/70/80/35/1196708035.db2.gz FKLFFVSPMIPYPZ-SJCJKPOMSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2cc(F)ccc2Cl)C1 ZINC001662329109 1196708715 /nfs/dbraw/zinc/70/87/15/1196708715.db2.gz IZWOISCSVIBNLB-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)c1ccc(OC)cc1 ZINC001662420913 1196719941 /nfs/dbraw/zinc/71/99/41/1196719941.db2.gz VGHGDGIWBDDCCJ-TZMCWYRMSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)c1ccc(OC)cc1 ZINC001662420912 1196720213 /nfs/dbraw/zinc/72/02/13/1196720213.db2.gz VGHGDGIWBDDCCJ-OCCSQVGLSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H](C)NC/C(Cl)=C\Cl ZINC001662959926 1196777056 /nfs/dbraw/zinc/77/70/56/1196777056.db2.gz QFNHXDUVSMQCKI-SERMCNLOSA-N 0 1 307.265 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCCN1Cc1cccnc1C ZINC001663512176 1196890130 /nfs/dbraw/zinc/89/01/30/1196890130.db2.gz FSSZKCQSMQGICL-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC001663537286 1196898903 /nfs/dbraw/zinc/89/89/03/1196898903.db2.gz LPEASRCJKBAFPS-STQMWFEESA-N 0 1 324.877 3.109 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)c1ccccc1 ZINC001663648986 1196920986 /nfs/dbraw/zinc/92/09/86/1196920986.db2.gz IGXHWCFRLXOJRY-UHFFFAOYSA-N 0 1 320.436 3.180 20 30 DGEDMN CC(C)C#CC(=O)NCCN(Cc1cccc(Cl)c1)C1CC1 ZINC001663718248 1196933475 /nfs/dbraw/zinc/93/34/75/1196933475.db2.gz HCEWDCSZHFTZBK-UHFFFAOYSA-N 0 1 318.848 3.080 20 30 DGEDMN C#CCN[C@H](CNC(=O)C=C1CCC1)c1ccc(C(C)C)cc1 ZINC001664561746 1197014335 /nfs/dbraw/zinc/01/43/35/1197014335.db2.gz MFTRGQFYEOSXKM-LJQANCHMSA-N 0 1 310.441 3.300 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2cccs2)C1 ZINC001664678051 1197032676 /nfs/dbraw/zinc/03/26/76/1197032676.db2.gz KRJYKCOWUUOCJU-HZPDHXFCSA-N 0 1 318.486 3.188 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCCC2(C)C)C1 ZINC001664678991 1197033556 /nfs/dbraw/zinc/03/35/56/1197033556.db2.gz SCYAQRBHVQVULJ-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(F)=C2CCCC2)C1 ZINC001664704039 1197040277 /nfs/dbraw/zinc/04/02/77/1197040277.db2.gz AKEACPQSDYLZCK-CYBMUJFWSA-N 0 1 314.832 3.365 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)c2ccccc2)C1 ZINC001664855037 1197069363 /nfs/dbraw/zinc/06/93/63/1197069363.db2.gz URHWZOGDYSVDRU-CVEARBPZSA-N 0 1 320.864 3.323 20 30 DGEDMN COc1cc(CNCc2ccc(CC#N)cc2)c(Cl)cc1O ZINC001665092413 1197096706 /nfs/dbraw/zinc/09/67/06/1197096706.db2.gz WFBOUEXCZKKMRG-UHFFFAOYSA-N 0 1 316.788 3.410 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CCc2ccc(C)c(Cl)c2)C1 ZINC001665170395 1197104975 /nfs/dbraw/zinc/10/49/75/1197104975.db2.gz YLWQGYXDKWXLGA-UHFFFAOYSA-N 0 1 320.864 3.348 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](C)c2cccc(C)c2)C1 ZINC001665196607 1197107915 /nfs/dbraw/zinc/10/79/15/1197107915.db2.gz XCEMDLKALPFLBQ-JKSUJKDBSA-N 0 1 300.446 3.254 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001665567161 1197151993 /nfs/dbraw/zinc/15/19/93/1197151993.db2.gz LHPUMAQLSCGXQC-PVDJYUQQSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC[C@@H](C)c2ccccc2)C1 ZINC001665670231 1197168054 /nfs/dbraw/zinc/16/80/54/1197168054.db2.gz DBQMLZAKNUTYNT-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CCCC(=O)N(CC)[C@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001665703589 1197177574 /nfs/dbraw/zinc/17/75/74/1197177574.db2.gz NJEOXKOYDBYOHJ-SFHVURJKSA-N 0 1 315.461 3.087 20 30 DGEDMN C#CCCCC(=O)N(CC)[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC001665708853 1197179014 /nfs/dbraw/zinc/17/90/14/1197179014.db2.gz DMHOFXVVXXHIKJ-KTZABMDBSA-N 0 1 324.468 3.426 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C/C=C\c2ccc(C)cc2)C1 ZINC001665748552 1197186436 /nfs/dbraw/zinc/18/64/36/1197186436.db2.gz QTAGKSHZZBXYJJ-WHXUGTBJSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001665808445 1197199899 /nfs/dbraw/zinc/19/98/99/1197199899.db2.gz CSWMWXYSPZMWGG-DOTOQJQBSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc(C)c2c1CCC2 ZINC001665894198 1197212982 /nfs/dbraw/zinc/21/29/82/1197212982.db2.gz YCSZCZUWNVXVCK-CQSZACIVSA-N 0 1 320.864 3.334 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2nccs2)CCCC[C@H]1C ZINC001666051164 1197231966 /nfs/dbraw/zinc/23/19/66/1197231966.db2.gz WJBSFPGDMJOZMC-RHSMWYFYSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001666866041 1197292481 /nfs/dbraw/zinc/29/24/81/1197292481.db2.gz YIVYFOHFDWXQCN-OLZOCXBDSA-N 0 1 310.388 3.429 20 30 DGEDMN CC(C)CCCC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001666996859 1197306562 /nfs/dbraw/zinc/30/65/62/1197306562.db2.gz HKZSAQZZTWLKHZ-MRXNPFEDSA-N 0 1 315.461 3.321 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001667452159 1197349631 /nfs/dbraw/zinc/34/96/31/1197349631.db2.gz ISZNDIJOQZTXOS-QAPCUYQASA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCN(C)Cc1cccc(OC)c1 ZINC001667607617 1197367725 /nfs/dbraw/zinc/36/77/25/1197367725.db2.gz IGOBDMPBJCFCNK-UHFFFAOYSA-N 0 1 318.461 3.188 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1c(Cl)oc2ccccc21 ZINC001667611071 1197368002 /nfs/dbraw/zinc/36/80/02/1197368002.db2.gz GWLSUMGFYBXCBS-UHFFFAOYSA-N 0 1 318.804 3.113 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNCc1nc2ccccc2o1 ZINC001668167607 1197402826 /nfs/dbraw/zinc/40/28/26/1197402826.db2.gz JTWZTWAKMJTWLP-ZDUSSCGKSA-N 0 1 315.417 3.024 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CCNCc1nc2ccccc2o1 ZINC001668167606 1197403129 /nfs/dbraw/zinc/40/31/29/1197403129.db2.gz JTWZTWAKMJTWLP-CYBMUJFWSA-N 0 1 315.417 3.024 20 30 DGEDMN CCC(C)(C)C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001668191284 1197404078 /nfs/dbraw/zinc/40/40/78/1197404078.db2.gz QWFVCDVXKGDQKS-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc2c1CCCCC2 ZINC001669323664 1197449187 /nfs/dbraw/zinc/44/91/87/1197449187.db2.gz HTHGSAXCQKZGQO-CQSZACIVSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1cccc(OC)c1 ZINC001669753318 1197475738 /nfs/dbraw/zinc/47/57/38/1197475738.db2.gz JXKKMHGRJDGASH-JSGCOSHPSA-N 0 1 324.852 3.036 20 30 DGEDMN C#CCN(C(=O)/C=C\C(C)(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001671465340 1197542230 /nfs/dbraw/zinc/54/22/30/1197542230.db2.gz QMSBPHRLWDCUOM-CLOOOTJHSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C(F)F)CC1)C1CCCC1 ZINC001671530495 1197546316 /nfs/dbraw/zinc/54/63/16/1197546316.db2.gz NXSROFSKTBQHKK-GFCCVEGCSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2ncccc2C)C1 ZINC001671648579 1197557412 /nfs/dbraw/zinc/55/74/12/1197557412.db2.gz IVOOGWJYNVCSOB-LJQANCHMSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001671683580 1197561886 /nfs/dbraw/zinc/56/18/86/1197561886.db2.gz CHMYVSUPTRSSJM-IAQYHMDHSA-N 0 1 312.866 3.272 20 30 DGEDMN CCCC(=O)NC[C@@]1(C)CCCN(Cc2ccccc2C#N)C1 ZINC001671868590 1197577918 /nfs/dbraw/zinc/57/79/18/1197577918.db2.gz ZLFLCBJXDQMCCA-LJQANCHMSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2oc3ccccc3c2C)C1 ZINC001671916233 1197584510 /nfs/dbraw/zinc/58/45/10/1197584510.db2.gz HHJGDOMOYAOUFF-FQEVSTJZSA-N 0 1 324.424 3.206 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(CC(=C)Cl)C3)CCC1 ZINC001672166371 1197594354 /nfs/dbraw/zinc/59/43/54/1197594354.db2.gz IVCRPMNSTHOSFI-XHSDSOJGSA-N 0 1 322.880 3.454 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)c1ccccc1CC ZINC001672177276 1197594565 /nfs/dbraw/zinc/59/45/65/1197594565.db2.gz UJWLXNSFJGOLGX-ZGXWSNOMSA-N 0 1 324.468 3.065 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H](C)CC(C)(C)C)[C@@H]2C1 ZINC001672562982 1197617974 /nfs/dbraw/zinc/61/79/74/1197617974.db2.gz OXJWMTSRLCDCME-IXDOHACOSA-N 0 1 304.478 3.005 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(C)CCCCC1 ZINC001672929382 1197628924 /nfs/dbraw/zinc/62/89/24/1197628924.db2.gz GDNGJJUPKMYYCH-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H]1CC[C@@H](C)C1 ZINC001672930004 1197629060 /nfs/dbraw/zinc/62/90/60/1197629060.db2.gz YRHKOEBRBGMHLC-IAGOWNOFSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@H]1CC[C@@H](C)C1 ZINC001672930006 1197629118 /nfs/dbraw/zinc/62/91/18/1197629118.db2.gz YRHKOEBRBGMHLC-SJORKVTESA-N 0 1 312.457 3.194 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C3(CCC)CC3)C2)CC1 ZINC001673017123 1197634748 /nfs/dbraw/zinc/63/47/48/1197634748.db2.gz XKMKMRFYXDUNEE-UHFFFAOYSA-N 0 1 310.869 3.244 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001673013029 1197634850 /nfs/dbraw/zinc/63/48/50/1197634850.db2.gz JDBPKBHCPPMDBM-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@@H](C)C3CC3)cccc2C1 ZINC001673079906 1197636389 /nfs/dbraw/zinc/63/63/89/1197636389.db2.gz UGJFHTXFYKNINZ-OAHLLOKOSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C=C(/C)CC)cccc2C1 ZINC001673080130 1197637095 /nfs/dbraw/zinc/63/70/95/1197637095.db2.gz ZKWXLXHNJMULPY-SSZFMOIBSA-N 0 1 310.441 3.041 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)/C=C(\C)CC)cccc2C1 ZINC001673080128 1197637309 /nfs/dbraw/zinc/63/73/09/1197637309.db2.gz ZKWXLXHNJMULPY-DTQAZKPQSA-N 0 1 310.441 3.041 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CC(C)C ZINC001674267573 1197755190 /nfs/dbraw/zinc/75/51/90/1197755190.db2.gz ULULHXIWPYWENU-GOSISDBHSA-N 0 1 300.446 3.324 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)[C@@H](CC)CCC)CC2)C1 ZINC001674284204 1197757759 /nfs/dbraw/zinc/75/77/59/1197757759.db2.gz NHDZGKCFYYCCSQ-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(Cl)cc1F ZINC001674470551 1197781803 /nfs/dbraw/zinc/78/18/03/1197781803.db2.gz YVEZJVUCYSBIDE-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1C[N@@H+](C)CCOC1CCC1 ZINC001674480976 1197783243 /nfs/dbraw/zinc/78/32/43/1197783243.db2.gz NODSBXYCNGZMNE-APWZRJJASA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(C)(C)C)cn1 ZINC001674667337 1197828147 /nfs/dbraw/zinc/82/81/47/1197828147.db2.gz RCZGTVKFPRKROQ-OLZOCXBDSA-N 0 1 323.868 3.228 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cn(C)c2ccccc12 ZINC001674694214 1197836538 /nfs/dbraw/zinc/83/65/38/1197836538.db2.gz RCADVNDJDUNOJG-STQMWFEESA-N 0 1 319.836 3.027 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C)nc1C1CC1 ZINC001674709836 1197841908 /nfs/dbraw/zinc/84/19/08/1197841908.db2.gz NDOVXTVYBYCHTO-STQMWFEESA-N 0 1 321.852 3.116 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1ccncc1Cl ZINC001674714719 1197843343 /nfs/dbraw/zinc/84/33/43/1197843343.db2.gz CLRHMHDZASLBKY-QWHCGFSZSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1c(C)cc(C)cc1C ZINC001674722724 1197848480 /nfs/dbraw/zinc/84/84/80/1197848480.db2.gz RGTLVODECOZVGC-HZPDHXFCSA-N 0 1 322.880 3.390 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1ccccc1Cl ZINC001674766466 1197864975 /nfs/dbraw/zinc/86/49/75/1197864975.db2.gz ODSXGJZDBABILI-VXGBXAGGSA-N 0 1 315.244 3.118 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2nccs2)CCCC1 ZINC001674781026 1197871575 /nfs/dbraw/zinc/87/15/75/1197871575.db2.gz QWHCMJXADRJXSR-UONOGXRCSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(C)Cc1ccc(Cl)c(OC)c1 ZINC001674901226 1197908829 /nfs/dbraw/zinc/90/88/29/1197908829.db2.gz NDDYOIXBPDPAID-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](C)N(C)Cc1ccc(C#N)c(F)c1 ZINC001674908484 1197912119 /nfs/dbraw/zinc/91/21/19/1197912119.db2.gz PMLWXVWLXFLKRU-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCc1ccccc1Cl ZINC001674938118 1197926092 /nfs/dbraw/zinc/92/60/92/1197926092.db2.gz JDSLWZKHZADPEL-OAHLLOKOSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2ccc(C)c(F)c2)C1 ZINC001675312255 1198041874 /nfs/dbraw/zinc/04/18/74/1198041874.db2.gz XILHNXVBNZBQAO-OAHLLOKOSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C2(CC(C)C)CCCC2)C1 ZINC001675349287 1198051230 /nfs/dbraw/zinc/05/12/30/1198051230.db2.gz PRUPTMJWLMOGEP-MRXNPFEDSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)Cc2ccccc2C)C(C)(C)C1 ZINC001675486775 1198079719 /nfs/dbraw/zinc/07/97/19/1198079719.db2.gz OZQXAZAYUPCZOJ-WMZOPIPTSA-N 0 1 314.473 3.186 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NCc1cccc(Cl)n1 ZINC001676407343 1198313994 /nfs/dbraw/zinc/31/39/94/1198313994.db2.gz RIBFLCJHKVRNSH-UONOGXRCSA-N 0 1 323.868 3.274 20 30 DGEDMN C#CCCCC(=O)N1C[C@H](NCc2sccc2Cl)C[C@H]1C ZINC001676722475 1198373923 /nfs/dbraw/zinc/37/39/23/1198373923.db2.gz KISRADDTTNIXLA-CHWSQXEVSA-N 0 1 324.877 3.284 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@]12CCC[C@H]1N(C/C=C/Cl)CC2 ZINC001676817316 1198400515 /nfs/dbraw/zinc/40/05/15/1198400515.db2.gz WZDJVSCWMJHPDU-VEQOCYJESA-N 0 1 310.869 3.454 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001676867513 1198415328 /nfs/dbraw/zinc/41/53/28/1198415328.db2.gz QQHLBPHOVYPFJM-KGLIPLIRSA-N 0 1 318.383 3.102 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CCc2ccns2)[C@H]1C ZINC001676872278 1198418140 /nfs/dbraw/zinc/41/81/40/1198418140.db2.gz IDPZTKJDYHHZIJ-HOCLYGCPSA-N 0 1 321.490 3.011 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CC[C@@H](CNCc2cscn2)C1 ZINC001676945651 1198440811 /nfs/dbraw/zinc/44/08/11/1198440811.db2.gz ODAWMOIQNJBIEU-KGLIPLIRSA-N 0 1 321.490 3.120 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC(C1CCC1)C1CCC1)C(C)C ZINC001677211227 1198490368 /nfs/dbraw/zinc/49/03/68/1198490368.db2.gz FSXKRSWMGGXJNU-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN CC(C)CC(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001677222655 1198493738 /nfs/dbraw/zinc/49/37/38/1198493738.db2.gz GWJOAOMBEUHDML-QGZVFWFLSA-N 0 1 315.461 3.225 20 30 DGEDMN CN1CCC[C@H](NC(=O)Nc2scc(C(C)(C)C)c2C#N)C1 ZINC001677860752 1198596409 /nfs/dbraw/zinc/59/64/09/1198596409.db2.gz RYMGHTVHHNEECB-NSHDSACASA-N 0 1 320.462 3.133 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN(Cc1ccc(F)cc1)C2 ZINC001686305850 1199550744 /nfs/dbraw/zinc/55/07/44/1199550744.db2.gz QLDAIIMRCGZYAH-UHFFFAOYSA-N 0 1 316.420 3.072 20 30 DGEDMN C=C[C@H](COC)NCc1ccc(Cl)c(Br)c1 ZINC000309942975 1199711418 /nfs/dbraw/zinc/71/14/18/1199711418.db2.gz CHUOHSSMJOLLAZ-SNVBAGLBSA-N 0 1 304.615 3.393 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1cccc(C)c1 ZINC001688881016 1199939703 /nfs/dbraw/zinc/93/97/03/1199939703.db2.gz IMIICKFOZPFDND-KRWDZBQOSA-N 0 1 300.446 3.240 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCc1ccc(C)c(Cl)c1 ZINC001688881280 1199940589 /nfs/dbraw/zinc/94/05/89/1199940589.db2.gz OEJJZOCOXSULGL-INIZCTEOSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2CCc2cccs2)C1 ZINC001688888825 1199944235 /nfs/dbraw/zinc/94/42/35/1199944235.db2.gz OXBWHODHKXPQET-OAHLLOKOSA-N 0 1 318.486 3.180 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1cc2ccccc2o1 ZINC001688893890 1199946737 /nfs/dbraw/zinc/94/67/37/1199946737.db2.gz MVEKXDQVQAHYQN-DOTOQJQBSA-N 0 1 324.424 3.092 20 30 DGEDMN C=C[C@@H](CC(=O)N(C)C[C@@H]1CCN1CC(=C)C)c1ccccc1 ZINC001688896432 1199948683 /nfs/dbraw/zinc/94/86/83/1199948683.db2.gz DDEPAXGMIOCVAK-HKUYNNGSSA-N 0 1 312.457 3.455 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCC(C)C)C1 ZINC001688952290 1199971139 /nfs/dbraw/zinc/97/11/39/1199971139.db2.gz YZCYQOJIXJVYPM-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C2CCCC2)cc1 ZINC001753857874 1200005183 /nfs/dbraw/zinc/00/51/83/1200005183.db2.gz FBEQUUQWJNVFIW-UHFFFAOYSA-N 0 1 312.457 3.371 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(C)c(F)c1 ZINC001753859290 1200007612 /nfs/dbraw/zinc/00/76/12/1200007612.db2.gz JVRVWLZONQRPGG-UHFFFAOYSA-N 0 1 306.425 3.237 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCN(C)Cc2ccsc2)CC1 ZINC001753861769 1200012920 /nfs/dbraw/zinc/01/29/20/1200012920.db2.gz QVIRQCGCSKTHTM-UHFFFAOYSA-N 0 1 306.475 3.385 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2[C@H](CCN2CC=C(Cl)Cl)C1 ZINC001753870851 1200023498 /nfs/dbraw/zinc/02/34/98/1200023498.db2.gz HILPANKCZBYSPC-OLZOCXBDSA-N 0 1 317.260 3.194 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)CCNCc1cc(F)ccc1C#N ZINC001753963087 1200052985 /nfs/dbraw/zinc/05/29/85/1200052985.db2.gz DYQIQFZMNVUFBI-KADHNRKRSA-N 0 1 317.408 3.038 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)C#CC(C)(C)C)CCN1C/C=C/c1ccccc1 ZINC001689290434 1200084641 /nfs/dbraw/zinc/08/46/41/1200084641.db2.gz GZBXLHHGKLNZLD-NZVCTJPZSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2ncccc2C)[C@@H]1C ZINC001689312697 1200089554 /nfs/dbraw/zinc/08/95/54/1200089554.db2.gz HXEWAFGSCSKPRS-ZACQAIPSSA-N 0 1 315.461 3.071 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(F)c2occc21 ZINC001754085245 1200100108 /nfs/dbraw/zinc/10/01/08/1200100108.db2.gz VWKPZKOUYSXSBB-UHFFFAOYSA-N 0 1 324.783 3.376 20 30 DGEDMN CCCCCC(=O)NC/C=C\CNCC#Cc1ccc(F)cc1 ZINC001754111285 1200106735 /nfs/dbraw/zinc/10/67/35/1200106735.db2.gz XMKFJNGBFITUPZ-WAYWQWQTSA-N 0 1 316.420 3.020 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001698593404 1200131241 /nfs/dbraw/zinc/13/12/41/1200131241.db2.gz IVXUPPIYSVVYSB-GUYCJALGSA-N 0 1 319.424 3.118 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1c2ccccc2oc1CC ZINC001754335977 1200230412 /nfs/dbraw/zinc/23/04/12/1200230412.db2.gz JCABWZQXQABDFM-AWEZNQCLSA-N 0 1 312.413 3.069 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCCNC/C(Cl)=C/Cl ZINC001754374380 1200245805 /nfs/dbraw/zinc/24/58/05/1200245805.db2.gz LCBSCCSANTULFW-BENRWUELSA-N 0 1 307.265 3.346 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@H](CNCc1ccccc1C#N)C1CC1 ZINC001754448886 1200267903 /nfs/dbraw/zinc/26/79/03/1200267903.db2.gz HVWYSRXOMXAKLU-MPCIYJAUSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC2CCC1CC2)C1CC1 ZINC001754460903 1200275117 /nfs/dbraw/zinc/27/51/17/1200275117.db2.gz DAVGAVHYIFRSGL-BCAXMMLOSA-N 0 1 310.869 3.050 20 30 DGEDMN CCCCC(=O)NCC1(NCC#Cc2cccc(Cl)c2)CC1 ZINC001754509125 1200326851 /nfs/dbraw/zinc/32/68/51/1200326851.db2.gz ZYXOYIVQMVPBGP-UHFFFAOYSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(CF)cc1 ZINC001689970165 1200351341 /nfs/dbraw/zinc/35/13/41/1200351341.db2.gz GYWDXZRMAPLFEH-UHFFFAOYSA-N 0 1 312.816 3.350 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC001690033724 1200377959 /nfs/dbraw/zinc/37/79/59/1200377959.db2.gz HYQQTYCQGAWQJU-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001690051648 1200387488 /nfs/dbraw/zinc/38/74/88/1200387488.db2.gz KSLSSQWZNLUFHN-ZWKOTPCHSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc[nH]c1C(C)C ZINC001754615315 1200396939 /nfs/dbraw/zinc/39/69/39/1200396939.db2.gz JZGIRVVZVPTNFN-ZDUSSCGKSA-N 0 1 309.841 3.085 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccoc1Cl)c1ccccc1 ZINC001691783052 1200404287 /nfs/dbraw/zinc/40/42/87/1200404287.db2.gz UCOVASJDUNNUKO-OAHLLOKOSA-N 0 1 316.788 3.017 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001690154284 1200425573 /nfs/dbraw/zinc/42/55/73/1200425573.db2.gz YMMIPXSFQXPJCX-WHGQRRHOSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](NC/C(Cl)=C\Cl)C2CC2)C1 ZINC001690169268 1200431223 /nfs/dbraw/zinc/43/12/23/1200431223.db2.gz WXRHXTYYTOMRFQ-WHGQRRHOSA-N 0 1 317.260 3.146 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1C[C@H]1c1ccccc1)C1CC1 ZINC001690176877 1200434408 /nfs/dbraw/zinc/43/44/08/1200434408.db2.gz ZNLVQSJKAAIIBV-GVDBMIGSSA-N 0 1 318.848 3.027 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H]2CCCC23CC3)C1 ZINC001690191704 1200446924 /nfs/dbraw/zinc/44/69/24/1200446924.db2.gz YKLBOSHSLUMHDM-HOTGVXAUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)C(C)C)C1 ZINC001690195411 1200449481 /nfs/dbraw/zinc/44/94/81/1200449481.db2.gz VDXIAYKYSZSDGE-GJZGRUSLSA-N 0 1 300.874 3.201 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CC1 ZINC001754717882 1200461082 /nfs/dbraw/zinc/46/10/82/1200461082.db2.gz ICQCYRIBBHMRIL-PMPSAXMXSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](C)CCCCC)C1 ZINC001754730585 1200475134 /nfs/dbraw/zinc/47/51/34/1200475134.db2.gz IZOJEDLWKKZSFF-MJGOQNOKSA-N 0 1 306.494 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](C)CCCCC)C1 ZINC001754730583 1200475938 /nfs/dbraw/zinc/47/59/38/1200475938.db2.gz IZOJEDLWKKZSFF-HKUYNNGSSA-N 0 1 306.494 3.444 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2sccc2C2CC2)C1 ZINC001754734698 1200479322 /nfs/dbraw/zinc/47/93/22/1200479322.db2.gz XGJODNWTRDAPLH-SFHVURJKSA-N 0 1 316.470 3.091 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc(C)cc(Cl)c2)C1 ZINC001754735785 1200481186 /nfs/dbraw/zinc/48/11/86/1200481186.db2.gz KTDJNDHFIWFXHK-SFHVURJKSA-N 0 1 318.848 3.114 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC(CC)CC)C1 ZINC001754744940 1200483627 /nfs/dbraw/zinc/48/36/27/1200483627.db2.gz QITABHVMQYAICS-CQSZACIVSA-N 0 1 302.409 3.072 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCCN(Cc2cncs2)C1 ZINC001690388468 1200530228 /nfs/dbraw/zinc/53/02/28/1200530228.db2.gz JWGQECMOOXOFND-WMLDXEAASA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](CC)CCC ZINC001754946475 1200549490 /nfs/dbraw/zinc/54/94/90/1200549490.db2.gz JUNFXCYLVLSGBU-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)C[C@H](C)OC)cc1 ZINC001754955228 1200555833 /nfs/dbraw/zinc/55/58/33/1200555833.db2.gz YDUDLNNSDPEXOU-KBPBESRZSA-N 0 1 324.852 3.131 20 30 DGEDMN C=C/C(C)=C/CC(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001754985166 1200571178 /nfs/dbraw/zinc/57/11/78/1200571178.db2.gz KDRCXBRSNJMEIX-LDADJPATSA-N 0 1 322.452 3.189 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@]1(C)CC=CCC1 ZINC001690470347 1200578706 /nfs/dbraw/zinc/57/87/06/1200578706.db2.gz ZISRBADKPPHHPP-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1c[nH]cc1C1CC1 ZINC001690482652 1200583816 /nfs/dbraw/zinc/58/38/16/1200583816.db2.gz UIKJYOMKFWISCM-CQSZACIVSA-N 0 1 321.852 3.229 20 30 DGEDMN CN(CCCN(C)C(=O)CC(C)(C)C)Cc1ccc(C#N)s1 ZINC001755033238 1200597515 /nfs/dbraw/zinc/59/75/15/1200597515.db2.gz OILITQMXJGYISR-UHFFFAOYSA-N 0 1 321.490 3.336 20 30 DGEDMN C=CCCC(=O)NC1CCC(NCc2csc(CC)n2)CC1 ZINC001690528906 1200608480 /nfs/dbraw/zinc/60/84/80/1200608480.db2.gz NWUMWTNIJKCRPR-UHFFFAOYSA-N 0 1 321.490 3.189 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(CC)(NCc2ccon2)CC1 ZINC001755070969 1200624489 /nfs/dbraw/zinc/62/44/89/1200624489.db2.gz DFBNMSOZFBGSMB-HNNXBMFYSA-N 0 1 319.449 3.138 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC001690591141 1200638891 /nfs/dbraw/zinc/63/88/91/1200638891.db2.gz JQWBJJQKEZJYHQ-LLVKDONJSA-N 0 1 321.852 3.230 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC001690612087 1200646847 /nfs/dbraw/zinc/64/68/47/1200646847.db2.gz GBTQDEZLGJZJON-NHYWBVRUSA-N 0 1 322.399 3.259 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1cc(F)ccc1Cl ZINC001690615258 1200650449 /nfs/dbraw/zinc/65/04/49/1200650449.db2.gz YUTXYUANCJPVMJ-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CCCC(C)(C)C(=O)NC[C@@H](C)NCC#Cc1ccc(F)cc1 ZINC001690618442 1200651837 /nfs/dbraw/zinc/65/18/37/1200651837.db2.gz ZQLIHIDJTDKKEM-OAHLLOKOSA-N 0 1 318.436 3.098 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2ccc(F)cc2F)CCC1 ZINC001690619413 1200653103 /nfs/dbraw/zinc/65/31/03/1200653103.db2.gz VFBNFGFROSAHMV-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)c1ccc(Cl)s1 ZINC001690636700 1200662474 /nfs/dbraw/zinc/66/24/74/1200662474.db2.gz FSZZKDZYZJMAML-NXEZZACHSA-N 0 1 321.273 3.352 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccc(C(F)F)c1 ZINC001690639718 1200664207 /nfs/dbraw/zinc/66/42/07/1200664207.db2.gz DDEZVJGDOGDBMN-JTQLQIEISA-N 0 1 302.752 3.085 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cccnc2)[C@@H](C)C1 ZINC001755158909 1200666294 /nfs/dbraw/zinc/66/62/94/1200666294.db2.gz AWHZTFUZHDTVQS-DOTOQJQBSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC001755158910 1200666403 /nfs/dbraw/zinc/66/64/03/1200666403.db2.gz AWHZTFUZHDTVQS-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC[C@@H]1CCc2ccccc21 ZINC001690649989 1200671237 /nfs/dbraw/zinc/67/12/37/1200671237.db2.gz CGMJRZLAFLIEDD-HOCLYGCPSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001690651917 1200672427 /nfs/dbraw/zinc/67/24/27/1200672427.db2.gz JGGRIWGLCRDSQJ-IUODEOHRSA-N 0 1 312.816 3.166 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(CC)Cc2nocc2C)CC1 ZINC001755182369 1200682375 /nfs/dbraw/zinc/68/23/75/1200682375.db2.gz MJIGRWWTBHPJMA-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC[C@H](C)CC ZINC001755187112 1200685111 /nfs/dbraw/zinc/68/51/11/1200685111.db2.gz VVWJZLGQSLJWPJ-XFQXTVEOSA-N 0 1 312.457 3.208 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(F)cc1Cl ZINC001755201258 1200697741 /nfs/dbraw/zinc/69/77/41/1200697741.db2.gz PXZPJWHHKHZXJN-AWEZNQCLSA-N 0 1 322.811 3.039 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001755232289 1200720579 /nfs/dbraw/zinc/72/05/79/1200720579.db2.gz XSGRUUOLKLUNRN-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001755232290 1200720659 /nfs/dbraw/zinc/72/06/59/1200720659.db2.gz XSGRUUOLKLUNRN-UONOGXRCSA-N 0 1 322.399 3.141 20 30 DGEDMN CC1CC(C(=O)N2CCC[C@@H](N(C)CC#Cc3ccccc3)C2)C1 ZINC001690805569 1200723676 /nfs/dbraw/zinc/72/36/76/1200723676.db2.gz LOAMLNWQIAUVPL-LYBXBRPPSA-N 0 1 324.468 3.007 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1ccc(C)cc1C ZINC001755245939 1200730694 /nfs/dbraw/zinc/73/06/94/1200730694.db2.gz IMKBFSFLBCGUQM-JKSUJKDBSA-N 0 1 322.880 3.471 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1oc(C(C)C)nc1C ZINC001755250644 1200737126 /nfs/dbraw/zinc/73/71/26/1200737126.db2.gz YPEHIIVNKHPHKN-QWHCGFSZSA-N 0 1 321.465 3.301 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1coc2ccccc21 ZINC001755269571 1200752467 /nfs/dbraw/zinc/75/24/67/1200752467.db2.gz QVXKTLBIIHYKDK-NEPJUHHUSA-N 0 1 306.793 3.282 20 30 DGEDMN C=CCC1(C(=O)NCC[C@H](C)NCc2nocc2C)CCCC1 ZINC001690881122 1200762679 /nfs/dbraw/zinc/76/26/79/1200762679.db2.gz JZFNQTPBUMOAQL-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(C)CCC(=O)NCCC[C@@H]1CCCN1Cc1nccs1 ZINC001690899092 1200774673 /nfs/dbraw/zinc/77/46/73/1200774673.db2.gz ICEPQDLFPGKOHU-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCOCC(=O)NC[C@H](C)N(C)[C@H](C)c1ccccc1Cl ZINC001755295493 1200775598 /nfs/dbraw/zinc/77/55/98/1200775598.db2.gz YEPKDFORENVPGU-UONOGXRCSA-N 0 1 324.852 3.040 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001755296899 1200777144 /nfs/dbraw/zinc/77/71/44/1200777144.db2.gz RRVDDYIIWFGAJR-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(F)ccc1CC)c1ccccc1 ZINC001691788050 1200808315 /nfs/dbraw/zinc/80/83/15/1200808315.db2.gz PTBCNFBSKLEPNN-LJQANCHMSA-N 0 1 324.399 3.082 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2nocc2C)CCCCC1 ZINC001755353407 1200835829 /nfs/dbraw/zinc/83/58/29/1200835829.db2.gz KMLURHQEWJTNNQ-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1ccc(C)c(C)n1 ZINC001755357277 1200840453 /nfs/dbraw/zinc/84/04/53/1200840453.db2.gz BSNKELXTSWQCBO-OAHLLOKOSA-N 0 1 323.868 3.329 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C(C)C)cn2)C1 ZINC001691864758 1200845062 /nfs/dbraw/zinc/84/50/62/1200845062.db2.gz SXDCQPQHKNYGLZ-KRWDZBQOSA-N 0 1 315.461 3.318 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1(C)CCCCCC1 ZINC001755363247 1200847395 /nfs/dbraw/zinc/84/73/95/1200847395.db2.gz FLEHSTLVHNVBLU-QGZVFWFLSA-N 0 1 304.478 3.341 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C1CN([C@H](C)c2cccc(F)c2)C1 ZINC001755373183 1200861007 /nfs/dbraw/zinc/86/10/07/1200861007.db2.gz JIRJHABJSWAXEM-LSDHHAIUSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H](C)C(C)(C)C)C1 ZINC001691893159 1200861438 /nfs/dbraw/zinc/86/14/38/1200861438.db2.gz NPNNDEQRLXSTDB-UONOGXRCSA-N 0 1 300.874 3.249 20 30 DGEDMN C=CCCC(=O)N1CC[C@@]2(C1)CN(CCCC(C)(C)C)CCO2 ZINC001755400867 1200875459 /nfs/dbraw/zinc/87/54/59/1200875459.db2.gz MDXQJTZTPKEGML-IBGZPJMESA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)CCC(C)(C)C)C(C)(C)C1 ZINC001755415582 1200890925 /nfs/dbraw/zinc/89/09/25/1200890925.db2.gz JNYKZRRJUXWGIE-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](F)CC2CCCCC2)C(C)(C)C1 ZINC001755415801 1200891564 /nfs/dbraw/zinc/89/15/64/1200891564.db2.gz LWFKFQFJDPKTIA-JKSUJKDBSA-N 0 1 310.457 3.308 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CCNCc1ncc(C)o1 ZINC001691988839 1200900902 /nfs/dbraw/zinc/90/09/02/1200900902.db2.gz YNAHBUZKDAOYDI-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)CCC=C(C)C)[C@H]1CC ZINC001692012111 1200909706 /nfs/dbraw/zinc/90/97/06/1200909706.db2.gz TYSCLTPMKPUYSJ-FGTMMUONSA-N 0 1 304.478 3.361 20 30 DGEDMN CCCCCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001692140374 1200946230 /nfs/dbraw/zinc/94/62/30/1200946230.db2.gz CWSUXOTWOFCOSE-QAPCUYQASA-N 0 1 313.445 3.218 20 30 DGEDMN C=CCN1CC[C@H](c2ccc(F)cc2)[C@H](NC(=O)CCC)C1 ZINC001692156805 1200952840 /nfs/dbraw/zinc/95/28/40/1200952840.db2.gz UTTMSSBTFOQJSS-IAGOWNOFSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C[C@@H](C)c1ccco1 ZINC001755568169 1200957860 /nfs/dbraw/zinc/95/78/60/1200957860.db2.gz FUNQXHBLAREVKH-IUODEOHRSA-N 0 1 324.852 3.352 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)ccc1C ZINC001755571711 1200959272 /nfs/dbraw/zinc/95/92/72/1200959272.db2.gz LXFSRIVJGLDRRN-KRWDZBQOSA-N 0 1 320.864 3.496 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1nsc2ccccc12 ZINC001755580107 1200967217 /nfs/dbraw/zinc/96/72/17/1200967217.db2.gz QOIQMOUPGPPGLF-CYBMUJFWSA-N 0 1 317.458 3.199 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)CC2CCCCC2)[C@H]1CC ZINC001692209267 1200970730 /nfs/dbraw/zinc/97/07/30/1200970730.db2.gz QSRSCFRBTDNHRU-RYQLBKOJSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)c(F)c(C)c1 ZINC001755618514 1200995691 /nfs/dbraw/zinc/99/56/91/1200995691.db2.gz VOOZGWKQAAICGJ-ZDUSSCGKSA-N 0 1 312.816 3.245 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H](C)SC)CC(C)(C)C1 ZINC001692272962 1200997519 /nfs/dbraw/zinc/99/75/19/1200997519.db2.gz OGXXHBNBLFOMQL-QWHCGFSZSA-N 0 1 318.914 3.097 20 30 DGEDMN CCCC(=O)N1C[C@@H](NCC#Cc2ccc(Cl)cc2)C[C@H]1C ZINC001755669721 1201006976 /nfs/dbraw/zinc/00/69/76/1201006976.db2.gz MUHNXBQGWMNAKP-PBHICJAKSA-N 0 1 318.848 3.071 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H](C)c2ccc(CC)cc2)C1 ZINC001692335108 1201023329 /nfs/dbraw/zinc/02/33/29/1201023329.db2.gz DOBATMFIAIVNNN-MRXNPFEDSA-N 0 1 314.473 3.367 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1C ZINC001692354912 1201032575 /nfs/dbraw/zinc/03/25/75/1201032575.db2.gz HTIZXNGAPLZRBQ-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2ccccn2)[C@@H]1C ZINC001755716772 1201036533 /nfs/dbraw/zinc/03/65/33/1201036533.db2.gz BYJHVQQENVJWLF-NVXWUHKLSA-N 0 1 315.461 3.153 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001692363526 1201037374 /nfs/dbraw/zinc/03/73/74/1201037374.db2.gz HQPCUGZBIOSXRW-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001692383188 1201045643 /nfs/dbraw/zinc/04/56/43/1201045643.db2.gz OREUELRWBQZTAT-CJNGLKHVSA-N 0 1 304.409 3.117 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(Cl)cc1C)C(C)C ZINC001755812294 1201075568 /nfs/dbraw/zinc/07/55/68/1201075568.db2.gz MDRCKGCGVMBGRZ-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cscc1C(F)F)C(C)C ZINC001755812686 1201078159 /nfs/dbraw/zinc/07/81/59/1201078159.db2.gz CTZBUALBDKWZMN-UHFFFAOYSA-N 0 1 314.401 3.101 20 30 DGEDMN CC#CCCCC(=O)NC1(C2CCN(C/C=C\Cl)CC2)CC1 ZINC001755868897 1201115260 /nfs/dbraw/zinc/11/52/60/1201115260.db2.gz LJGYNZKLUYPVIE-SDQBBNPISA-N 0 1 322.880 3.293 20 30 DGEDMN CC/C(C)=C/C(=O)NCC1=CCN(Cc2cccc(C#N)c2)CC1 ZINC001755885165 1201118826 /nfs/dbraw/zinc/11/88/26/1201118826.db2.gz OGCSSPASEQSBHU-LFIBNONCSA-N 0 1 323.440 3.163 20 30 DGEDMN C=CC(C)(C)CC(=O)NCc1ccc(CNCC#CC)cc1F ZINC001755901561 1201127509 /nfs/dbraw/zinc/12/75/09/1201127509.db2.gz WBKMMTNRXXRORS-UHFFFAOYSA-N 0 1 316.420 3.157 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001698560602 1201230439 /nfs/dbraw/zinc/23/04/39/1201230439.db2.gz MHWNNXOISAZVAL-QAPCUYQASA-N 0 1 318.436 3.113 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCCc2ccsc2)C1 ZINC001698580076 1201256224 /nfs/dbraw/zinc/25/62/24/1201256224.db2.gz BNVNJLIEOIZKFQ-QGZVFWFLSA-N 0 1 318.486 3.017 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](CC)NCc1cc(F)ccc1C#N ZINC001698594138 1201267387 /nfs/dbraw/zinc/26/73/87/1201267387.db2.gz FRUYKHUNSSLQLP-LBWZGELYSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc2c1CCCC2 ZINC001698601144 1201272208 /nfs/dbraw/zinc/27/22/08/1201272208.db2.gz OIRKSMXUOVAWOR-OAHLLOKOSA-N 0 1 320.864 3.416 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](c1ccccc1)C1CC1 ZINC001698605866 1201275138 /nfs/dbraw/zinc/27/51/38/1201275138.db2.gz JWHDTNJPIDYTNQ-IAGOWNOFSA-N 0 1 320.864 3.417 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](CC)NCc1cccc(F)c1F ZINC001698610812 1201279850 /nfs/dbraw/zinc/27/98/50/1201279850.db2.gz TWUHOWAFMSRTEL-HNNXBMFYSA-N 0 1 322.399 3.143 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cccc(Cl)c1F ZINC001698611438 1201281970 /nfs/dbraw/zinc/28/19/70/1201281970.db2.gz SCVHEWQUXSAYHQ-SNVBAGLBSA-N 0 1 319.207 3.330 20 30 DGEDMN CCC(=O)N[C@]1(CNCc2ccccc2C#N)CCCC[C@H]1C ZINC001698657381 1201322167 /nfs/dbraw/zinc/32/21/67/1201322167.db2.gz ROFPCBGTWRTZQO-BEFAXECRSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](C)CN[C@@H](C)c2ncc(C)o2)CC1 ZINC001698764553 1201398083 /nfs/dbraw/zinc/39/80/83/1201398083.db2.gz GSFDEFARBXZQTA-HIFRSBDPSA-N 0 1 319.449 3.132 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1c(C)cc(C)cc1C ZINC001698843649 1201498443 /nfs/dbraw/zinc/49/84/43/1201498443.db2.gz DYUKDCXYOLQVBT-MRXNPFEDSA-N 0 1 322.880 3.343 20 30 DGEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)[C@H](CC)CCC ZINC001698852456 1201510068 /nfs/dbraw/zinc/51/00/68/1201510068.db2.gz HPKZHASXBRNXFF-CHWSQXEVSA-N 0 1 319.287 3.158 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H](CC)C(C)C ZINC001750928466 1201725439 /nfs/dbraw/zinc/72/54/39/1201725439.db2.gz HWZFMWLIXYAZHJ-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C/C=C(/C)C(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001750937984 1201732965 /nfs/dbraw/zinc/73/29/65/1201732965.db2.gz SJRFPPRFCYHDAW-QQVKKBHGSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(C)CCC(=O)N(CC)CCNCc1cc(F)ccc1F ZINC001699182636 1201747019 /nfs/dbraw/zinc/74/70/19/1201747019.db2.gz DVYBLXQLNUJJIP-UHFFFAOYSA-N 0 1 310.388 3.259 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C(C)(CC)CC ZINC001699218872 1201758930 /nfs/dbraw/zinc/75/89/30/1201758930.db2.gz CCMXPASLBKQCCR-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C[C@@H](C(=O)NCCCN(C)Cc1ccccc1C#N)C(C)(C)C ZINC001752012616 1201763039 /nfs/dbraw/zinc/76/30/39/1201763039.db2.gz FCPREFIKPVOJTR-HNNXBMFYSA-N 0 1 315.461 3.179 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCNC(=O)[C@@H]1CCCC12CC2 ZINC001751997811 1201764536 /nfs/dbraw/zinc/76/45/36/1201764536.db2.gz NVGAEUMPAYQEPE-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CC1(C)CC[C@@H](C(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001699303947 1201785248 /nfs/dbraw/zinc/78/52/48/1201785248.db2.gz ALYOPNALFIPUBH-OVKVCFKUSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1[C@H](C)NCc1nccs1 ZINC001752145789 1201785256 /nfs/dbraw/zinc/78/52/56/1201785256.db2.gz ICBXMJFBCFXJPH-GJZGRUSLSA-N 0 1 321.490 3.359 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccsc1Cl ZINC001699313593 1201786965 /nfs/dbraw/zinc/78/69/65/1201786965.db2.gz IMGKAQOJEUAXOV-IHWYPQMZSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc2c(s1)CCCC2 ZINC001699341387 1201797194 /nfs/dbraw/zinc/79/71/94/1201797194.db2.gz ZSSURWOFELQEIU-PLNGDYQASA-N 0 1 324.877 3.255 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1ccc(F)cc1C ZINC001752228591 1201803501 /nfs/dbraw/zinc/80/35/01/1201803501.db2.gz WFPRFKAIHHPDKE-UHFFFAOYSA-N 0 1 312.816 3.328 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CNCc1ccc(F)cc1F ZINC001699378983 1201826121 /nfs/dbraw/zinc/82/61/21/1201826121.db2.gz VCLJTTHDFJOZEQ-PXAZEXFGSA-N 0 1 310.388 3.161 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@H]2CC=CCC2)CC1 ZINC001752432369 1201837643 /nfs/dbraw/zinc/83/76/43/1201837643.db2.gz RZURXPCGGAZLJJ-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1nc2ccccc2cc1C ZINC001699414219 1201848230 /nfs/dbraw/zinc/84/82/30/1201848230.db2.gz SZGRZWLLLNFTOR-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](CN(C)Cc2cnc(C)o2)C1 ZINC001752507299 1201861962 /nfs/dbraw/zinc/86/19/62/1201861962.db2.gz ASTCGPJEDWLVNJ-INIZCTEOSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001752520492 1201869032 /nfs/dbraw/zinc/86/90/32/1201869032.db2.gz ZLKQHQDRYBGXAM-LLBKUYECSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1C[C@H](NC/C(Cl)=C\Cl)C1 ZINC001752650297 1201884858 /nfs/dbraw/zinc/88/48/58/1201884858.db2.gz DJKZYEPZKHNCDI-CVVUDGNYSA-N 0 1 317.260 3.126 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCCNCc2nc(C)sc2C)C1 ZINC001699533394 1201937393 /nfs/dbraw/zinc/93/73/93/1201937393.db2.gz BSDAJLBFMWIGGY-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCCN1Cc1ncccc1C ZINC001752821751 1201944779 /nfs/dbraw/zinc/94/47/79/1201944779.db2.gz RUSNMPKCDUDPRU-QGZVFWFLSA-N 0 1 315.461 3.217 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C1(CC(C)C)CCC1)C1CC1 ZINC001699578521 1201954769 /nfs/dbraw/zinc/95/47/69/1201954769.db2.gz FSELAXKFTPDGDR-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(CC(C)C)CCC1)C1CC1 ZINC001699578523 1201955210 /nfs/dbraw/zinc/95/52/10/1201955210.db2.gz FSELAXKFTPDGDR-OAHLLOKOSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001699589944 1201960790 /nfs/dbraw/zinc/96/07/90/1201960790.db2.gz YGUCSPPWJGCFNN-YQCJOKCJSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1Cl)C1CC1 ZINC001699601642 1201968216 /nfs/dbraw/zinc/96/82/16/1201968216.db2.gz ZMSCYSTWGMSZQO-AWEZNQCLSA-N 0 1 313.228 3.191 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001699617492 1201983144 /nfs/dbraw/zinc/98/31/44/1201983144.db2.gz NPQYDTQQCFEBFP-SFHVURJKSA-N 0 1 323.440 3.052 20 30 DGEDMN CCc1ccc(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)cc1 ZINC001752916095 1202010741 /nfs/dbraw/zinc/01/07/41/1202010741.db2.gz ITVVJTLTYANNRJ-QGZVFWFLSA-N 0 1 320.436 3.009 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2(c3ccccc3)CCC2)CC1 ZINC001699659130 1202019522 /nfs/dbraw/zinc/01/95/22/1202019522.db2.gz RHRVTXDLNFKDQX-UHFFFAOYSA-N 0 1 318.848 3.099 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@H]2CCC2(F)F)C1 ZINC001699715222 1202047495 /nfs/dbraw/zinc/04/74/95/1202047495.db2.gz YIYKTCSNQVVNIS-CQSZACIVSA-N 0 1 302.409 3.145 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2CCC2(F)F)C1 ZINC001699715221 1202047970 /nfs/dbraw/zinc/04/79/70/1202047970.db2.gz YIYKTCSNQVVNIS-AWEZNQCLSA-N 0 1 302.409 3.145 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C(C)(C)Cc2ccccc2)C1 ZINC001699721468 1202052703 /nfs/dbraw/zinc/05/27/03/1202052703.db2.gz USWKTNSQVUTWOQ-UHFFFAOYSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@H](CC)c2ccccc2)C1 ZINC001699723575 1202054480 /nfs/dbraw/zinc/05/44/80/1202054480.db2.gz XIWQDUILWRWBQR-INIZCTEOSA-N 0 1 300.446 3.289 20 30 DGEDMN C#CCN(C(=O)/C(C)=C\CC)C1CCN(C/C=C/Cl)CC1 ZINC001699777579 1202076206 /nfs/dbraw/zinc/07/62/06/1202076206.db2.gz SLORJWIKAHXTFD-WBTMYFLCSA-N 0 1 308.853 3.021 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)c1ccco1)C1CCCC1 ZINC001699797335 1202082583 /nfs/dbraw/zinc/08/25/83/1202082583.db2.gz UJROCECQEXCRGY-DZGCQCFKSA-N 0 1 324.852 3.400 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2ccc(Cl)cc2)C1 ZINC001699809789 1202087976 /nfs/dbraw/zinc/08/79/76/1202087976.db2.gz DBLWJVBRBQONOT-KRWDZBQOSA-N 0 1 306.837 3.387 20 30 DGEDMN C=CCCC(=O)N[C@]1(C)CCN(Cc2cc(C)ccc2OC)C1 ZINC001699810715 1202089571 /nfs/dbraw/zinc/08/95/71/1202089571.db2.gz HNLWFKPMZGGNGB-LJQANCHMSA-N 0 1 316.445 3.050 20 30 DGEDMN CCC(C)(CC)C(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001753076035 1202106686 /nfs/dbraw/zinc/10/66/86/1202106686.db2.gz RRVSGDCZDBHGDU-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001699864337 1202119606 /nfs/dbraw/zinc/11/96/06/1202119606.db2.gz KOJMOEKXVMFBBU-LSDHHAIUSA-N 0 1 310.869 3.003 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCC[N@@H+]1Cc1ccc(CF)cc1 ZINC001753097188 1202129221 /nfs/dbraw/zinc/12/92/21/1202129221.db2.gz DHCVBZGBTITMRQ-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN1Cc1c(C)nsc1C ZINC001753109823 1202140206 /nfs/dbraw/zinc/14/02/06/1202140206.db2.gz GWNHIIHUTCHBKL-HNNXBMFYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cnccc1C ZINC001753111371 1202141420 /nfs/dbraw/zinc/14/14/20/1202141420.db2.gz HPXKVEXGGIRGQU-HKUYNNGSSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)c1ccccc1Cl ZINC001753116606 1202144787 /nfs/dbraw/zinc/14/47/87/1202144787.db2.gz NZTAYUXBKQANOI-AWEZNQCLSA-N 0 1 320.864 3.384 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1cncs1 ZINC001700014599 1202164936 /nfs/dbraw/zinc/16/49/36/1202164936.db2.gz XWNYIBLOLXVAIP-CVEARBPZSA-N 0 1 319.474 3.065 20 30 DGEDMN CC#CCCCC(=O)NCCN(Cc1cccc(F)c1)C1CC1 ZINC001753159152 1202174013 /nfs/dbraw/zinc/17/40/13/1202174013.db2.gz FVRJCNWGYMCSBV-UHFFFAOYSA-N 0 1 316.420 3.100 20 30 DGEDMN CC#CCN(CCNC(=O)c1cc(C)c(Cl)cc1C)C1CC1 ZINC001753157936 1202174903 /nfs/dbraw/zinc/17/49/03/1202174903.db2.gz CLPUNKDTAYBTPU-UHFFFAOYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)[C@H]1CC[C@H](C)C1 ZINC001700089684 1202176479 /nfs/dbraw/zinc/17/64/79/1202176479.db2.gz BGPZULVZNCGFQT-IRXDYDNUSA-N 0 1 312.457 3.194 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC001700091086 1202177043 /nfs/dbraw/zinc/17/70/43/1202177043.db2.gz KSROOHWKHGXKGG-UXHICEINSA-N 0 1 324.468 3.194 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)c1cccc(C)c1 ZINC001700091391 1202177131 /nfs/dbraw/zinc/17/71/31/1202177131.db2.gz PCQHZTWLNATLNV-UHFFFAOYSA-N 0 1 320.436 3.380 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1ccn(CC)c1C ZINC001700124657 1202184806 /nfs/dbraw/zinc/18/48/06/1202184806.db2.gz FJXXUYSHBYXXFM-OAHLLOKOSA-N 0 1 323.868 3.153 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCNCc1ccccc1Cl ZINC001753221767 1202185948 /nfs/dbraw/zinc/18/59/48/1202185948.db2.gz BZPDTPVQUBJKLY-AWEZNQCLSA-N 0 1 308.853 3.490 20 30 DGEDMN C=C(Br)CNC1(CNC(=O)CCCCC)CCC1 ZINC001700126741 1202185997 /nfs/dbraw/zinc/18/59/97/1202185997.db2.gz GYTNPERAZARWJT-UHFFFAOYSA-N 0 1 317.271 3.104 20 30 DGEDMN CC(C)CCCC(=O)N(C)CCNCc1ccc(C#N)cc1F ZINC001753233784 1202187039 /nfs/dbraw/zinc/18/70/39/1202187039.db2.gz SFVRGDJKBFQOKO-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCC=C(Cl)Cl)CCC1 ZINC001700136095 1202188716 /nfs/dbraw/zinc/18/87/16/1202188716.db2.gz QURSQPCKGJPTHQ-UHFFFAOYSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(CF)cc2)CCC1 ZINC001700142852 1202192077 /nfs/dbraw/zinc/19/20/77/1202192077.db2.gz GPRMGVXVGCLNCX-UHFFFAOYSA-N 0 1 310.800 3.151 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cscc1Cl)c1ccccc1 ZINC001753298493 1202199497 /nfs/dbraw/zinc/19/94/97/1202199497.db2.gz IRDZCIRORAECOG-HNNXBMFYSA-N 0 1 318.829 3.095 20 30 DGEDMN CN(CCCN(C)C(=O)C1(C)CCC1)Cc1ccccc1C#N ZINC001700189264 1202204027 /nfs/dbraw/zinc/20/40/27/1202204027.db2.gz HMMIEKAKVMCCBZ-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc3ccccc3[nH]2)C1 ZINC001753322288 1202210134 /nfs/dbraw/zinc/21/01/34/1202210134.db2.gz HEJJGCJNCZJRKU-INIZCTEOSA-N 0 1 311.429 3.280 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@H](CNC(=O)C(C)(C)CC)O2 ZINC001700204734 1202210511 /nfs/dbraw/zinc/21/05/11/1202210511.db2.gz HTAWTVNKPFSXES-HZPDHXFCSA-N 0 1 322.493 3.127 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001753323640 1202210919 /nfs/dbraw/zinc/21/09/19/1202210919.db2.gz YKKJEGUDUJYRBD-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)C=C(CC)CC)CO2 ZINC001700211469 1202211540 /nfs/dbraw/zinc/21/15/40/1202211540.db2.gz XZDYXDJQWNYMMA-WBVHZDCISA-N 0 1 320.477 3.047 20 30 DGEDMN CC/C=C(\C)C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001700311479 1202231487 /nfs/dbraw/zinc/23/14/87/1202231487.db2.gz OABDBBOTKULYAL-BYWSEQPLSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001753404779 1202242105 /nfs/dbraw/zinc/24/21/05/1202242105.db2.gz RTQSZURXPAWJHE-FLIBITNWSA-N 0 1 312.457 3.350 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(F)cc1C ZINC001700345905 1202242928 /nfs/dbraw/zinc/24/29/28/1202242928.db2.gz QBNVPBZVMNWFIY-HNNXBMFYSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1CC12CCCC2 ZINC001700345795 1202243267 /nfs/dbraw/zinc/24/32/67/1202243267.db2.gz FLSHCDZUVFSKTJ-LSDHHAIUSA-N 0 1 310.869 3.290 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001700356166 1202246905 /nfs/dbraw/zinc/24/69/05/1202246905.db2.gz NOJZMCDBUBNLRU-KRWDZBQOSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001700356176 1202247126 /nfs/dbraw/zinc/24/71/26/1202247126.db2.gz NOJZMCDBUBNLRU-QGZVFWFLSA-N 0 1 323.440 3.036 20 30 DGEDMN CC[C@H](c1ccccc1)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC(C)(C)C ZINC001753420873 1202248207 /nfs/dbraw/zinc/24/82/07/1202248207.db2.gz NOQHOISWZPSDDC-GBESFXJTSA-N 0 1 324.468 3.472 20 30 DGEDMN C[C@@H](NCc1ccccc1C#N)[C@H](C)NC(=O)C1CCCCC1 ZINC001700383970 1202256623 /nfs/dbraw/zinc/25/66/23/1202256623.db2.gz DVRAVFMYHJYWRP-CABCVRRESA-N 0 1 313.445 3.121 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)[C@H](C)NCc2oc(C)nc2C)CC1 ZINC001700424969 1202269396 /nfs/dbraw/zinc/26/93/96/1202269396.db2.gz ZFYFHZSBWQRFRQ-STQMWFEESA-N 0 1 319.449 3.021 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001700436898 1202272457 /nfs/dbraw/zinc/27/24/57/1202272457.db2.gz SKPJFBDHLLGGAS-JFUPDXTOSA-N 0 1 319.449 3.136 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@]1(C)CCc2ccccc21 ZINC001753498121 1202276255 /nfs/dbraw/zinc/27/62/55/1202276255.db2.gz PJLZBCPMSIGJKZ-MAUKXSAKSA-N 0 1 320.864 3.127 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1(F)CCCC1 ZINC001753737121 1202325630 /nfs/dbraw/zinc/32/56/30/1202325630.db2.gz SUDQWHWOZSHUBB-CYBMUJFWSA-N 0 1 304.837 3.142 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001700555222 1202312806 /nfs/dbraw/zinc/31/28/06/1202312806.db2.gz PXEMCSFKPSTIJN-KGLIPLIRSA-N 0 1 312.413 3.159 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(Cl)cc1F ZINC001753701278 1202316430 /nfs/dbraw/zinc/31/64/30/1202316430.db2.gz IGWFZJJYVVDLPG-SNVBAGLBSA-N 0 1 319.207 3.282 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccnc2ccccc21 ZINC001753703148 1202316607 /nfs/dbraw/zinc/31/66/07/1202316607.db2.gz YSIAPGVKWROXFV-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1oc(C)nc1C)CC(C)C ZINC001753738190 1202325214 /nfs/dbraw/zinc/32/52/14/1202325214.db2.gz DWWFHMUFKJZJAK-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)Cc1ccccc1C ZINC001753741282 1202326523 /nfs/dbraw/zinc/32/65/23/1202326523.db2.gz TUWZVAGTYFHLAZ-KRWDZBQOSA-N 0 1 322.880 3.411 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1nc(C)cs1 ZINC001753804881 1202336242 /nfs/dbraw/zinc/33/62/42/1202336242.db2.gz KFWZSXAMVNZQFW-HIFRSBDPSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001700750165 1202348118 /nfs/dbraw/zinc/34/81/18/1202348118.db2.gz GQDCRSCNVSZBSB-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)c1cccc(F)c1)C(C)C ZINC001700979237 1202375573 /nfs/dbraw/zinc/37/55/73/1202375573.db2.gz BIESXAHLUAZUCB-MRXNPFEDSA-N 0 1 318.436 3.121 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1oc(C)cc1C)C(C)(C)C ZINC001700988574 1202377111 /nfs/dbraw/zinc/37/71/11/1202377111.db2.gz BTYGBTQSUURKTJ-ZDUSSCGKSA-N 0 1 312.841 3.383 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC001707105976 1202573623 /nfs/dbraw/zinc/57/36/23/1202573623.db2.gz WLSIRJKXNHXIHA-UONOGXRCSA-N 0 1 321.490 3.120 20 30 DGEDMN CC(C)C#CC(=O)NCCCN(C)[C@H](C)c1cc(F)ccc1F ZINC001712945866 1202605751 /nfs/dbraw/zinc/60/57/51/1202605751.db2.gz KUXOVTVAJJJDQD-CQSZACIVSA-N 0 1 322.399 3.123 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCCCC1(F)F ZINC001713019480 1202610551 /nfs/dbraw/zinc/61/05/51/1202610551.db2.gz RTLYMFOKWOYAKZ-CYBMUJFWSA-N 0 1 322.827 3.393 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCC[C@@H](C)C1 ZINC001713020878 1202610794 /nfs/dbraw/zinc/61/07/94/1202610794.db2.gz DFDGUJDVIYGUEZ-HIFRSBDPSA-N 0 1 300.874 3.393 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001713054789 1202613157 /nfs/dbraw/zinc/61/31/57/1202613157.db2.gz TXQOTZKVIDWLLI-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001713085804 1202615935 /nfs/dbraw/zinc/61/59/35/1202615935.db2.gz HJCCYHNNZJVVFH-YJBOKZPZSA-N 0 1 318.436 3.427 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)/C=C\C(C)(C)C)CC1 ZINC001713099461 1202616933 /nfs/dbraw/zinc/61/69/33/1202616933.db2.gz QPSBBSQSPFJTKV-XFXZXTDPSA-N 0 1 304.478 3.315 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001713101089 1202617188 /nfs/dbraw/zinc/61/71/88/1202617188.db2.gz NMAGBBJNMUFFPN-CQSZACIVSA-N 0 1 314.420 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1sc(C)cc1C)C1CC1 ZINC001713111750 1202618225 /nfs/dbraw/zinc/61/82/25/1202618225.db2.gz RPGGOVOXTICSRB-CYBMUJFWSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1csc(C)c1C)C1CC1 ZINC001713112675 1202618231 /nfs/dbraw/zinc/61/82/31/1202618231.db2.gz XZFWXTPVJPYUTG-AWEZNQCLSA-N 0 1 312.866 3.215 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)CCC)C1 ZINC001713128373 1202619579 /nfs/dbraw/zinc/61/95/79/1202619579.db2.gz HNHMAUPNGUXYHM-DZGCQCFKSA-N 0 1 300.874 3.346 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)CCC(C)(C)C ZINC001713256623 1202632378 /nfs/dbraw/zinc/63/23/78/1202632378.db2.gz KZGFIHLSEZGORD-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2)CCCC1 ZINC001713259488 1202632654 /nfs/dbraw/zinc/63/26/54/1202632654.db2.gz UOVSILSNYQSVPK-LJQANCHMSA-N 0 1 324.468 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001713328219 1202639464 /nfs/dbraw/zinc/63/94/64/1202639464.db2.gz OOLPGTDVXHRMNK-LBPRGKRZSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CCCC(=O)NCCC[C@H]1CCCN1Cc1ncc(C)s1 ZINC001713427647 1202648548 /nfs/dbraw/zinc/64/85/48/1202648548.db2.gz JAPMIGQDPCGEEH-HNNXBMFYSA-N 0 1 321.490 3.279 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001713494089 1202660042 /nfs/dbraw/zinc/66/00/42/1202660042.db2.gz ZFQZKOWCXRTPRK-UHFFFAOYSA-N 0 1 320.864 3.079 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCN(CCC)Cc1ccc(F)cn1 ZINC001713548546 1202668290 /nfs/dbraw/zinc/66/82/90/1202668290.db2.gz LYGZSZZMKSMEMZ-UHFFFAOYSA-N 0 1 321.440 3.151 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2cc(Cl)ccc2F)C1 ZINC001713632979 1202674277 /nfs/dbraw/zinc/67/42/77/1202674277.db2.gz XHIQWOWBCJIWRX-UHFFFAOYSA-N 0 1 324.827 3.383 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](c1ccccc1)C(C)C ZINC001713639187 1202674550 /nfs/dbraw/zinc/67/45/50/1202674550.db2.gz VQXBKWVLQBUKJX-MRXNPFEDSA-N 0 1 308.853 3.227 20 30 DGEDMN CC(C)[C@@H](C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001713640303 1202674569 /nfs/dbraw/zinc/67/45/69/1202674569.db2.gz IYMROXKURFEWIY-OAHLLOKOSA-N 0 1 320.864 3.032 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(C)CCC1)c1ccc(C(C)C)cc1 ZINC001713697590 1202678420 /nfs/dbraw/zinc/67/84/20/1202678420.db2.gz HTAAVAOZIOAXEQ-SFHVURJKSA-N 0 1 312.457 3.380 20 30 DGEDMN C#CCN[C@@H](CNC(=O)Cc1ccco1)c1ccc(C(C)C)cc1 ZINC001713699074 1202678451 /nfs/dbraw/zinc/67/84/51/1202678451.db2.gz STGYYJBFRADLJR-IBGZPJMESA-N 0 1 324.424 3.026 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(OCC)cc2)C1 ZINC001713721841 1202681711 /nfs/dbraw/zinc/68/17/11/1202681711.db2.gz ZRJUYCYXTVPSFA-QGZVFWFLSA-N 0 1 316.445 3.198 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2c(C)oc(C)c2C)C1 ZINC001713729911 1202683227 /nfs/dbraw/zinc/68/32/27/1202683227.db2.gz FMIZUOMVHHGTAN-OAHLLOKOSA-N 0 1 324.852 3.399 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001713732586 1202683558 /nfs/dbraw/zinc/68/35/58/1202683558.db2.gz JOFDHZJVXLHNDD-LTIDMASMSA-N 0 1 324.896 3.393 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](CN(C)Cc2nc(C)c(C)s2)C1 ZINC001713746817 1202685370 /nfs/dbraw/zinc/68/53/70/1202685370.db2.gz NGFVROHINCINEU-OAHLLOKOSA-N 0 1 321.490 3.006 20 30 DGEDMN CN(C(=O)CC1(C)CC1)C1CCN(CC#Cc2ccccc2)CC1 ZINC001713780973 1202689890 /nfs/dbraw/zinc/68/98/90/1202689890.db2.gz LIOWELDTKNZMAX-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CCN(Cc2cccnc2)CC1 ZINC001713782479 1202689990 /nfs/dbraw/zinc/68/99/90/1202689990.db2.gz OVWAJDZCEDZYDI-UHFFFAOYSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@@H](C)c2cccc(F)c2)C1 ZINC001713788979 1202690865 /nfs/dbraw/zinc/69/08/65/1202690865.db2.gz YVMXADFFOYDSMQ-MAUKXSAKSA-N 0 1 316.420 3.271 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(C(F)(F)F)CCCC2)C1 ZINC001713807028 1202692206 /nfs/dbraw/zinc/69/22/06/1202692206.db2.gz HQAVNFVFLQPQIE-ZDUSSCGKSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCCCN1CC(NC(=O)Cc2ccc(C(C)(C)C)cc2)C1 ZINC001713840127 1202694703 /nfs/dbraw/zinc/69/47/03/1202694703.db2.gz JUDHCDMQDIQHNY-UHFFFAOYSA-N 0 1 314.473 3.293 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2[nH]ccc2-c2ccccc2)C1 ZINC001713852373 1202695777 /nfs/dbraw/zinc/69/57/77/1202695777.db2.gz UKDAXHUKJJJXDD-HNNXBMFYSA-N 0 1 323.440 3.450 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc(C)cc(C)c2)CC1 ZINC001713858879 1202696669 /nfs/dbraw/zinc/69/66/69/1202696669.db2.gz UZTCQPZHENFEQR-UHFFFAOYSA-N 0 1 312.457 3.253 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)C1(C)CCC(C)CC1)C1CC1 ZINC001713885642 1202698781 /nfs/dbraw/zinc/69/87/81/1202698781.db2.gz NPFUHYQPORWONE-YAJUMTOWSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C1CC1 ZINC001713910468 1202701402 /nfs/dbraw/zinc/70/14/02/1202701402.db2.gz FLRQHZMKDNJEGX-IYBDPMFKSA-N 0 1 314.429 3.412 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001713918719 1202702179 /nfs/dbraw/zinc/70/21/79/1202702179.db2.gz AVESHAYCYULPIL-OLZOCXBDSA-N 0 1 308.372 3.183 20 30 DGEDMN CCCCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001713988651 1202715984 /nfs/dbraw/zinc/71/59/84/1202715984.db2.gz CGRVOFVYMUBEBR-GOSISDBHSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2cnccc2C)C1 ZINC001714013111 1202727472 /nfs/dbraw/zinc/72/74/72/1202727472.db2.gz KNMIVVJCZTXSBQ-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001714014484 1202727507 /nfs/dbraw/zinc/72/75/07/1202727507.db2.gz VNTSEJKJTUQZBD-HKUYNNGSSA-N 0 1 318.436 3.461 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2nc(CC)oc2C)C1 ZINC001753320345 1202764911 /nfs/dbraw/zinc/76/49/11/1202764911.db2.gz YZMYAHOVQLWIKE-OAHLLOKOSA-N 0 1 319.449 3.048 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@@H](C)CC(C)(C)C ZINC001714116312 1202787130 /nfs/dbraw/zinc/78/71/30/1202787130.db2.gz ZBSAZMOGAYJBNV-SJORKVTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001714123766 1202791041 /nfs/dbraw/zinc/79/10/41/1202791041.db2.gz UDAVDMLJQSMVLL-CNELAYHGSA-N 0 1 305.249 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1(C)CC(F)(F)C1 ZINC001714137956 1202796462 /nfs/dbraw/zinc/79/64/62/1202796462.db2.gz BAIANDFVWXQLDS-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CSC(C)C)C1 ZINC001714148131 1202803183 /nfs/dbraw/zinc/80/31/83/1202803183.db2.gz QEIAWZZHYPTWAG-UONOGXRCSA-N 0 1 318.914 3.097 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001714147229 1202803559 /nfs/dbraw/zinc/80/35/59/1202803559.db2.gz HHRJHXMGIUVUPP-GJZGRUSLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1c(Cl)cccc1OC ZINC001714237952 1202843723 /nfs/dbraw/zinc/84/37/23/1202843723.db2.gz MDUFQERGZBNYLD-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN CCC(C)(C)CC(=O)NC[C@@H](C)NCc1ccc(C#N)cc1F ZINC001714237777 1202844250 /nfs/dbraw/zinc/84/42/50/1202844250.db2.gz ITGMJOYREDUPEV-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN CC(C)[C@@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001714278957 1202860427 /nfs/dbraw/zinc/86/04/27/1202860427.db2.gz QJZVSKQZBCZEBY-HUUCEWRRSA-N 0 1 320.864 3.078 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001714290847 1202865104 /nfs/dbraw/zinc/86/51/04/1202865104.db2.gz MBLBILFUPKEMLD-ROUUACIJSA-N 0 1 314.473 3.205 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1CCN(Cc2ccns2)CC1 ZINC001714328945 1202885367 /nfs/dbraw/zinc/88/53/67/1202885367.db2.gz TXNMWNYQQPHZMI-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001714595361 1203033993 /nfs/dbraw/zinc/03/39/93/1203033993.db2.gz BQECSAGPFZOGSR-UHFFFAOYSA-N 0 1 300.446 3.059 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCCN1CCC(F)(F)F ZINC001714619626 1203055067 /nfs/dbraw/zinc/05/50/67/1203055067.db2.gz KCLPMRCJSMSWSA-LBPRGKRZSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN([C@@H](C)c2c(F)cccc2F)C1 ZINC001714631739 1203063098 /nfs/dbraw/zinc/06/30/98/1203063098.db2.gz RCICVCSGAUIMSP-KBPBESRZSA-N 0 1 322.399 3.430 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1cc2ccccc2o1)C1CC1 ZINC001714663727 1203088406 /nfs/dbraw/zinc/08/84/06/1203088406.db2.gz QVVRSUUKSUZDAK-UHFFFAOYSA-N 0 1 324.424 3.317 20 30 DGEDMN CC#CCN(CCNC(=O)c1cccc(C2CCC2)c1)C1CC1 ZINC001714672508 1203095136 /nfs/dbraw/zinc/09/51/36/1203095136.db2.gz CNFRJJPESYAPRI-UHFFFAOYSA-N 0 1 310.441 3.172 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@@H](C)CNCc1oc(CC)nc1C ZINC001714777029 1203124168 /nfs/dbraw/zinc/12/41/68/1203124168.db2.gz PPGVOGPEVKGOJB-ZDUSSCGKSA-N 0 1 321.465 3.084 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC)CC1)c1ccc(C(C)C)cc1 ZINC001714836779 1203145392 /nfs/dbraw/zinc/14/53/92/1203145392.db2.gz YBEAYZUPPBAPPC-GOSISDBHSA-N 0 1 312.457 3.380 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1occc1C(C)C)c1ccccc1 ZINC001714839839 1203147757 /nfs/dbraw/zinc/14/77/57/1203147757.db2.gz SVWKXUZEKBUPJF-SFHVURJKSA-N 0 1 324.424 3.487 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cccc(CC)n2)C1 ZINC001714853882 1203153991 /nfs/dbraw/zinc/15/39/91/1203153991.db2.gz APOGFKNLLDQXLW-QGZVFWFLSA-N 0 1 315.461 3.147 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)c2c[nH]c3ccc(C)cc32)C1 ZINC001714868933 1203166774 /nfs/dbraw/zinc/16/67/74/1203166774.db2.gz YIJYZDWJUSTFIC-INIZCTEOSA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ccc(C)cc2F)C1 ZINC001714909935 1203193546 /nfs/dbraw/zinc/19/35/46/1203193546.db2.gz ITMQBOHVUFROBZ-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1ncc(C)s1)CC(C)C ZINC001714928984 1203204718 /nfs/dbraw/zinc/20/47/18/1203204718.db2.gz UESPOEKJUHWFHO-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1[nH]ccc1C)C1CCCCC1 ZINC001714953589 1203215600 /nfs/dbraw/zinc/21/56/00/1203215600.db2.gz BWPQMJYDFIHHBR-HNNXBMFYSA-N 0 1 323.868 3.344 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1CN(Cc2ccc(CC)cc2)C1 ZINC001714969335 1203220868 /nfs/dbraw/zinc/22/08/68/1203220868.db2.gz DACSLKQYAKJUSP-INIZCTEOSA-N 0 1 314.473 3.399 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(CC=C)CCCCC1 ZINC001714993118 1203228845 /nfs/dbraw/zinc/22/88/45/1203228845.db2.gz YBHXYZCHPJYVRL-IRXDYDNUSA-N 0 1 302.462 3.374 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](CCCCC)C(C)C)C1 ZINC001715029321 1203243946 /nfs/dbraw/zinc/24/39/46/1203243946.db2.gz DJBDPJCZUUUANW-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](CCCCC)C(C)C)C1 ZINC001715029323 1203244083 /nfs/dbraw/zinc/24/40/83/1203244083.db2.gz DJBDPJCZUUUANW-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(CC)[C@H]1CCN(Cc2ccccn2)C1 ZINC001715045006 1203252551 /nfs/dbraw/zinc/25/25/51/1203252551.db2.gz PQXSKQPRYOHCEB-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NCc1ccc(F)cc1F ZINC001715067042 1203261045 /nfs/dbraw/zinc/26/10/45/1203261045.db2.gz DZXAPTZEZJTATG-CQSZACIVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c[nH]c2ccccc21 ZINC001715067331 1203261183 /nfs/dbraw/zinc/26/11/83/1203261183.db2.gz LTEKZHNQRSWQBD-LBPRGKRZSA-N 0 1 305.809 3.018 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CC[N@@H+](Cc2scnc2C)C1 ZINC001753441663 1203287636 /nfs/dbraw/zinc/28/76/36/1203287636.db2.gz NGQRAOKBZIDJBB-LSDHHAIUSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001753441663 1203287637 /nfs/dbraw/zinc/28/76/37/1203287637.db2.gz NGQRAOKBZIDJBB-LSDHHAIUSA-N 0 1 321.490 3.087 20 30 DGEDMN C#CCCCCCC(=O)N1CCC2(CN(C[C@@H](F)CC)C2)CC1 ZINC001715314074 1203313500 /nfs/dbraw/zinc/31/35/00/1203313500.db2.gz AIBGFLJBEBMZDH-KRWDZBQOSA-N 0 1 322.468 3.243 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](CCCC)C(C)C)CC2 ZINC001715315587 1203314078 /nfs/dbraw/zinc/31/40/78/1203314078.db2.gz BQQKFCWSEPQIAV-SFHVURJKSA-N 0 1 318.505 3.397 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccccc1 ZINC001715353781 1203322200 /nfs/dbraw/zinc/32/22/00/1203322200.db2.gz KBYCFPGWCUPZIW-XJKSGUPXSA-N 0 1 306.837 3.269 20 30 DGEDMN CCC(CC)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001715526108 1203361292 /nfs/dbraw/zinc/36/12/92/1203361292.db2.gz GQHZNGGFVPMFTG-SFHVURJKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@H]1CNCc1nc(C)oc1C ZINC001715572446 1203372931 /nfs/dbraw/zinc/37/29/31/1203372931.db2.gz UCYDCKFPCDSMDG-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1csc(CC)n1)C(C)C ZINC001715723979 1203384751 /nfs/dbraw/zinc/38/47/51/1203384751.db2.gz JQPZSBJSZXNSHS-CQSZACIVSA-N 0 1 323.506 3.148 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1cccc(Cl)c1 ZINC001715802417 1203393734 /nfs/dbraw/zinc/39/37/34/1203393734.db2.gz QRAORAGZMLDWHK-UHFFFAOYSA-N 0 1 320.864 3.424 20 30 DGEDMN C=CCCCC(=O)N(C)CCN(C)Cc1ccc(C)c(F)c1 ZINC001715941122 1203407701 /nfs/dbraw/zinc/40/77/01/1203407701.db2.gz VTAVPXXHIAUXFT-UHFFFAOYSA-N 0 1 306.425 3.381 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(C)nc1C ZINC001715941225 1203407853 /nfs/dbraw/zinc/40/78/53/1203407853.db2.gz OVDCKRDQRCFPFL-IBGZPJMESA-N 0 1 317.477 3.191 20 30 DGEDMN CCC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001715941688 1203408206 /nfs/dbraw/zinc/40/82/06/1203408206.db2.gz YUGMIKFNDSTLKT-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](CC)CC1CCCCC1 ZINC001715956608 1203411072 /nfs/dbraw/zinc/41/10/72/1203411072.db2.gz BQJLDUVBTBOCKI-SFHVURJKSA-N 0 1 306.494 3.397 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001715991486 1203417164 /nfs/dbraw/zinc/41/71/64/1203417164.db2.gz MXMVAXJEVBYITD-QWHCGFSZSA-N 0 1 324.852 3.242 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC001715990865 1203417350 /nfs/dbraw/zinc/41/73/50/1203417350.db2.gz WWAOBUBQQBTDJD-RYUDHWBXSA-N 0 1 310.388 3.332 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CN[C@H](C)c1nc2ccccc2o1 ZINC001715992743 1203417703 /nfs/dbraw/zinc/41/77/03/1203417703.db2.gz NHGYKMBJSAWOHY-UONOGXRCSA-N 0 1 315.417 3.339 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1ccccc1F ZINC001715998270 1203418664 /nfs/dbraw/zinc/41/86/64/1203418664.db2.gz SMSOSEDWKFVWSI-AAEUAGOBSA-N 0 1 312.816 3.166 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001716016879 1203423817 /nfs/dbraw/zinc/42/38/17/1203423817.db2.gz QIAHACTVODLSRN-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCNCc2ncc(C(C)C)o2)C1 ZINC001716172445 1203445845 /nfs/dbraw/zinc/44/58/45/1203445845.db2.gz LILPSOPJXGJCBP-CQSZACIVSA-N 0 1 319.449 3.139 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001716381269 1203463291 /nfs/dbraw/zinc/46/32/91/1203463291.db2.gz KHDODHWGIDOMPF-LFAOLKIESA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)c1ccccc1C ZINC001716414977 1203469389 /nfs/dbraw/zinc/46/93/89/1203469389.db2.gz ZDKCEMCWJRWHCC-UKRRQHHQSA-N 0 1 308.853 3.335 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001716449747 1203475809 /nfs/dbraw/zinc/47/58/09/1203475809.db2.gz YGKHSGMCUTXRKN-UONOGXRCSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2ncc(C)o2)CCCC1 ZINC001716588315 1203504623 /nfs/dbraw/zinc/50/46/23/1203504623.db2.gz XFYWBCAUZGBKQM-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1ccccc1F)C1CC1 ZINC001716625152 1203508351 /nfs/dbraw/zinc/50/83/51/1203508351.db2.gz MZDIGWNSSHIFLZ-WBMJQRKESA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC[C@H](CC)CC1)C1CC1 ZINC001716626213 1203508365 /nfs/dbraw/zinc/50/83/65/1203508365.db2.gz GGQQUULOUPODMF-BPUTZDHNSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC001716670214 1203521118 /nfs/dbraw/zinc/52/11/18/1203521118.db2.gz CPJBXMHMOHMMLR-RHSMWYFYSA-N 0 1 304.409 3.086 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1cccc2ccccc21 ZINC001716669669 1203521283 /nfs/dbraw/zinc/52/12/83/1203521283.db2.gz ULSGMWBSWTYQEE-GOSISDBHSA-N 0 1 308.425 3.149 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN([C@@H]2CCCc3ccccc32)C1 ZINC001716746965 1203536490 /nfs/dbraw/zinc/53/64/90/1203536490.db2.gz WRBBLRMAGSOOPU-HXUWFJFHSA-N 0 1 324.468 3.400 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CCCC(F)(F)C2)C1 ZINC001716750040 1203536974 /nfs/dbraw/zinc/53/69/74/1203536974.db2.gz UXKFGMZEDFKWQK-KBPBESRZSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2ncc(CC)o2)C[C@H]1C ZINC001716765183 1203540084 /nfs/dbraw/zinc/54/00/84/1203540084.db2.gz UIAOKRCEKSDHJD-CABCVRRESA-N 0 1 319.449 3.062 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)oc2C)C[C@H]1C ZINC001716764749 1203540123 /nfs/dbraw/zinc/54/01/23/1203540123.db2.gz RAOFWLYBQXHHMJ-CZUORRHYSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cc(C)ccc1F ZINC001716784937 1203542281 /nfs/dbraw/zinc/54/22/81/1203542281.db2.gz OFKNGARXPGEVPR-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(C(F)F)o1 ZINC001716788494 1203542761 /nfs/dbraw/zinc/54/27/61/1203542761.db2.gz KUALATAIOCCLLT-JTQLQIEISA-N 0 1 318.751 3.164 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C/C=C/c2ccc(C)cc2)CC1 ZINC001716799037 1203544835 /nfs/dbraw/zinc/54/48/35/1203544835.db2.gz MYRUUSGYLJMIBP-AATRIKPKSA-N 0 1 310.441 3.002 20 30 DGEDMN C#CCN(C(=O)C[C@@H]1C=CCC1)C1CCN(C[C@H](F)CC)CC1 ZINC001716802378 1203545067 /nfs/dbraw/zinc/54/50/67/1203545067.db2.gz HIGMGWQVVNCWIJ-IAGOWNOFSA-N 0 1 320.452 3.017 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2coc3c2cccc3C)C1 ZINC001716895078 1203564573 /nfs/dbraw/zinc/56/45/73/1203564573.db2.gz CTCFMJYGEHWBSB-HXUWFJFHSA-N 0 1 324.424 3.206 20 30 DGEDMN CC#CCCCC(=O)NC[C@@]1(C)CCCN(C/C=C\Cl)C1 ZINC001716898016 1203565194 /nfs/dbraw/zinc/56/51/94/1203565194.db2.gz NCWMASSSBDUTMG-SDMNGIDPSA-N 0 1 310.869 3.151 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)CCC)C1 ZINC001716911284 1203567583 /nfs/dbraw/zinc/56/75/83/1203567583.db2.gz UZCNOMXRIDKHAF-KGLIPLIRSA-N 0 1 302.409 3.072 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C[C@H]1C=CCC1)c1ccccc1CC ZINC001716954371 1203569474 /nfs/dbraw/zinc/56/94/74/1203569474.db2.gz SUSKZZKPOFSPCM-PXNSSMCTSA-N 0 1 324.468 3.376 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]([C@@H](C)NCc2ncoc2C(C)C)C1 ZINC001716957642 1203570154 /nfs/dbraw/zinc/57/01/54/1203570154.db2.gz BVPVKGUSUKEZLB-CABCVRRESA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H](C)C1CC1)C2 ZINC001716996797 1203575010 /nfs/dbraw/zinc/57/50/10/1203575010.db2.gz ZBWSMAYUFMZVJA-LUYZLQTOSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](CCN[C@@H](C)c2ncc(C)o2)C1 ZINC001717085711 1203583266 /nfs/dbraw/zinc/58/32/66/1203583266.db2.gz QFHZDJYFADRCJG-JKSUJKDBSA-N 0 1 319.449 3.229 20 30 DGEDMN CCCCCC(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001717147572 1203590871 /nfs/dbraw/zinc/59/08/71/1203590871.db2.gz XWAXZHUVRVJNNW-UHFFFAOYSA-N 0 1 313.445 3.267 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)CC1CCC1 ZINC001717243602 1203609279 /nfs/dbraw/zinc/60/92/79/1203609279.db2.gz HUJVKHAZQPYARR-LJQANCHMSA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](C)CSC)cc2C1 ZINC001717269351 1203610477 /nfs/dbraw/zinc/61/04/77/1203610477.db2.gz KOAWFZXVDOGZEW-AWEZNQCLSA-N 0 1 318.486 3.194 20 30 DGEDMN C=C(C)CCC(=O)NCc1ccc2c(c1)CN(CCOCC)C2 ZINC001717270062 1203611021 /nfs/dbraw/zinc/61/10/21/1203611021.db2.gz JQMOXCFFYHSGNV-UHFFFAOYSA-N 0 1 316.445 3.011 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2cc(C)c(C)cc2o1 ZINC001717372484 1203628846 /nfs/dbraw/zinc/62/88/46/1203628846.db2.gz AZNXVCUGCDEPIY-KRWDZBQOSA-N 0 1 324.424 3.219 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC[C@@H]1CCc2ccccc21 ZINC001717376371 1203631315 /nfs/dbraw/zinc/63/13/15/1203631315.db2.gz PKVAQFSFCUKRCD-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C12CCC(CC1)C2(C)C ZINC001717429413 1203642373 /nfs/dbraw/zinc/64/23/73/1203642373.db2.gz SUVPYJDDBYPHES-YFTKNLTDSA-N 0 1 312.885 3.438 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c(F)cccc1Cl ZINC001717435191 1203643787 /nfs/dbraw/zinc/64/37/87/1203643787.db2.gz OXCXBJCUYOENIA-NXEZZACHSA-N 0 1 319.207 3.328 20 30 DGEDMN CC[C@H](C)CC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001717470839 1203654759 /nfs/dbraw/zinc/65/47/59/1203654759.db2.gz PRGZAYIEGUYQMM-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](CCC)CC(C)C ZINC001717542613 1203678490 /nfs/dbraw/zinc/67/84/90/1203678490.db2.gz IRESXBYOCYOQFV-MSOLQXFVSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](CCC)CC(C)C ZINC001717542614 1203678498 /nfs/dbraw/zinc/67/84/98/1203678498.db2.gz IRESXBYOCYOQFV-QZTJIDSGSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@H](CC)c2ccccc2)C1 ZINC001717561156 1203682523 /nfs/dbraw/zinc/68/25/23/1203682523.db2.gz ZVGQTXZGHMRQDK-IAGOWNOFSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@@H](CCCCC)C(C)C)C(C)(C)C1 ZINC001717587640 1203687400 /nfs/dbraw/zinc/68/74/00/1203687400.db2.gz JCABLFSUGGVVFX-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@@H](CC)c2ccccc2)C(C)(C)C1 ZINC001717587678 1203687408 /nfs/dbraw/zinc/68/74/08/1203687408.db2.gz JOOPRNXAWQATHR-SJLPKXTDSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H](C)CCCC)CC1 ZINC001717700143 1203700639 /nfs/dbraw/zinc/70/06/39/1203700639.db2.gz KEXOMEDWBIHLCH-ZDUSSCGKSA-N 0 1 300.874 3.488 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)CC[C@@H](C)CC ZINC001717747683 1203709198 /nfs/dbraw/zinc/70/91/98/1203709198.db2.gz QMOCRGOGRSWJNZ-WCQYABFASA-N 0 1 319.287 3.158 20 30 DGEDMN C[C@@H](CN(C)C(=O)CCC1(C)CC1)NCc1ccccc1C#N ZINC001717748093 1203709254 /nfs/dbraw/zinc/70/92/54/1203709254.db2.gz AIRHZTIUKNKFAD-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H](NCC=C(Cl)Cl)C[C@@H]1C ZINC001717808488 1203714993 /nfs/dbraw/zinc/71/49/93/1203714993.db2.gz JGHCFVIICMXSJZ-STQMWFEESA-N 0 1 317.260 3.078 20 30 DGEDMN C=C(C)CCC(=O)N[C@]12CCC[C@@H]1N(Cc1ccns1)CC2 ZINC001717837240 1203718905 /nfs/dbraw/zinc/71/89/05/1203718905.db2.gz MHZCOZBIDNTOJD-RDJZCZTQSA-N 0 1 319.474 3.113 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)[C@H](F)CC3CCCCC3)CCC[C@@H]12 ZINC001717840525 1203719552 /nfs/dbraw/zinc/71/95/52/1203719552.db2.gz JDUCUPAQPJYDCL-ZHALLVOQSA-N 0 1 320.452 3.041 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cccc(C)c1)C(C)(C)C ZINC001717956985 1203735725 /nfs/dbraw/zinc/73/57/25/1203735725.db2.gz WENTWWNXOAJGHW-OAHLLOKOSA-N 0 1 308.853 3.482 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1nccs1)C(C)(C)C ZINC001717965687 1203736979 /nfs/dbraw/zinc/73/69/79/1203736979.db2.gz ULBUDBXNWJWTRY-ZDUSSCGKSA-N 0 1 323.506 3.366 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001717994999 1203741710 /nfs/dbraw/zinc/74/17/10/1203741710.db2.gz BJCWFJYZGWNILW-LIFATNJTSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1csnc1C ZINC001723418672 1203969209 /nfs/dbraw/zinc/96/92/09/1203969209.db2.gz YFZQRPNVMHUCOY-CQSZACIVSA-N 0 1 307.463 3.002 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC001723423930 1203970251 /nfs/dbraw/zinc/97/02/51/1203970251.db2.gz SUWOENHUOBJFIQ-FQEVSTJZSA-N 0 1 324.468 3.448 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001723453281 1203974270 /nfs/dbraw/zinc/97/42/70/1203974270.db2.gz LTUKBIHNLZURPA-QUCCMNQESA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cccc(F)c2Cl)CC1 ZINC001723501139 1203979378 /nfs/dbraw/zinc/97/93/78/1203979378.db2.gz UDHNKUZIOKXYPU-UHFFFAOYSA-N 0 1 310.800 3.184 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(C)ccc2OC)CC1 ZINC001723520583 1203980420 /nfs/dbraw/zinc/98/04/20/1203980420.db2.gz LPQAEXJDAQVGIY-UHFFFAOYSA-N 0 1 316.445 3.098 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C(C2CCC2)C2CCC2)CC1 ZINC001723551248 1203981827 /nfs/dbraw/zinc/98/18/27/1203981827.db2.gz BOXDGCBGEVQJBE-UHFFFAOYSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2ccccc2F)CC1 ZINC001723553497 1203981979 /nfs/dbraw/zinc/98/19/79/1203981979.db2.gz GDAAGNLKTLOIOO-QGZVFWFLSA-N 0 1 304.409 3.166 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001723741065 1203991171 /nfs/dbraw/zinc/99/11/71/1203991171.db2.gz ICQJLIDKBYJBHF-DBEXCURXSA-N 0 1 322.880 3.247 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CN(C[C@H]2CCCC(F)(F)C2)C1 ZINC001723765533 1203992221 /nfs/dbraw/zinc/99/22/21/1203992221.db2.gz LCXDTDOJGIIXJW-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(C3CCC3)CCC2)C1 ZINC001723781757 1203992729 /nfs/dbraw/zinc/99/27/29/1203992729.db2.gz LOLYMEPOBCFMFR-OAHLLOKOSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2cccc(C)c2)C1 ZINC001723781460 1203992965 /nfs/dbraw/zinc/99/29/65/1203992965.db2.gz AJRVKAPPGYGJPU-KRWDZBQOSA-N 0 1 314.473 3.425 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001723794707 1203993277 /nfs/dbraw/zinc/99/32/77/1203993277.db2.gz KMTPVBUSUAKZTP-IAGOWNOFSA-N 0 1 318.461 3.496 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@H](C)c2ccco2)C1 ZINC001723812461 1203993891 /nfs/dbraw/zinc/99/38/91/1203993891.db2.gz DSKYXZBFJGGTMQ-LSDHHAIUSA-N 0 1 304.434 3.271 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001723815092 1203994662 /nfs/dbraw/zinc/99/46/62/1203994662.db2.gz UXELYLPNFNILLQ-ZYSHUDEJSA-N 0 1 312.457 3.287 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001723820845 1203994856 /nfs/dbraw/zinc/99/48/56/1203994856.db2.gz WJJYGTLFJAHXFL-OAHLLOKOSA-N 0 1 318.436 3.428 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001724343120 1204024038 /nfs/dbraw/zinc/02/40/38/1204024038.db2.gz HCFCJRQKNLPPEJ-XYASWCNUSA-N 0 1 324.468 3.250 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cccc(F)c1)c1ccccc1CC ZINC001724508730 1204026522 /nfs/dbraw/zinc/02/65/22/1204026522.db2.gz PILWIWPJBMWSIQ-IBGZPJMESA-N 0 1 324.399 3.082 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H](F)C(C)C)C2 ZINC001724612753 1204032770 /nfs/dbraw/zinc/03/27/70/1204032770.db2.gz IYOGGMVEJSTHEJ-XGUBFFRZSA-N 0 1 316.848 3.092 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2cccc(C)c2)CCC1 ZINC001724790857 1204047519 /nfs/dbraw/zinc/04/75/19/1204047519.db2.gz GCEUGILHZIZQOE-UHFFFAOYSA-N 0 1 320.864 3.309 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001724840025 1204052437 /nfs/dbraw/zinc/05/24/37/1204052437.db2.gz DHXSFPAIUIMKCK-QAPCUYQASA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)c(C)s2)[C@@H](C)C1 ZINC001724942261 1204068265 /nfs/dbraw/zinc/06/82/65/1204068265.db2.gz IXGCADHARWBMPW-WFASDCNBSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc2occc2s1 ZINC001725045306 1204084488 /nfs/dbraw/zinc/08/44/88/1204084488.db2.gz FGQPZCXHLWWHIY-CYBMUJFWSA-N 0 1 316.426 3.054 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C(F)F)cc1 ZINC001725049823 1204086001 /nfs/dbraw/zinc/08/60/01/1204086001.db2.gz OBYPOSFFOVTRSI-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)[C@@H](C)NCc1oc(CC)nc1C ZINC001725088616 1204092256 /nfs/dbraw/zinc/09/22/56/1204092256.db2.gz ILAHJGNJHBCTHT-CHWSQXEVSA-N 0 1 321.465 3.131 20 30 DGEDMN CC(C)CCCC(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001725105653 1204095714 /nfs/dbraw/zinc/09/57/14/1204095714.db2.gz HDQXLLGYZDUDAT-HZPDHXFCSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2cc(C)ccc2[nH]1 ZINC001725138579 1204101750 /nfs/dbraw/zinc/10/17/50/1204101750.db2.gz YSQCUQWBHURRRD-STQMWFEESA-N 0 1 319.836 3.325 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(CCCC)cc1 ZINC001725178806 1204112693 /nfs/dbraw/zinc/11/26/93/1204112693.db2.gz VJWARVKWAWKYQC-MRXNPFEDSA-N 0 1 300.446 3.103 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001725252045 1204128061 /nfs/dbraw/zinc/12/80/61/1204128061.db2.gz ZBTAUBKCPCISEC-HNNXBMFYSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CN(C/C=C\c3ccccc3)C2)C1 ZINC001725255744 1204128563 /nfs/dbraw/zinc/12/85/63/1204128563.db2.gz ABYTVBMRKTYUSI-CGQZWFTASA-N 0 1 324.468 3.493 20 30 DGEDMN C=CCCCCCN1CCO[C@]2(CCN(C(=O)C=C(C)C)C2)C1 ZINC001725276683 1204131328 /nfs/dbraw/zinc/13/13/28/1204131328.db2.gz PHNYZOXZIFBYGZ-LJQANCHMSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@]2(C1)CN(CCCCC)CCO2 ZINC001725288062 1204133648 /nfs/dbraw/zinc/13/36/48/1204133648.db2.gz XAYXQLCBDXISKV-PKOBYXMFSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCCNCc1nc(C)c(C)o1 ZINC001725396374 1204145033 /nfs/dbraw/zinc/14/50/33/1204145033.db2.gz FEDUCQBDAQQMEI-ZDUSSCGKSA-N 0 1 321.465 3.268 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(N(C)[C@@H](C)c2ncc(C)o2)CC1 ZINC001725420426 1204147822 /nfs/dbraw/zinc/14/78/22/1204147822.db2.gz KIIGMNQTPALMNX-HNNXBMFYSA-N 0 1 319.449 3.323 20 30 DGEDMN CCCC(=O)N[C@]12CCC[C@@H]1N(Cc1ccc(C#N)s1)CC2 ZINC001725602576 1204177186 /nfs/dbraw/zinc/17/71/86/1204177186.db2.gz XQCOCWIBHKAWCA-RDJZCZTQSA-N 0 1 317.458 3.033 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)[C@H]1C ZINC001725619581 1204182288 /nfs/dbraw/zinc/18/22/88/1204182288.db2.gz HQAQEOXWIJYMCL-BPIPWHKUSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2ncc(C)s2)C(C)(C)C1 ZINC001725660135 1204193867 /nfs/dbraw/zinc/19/38/67/1204193867.db2.gz DRDXHTWYSUETDE-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CC1CCCC1)C(C)(C)C ZINC001725734989 1204206361 /nfs/dbraw/zinc/20/63/61/1204206361.db2.gz GZJHZYHFHJZGNG-AWEZNQCLSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccs1)C(C)(C)C ZINC001725740036 1204208432 /nfs/dbraw/zinc/20/84/32/1204208432.db2.gz ZWXSFDAPTXVDQZ-LBPRGKRZSA-N 0 1 300.855 3.235 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725745112 1204209586 /nfs/dbraw/zinc/20/95/86/1204209586.db2.gz NATSWFWBHGQEQU-HNNXBMFYSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC(C)(F)F)C(C)(C)C ZINC001725747242 1204210444 /nfs/dbraw/zinc/21/04/44/1204210444.db2.gz QJXGSSGDJOCXCV-NSHDSACASA-N 0 1 310.816 3.295 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cccc(OC(C)C)c2)C1 ZINC001731372127 1204386043 /nfs/dbraw/zinc/38/60/43/1204386043.db2.gz XTSYCINBKFWQAF-KRWDZBQOSA-N 0 1 316.445 3.131 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H]2CC[C@H](C)C2)CC1 ZINC001731388010 1204393024 /nfs/dbraw/zinc/39/30/24/1204393024.db2.gz ZMSLDXVCQQTWTD-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C/C=C/Cc2ccccc2)C1 ZINC001731433256 1204411479 /nfs/dbraw/zinc/41/14/79/1204411479.db2.gz DMEOJKITTBALNC-RTRPANQVSA-N 0 1 318.848 3.118 20 30 DGEDMN CC(C)CC(=O)NC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001731528087 1204444228 /nfs/dbraw/zinc/44/42/28/1204444228.db2.gz JIJIGVSTAFEIAZ-SFHVURJKSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCCCN1Cc1ccsc1 ZINC001731540034 1204450634 /nfs/dbraw/zinc/45/06/34/1204450634.db2.gz YTZAKNSPVKQMOD-KRWDZBQOSA-N 0 1 318.486 3.412 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1Cc2ccccc21 ZINC001731547886 1204455856 /nfs/dbraw/zinc/45/58/56/1204455856.db2.gz UDGYQSSZHASJPE-WBVHZDCISA-N 0 1 318.848 3.050 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2cncs2)C1 ZINC001731558514 1204460678 /nfs/dbraw/zinc/46/06/78/1204460678.db2.gz KWNNZBZTGILANQ-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)c1ccccc1C ZINC001731623690 1204487499 /nfs/dbraw/zinc/48/74/99/1204487499.db2.gz QLJVIBQMQSZZPC-HIFRSBDPSA-N 0 1 308.853 3.335 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2ccc(F)cc2C)CCC1 ZINC001731784611 1204555350 /nfs/dbraw/zinc/55/53/50/1204555350.db2.gz MJFSACIVWGIGNM-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc([C@H](C)CC)cc1)C1CC1 ZINC001731883979 1204622557 /nfs/dbraw/zinc/62/25/57/1204622557.db2.gz PNNRXFMYXVPVCQ-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1cc(F)ccc1Cl ZINC001731904610 1204628570 /nfs/dbraw/zinc/62/85/70/1204628570.db2.gz OULGYCBPQHZMMJ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN Cc1cccc(C(=O)N(C)CCNCc2ccccc2C#N)c1C ZINC001731979803 1204639540 /nfs/dbraw/zinc/63/95/40/1204639540.db2.gz ZIOXCVKASGCKIH-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)oc2C)C1 ZINC001732043120 1204663593 /nfs/dbraw/zinc/66/35/93/1204663593.db2.gz AGJBOSSNHOGJQU-AWEZNQCLSA-N 0 1 310.825 3.091 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2c(F)cccc2F)C1 ZINC001732045974 1204666670 /nfs/dbraw/zinc/66/66/70/1204666670.db2.gz BVQFCJOYYVBGLY-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001732073798 1204681193 /nfs/dbraw/zinc/68/11/93/1204681193.db2.gz SKKCDWZUJIYUFZ-RBSFLKMASA-N 0 1 312.885 3.201 20 30 DGEDMN C=CCCC(=O)N1CCC(CN(C)Cc2nc(C)cs2)CC1 ZINC001732081447 1204685389 /nfs/dbraw/zinc/68/53/89/1204685389.db2.gz ZJAYLMNJMHPDIM-UHFFFAOYSA-N 0 1 321.490 3.088 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2cocc2C)CC1 ZINC001732085804 1204687981 /nfs/dbraw/zinc/68/79/81/1204687981.db2.gz AUHYJTSNBICXIZ-UHFFFAOYSA-N 0 1 310.825 3.125 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCCc2ccc(F)cc2)C1 ZINC001732111424 1204697514 /nfs/dbraw/zinc/69/75/14/1204697514.db2.gz AODKRMVMCFFGIP-OAHLLOKOSA-N 0 1 318.436 3.304 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001732139121 1204710693 /nfs/dbraw/zinc/71/06/93/1204710693.db2.gz SYHCXHWGMGPVFG-CYBMUJFWSA-N 0 1 306.837 3.068 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC[C@H]1CCCO1 ZINC001732141086 1204711697 /nfs/dbraw/zinc/71/16/97/1204711697.db2.gz MFBGTUBTMWYFHQ-OKZBNKHCSA-N 0 1 322.493 3.201 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC[C@@H]1CCCO1 ZINC001732141085 1204711991 /nfs/dbraw/zinc/71/19/91/1204711991.db2.gz MFBGTUBTMWYFHQ-BZSNNMDCSA-N 0 1 322.493 3.201 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)CCCCCC ZINC001732149608 1204714487 /nfs/dbraw/zinc/71/44/87/1204714487.db2.gz UNPDGOHBJIRFAQ-SQNIBIBYSA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCC[C@@H](C(=O)N(C)[C@@H]1CCN(CC=C)C1)c1ccccc1 ZINC001732177359 1204732094 /nfs/dbraw/zinc/73/20/94/1204732094.db2.gz OLVPCXOYRPPING-RTBURBONSA-N 0 1 312.457 3.455 20 30 DGEDMN C=CCCCC(=O)N(CC)[C@H]1CCN(Cc2cccnc2C)C1 ZINC001732185651 1204736749 /nfs/dbraw/zinc/73/67/49/1204736749.db2.gz HXWOWOBOKDHYAN-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001732189345 1204740622 /nfs/dbraw/zinc/74/06/22/1204740622.db2.gz ITAJWEXDSQRLMR-ZWKOTPCHSA-N 0 1 312.457 3.045 20 30 DGEDMN C#CCCCC(=O)NC[C@H](CC)NCc1cc(F)ccc1Cl ZINC001732197142 1204744814 /nfs/dbraw/zinc/74/48/14/1204744814.db2.gz IFKIYCHYIPULCD-HNNXBMFYSA-N 0 1 324.827 3.267 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](CC)NCc1ccc(C#N)cc1F ZINC001732198023 1204745384 /nfs/dbraw/zinc/74/53/84/1204745384.db2.gz NYYATIBGGMHZDL-WDBUWWRISA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](CC)NCc1nc2ccccc2o1 ZINC001732200625 1204746559 /nfs/dbraw/zinc/74/65/59/1204746559.db2.gz QOYGKSYEEDXFOG-CQSZACIVSA-N 0 1 315.417 3.169 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CC)NCc1ccccc1C#N ZINC001732211369 1204751241 /nfs/dbraw/zinc/75/12/41/1204751241.db2.gz LMELDVMVQOLKSX-PKOBYXMFSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1ccccc1C ZINC001732214568 1204753567 /nfs/dbraw/zinc/75/35/67/1204753567.db2.gz ZPRVNFWOTIDKMA-INIZCTEOSA-N 0 1 308.853 3.165 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@H]1CCc2ccccc21 ZINC001732221297 1204757472 /nfs/dbraw/zinc/75/74/72/1204757472.db2.gz ZZYMPXHMPQSKJR-HZPDHXFCSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c[nH]c2ccc(C)cc21 ZINC001732222461 1204758559 /nfs/dbraw/zinc/75/85/59/1204758559.db2.gz MIBUQMBOYMCYPU-ZDUSSCGKSA-N 0 1 319.836 3.327 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(OCC)cc1 ZINC001732329185 1204794044 /nfs/dbraw/zinc/79/40/44/1204794044.db2.gz IRLCCWCCILPSRV-OAHLLOKOSA-N 0 1 322.836 3.032 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)Cc1ccccc1 ZINC001732329538 1204794131 /nfs/dbraw/zinc/79/41/31/1204794131.db2.gz YFMGRWXKRBDGIT-WMLDXEAASA-N 0 1 320.864 3.198 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2csc(CC)n2)CCCC1 ZINC001732389492 1204815256 /nfs/dbraw/zinc/81/52/56/1204815256.db2.gz TVQHTKQGIBFIHE-UHFFFAOYSA-N 0 1 321.490 3.190 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C)c(F)c1F ZINC001732440406 1204842118 /nfs/dbraw/zinc/84/21/18/1204842118.db2.gz OJTCEZSPLUSIRE-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H](C)C(C)(F)F ZINC001732471327 1204860636 /nfs/dbraw/zinc/86/06/36/1204860636.db2.gz SKPVEPVWSDZEOD-NEPJUHHUSA-N 0 1 310.816 3.151 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](NCc1nc(C)cs1)C(C)C ZINC001732556663 1204894344 /nfs/dbraw/zinc/89/43/44/1204894344.db2.gz BONHFNAWJGXNKV-AWEZNQCLSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1coc2ccccc12 ZINC001732802856 1204945860 /nfs/dbraw/zinc/94/58/60/1204945860.db2.gz KKCZVMYFCKOVPB-UHFFFAOYSA-N 0 1 320.820 3.166 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@@H]1CC12CCCC2 ZINC001732804515 1204946339 /nfs/dbraw/zinc/94/63/39/1204946339.db2.gz GQHDAOIVNSLPIG-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN CCC[C@H](C)CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001732830844 1204950003 /nfs/dbraw/zinc/95/00/03/1204950003.db2.gz NSCNSYOHHBJBOL-DVBCCOPCSA-N 0 1 312.457 3.126 20 30 DGEDMN C[C@H](NC/C=C/CNC(=O)C#CC(C)(C)C)c1ccccc1F ZINC001732850499 1204952042 /nfs/dbraw/zinc/95/20/42/1204952042.db2.gz VSHIOPBHEFLNDU-KIUWMYQTSA-N 0 1 316.420 3.198 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc2c(cccc2C)o1 ZINC001732941739 1204961148 /nfs/dbraw/zinc/96/11/48/1204961148.db2.gz ZLCZFDRJMRWQJG-ONEGZZNKSA-N 0 1 318.804 3.369 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C(C)(C)C)c1 ZINC001733019396 1204983293 /nfs/dbraw/zinc/98/32/93/1204983293.db2.gz YNCFAVRLXCJXNQ-ZDUSSCGKSA-N 0 1 308.853 3.445 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CN(C)[C@H](C)c1ccccc1F ZINC001733039609 1204994053 /nfs/dbraw/zinc/99/40/53/1204994053.db2.gz VOARTVNJVMFRJY-HUUCEWRRSA-N 0 1 304.409 3.127 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc(C2CCCC2)c1 ZINC001733062258 1205011479 /nfs/dbraw/zinc/01/14/79/1205011479.db2.gz NEMFDZPDJUFWOS-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCNCc1nc2ccccc2o1 ZINC001733103532 1205024684 /nfs/dbraw/zinc/02/46/84/1205024684.db2.gz HFPQVYBBYDBQTA-UHFFFAOYSA-N 0 1 315.417 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1coc(C(F)F)c1)C1CC1 ZINC001733179864 1205034969 /nfs/dbraw/zinc/03/49/69/1205034969.db2.gz AVHPSTLLJOXLNY-NSHDSACASA-N 0 1 318.751 3.068 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1c(F)cc(C)cc1F ZINC001733214184 1205048366 /nfs/dbraw/zinc/04/83/66/1205048366.db2.gz IUOJEAYBTWHMGS-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1CCCc1cccc(F)c1 ZINC001733218386 1205049690 /nfs/dbraw/zinc/04/96/90/1205049690.db2.gz AJZJIODELLBHKR-KRWDZBQOSA-N 0 1 318.436 3.161 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1cccc(Cl)c1 ZINC001733221415 1205050406 /nfs/dbraw/zinc/05/04/06/1205050406.db2.gz OMRZKVKNWGDFEJ-MRXNPFEDSA-N 0 1 306.837 3.039 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1(NCc2cc(F)ccc2F)CC1 ZINC001733244386 1205067084 /nfs/dbraw/zinc/06/70/84/1205067084.db2.gz QILBOZQYDBJPLO-UHFFFAOYSA-N 0 1 322.399 3.306 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)CCCCC ZINC001733276756 1205078876 /nfs/dbraw/zinc/07/88/76/1205078876.db2.gz ZCWBTBWSECYUEX-KURKYZTESA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001733307463 1205089231 /nfs/dbraw/zinc/08/92/31/1205089231.db2.gz AYLGXJBFBYRTIA-KGLIPLIRSA-N 0 1 314.420 3.309 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001733307872 1205089276 /nfs/dbraw/zinc/08/92/76/1205089276.db2.gz KYVXHZNOAOFAHQ-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccnc3ccccc32)C1 ZINC001733308020 1205089916 /nfs/dbraw/zinc/08/99/16/1205089916.db2.gz AUNXHCZBGYLXBI-OAHLLOKOSA-N 0 1 323.440 3.346 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2CCC3(CC3)CC2)C1 ZINC001733309031 1205090315 /nfs/dbraw/zinc/09/03/15/1205090315.db2.gz DXGVBTWAUWGKEH-OAHLLOKOSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2coc(C(F)F)c2)C1 ZINC001733312038 1205091581 /nfs/dbraw/zinc/09/15/81/1205091581.db2.gz AYMPNEGATLJEIX-LLVKDONJSA-N 0 1 312.360 3.328 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C23CC4CC(CC(C4)C2)C3)C1 ZINC001733315082 1205093261 /nfs/dbraw/zinc/09/32/61/1205093261.db2.gz GTZLVCZIMLBTCE-UHFFFAOYSA-N 0 1 316.489 3.312 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H](OC)C2CCCCC2)C1 ZINC001733319849 1205094753 /nfs/dbraw/zinc/09/47/53/1205094753.db2.gz ZHQQCKAAAHJQPC-CRAIPNDOSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C[C@H](F)CC ZINC001733391168 1205115313 /nfs/dbraw/zinc/11/53/13/1205115313.db2.gz GDMBIXNBCDHJRA-OAGGEKHMSA-N 0 1 310.457 3.402 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(C)CCN(Cc2ccccc2F)C1 ZINC001733409402 1205121828 /nfs/dbraw/zinc/12/18/28/1205121828.db2.gz CSZNGLQILKJWCW-SFHVURJKSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001733414714 1205125971 /nfs/dbraw/zinc/12/59/71/1205125971.db2.gz WMDCSZOLMAGXNH-YLJYHZDGSA-N 0 1 312.457 3.093 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H](C)c2ccccc2C)C1 ZINC001733414704 1205126196 /nfs/dbraw/zinc/12/61/96/1205126196.db2.gz WMDCSZOLMAGXNH-FXAWDEMLSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2ccc(C(F)F)cc2)C1 ZINC001733464206 1205145050 /nfs/dbraw/zinc/14/50/50/1205145050.db2.gz DTEAPCKBFRSUJN-SFHVURJKSA-N 0 1 320.383 3.089 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(CCC)CCC1 ZINC001733680041 1205182899 /nfs/dbraw/zinc/18/28/99/1205182899.db2.gz IUAVVNCIPTVVIO-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccccc2Cl)CCC1 ZINC001733713089 1205191562 /nfs/dbraw/zinc/19/15/62/1205191562.db2.gz FBLDJKHKZHJSRY-UHFFFAOYSA-N 0 1 306.837 3.435 20 30 DGEDMN CC/C(C)=C/C(=O)NCC1(NCc2ccccc2C#N)CCC1 ZINC001733717708 1205193199 /nfs/dbraw/zinc/19/31/99/1205193199.db2.gz ZYTVWIKFNVPEAX-RVDMUPIBSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2c(C)cc(C)nc2C)CCC1 ZINC001733719838 1205193904 /nfs/dbraw/zinc/19/39/04/1205193904.db2.gz DYWAZJOHOZTFSI-UHFFFAOYSA-N 0 1 321.852 3.001 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CCCC2(F)F)CCC1 ZINC001733722342 1205194422 /nfs/dbraw/zinc/19/44/22/1205194422.db2.gz RZZGZHJFJKYBIM-LBPRGKRZSA-N 0 1 320.811 3.193 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001733723165 1205195172 /nfs/dbraw/zinc/19/51/72/1205195172.db2.gz DFTVGDUUTZNFPT-UHFFFAOYSA-N 0 1 324.468 3.269 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)CCc2ccccc2)CC1 ZINC001733768499 1205206125 /nfs/dbraw/zinc/20/61/25/1205206125.db2.gz IMVHZUOJDVIDPP-QGZVFWFLSA-N 0 1 320.864 3.342 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1ccc(F)cc1F ZINC001733778906 1205214805 /nfs/dbraw/zinc/21/48/05/1205214805.db2.gz IIWFADWSCLRKFI-UHFFFAOYSA-N 0 1 324.415 3.457 20 30 DGEDMN CC(C)[C@H](C)C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001733778823 1205215180 /nfs/dbraw/zinc/21/51/80/1205215180.db2.gz NKMVHAOWHWOPED-INIZCTEOSA-N 0 1 315.461 3.131 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CC(C)(C)C=C ZINC001733809487 1205222516 /nfs/dbraw/zinc/22/25/16/1205222516.db2.gz WTUUPXNROBZGRC-LJQANCHMSA-N 0 1 324.468 3.155 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@]2(CCN(C/C=C/Cl)C2)C1 ZINC001733814168 1205225666 /nfs/dbraw/zinc/22/56/66/1205225666.db2.gz PFXXCUSRFMUBLQ-YPHWWSSASA-N 0 1 322.880 3.103 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)CCC1CC1 ZINC001733925429 1205257770 /nfs/dbraw/zinc/25/77/70/1205257770.db2.gz NHIRFFDYIRFPKX-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C/C=C/c1ccc(F)cc1 ZINC001733932361 1205261986 /nfs/dbraw/zinc/26/19/86/1205261986.db2.gz NWBXUFNEZHCILX-MJICGBHWSA-N 0 1 316.420 3.338 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(Cl)cc1C ZINC001733937317 1205265388 /nfs/dbraw/zinc/26/53/88/1205265388.db2.gz ZETGDPHJOIJJAX-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001733960486 1205271443 /nfs/dbraw/zinc/27/14/43/1205271443.db2.gz PBBPTYMKFBMVJJ-UONOGXRCSA-N 0 1 319.424 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2ccsc2[nH]1 ZINC001733984042 1205279079 /nfs/dbraw/zinc/27/90/79/1205279079.db2.gz KESAUOJDQCKSIA-UWVGGRQHSA-N 0 1 311.838 3.078 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2cccc(F)c2F)C1 ZINC001733989300 1205280381 /nfs/dbraw/zinc/28/03/81/1205280381.db2.gz RBOOAUJXSHYHIM-OLZOCXBDSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2cnccc21 ZINC001734001212 1205284412 /nfs/dbraw/zinc/28/44/12/1205284412.db2.gz HUJFVJZHMRZYIT-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2ncsc2c1 ZINC001734000713 1205284604 /nfs/dbraw/zinc/28/46/04/1205284604.db2.gz GDDMCTWQKQWNQH-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C1CCC(NCc2ccon2)CC1 ZINC001734012382 1205288322 /nfs/dbraw/zinc/28/83/22/1205288322.db2.gz XEIUUPJBPJDUTC-UQPPLGOBSA-N 0 1 319.449 3.136 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)Cc2sc(C)nc2C)C1 ZINC001734052780 1205306561 /nfs/dbraw/zinc/30/65/61/1205306561.db2.gz BTFWPXDMLNJGIP-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc([C@H](C)CC)cc1 ZINC001734064680 1205313642 /nfs/dbraw/zinc/31/36/42/1205313642.db2.gz DOTGKZHNIWDNBN-HZPDHXFCSA-N 0 1 300.446 3.274 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](C)c1ccccc1C ZINC001734107340 1205326279 /nfs/dbraw/zinc/32/62/79/1205326279.db2.gz WDVWUOXOFXLHRK-ZWKOTPCHSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](C)c1ccccc1C ZINC001734107339 1205326562 /nfs/dbraw/zinc/32/65/62/1205326562.db2.gz WDVWUOXOFXLHRK-ROUUACIJSA-N 0 1 312.457 3.093 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)C1CN(Cc2sc(C)nc2C)C1 ZINC001734118435 1205329115 /nfs/dbraw/zinc/32/91/15/1205329115.db2.gz XKMDFYVTFNAODP-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC2(C)CCCCC2)C(C)(C)C1 ZINC001734147471 1205335409 /nfs/dbraw/zinc/33/54/09/1205335409.db2.gz BTUWOKGAIOINMR-MRXNPFEDSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@@H](C)C2CCCCC2)C(C)(C)C1 ZINC001734155020 1205337377 /nfs/dbraw/zinc/33/73/77/1205337377.db2.gz WATHYYLSWPGMGH-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1CC2(CCC2)C1 ZINC001734303762 1205359825 /nfs/dbraw/zinc/35/98/25/1205359825.db2.gz BOBYAQDPTZYMDC-OAHLLOKOSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CC2CCC1CC2 ZINC001734310724 1205361803 /nfs/dbraw/zinc/36/18/03/1205361803.db2.gz ZJNVEJNWXSSBJL-LCSXWQTCSA-N 0 1 324.896 3.392 20 30 DGEDMN CCC(CC)C(=O)N(C)C[C@H](C)NCc1cc(C#N)ccc1F ZINC001734314986 1205362966 /nfs/dbraw/zinc/36/29/66/1205362966.db2.gz UNAOVRCPANIQLA-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)C[C@@H](C)NCc1ccc(C#N)cc1F ZINC001734320540 1205364009 /nfs/dbraw/zinc/36/40/09/1205364009.db2.gz LOAUVBRMCRSCQQ-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001734324472 1205364754 /nfs/dbraw/zinc/36/47/54/1205364754.db2.gz LUWMUDFMKGMIDM-KGLIPLIRSA-N 0 1 322.399 3.122 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001734324473 1205364956 /nfs/dbraw/zinc/36/49/56/1205364956.db2.gz LUWMUDFMKGMIDM-UONOGXRCSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CC(C)(C)C(F)(F)F ZINC001734354226 1205370122 /nfs/dbraw/zinc/37/01/22/1205370122.db2.gz SZDCQCHLMVTJLI-SNVBAGLBSA-N 0 1 314.779 3.154 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(O)ccc2Cl)[C@H]1C ZINC001734387538 1205371572 /nfs/dbraw/zinc/37/15/72/1205371572.db2.gz IOHMMJFUXYSOCH-MLGOLLRUSA-N 0 1 322.836 3.091 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2nc(C)c(C)s2)C[C@H]1C ZINC001734417877 1205374576 /nfs/dbraw/zinc/37/45/76/1205374576.db2.gz RWLPSKMATMYUDH-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC/C=C/c2ccccc2)[C@@H]1C ZINC001734460896 1205381912 /nfs/dbraw/zinc/38/19/12/1205381912.db2.gz QNEPXINOQKJHGP-JSIZGQDVSA-N 0 1 310.441 3.082 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@@H]1C ZINC001734462176 1205382106 /nfs/dbraw/zinc/38/21/06/1205382106.db2.gz RVZISWLYACNRCT-VXGBXAGGSA-N 0 1 306.372 3.120 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2ccncc2Cl)C(C)(C)C1 ZINC001734491287 1205386549 /nfs/dbraw/zinc/38/65/49/1205386549.db2.gz QFTKLKQEGJLVOM-HNNXBMFYSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](NCc2ccncc2Cl)C(C)(C)C1 ZINC001734491288 1205386726 /nfs/dbraw/zinc/38/67/26/1205386726.db2.gz QFTKLKQEGJLVOM-OAHLLOKOSA-N 0 1 321.852 3.028 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccc(C)c(C)c1)C(C)C ZINC001734554326 1205392570 /nfs/dbraw/zinc/39/25/70/1205392570.db2.gz IQEBZUUUNXBEEM-UHFFFAOYSA-N 0 1 300.446 3.109 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(C2CCC2)c1)C(C)C ZINC001734554981 1205393286 /nfs/dbraw/zinc/39/32/86/1205393286.db2.gz VMNQPCRHXYPSLI-UHFFFAOYSA-N 0 1 312.457 3.370 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(Cl)o1)C(C)(C)C ZINC001734559407 1205394680 /nfs/dbraw/zinc/39/46/80/1205394680.db2.gz WGLVUKIZVSSEQC-NSHDSACASA-N 0 1 319.232 3.420 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)C1CCN(Cc2conc2C)CC1 ZINC001734586569 1205401557 /nfs/dbraw/zinc/40/15/57/1205401557.db2.gz RJTVJZMGJYYREJ-AWEZNQCLSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)CC1 ZINC001734632114 1205407687 /nfs/dbraw/zinc/40/76/87/1205407687.db2.gz BLYBSAMERZISJO-HZPDHXFCSA-N 0 1 304.478 3.076 20 30 DGEDMN C#CCN1CC=C(CNC(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001734632634 1205407715 /nfs/dbraw/zinc/40/77/15/1205407715.db2.gz NEWBBOOWPRPCPV-AEFFLSMTSA-N 0 1 316.489 3.220 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)[C@H](CC)CC(C)C)c(F)c1 ZINC001734640574 1205408899 /nfs/dbraw/zinc/40/88/99/1205408899.db2.gz UZSFNQFOUYRKFP-MRXNPFEDSA-N 0 1 318.436 3.237 20 30 DGEDMN Cc1c(Cl)c(Cl)nc(N2C[C@H](C)N(C)C[C@@H]2C)c1C#N ZINC001164643061 719170569 /nfs/dbraw/zinc/17/05/69/719170569.db2.gz ZEUZREPHMMJCNU-IUCAKERBSA-N 0 1 313.232 3.097 20 30 DGEDMN C=C[C@@H](CC(=O)N[C@@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001669750198 1197474925 /nfs/dbraw/zinc/47/49/25/1197474925.db2.gz INODOAQNSNRNRA-GJZGRUSLSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@]1(C)CCN(Cc2scnc2C)C1 ZINC001671649154 1197557251 /nfs/dbraw/zinc/55/72/51/1197557251.db2.gz OSTXMILMUFHNRM-KRWDZBQOSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc(-c2nn[nH]n2)cc1 ZINC001139093542 948477859 /nfs/dbraw/zinc/47/78/59/948477859.db2.gz YCJBYTDAKSTILV-UHFFFAOYSA-N 0 1 305.385 3.055 20 30 DGEDMN C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cc1ccc(C(C)(C)C#N)cc1 ZINC001589308614 953776460 /nfs/dbraw/zinc/77/64/60/953776460.db2.gz WDYZVTVLMNPCSI-ZFWWWQNUSA-N 0 1 300.402 3.173 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1CCC1(C(=O)[O-])CCC1 ZINC001574474578 961641243 /nfs/dbraw/zinc/64/12/43/961641243.db2.gz PUJWIHFRQSJTDH-DLBZAZTESA-N 0 1 312.413 3.403 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1CCC1(C(=O)[O-])CCC1 ZINC001574474589 961641307 /nfs/dbraw/zinc/64/13/07/961641307.db2.gz PUJWIHFRQSJTDH-IRXDYDNUSA-N 0 1 312.413 3.403 20 30 DGEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC=C(c2ccc(OCC)cc2)CC1 ZINC001573350028 962962649 /nfs/dbraw/zinc/96/26/49/962962649.db2.gz AAUNIVJBQUUSRJ-KRWDZBQOSA-N 0 1 301.386 3.204 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000318277947 970422404 /nfs/dbraw/zinc/42/24/04/970422404.db2.gz KWUWHXDEROUNFM-INIZCTEOSA-N 0 1 300.402 3.218 20 30 DGEDMN CC[C@@](C)(C(=O)[O-])[N@@H+](CC#Cc1ccc(F)cc1)CC1CC1 ZINC001594778561 981778831 /nfs/dbraw/zinc/77/88/31/981778831.db2.gz VJYOWEFXGCQYRL-SFHVURJKSA-N 0 1 303.377 3.143 20 30 DGEDMN C=C(Br)C[NH2+][C@H](C(=O)[O-])c1ccc(Cl)c(F)c1 ZINC001588529429 983581479 /nfs/dbraw/zinc/58/14/79/983581479.db2.gz YWGMWGVTEYAAMS-JTQLQIEISA-N 0 1 322.561 3.103 20 30 DGEDMN C=CC[C@@H]1CCC[N@H+](Cc2ccccc2O[C@@H](C)C(=O)[O-])C1 ZINC001588772940 984145993 /nfs/dbraw/zinc/14/59/93/984145993.db2.gz RAABTZXGWIIAOW-LSDHHAIUSA-N 0 1 303.402 3.327 20 30 DGEDMN C[C@H](C(=O)[O-])[N@@H+](Cc1cc(Cl)ccc1OCC#N)C1CCC1 ZINC001589486881 987038493 /nfs/dbraw/zinc/03/84/93/987038493.db2.gz NUQNTMHZDBPHPD-LLVKDONJSA-N 0 1 322.792 3.070 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-] ZINC001589560708 987316730 /nfs/dbraw/zinc/31/67/30/987316730.db2.gz NNZMIDLDJONJQG-QRTARXTBSA-N 0 1 312.413 3.173 20 30 DGEDMN CC(C)(C)C[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001589764313 988099848 /nfs/dbraw/zinc/09/98/48/988099848.db2.gz GJDOBZBNEQWNSU-GOEBONIOSA-N 0 1 300.402 3.442 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@@H+]1CC#Cc1ccc(Cl)cc1 ZINC001594208004 998804453 /nfs/dbraw/zinc/80/44/53/998804453.db2.gz OEPPMCYFSVIAGO-AGGWBTHJSA-N 0 1 305.805 3.265 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC1CCN(CCC(F)(F)F)CC1 ZINC001229048639 1097244449 /nfs/dbraw/zinc/24/44/49/1097244449.db2.gz JNPLTWPNCYDNKZ-CQSZACIVSA-N 0 1 306.372 3.122 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCCCN[C@H](C)c1ncc(C)o1 ZINC001169706047 1081634899 /nfs/dbraw/zinc/63/48/99/1081634899.db2.gz PQIHYUIGZDAXOT-DZGCQCFKSA-N 0 1 307.438 3.275 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CCCCNCc1nc(C)c(C)o1 ZINC001169713896 1081637995 /nfs/dbraw/zinc/63/79/95/1081637995.db2.gz TULOWYRVPIARFK-CYBMUJFWSA-N 0 1 307.438 3.022 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCN(Cc2c(C)cccc2Cl)C1 ZINC001266318063 1081662016 /nfs/dbraw/zinc/66/20/16/1081662016.db2.gz YBWZQWXGFABGTF-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001266339095 1081680342 /nfs/dbraw/zinc/68/03/42/1081680342.db2.gz JGFHHPOLTPZBGY-STQMWFEESA-N 0 1 319.276 3.117 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(CCCCC(F)(F)F)C2)C1 ZINC001266342315 1081683558 /nfs/dbraw/zinc/68/35/58/1081683558.db2.gz QMHUMUFLSYZIHI-CYBMUJFWSA-N 0 1 318.383 3.266 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)CCCCCc2cccs2)C1 ZINC001266346568 1081687840 /nfs/dbraw/zinc/68/78/40/1081687840.db2.gz CZYNAEZTONEBSD-OAHLLOKOSA-N 0 1 306.475 3.228 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)CCCc2ccccc2)C1 ZINC001266362055 1081701943 /nfs/dbraw/zinc/70/19/43/1081701943.db2.gz XKQXEEDHSZKBTB-SJLPKXTDSA-N 0 1 300.446 3.022 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@H]2CCC[C@H]2C)CC1 ZINC001266386516 1081729839 /nfs/dbraw/zinc/72/98/39/1081729839.db2.gz IYUVFQICJOXEOH-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC[C@@H](C)C2)CC1 ZINC001266389945 1081734203 /nfs/dbraw/zinc/73/42/03/1081734203.db2.gz FNGPZCYDRABZOS-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCCCN1C[C@@H](C(=O)OCC)Cn2nccc2[C@@H]1C ZINC001209432732 1081749575 /nfs/dbraw/zinc/74/95/75/1081749575.db2.gz JRKDCKADBNPXCY-JKSUJKDBSA-N 0 1 319.449 3.185 20 30 DGEDMN C=CCCCCCCCCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001209442363 1081754510 /nfs/dbraw/zinc/75/45/10/1081754510.db2.gz GVUSHWWCJLMJTJ-SFHVURJKSA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ccc(CCC)cc1)C1CC1 ZINC001266426972 1081789160 /nfs/dbraw/zinc/78/91/60/1081789160.db2.gz RTANUBLXQYUOEI-KRWDZBQOSA-N 0 1 320.864 3.490 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C[C@@H](O)[C@@H](C)c1ccccc1 ZINC001167273592 1081876676 /nfs/dbraw/zinc/87/66/76/1081876676.db2.gz MPGTXCXYHYAICS-OXJNMPFZSA-N 0 1 311.400 3.426 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001266474564 1081878222 /nfs/dbraw/zinc/87/82/22/1081878222.db2.gz RDPNYVAFXNCBSZ-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOCCCC ZINC001109634060 1081889044 /nfs/dbraw/zinc/88/90/44/1081889044.db2.gz BEBUCIJTSGDSEI-MHORFTMASA-N 0 1 322.493 3.127 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](CCCCC)C(C)C ZINC001266488360 1081890015 /nfs/dbraw/zinc/89/00/15/1081890015.db2.gz HXNKXJUECWORHH-MSOLQXFVSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(F)c1 ZINC001109656210 1081893447 /nfs/dbraw/zinc/89/34/47/1081893447.db2.gz JEMALOAFZVAMJB-SQNIBIBYSA-N 0 1 316.420 3.404 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)OC1CCCCCC1)C2 ZINC001109700473 1081902559 /nfs/dbraw/zinc/90/25/59/1081902559.db2.gz NTKTUSJUUBKZAP-LMSBXDPUSA-N 0 1 320.477 3.022 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](CC)c1ccc(C)cc1)C2 ZINC001109718670 1081903650 /nfs/dbraw/zinc/90/36/50/1081903650.db2.gz JRKYPPUTRINNNH-LMCOJAPRSA-N 0 1 324.468 3.233 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CCC)CC(C)C ZINC001266501548 1081906222 /nfs/dbraw/zinc/90/62/22/1081906222.db2.gz AXZIHFIJVSAMHP-OKZBNKHCSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCc1ccc(F)cc1)C2 ZINC001109751264 1081906529 /nfs/dbraw/zinc/90/65/29/1081906529.db2.gz ASTRNLLSEYRDND-SQNIBIBYSA-N 0 1 316.420 3.056 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@H](C)c1ccccc1)C2 ZINC001109806378 1081916968 /nfs/dbraw/zinc/91/69/68/1081916968.db2.gz YTDYRPWLXHTGSR-RNQOJCNYSA-N 0 1 324.468 3.315 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](CC)c1ccccc1)C2 ZINC001109969807 1081940814 /nfs/dbraw/zinc/94/08/14/1081940814.db2.gz AYTSMWJRXMXIDA-LMCOJAPRSA-N 0 1 324.468 3.315 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001266525104 1081945774 /nfs/dbraw/zinc/94/57/74/1081945774.db2.gz CQTPVDMEFDXTGT-YDIVSAOHSA-N 0 1 323.440 3.019 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001266529546 1081954794 /nfs/dbraw/zinc/95/47/94/1081954794.db2.gz DNGCMPSUSZPNCR-HNNXBMFYSA-N 0 1 322.399 3.258 20 30 DGEDMN CCCCCCCN1CCN(C(=O)/C=C\c2ccco2)CC1 ZINC001112597962 1081969570 /nfs/dbraw/zinc/96/95/70/1081969570.db2.gz MSNIHAHFEODVDN-KTKRTIGZSA-N 0 1 304.434 3.407 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC[C@@H]2CCCO2)CC1 ZINC001112620670 1081976256 /nfs/dbraw/zinc/97/62/56/1081976256.db2.gz KFUAHFHCBHYZNJ-KRWDZBQOSA-N 0 1 310.482 3.060 20 30 DGEDMN Cc1c(F)cccc1CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001204512269 1081983202 /nfs/dbraw/zinc/98/32/02/1081983202.db2.gz FTLNDFNDWWGMSR-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN CC(C)C#CC(=O)N1CCN(CC[C@@H]2CCC[C@@H](C)C2)CC1 ZINC001112834455 1082015301 /nfs/dbraw/zinc/01/53/01/1082015301.db2.gz OTIKLLYZDULJTA-MSOLQXFVSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](CC)OC2CCCCC2)CC1 ZINC001112850061 1082022803 /nfs/dbraw/zinc/02/28/03/1082022803.db2.gz VKWOEQVHZJWOHY-SFHVURJKSA-N 0 1 322.493 3.225 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc3scnc32)CC1 ZINC001112849621 1082023171 /nfs/dbraw/zinc/02/31/71/1082023171.db2.gz AZTKEWPANRGKAH-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCCCN1CCN(C(=O)C[C@@H](OCC)C2=CCCC2)CC1 ZINC001112857089 1082027207 /nfs/dbraw/zinc/02/72/07/1082027207.db2.gz XIIGPZOQJJWUOM-GOSISDBHSA-N 0 1 320.477 3.002 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@H](OCC)C2=CCCC2)CC1 ZINC001112857060 1082027305 /nfs/dbraw/zinc/02/73/05/1082027305.db2.gz VRQLXZZMJOBNFN-SFHVURJKSA-N 0 1 320.477 3.002 20 30 DGEDMN CCCCCCCN1CCN(C(=O)c2ccnn2C(C)C)CC1 ZINC001112887839 1082036280 /nfs/dbraw/zinc/03/62/80/1082036280.db2.gz BBYMRHDHYQFSOK-UHFFFAOYSA-N 0 1 320.481 3.192 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(C(F)F)nc2)CC1 ZINC001112940067 1082058285 /nfs/dbraw/zinc/05/82/85/1082058285.db2.gz SKPWIZFZMUBHDD-CYBMUJFWSA-N 0 1 323.387 3.132 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NCc2csc(CC)n2)C1 ZINC001266610346 1082064601 /nfs/dbraw/zinc/06/46/01/1082064601.db2.gz RPVLRUURNAMWFA-JOCQHMNTSA-N 0 1 321.490 3.045 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(CCCC)CC1 ZINC001112969358 1082069763 /nfs/dbraw/zinc/06/97/63/1082069763.db2.gz AZJNGZLORQMLEQ-UHFFFAOYSA-N 0 1 312.498 3.308 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccnc(CCC)c2)CC1 ZINC001112985691 1082076799 /nfs/dbraw/zinc/07/67/99/1082076799.db2.gz NCFZADRCFIGDNH-INIZCTEOSA-N 0 1 315.461 3.147 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001113039726 1082098297 /nfs/dbraw/zinc/09/82/97/1082098297.db2.gz FWRCRLQBSLSPHV-KURKYZTESA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@]2(C)[C@H]3Cc4ccccc4[C@H]32)CC1 ZINC001113047239 1082099837 /nfs/dbraw/zinc/09/98/37/1082099837.db2.gz YJUJJLQKWSGAHE-QKNQBKEWSA-N 0 1 324.468 3.073 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ccncc2CC)CC1 ZINC001113050048 1082101148 /nfs/dbraw/zinc/10/11/48/1082101148.db2.gz AWCZQAFTOATIPS-UHFFFAOYSA-N 0 1 315.461 3.148 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(C)cc(C)n2)CC1 ZINC001113054917 1082102148 /nfs/dbraw/zinc/10/21/48/1082102148.db2.gz FWLQVLUSOJDZJD-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ccoc2)C1 ZINC001086574919 1082105524 /nfs/dbraw/zinc/10/55/24/1082105524.db2.gz OEUZXOCATIUYLO-ZWKOTPCHSA-N 0 1 310.397 3.054 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@H]2CC=CCC2)C1 ZINC001086574280 1082105740 /nfs/dbraw/zinc/10/57/40/1082105740.db2.gz JKBUBSRAKGTGNH-GBESFXJTSA-N 0 1 310.441 3.113 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2c(F)cc(C)cc2F)CC1 ZINC001113085688 1082112768 /nfs/dbraw/zinc/11/27/68/1082112768.db2.gz BOFJNKAGQFYWHV-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc(C)c3cccnc23)CC1 ZINC001113115455 1082119392 /nfs/dbraw/zinc/11/93/92/1082119392.db2.gz GIHUONCEPITRNJ-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)CC(F)F)CC1 ZINC001113120219 1082121646 /nfs/dbraw/zinc/12/16/46/1082121646.db2.gz VOKIHUSADRENHT-CQSZACIVSA-N 0 1 304.425 3.392 20 30 DGEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(C[C@H](C)CC(C)C)CC1 ZINC001113164569 1082130053 /nfs/dbraw/zinc/13/00/53/1082130053.db2.gz SJNQZKZRNMUAKA-IEBWSBKVSA-N 0 1 324.509 3.042 20 30 DGEDMN C#CCCCCCC(=O)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001113204926 1082139071 /nfs/dbraw/zinc/13/90/71/1082139071.db2.gz CWZFHTIMUMDMRZ-FQEVSTJZSA-N 0 1 324.468 3.402 20 30 DGEDMN CC#CCCCC(=O)N1CCN([C@H](C)c2ccccc2C)CC1 ZINC001113226321 1082142139 /nfs/dbraw/zinc/14/21/39/1082142139.db2.gz XAJNHKZZVPWTEU-GOSISDBHSA-N 0 1 312.457 3.394 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccn(C(C)C)c2C)CC1 ZINC001113241788 1082146634 /nfs/dbraw/zinc/14/66/34/1082146634.db2.gz PNBBFEMWUVLGKO-UHFFFAOYSA-N 0 1 303.450 3.101 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001113246696 1082147865 /nfs/dbraw/zinc/14/78/65/1082147865.db2.gz RSTGTVGHPGRBPT-LPHOPBHVSA-N 0 1 312.457 3.420 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113243744 1082149379 /nfs/dbraw/zinc/14/93/79/1082149379.db2.gz MZLCNEKGTKRIOJ-MRXNPFEDSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001113244140 1082149616 /nfs/dbraw/zinc/14/96/16/1082149616.db2.gz UTXSGBBQFFJMNP-CABCVRRESA-N 0 1 314.420 3.168 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H]2CC[C@@H](C(F)(F)F)C2)CC1 ZINC001113260964 1082151821 /nfs/dbraw/zinc/15/18/21/1082151821.db2.gz UWMZBVQOKWRJFI-UONOGXRCSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cncc3sccc32)CC1 ZINC001113252290 1082153157 /nfs/dbraw/zinc/15/31/57/1082153157.db2.gz HOLLNWZNBRRJOD-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2CC(C)(C)C2)C1 ZINC001086587250 1082173490 /nfs/dbraw/zinc/17/34/90/1082173490.db2.gz LWTICDHQZHVFHU-ZWKOTPCHSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CCCC(F)(F)C2)CC1 ZINC001113336692 1082180336 /nfs/dbraw/zinc/18/03/36/1082180336.db2.gz IIYZYKCTCLBCIN-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccccc2C2(C)CC2)CC1 ZINC001113337231 1082180542 /nfs/dbraw/zinc/18/05/42/1082180542.db2.gz RZQIWMWXRBBONB-UHFFFAOYSA-N 0 1 312.457 3.462 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)CC(F)(F)C(F)F)CC1 ZINC001113353682 1082182673 /nfs/dbraw/zinc/18/26/73/1082182673.db2.gz ORVTXGNQXHRCHT-UHFFFAOYSA-N 0 1 324.362 3.168 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001113353540 1082182753 /nfs/dbraw/zinc/18/27/53/1082182753.db2.gz JMQNDTHCCPJFOE-CQSZACIVSA-N 0 1 304.425 3.392 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(F)c(Cl)c2)CC1 ZINC001113377841 1082187382 /nfs/dbraw/zinc/18/73/82/1082187382.db2.gz QBOLXAHNEGGPAM-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](F)c2ccccc2)CC1 ZINC001113386621 1082190266 /nfs/dbraw/zinc/19/02/66/1082190266.db2.gz OATUYOJATTUESQ-WBVHZDCISA-N 0 1 304.409 3.196 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2cc(C)[nH]c2C)CC1 ZINC001113386326 1082190272 /nfs/dbraw/zinc/19/02/72/1082190272.db2.gz DVDKHLWNOXEWGX-UHFFFAOYSA-N 0 1 303.450 3.136 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(C)ccc2F)CC1 ZINC001113382829 1082191714 /nfs/dbraw/zinc/19/17/14/1082191714.db2.gz WVAOSDNXBJYWEV-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccc(OCC)c2)CC1 ZINC001113383846 1082192473 /nfs/dbraw/zinc/19/24/73/1082192473.db2.gz VAJXIWWYEHXEHY-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cccc(OCC)c2)CC1 ZINC001113383845 1082192900 /nfs/dbraw/zinc/19/29/00/1082192900.db2.gz VAJXIWWYEHXEHY-INIZCTEOSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc3ccccn3c2)CC1 ZINC001113398010 1082199357 /nfs/dbraw/zinc/19/93/57/1082199357.db2.gz WQSLBBJDCAJJNG-INIZCTEOSA-N 0 1 311.429 3.052 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(CC)CCOCC2)CC1 ZINC001113459456 1082218633 /nfs/dbraw/zinc/21/86/33/1082218633.db2.gz BBYQNORGXMSLGD-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@]23CCc2ccccc23)CC1 ZINC001113477704 1082228720 /nfs/dbraw/zinc/22/87/20/1082228720.db2.gz HHXBAJPTBQDXPW-FPOVZHCZSA-N 0 1 324.468 3.001 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@H](C)c2ccc(C)s2)CC1 ZINC001113534685 1082243764 /nfs/dbraw/zinc/24/37/64/1082243764.db2.gz OHFMGNZYQFJESG-OAHLLOKOSA-N 0 1 306.475 3.270 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC001113546698 1082244808 /nfs/dbraw/zinc/24/48/08/1082244808.db2.gz HEMXOYVKGCJAOU-UHFFFAOYSA-N 0 1 310.441 3.370 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(F)cc2C)CC1 ZINC001113564995 1082248816 /nfs/dbraw/zinc/24/88/16/1082248816.db2.gz OXKRBEFOIIMCFB-OAHLLOKOSA-N 0 1 304.409 3.247 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001113575665 1082255146 /nfs/dbraw/zinc/25/51/46/1082255146.db2.gz BPINCMAXLGDLJM-SFHVURJKSA-N 0 1 324.509 3.308 20 30 DGEDMN N#Cc1cccc(CN2CCCC[C@@H]2CNC(=O)C=C2CCC2)c1 ZINC001266839888 1082257404 /nfs/dbraw/zinc/25/74/04/1082257404.db2.gz KSCMKWHXGYGJTH-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccc(F)cc2)CC1 ZINC001113597818 1082258751 /nfs/dbraw/zinc/25/87/51/1082258751.db2.gz OQVZKHDOGAPYGV-UHFFFAOYSA-N 0 1 318.436 3.259 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CC(C)(C)CC(F)F ZINC001266848804 1082262707 /nfs/dbraw/zinc/26/27/07/1082262707.db2.gz FXRGIPMDBXYCRV-CQSZACIVSA-N 0 1 314.420 3.052 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc3c(c2)CCC3)CC1 ZINC001113620749 1082266246 /nfs/dbraw/zinc/26/62/46/1082266246.db2.gz PKWGPFPJXQYJFG-INIZCTEOSA-N 0 1 312.457 3.288 20 30 DGEDMN CCCCCCCN1CCN(C(=O)Cc2ccc(C)nc2)CC1 ZINC001113634776 1082268659 /nfs/dbraw/zinc/26/86/59/1082268659.db2.gz UYYOLTJWXXMANU-UHFFFAOYSA-N 0 1 317.477 3.047 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCc2nccs2)CC1 ZINC001113646956 1082271680 /nfs/dbraw/zinc/27/16/80/1082271680.db2.gz GRIDYVBEBIZQBC-UHFFFAOYSA-N 0 1 323.506 3.190 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CCCC[C@@H]2OC)CC1 ZINC001113703680 1082285175 /nfs/dbraw/zinc/28/51/75/1082285175.db2.gz HLOJCBSHHUHIKP-ROUUACIJSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2(CCOC)CCCC2)CC1 ZINC001113704042 1082285492 /nfs/dbraw/zinc/28/54/92/1082285492.db2.gz XRKQJXGCQWMSPA-KRWDZBQOSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCN1CCN(C(=O)CCC(C)(C)c2ccccc2)CC1 ZINC001113724235 1082290798 /nfs/dbraw/zinc/29/07/98/1082290798.db2.gz QUGJCLWHLFOOML-UHFFFAOYSA-N 0 1 314.473 3.465 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)COC2CCC(C)CC2)CC1 ZINC001113722019 1082290807 /nfs/dbraw/zinc/29/08/07/1082290807.db2.gz IWEKNEFLLUTLQT-LXPRWKDFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CCN(C(=O)C2CC(c3ccccc3)C2)CC1 ZINC001113719664 1082291027 /nfs/dbraw/zinc/29/10/27/1082291027.db2.gz AEKZPYWUICRDRC-UHFFFAOYSA-N 0 1 312.457 3.291 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)cc(C)n1 ZINC001266873303 1082293040 /nfs/dbraw/zinc/29/30/40/1082293040.db2.gz RNSDKOQRMBTNOM-OAHLLOKOSA-N 0 1 321.852 3.035 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](OC)C2CCCCC2)CC1 ZINC001113747112 1082294116 /nfs/dbraw/zinc/29/41/16/1082294116.db2.gz IPLOODMLKZXSRC-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1ccc(C)cc1F ZINC001266876997 1082295903 /nfs/dbraw/zinc/29/59/03/1082295903.db2.gz AWYZLQDUBQIOES-AWEZNQCLSA-N 0 1 324.827 3.471 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1cc(C)no1 ZINC001266876592 1082296206 /nfs/dbraw/zinc/29/62/06/1082296206.db2.gz CGVOKXUCABAXTF-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1cc(C)no1 ZINC001266876591 1082296347 /nfs/dbraw/zinc/29/63/47/1082296347.db2.gz CGVOKXUCABAXTF-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001086608646 1082324418 /nfs/dbraw/zinc/32/44/18/1082324418.db2.gz SNQPBZORCKWJPO-AKHDSKFASA-N 0 1 312.457 3.193 20 30 DGEDMN CC(C)(S)CNc1cnc2cc(Br)ccn12 ZINC001170307276 1082349908 /nfs/dbraw/zinc/34/99/08/1082349908.db2.gz RRTQETLNLXBQBT-UHFFFAOYSA-N 0 1 300.225 3.217 20 30 DGEDMN Cn1ncc2c1CN(C1CCC(C#N)(c3ccccc3)CC1)C2 ZINC001170389476 1082359141 /nfs/dbraw/zinc/35/91/41/1082359141.db2.gz ULUJARHQBHGLRF-UHFFFAOYSA-N 0 1 306.413 3.140 20 30 DGEDMN CO[C@@H]1CN(C2CCC(C#N)(c3ccccc3)CC2)C[C@@H]1F ZINC001170378688 1082362926 /nfs/dbraw/zinc/36/29/26/1082362926.db2.gz IFDHTTMHXKGNNM-JDEYZFBPSA-N 0 1 302.393 3.059 20 30 DGEDMN COc1ccc(C2CCN(Cc3cc(C#N)ccn3)CC2)cc1 ZINC001167496306 1082385320 /nfs/dbraw/zinc/38/53/20/1082385320.db2.gz LGBJUWUNGQZEBB-UHFFFAOYSA-N 0 1 307.397 3.341 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)CCCCN[C@@H](C)c1ncc(C)o1 ZINC001170424520 1082390482 /nfs/dbraw/zinc/39/04/82/1082390482.db2.gz ACIVAPBAPGBMTQ-ZBFHGGJFSA-N 0 1 319.449 3.112 20 30 DGEDMN CC(C)[C@H](C)C(=O)NC[C@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001266975156 1082393357 /nfs/dbraw/zinc/39/33/57/1082393357.db2.gz KXIZRHSAAMGHOT-GJZGRUSLSA-N 0 1 320.864 3.078 20 30 DGEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)c3ccc(Cl)cc3)C[C@H]21 ZINC001114002320 1082395339 /nfs/dbraw/zinc/39/53/39/1082395339.db2.gz KIDTXBLWUCAUPD-ANRZDRKOSA-N 0 1 318.848 3.414 20 30 DGEDMN CC[C@H](CC(C)C)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001266983716 1082404416 /nfs/dbraw/zinc/40/44/16/1082404416.db2.gz QQGDMKLSMAOQHM-PKOBYXMFSA-N 0 1 314.473 3.205 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N[C@H](C)c2c(F)cccc2F)C1 ZINC001267008901 1082427688 /nfs/dbraw/zinc/42/76/88/1082427688.db2.gz IUYCJKGVFLVAED-KGLIPLIRSA-N 0 1 320.383 3.020 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc2c(s1)CCCC2 ZINC001267027795 1082445351 /nfs/dbraw/zinc/44/53/51/1082445351.db2.gz YUKIKNDFHQQXMP-UHFFFAOYSA-N 0 1 312.866 3.041 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NCc2coc(C3CC3)n2)C1 ZINC001267079346 1082484474 /nfs/dbraw/zinc/48/44/74/1082484474.db2.gz MJWRWUZDTKMPDQ-GJZGRUSLSA-N 0 1 317.433 3.035 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@H](NCc2nc(C)oc2C)C1 ZINC001267086587 1082492021 /nfs/dbraw/zinc/49/20/21/1082492021.db2.gz POEMIVKCURAIDK-HOTGVXAUSA-N 0 1 319.449 3.165 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001267100056 1082510519 /nfs/dbraw/zinc/51/05/19/1082510519.db2.gz GJGSPMUSDQGBKQ-CQSZACIVSA-N 0 1 317.458 3.009 20 30 DGEDMN CCCCCCCCOCC(=O)N1[C@H](C)CN(C)[C@H](C)[C@H]1C ZINC001130370678 1082574509 /nfs/dbraw/zinc/57/45/09/1082574509.db2.gz RKKVAMMVZYYVBG-BRWVUGGUSA-N 0 1 312.498 3.303 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC001267192150 1082620532 /nfs/dbraw/zinc/62/05/32/1082620532.db2.gz IWVPQOZINNJKBK-AWEZNQCLSA-N 0 1 322.399 3.267 20 30 DGEDMN C#CCCCCC(=O)NCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001267192151 1082620979 /nfs/dbraw/zinc/62/09/79/1082620979.db2.gz IWVPQOZINNJKBK-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN Cc1nc(C)c(C(=O)Nc2ccc(OC(F)(F)F)c(C#N)c2)[nH]1 ZINC001626115467 1082623186 /nfs/dbraw/zinc/62/31/86/1082623186.db2.gz DRQAQCGNBBHUPJ-UHFFFAOYSA-N 0 1 324.262 3.049 20 30 DGEDMN CC(C)(C)C(=O)Nc1ccc(N)nc1NCc1ccccc1C#N ZINC001167633537 1082627326 /nfs/dbraw/zinc/62/73/26/1082627326.db2.gz MIOZXIUHBOSAPW-UHFFFAOYSA-N 0 1 323.400 3.132 20 30 DGEDMN CCC[C@](C)(CC)C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001267225526 1082662548 /nfs/dbraw/zinc/66/25/48/1082662548.db2.gz WCBZUDRRJSAOHZ-IBGZPJMESA-N 0 1 315.461 3.323 20 30 DGEDMN C=C(Br)CNCCNC(=O)C[C@H](CC)CCCC ZINC001131484851 1082679836 /nfs/dbraw/zinc/67/98/36/1082679836.db2.gz OGWXDVVFSUVUHF-CYBMUJFWSA-N 0 1 319.287 3.207 20 30 DGEDMN C[C@H]1Cc2cc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)ccc2O1 ZINC001131673867 1082704461 /nfs/dbraw/zinc/70/44/61/1082704461.db2.gz CSCRXQFNCDVHLW-JTQLQIEISA-N 0 1 318.336 3.010 20 30 DGEDMN CCOc1c(F)cccc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001131674839 1082704566 /nfs/dbraw/zinc/70/45/66/1082704566.db2.gz YUFWKIXGCYQABI-UHFFFAOYSA-N 0 1 324.315 3.225 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1CCC[C@H](F)C1)C(C)C ZINC001267257854 1082712627 /nfs/dbraw/zinc/71/26/27/1082712627.db2.gz YEKJRUZZFYBTAY-GJZGRUSLSA-N 0 1 318.864 3.484 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CC[C@H](C)N(Cc2cccc(C#N)c2)C1 ZINC001131751532 1082718119 /nfs/dbraw/zinc/71/81/19/1082718119.db2.gz KXBXICHPWSMKTP-MAUKXSAKSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCCN1CCc1c(F)cccc1F ZINC001267263117 1082722967 /nfs/dbraw/zinc/72/29/67/1082722967.db2.gz GPANNRFJDJBIRK-AWEZNQCLSA-N 0 1 322.399 3.054 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](CC)CC1CCCC1 ZINC001267279053 1082741315 /nfs/dbraw/zinc/74/13/15/1082741315.db2.gz WGRKJKBGBWZORY-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN CC(C)(C)/C=C\C(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001267287392 1082750528 /nfs/dbraw/zinc/75/05/28/1082750528.db2.gz IIDXSZOWCKWQBV-QXFGJRORSA-N 0 1 324.468 3.079 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2c(C)nsc2C)C1 ZINC001131899758 1082758683 /nfs/dbraw/zinc/75/86/83/1082758683.db2.gz PELQIJLRUOVGRK-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCc4ccccc4C3)[nH]c2c1 ZINC001131906505 1082759351 /nfs/dbraw/zinc/75/93/51/1082759351.db2.gz JPIXAHFENFQGML-HNNXBMFYSA-N 0 1 316.364 3.178 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)CCC(C)C ZINC001267311441 1082776424 /nfs/dbraw/zinc/77/64/24/1082776424.db2.gz RDLPDRKDCGNHJY-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN C#CC[N@@H+](CCC)CCNC(=O)c1ccc(Cl)c2occc21 ZINC001267319677 1082790889 /nfs/dbraw/zinc/79/08/89/1082790889.db2.gz NCSQECHBJYDCMZ-UHFFFAOYSA-N 0 1 318.804 3.161 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cnccc2C)C1 ZINC001132064166 1082812759 /nfs/dbraw/zinc/81/27/59/1082812759.db2.gz FPKZDCAWUSIBST-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN N#C[C@H](C(=O)C=Cc1cncc(F)c1)c1cnc2ccccc2n1 ZINC000588587842 1082813346 /nfs/dbraw/zinc/81/33/46/1082813346.db2.gz XGEZYZDVIBNREF-GXMNPTEKSA-N 0 1 318.311 3.054 20 30 DGEDMN N#CC(C(=O)C=Cc1cncc(F)c1)c1cnc2ccccc2n1 ZINC000588587842 1082813350 /nfs/dbraw/zinc/81/33/50/1082813350.db2.gz XGEZYZDVIBNREF-GXMNPTEKSA-N 0 1 318.311 3.054 20 30 DGEDMN CCCC(=O)NC[C@H](NCc1ccc(C#N)cc1)c1ccccc1 ZINC001267346131 1082822909 /nfs/dbraw/zinc/82/29/09/1082822909.db2.gz LQTMIFCSGDIHAE-IBGZPJMESA-N 0 1 321.424 3.305 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@@H](CC)CC(F)F)c1ccccc1 ZINC001267361599 1082832904 /nfs/dbraw/zinc/83/29/04/1082832904.db2.gz DCWOBPCPZBZBSG-GOEBONIOSA-N 0 1 322.399 3.138 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(Cl)cs1 ZINC001158554691 1082837270 /nfs/dbraw/zinc/83/72/70/1082837270.db2.gz LLPPDFSMVXGYRL-CQSZACIVSA-N 0 1 324.877 3.351 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)CC(C)(C)CC(F)F)CC[C@H]1C ZINC001132190649 1082838278 /nfs/dbraw/zinc/83/82/78/1082838278.db2.gz MANUXHGIWRJPLZ-KGLIPLIRSA-N 0 1 314.420 3.050 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132234415 1082843822 /nfs/dbraw/zinc/84/38/22/1082843822.db2.gz BMEXEDHTDZZONX-UONOGXRCSA-N 0 1 318.383 3.102 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001267377993 1082848839 /nfs/dbraw/zinc/84/88/39/1082848839.db2.gz JXOPBNVNUPXILV-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(CCc2ccns2)C1 ZINC001132256064 1082859058 /nfs/dbraw/zinc/85/90/58/1082859058.db2.gz IUAUXEJCSGIJFK-CABCVRRESA-N 0 1 321.490 3.011 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cncc(C)c2)C1 ZINC001132257883 1082860846 /nfs/dbraw/zinc/86/08/46/1082860846.db2.gz ZUZSGWXLWJUSEU-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2cnccc2C)C1 ZINC001132257533 1082860881 /nfs/dbraw/zinc/86/08/81/1082860881.db2.gz XCIGRVWGMNUODO-SJLPKXTDSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2cncc(C)c2)C1 ZINC001132257884 1082861408 /nfs/dbraw/zinc/86/14/08/1082861408.db2.gz ZUZSGWXLWJUSEU-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2c(C)noc2C)C1 ZINC001132255637 1082865001 /nfs/dbraw/zinc/86/50/01/1082865001.db2.gz CPUJSQVGPCLGRK-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C1CCC(C(=O)N(C)CCNCc2nc(C)c(C)s2)CC1 ZINC001267424447 1082878753 /nfs/dbraw/zinc/87/87/53/1082878753.db2.gz PFYGCNHEBCBIPI-UHFFFAOYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccccc1OCC(C)C ZINC001267441900 1082883349 /nfs/dbraw/zinc/88/33/49/1082883349.db2.gz IBPNWEHOGMQDHK-UHFFFAOYSA-N 0 1 324.852 3.136 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC2(C=C)CCCCC2)CC[C@H]1C ZINC001132348145 1082888754 /nfs/dbraw/zinc/88/87/54/1082888754.db2.gz IGYUOKAEZRMJNH-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C(C)(C)CC(F)(F)F)CC[C@@H]1C ZINC001132401861 1082900716 /nfs/dbraw/zinc/90/07/16/1082900716.db2.gz GEVSEUJBASGHCK-NWDGAFQWSA-N 0 1 306.372 3.120 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1c(C)cccc1Cl ZINC001132404023 1082901616 /nfs/dbraw/zinc/90/16/16/1082901616.db2.gz OPUVMRXNWXGIBM-UHFFFAOYSA-N 0 1 320.864 3.438 20 30 DGEDMN C#CCCCCCC(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001132407910 1082902352 /nfs/dbraw/zinc/90/23/52/1082902352.db2.gz SXQFHJYWTAIDQQ-CQSZACIVSA-N 0 1 322.399 3.315 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)CCCC2CCCC2)CC[C@@H]1C ZINC001132526069 1082934011 /nfs/dbraw/zinc/93/40/11/1082934011.db2.gz XSZDFTKJWBUYDJ-FUHWJXTLSA-N 0 1 304.478 3.339 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](CC)CC(C)C)C1 ZINC001267487781 1082944459 /nfs/dbraw/zinc/94/44/59/1082944459.db2.gz GNGRZDFIYYPXBM-ROUUACIJSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3ccncc32)C1 ZINC001267487697 1082944668 /nfs/dbraw/zinc/94/46/68/1082944668.db2.gz FZIUOOWDICDBKK-KRWDZBQOSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1ccc(C)cc1Cl ZINC001132573119 1082949681 /nfs/dbraw/zinc/94/96/81/1082949681.db2.gz JLISUJNOFDRLMT-KGLIPLIRSA-N 0 1 308.853 3.312 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C/C=C/c2ccc(C)cc2)C1 ZINC001267496662 1082955546 /nfs/dbraw/zinc/95/55/46/1082955546.db2.gz XWSLUXASHIQAHE-ROUKRRSUSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2cccc(F)c2)C1 ZINC001267501803 1082961576 /nfs/dbraw/zinc/96/15/76/1082961576.db2.gz MSQWLCHAVJXZQD-CYBMUJFWSA-N 0 1 310.800 3.020 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1c[nH]cc1C1CC1)C(C)C ZINC001267511500 1082970231 /nfs/dbraw/zinc/97/02/31/1082970231.db2.gz NDWKYQIRULOSET-UHFFFAOYSA-N 0 1 323.868 3.475 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cccc(OC)c1Cl ZINC001132660222 1082973602 /nfs/dbraw/zinc/97/36/02/1082973602.db2.gz KTGAKZJXWVYLNR-CYBMUJFWSA-N 0 1 324.852 3.157 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1c(C)cccc1Cl ZINC001132660813 1082974304 /nfs/dbraw/zinc/97/43/04/1082974304.db2.gz UIBZDUCVEVWWPQ-CQSZACIVSA-N 0 1 308.853 3.457 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCNCc1ccc(F)cc1Cl ZINC001132667505 1082976069 /nfs/dbraw/zinc/97/60/69/1082976069.db2.gz MRDOCUNWOXKJCH-LBPRGKRZSA-N 0 1 312.816 3.287 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCN[C@H](C)c1ccc(F)cc1F ZINC001132671340 1082977221 /nfs/dbraw/zinc/97/72/21/1082977221.db2.gz OECRRKCTHLKOBI-OLZOCXBDSA-N 0 1 310.388 3.334 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](CC)CC(F)F)C1 ZINC001267541836 1083009153 /nfs/dbraw/zinc/00/91/53/1083009153.db2.gz JKMRHHXDCLIKQL-CABCVRRESA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cnc3ccccc3c2)C1 ZINC001267546954 1083015798 /nfs/dbraw/zinc/01/57/98/1083015798.db2.gz GFCJCGDYCYBQFW-GOSISDBHSA-N 0 1 323.440 3.347 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001267563500 1083043485 /nfs/dbraw/zinc/04/34/85/1083043485.db2.gz ZQZNCUAESRERCP-GJZGRUSLSA-N 0 1 321.490 3.074 20 30 DGEDMN O=C(NCCNCC#Cc1ccccc1)C1(C2CCCCC2)CC1 ZINC001149134696 1083062956 /nfs/dbraw/zinc/06/29/56/1083062956.db2.gz LPJIKAUKUVRLMR-UHFFFAOYSA-N 0 1 324.468 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)Cc1ccc(Cl)nc1 ZINC001480998559 1083069244 /nfs/dbraw/zinc/06/92/44/1083069244.db2.gz RSOQUAHKMFPGHK-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2sccc2Cl)CC1 ZINC001133375807 1083107200 /nfs/dbraw/zinc/10/72/00/1083107200.db2.gz JJWPDDAIWNFCBF-UHFFFAOYSA-N 0 1 312.866 3.354 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cc(F)ccc1Cl ZINC001133378713 1083110128 /nfs/dbraw/zinc/11/01/28/1083110128.db2.gz KYTAVVVBMJETSH-INIZCTEOSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2ccc(Cl)cc2F)CC1 ZINC001133376036 1083112788 /nfs/dbraw/zinc/11/27/88/1083112788.db2.gz SRKIFVBUOBOXNO-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCN1Cc1cncc(C)c1 ZINC001481051609 1083135507 /nfs/dbraw/zinc/13/55/07/1083135507.db2.gz FFPAYSQDAGCMQM-SJLPKXTDSA-N 0 1 315.461 3.073 20 30 DGEDMN C[C@H](Nc1cc(O[C@H]2CCOC2)ccn1)c1cccc(C#N)c1 ZINC001167709353 1083144075 /nfs/dbraw/zinc/14/40/75/1083144075.db2.gz MTXPUEPSXKDYDY-GUYCJALGSA-N 0 1 309.369 3.294 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN[C@H]2CCc3c2c(F)ccc3F)C1 ZINC001133570276 1083152987 /nfs/dbraw/zinc/15/29/87/1083152987.db2.gz RURURLPWVUTWHK-HNNXBMFYSA-N 0 1 320.383 3.014 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)C(C)(CC)CC ZINC001283713114 1083227279 /nfs/dbraw/zinc/22/72/79/1083227279.db2.gz GRHDXWCNMAODBF-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN CCC(C)(C)C(=O)NCCN(Cc1cccc(C#N)c1)C1CC1 ZINC001481183970 1083229814 /nfs/dbraw/zinc/22/98/14/1083229814.db2.gz WDSAAFFPXPFZRN-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001134079962 1083238248 /nfs/dbraw/zinc/23/82/48/1083238248.db2.gz JFFSIBWRFSIAKH-IAGOWNOFSA-N 0 1 320.864 3.419 20 30 DGEDMN CCCCCCC[NH2+][C@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001134161030 1083248299 /nfs/dbraw/zinc/24/82/99/1083248299.db2.gz TWQAKUQQEIUGGX-CABCVRRESA-N 0 1 321.465 3.244 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001267658088 1083249729 /nfs/dbraw/zinc/24/97/29/1083249729.db2.gz BAPZOTCNWUIBQA-CABCVRRESA-N 0 1 318.436 3.474 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2cccc(CC)c2)C1 ZINC001267682493 1083261515 /nfs/dbraw/zinc/26/15/15/1083261515.db2.gz AJJYLSOBAGLVCH-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN C[C@H](CC(=O)NCCNCC#Cc1ccccc1)CC(C)(C)C ZINC001134258198 1083264761 /nfs/dbraw/zinc/26/47/61/1083264761.db2.gz DDVJRXDJNCLCBH-QGZVFWFLSA-N 0 1 314.473 3.206 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001267689900 1083265526 /nfs/dbraw/zinc/26/55/26/1083265526.db2.gz SJTCOXIXGKQCTI-OAHLLOKOSA-N 0 1 318.436 3.284 20 30 DGEDMN C=Cc1ccc(C(=O)N2CC[C@H](CN(C)CC(=C)Cl)C2)cc1 ZINC001267691386 1083265912 /nfs/dbraw/zinc/26/59/12/1083265912.db2.gz XJVNURAQLGIIEZ-MRXNPFEDSA-N 0 1 318.848 3.476 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(C/C=C/c2ccccc2)C1 ZINC001267689511 1083265920 /nfs/dbraw/zinc/26/59/20/1083265920.db2.gz LLGWXWWFNHWYBZ-VGMNTSGFSA-N 0 1 312.457 3.350 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnoc1CC ZINC001267712835 1083277636 /nfs/dbraw/zinc/27/76/36/1083277636.db2.gz WOVCERHGHAVPRO-GJZGRUSLSA-N 0 1 319.449 3.106 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN[C@H]1CCc2c1c(F)ccc2F ZINC001134411681 1083284970 /nfs/dbraw/zinc/28/49/70/1083284970.db2.gz BEYOWNPVFAREJU-HNNXBMFYSA-N 0 1 322.399 3.260 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCNCc1sccc1Cl ZINC001134410230 1083285087 /nfs/dbraw/zinc/28/50/87/1083285087.db2.gz KBWXSCSNYWCZIN-UHFFFAOYSA-N 0 1 300.855 3.210 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@@H](C)NCc1nocc1C ZINC001134418006 1083286900 /nfs/dbraw/zinc/28/69/00/1083286900.db2.gz DCIQLJFVGKZCHC-HUUCEWRRSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](C)C[C@H](C)NCc1nc(C)oc1C ZINC001134457594 1083295680 /nfs/dbraw/zinc/29/56/80/1083295680.db2.gz QGKHHQPAUWLZTK-QWHCGFSZSA-N 0 1 321.465 3.267 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1csc(C)n1 ZINC001134485715 1083296570 /nfs/dbraw/zinc/29/65/70/1083296570.db2.gz KOYCTNNEBWAFAB-CHWSQXEVSA-N 0 1 309.479 3.181 20 30 DGEDMN C#CCCCCC(=O)N(C)[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001267765194 1083329190 /nfs/dbraw/zinc/32/91/90/1083329190.db2.gz WVCUVYAFXDWING-SFHVURJKSA-N 0 1 316.420 3.052 20 30 DGEDMN N#Cc1c(N)sc(CN2CC[C@H](c3cccnc3)C2)c1Cl ZINC001141112108 1083337914 /nfs/dbraw/zinc/33/79/14/1083337914.db2.gz PLFIGUCNNFSNOA-NSHDSACASA-N 0 1 318.833 3.240 20 30 DGEDMN C=CCC[C@H](C(=O)N(C)[C@@H]1CCN(CC#CC)C1)c1ccccc1 ZINC001267779769 1083342283 /nfs/dbraw/zinc/34/22/83/1083342283.db2.gz VIBGFNXYNRLAMB-UXHICEINSA-N 0 1 324.468 3.292 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H](CC)CC2CCCC2)C1 ZINC001267789452 1083348355 /nfs/dbraw/zinc/34/83/55/1083348355.db2.gz FUBDLELLFOXWSN-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)CCNCc1cc(O)ccc1Cl ZINC001481268799 1083352759 /nfs/dbraw/zinc/35/27/59/1083352759.db2.gz AXCDQMFQAHKFTJ-ZDUSSCGKSA-N 0 1 324.852 3.196 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1cc(Cl)ccc1F ZINC001267803834 1083355962 /nfs/dbraw/zinc/35/59/62/1083355962.db2.gz WMIRUZXFCGPXCJ-HNNXBMFYSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001267808805 1083357890 /nfs/dbraw/zinc/35/78/90/1083357890.db2.gz YJPBQJLHEJMVLM-INIZCTEOSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(F)cc1Cl ZINC001267826109 1083390728 /nfs/dbraw/zinc/39/07/28/1083390728.db2.gz VTFJVKVWYZOJTN-NSHDSACASA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001267827831 1083394952 /nfs/dbraw/zinc/39/49/52/1083394952.db2.gz NADIJXZISSLCFK-KUNBBXKYSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001267827209 1083396697 /nfs/dbraw/zinc/39/66/97/1083396697.db2.gz GRIMTRNQOWCQPS-KKUMJFAQSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1(CNCc2cc(C)no2)CCCC1 ZINC001267842194 1083427937 /nfs/dbraw/zinc/42/79/37/1083427937.db2.gz MQHIOIGCKSZGQQ-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN O=C(C=C1CCCCC1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001181323808 1083449170 /nfs/dbraw/zinc/44/91/70/1083449170.db2.gz ASABINDSQXWBLL-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cnc2ccccc2n1 ZINC001181436295 1083475059 /nfs/dbraw/zinc/47/50/59/1083475059.db2.gz OTNYDEJTWDUXFZ-QGZVFWFLSA-N 0 1 300.365 3.279 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001267919666 1083569706 /nfs/dbraw/zinc/56/97/06/1083569706.db2.gz OBABSFOFXDXJIM-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](N[C@H](C)c2ncc(C)o2)CC1 ZINC001267928774 1083585078 /nfs/dbraw/zinc/58/50/78/1083585078.db2.gz DXXOUEFLOYYDFA-BZUAXINKSA-N 0 1 319.449 3.417 20 30 DGEDMN CC(C)(NC(=O)Cc1[nH]nc2ccccc21)c1ccc(C#N)cc1 ZINC001182061458 1083620106 /nfs/dbraw/zinc/62/01/06/1083620106.db2.gz FQVXKPLJQGSCII-UHFFFAOYSA-N 0 1 318.380 3.029 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC001182221127 1083653619 /nfs/dbraw/zinc/65/36/19/1083653619.db2.gz XUUTZMSZBVCMHK-UKRRQHHQSA-N 0 1 320.383 3.020 20 30 DGEDMN C#CCCCCC(=O)NCCNCc1c(C)cccc1Cl ZINC001125938736 1083655518 /nfs/dbraw/zinc/65/55/18/1083655518.db2.gz IBBQQOFNWKMQIS-UHFFFAOYSA-N 0 1 306.837 3.048 20 30 DGEDMN C#CCCCCC(=O)NCCN[C@H](C)c1ccccc1Cl ZINC001125951564 1083717912 /nfs/dbraw/zinc/71/79/12/1083717912.db2.gz QVXUUSISGGVFAR-CQSZACIVSA-N 0 1 306.837 3.300 20 30 DGEDMN Cc1cc(CNc2cc(/C=N/O)cc(C(F)(F)F)c2)nn1C ZINC001167770907 1083746055 /nfs/dbraw/zinc/74/60/55/1083746055.db2.gz HUEXPLHBVKMHSI-FBCYGCLPSA-N 0 1 312.295 3.168 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C/C=C\c1ccc(C)cc1 ZINC001481324155 1083767169 /nfs/dbraw/zinc/76/71/69/1083767169.db2.gz JWTDKIDNIOIVAR-PLNGDYQASA-N 0 1 306.837 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)CC2CC2)C1 ZINC001087419421 1083799278 /nfs/dbraw/zinc/79/92/78/1083799278.db2.gz DVMKVOHDDMMHJO-RTBURBONSA-N 0 1 312.457 3.337 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H](C)CC)c1ccc(C(C)C)cc1 ZINC001481357473 1083816739 /nfs/dbraw/zinc/81/67/39/1083816739.db2.gz DVAGEFNVITUOCC-MAUKXSAKSA-N 0 1 300.446 3.236 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1cncc(C)c1)c1ccccc1 ZINC001481360415 1083819440 /nfs/dbraw/zinc/81/94/40/1083819440.db2.gz NJYVKZDMACWUFI-IBGZPJMESA-N 0 1 323.440 3.303 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C)c1ccccc1 ZINC001481367436 1083827054 /nfs/dbraw/zinc/82/70/54/1083827054.db2.gz SDVKQRGCQDXSCK-RCCFBDPRSA-N 0 1 312.457 3.139 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1c(C)cccc1F)c1ccccc1 ZINC001481374649 1083836516 /nfs/dbraw/zinc/83/65/16/1083836516.db2.gz VKUWDVGAIXSWPV-GOSISDBHSA-N 0 1 324.399 3.218 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC001182931966 1083847078 /nfs/dbraw/zinc/84/70/78/1083847078.db2.gz AERNTLMVRFMULA-GFCCVEGCSA-N 0 1 312.866 3.448 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001481399632 1083866178 /nfs/dbraw/zinc/86/61/78/1083866178.db2.gz MNCWHYBSVDFQBN-OKZBNKHCSA-N 0 1 318.505 3.395 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@@H]2C=CCCC2)C1 ZINC001481400002 1083867052 /nfs/dbraw/zinc/86/70/52/1083867052.db2.gz CQXLSQRVVSGWBX-MSOLQXFVSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001481399633 1083867574 /nfs/dbraw/zinc/86/75/74/1083867574.db2.gz MNCWHYBSVDFQBN-RCCFBDPRSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)c2ccc(C)o2)C1 ZINC001481406102 1083875985 /nfs/dbraw/zinc/87/59/85/1083875985.db2.gz GAODTXQBZYVXCQ-JKSUJKDBSA-N 0 1 304.434 3.190 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2sc(C)cc2C)C1 ZINC001481410712 1083882535 /nfs/dbraw/zinc/88/25/35/1083882535.db2.gz MDPIDWHLVLRAFE-MRXNPFEDSA-N 0 1 320.502 3.406 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(Cl)cn2CC)C1 ZINC001481412229 1083885617 /nfs/dbraw/zinc/88/56/17/1083885617.db2.gz SEUNSSGONDCPAM-HNNXBMFYSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)Cc2ccc(C)cc2)C1 ZINC001481490471 1084006814 /nfs/dbraw/zinc/00/68/14/1084006814.db2.gz ICMKBZABEXWVAR-QGZVFWFLSA-N 0 1 320.864 3.070 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC2CC(C)(C)C2)C1 ZINC001481494078 1084010304 /nfs/dbraw/zinc/01/03/04/1084010304.db2.gz MDUFJNABRVVENB-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCOCCN1CCC[C@H](NC(=O)C2(C)CCCCCC2)C1 ZINC001481535013 1084099176 /nfs/dbraw/zinc/09/91/76/1084099176.db2.gz ZBJLMKLELIIADL-KRWDZBQOSA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CC[C@@H]2CCc3ccccc32)C1 ZINC001481539409 1084113294 /nfs/dbraw/zinc/11/32/94/1084113294.db2.gz KSLSSQWZNLUFHN-ROUUACIJSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C1CCC(CNC(=O)c2c[nH]c3c(C)cccc3c2=O)CC1 ZINC001184247266 1084136144 /nfs/dbraw/zinc/13/61/44/1084136144.db2.gz WZYAAHDBKBHAOR-UHFFFAOYSA-N 0 1 310.397 3.313 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1(c2ccccc2F)CC1 ZINC001268091584 1084144695 /nfs/dbraw/zinc/14/46/95/1084144695.db2.gz HSRGHMJCVAIJIM-CYBMUJFWSA-N 0 1 324.827 3.046 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)CCc2cccc(C)c2)C1 ZINC001481575451 1084156726 /nfs/dbraw/zinc/15/67/26/1084156726.db2.gz OGBNFKRLQKWPGC-INIZCTEOSA-N 0 1 300.446 3.083 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1CCC1(C)C ZINC001268109074 1084181111 /nfs/dbraw/zinc/18/11/11/1084181111.db2.gz BTJMPUDSMMHYIE-KBPBESRZSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1CCC(F)(F)C1 ZINC001268105957 1084175204 /nfs/dbraw/zinc/17/52/04/1084175204.db2.gz OLAUBZNSVJPNCS-CHWSQXEVSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC12CC2)C1CCCCC1 ZINC001268120529 1084200514 /nfs/dbraw/zinc/20/05/14/1084200514.db2.gz OOOWAZSVDBGAKU-GJZGRUSLSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2n[nH]c3cncc(Br)c32)C1 ZINC001184780119 1084263207 /nfs/dbraw/zinc/26/32/07/1084263207.db2.gz HFFNKXIBIRYXOX-UHFFFAOYSA-N 0 1 321.178 3.015 20 30 DGEDMN C=C(C)CCC(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001481627565 1084277079 /nfs/dbraw/zinc/27/70/79/1084277079.db2.gz VFDVCRKKHMHNLQ-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN([C@H](C)c2cccc(F)c2)C1 ZINC001268195834 1084304202 /nfs/dbraw/zinc/30/42/02/1084304202.db2.gz YVMXADFFOYDSMQ-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC001268195557 1084306360 /nfs/dbraw/zinc/30/63/60/1084306360.db2.gz WCFUDMILXGXASV-QAPCUYQASA-N 0 1 313.445 3.075 20 30 DGEDMN CCCC1(C(=O)N2CC[C@@H](NCC#Cc3ccccc3)C2)CCC1 ZINC001185007155 1084309158 /nfs/dbraw/zinc/30/91/58/1084309158.db2.gz NLYJXRBOJPODHZ-LJQANCHMSA-N 0 1 324.468 3.199 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@@H](NCc3ccccc3F)C2)CCC1 ZINC001185463455 1084448928 /nfs/dbraw/zinc/44/89/28/1084448928.db2.gz RIUGICYMECZQCS-MRXNPFEDSA-N 0 1 316.420 3.263 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)nc2C)ccc1Cl ZINC001185495919 1084455678 /nfs/dbraw/zinc/45/56/78/1084455678.db2.gz DNHYONRPCMSGFN-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2CCc1cccs1 ZINC001481677070 1084470219 /nfs/dbraw/zinc/47/02/19/1084470219.db2.gz CEFAGHQWGNESAS-JYJNAYRXSA-N 0 1 318.486 3.178 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(C)C)no1 ZINC001481678847 1084470999 /nfs/dbraw/zinc/47/09/99/1084470999.db2.gz PTOIDEZNUASEQI-KKUMJFAQSA-N 0 1 317.433 3.051 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)CCC=C(C)C ZINC001481678290 1084472265 /nfs/dbraw/zinc/47/22/65/1084472265.db2.gz PKRLHTIMQVREBK-BZSNNMDCSA-N 0 1 302.462 3.067 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CC(C)C)c2ccccc2)C1 ZINC001481693520 1084483574 /nfs/dbraw/zinc/48/35/74/1084483574.db2.gz BKSFNKUEMOWUQE-RBUKOAKNSA-N 0 1 312.457 3.030 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2ccc(OC)c(C)c2)C1 ZINC001481701837 1084491873 /nfs/dbraw/zinc/49/18/73/1084491873.db2.gz FTXVENKILMWGPW-QGZVFWFLSA-N 0 1 316.445 3.050 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC001481705442 1084494631 /nfs/dbraw/zinc/49/46/31/1084494631.db2.gz HTQMIXPKFOVOKN-VSRDTVRMSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CCN1CC[C@@H](NC(=O)C[C@H](c2ccccc2)C(C)C)C1 ZINC001481708223 1084502299 /nfs/dbraw/zinc/50/22/99/1084502299.db2.gz QBFVANFFYWFCAB-MSOLQXFVSA-N 0 1 300.446 3.193 20 30 DGEDMN C=CCOCC[N@@H+]1CC[C@@H](N(C)C(=O)C2(C)CCCCCC2)C1 ZINC001481725305 1084535123 /nfs/dbraw/zinc/53/51/23/1084535123.db2.gz AXRNFPOMAUEPLS-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001185859713 1084545305 /nfs/dbraw/zinc/54/53/05/1084545305.db2.gz JGSNISUMDPBJOF-TZMCWYRMSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCc2ccc(C)cc2C)C1 ZINC001268432632 1084594274 /nfs/dbraw/zinc/59/42/74/1084594274.db2.gz FXYFOEFLNVPQPI-UHFFFAOYSA-N 0 1 300.446 3.003 20 30 DGEDMN C/C=C(\C)C(=O)N(CC)CCNCC#Cc1cccc(Cl)c1 ZINC001268441975 1084600516 /nfs/dbraw/zinc/60/05/16/1084600516.db2.gz JZQADBTZCNUVST-SYZQJQIISA-N 0 1 318.848 3.096 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)Cc2ccccc2F)C1 ZINC001481773733 1084632051 /nfs/dbraw/zinc/63/20/51/1084632051.db2.gz BPWZEEIFCQETNB-WBVHZDCISA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](CC)Cc2ccccc2)C1 ZINC001268455997 1084636425 /nfs/dbraw/zinc/63/64/25/1084636425.db2.gz KHYGLOJMZJRRPY-SJLPKXTDSA-N 0 1 314.473 3.410 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(C(F)F)CCCC1 ZINC001481844552 1084663479 /nfs/dbraw/zinc/66/34/79/1084663479.db2.gz OYKFJFGUMMFHNY-LLVKDONJSA-N 0 1 308.800 3.049 20 30 DGEDMN CCC[C@H](CC)C(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC001186631281 1084677921 /nfs/dbraw/zinc/67/79/21/1084677921.db2.gz OSHIJGJTWIVNND-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001481885178 1084686838 /nfs/dbraw/zinc/68/68/38/1084686838.db2.gz OABPDZFQUZDREK-LBPRGKRZSA-N 0 1 300.874 3.296 20 30 DGEDMN CCCCC(=O)N[C@@](C)(CNCc1ccccc1C#N)C1CC1 ZINC001481915100 1084703728 /nfs/dbraw/zinc/70/37/28/1084703728.db2.gz DMWNIPOHVHTCMS-IBGZPJMESA-N 0 1 313.445 3.123 20 30 DGEDMN C=C[C@@H](C(=O)NC1CN(CC2CC(C)(C)C2)C1)c1ccccc1 ZINC001268483864 1084704529 /nfs/dbraw/zinc/70/45/29/1084704529.db2.gz TXHXFWQMBPEZOZ-GOSISDBHSA-N 0 1 312.457 3.193 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C12CCC(CC1)C2(C)C ZINC001268484741 1084707169 /nfs/dbraw/zinc/70/71/69/1084707169.db2.gz JMULQOSMVCGHGP-UHFFFAOYSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)CCc1ccc(C)cc1C ZINC001273534088 1084756402 /nfs/dbraw/zinc/75/64/02/1084756402.db2.gz WTVKAYSUFFOXGG-UHFFFAOYSA-N 0 1 322.880 3.427 20 30 DGEDMN N#Cc1cccc(NCc2ccc(Nc3ccncc3)cc2)n1 ZINC001187183181 1084766156 /nfs/dbraw/zinc/76/61/56/1084766156.db2.gz XYXHRUFCMCNPAO-UHFFFAOYSA-N 0 1 301.353 3.126 20 30 DGEDMN C=CCCC(=O)N1CC[C@H]2CN(Cc3ccc(C)c(F)c3)C[C@H]21 ZINC001187239764 1084773942 /nfs/dbraw/zinc/77/39/42/1084773942.db2.gz HTNQNSVBJIRMSE-FUHWJXTLSA-N 0 1 316.420 3.133 20 30 DGEDMN C#CCCCC(=O)NC/C=C\CNCc1ccc(C)cc1Cl ZINC001268533739 1084790084 /nfs/dbraw/zinc/79/00/84/1084790084.db2.gz QUGUDUMBPJSZAW-SREVYHEPSA-N 0 1 318.848 3.214 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C/Cl ZINC001482055429 1084807487 /nfs/dbraw/zinc/80/74/87/1084807487.db2.gz GLUAMBGDSBXJQN-QLKUMGTLSA-N 0 1 317.260 3.080 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CCC2=CCCCC2)CCO1 ZINC001482188400 1084924754 /nfs/dbraw/zinc/92/47/54/1084924754.db2.gz IGSZINXIPINWGL-GOSISDBHSA-N 0 1 320.477 3.050 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001268688117 1084947538 /nfs/dbraw/zinc/94/75/38/1084947538.db2.gz UUXUFHMXRVAGOH-KBPBESRZSA-N 0 1 315.417 3.339 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)C[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001268741957 1085007389 /nfs/dbraw/zinc/00/73/89/1085007389.db2.gz AAWJGGWNDXFBTR-CVEARBPZSA-N 0 1 313.445 3.097 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)C1CCC(CCCC)CC1 ZINC001268756956 1085031574 /nfs/dbraw/zinc/03/15/74/1085031574.db2.gz QBHMULCMOPZCCI-AOCRQIFASA-N 0 1 306.494 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001269029874 1085102331 /nfs/dbraw/zinc/10/23/31/1085102331.db2.gz QKUBXNBOWKRBDS-HNNXBMFYSA-N 0 1 306.475 3.349 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001269134747 1085146976 /nfs/dbraw/zinc/14/69/76/1085146976.db2.gz SLIZXVKEECVMLX-CHWSQXEVSA-N 0 1 319.276 3.117 20 30 DGEDMN Cc1ccc(C)c(CN2CC[C@H]2CNC(=O)C#CC(C)(C)C)c1 ZINC001269229700 1085220694 /nfs/dbraw/zinc/22/06/94/1085220694.db2.gz OKWHZOAOFKORBQ-SFHVURJKSA-N 0 1 312.457 3.043 20 30 DGEDMN CC[C@@H](c1ccccc1)N1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001269235697 1085225673 /nfs/dbraw/zinc/22/56/73/1085225673.db2.gz NMUQDUKLDDAAEE-ROUUACIJSA-N 0 1 312.457 3.378 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC001269261592 1085246289 /nfs/dbraw/zinc/24/62/89/1085246289.db2.gz ATCXCHPTZRHXAK-INIZCTEOSA-N 0 1 318.436 3.379 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001269272269 1085257395 /nfs/dbraw/zinc/25/73/95/1085257395.db2.gz JSDADDIEYDIURT-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN CC#CCCCC(=O)N(CC)[C@H]1CCN(Cc2ccsc2)C1 ZINC001269344588 1085322167 /nfs/dbraw/zinc/32/21/67/1085322167.db2.gz DPQZQMLCFYPHQX-KRWDZBQOSA-N 0 1 318.486 3.365 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(N[C@@H](C)c2ccccc2F)CC1 ZINC001269468647 1085403581 /nfs/dbraw/zinc/40/35/81/1085403581.db2.gz LWFGZFUYEMGQQX-ZDUSSCGKSA-N 0 1 304.409 3.337 20 30 DGEDMN C=CCCC(=O)N[C@@]1(CNCc2cnc(C)o2)CCCC[C@@H]1C ZINC001269660062 1085517138 /nfs/dbraw/zinc/51/71/38/1085517138.db2.gz ZPPCAWHPJGOPRJ-KBXCAEBGSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@H](NCc2ncc(C(C)C)o2)CC1 ZINC001269965474 1085632806 /nfs/dbraw/zinc/63/28/06/1085632806.db2.gz MMEAMHZNNBFXNW-SHTZXODSSA-N 0 1 319.449 3.281 20 30 DGEDMN C=C(Br)CNC[C@@H]1CCCN1C(=O)CCCCC ZINC001270006099 1085649102 /nfs/dbraw/zinc/64/91/02/1085649102.db2.gz CLGXCFRCSDQTMT-ZDUSSCGKSA-N 0 1 317.271 3.056 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001482266311 1085696953 /nfs/dbraw/zinc/69/69/53/1085696953.db2.gz VXKJLOQNDKMEPH-OLZOCXBDSA-N 0 1 310.388 3.429 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001482267788 1085703368 /nfs/dbraw/zinc/70/33/68/1085703368.db2.gz UOSQKDWPOCMANB-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001270137532 1085707258 /nfs/dbraw/zinc/70/72/58/1085707258.db2.gz CNAFXTPONCNBHV-HZPDHXFCSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2CC[C@@H](C)C2)CC1 ZINC001270144337 1085709470 /nfs/dbraw/zinc/70/94/70/1085709470.db2.gz OARJILXWGRWGCT-CJNGLKHVSA-N 0 1 312.885 3.393 20 30 DGEDMN C=CCOC(=O)[C@@H](Nc1cnccc1/C=C/N(C)C)[C@@H](C)CC ZINC001167961777 1085724992 /nfs/dbraw/zinc/72/49/92/1085724992.db2.gz HANFNNILIVHJRN-CIWSBSAOSA-N 0 1 317.433 3.170 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@H]2CNCC(=C)Cl)CCCC1 ZINC001270201634 1085731028 /nfs/dbraw/zinc/73/10/28/1085731028.db2.gz XNWQXPCOIVLRGD-HNNXBMFYSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001270255929 1085749710 /nfs/dbraw/zinc/74/97/10/1085749710.db2.gz RTMLENGEPMVJBV-SJORKVTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ccc(Cl)cc1 ZINC001270298205 1085764507 /nfs/dbraw/zinc/76/45/07/1085764507.db2.gz CDBVYPCPXTXIOB-CQSZACIVSA-N 0 1 313.228 3.287 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(C)C)nc1 ZINC001482293653 1085841083 /nfs/dbraw/zinc/84/10/83/1085841083.db2.gz XUQNBUHJIHJEPT-ZDUSSCGKSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2cc(C)cn2c1 ZINC001482293671 1085841142 /nfs/dbraw/zinc/84/11/42/1085841142.db2.gz RYOHOKHAIOEKEO-AWEZNQCLSA-N 0 1 319.836 3.050 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(C)CCN(Cc2sc(C)nc2C)C1 ZINC001270625309 1085897511 /nfs/dbraw/zinc/89/75/11/1085897511.db2.gz XNGTUMYVRACJGK-KRWDZBQOSA-N 0 1 321.490 3.197 20 30 DGEDMN CCCCCCCN1CCO[C@H](CNC(=O)/C=C\C(C)(C)C)C1 ZINC001270629645 1085902490 /nfs/dbraw/zinc/90/24/90/1085902490.db2.gz SGJLRCSGOFWNCT-QXPKXGMISA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001270776794 1085990370 /nfs/dbraw/zinc/99/03/70/1085990370.db2.gz GLQIAANLNFKWQY-UHFFFAOYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1c(C)onc1CC ZINC001482326965 1086004418 /nfs/dbraw/zinc/00/44/18/1086004418.db2.gz HVJMPQXLFLPUCB-UGSOOPFHSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)c1cccs1 ZINC001482330631 1086021895 /nfs/dbraw/zinc/02/18/95/1086021895.db2.gz LLQDHYTUPRXZNL-NEPJUHHUSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2ccncc2Cl)C1 ZINC001482331277 1086023690 /nfs/dbraw/zinc/02/36/90/1086023690.db2.gz XUOHERZTHAGYKV-CYBMUJFWSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C2CC2)nc1C ZINC001482334168 1086029619 /nfs/dbraw/zinc/02/96/19/1086029619.db2.gz NHLXUTXKYVZBKC-LBPRGKRZSA-N 0 1 321.852 3.070 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001482337304 1086034118 /nfs/dbraw/zinc/03/41/18/1086034118.db2.gz HZDXQVMGDAQZKY-GFCCVEGCSA-N 0 1 323.506 3.299 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H](CNCC(=C)Cl)CC(C)C)cc1 ZINC001482392208 1086120739 /nfs/dbraw/zinc/12/07/39/1086120739.db2.gz WWHHZBZKBRLINA-KRWDZBQOSA-N 0 1 318.848 3.155 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1(C)CC(F)(F)C1 ZINC001482397415 1086123941 /nfs/dbraw/zinc/12/39/41/1086123941.db2.gz ZYYAINLOCUEZEY-GFCCVEGCSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@@H]2N(CCF)CC23CCC3)CC1 ZINC001105394098 1086253251 /nfs/dbraw/zinc/25/32/51/1086253251.db2.gz OGPDDMYMFXGSRH-SFHVURJKSA-N 0 1 322.468 3.405 20 30 DGEDMN CCC(C)(C)C(=O)N(C)[C@H](C)CNCc1cc(C#N)ccc1F ZINC001271081666 1086279227 /nfs/dbraw/zinc/27/92/27/1086279227.db2.gz GCDSVKHLZHSCPC-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1nc(C)c(C)s1 ZINC001271083467 1086279306 /nfs/dbraw/zinc/27/93/06/1086279306.db2.gz QHLNFASUFUPPLF-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1cc(C2CC2)no1 ZINC001271094022 1086287519 /nfs/dbraw/zinc/28/75/19/1086287519.db2.gz SYAMJSQFIQDAHR-UGSOOPFHSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2c(F)cccc2F)C1 ZINC001271103263 1086292443 /nfs/dbraw/zinc/29/24/43/1086292443.db2.gz WSFQAWYVKFENNU-CYBMUJFWSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@H]1CC ZINC001087680833 1086354380 /nfs/dbraw/zinc/35/43/80/1086354380.db2.gz OLYCTJYCRBYYIM-RBUKOAKNSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)C2CCCCC2)[C@H]1CC ZINC001087754496 1086396216 /nfs/dbraw/zinc/39/62/16/1086396216.db2.gz KDNQRBYHPRYMPK-DLBZAZTESA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2csc(C(C)(C)C)n2)[C@H]1CC ZINC001087762805 1086401151 /nfs/dbraw/zinc/40/11/51/1086401151.db2.gz OFNWFZCRJPJXCH-GXTWGEPZSA-N 0 1 321.490 3.209 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1CC ZINC001087795933 1086412762 /nfs/dbraw/zinc/41/27/62/1086412762.db2.gz AKBPOICPHBVOSP-LSDHHAIUSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)[C@H]1CC ZINC001087796214 1086412831 /nfs/dbraw/zinc/41/28/31/1086412831.db2.gz POPUHHNCGICCHO-YESZJQIVSA-N 0 1 304.478 3.195 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)c(F)cc2Cl)[C@H]1CC ZINC001087812552 1086421480 /nfs/dbraw/zinc/42/14/80/1086421480.db2.gz UEFPHEORFTYHPI-JKSUJKDBSA-N 0 1 322.811 3.003 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2cccc(Cl)c2)[C@H]1CC ZINC001087835571 1086434926 /nfs/dbraw/zinc/43/49/26/1086434926.db2.gz GJKJBJUMXYSTPL-XOKHGSTOSA-N 0 1 318.848 3.046 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C3CC3)cc2)[C@H]1CC ZINC001087837589 1086435593 /nfs/dbraw/zinc/43/55/93/1086435593.db2.gz SAYFHTNVZIVKCK-RBUKOAKNSA-N 0 1 310.441 3.170 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1ccoc1C ZINC001482480882 1086536496 /nfs/dbraw/zinc/53/64/96/1086536496.db2.gz HKMLFZNXAAGZRA-NHYWBVRUSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@H](C)CCCN1C(=O)C(CC)CC ZINC001482483941 1086597971 /nfs/dbraw/zinc/59/79/71/1086597971.db2.gz FEKSYEXFNAZYII-DOMZBBRYSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1conc1C ZINC001482487855 1086619985 /nfs/dbraw/zinc/61/99/85/1086619985.db2.gz AQKQESPRQVXLDI-PBHICJAKSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1=CCCC1)c1ccccc1CC ZINC001271446103 1086635391 /nfs/dbraw/zinc/63/53/91/1086635391.db2.gz JYMPQUVLLXILQX-IBGZPJMESA-N 0 1 310.441 3.130 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1c(F)cccc1F)C(C)C ZINC001482522030 1086750556 /nfs/dbraw/zinc/75/05/56/1086750556.db2.gz OCLIHQVIXHAHAM-INIZCTEOSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1oc(C)nc1C)C(C)C ZINC001271891001 1086812314 /nfs/dbraw/zinc/81/23/14/1086812314.db2.gz BACQUDOTXWAWLE-MAUKXSAKSA-N 0 1 321.465 3.124 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](NC/C(Cl)=C/Cl)C(C)C)C1 ZINC001482555575 1086882891 /nfs/dbraw/zinc/88/28/91/1086882891.db2.gz VZGKEICOKSVZSB-FFXRNRBCSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCCC(=O)N(C)CCN(C)Cc1ccc(OC)c(Cl)c1 ZINC001271977003 1086895008 /nfs/dbraw/zinc/89/50/08/1086895008.db2.gz GJYLOBDETCTZEO-UHFFFAOYSA-N 0 1 324.852 3.205 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1ccccc1CC(C)(C)C ZINC001272040940 1087020908 /nfs/dbraw/zinc/02/09/08/1087020908.db2.gz HVTPGHPLYQDSQD-UHFFFAOYSA-N 0 1 314.473 3.302 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001482595117 1087025486 /nfs/dbraw/zinc/02/54/86/1087025486.db2.gz LTNBVAQFKCAZSL-CQSZACIVSA-N 0 1 322.399 3.075 20 30 DGEDMN C=CCOCc1ncn2c1CN(C1CCC(C)CC1)CCC2 ZINC001168212850 1087059358 /nfs/dbraw/zinc/05/93/58/1087059358.db2.gz RXVLPLJDNXGSDZ-UHFFFAOYSA-N 0 1 303.450 3.370 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001496806146 1087236109 /nfs/dbraw/zinc/23/61/09/1087236109.db2.gz IXXXPLUQHAPOCH-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN O=C(C[C@H]1CC=CCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001483042865 1087272264 /nfs/dbraw/zinc/27/22/64/1087272264.db2.gz BYSDXJCOWPHQKO-DUIUGDAFSA-N 0 1 322.452 3.047 20 30 DGEDMN C[C@@H]1CCC[C@@H](C(=O)NC/C=C/CNCC#Cc2ccccc2)C1 ZINC001483054921 1087306299 /nfs/dbraw/zinc/30/62/99/1087306299.db2.gz QMWDBBAMBGOYQG-YHTLKTDXSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H](C)c1ccccc1 ZINC001483089266 1087337283 /nfs/dbraw/zinc/33/72/83/1087337283.db2.gz GIIVFRJWPPYCDS-UZYOAWRESA-N 0 1 306.837 3.195 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)Cc1cccc(F)c1 ZINC001483100154 1087343135 /nfs/dbraw/zinc/34/31/35/1087343135.db2.gz AQPVEDPFNJAYAJ-ITDFMYJTSA-N 0 1 324.827 3.019 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)c(Cl)cc2C)[C@H]1C ZINC001088789478 1087355036 /nfs/dbraw/zinc/35/50/36/1087355036.db2.gz QFJLFHICPXSXSP-CJNGLKHVSA-N 0 1 306.837 3.335 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCc4c3cccc4C#N)[nH]n2)o1 ZINC001142640775 1087391744 /nfs/dbraw/zinc/39/17/44/1087391744.db2.gz JUWQKVCINXZDFQ-UHFFFAOYSA-N 0 1 318.336 3.053 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001483131766 1087395330 /nfs/dbraw/zinc/39/53/30/1087395330.db2.gz KAKCUUUTRYYENL-OAHLLOKOSA-N 0 1 318.848 3.142 20 30 DGEDMN CC[C@@H](NCCNC(=O)C#CC(C)(C)C)c1ccc(F)cc1F ZINC001126915822 1087398361 /nfs/dbraw/zinc/39/83/61/1087398361.db2.gz JAWGUIMXMMUQTA-MRXNPFEDSA-N 0 1 322.399 3.171 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c(CC)oc2ccccc21 ZINC001483198795 1087415687 /nfs/dbraw/zinc/41/56/87/1087415687.db2.gz IFBRDDPTKUNPJN-GFCCVEGCSA-N 0 1 320.820 3.456 20 30 DGEDMN C=CCC[C@H](C)N1CC(OC2CCN(C(=O)[C@H](C)CC)CC2)C1 ZINC001105688236 1087424616 /nfs/dbraw/zinc/42/46/16/1087424616.db2.gz WOQJWHJNHKQUJO-CVEARBPZSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)/C(C)=C/CC)CC2)C1 ZINC001105694959 1087435243 /nfs/dbraw/zinc/43/52/43/1087435243.db2.gz BLVPFYFDXUYPLP-OMCISZLKSA-N 0 1 320.477 3.001 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C/C=C\Cc1ccccc1 ZINC001483237530 1087443495 /nfs/dbraw/zinc/44/34/95/1087443495.db2.gz RFUWPUQDSMXVJB-XHPSBEMXSA-N 0 1 306.837 3.022 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1csc(C)n1)C1CC1 ZINC001483584912 1087530071 /nfs/dbraw/zinc/53/00/71/1087530071.db2.gz ALBRHWXRRKHTRG-RDJZCZTQSA-N 0 1 321.490 3.038 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)=C1CCC1 ZINC001099064956 1087609254 /nfs/dbraw/zinc/60/92/54/1087609254.db2.gz KWDDLCWAYHQRLS-QGZVFWFLSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3ccsc32)[C@H]1C ZINC001088959193 1087609754 /nfs/dbraw/zinc/60/97/54/1087609754.db2.gz QGPQYHVJTDEUEV-CJNGLKHVSA-N 0 1 312.438 3.117 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)c2ccc(Cl)s2)[C@H]1C ZINC001088967835 1087611713 /nfs/dbraw/zinc/61/17/13/1087611713.db2.gz VPKPAHWCOAXRHU-UPJWGTAASA-N 0 1 324.877 3.107 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCc3ccccc32)[C@H]1C ZINC001088995729 1087617016 /nfs/dbraw/zinc/61/70/16/1087617016.db2.gz HXIASZVUCAUOAL-POAQFYNOSA-N 0 1 324.468 3.099 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2C(F)(F)F)[C@H]1C ZINC001088998170 1087618898 /nfs/dbraw/zinc/61/88/98/1087618898.db2.gz FKEJGQQQWPDJDP-RISCZKNCSA-N 0 1 312.335 3.084 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(-c3ccccc3)cc2)[C@H]1C ZINC001089015180 1087619881 /nfs/dbraw/zinc/61/98/81/1087619881.db2.gz HPWRUUNFFCKLCP-UZLBHIALSA-N 0 1 318.420 3.179 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3c(s2)CCCC3)[C@H]1C ZINC001089021976 1087622887 /nfs/dbraw/zinc/62/28/87/1087622887.db2.gz SIADZJXLJFMJNF-HIFRSBDPSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CC2CCC(C(C)(C)C)CC2)[C@H]1C ZINC001089063419 1087629690 /nfs/dbraw/zinc/62/96/90/1087629690.db2.gz BSVRAADWSCWIDP-CPFNUKBASA-N 0 1 318.505 3.441 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)CC2CCC(C(C)(C)C)CC2)[C@H]1C ZINC001089063419 1087629692 /nfs/dbraw/zinc/62/96/92/1087629692.db2.gz BSVRAADWSCWIDP-CPFNUKBASA-N 0 1 318.505 3.441 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1Cc1cc(C)sc1C ZINC001483675559 1087631878 /nfs/dbraw/zinc/63/18/78/1087631878.db2.gz FQGVYUXBKODBOV-MRXNPFEDSA-N 0 1 306.475 3.412 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)c2ccccc2F)CCC1 ZINC001280521352 1087637662 /nfs/dbraw/zinc/63/76/62/1087637662.db2.gz KHDWDIJBNZODDL-ZDUSSCGKSA-N 0 1 324.827 3.310 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)CCc1ccccc1F ZINC001483680078 1087641386 /nfs/dbraw/zinc/64/13/86/1087641386.db2.gz VGMYBWGMIDXQDD-DOTOQJQBSA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)C1CCC1 ZINC001099126591 1087662070 /nfs/dbraw/zinc/66/20/70/1087662070.db2.gz VTOMWWLZEDNYSZ-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2csc(-c3ccccn3)n2)c1 ZINC000176394943 1087722638 /nfs/dbraw/zinc/72/26/38/1087722638.db2.gz YZIRAUIRXBOIGT-UHFFFAOYSA-N 0 1 322.349 3.035 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2C[C@@H]2c2cccc(F)c2F)c1 ZINC000176402815 1087725484 /nfs/dbraw/zinc/72/54/84/1087725484.db2.gz KRVAJECWELKVGN-NEPJUHHUSA-N 0 1 314.291 3.284 20 30 DGEDMN C=C(Br)CNCCNC(=O)C(C)(C)CCCCC ZINC001151864778 1087799116 /nfs/dbraw/zinc/79/91/16/1087799116.db2.gz ZINMDOYMSVLUHO-UHFFFAOYSA-N 0 1 319.287 3.207 20 30 DGEDMN N#Cc1ccc(NC(=O)c2ccn3cncc3c2)c2cccnc12 ZINC001151904682 1087817639 /nfs/dbraw/zinc/81/76/39/1087817639.db2.gz QREPLOJAKZZHLD-UHFFFAOYSA-N 0 1 313.320 3.006 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(Cl)c(C)c1 ZINC001158426858 1087828352 /nfs/dbraw/zinc/82/83/52/1087828352.db2.gz QBLKYZONXTXSFP-MRXNPFEDSA-N 0 1 318.848 3.208 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cccc(Cl)c1F ZINC001158435168 1087835134 /nfs/dbraw/zinc/83/51/34/1087835134.db2.gz UQFFQDCPYJPWJE-CYBMUJFWSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCC(C)(C)CN1CC#CC ZINC001099193987 1087861063 /nfs/dbraw/zinc/86/10/63/1087861063.db2.gz LDSYSJXYBUMHDW-SJORKVTESA-N 0 1 304.478 3.219 20 30 DGEDMN C=CCOCC[N@H+](C)C[C@H]1CCCCN1C(=O)CCCC(C)C ZINC001158518213 1087886200 /nfs/dbraw/zinc/88/62/00/1087886200.db2.gz DONKXCULUKDGPN-GOSISDBHSA-N 0 1 324.509 3.328 20 30 DGEDMN C[C@@H]1CN(c2nccc(C#N)c2F)[C@H](C)CN1Cc1ccccc1 ZINC001158523087 1087891464 /nfs/dbraw/zinc/89/14/64/1087891464.db2.gz FTKOABJBKSKMKF-HUUCEWRRSA-N 0 1 324.403 3.192 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H](C)C2CC2)cc1Cl ZINC000176534885 1087897052 /nfs/dbraw/zinc/89/70/52/1087897052.db2.gz MRFAKUACVLGPOG-SKDRFNHKSA-N 0 1 320.776 3.042 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](CC)CC(C)C ZINC001158723504 1087993638 /nfs/dbraw/zinc/99/36/38/1087993638.db2.gz ATGMOTHRJNLMGB-QZTJIDSGSA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](F)CC1CCCCC1 ZINC001158744488 1088000833 /nfs/dbraw/zinc/00/08/33/1088000833.db2.gz LJLLXPSIAMOKGA-QZTJIDSGSA-N 0 1 322.468 3.241 20 30 DGEDMN Cc1cc(C2CCN(C(=O)[C@@H](CC#N)c3ccccc3)CC2)n[nH]1 ZINC001152577877 1088010429 /nfs/dbraw/zinc/01/04/29/1088010429.db2.gz MYSGGYIYECKBDP-KRWDZBQOSA-N 0 1 322.412 3.122 20 30 DGEDMN N#Cc1ccccc1CNCCNC(=O)CCCC1CCCC1 ZINC001152684185 1088047078 /nfs/dbraw/zinc/04/70/78/1088047078.db2.gz CTWZZRUNLMXGPM-UHFFFAOYSA-N 0 1 313.445 3.125 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cc2ccccc2[nH]1 ZINC001158838428 1088063327 /nfs/dbraw/zinc/06/33/27/1088063327.db2.gz YJYJYVYDFOIUDA-QGZVFWFLSA-N 0 1 323.440 3.118 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1coc2ccccc12 ZINC001158955881 1088116297 /nfs/dbraw/zinc/11/62/97/1088116297.db2.gz XBQWWNLOBHEQAM-MRXNPFEDSA-N 0 1 324.424 3.383 20 30 DGEDMN CC(C)(Cc1ccc(O)cc1)C(=O)Nc1cc(C#N)ccc1O ZINC001152999593 1088134152 /nfs/dbraw/zinc/13/41/52/1088134152.db2.gz ACWPUEHGLUKECN-UHFFFAOYSA-N 0 1 310.353 3.177 20 30 DGEDMN N#Cc1ccc(CNc2cc(Cl)nc3[nH]cnc32)cc1F ZINC001159084105 1088172391 /nfs/dbraw/zinc/17/23/91/1088172391.db2.gz CEFUSRSPPYJLHU-UHFFFAOYSA-N 0 1 301.712 3.234 20 30 DGEDMN COc1ccc(Cc2nc3ccc(NC(=O)CC#N)cc3[nH]2)cc1 ZINC001153356868 1088230566 /nfs/dbraw/zinc/23/05/66/1088230566.db2.gz FJZFHACTYGSRHJ-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C[C@H](Cc1cccc(F)c1)N1CCN(c2ccc(C#N)cc2)CC1 ZINC001168491532 1088236305 /nfs/dbraw/zinc/23/63/05/1088236305.db2.gz LVJKFDWTVQBMKW-MRXNPFEDSA-N 0 1 323.415 3.451 20 30 DGEDMN CCOc1ccc(C(=O)Nc2nc[nH]c2C#N)c2ccccc12 ZINC001153512681 1088269228 /nfs/dbraw/zinc/26/92/28/1088269228.db2.gz MDADNSHVXJRMIU-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN C#CCN1CC[C@]2(CCC[N@H+]2Cc2csc3ccccc23)C1=O ZINC001272623226 1088332060 /nfs/dbraw/zinc/33/20/60/1088332060.db2.gz XYWAGMWFZHUGLF-LJQANCHMSA-N 0 1 324.449 3.101 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN(Cc3ccc(CC)o3)C2)CC1 ZINC001204388765 1088347739 /nfs/dbraw/zinc/34/77/39/1088347739.db2.gz DKPQAHUXFFKLFC-UHFFFAOYSA-N 0 1 318.417 3.062 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cccc(F)c2F)CCCC1 ZINC001153817120 1088370963 /nfs/dbraw/zinc/37/09/63/1088370963.db2.gz LHOCTWLLKCSVQK-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2ccncc2Cl)CCCC1 ZINC001153814135 1088371126 /nfs/dbraw/zinc/37/11/26/1088371126.db2.gz RSDPHQIKXVQPRM-UHFFFAOYSA-N 0 1 321.852 3.077 20 30 DGEDMN C=CCCC(=O)NCCC1=CCN(CCC(F)(F)F)CC1 ZINC001159544573 1088388306 /nfs/dbraw/zinc/38/83/06/1088388306.db2.gz TWMHPDQZPRVLIW-UHFFFAOYSA-N 0 1 304.356 3.043 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@](C)(CC)CCC ZINC001099286090 1088476730 /nfs/dbraw/zinc/47/67/30/1088476730.db2.gz OCZJWNSHVRZSBT-VQIMIIECSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)CC(C)C ZINC001099291815 1088487515 /nfs/dbraw/zinc/48/75/15/1088487515.db2.gz NANLUJCCVJYXNX-SJORKVTESA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC1(NCc2ccccc2F)CC1 ZINC001483756872 1088523773 /nfs/dbraw/zinc/52/37/73/1088523773.db2.gz KYZZHAMWNDEWCO-UHFFFAOYSA-N 0 1 304.409 3.166 20 30 DGEDMN C=C1CC=C(F)C=C1NC(=O)c1[nH]nc2c1CCC(C)(C)C2 ZINC001154507416 1088636064 /nfs/dbraw/zinc/63/60/64/1088636064.db2.gz WRMAGBXHNNAUMG-UHFFFAOYSA-N 0 1 301.365 3.352 20 30 DGEDMN Cc1ccc2ncc(O)c(C(=O)Nc3ccsc3C#N)c2c1 ZINC001154528398 1088642998 /nfs/dbraw/zinc/64/29/98/1088642998.db2.gz VWEIBKPXUTUWDX-UHFFFAOYSA-N 0 1 309.350 3.434 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@@H](C)N(CC)CC#Cc1ccccc1 ZINC001154698929 1088717040 /nfs/dbraw/zinc/71/70/40/1088717040.db2.gz HKKVLGUEAIXPDQ-YWXUCKCNSA-N 0 1 324.468 3.387 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001154751440 1088746128 /nfs/dbraw/zinc/74/61/28/1088746128.db2.gz WYFLPKRRAGSPEG-IAGOWNOFSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001099358644 1088760368 /nfs/dbraw/zinc/76/03/68/1088760368.db2.gz JNHSCOBLQJGLSJ-SJORKVTESA-N 0 1 324.509 3.230 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)OCCC(C)C ZINC001099358644 1088760382 /nfs/dbraw/zinc/76/03/82/1088760382.db2.gz JNHSCOBLQJGLSJ-SJORKVTESA-N 0 1 324.509 3.230 20 30 DGEDMN CSc1nc(Cl)c(/C=N/O)c(N[C@H](C#N)CC(C)C)n1 ZINC001160363563 1088763974 /nfs/dbraw/zinc/76/39/74/1088763974.db2.gz HNSCRDNVKYLXGQ-VLYAYIKJSA-N 0 1 313.814 3.010 20 30 DGEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C1(F)CCCC1 ZINC001272670731 1088769491 /nfs/dbraw/zinc/76/94/91/1088769491.db2.gz YITWAUOBMUXEED-UHFFFAOYSA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(C)C[N@H+](C)Cc1ccccc1CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001272675074 1088812373 /nfs/dbraw/zinc/81/23/73/1088812373.db2.gz FZLNUOYHTIMFHZ-BWTSREIZSA-N 0 1 312.457 3.357 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CCCCCC1 ZINC001089614268 1088820024 /nfs/dbraw/zinc/82/00/24/1088820024.db2.gz CINSLNMCPPJTEQ-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001089626382 1088842422 /nfs/dbraw/zinc/84/24/22/1088842422.db2.gz HBRPNYIZXCBQAB-HNNXBMFYSA-N 0 1 304.434 3.303 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)c(C)o1 ZINC001089626969 1088849233 /nfs/dbraw/zinc/84/92/33/1088849233.db2.gz RUYXOGRCRAYYSV-MRXNPFEDSA-N 0 1 316.445 3.140 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC001155073598 1088933972 /nfs/dbraw/zinc/93/39/72/1088933972.db2.gz YYCQROUSNDFAAO-ACJLOTCBSA-N 0 1 321.465 3.220 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2csc3ccccc23)CC1 ZINC001160809852 1088974722 /nfs/dbraw/zinc/97/47/22/1088974722.db2.gz CVJUEHGDLCMXII-UHFFFAOYSA-N 0 1 324.449 3.287 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)Cc2ccccc2F)C1 ZINC001483890307 1088991399 /nfs/dbraw/zinc/99/13/99/1088991399.db2.gz OBOGJJFOJHITMM-UHFFFAOYSA-N 0 1 320.452 3.481 20 30 DGEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)c1onc(C)c1C ZINC001089656334 1089007681 /nfs/dbraw/zinc/00/76/81/1089007681.db2.gz GTRNIUSBDPKKGU-HNNXBMFYSA-N 0 1 319.449 3.088 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ccc(CC)o1 ZINC001089659151 1089032943 /nfs/dbraw/zinc/03/29/43/1089032943.db2.gz UIAUPOMJQOHDDX-OAHLLOKOSA-N 0 1 316.445 3.086 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1ncccc1CC ZINC001089660333 1089040190 /nfs/dbraw/zinc/04/01/90/1089040190.db2.gz HMRWSMUGGOCVPH-MRXNPFEDSA-N 0 1 315.461 3.050 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(F)(F)c1cc(C)cc(Cl)n1 ZINC001160954515 1089040933 /nfs/dbraw/zinc/04/09/33/1089040933.db2.gz WISXYEMFOIMBRR-LBPRGKRZSA-N 0 1 315.795 3.333 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@@H](C)c1ccco1 ZINC001483951438 1089040915 /nfs/dbraw/zinc/04/09/15/1089040915.db2.gz FOMZRGTVHZMZAW-TZMCWYRMSA-N 0 1 310.825 3.106 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccc(Cl)cc1 ZINC001483953307 1089044143 /nfs/dbraw/zinc/04/41/43/1089044143.db2.gz CDBVYPCPXTXIOB-AWEZNQCLSA-N 0 1 313.228 3.287 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCCC12CC2 ZINC001089658809 1089047896 /nfs/dbraw/zinc/04/78/96/1089047896.db2.gz NRJIPMHSNDEUGS-SJORKVTESA-N 0 1 316.489 3.197 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1c[nH]c2ccccc12 ZINC001089666747 1089068817 /nfs/dbraw/zinc/06/88/17/1089068817.db2.gz VROWKOYWTRGUGP-OAHLLOKOSA-N 0 1 323.440 3.022 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(F)c1F ZINC001089687463 1089167077 /nfs/dbraw/zinc/16/70/77/1089167077.db2.gz KJHRNVDEDSXVBJ-ZDUSSCGKSA-N 0 1 322.399 3.371 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC(C)(C)C1 ZINC001089691112 1089183039 /nfs/dbraw/zinc/18/30/39/1089183039.db2.gz KRYIIBZXFXPXON-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1CCC(F)CC1 ZINC001089691237 1089183437 /nfs/dbraw/zinc/18/34/37/1089183437.db2.gz YYFCFUFMAFXXKR-OFLPRAFFSA-N 0 1 322.468 3.145 20 30 DGEDMN CN(C)Cc1ccnc(NC(=N)c2ccc(C(F)(F)F)cc2)c1 ZINC001155854296 1089275231 /nfs/dbraw/zinc/27/52/31/1089275231.db2.gz ZXTZITJGUBEIOT-UHFFFAOYSA-N 0 1 322.334 3.199 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@](C)(F)CCCC)C1 ZINC001484198129 1089285194 /nfs/dbraw/zinc/28/51/94/1089285194.db2.gz GZDLKJOQZQMAOH-DZGCQCFKSA-N 0 1 320.399 3.164 20 30 DGEDMN CC(=O)C=C(C)Nc1nc(Cl)nc(Oc2cccc(F)c2)n1 ZINC001155890968 1089290667 /nfs/dbraw/zinc/29/06/67/1089290667.db2.gz HZHLSYPOTBZDGL-VURMDHGXSA-N 0 1 322.727 3.361 20 30 DGEDMN CC(=O)CC(C)=Nc1ncccc1C(=O)N1CCc2ccccc21 ZINC001155891125 1089291897 /nfs/dbraw/zinc/29/18/97/1089291897.db2.gz OENMMLRAKBPKGG-SEYXRHQNSA-N 0 1 321.380 3.189 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1ncoc1C(C)C ZINC001089721654 1089295789 /nfs/dbraw/zinc/29/57/89/1089295789.db2.gz JKSGNBQTWQRZDM-AWEZNQCLSA-N 0 1 319.449 3.204 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001089724427 1089305162 /nfs/dbraw/zinc/30/51/62/1089305162.db2.gz VVNUJVAIGQXSSS-KZNAEPCWSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1coc(CCC)n1 ZINC001089725667 1089305367 /nfs/dbraw/zinc/30/53/67/1089305367.db2.gz KFITUUAPLJIJRX-CQSZACIVSA-N 0 1 319.449 3.034 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2ccc(C3CC3)s2)CC1 ZINC001161699002 1089355772 /nfs/dbraw/zinc/35/57/72/1089355772.db2.gz JNSNJELWQRCQJU-UHFFFAOYSA-N 0 1 314.454 3.011 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1ccccn1 ZINC001484462104 1089433575 /nfs/dbraw/zinc/43/35/75/1089433575.db2.gz LAVBSLZTAIKOFQ-ZWKOTPCHSA-N 0 1 313.445 3.003 20 30 DGEDMN C[C@@H]1CCCC[C@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913584 1089466947 /nfs/dbraw/zinc/46/69/47/1089466947.db2.gz BNKGIOBEGHQKRO-BXUZGUMPSA-N 0 1 309.373 3.108 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1ccc(C)s1 ZINC001484552513 1089485957 /nfs/dbraw/zinc/48/59/57/1089485957.db2.gz DIPMVSIEFXKBDS-UHFFFAOYSA-N 0 1 312.438 3.052 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC(C)(C)CC ZINC001484553534 1089489357 /nfs/dbraw/zinc/48/93/57/1089489357.db2.gz GSEITJZZRLDZKQ-UHFFFAOYSA-N 0 1 300.446 3.194 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ncc(C)s2)CCC1 ZINC001484647132 1089561948 /nfs/dbraw/zinc/56/19/48/1089561948.db2.gz GDKCWJJOLGVCQQ-CQSZACIVSA-N 0 1 321.490 3.182 20 30 DGEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1sccc1Cl)CC2 ZINC001272687542 1089570551 /nfs/dbraw/zinc/57/05/51/1089570551.db2.gz SKPGQZBYJGXYML-GFCCVEGCSA-N 0 1 324.877 3.306 20 30 DGEDMN O/N=C/c1cc(NC[C@H](O)c2ccco2)cc(C(F)(F)F)c1 ZINC001162260217 1089642043 /nfs/dbraw/zinc/64/20/43/1089642043.db2.gz AQKWLNKPGVFTII-QSEIOMAKSA-N 0 1 314.263 3.252 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)CC[C@@H](CNC(=O)C(CC)CC)O2 ZINC001484783853 1089673729 /nfs/dbraw/zinc/67/37/29/1089673729.db2.gz XAUDKSDVSSCRTH-WBVHZDCISA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)/C(C)=C/CC ZINC001484821980 1089704531 /nfs/dbraw/zinc/70/45/31/1089704531.db2.gz KPTFXHIZAWWCRT-DDBXPCSUSA-N 0 1 312.457 3.462 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H](C)[C@@H](C)CC)cc2C1 ZINC001484876213 1089718373 /nfs/dbraw/zinc/71/83/73/1089718373.db2.gz ZTCVEEWNXIOLPE-JKSUJKDBSA-N 0 1 312.457 3.324 20 30 DGEDMN C[C@@H]1c2ccccc2CCN1C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156876854 1089727316 /nfs/dbraw/zinc/72/73/16/1089727316.db2.gz SWNVMUKRFUDSGL-GFCCVEGCSA-N 0 1 316.364 3.194 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NCc2oc(C(C)C)nc2C)C1 ZINC001484882873 1089727326 /nfs/dbraw/zinc/72/73/26/1089727326.db2.gz SSDNEFAXGHMMMX-DZGCQCFKSA-N 0 1 319.449 3.009 20 30 DGEDMN C=CCCC(=O)N1CCC(C)(NCc2ncoc2C(C)C)CC1 ZINC001484913703 1089745238 /nfs/dbraw/zinc/74/52/38/1089745238.db2.gz WYWJVDBDCLUSEI-UHFFFAOYSA-N 0 1 319.449 3.235 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@@H](C)N(CC)CCF)c1ccccc1 ZINC001157041885 1089797761 /nfs/dbraw/zinc/79/77/61/1089797761.db2.gz XKNRRUBMPWGTMC-NVXWUHKLSA-N 0 1 306.425 3.142 20 30 DGEDMN C=C[C@H](CC(=O)NC[C@@H](C)N(CC)CCF)c1ccccc1 ZINC001157068475 1089810460 /nfs/dbraw/zinc/81/04/60/1089810460.db2.gz AMNBRHLPODBRSP-HZPDHXFCSA-N 0 1 306.425 3.142 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@H]1CCCN1C(=O)[C@H]1CC1(C)C ZINC001485053188 1089890194 /nfs/dbraw/zinc/89/01/94/1089890194.db2.gz QIUZJUGKELUBPK-RTBURBONSA-N 0 1 324.468 3.007 20 30 DGEDMN N#Cc1ccc(CSCC(=O)Nc2ccc3cncn3c2)cc1 ZINC001157388280 1089937973 /nfs/dbraw/zinc/93/79/73/1089937973.db2.gz BTZCSRZJQSHENK-UHFFFAOYSA-N 0 1 322.393 3.078 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1(CC=C)CCCCC1 ZINC001485080703 1089939070 /nfs/dbraw/zinc/93/90/70/1089939070.db2.gz WIZUXJKDMGAYLW-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC001485153361 1089989540 /nfs/dbraw/zinc/98/95/40/1089989540.db2.gz QQMFQIDFCOTWRU-VXGBXAGGSA-N 0 1 309.479 3.099 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1F ZINC001485140293 1089993321 /nfs/dbraw/zinc/99/33/21/1089993321.db2.gz PIMRSWWAGUEOAK-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN C#CCCCC(=O)N[C@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC001485141656 1089993692 /nfs/dbraw/zinc/99/36/92/1089993692.db2.gz GWLFBJIGPGCQHS-QWHCGFSZSA-N 0 1 324.827 3.265 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCN1C(C)(C)C)c1ccc(Cl)cc1F ZINC001157506894 1090001215 /nfs/dbraw/zinc/00/12/15/1090001215.db2.gz AOUYUTWNJQGTLN-LSDHHAIUSA-N 0 1 322.811 3.142 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@@H](C)NCc2conc2C)CCCC1 ZINC001485234372 1090041246 /nfs/dbraw/zinc/04/12/46/1090041246.db2.gz KRKAALIPEXHKPA-KGLIPLIRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CN(C)Cc1ncccc1C ZINC001157706313 1090081607 /nfs/dbraw/zinc/08/16/07/1090081607.db2.gz XKSQUMSCIPOIMX-QGZVFWFLSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCN(c1nc(Cl)nc2c1CNCC2)C1CCCCC1 ZINC001157848566 1090129740 /nfs/dbraw/zinc/12/97/40/1090129740.db2.gz VKTAJOZDDSTJLR-UHFFFAOYSA-N 0 1 306.841 3.101 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccsc1C ZINC001157930345 1090152482 /nfs/dbraw/zinc/15/24/82/1090152482.db2.gz CVEXIZWCRQCCDN-OAHLLOKOSA-N 0 1 304.459 3.006 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cscc1Cl ZINC001158101720 1090184465 /nfs/dbraw/zinc/18/44/65/1090184465.db2.gz MKOLPWDAGKRITF-CYBMUJFWSA-N 0 1 324.877 3.351 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCc2ccccc21 ZINC001158128863 1090188639 /nfs/dbraw/zinc/18/86/39/1090188639.db2.gz QCRJCTRENJFSOT-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2ccc3ccccc3c2)C1 ZINC001485535265 1090205773 /nfs/dbraw/zinc/20/57/73/1090205773.db2.gz IQZMJNYKWKJKJE-OAHLLOKOSA-N 0 1 308.425 3.005 20 30 DGEDMN CC(=O)CC(C)=Nc1ccc(-c2noc(C(F)(F)F)n2)cn1 ZINC001163738306 1090245729 /nfs/dbraw/zinc/24/57/29/1090245729.db2.gz WRHHUOFNUZSYQL-ALCCZGGFSA-N 0 1 312.251 3.055 20 30 DGEDMN CC(=O)CC(C)=Nc1cnc(OCc2ccc(F)cc2)cn1 ZINC001163741770 1090247196 /nfs/dbraw/zinc/24/71/96/1090247196.db2.gz GFDGXBVUSPTLMM-XFFZJAGNSA-N 0 1 301.321 3.099 20 30 DGEDMN CC(C)=C(C)CC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001164034289 1090322661 /nfs/dbraw/zinc/32/26/61/1090322661.db2.gz CHQUEBISOKDMTF-UHFFFAOYSA-N 0 1 317.408 3.040 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C(C)=C/CC)CCC[C@@H]12 ZINC001107310818 1090417073 /nfs/dbraw/zinc/41/70/73/1090417073.db2.gz MOSVMEALKSIRGP-GTUVBKISSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C(F)=C(C)C)CCC[C@@H]12 ZINC001107357275 1090427661 /nfs/dbraw/zinc/42/76/61/1090427661.db2.gz ZWYSOPSRVLQRTN-CZUORRHYSA-N 0 1 314.832 3.363 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3(CC)CC3)CCC[C@@H]12 ZINC001107531654 1090455482 /nfs/dbraw/zinc/45/54/82/1090455482.db2.gz AKPPSDVIHGWWBL-RHSMWYFYSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)[C@@H](C)C(C)C)CCC[C@@H]12 ZINC001107564203 1090460774 /nfs/dbraw/zinc/46/07/74/1090460774.db2.gz MLXACBRFKPNNBR-ZMSDIMECSA-N 0 1 312.885 3.392 20 30 DGEDMN C[C@@H]1CN(c2nccc(C#N)c2F)[C@@H](C)CN1Cc1ccccc1 ZINC001165201067 1090478919 /nfs/dbraw/zinc/47/89/19/1090478919.db2.gz FTKOABJBKSKMKF-CABCVRRESA-N 0 1 324.403 3.192 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)c2ccoc2)C1 ZINC001107737650 1090495988 /nfs/dbraw/zinc/49/59/88/1090495988.db2.gz GEVVREAPWZZPNF-GOSISDBHSA-N 0 1 322.449 3.071 20 30 DGEDMN Cc1[nH]ncc1CN(C)C(=O)c1sc2ccc(C#N)cc2c1C ZINC001142913798 1090523215 /nfs/dbraw/zinc/52/32/15/1090523215.db2.gz MJBYRXFMOQTLBC-UHFFFAOYSA-N 0 1 324.409 3.385 20 30 DGEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)[C@H]2CC2(C)C)C1 ZINC001107876608 1090524254 /nfs/dbraw/zinc/52/42/54/1090524254.db2.gz LSSWIDOAUZEENE-APWZRJJASA-N 0 1 324.509 3.210 20 30 DGEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)[C@H]2CC2(C)C)C1 ZINC001107876611 1090524393 /nfs/dbraw/zinc/52/43/93/1090524393.db2.gz LSSWIDOAUZEENE-VQIMIIECSA-N 0 1 324.509 3.210 20 30 DGEDMN Cc1csc([C@@H](NC[C@H](O)c2cccc(C#N)c2)C2CC2)n1 ZINC001168543900 1090524936 /nfs/dbraw/zinc/52/49/36/1090524936.db2.gz XBTHSEHYRBTSDO-HOTGVXAUSA-N 0 1 313.426 3.098 20 30 DGEDMN Cc1csc([C@H](NC[C@H](O)c2cccc(C#N)c2)C2CC2)n1 ZINC001168543902 1090525331 /nfs/dbraw/zinc/52/53/31/1090525331.db2.gz XBTHSEHYRBTSDO-JKSUJKDBSA-N 0 1 313.426 3.098 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@]1(C)C[N@@H+](CCCCC)CCO1 ZINC001107966480 1090577314 /nfs/dbraw/zinc/57/73/14/1090577314.db2.gz HZNJSYPCJXITMI-IBGZPJMESA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCC(CC=C)C(=O)NC[C@@]1(C)CN(CCCCC)CCO1 ZINC001107966480 1090577319 /nfs/dbraw/zinc/57/73/19/1090577319.db2.gz HZNJSYPCJXITMI-IBGZPJMESA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCC[C@H](C)CCC)C1 ZINC001107968860 1090589408 /nfs/dbraw/zinc/58/94/08/1090589408.db2.gz IOHLQJVLSWXOQY-IEBWSBKVSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC1(CN[C@H](C)c2ncc(C)o2)CC1 ZINC001166579195 1090623336 /nfs/dbraw/zinc/62/33/36/1090623336.db2.gz FPRFZTLLGNNSBV-CQSZACIVSA-N 0 1 319.449 3.132 20 30 DGEDMN C=CCC(CC=C)C(=O)NCCNCc1sccc1Cl ZINC001128085546 1090646353 /nfs/dbraw/zinc/64/63/53/1090646353.db2.gz VLSBWRPWHXAUPH-UHFFFAOYSA-N 0 1 312.866 3.376 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2cccc(F)c2F)CCC1 ZINC001280470960 1090648907 /nfs/dbraw/zinc/64/89/07/1090648907.db2.gz JGZFDFDNCJEDAD-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCC1(CNCc2nccs2)CC1 ZINC001166755354 1090651941 /nfs/dbraw/zinc/65/19/41/1090651941.db2.gz INWRJJAUITWJBV-AWEZNQCLSA-N 0 1 321.490 3.122 20 30 DGEDMN C=CCCN1CCO[C@](C)(CNC(=O)C2(C)CCCCCC2)C1 ZINC001108001404 1090657975 /nfs/dbraw/zinc/65/79/75/1090657975.db2.gz CIYOHMDMVPCNFN-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCCCC(=O)NCC1(NCc2sccc2Cl)CCC1 ZINC001280475215 1090675733 /nfs/dbraw/zinc/67/57/33/1090675733.db2.gz SAQOBQAQGLFYHB-UHFFFAOYSA-N 0 1 324.877 3.334 20 30 DGEDMN C=CCCCC(=O)NCCC1(CNCc2nc(C)oc2C)CC1 ZINC001166909819 1090676357 /nfs/dbraw/zinc/67/63/57/1090676357.db2.gz BGCXIMDZSYMJJM-UHFFFAOYSA-N 0 1 319.449 3.024 20 30 DGEDMN C=CCCCC(=O)NCCC1(CNCc2ncc(C)s2)CC1 ZINC001166910396 1090676389 /nfs/dbraw/zinc/67/63/89/1090676389.db2.gz SKGGRECVAGCJEK-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN COc1ccc([C@@H]2C[C@@H](N3CCC[C@H](C#N)C3)CCO2)cc1 ZINC001168662895 1090685735 /nfs/dbraw/zinc/68/57/35/1090685735.db2.gz QFVQXSXDVMZYLU-HFTRVMKXSA-N 0 1 300.402 3.151 20 30 DGEDMN Cc1cncc(CN2CCC(Cc3ccc(C#N)cc3)CC2)n1 ZINC001203171079 1090703187 /nfs/dbraw/zinc/70/31/87/1090703187.db2.gz SPJXKLUELXGENS-UHFFFAOYSA-N 0 1 306.413 3.111 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)C(CC)CC)C1 ZINC001108038028 1090703256 /nfs/dbraw/zinc/70/32/56/1090703256.db2.gz MAATZHJMQQHUAQ-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)[C@H](C)C(CC)CC)C1 ZINC001108038616 1090704548 /nfs/dbraw/zinc/70/45/48/1090704548.db2.gz WXVQDWDEYVRGTD-APWZRJJASA-N 0 1 324.509 3.232 20 30 DGEDMN C[C@H](c1ccccc1)N(Cc1c[nH]nn1)Cc1cccc(C#N)c1 ZINC001203212934 1090704918 /nfs/dbraw/zinc/70/49/18/1090704918.db2.gz YWNNAOVLRIAGHV-OAHLLOKOSA-N 0 1 317.396 3.440 20 30 DGEDMN CC[C@H](C)C(=O)N(C)C[C@@H](C)NCC#Cc1cccc(Cl)c1 ZINC001485847464 1090706925 /nfs/dbraw/zinc/70/69/25/1090706925.db2.gz KQKRFNDKNDMWLJ-LSDHHAIUSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001485880660 1090716412 /nfs/dbraw/zinc/71/64/12/1090716412.db2.gz RHSWPHSSUXEGIJ-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cc2cc(F)ccc2[nH]1 ZINC001485908139 1090723903 /nfs/dbraw/zinc/72/39/03/1090723903.db2.gz MBFODMOCDSDPTJ-LLVKDONJSA-N 0 1 323.799 3.110 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H](C)NCC=C(Cl)Cl)C1 ZINC001485920595 1090728155 /nfs/dbraw/zinc/72/81/55/1090728155.db2.gz MPENXWFQGVGICP-LLVKDONJSA-N 0 1 305.249 3.098 20 30 DGEDMN CC(C)CCC(=O)N1CC[C@@H](NCc2ccccc2C#N)[C@H]1C ZINC001486071008 1090742073 /nfs/dbraw/zinc/74/20/73/1090742073.db2.gz OZVFXOMQATYJRF-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN COc1ccc2c(c1)nccc2Nc1cc(C#N)c(C#N)cc1N ZINC001203527588 1090748756 /nfs/dbraw/zinc/74/87/56/1090748756.db2.gz COCYPOAGBOFYBN-UHFFFAOYSA-N 0 1 315.336 3.313 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(C)nc2C)[C@H]1C ZINC001486172919 1090774573 /nfs/dbraw/zinc/77/45/73/1090774573.db2.gz ZTTXHQCCMJISBT-FUHWJXTLSA-N 0 1 315.461 3.134 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(C)nc2C)[C@@H]1C ZINC001486172918 1090774652 /nfs/dbraw/zinc/77/46/52/1090774652.db2.gz ZTTXHQCCMJISBT-AEFFLSMTSA-N 0 1 315.461 3.134 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)CCCc2ccccc2)[C@H]1C ZINC001486181913 1090779461 /nfs/dbraw/zinc/77/94/61/1090779461.db2.gz VZVPHQGIFPDJGX-IEBWSBKVSA-N 0 1 312.457 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(C)(C)CC)CC1(C)C ZINC001486233086 1090789982 /nfs/dbraw/zinc/78/99/82/1090789982.db2.gz DRWPOZZPPVVKKM-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN COc1cc(C)c(C[N@H+]2CC[C@@H]3SC(=O)C=C3C2)cc1C ZINC001203753901 1090797107 /nfs/dbraw/zinc/79/71/07/1090797107.db2.gz BLSKVCFZYUUJPJ-INIZCTEOSA-N 0 1 303.427 3.086 20 30 DGEDMN COC(CN(Cc1ccccc1)Cc1cccc(C#N)c1)OC ZINC001203757117 1090797802 /nfs/dbraw/zinc/79/78/02/1090797802.db2.gz IRFNWBFHYHULBF-UHFFFAOYSA-N 0 1 310.397 3.179 20 30 DGEDMN N#Cc1cccc(CN2CCC(O)(c3ccccc3F)CC2)c1 ZINC001203760101 1090798402 /nfs/dbraw/zinc/79/84/02/1090798402.db2.gz BUXCZYCWKQDALF-UHFFFAOYSA-N 0 1 310.372 3.181 20 30 DGEDMN COc1cc(OC2CCN(Cc3cccc(C#N)c3)CC2)ccn1 ZINC001203764063 1090799290 /nfs/dbraw/zinc/79/92/90/1090799290.db2.gz WRNQFIYJHTWWAF-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN COc1cccc(OC2CCN(Cc3cccc(C#N)c3)CC2)n1 ZINC001203764040 1090799320 /nfs/dbraw/zinc/79/93/20/1090799320.db2.gz VHXCFAXGOCSYRW-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN CCOC(=O)C1(C(C)C)CCN(Cc2cccc(C#N)c2)CC1 ZINC001203764053 1090799435 /nfs/dbraw/zinc/79/94/35/1090799435.db2.gz WDETUMUUDOTINU-UHFFFAOYSA-N 0 1 314.429 3.360 20 30 DGEDMN N#C[C@@H]1CN(Cc2cccc(Oc3ccccc3)c2)CCC1=O ZINC001203815599 1090811787 /nfs/dbraw/zinc/81/17/87/1090811787.db2.gz RGIHMEAIFCHDIG-MRXNPFEDSA-N 0 1 306.365 3.393 20 30 DGEDMN CCCC(=O)N[C@@H](CNCc1cc(F)ccc1C#N)C(C)(C)C ZINC001486382799 1090818653 /nfs/dbraw/zinc/81/86/53/1090818653.db2.gz JFFYRCVZKVMLMH-INIZCTEOSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CCC(F)CC1)C(C)(C)C ZINC001486411596 1090825307 /nfs/dbraw/zinc/82/53/07/1090825307.db2.gz SYURQNNCGAPLTA-JXQTWKCFSA-N 0 1 318.864 3.388 20 30 DGEDMN COc1ccc(O[C@H]2CCCN(Cc3ccc(C#N)cc3)C2)nc1 ZINC001203905702 1090835684 /nfs/dbraw/zinc/83/56/84/1090835684.db2.gz ACCHTAZAISIVIZ-SFHVURJKSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CC(NCc2nc(C)cs2)C1 ZINC001486476252 1090849518 /nfs/dbraw/zinc/84/95/18/1090849518.db2.gz SLNFBYKMQZLYJR-KVULBXGLSA-N 0 1 321.490 3.133 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1CNCC1(C#N)CCOCC1 ZINC001204027500 1090875056 /nfs/dbraw/zinc/87/50/56/1090875056.db2.gz IXDFPDIYWNYJBZ-UHFFFAOYSA-N 0 1 312.335 3.424 20 30 DGEDMN CN1CCN(c2ccccc2Nc2ccc(C#N)c(F)c2)CC1 ZINC001204062205 1090887269 /nfs/dbraw/zinc/88/72/69/1090887269.db2.gz KMPFIWWDJDUUBV-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)[C@@H](C)C2CCC2)[C@H](OC)C1 ZINC001212448721 1090903297 /nfs/dbraw/zinc/90/32/97/1090903297.db2.gz ZVHWKFVUAQYCFY-CGTJXYLNSA-N 0 1 324.509 3.208 20 30 DGEDMN CCOc1ccc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)cc1OC ZINC001204359703 1090956069 /nfs/dbraw/zinc/95/60/69/1090956069.db2.gz FDCKTEJBRLLPEA-PHZGNYQRSA-N 0 1 300.402 3.360 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc([N+](=O)[O-])c3)sc2C1 ZINC001204414934 1090963962 /nfs/dbraw/zinc/96/39/62/1090963962.db2.gz FGCLZKGWLLXUGB-UHFFFAOYSA-N 0 1 314.370 3.259 20 30 DGEDMN CC(C)=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001272991140 1090968644 /nfs/dbraw/zinc/96/86/44/1090968644.db2.gz QVVOYUPBHQUENR-RTBURBONSA-N 0 1 323.440 3.090 20 30 DGEDMN COC(=O)c1c(F)ccc(-c2cc(/C=N/O)ccc2F)c1F ZINC001204740311 1091026260 /nfs/dbraw/zinc/02/62/60/1091026260.db2.gz PYNGHHXGFOMHEL-FBCYGCLPSA-N 0 1 309.243 3.366 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(C)c(Cl)cc1C(=O)OC ZINC001229856615 1091052831 /nfs/dbraw/zinc/05/28/31/1091052831.db2.gz KYRVWJBFIAYUAY-QMMMGPOBSA-N 0 1 310.733 3.033 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)C[N@@H+](CCCC(C)(C)C)CCO1 ZINC001108119826 1091101198 /nfs/dbraw/zinc/10/11/98/1091101198.db2.gz SVDGWEKYCQGKGJ-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC001108119826 1091101201 /nfs/dbraw/zinc/10/12/01/1091101201.db2.gz SVDGWEKYCQGKGJ-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1cc(F)c(C#N)c(F)c1 ZINC001138212446 1091117625 /nfs/dbraw/zinc/11/76/25/1091117625.db2.gz XALHMAONZWKWPJ-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN Oc1cc(O)cc(CN2CCC(C#Cc3ccccc3)CC2)c1 ZINC001138333273 1091143570 /nfs/dbraw/zinc/14/35/70/1091143570.db2.gz VAUNGSDOWFGQEB-UHFFFAOYSA-N 0 1 307.393 3.362 20 30 DGEDMN C(#Cc1ccc(CN2CC[C@@]23CCOC3)cc1)c1ccccc1 ZINC001138336760 1091145783 /nfs/dbraw/zinc/14/57/83/1091145783.db2.gz YQYQVTUKHDFIFX-NRFANRHFSA-N 0 1 303.405 3.451 20 30 DGEDMN C#CCOc1ccc(CN2CCC[C@@H](C(F)F)C2)cc1OC ZINC001138345348 1091148273 /nfs/dbraw/zinc/14/82/73/1091148273.db2.gz DDKWPJPHZJUHKI-CQSZACIVSA-N 0 1 309.356 3.184 20 30 DGEDMN C#CCOc1ccc(CN(C)Cc2ccc(C#N)cc2)cc1OC ZINC001138346123 1091149019 /nfs/dbraw/zinc/14/90/19/1091149019.db2.gz YFLBBNXCYXEZAO-UHFFFAOYSA-N 0 1 320.392 3.211 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2CCc2cccc(F)c2)C1=O ZINC001273136667 1091149522 /nfs/dbraw/zinc/14/95/22/1091149522.db2.gz XWAWCGKCNPPRMA-IBGZPJMESA-N 0 1 316.420 3.011 20 30 DGEDMN CC(C)(C)C(=O)Nc1cnccc1CN1CCC(CC#N)CC1 ZINC001138453642 1091190979 /nfs/dbraw/zinc/19/09/79/1091190979.db2.gz DUBCVOWYLBNTPG-UHFFFAOYSA-N 0 1 314.433 3.192 20 30 DGEDMN N#CC1(NC(=O)c2ccc(-c3ccc(C=O)o3)cc2O)CCCC1 ZINC001293082536 1091214826 /nfs/dbraw/zinc/21/48/26/1091214826.db2.gz LOJKRYLQUOMKPC-UHFFFAOYSA-N 0 1 324.336 3.031 20 30 DGEDMN C#CCOc1ccc(CN2CC[C@@](CF)(C(F)(F)F)C2)cc1 ZINC001138556073 1091228259 /nfs/dbraw/zinc/22/82/59/1091228259.db2.gz DWRXKMWWGJATMS-HNNXBMFYSA-N 0 1 315.310 3.423 20 30 DGEDMN C=CCc1cccc(CN(CC(=O)OCC)C2CCCC2)c1O ZINC001138631716 1091263485 /nfs/dbraw/zinc/26/34/85/1091263485.db2.gz ZPVIUQOHRKPJLY-UHFFFAOYSA-N 0 1 317.429 3.428 20 30 DGEDMN N#Cc1cccc(CO[C@H]2CCN3Cc4ccccc4N=C23)c1 ZINC001205595699 1091271824 /nfs/dbraw/zinc/27/18/24/1091271824.db2.gz OIVGZRJPMCQUNS-SFHVURJKSA-N 0 1 303.365 3.393 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)C2(C)CCCCC2)C1 ZINC001108180619 1091338307 /nfs/dbraw/zinc/33/83/07/1091338307.db2.gz KHBCRVZEJHSIEK-LJQANCHMSA-N 0 1 322.493 3.130 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2-c2ccc(F)cc2)s1 ZINC001202876227 1091371592 /nfs/dbraw/zinc/37/15/92/1091371592.db2.gz SVORWHFRBXLWGI-UHFFFAOYSA-N 0 1 312.373 3.234 20 30 DGEDMN CC[C@H](C(=O)Nc1ccccc1C#Cc1ccccc1)N(C)C ZINC001294486622 1091403256 /nfs/dbraw/zinc/40/32/56/1091403256.db2.gz UKNXWMSLDPEMKC-LJQANCHMSA-N 0 1 306.409 3.365 20 30 DGEDMN C=CCCCC(=O)N1CCC(CN[C@H](C)c2ncc(C)o2)CC1 ZINC001206105210 1091494358 /nfs/dbraw/zinc/49/43/58/1091494358.db2.gz ITZANRAVLHRYFM-OAHLLOKOSA-N 0 1 319.449 3.229 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3c(C)cc(F)cc3C)[C@@H]2C1 ZINC001084570162 1091505148 /nfs/dbraw/zinc/50/51/48/1091505148.db2.gz YCCIZZYVIHCZDD-NVXWUHKLSA-N 0 1 316.420 3.165 20 30 DGEDMN CC[C@@]12C[C@@H](CO1)N(Cc1ccc(-c3cc(C#N)ccn3)cc1)C2 ZINC001139405463 1091549238 /nfs/dbraw/zinc/54/92/38/1091549238.db2.gz QRIZNXZFYFSLHI-ICSRJNTNSA-N 0 1 319.408 3.374 20 30 DGEDMN C[C@@H]1CC[C@H](O)CN1Cc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC001139426027 1091555689 /nfs/dbraw/zinc/55/56/89/1091555689.db2.gz DGNJMXPHOIPVJF-PBHICJAKSA-N 0 1 323.396 3.091 20 30 DGEDMN C[C@]1(O)CCCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139424996 1091555989 /nfs/dbraw/zinc/55/59/89/1091555989.db2.gz AZAJDUNNKLJCGG-IBGZPJMESA-N 0 1 323.396 3.092 20 30 DGEDMN CO[C@@H]1CCCN(Cc2ccc(Oc3ccc(C#N)cc3)nc2)C1 ZINC001139424268 1091556219 /nfs/dbraw/zinc/55/62/19/1091556219.db2.gz OARJMQOARCTJRF-GOSISDBHSA-N 0 1 323.396 3.356 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ccc(C4CC4)s3)[C@@H]2C1 ZINC001084773863 1091560971 /nfs/dbraw/zinc/56/09/71/1091560971.db2.gz HFXXVCLTZZFDRY-HUUCEWRRSA-N 0 1 316.470 3.348 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c3ccccc3[nH]c2C(=O)OCC)C1 ZINC001139462840 1091563686 /nfs/dbraw/zinc/56/36/86/1091563686.db2.gz DPICKLBRZPQDFP-CQSZACIVSA-N 0 1 310.397 3.190 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cccc4ccccc43)[C@@H]2C1 ZINC001084809846 1091577622 /nfs/dbraw/zinc/57/76/22/1091577622.db2.gz JJTNQDDDBXEYFB-YLJYHZDGSA-N 0 1 318.420 3.009 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(c4cc(C)cc(C)c4)CC3)[C@@H]2C1 ZINC001084835134 1091584929 /nfs/dbraw/zinc/58/49/29/1091584929.db2.gz KIZULYPUQNNJLR-IEBWSBKVSA-N 0 1 324.468 3.054 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](CC)c3ccc(F)cc3)[C@@H]2C1 ZINC001084857977 1091594967 /nfs/dbraw/zinc/59/49/67/1091594967.db2.gz DSPGQWWAJGQGDX-KBAYOESNSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(C)CCC(C)=C(C)C3)[C@@H]2C1 ZINC001084860238 1091596311 /nfs/dbraw/zinc/59/63/11/1091596311.db2.gz HEMYUBRYFUPFQW-LMMKCTJWSA-N 0 1 302.462 3.232 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CC[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001316658901 1091612775 /nfs/dbraw/zinc/61/27/75/1091612775.db2.gz UFZFWCGJJYLIKG-NUBPSFNZSA-N 0 1 319.276 3.392 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1c(F)ccc(C)c1Br ZINC001230827665 1091631217 /nfs/dbraw/zinc/63/12/17/1091631217.db2.gz IBVMFCPDIUWTHB-ZETCQYMHSA-N 0 1 315.138 3.495 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2cc(C#N)ccc2F)C[C@H]1C ZINC001139650479 1091634060 /nfs/dbraw/zinc/63/40/60/1091634060.db2.gz JJDXHRZBRQBHTJ-HIFRSBDPSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1cc(O)cc(C)c1CN1CCN(c2ccccc2C#N)CC1 ZINC001139709442 1091650261 /nfs/dbraw/zinc/65/02/61/1091650261.db2.gz DTZSHPUWCMJQBA-UHFFFAOYSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)c2cccc(F)c2)C[C@H]1C ZINC001206510281 1091654043 /nfs/dbraw/zinc/65/40/43/1091654043.db2.gz ZERVZBYKLCISKJ-CKEIUWERSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCn1cc(CN2CCC[C@H](Oc3ccc(C)cc3)C2)cn1 ZINC001139770123 1091667054 /nfs/dbraw/zinc/66/70/54/1091667054.db2.gz DMFMGTWEWDJTHH-IBGZPJMESA-N 0 1 311.429 3.421 20 30 DGEDMN COc1ccc2n[nH]c(CN(CCC#N)Cc3ccccc3)c2c1 ZINC001140065835 1091756172 /nfs/dbraw/zinc/75/61/72/1091756172.db2.gz MEOMHSTXLPGKDK-UHFFFAOYSA-N 0 1 320.396 3.487 20 30 DGEDMN CN(Cc1c[nH]c2ccc(C#N)cc12)Cc1cnc2ccccn12 ZINC001140111037 1091770658 /nfs/dbraw/zinc/77/06/58/1091770658.db2.gz XMHCEIQGXCEXEM-UHFFFAOYSA-N 0 1 315.380 3.319 20 30 DGEDMN N#Cc1ccc2[nH]cc(CN3CCc4cc(C#N)ccc4C3)c2c1 ZINC001140110795 1091771787 /nfs/dbraw/zinc/77/17/87/1091771787.db2.gz SVTUYELGVBAEGD-UHFFFAOYSA-N 0 1 312.376 3.470 20 30 DGEDMN CCOC(=O)[C@@]1(C)CCCCN(Cc2ccc(C#N)cc2F)C1 ZINC001140136322 1091781026 /nfs/dbraw/zinc/78/10/26/1091781026.db2.gz VKMGKEJOEHIDCX-SFHVURJKSA-N 0 1 318.392 3.253 20 30 DGEDMN COc1ccc2nc([C@H](C)NC(=O)CCCCCC#N)[nH]c2c1 ZINC001296778482 1091794250 /nfs/dbraw/zinc/79/42/50/1091794250.db2.gz HFFHFYJYRXDJJG-LBPRGKRZSA-N 0 1 314.389 3.223 20 30 DGEDMN COc1cccc(-c2ncc(CN3CCC[C@H](C#N)CC3)cn2)c1 ZINC001140198256 1091798377 /nfs/dbraw/zinc/79/83/77/1091798377.db2.gz WYTMTEARQAVNOC-HNNXBMFYSA-N 0 1 322.412 3.278 20 30 DGEDMN Cn1cc(CN2CCC[C@@H](Sc3ccncc3)C2)cc1C#N ZINC001207106214 1091845265 /nfs/dbraw/zinc/84/52/65/1091845265.db2.gz GSCZMKVOODMGFY-QGZVFWFLSA-N 0 1 312.442 3.048 20 30 DGEDMN N#C[C@H]1CCCN(Cc2cnc(-c3ccccc3F)nc2)CC1 ZINC001140399652 1091851401 /nfs/dbraw/zinc/85/14/01/1091851401.db2.gz FXENKBMKKNHIIY-AWEZNQCLSA-N 0 1 310.376 3.408 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc2ccccc2nc1C ZINC001085625133 1091861844 /nfs/dbraw/zinc/86/18/44/1091861844.db2.gz HOLVXTJBVKMZAU-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(F)c2ccccc2c1 ZINC001085631657 1091862035 /nfs/dbraw/zinc/86/20/35/1091862035.db2.gz AESDDGDQWKLPJX-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc2ccc(C)nc21 ZINC001085663586 1091873087 /nfs/dbraw/zinc/87/30/87/1091873087.db2.gz NSJYBSPJJULGGS-KRWDZBQOSA-N 0 1 323.440 3.266 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(F)cc2ccoc21 ZINC001085684422 1091881930 /nfs/dbraw/zinc/88/19/30/1091881930.db2.gz SIONXMFJCHWNDI-OAHLLOKOSA-N 0 1 316.376 3.294 20 30 DGEDMN C=CCN(CCN1CCC(C)(C#N)CC1)C(=O)OC(C)(C)C ZINC001207203586 1091887874 /nfs/dbraw/zinc/88/78/74/1091887874.db2.gz JLVMQRVERUXWHR-UHFFFAOYSA-N 0 1 307.438 3.035 20 30 DGEDMN CC(C)(C)OC(=O)NC12CC(CCN3CCC[C@H](C#N)C3)(C1)C2 ZINC001207230056 1091893799 /nfs/dbraw/zinc/89/37/99/1091893799.db2.gz MXSQJCNTIBIHGL-RWBZWWBESA-N 0 1 319.449 3.059 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1occc1-c1ccccc1 ZINC001085710742 1091894997 /nfs/dbraw/zinc/89/49/97/1091894997.db2.gz QQUSRIKNDQFMGO-QGZVFWFLSA-N 0 1 322.408 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2cc(C)ccc2n1 ZINC001085712902 1091895085 /nfs/dbraw/zinc/89/50/85/1091895085.db2.gz WNRIKKQHXFXJDH-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN Cc1ccccc1O[C@H]1CCCN(Cc2ccc(C#N)nc2)C1 ZINC001140543954 1091903736 /nfs/dbraw/zinc/90/37/36/1091903736.db2.gz WIKKFBBIHHIENF-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CN(Cc1ccc(N2CCCC2)cc1)Cc1ccc(C#N)nc1 ZINC001140543362 1091903963 /nfs/dbraw/zinc/90/39/63/1091903963.db2.gz MOBZVEGVZQTPIW-UHFFFAOYSA-N 0 1 306.413 3.185 20 30 DGEDMN N#Cc1ccc(Cl)c(CN2CC[C@@H](COCC3CC3)C2)c1 ZINC001140602522 1091927920 /nfs/dbraw/zinc/92/79/20/1091927920.db2.gz MHUYLCZGQIKJAQ-OAHLLOKOSA-N 0 1 304.821 3.460 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1ccc(CN2CCCC2)o1 ZINC001297621712 1091933652 /nfs/dbraw/zinc/93/36/52/1091933652.db2.gz IQDMOSHVDGHHSP-UHFFFAOYSA-N 0 1 322.408 3.151 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2cc(Cl)ccc2O)c1 ZINC000036681603 1091946950 /nfs/dbraw/zinc/94/69/50/1091946950.db2.gz HVWBSUWQSPSHAG-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(Cl)c(F)c1 ZINC001085837663 1091960795 /nfs/dbraw/zinc/96/07/95/1091960795.db2.gz ONVNKHLGDMDMBH-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCOC(=O)N[C@@H]1CCCN(CCc2cccc(Cl)c2)C1 ZINC001207425190 1091963610 /nfs/dbraw/zinc/96/36/10/1091963610.db2.gz FDQMYKIWIGCKHD-MRXNPFEDSA-N 0 1 322.836 3.259 20 30 DGEDMN C=CC(C)(C)CNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC001297826726 1091972599 /nfs/dbraw/zinc/97/25/99/1091972599.db2.gz RYHIRJMCYRKXJI-KRWDZBQOSA-N 0 1 300.446 3.370 20 30 DGEDMN N#Cc1ccc(N2CCN(CCCc3ccccc3F)CC2)cc1 ZINC001207494420 1091989200 /nfs/dbraw/zinc/98/92/00/1091989200.db2.gz ULSQMNXLLSSPEE-UHFFFAOYSA-N 0 1 323.415 3.452 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(Cl)ccc1C ZINC001085878555 1091995972 /nfs/dbraw/zinc/99/59/72/1091995972.db2.gz FLDGOBFTGSPTDN-OAHLLOKOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(F)cc1Cl ZINC001085956700 1092050501 /nfs/dbraw/zinc/05/05/01/1092050501.db2.gz JHMDZDKDRLSSCO-CYBMUJFWSA-N 0 1 310.800 3.202 20 30 DGEDMN COc1ccc(CN2CCC(C#N)(c3ccccc3)CC2)cc1N ZINC001140894709 1092054080 /nfs/dbraw/zinc/05/40/80/1092054080.db2.gz KUJUPZNLWXIJFF-UHFFFAOYSA-N 0 1 321.424 3.335 20 30 DGEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1sc(CCC)nc1C ZINC001085970307 1092064222 /nfs/dbraw/zinc/06/42/22/1092064222.db2.gz WXQIRVFJVXYBEJ-CQSZACIVSA-N 0 1 321.490 3.126 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1oc2c(cccc2C)c1C ZINC001085988464 1092072568 /nfs/dbraw/zinc/07/25/68/1092072568.db2.gz JLWNOIOIKUFKDI-MRXNPFEDSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1c[nH]c2c1cccc2CC ZINC001085988650 1092073581 /nfs/dbraw/zinc/07/35/81/1092073581.db2.gz SVBNQRSOWOMUIK-OAHLLOKOSA-N 0 1 311.429 3.063 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c2ccc(C)cc12 ZINC001085990454 1092077785 /nfs/dbraw/zinc/07/77/85/1092077785.db2.gz QBGANBIENJXSRC-HNNXBMFYSA-N 0 1 311.429 3.199 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c2ccc(C)cc12 ZINC001085990454 1092077795 /nfs/dbraw/zinc/07/77/95/1092077795.db2.gz QBGANBIENJXSRC-HNNXBMFYSA-N 0 1 311.429 3.199 20 30 DGEDMN Cc1ccncc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001141036126 1092114160 /nfs/dbraw/zinc/11/41/60/1092114160.db2.gz NRAFLJXJLRPLDM-UHFFFAOYSA-N 0 1 307.397 3.305 20 30 DGEDMN CCCCCCCN1CCO[C@]2(CCN(C(=O)C(C)(C)C)C2)C1 ZINC001276550171 1092145650 /nfs/dbraw/zinc/14/56/50/1092145650.db2.gz ZVKKNPOGIXKCRY-LJQANCHMSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@]1(C)CN(CCCCCC)CCO1 ZINC001108246665 1092147650 /nfs/dbraw/zinc/14/76/50/1092147650.db2.gz SAASIBGNXNZWJA-LJQANCHMSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC001108246537 1092147746 /nfs/dbraw/zinc/14/77/46/1092147746.db2.gz PIDZFDZFLJWTQQ-LJQANCHMSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)[C@H](C)C(C)C)C1 ZINC001108253459 1092159238 /nfs/dbraw/zinc/15/92/38/1092159238.db2.gz KINJHIYKNDWXGR-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)c1ccccc1F ZINC001491613632 1092189045 /nfs/dbraw/zinc/18/90/45/1092189045.db2.gz MUTUAWQNRBUOEC-YPMHNXCESA-N 0 1 312.816 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@]1(C)CCc2ccccc2C1 ZINC001491699192 1092201382 /nfs/dbraw/zinc/20/13/82/1092201382.db2.gz MIOFFKBQPFCRKQ-RDTXWAMCSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@H](C)CC(C)(C)C)C1 ZINC001108260405 1092205679 /nfs/dbraw/zinc/20/56/79/1092205679.db2.gz MFTKCXKLQUHQDC-VQIMIIECSA-N 0 1 324.509 3.232 20 30 DGEDMN N#CCCN1CCN(Cc2cccc(-c3ccsc3)c2)CC1 ZINC001141384598 1092224019 /nfs/dbraw/zinc/22/40/19/1092224019.db2.gz PVNGDLMKALSIIL-UHFFFAOYSA-N 0 1 311.454 3.446 20 30 DGEDMN C=C(C)[C@@H]1CC=C(CN2CCN(c3ncc(C)cn3)CC2)CC1 ZINC001208178494 1092244761 /nfs/dbraw/zinc/24/47/61/1092244761.db2.gz HQEVYCQWRXEJLG-GOSISDBHSA-N 0 1 312.461 3.210 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001208239593 1092286491 /nfs/dbraw/zinc/28/64/91/1092286491.db2.gz DGHIKHUOPOZGGP-SUIFULHWSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2ccncc2Cl)C1 ZINC001208255383 1092294162 /nfs/dbraw/zinc/29/41/62/1092294162.db2.gz HHWINPZIGIYLRJ-OAHLLOKOSA-N 0 1 321.852 3.172 20 30 DGEDMN C#C[C@H](NC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(C)(C)C ZINC001141644182 1092306700 /nfs/dbraw/zinc/30/67/00/1092306700.db2.gz KVRJEXUKJZMXRH-ROUUACIJSA-N 0 1 312.457 3.205 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@H](CC)CC(C)C)C1 ZINC001108328077 1092333311 /nfs/dbraw/zinc/33/33/11/1092333311.db2.gz ANSRPRDYXBFQBL-MJGOQNOKSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(Cc2cccc(F)c2)C[C@H]1C ZINC001208393470 1092338680 /nfs/dbraw/zinc/33/86/80/1092338680.db2.gz RSJILMHGOTVJGT-IIDMSEBBSA-N 0 1 318.436 3.365 20 30 DGEDMN C=CCCC[N@H+]1CCO[C@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350242 1092350888 /nfs/dbraw/zinc/35/08/88/1092350888.db2.gz VVAOWKNRZISMKL-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C[C@@H](CC)C(C)C)C1 ZINC001108350242 1092350899 /nfs/dbraw/zinc/35/08/99/1092350899.db2.gz VVAOWKNRZISMKL-IEBWSBKVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)C[C@H](C)C(C)(C)C)C1 ZINC001108366503 1092358458 /nfs/dbraw/zinc/35/84/58/1092358458.db2.gz VBJPJOKXPVXBJQ-LPHOPBHVSA-N 0 1 324.509 3.232 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208511622 1092380029 /nfs/dbraw/zinc/38/00/29/1092380029.db2.gz CBXVAAHKYWMKNK-UNEWFSDZSA-N 0 1 306.475 3.287 20 30 DGEDMN C[C@H]1C[C@@H](NC2(CC#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC001168843505 1092394770 /nfs/dbraw/zinc/39/47/70/1092394770.db2.gz GJVSZDUSMGKNMB-UONOGXRCSA-N 0 1 307.438 3.200 20 30 DGEDMN Cc1ccc(-c2cc(NC(=O)Nc3cccc(CC#N)n3)[nH]n2)o1 ZINC001208560070 1092398171 /nfs/dbraw/zinc/39/81/71/1092398171.db2.gz KNESFVCRHFSPQA-UHFFFAOYSA-N 0 1 322.328 3.083 20 30 DGEDMN CCN(CCN(C)Cc1cccc(C#N)c1)C(=O)OC(C)(C)C ZINC001208577950 1092404085 /nfs/dbraw/zinc/40/40/85/1092404085.db2.gz DFANCBKQALZXDY-UHFFFAOYSA-N 0 1 317.433 3.247 20 30 DGEDMN CN(CCNC(=O)CC1CCCCC1)Cc1cccc(C#N)c1 ZINC001492190300 1092437141 /nfs/dbraw/zinc/43/71/41/1092437141.db2.gz FYAUWSDWCTUNSM-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN O=C1c2ccccc2C(=O)C(Nc2cccn3cncc23)=C1Cl ZINC001169047819 1092509769 /nfs/dbraw/zinc/50/97/69/1092509769.db2.gz DEXZJYPFWAJJTA-UHFFFAOYSA-N 0 1 323.739 3.276 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)C2(F)CCCC2)C1 ZINC001317204450 1092527356 /nfs/dbraw/zinc/52/73/56/1092527356.db2.gz QCSSRYFOYOIAHC-KBPBESRZSA-N 0 1 316.848 3.238 20 30 DGEDMN N#Cc1cnc2cc(NCc3cc(F)cc4c3OCOC4)c[nH]c1-2 ZINC001169097638 1092528360 /nfs/dbraw/zinc/52/83/60/1092528360.db2.gz SIEVMHDUHRJDBL-UHFFFAOYSA-N 0 1 324.315 3.052 20 30 DGEDMN N#C[C@H]1CN(CCCc2ccccc2C(F)(F)F)CCC1=O ZINC001208831612 1092533549 /nfs/dbraw/zinc/53/35/49/1092533549.db2.gz OLKXRAZIUYKVEB-ZDUSSCGKSA-N 0 1 310.319 3.053 20 30 DGEDMN N#Cc1cccc(CCCN2CCC(C(=O)C(F)(F)F)CC2)c1 ZINC001208853717 1092545483 /nfs/dbraw/zinc/54/54/83/1092545483.db2.gz TWLBNFYELMYQIB-UHFFFAOYSA-N 0 1 324.346 3.334 20 30 DGEDMN N#CC1(c2ccccc2F)CCN(C[C@@H]2CCC=CO2)CC1 ZINC001208888397 1092568797 /nfs/dbraw/zinc/56/87/97/1092568797.db2.gz XIGKZAYWNGWCOC-HNNXBMFYSA-N 0 1 300.377 3.376 20 30 DGEDMN N#Cc1ccc2c(C[N@@H+]3CCC(=O)[C@@H]4CCCC[C@@H]43)c[nH]c2c1 ZINC001142911766 1092583821 /nfs/dbraw/zinc/58/38/21/1092583821.db2.gz JAGMTEKKMXZMFH-AEFFLSMTSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(CN3CCC(=O)[C@@H]4CCCC[C@@H]43)c[nH]c2c1 ZINC001142911766 1092583835 /nfs/dbraw/zinc/58/38/35/1092583835.db2.gz JAGMTEKKMXZMFH-AEFFLSMTSA-N 0 1 307.397 3.373 20 30 DGEDMN N#Cc1ccc2c(CN3CCC[C@@H]3c3cnccn3)c[nH]c2c1 ZINC001142921458 1092586007 /nfs/dbraw/zinc/58/60/07/1092586007.db2.gz NSSMYRJVABGQNN-GOSISDBHSA-N 0 1 303.369 3.167 20 30 DGEDMN Cc1nc2c(s1)CN(Cc1c[nH]c3cc(C#N)ccc13)CC2 ZINC001142921910 1092587300 /nfs/dbraw/zinc/58/73/00/1092587300.db2.gz YUQVXFFGUVFQOR-UHFFFAOYSA-N 0 1 308.410 3.363 20 30 DGEDMN N#Cc1ccc(CCN2CCc3c([nH]nc3C(F)(F)F)C2)cc1 ZINC001208928769 1092589690 /nfs/dbraw/zinc/58/96/90/1092589690.db2.gz IGZSLWWUTZHUCD-HNNXBMFYSA-N 0 1 320.318 3.457 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@H](C)CCCCC)C(C)(C)C1 ZINC001276615502 1092653212 /nfs/dbraw/zinc/65/32/12/1092653212.db2.gz FPVOKCYFJSZPFC-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC001209182793 1092683836 /nfs/dbraw/zinc/68/38/36/1092683836.db2.gz IVFKSXLUKVGQJC-ZFWWWQNUSA-N 0 1 321.490 3.134 20 30 DGEDMN CCc1cc(NC(=O)c2[nH]nc3ncccc32)cc(CC)c1C#N ZINC001149401167 1092690745 /nfs/dbraw/zinc/69/07/45/1092690745.db2.gz HVRLBSZGLHIARU-UHFFFAOYSA-N 0 1 319.368 3.207 20 30 DGEDMN C=C(Cl)CNCCNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001149582686 1092712668 /nfs/dbraw/zinc/71/26/68/1092712668.db2.gz DNVSMSVTZFKCFU-UHFFFAOYSA-N 0 1 314.816 3.426 20 30 DGEDMN Cc1ccc(C#N)cc1C(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001149663766 1092719632 /nfs/dbraw/zinc/71/96/32/1092719632.db2.gz ITWVFEXOGPLDCO-UHFFFAOYSA-N 0 1 318.336 3.010 20 30 DGEDMN C=CCCCCCN1CCc2ncn(C)c2[C@H]1COCC=C ZINC001209427635 1092771649 /nfs/dbraw/zinc/77/16/49/1092771649.db2.gz WLLVXOVCNFYFMD-QGZVFWFLSA-N 0 1 303.450 3.268 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H](C)CCCCCC)C1 ZINC001150572531 1092795204 /nfs/dbraw/zinc/79/52/04/1092795204.db2.gz PXHJIRQFWVTCFY-ROUUACIJSA-N 0 1 324.509 3.376 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)c1cccs1)C1CC1 ZINC001276656231 1092843354 /nfs/dbraw/zinc/84/33/54/1092843354.db2.gz MAFKTIIWKWKWBQ-YPMHNXCESA-N 0 1 312.866 3.089 20 30 DGEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)c1cc2cccnc2[nH]1 ZINC001151538410 1092917358 /nfs/dbraw/zinc/91/73/58/1092917358.db2.gz APJRNGQFNNNSNG-UHFFFAOYSA-N 0 1 307.357 3.243 20 30 DGEDMN Cc1cc(N)ncc1Nc1cccc(C#N)c1Br ZINC001209971387 1092999389 /nfs/dbraw/zinc/99/93/89/1092999389.db2.gz YQVZPXOVCKFGQS-UHFFFAOYSA-N 0 1 303.163 3.350 20 30 DGEDMN CCCN1CCc2c(N)sc(NC3=CC(=O)C[C@H](C)C3)c2C1 ZINC001210770348 1093277342 /nfs/dbraw/zinc/27/73/42/1093277342.db2.gz AWJMDNNFXFKTRX-LLVKDONJSA-N 0 1 319.474 3.393 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001210824303 1093292987 /nfs/dbraw/zinc/29/29/87/1093292987.db2.gz JFOMDCXMTGISBG-NZSAHSFTSA-N 0 1 312.457 3.030 20 30 DGEDMN C#Cc1cnc(Nc2cc(Cl)c(O)c(Cl)c2)c(C#C)n1 ZINC001210925782 1093325826 /nfs/dbraw/zinc/32/58/26/1093325826.db2.gz CNJNBQCUHCPQEO-UHFFFAOYSA-N 0 1 304.136 3.195 20 30 DGEDMN N#Cc1cc(Nc2cc3ccc(O)cc3oc2=O)cc([N+](=O)[O-])c1 ZINC001211328643 1093460305 /nfs/dbraw/zinc/46/03/05/1093460305.db2.gz JIHOOYILIMIEDP-UHFFFAOYSA-N 0 1 323.264 3.022 20 30 DGEDMN C=C1CC(C)(c2nc(-c3cccc(N4CCN(C)CC4)c3)no2)C1 ZINC001211434882 1093492806 /nfs/dbraw/zinc/49/28/06/1093492806.db2.gz MALDRPCUSRKHSL-UHFFFAOYSA-N 0 1 324.428 3.096 20 30 DGEDMN CC(=O)Nc1ccc(Nc2ccc3[nH]c(C)nc3c2)cc1C#N ZINC001213074157 1093510014 /nfs/dbraw/zinc/51/00/14/1093510014.db2.gz GTPIJDOVKKMVJA-UHFFFAOYSA-N 0 1 305.341 3.445 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)c1ccccc1)C1CC1 ZINC001276702701 1093606360 /nfs/dbraw/zinc/60/63/60/1093606360.db2.gz JJGXQBGPCBTIMK-CXAGYDPISA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1cccc2c1CCC2)C1CC1 ZINC001276712300 1093791092 /nfs/dbraw/zinc/79/10/92/1093791092.db2.gz SWBDTUJZJAPOQA-KRWDZBQOSA-N 0 1 318.848 3.026 20 30 DGEDMN N#Cc1cc(N)c(Nc2c(F)c(O)ccc2Cl)cc1C#N ZINC001214563564 1093800557 /nfs/dbraw/zinc/80/05/57/1093800557.db2.gz HJLPFOPJDZXNOQ-UHFFFAOYSA-N 0 1 302.696 3.254 20 30 DGEDMN Cc1ccc(Nc2c(C#N)cnn2-c2ccccn2)c2cn[nH]c21 ZINC001215755499 1094049927 /nfs/dbraw/zinc/04/99/27/1094049927.db2.gz SXHIPTFLDZSVRL-UHFFFAOYSA-N 0 1 315.340 3.067 20 30 DGEDMN CC(=O)Nc1ccc(Nc2ccc(C)c3[nH]ncc32)cc1C#N ZINC001215757956 1094050437 /nfs/dbraw/zinc/05/04/37/1094050437.db2.gz ATSGKMJIUXUKNH-UHFFFAOYSA-N 0 1 305.341 3.445 20 30 DGEDMN COc1cccc(-c2noc(-c3ccc(O)c(C#N)c3)n2)c1F ZINC001216969416 1094285095 /nfs/dbraw/zinc/28/50/95/1094285095.db2.gz DQAWZBJOOUEBRC-UHFFFAOYSA-N 0 1 311.272 3.129 20 30 DGEDMN Cc1cc(O)cc(O)c1-c1nc(-c2cc(C#N)ccc2F)no1 ZINC001216988449 1094290817 /nfs/dbraw/zinc/29/08/17/1094290817.db2.gz WZFOADKPPDVMEW-UHFFFAOYSA-N 0 1 311.272 3.134 20 30 DGEDMN C[C@@H](C(=O)NCCNCc1ccccc1C#N)[C@@H](C)C(C)(C)C ZINC001135178129 1094430062 /nfs/dbraw/zinc/43/00/62/1094430062.db2.gz QCHIULBGESUGMT-HUUCEWRRSA-N 0 1 315.461 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CCc2nc[nH]c2[C@H]1c1ccc(F)cc1 ZINC001120686694 1094492365 /nfs/dbraw/zinc/49/23/65/1094492365.db2.gz ANIWNUBMAXWDBD-GOSISDBHSA-N 0 1 313.376 3.379 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1OC1=Nc2ccccc2Sc2ccccc21 ZINC001217833401 1094503132 /nfs/dbraw/zinc/50/31/32/1094503132.db2.gz RMPDQXDRAQGCME-DOMZBBRYSA-N 0 1 321.405 3.358 20 30 DGEDMN C=CCCC1(C(=O)N[C@@H](C)C[C@@H](C)NCc2cscn2)CC1 ZINC001135386109 1094519597 /nfs/dbraw/zinc/51/95/97/1094519597.db2.gz BKKAJWJWHIGECK-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C[C@@H](C)NCc2nc(C)c(C)o2)C1 ZINC001135419752 1094530411 /nfs/dbraw/zinc/53/04/11/1094530411.db2.gz QCIXAYUZORQBJQ-OLZOCXBDSA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC001120813427 1094530518 /nfs/dbraw/zinc/53/05/18/1094530518.db2.gz DBCGTNITKMYVKG-NSHDSACASA-N 0 1 306.366 3.012 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[NH2+]C[C@H]1C ZINC001217987213 1094544840 /nfs/dbraw/zinc/54/48/40/1094544840.db2.gz MHIUHDRRBGPTDQ-SJKOYZFVSA-N 0 1 303.402 3.090 20 30 DGEDMN CC(C)(C)CCC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135534118 1094568424 /nfs/dbraw/zinc/56/84/24/1094568424.db2.gz OQXIIRCXEZXXOE-UHFFFAOYSA-N 0 1 320.864 3.224 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1cscn1 ZINC001135565057 1094579345 /nfs/dbraw/zinc/57/93/45/1094579345.db2.gz OOYUGWUTQXTTAW-STQMWFEESA-N 0 1 309.479 3.118 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(NN=C2C=CC(=O)C=C2)cc1 ZINC001218200601 1094654635 /nfs/dbraw/zinc/65/46/35/1094654635.db2.gz HLAOPVCLDUNKJS-SJCJKPOMSA-N 0 1 308.341 3.298 20 30 DGEDMN Cc1ccc2nc(C(F)(F)F)cc(O[C@@H]3CNC[C@H]3C#N)c2c1 ZINC001218199829 1094655421 /nfs/dbraw/zinc/65/54/21/1094655421.db2.gz HNRUXVARNGDIIA-QMTHXVAHSA-N 0 1 321.302 3.052 20 30 DGEDMN CC(C)(C)c1cc(O[C@H]2CNC[C@@H]2C#N)c(C(C)(C)C)cc1O ZINC001218200174 1094655472 /nfs/dbraw/zinc/65/54/72/1094655472.db2.gz JYLVXHAOEGWQHH-SJCJKPOMSA-N 0 1 316.445 3.478 20 30 DGEDMN N#C[C@@H]1CNC[C@@H]1Oc1cccc2cc(Br)ccc21 ZINC001218202386 1094656480 /nfs/dbraw/zinc/65/64/80/1094656480.db2.gz LAMIWWKOUVHDQX-ABAIWWIYSA-N 0 1 317.186 3.093 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218204159 1094658730 /nfs/dbraw/zinc/65/87/30/1094658730.db2.gz VMWVEOOVSKWKFS-YJBOKZPZSA-N 0 1 310.422 3.469 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CCc2nc[nH]c2[C@@H]1c1cccnc1 ZINC001336533230 1094667254 /nfs/dbraw/zinc/66/72/54/1094667254.db2.gz BQIBKQHXIXVNRS-SFHVURJKSA-N 0 1 322.412 3.047 20 30 DGEDMN Cc1nc([C@@H](C)Nc2cc(C(F)(F)F)c(F)cc2C#N)n[nH]1 ZINC001336636227 1094683671 /nfs/dbraw/zinc/68/36/71/1094683671.db2.gz GBLOUSPQRKJRSC-ZCFIWIBFSA-N 0 1 313.258 3.316 20 30 DGEDMN C=CC[C@H]1[NH2+]CCC[C@H]1Oc1cc([O-])c(O)cc1C(=O)CCC ZINC001218457384 1094787390 /nfs/dbraw/zinc/78/73/90/1094787390.db2.gz MBAKUNDWKJBZJO-CXAGYDPISA-N 0 1 319.401 3.156 20 30 DGEDMN CCCCCCCCC(=O)N[C@H](C)C[C@H](C)NCc1cnon1 ZINC001136003473 1094793946 /nfs/dbraw/zinc/79/39/46/1094793946.db2.gz CMPWSANKMRIXLP-LSDHHAIUSA-N 0 1 324.469 3.193 20 30 DGEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCCCCC(C)C)[C@H]2C1 ZINC001218729607 1094859754 /nfs/dbraw/zinc/85/97/54/1094859754.db2.gz DFFLUPGGOWUROE-ZWKOTPCHSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN(CC)C(=O)C[C@@H](N)c1ccccc1OCC ZINC001122321611 1094952723 /nfs/dbraw/zinc/95/27/23/1094952723.db2.gz XAIAJQZWBCZFGU-MRXNPFEDSA-N 0 1 304.434 3.290 20 30 DGEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCC[C@H](C)CCC)C1 ZINC001111761684 1094959005 /nfs/dbraw/zinc/95/90/05/1094959005.db2.gz HAHFZODCUMLZTE-AOIWGVFYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)C1CCCCC1 ZINC001122531219 1095001016 /nfs/dbraw/zinc/00/10/16/1095001016.db2.gz DYRVTMQHETZWEO-UHFFFAOYSA-N 0 1 314.437 3.322 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccc(C#N)cc1F ZINC001337504561 1095002171 /nfs/dbraw/zinc/00/21/71/1095002171.db2.gz SJHKXZZXWXNSML-ZDUSSCGKSA-N 0 1 309.344 3.451 20 30 DGEDMN N#CC(C(=O)[C@@H]1COCC[C@@H]1c1ccccc1)c1cccc(F)n1 ZINC001122649426 1095026726 /nfs/dbraw/zinc/02/67/26/1095026726.db2.gz JOQKTLQRMSHEBZ-BZUAXINKSA-N 0 1 324.355 3.217 20 30 DGEDMN N#CC(C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707856 1095040341 /nfs/dbraw/zinc/04/03/41/1095040341.db2.gz ODYWHCHZKXVRHH-AUECHBEKSA-N 0 1 314.348 3.102 20 30 DGEDMN N#C[C@H](C(=O)C=Cc1ccccc1)c1nc(N)c2ccccc2n1 ZINC001122707856 1095040352 /nfs/dbraw/zinc/04/03/52/1095040352.db2.gz ODYWHCHZKXVRHH-AUECHBEKSA-N 0 1 314.348 3.102 20 30 DGEDMN Cc1nnc(-c2ccccc2C(=O)C(C#N)c2cccc(F)n2)o1 ZINC001122721011 1095045366 /nfs/dbraw/zinc/04/53/66/1095045366.db2.gz JOAJVJBAQZRGOP-CYBMUJFWSA-N 0 1 322.299 3.069 20 30 DGEDMN N#CC(C(=O)C1([C@@H]2CCCCO2)CCC1)c1cccc(F)n1 ZINC001122736050 1095052792 /nfs/dbraw/zinc/05/27/92/1095052792.db2.gz PBXYZYRHUACLJX-JSGCOSHPSA-N 0 1 302.349 3.136 20 30 DGEDMN CCC(C)(C)C1CCC2(C[C@H]2C(=O)NCC#CCN(C)C)CC1 ZINC001170993581 1095092465 /nfs/dbraw/zinc/09/24/65/1095092465.db2.gz FNJZFHGWLBJXLN-GNZRSQJKSA-N 0 1 318.505 3.300 20 30 DGEDMN CCCCCCC(=O)N[C@@H]1[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001115197640 1095149305 /nfs/dbraw/zinc/14/93/05/1095149305.db2.gz RIRGEGNRJPFRMR-KXTWHKPSSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@](C)(CC)CCCCCC ZINC001115267719 1095155230 /nfs/dbraw/zinc/15/52/30/1095155230.db2.gz JMPWAQBYYFLPDZ-DOADOZAASA-N 0 1 318.505 3.443 20 30 DGEDMN N#Cc1cnc2cc(N[C@H]3CCc4ccc(O)cc4C3)c[nH]c1-2 ZINC001171032527 1095161503 /nfs/dbraw/zinc/16/15/03/1095161503.db2.gz MIRYKCYNTIEXKV-AWEZNQCLSA-N 0 1 304.353 3.110 20 30 DGEDMN N#CCc1cc(NCCc2ccccc2C(F)(F)F)ccn1 ZINC001171040306 1095174254 /nfs/dbraw/zinc/17/42/54/1095174254.db2.gz BYNVBSNZYFJTRE-UHFFFAOYSA-N 0 1 305.303 3.243 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)c1oc2ccc(OC)cc2c1C ZINC001123232881 1095194838 /nfs/dbraw/zinc/19/48/38/1095194838.db2.gz DGWPFOGBIQIJOV-AWEZNQCLSA-N 0 1 323.352 3.456 20 30 DGEDMN CC(C)c1nc2c(ncnc2N[C@@H]2CCc3c2cccc3C#N)[nH]1 ZINC001171064739 1095242129 /nfs/dbraw/zinc/24/21/29/1095242129.db2.gz MUQFHXGVWKNPMW-CQSZACIVSA-N 0 1 318.384 3.447 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCC[C@H](C)CCC)[C@@H]2C1 ZINC001221577812 1095304395 /nfs/dbraw/zinc/30/43/95/1095304395.db2.gz HSRHRNQSVJLNOL-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN CCCCCCCN1CC[C@@H]2CN(C(=O)c3ccns3)[C@@H]2C1 ZINC001221583424 1095307461 /nfs/dbraw/zinc/30/74/61/1095307461.db2.gz KTLUOUXAIVSKFV-HUUCEWRRSA-N 0 1 321.490 3.260 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CC/C=C\c3ccccc3)[C@@H]2C1 ZINC001221813437 1095365296 /nfs/dbraw/zinc/36/52/96/1095365296.db2.gz JQPQLMPWSXKCQQ-NFTRUMFPSA-N 0 1 310.441 3.199 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](CCC)c3ccccc3)[C@@H]2C1 ZINC001221999239 1095406228 /nfs/dbraw/zinc/40/62/28/1095406228.db2.gz POVLDWRZDGWAKY-GUDVDZBRSA-N 0 1 312.457 3.289 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](CC)CCCCC)[C@@H]2C1 ZINC001222036018 1095411475 /nfs/dbraw/zinc/41/14/75/1095411475.db2.gz QGXBSLZFVOHCSC-KZNAEPCWSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N(C)[C@H]1CCC[C@@H](C)C1 ZINC001338896647 1095427550 /nfs/dbraw/zinc/42/75/50/1095427550.db2.gz XBWHPXQRRLPRTP-OCCSQVGLSA-N 0 1 314.437 3.178 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCc3ccc(C)s3)[C@@H]2C1 ZINC001222145767 1095455345 /nfs/dbraw/zinc/45/53/45/1095455345.db2.gz ASBSLVBHMTWRTB-NVXWUHKLSA-N 0 1 318.486 3.098 20 30 DGEDMN CCN(CCC#N)Cc1cc(Br)c(F)cc1O ZINC001144299852 1095592958 /nfs/dbraw/zinc/59/29/58/1095592958.db2.gz KHQHKXYUOSBMPA-UHFFFAOYSA-N 0 1 301.159 3.029 20 30 DGEDMN C=CCCC(=O)NCCNCc1cccc(Cl)c1Cl ZINC001124640560 1095613468 /nfs/dbraw/zinc/61/34/68/1095613468.db2.gz JMZHLAUOPNZXTQ-UHFFFAOYSA-N 0 1 301.217 3.165 20 30 DGEDMN Cc1ccc2c(c1)[C@H](N[C@@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171174491 1095643600 /nfs/dbraw/zinc/64/36/00/1095643600.db2.gz AAYBOCQIJZZTKP-UGSOOPFHSA-N 0 1 305.381 3.081 20 30 DGEDMN CC/C=C(\C)C(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001222524834 1095650931 /nfs/dbraw/zinc/65/09/31/1095650931.db2.gz NSGUAJVWBMOTTH-QGMBQPNBSA-N 0 1 324.468 3.223 20 30 DGEDMN Cc1ccccc1C1(N[C@H](C)Cc2cccc(C#N)c2)COC1 ZINC001171195022 1095691212 /nfs/dbraw/zinc/69/12/12/1095691212.db2.gz OLBRQXJRAKPXTH-MRXNPFEDSA-N 0 1 306.409 3.313 20 30 DGEDMN COc1ccc(CN2CCN(C)[C@@H](c3ccccc3)C2)c(C#N)c1 ZINC001137703068 1095716149 /nfs/dbraw/zinc/71/61/49/1095716149.db2.gz BXSMIKVCKXGVFH-HXUWFJFHSA-N 0 1 321.424 3.056 20 30 DGEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)CCC[C@@H](C)CCC)C[C@@H]21 ZINC001222763915 1095746385 /nfs/dbraw/zinc/74/63/85/1095746385.db2.gz YHNXXTZZVBMVRF-KSZLIROESA-N 0 1 304.478 3.149 20 30 DGEDMN N#CC1CCN(Cc2c[nH]c3cc(Br)cnc23)CC1 ZINC001144693627 1095772117 /nfs/dbraw/zinc/77/21/17/1095772117.db2.gz PFVDGEDPVYPVSH-UHFFFAOYSA-N 0 1 319.206 3.061 20 30 DGEDMN C[C@H](c1ccccn1)N1CCC[C@@H](OCc2ccc(C#N)nc2)C1 ZINC001222966964 1095802758 /nfs/dbraw/zinc/80/27/58/1095802758.db2.gz VUTNUOJYDWXGFM-CRAIPNDOSA-N 0 1 322.412 3.090 20 30 DGEDMN COc1nc(Cl)c(C=NN=c2ccc3ccccc3[nH]2)n1C ZINC001117741458 1095822235 /nfs/dbraw/zinc/82/22/35/1095822235.db2.gz YKYWUAIPLMXEBC-UHFFFAOYSA-N 0 1 315.764 3.076 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2ccc(-c3cccc(F)c3)s2)NO1 ZINC001138003322 1095829068 /nfs/dbraw/zinc/82/90/68/1095829068.db2.gz RGPCIFSFCBAOAA-SECBINFHSA-N 0 1 304.346 3.406 20 30 DGEDMN CC[C@H]1OC(C)=C(O[C@H](C(=O)OC)c2ccccc2Cl)C1=O ZINC001223024592 1095836249 /nfs/dbraw/zinc/83/62/49/1095836249.db2.gz YFZYNMMOYIGJEU-DOMZBBRYSA-N 0 1 324.760 3.180 20 30 DGEDMN C=C(C)[C@H](CC(=O)NC[C@@H]1CCN1CC1=CCCCC1)OCC ZINC001276860655 1095848220 /nfs/dbraw/zinc/84/82/20/1095848220.db2.gz KNWUZMCJHLDEHU-ROUUACIJSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CC[N@@H+]1CCCC2(CN(C(=O)CC(C)(C)CC(F)F)C2)C1 ZINC001276870846 1095897824 /nfs/dbraw/zinc/89/78/24/1095897824.db2.gz ZTZYMULMAGYFGW-UHFFFAOYSA-N 0 1 314.420 3.168 20 30 DGEDMN C[C@H](c1ccccn1)[N@H+]1CC[C@@H](OCc2ccc(C#N)s2)C1 ZINC001223290821 1095925801 /nfs/dbraw/zinc/92/58/01/1095925801.db2.gz CLUFHBLLKQUZBX-ZIAGYGMSSA-N 0 1 313.426 3.367 20 30 DGEDMN C[C@H](c1ccccn1)N1CC[C@@H](OCc2ccc(C#N)s2)C1 ZINC001223290821 1095925812 /nfs/dbraw/zinc/92/58/12/1095925812.db2.gz CLUFHBLLKQUZBX-ZIAGYGMSSA-N 0 1 313.426 3.367 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](C)CC(C)(C)C)C2)C1 ZINC001276878135 1095926742 /nfs/dbraw/zinc/92/67/42/1095926742.db2.gz OFHQLZPARDJEBU-MRXNPFEDSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001223333424 1095938779 /nfs/dbraw/zinc/93/87/79/1095938779.db2.gz HTIFIHJWHQDJDI-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CC[N@H+]1CCc1ccccc1 ZINC001276898856 1095997220 /nfs/dbraw/zinc/99/72/20/1095997220.db2.gz LWXWEPDIIIHQEZ-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1CCc1ccccc1 ZINC001276898856 1095997225 /nfs/dbraw/zinc/99/72/25/1095997225.db2.gz LWXWEPDIIIHQEZ-QGZVFWFLSA-N 0 1 300.446 3.022 20 30 DGEDMN COCC#CC(=O)Nc1ccc2nc(C3CCCCC3)[nH]c2c1 ZINC001118649351 1096051587 /nfs/dbraw/zinc/05/15/87/1096051587.db2.gz IYKWQZZOBBIBLP-UHFFFAOYSA-N 0 1 311.385 3.199 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1cc2occc2s1 ZINC001276923613 1096073541 /nfs/dbraw/zinc/07/35/41/1096073541.db2.gz KZVPPXPJNPCZMX-GFCCVEGCSA-N 0 1 304.415 3.265 20 30 DGEDMN N#C[C@@H]1CCCN(C2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccccc2)C1 ZINC001171280396 1096080480 /nfs/dbraw/zinc/08/04/80/1096080480.db2.gz SYXVEHAIWSRZDL-UBLGTCEWSA-N 0 1 323.440 3.058 20 30 DGEDMN C[C@H](O/C(=N\O)c1ccccc1Br)c1cnccn1 ZINC001223886084 1096084979 /nfs/dbraw/zinc/08/49/79/1096084979.db2.gz ZBTUZGVBPSLQTJ-KWSCKXNYSA-N 0 1 322.162 3.153 20 30 DGEDMN COc1ccc(OC)c(/C(=N/O)O[C@@H]2CC3CCC2CC3)c1 ZINC001223892735 1096086632 /nfs/dbraw/zinc/08/66/32/1096086632.db2.gz LDHVORQYMVQUCM-WKIPZTDLSA-N 0 1 305.374 3.435 20 30 DGEDMN CC(C)Oc1cc(CO/C(=N\O)c2cc(F)ccc2F)ccn1 ZINC001223891271 1096087268 /nfs/dbraw/zinc/08/72/68/1096087268.db2.gz QEIOGWQVEDIEDF-SILNSSARSA-N 0 1 322.311 3.500 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CCC)c3ccccc3)C[C@@H]21 ZINC001224079523 1096130070 /nfs/dbraw/zinc/13/00/70/1096130070.db2.gz IMACWKIHZYOGKD-AABGKKOBSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(CO[C@H]1CCN(Cc2ccc(Cl)cc2)C1)C(=O)OCC ZINC001224355479 1096195085 /nfs/dbraw/zinc/19/50/85/1096195085.db2.gz GPSBWWABODXUGK-INIZCTEOSA-N 0 1 323.820 3.050 20 30 DGEDMN N#CCc1ccc(S(=O)(=O)Nc2cccc3cccnc32)cc1 ZINC000321443813 1096203517 /nfs/dbraw/zinc/20/35/17/1096203517.db2.gz RKUNDKNMGHBICK-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1sc(C)nc1C ZINC001276970908 1096207343 /nfs/dbraw/zinc/20/73/43/1096207343.db2.gz WJRHDMMYRGLYOA-HNNXBMFYSA-N 0 1 321.490 3.310 20 30 DGEDMN O=C(C#Cc1cccs1)N(CCN1CCCC1)c1ccccc1 ZINC001147425243 1096216543 /nfs/dbraw/zinc/21/65/43/1096216543.db2.gz ZLKFLLKOIMOHMV-UHFFFAOYSA-N 0 1 324.449 3.229 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)c2cc(C#N)ccc2F)cc1F ZINC001148335080 1096350632 /nfs/dbraw/zinc/35/06/32/1096350632.db2.gz SBLVCZPWLPDVQE-UHFFFAOYSA-N 0 1 315.323 3.150 20 30 DGEDMN C#CC[C@@H](C)OC[C@]1(C)CN(Cc2ccccc2)CC(C)(C)O1 ZINC001225151679 1096354234 /nfs/dbraw/zinc/35/42/34/1096354234.db2.gz CLRCRFYEMSIRII-XLIONFOSSA-N 0 1 315.457 3.485 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(F)c(Br)c1 ZINC001225506005 1096452055 /nfs/dbraw/zinc/45/20/55/1096452055.db2.gz QDJBLTYCQNIXIW-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN CCCCCCCCCC(=O)NCCNCc1cnc(C)nc1 ZINC001148820064 1096453446 /nfs/dbraw/zinc/45/34/46/1096453446.db2.gz BZWWNAJVKUETAV-UHFFFAOYSA-N 0 1 320.481 3.132 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001225599980 1096478328 /nfs/dbraw/zinc/47/83/28/1096478328.db2.gz FCNMECDUQJGUES-CPOXWEDTSA-N 0 1 311.429 3.041 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1CCCC[C@H]1NCc1ccccc1C#N ZINC001225599979 1096478378 /nfs/dbraw/zinc/47/83/78/1096478378.db2.gz FCNMECDUQJGUES-CITTYJJUSA-N 0 1 311.429 3.041 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)CC3CC3)C2)CC1 ZINC001086896758 1096494564 /nfs/dbraw/zinc/49/45/64/1096494564.db2.gz UGTXETREQILPAO-CQSZACIVSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C=C(C)C)C2)CC1 ZINC001086899775 1096499360 /nfs/dbraw/zinc/49/93/60/1096499360.db2.gz RXZHYQWQHLDMOY-HNNXBMFYSA-N 0 1 310.869 3.408 20 30 DGEDMN C[C@H]1CC[N@@H+](Cc2ccccc2)C[C@H]1Oc1cccnc1C#N ZINC001225670792 1096500178 /nfs/dbraw/zinc/50/01/78/1096500178.db2.gz NTELITAXAFTSHN-HNAYVOBHSA-N 0 1 307.397 3.243 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)[C@H]3CC34CC4)C2)CC1 ZINC001086944681 1096517467 /nfs/dbraw/zinc/51/74/67/1096517467.db2.gz GLRYYUDBHYVRGA-HUUCEWRRSA-N 0 1 322.880 3.242 20 30 DGEDMN CC1=C(Oc2ccc(C(=O)c3ccc([O-])cc3)cc2)C(=O)[C@@H](C)O1 ZINC001225808949 1096536096 /nfs/dbraw/zinc/53/60/96/1096536096.db2.gz VCHMYCIIBNAKLE-LLVKDONJSA-N 0 1 324.332 3.221 20 30 DGEDMN O=c1ncc(F)c(O[C@H](C#Cc2ccccc2)c2ccccc2)[nH]1 ZINC001225885952 1096553321 /nfs/dbraw/zinc/55/33/21/1096553321.db2.gz RGXGRRMGZOBFCV-QGZVFWFLSA-N 0 1 320.323 3.493 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)[C@H](C)C1 ZINC001092847959 1096633285 /nfs/dbraw/zinc/63/32/85/1096633285.db2.gz IKVZVKAHBYXYFH-LMLQULGWSA-N 0 1 324.896 3.249 20 30 DGEDMN O=c1ccnc(O[C@@H](C#Cc2ccccc2)c2ccccc2)[nH]1 ZINC001226326669 1096663070 /nfs/dbraw/zinc/66/30/70/1096663070.db2.gz MJUNHTVZCFDVKU-KRWDZBQOSA-N 0 1 302.333 3.354 20 30 DGEDMN C=C(Cl)CN1CC2(CCC2)[C@H]1C1CCN(C(=O)C(C)C)CC1 ZINC001093358569 1096681147 /nfs/dbraw/zinc/68/11/47/1096681147.db2.gz DZMJRCWJLGOOEC-MRXNPFEDSA-N 0 1 324.896 3.488 20 30 DGEDMN C=CCCC(=O)NC1CCN(Cc2cccc(Cl)c2)CC1 ZINC001226527853 1096713237 /nfs/dbraw/zinc/71/32/37/1096713237.db2.gz PXPJRHNNZBPVDU-UHFFFAOYSA-N 0 1 306.837 3.387 20 30 DGEDMN C=C[C@@H](Oc1nc[nH]c(=O)c1Br)c1ccccc1 ZINC001226723405 1096760724 /nfs/dbraw/zinc/76/07/24/1096760724.db2.gz XIRLAIDQIQTOMA-SNVBAGLBSA-N 0 1 307.147 3.251 20 30 DGEDMN Cc1ccc(C#N)c(O[C@H]2COCCN(Cc3ccccc3)C2)c1 ZINC001226756674 1096768962 /nfs/dbraw/zinc/76/89/62/1096768962.db2.gz RRYQFCGOQZDUBX-LJQANCHMSA-N 0 1 322.408 3.147 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C3CC=CC3)CCC[C@@H]12 ZINC001094380038 1096815330 /nfs/dbraw/zinc/81/53/30/1096815330.db2.gz KBTCCZDWRRHLOF-NVXWUHKLSA-N 0 1 308.853 3.066 20 30 DGEDMN Cc1cccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1C#N ZINC001226919901 1096816156 /nfs/dbraw/zinc/81/61/56/1096816156.db2.gz FWXLFTAGXDQAPQ-JKSUJKDBSA-N 0 1 307.397 3.476 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1cccc(F)c1Br ZINC001227014312 1096845274 /nfs/dbraw/zinc/84/52/74/1096845274.db2.gz SPTCZATZMLXVTM-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[N@@H+](CC)C1 ZINC001227120010 1096873532 /nfs/dbraw/zinc/87/35/32/1096873532.db2.gz CRTCGQCRKHOPDY-CQSZACIVSA-N 0 1 303.402 3.186 20 30 DGEDMN C=CCc1c([O-])ccc(C(C)=O)c1O[C@@H]1CCC[N@H+](CC)C1 ZINC001227120010 1096873540 /nfs/dbraw/zinc/87/35/40/1096873540.db2.gz CRTCGQCRKHOPDY-CQSZACIVSA-N 0 1 303.402 3.186 20 30 DGEDMN N#Cc1cc(Cl)ccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001227205206 1096893237 /nfs/dbraw/zinc/89/32/37/1096893237.db2.gz FHECQZVGSOAGMY-WKILWMFISA-N 0 1 320.820 3.234 20 30 DGEDMN C[C@H](Oc1nc2ccc(C#N)cc2[nH]1)c1cccc([N+](=O)[O-])c1 ZINC001227217157 1096897924 /nfs/dbraw/zinc/89/79/24/1096897924.db2.gz BFGIUTAXBOWGOH-JTQLQIEISA-N 0 1 308.297 3.483 20 30 DGEDMN CC(C)Oc1cc(COc2nc3ccc(C#N)cc3[nH]2)ccn1 ZINC001227221456 1096898560 /nfs/dbraw/zinc/89/85/60/1096898560.db2.gz ZICNBAUQYTVAOD-UHFFFAOYSA-N 0 1 308.341 3.196 20 30 DGEDMN C=C[C@@H](CC)Oc1cc(O)c(Br)cc1C(=O)OC ZINC001227360406 1096920405 /nfs/dbraw/zinc/92/04/05/1096920405.db2.gz UKNNCWBUDXNSBY-QMMMGPOBSA-N 0 1 315.163 3.285 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NC1CCN(C[C@@H](F)CC)CC1 ZINC001227536805 1096947077 /nfs/dbraw/zinc/94/70/77/1096947077.db2.gz YNOLBBFIWYTTOF-LBPRGKRZSA-N 0 1 304.837 3.094 20 30 DGEDMN CCCCCCCCCN1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001277232893 1096971658 /nfs/dbraw/zinc/97/16/58/1096971658.db2.gz DDXJNPIOZJBHQM-UHFFFAOYSA-N 0 1 320.481 3.220 20 30 DGEDMN C=C[C@]1(C)CC[C@@H](C(C)(C)Oc2nc(=O)[nH]c3c2CO[C@H]3C)O1 ZINC001227714516 1096974873 /nfs/dbraw/zinc/97/48/73/1096974873.db2.gz SYBONRHHVFFBJD-QAGHZCBJSA-N 0 1 320.389 3.055 20 30 DGEDMN CC#CCN1CCC(NC(=O)C2(Cc3ccccc3)CCC2)CC1 ZINC001227840527 1096998273 /nfs/dbraw/zinc/99/82/73/1096998273.db2.gz JIAITIJRMNKROT-UHFFFAOYSA-N 0 1 324.468 3.003 20 30 DGEDMN CN(C)c1ccc(O[C@H]2CCN(Cc3ccccc3C#N)C2)cc1 ZINC001227939015 1097017449 /nfs/dbraw/zinc/01/74/49/1097017449.db2.gz IKOWJKMZPRQNCW-FQEVSTJZSA-N 0 1 321.424 3.278 20 30 DGEDMN C=CCC[C@@H](CC)Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21 ZINC001228245916 1097081498 /nfs/dbraw/zinc/08/14/98/1097081498.db2.gz XYOPEOQTYLFFSF-LLVKDONJSA-N 0 1 303.318 3.367 20 30 DGEDMN Cc1cccc2c1[C@H](N[C@@H](C)Cc1ccc(C#N)cc1)C(=O)N2 ZINC001172292010 1097106506 /nfs/dbraw/zinc/10/65/06/1097106506.db2.gz BXNJYJMERWLGEO-UGSOOPFHSA-N 0 1 305.381 3.081 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CC[C@H](C)[C@@H](C)C1)CC2 ZINC001172372409 1097110544 /nfs/dbraw/zinc/11/05/44/1097110544.db2.gz HXORTFSHWVMNQH-HRCADAONSA-N 0 1 317.477 3.306 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1ccc(CCC(=O)OCC)cc1 ZINC001228411098 1097115703 /nfs/dbraw/zinc/11/57/03/1097115703.db2.gz IHOKSVHKUIPZSS-OAHLLOKOSA-N 0 1 318.369 3.171 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228413811 1097116641 /nfs/dbraw/zinc/11/66/41/1097116641.db2.gz RUHJSNIAAYNGEG-INMHGKMJSA-N 0 1 321.490 3.262 20 30 DGEDMN CCCCCCC[C@H](CC)[NH2+][C@@H](CCC(=O)[O-])C(=O)OC ZINC001172347058 1097120230 /nfs/dbraw/zinc/12/02/30/1097120230.db2.gz QHRIXAHTNHMKJP-KBPBESRZSA-N 0 1 301.427 3.122 20 30 DGEDMN CCCCCCC[C@H](CC)N1CCc2c(nnn2C)[C@@H]1COC ZINC001172353631 1097123599 /nfs/dbraw/zinc/12/35/99/1097123599.db2.gz AGFQQTAHACKJBD-RDJZCZTQSA-N 0 1 322.497 3.500 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCN(Cc2cccc(F)c2)CC1 ZINC001228631617 1097156232 /nfs/dbraw/zinc/15/62/32/1097156232.db2.gz RDYHSEFWPCVUHH-UHFFFAOYSA-N 0 1 304.409 3.119 20 30 DGEDMN CC(C)OCC[C@@H](C)N1CCN(c2ccc(C#N)cc2F)CC1 ZINC001172453135 1097159122 /nfs/dbraw/zinc/15/91/22/1097159122.db2.gz DHMIPPBDPMFBMF-OAHLLOKOSA-N 0 1 319.424 3.023 20 30 DGEDMN CC(C)OCC[C@H](C)N1CCN(c2ccc(C#N)cc2F)CC1 ZINC001172453134 1097159147 /nfs/dbraw/zinc/15/91/47/1097159147.db2.gz DHMIPPBDPMFBMF-HNNXBMFYSA-N 0 1 319.424 3.023 20 30 DGEDMN C[C@@H](CCCC#N)N1CC[C@](F)(CNC(=O)OC(C)(C)C)C1 ZINC001172509673 1097175179 /nfs/dbraw/zinc/17/51/79/1097175179.db2.gz NPUFFCPWKPXZED-BBRMVZONSA-N 0 1 313.417 3.007 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NC1CCN(Cc2cnccc2C)CC1 ZINC001228786155 1097190353 /nfs/dbraw/zinc/19/03/53/1097190353.db2.gz CVHYAQMVZQXYRA-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001228820979 1097197549 /nfs/dbraw/zinc/19/75/49/1097197549.db2.gz SUVUQMWFXRICAS-HUUCEWRRSA-N 0 1 321.490 3.262 20 30 DGEDMN CC(C)N1CC[C@@H](Oc2cccc(C#N)c2Br)C1 ZINC001229003874 1097235321 /nfs/dbraw/zinc/23/53/21/1097235321.db2.gz AUSKEOWDFYKTHN-GFCCVEGCSA-N 0 1 309.207 3.182 20 30 DGEDMN C=CCCCC(=O)NC1CCN(Cc2c(C)nsc2C)CC1 ZINC001228928133 1097219417 /nfs/dbraw/zinc/21/94/17/1097219417.db2.gz WEIBKZPSNSLAMZ-UHFFFAOYSA-N 0 1 321.490 3.197 20 30 DGEDMN COc1ccc2c(c1)nc(=O)[nH]c2O[C@@H](C)c1ccccc1C#N ZINC001229139957 1097263980 /nfs/dbraw/zinc/26/39/80/1097263980.db2.gz PIQMDFXIMKXULM-NSHDSACASA-N 0 1 321.336 3.356 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1)c1ccoc1 ZINC001172982543 1097297937 /nfs/dbraw/zinc/29/79/37/1097297937.db2.gz DHLYLRYUBHJHTA-YUELXQCFSA-N 0 1 319.405 3.222 20 30 DGEDMN C[C@@H]1CC[C@H](N2CCC(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001173058821 1097314338 /nfs/dbraw/zinc/31/43/38/1097314338.db2.gz ZWMWKRJQSJHIDR-HIFRSBDPSA-N 0 1 307.438 3.010 20 30 DGEDMN C[C@H]1CC[C@H](N2CCC(C#N)CC2)CN1C(=O)OC(C)(C)C ZINC001173058823 1097314870 /nfs/dbraw/zinc/31/48/70/1097314870.db2.gz ZWMWKRJQSJHIDR-ZFWWWQNUSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)Oc1nc(C)nc2[nH]cnc21 ZINC001229438687 1097320578 /nfs/dbraw/zinc/32/05/78/1097320578.db2.gz STCRTFJZXZZVSC-LLVKDONJSA-N 0 1 310.357 3.366 20 30 DGEDMN CC(=O)Nc1ccc(Nc2cc(C#N)c(F)cc2O)cc1F ZINC001212707361 1097330115 /nfs/dbraw/zinc/33/01/15/1097330115.db2.gz KDQFXRASBYHPEJ-UHFFFAOYSA-N 0 1 303.268 3.244 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(Cl)cc1C(=O)OCC ZINC001229555384 1097343516 /nfs/dbraw/zinc/34/35/16/1097343516.db2.gz BOBOFJPEMDHMJP-QMMMGPOBSA-N 0 1 310.733 3.115 20 30 DGEDMN COc1cccc(C#N)c1Nc1cccc(N2CCN(C)CC2)c1 ZINC001212714640 1097377240 /nfs/dbraw/zinc/37/72/40/1097377240.db2.gz IRJOXGNXMVTAFB-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(F)c(CC#N)c3)c2)CC1 ZINC001212717733 1097388095 /nfs/dbraw/zinc/38/80/95/1097388095.db2.gz ORGHYKXXKJELOK-UHFFFAOYSA-N 0 1 324.403 3.387 20 30 DGEDMN CC#CCCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCCCF ZINC001277436209 1097422682 /nfs/dbraw/zinc/42/26/82/1097422682.db2.gz FCPCTOLOGDFWFS-HDICACEKSA-N 0 1 322.468 3.385 20 30 DGEDMN N#Cc1cccc(O[C@H]2CCN(Cc3ccc(Cl)cc3)C2)n1 ZINC001230043224 1097435140 /nfs/dbraw/zinc/43/51/40/1097435140.db2.gz ISGGXYMGEKHJEG-INIZCTEOSA-N 0 1 313.788 3.260 20 30 DGEDMN C=CC[C@H](Oc1[nH]c(=O)nc2cc(C(=O)OC)ccc21)C(C)C ZINC001230204398 1097457236 /nfs/dbraw/zinc/45/72/36/1097457236.db2.gz FYBVXDYZMFCBAF-AWEZNQCLSA-N 0 1 316.357 3.102 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccccn1 ZINC001230578555 1097517719 /nfs/dbraw/zinc/51/77/19/1097517719.db2.gz LTJGPDHRXCCEKR-INIZCTEOSA-N 0 1 303.450 3.198 20 30 DGEDMN C=CCN(CCCNC(=O)C1CCCCC1)Cc1cccnc1 ZINC001230656206 1097548241 /nfs/dbraw/zinc/54/82/41/1097548241.db2.gz XSKVEFJPUVGXTP-UHFFFAOYSA-N 0 1 315.461 3.156 20 30 DGEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)c1cc(C)no1 ZINC001230738171 1097570374 /nfs/dbraw/zinc/57/03/74/1097570374.db2.gz CTYRDZZDEQUSST-HNNXBMFYSA-N 0 1 307.438 3.100 20 30 DGEDMN C=CC[N@H+](CCCNC(=O)C(C)=C1CCC1)Cc1cccnc1 ZINC001230756878 1097575157 /nfs/dbraw/zinc/57/51/57/1097575157.db2.gz PETWVTSJKBHBFV-UHFFFAOYSA-N 0 1 313.445 3.076 20 30 DGEDMN C=CCN(CCCNC(=O)C(C)=C1CCC1)Cc1cccnc1 ZINC001230756878 1097575160 /nfs/dbraw/zinc/57/51/60/1097575160.db2.gz PETWVTSJKBHBFV-UHFFFAOYSA-N 0 1 313.445 3.076 20 30 DGEDMN C=CCN(CCCNC(=O)C/C=C(/C)C=C)Cc1cccnc1 ZINC001230818452 1097597987 /nfs/dbraw/zinc/59/79/87/1097597987.db2.gz QKIYVEQGTMDKDR-MFOYZWKCSA-N 0 1 313.445 3.098 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H](C)CC(C)C)Cc1cccnc1 ZINC001230883113 1097612786 /nfs/dbraw/zinc/61/27/86/1097612786.db2.gz USFFZHHGTUYXSX-QGZVFWFLSA-N 0 1 317.477 3.258 20 30 DGEDMN C=CCN(CCCNC(=O)CC(CC)CC)Cc1cccnc1 ZINC001230882309 1097612973 /nfs/dbraw/zinc/61/29/73/1097612973.db2.gz OKPMPDRJZQDJBX-UHFFFAOYSA-N 0 1 317.477 3.402 20 30 DGEDMN COc1ccc(Nc2sc3c(c2C#N)CCN(C)C3)c(F)c1 ZINC001174144594 1097623311 /nfs/dbraw/zinc/62/33/11/1097623311.db2.gz ZUDZNFMLEVDYPN-UHFFFAOYSA-N 0 1 317.389 3.499 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Br)c(C)cc1F ZINC001230997720 1097640667 /nfs/dbraw/zinc/64/06/67/1097640667.db2.gz AJSMDKVXIZPWMA-ZETCQYMHSA-N 0 1 315.138 3.495 20 30 DGEDMN Cn1cnc2ccc(Nc3sc4c(c3C#N)CCN(C)C4)cc21 ZINC001174346029 1097684966 /nfs/dbraw/zinc/68/49/66/1097684966.db2.gz HLDRENZHQUGQFI-UHFFFAOYSA-N 0 1 323.425 3.238 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(CC)cc1 ZINC001231169511 1097687246 /nfs/dbraw/zinc/68/72/46/1097687246.db2.gz HYUIZMWOSDIQHA-SFHVURJKSA-N 0 1 300.446 3.248 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(N)cc3F)sc2C1 ZINC001174480137 1097740864 /nfs/dbraw/zinc/74/08/64/1097740864.db2.gz ODXQIJXWUYLRRH-UHFFFAOYSA-N 0 1 302.378 3.073 20 30 DGEDMN C#C[C@@H]1CCCN(Cc2c(Br)ccc(OC)c2O)C1 ZINC001231554101 1097778857 /nfs/dbraw/zinc/77/88/57/1097778857.db2.gz INRIDIIFTKCPMJ-LLVKDONJSA-N 0 1 324.218 3.009 20 30 DGEDMN C=CCC1(C(=O)N2CC[C@]3(CCN(C/C=C\Cl)C3)C2)CCC1 ZINC001277532069 1097778964 /nfs/dbraw/zinc/77/89/64/1097778964.db2.gz WFQRCBGOWUOFAT-JDZBVEAQSA-N 0 1 322.880 3.410 20 30 DGEDMN Cc1cc(Cl)nc(N=C2CCC3(CC2)OCCO3)c1C#N ZINC001174638143 1097785343 /nfs/dbraw/zinc/78/53/43/1097785343.db2.gz OFXKEQKLGYICOL-UHFFFAOYSA-N 0 1 305.765 3.138 20 30 DGEDMN C#Cc1cccc(CN2CCC([C@](C)(O)C(F)(F)F)CC2)c1 ZINC001231661900 1097808742 /nfs/dbraw/zinc/80/87/42/1097808742.db2.gz ZWOMDNNXMWCQQG-INIZCTEOSA-N 0 1 311.347 3.193 20 30 DGEDMN COc1cc(Nc2ccc(N3CCN(C)CC3)cc2)ccc1C#N ZINC001174814697 1097843623 /nfs/dbraw/zinc/84/36/23/1097843623.db2.gz GTWGXGLVZZKWSY-UHFFFAOYSA-N 0 1 322.412 3.062 20 30 DGEDMN Cc1oc(Nc2ccc(N3CCN(C)CC3)cc2)c(C#N)c1C ZINC001174814988 1097845348 /nfs/dbraw/zinc/84/53/48/1097845348.db2.gz HNEIJQKASQBCQU-UHFFFAOYSA-N 0 1 310.401 3.264 20 30 DGEDMN C=CC[N@H+](Cc1ccccc1OCC(=O)[O-])C1CCCCC1 ZINC001231793956 1097846425 /nfs/dbraw/zinc/84/64/25/1097846425.db2.gz PFOPUCHZYBAFRZ-UHFFFAOYSA-N 0 1 303.402 3.471 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001174834363 1097853376 /nfs/dbraw/zinc/85/33/76/1097853376.db2.gz ZJEHDEGVLXXQHB-ZBFHGGJFSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001174848678 1097867166 /nfs/dbraw/zinc/86/71/66/1097867166.db2.gz FZKVLGAITPIZQY-GDBMZVCRSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H](C)CCCCNCc1cc(C)on1 ZINC001174849011 1097868289 /nfs/dbraw/zinc/86/82/89/1097868289.db2.gz IUMJDSRCBCGIRW-HUUCEWRRSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1ncc(C)o1 ZINC001175081245 1097941278 /nfs/dbraw/zinc/94/12/78/1097941278.db2.gz CUBSUGKGNJDRKZ-KBXCAEBGSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001175082474 1097941941 /nfs/dbraw/zinc/94/19/41/1097941941.db2.gz OIICXNNDWNNHBP-MAUKXSAKSA-N 0 1 321.465 3.350 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@@H]3CCO)CC2)cc1Cl ZINC001232112339 1097942221 /nfs/dbraw/zinc/94/22/21/1097942221.db2.gz TTZYRYWBTRQTSD-HNNXBMFYSA-N 0 1 304.821 3.196 20 30 DGEDMN CN(CCNC(=O)OC(C)(C)C)Cc1ccc(C#N)c(Cl)c1 ZINC001232112189 1097942305 /nfs/dbraw/zinc/94/23/05/1097942305.db2.gz QPXBJMTWTFAUGN-UHFFFAOYSA-N 0 1 323.824 3.168 20 30 DGEDMN C#CC1CCN(Cc2cccnc2NC(=O)OC(C)(C)C)CC1 ZINC001232543829 1098073047 /nfs/dbraw/zinc/07/30/47/1098073047.db2.gz FKJVFVUSAPLIPD-UHFFFAOYSA-N 0 1 315.417 3.274 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ncccc1CN1CCC(S)CC1 ZINC001232542520 1098073390 /nfs/dbraw/zinc/07/33/90/1098073390.db2.gz CEDGEWUXCQBQMH-UHFFFAOYSA-N 0 1 323.462 3.323 20 30 DGEDMN CO[C@@H]1CCC[C@@H]2CN(Cc3ccc(Cl)cc3C#N)C[C@@H]21 ZINC001232607320 1098092989 /nfs/dbraw/zinc/09/29/89/1098092989.db2.gz KKJQRNBXFXPYFZ-XOKHGSTOSA-N 0 1 304.821 3.459 20 30 DGEDMN N#Cc1ccc(O)c(CN2Cc3ccccc3C(F)(F)C2)c1 ZINC001232673906 1098111964 /nfs/dbraw/zinc/11/19/64/1098111964.db2.gz ONQFBEAYNKESJO-UHFFFAOYSA-N 0 1 300.308 3.371 20 30 DGEDMN CN1CCC([N@@H+](Cc2cc(C#N)ccc2[O-])C2CCCC2)CC1 ZINC001232680589 1098113189 /nfs/dbraw/zinc/11/31/89/1098113189.db2.gz FJAQGXZLZAZMTH-UHFFFAOYSA-N 0 1 313.445 3.103 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2cc(F)ccc2F)C1 ZINC001277706724 1098121220 /nfs/dbraw/zinc/12/12/20/1098121220.db2.gz GFGWZPZKNJSCOJ-UHFFFAOYSA-N 0 1 322.399 3.006 20 30 DGEDMN COC(=O)c1scc(C#N)c1Nc1ccc2n[nH]c(C)c2c1 ZINC001175693634 1098124266 /nfs/dbraw/zinc/12/42/66/1098124266.db2.gz GBRYBOWGRJKOEW-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN N#Cc1ccc(CN(Cc2cccnc2)C2CCOCC2)s1 ZINC001232843927 1098150068 /nfs/dbraw/zinc/15/00/68/1098150068.db2.gz IAGWNSPEVONXHP-UHFFFAOYSA-N 0 1 313.426 3.196 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cccn1C(C)C ZINC001277726096 1098155543 /nfs/dbraw/zinc/15/55/43/1098155543.db2.gz FIIJSYLPFRYWBY-INIZCTEOSA-N 0 1 323.868 3.262 20 30 DGEDMN CN1CCN(Cc2cc(F)ccc2C#N)C[C@H]1c1ccccc1 ZINC001232890935 1098165764 /nfs/dbraw/zinc/16/57/64/1098165764.db2.gz SBBFLXHURSGBFZ-IBGZPJMESA-N 0 1 309.388 3.186 20 30 DGEDMN CO[C@@H]1CCCN(Cc2ccc(Br)c(C#N)c2)CC1 ZINC001232899664 1098168273 /nfs/dbraw/zinc/16/82/73/1098168273.db2.gz TUMYIRAGQLEDCP-CQSZACIVSA-N 0 1 323.234 3.322 20 30 DGEDMN N#Cc1cccc(CN2CCC(n3ncc4ccccc43)CC2)n1 ZINC001232925795 1098174663 /nfs/dbraw/zinc/17/46/63/1098174663.db2.gz QJOOODBBUQQHKD-UHFFFAOYSA-N 0 1 317.396 3.140 20 30 DGEDMN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C)cc2C#N)C[C@@H]1C ZINC001232969330 1098188846 /nfs/dbraw/zinc/18/88/46/1098188846.db2.gz PPNUYLOLASOOLL-HOTGVXAUSA-N 0 1 314.429 3.278 20 30 DGEDMN CN(C)c1ccc(CN2C[C@H]3[C@H](CCC3(F)F)C2)c(F)c1C#N ZINC001233098730 1098225533 /nfs/dbraw/zinc/22/55/33/1098225533.db2.gz MFQZDMNOPGADKU-RISCZKNCSA-N 0 1 323.362 3.240 20 30 DGEDMN CN(C)c1ccc(CN2CC[C@@H](c3cccnc3)C2)c(F)c1C#N ZINC001233100432 1098227322 /nfs/dbraw/zinc/22/73/22/1098227322.db2.gz IQTRTRBEYIEKKF-OAHLLOKOSA-N 0 1 324.403 3.148 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cccc4c3OCC4)sc2C1 ZINC001176077233 1098243893 /nfs/dbraw/zinc/24/38/93/1098243893.db2.gz VNZQKEUQFLBUCX-UHFFFAOYSA-N 0 1 311.410 3.286 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(Cc3ccccc3)cc2)CCC1=O ZINC001233331357 1098290870 /nfs/dbraw/zinc/29/08/70/1098290870.db2.gz RQBQDDAXTZCIQW-LJQANCHMSA-N 0 1 304.393 3.192 20 30 DGEDMN Cc1ccc(Nc2ccc(CCN3CCOCC3)cc2)cc1C#N ZINC001176383508 1098325815 /nfs/dbraw/zinc/32/58/15/1098325815.db2.gz NRFLICGUHMAWEZ-UHFFFAOYSA-N 0 1 321.424 3.485 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(NC(=O)OC(C)(C)C)nc1 ZINC001233494055 1098351074 /nfs/dbraw/zinc/35/10/74/1098351074.db2.gz KEMWKDMOQYKJNF-SECBINFHSA-N 0 1 320.345 3.027 20 30 DGEDMN C=CCCCCN1CCc2cc(C(=O)NC(C)(C)C)[nH]c2C1 ZINC001277788906 1098367819 /nfs/dbraw/zinc/36/78/19/1098367819.db2.gz OVBGZGBIVUZEFF-UHFFFAOYSA-N 0 1 303.450 3.257 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cccnc1OCc1ccccc1 ZINC001233607544 1098388994 /nfs/dbraw/zinc/38/89/94/1098388994.db2.gz WXTRFMFIEXJAMS-LBPRGKRZSA-N 0 1 311.337 3.259 20 30 DGEDMN CC(=O)Nc1ccc(Nc2c(F)cc(F)c(O)c2F)cc1C#N ZINC001176672336 1098402208 /nfs/dbraw/zinc/40/22/08/1098402208.db2.gz GMHXRTVVMDBUCB-UHFFFAOYSA-N 0 1 321.258 3.383 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(Cl)nc(C(F)(F)F)c1 ZINC001233807378 1098435115 /nfs/dbraw/zinc/43/51/15/1098435115.db2.gz CBFYEEAARCWXBH-YFKPBYRVSA-N 0 1 307.655 3.352 20 30 DGEDMN C=CCC[C@@H]1CCCN1CC(=O)N(CC(C)C)CC(F)(F)F ZINC001176771023 1098436584 /nfs/dbraw/zinc/43/65/84/1098436584.db2.gz DBOIHZZHANMCDJ-CQSZACIVSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001233946677 1098463746 /nfs/dbraw/zinc/46/37/46/1098463746.db2.gz CVDUPBGYCBIHJA-SFHVURJKSA-N 0 1 323.440 3.004 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001233946676 1098464038 /nfs/dbraw/zinc/46/40/38/1098464038.db2.gz CVDUPBGYCBIHJA-GOSISDBHSA-N 0 1 323.440 3.004 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001233948225 1098465113 /nfs/dbraw/zinc/46/51/13/1098465113.db2.gz STOZHHVFBVRSRG-IBGZPJMESA-N 0 1 312.457 3.140 20 30 DGEDMN C#Cc1ccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)nc1 ZINC001233965727 1098468143 /nfs/dbraw/zinc/46/81/43/1098468143.db2.gz KXVCSBMAAGTUDG-RDJZCZTQSA-N 0 1 307.397 3.062 20 30 DGEDMN C=C(C)CCN1CCO[C@H]2CCN(C(=O)CC(C)=C(C)C)C[C@H]21 ZINC001177073874 1098471228 /nfs/dbraw/zinc/47/12/28/1098471228.db2.gz TVFFOMWKILWOCH-MSOLQXFVSA-N 0 1 320.477 3.001 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc3cc(Cl)ccc3[nH]2)cc1O ZINC001177128117 1098475947 /nfs/dbraw/zinc/47/59/47/1098475947.db2.gz DZLIMKQCIHIUPS-UHFFFAOYSA-N 0 1 312.716 3.046 20 30 DGEDMN CCCCC(=O)NCCCN(C)CC#Cc1cccc(Cl)c1 ZINC001234043076 1098484737 /nfs/dbraw/zinc/48/47/37/1098484737.db2.gz SEGSGGUCHVEBLD-UHFFFAOYSA-N 0 1 320.864 3.320 20 30 DGEDMN Cc1cc(CN2CC[C@H]2CN(C)C(=O)C#CC(C)C)c(C)s1 ZINC001234130870 1098501278 /nfs/dbraw/zinc/50/12/78/1098501278.db2.gz OHBYJEYESBKKGC-KRWDZBQOSA-N 0 1 318.486 3.057 20 30 DGEDMN Cc1cc(C)c(CN2CC[C@@H]2CN(C)C(=O)C#CC2CC2)c(C)c1 ZINC001234177422 1098510281 /nfs/dbraw/zinc/51/02/81/1098510281.db2.gz BVIXDCXZUQTJRB-LJQANCHMSA-N 0 1 324.468 3.058 20 30 DGEDMN Cc1cccc(CN2CC[C@H]2CN(C)C(=O)C#CC(C)(C)C)c1 ZINC001234196490 1098511743 /nfs/dbraw/zinc/51/17/43/1098511743.db2.gz UPQCFFJUJUPPOO-SFHVURJKSA-N 0 1 312.457 3.077 20 30 DGEDMN C[C@H](c1ccccc1)[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214594 1098515435 /nfs/dbraw/zinc/51/54/35/1098515435.db2.gz DDQPNUYJVCBBRX-AEFFLSMTSA-N 0 1 312.457 3.330 20 30 DGEDMN C[C@H](c1ccccc1)N1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234214594 1098515439 /nfs/dbraw/zinc/51/54/39/1098515439.db2.gz DDQPNUYJVCBBRX-AEFFLSMTSA-N 0 1 312.457 3.330 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(F)cc(C)cc1Br ZINC001234240802 1098520568 /nfs/dbraw/zinc/52/05/68/1098520568.db2.gz LDTVVTWRDRUQFV-SSDOTTSWSA-N 0 1 315.138 3.495 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C[C@H]1CCN1CCOCCCC ZINC001234509785 1098574314 /nfs/dbraw/zinc/57/43/14/1098574314.db2.gz PTIDHMKELDCLIA-GOSISDBHSA-N 0 1 322.493 3.104 20 30 DGEDMN C[C@@H](CC(C)(C)S)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234513499 1098576102 /nfs/dbraw/zinc/57/61/02/1098576102.db2.gz MKSISBFANFPMTB-VIFPVBQESA-N 0 1 303.387 3.073 20 30 DGEDMN C[C@@H](Cc1ccccc1)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234529680 1098585387 /nfs/dbraw/zinc/58/53/87/1098585387.db2.gz DDHIXAHFKCAJTD-LBPRGKRZSA-N 0 1 305.337 3.217 20 30 DGEDMN C=C[C@@H](C#CC(C)(C)C)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234531328 1098585481 /nfs/dbraw/zinc/58/54/81/1098585481.db2.gz YMXYKHFSBQYPGE-ZDUSSCGKSA-N 0 1 307.353 3.190 20 30 DGEDMN C=CC[C@H](NCc1ccc(C(=O)OC)cc1F)c1ccncc1 ZINC001177884667 1098634894 /nfs/dbraw/zinc/63/48/94/1098634894.db2.gz GXQLNUUVMCDDTD-KRWDZBQOSA-N 0 1 314.360 3.414 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)N1CCC[C@H]1c1nccs1 ZINC001177910220 1098644903 /nfs/dbraw/zinc/64/49/03/1098644903.db2.gz XIXLUWGMRZQQND-YPMHNXCESA-N 0 1 317.439 3.251 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCCc1cc(F)cc(F)c1 ZINC001177914856 1098646416 /nfs/dbraw/zinc/64/64/16/1098646416.db2.gz GAKKOKBPMDPWLH-GFCCVEGCSA-N 0 1 320.364 3.067 20 30 DGEDMN CC(C)CC1(NC(=O)C(C#N)Cc2cccs2)CCOCC1 ZINC001177917591 1098647943 /nfs/dbraw/zinc/64/79/43/1098647943.db2.gz KAVKPDSDDUGQDE-CQSZACIVSA-N 0 1 320.458 3.142 20 30 DGEDMN N#CC(Cc1cccs1)C(=O)NCc1cccc2ncccc12 ZINC001177916171 1098648554 /nfs/dbraw/zinc/64/85/54/1098648554.db2.gz FCXCKTMEIICAGU-AWEZNQCLSA-N 0 1 321.405 3.295 20 30 DGEDMN COc1ccccc1OC[C@H](C)Oc1ccccc1C=NO ZINC001234819890 1098670803 /nfs/dbraw/zinc/67/08/03/1098670803.db2.gz QBDKKNUQMXJPAQ-ZDUSSCGKSA-N 0 1 301.342 3.350 20 30 DGEDMN O=C1C=C2CN(Cc3cccc(N4CCCC4)c3)CC[C@H]2S1 ZINC001235085530 1098790386 /nfs/dbraw/zinc/79/03/86/1098790386.db2.gz IVYKMUCCHGKTAV-QGZVFWFLSA-N 0 1 314.454 3.061 20 30 DGEDMN O=C1C=C2CN(Cc3cccc(N4CCCC4)c3)CCC2S1 ZINC001235085530 1098790397 /nfs/dbraw/zinc/79/03/97/1098790397.db2.gz IVYKMUCCHGKTAV-QGZVFWFLSA-N 0 1 314.454 3.061 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2cc(O)ccc2Cl)[C@@H]1C ZINC001178311768 1098791249 /nfs/dbraw/zinc/79/12/49/1098791249.db2.gz IOHMMJFUXYSOCH-LRDDRELGSA-N 0 1 322.836 3.091 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC1(c2ccccc2)CC1 ZINC001235125006 1098824201 /nfs/dbraw/zinc/82/42/01/1098824201.db2.gz UKCOOHZSUANWRC-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@@H]1C ZINC001178503457 1098862923 /nfs/dbraw/zinc/86/29/23/1098862923.db2.gz ARGHEMOOQINOGM-HPCSDQSLSA-N 0 1 317.260 3.078 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C/C=C\c1ccc(C)cc1)C2 ZINC001110221271 1098868575 /nfs/dbraw/zinc/86/85/75/1098868575.db2.gz QEFCOKFNWBPWTM-ZOYAEOFMSA-N 0 1 322.452 3.143 20 30 DGEDMN CC[C@H](C)CC(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC001178566623 1098876150 /nfs/dbraw/zinc/87/61/50/1098876150.db2.gz GWTWLMZLIOKNRA-MPGHIAIKSA-N 0 1 313.445 3.073 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2CC2=CCCCC2)CCC1 ZINC001235208806 1098880608 /nfs/dbraw/zinc/88/06/08/1098880608.db2.gz GTGKCUKALGMZTK-SFHVURJKSA-N 0 1 314.473 3.213 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2NCc1cc2c(cc[nH]c2=O)o1 ZINC001178620597 1098891970 /nfs/dbraw/zinc/89/19/70/1098891970.db2.gz QLGUCPFRYKVPCE-MRXNPFEDSA-N 0 1 305.337 3.182 20 30 DGEDMN CN1CCCC[C@H]1C(=O)Nc1ccccc1-c1ccc(C#N)cn1 ZINC001178698265 1098913466 /nfs/dbraw/zinc/91/34/66/1098913466.db2.gz YQOWMGXFPVDYDM-SFHVURJKSA-N 0 1 320.396 3.043 20 30 DGEDMN CCC[C@H](C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001235362424 1098979194 /nfs/dbraw/zinc/97/91/94/1098979194.db2.gz ARSZVCIIHNLUEJ-INIZCTEOSA-N 0 1 315.461 3.323 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)C(CC)CC)[C@H]1C ZINC001179032353 1099006986 /nfs/dbraw/zinc/00/69/86/1099006986.db2.gz MMLYRPDWUKLGKR-YDHLFZDLSA-N 0 1 300.874 3.390 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2csc(C#N)c2)n[nH]1 ZINC001179151649 1099028647 /nfs/dbraw/zinc/02/86/47/1099028647.db2.gz FATPMNVXGUMLBM-AWEZNQCLSA-N 0 1 300.387 3.019 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCCCCNCc1cscn1 ZINC001179306277 1099057689 /nfs/dbraw/zinc/05/76/89/1099057689.db2.gz GCEINQXYPKFKKB-HUUCEWRRSA-N 0 1 323.506 3.368 20 30 DGEDMN Cc1ccc(N2CCN(Cc3ccccc3CC#N)CC2)cc1 ZINC001235511621 1099069979 /nfs/dbraw/zinc/06/99/79/1099069979.db2.gz JQUHQVVZSANTFF-UHFFFAOYSA-N 0 1 305.425 3.383 20 30 DGEDMN CC(C)[C@H](C)CC(=O)NCCCN(C)Cc1ccccc1C#N ZINC001235523939 1099070629 /nfs/dbraw/zinc/07/06/29/1099070629.db2.gz BSJSLAPJYTWNMG-MRXNPFEDSA-N 0 1 315.461 3.179 20 30 DGEDMN CC(C)[C@@H](C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001235528255 1099074038 /nfs/dbraw/zinc/07/40/38/1099074038.db2.gz WCHRXENLLKAJLC-SFHVURJKSA-N 0 1 314.473 3.158 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCCCCCCNCc1nocc1C ZINC001179340231 1099076763 /nfs/dbraw/zinc/07/67/63/1099076763.db2.gz WKVWEQWTOAFQMA-INIZCTEOSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCCC(=O)NCCCCCCNCc1cscn1 ZINC001179425642 1099100864 /nfs/dbraw/zinc/10/08/64/1099100864.db2.gz CLYLWZSXFUMJKI-UHFFFAOYSA-N 0 1 309.479 3.266 20 30 DGEDMN N#Cc1ncnc2ccc(-c3c(F)cc(F)c(O)c3F)cc12 ZINC001235996150 1099318517 /nfs/dbraw/zinc/31/85/17/1099318517.db2.gz JXKZSZRTKAZIAM-UHFFFAOYSA-N 0 1 301.227 3.291 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCc2cccs2)C1 ZINC001187664914 1099567425 /nfs/dbraw/zinc/56/74/25/1099567425.db2.gz ZTZBECIUTOKWGJ-CYBMUJFWSA-N 0 1 312.866 3.014 20 30 DGEDMN CCCCCCCCS(=O)(=O)Nc1nn(C)c2ncccc12 ZINC001187993046 1099651149 /nfs/dbraw/zinc/65/11/49/1099651149.db2.gz GEPXXLMLYKJJNH-UHFFFAOYSA-N 0 1 324.450 3.071 20 30 DGEDMN Cc1[nH]ncc1C(=O)Nc1nc(-c2ccc(C#N)s2)cs1 ZINC001188050820 1099662503 /nfs/dbraw/zinc/66/25/03/1099662503.db2.gz WSRZRDHHAQAWJZ-UHFFFAOYSA-N 0 1 315.383 3.027 20 30 DGEDMN N#Cc1cc(Cl)cc(Cl)c1NS(=O)(=O)C1CCC1 ZINC001188130670 1099692825 /nfs/dbraw/zinc/69/28/25/1099692825.db2.gz NEBPXYZDAITMOA-UHFFFAOYSA-N 0 1 305.186 3.159 20 30 DGEDMN Cc1coc(NC(=O)c2ncsc2C(F)(F)F)c1C#N ZINC001188207145 1099719236 /nfs/dbraw/zinc/71/92/36/1099719236.db2.gz NHUPZQZHULDKPB-UHFFFAOYSA-N 0 1 301.249 3.187 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccccc1OC(C)C ZINC001277995838 1099736471 /nfs/dbraw/zinc/73/64/71/1099736471.db2.gz WSHAGCGHDZUDTK-CQSZACIVSA-N 0 1 324.852 3.276 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CCCC1CC1 ZINC001273562008 1099771489 /nfs/dbraw/zinc/77/14/89/1099771489.db2.gz GVQRMZAJXFONFL-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCCC(=O)N1CC[C@@H](N(C)CC#Cc2cccc(Cl)c2)C1 ZINC001188625887 1099814912 /nfs/dbraw/zinc/81/49/12/1099814912.db2.gz KLVZCBKHLRVIMW-QGZVFWFLSA-N 0 1 318.848 3.024 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(F)c2occc21 ZINC001278017833 1099829157 /nfs/dbraw/zinc/82/91/57/1099829157.db2.gz PYMFNMXVICUSJD-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)CCCCCC)[C@@H]2C1 ZINC001188768546 1099852185 /nfs/dbraw/zinc/85/21/85/1099852185.db2.gz RFYUDUHHFOFUPM-OKZBNKHCSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H]2CN(CCC(F)(F)F)C[C@H]21 ZINC001188908938 1099897483 /nfs/dbraw/zinc/89/74/83/1099897483.db2.gz LNCRCRZRKCTCBL-HZSPNIEDSA-N 0 1 318.383 3.074 20 30 DGEDMN CCCCCCC/C=C/CN1CC2(C1)SCC[C@H]2C(N)=O ZINC001278036815 1099905086 /nfs/dbraw/zinc/90/50/86/1099905086.db2.gz FXCWZLHZZDXAGM-HVHJFMEUSA-N 0 1 310.507 3.196 20 30 DGEDMN COc1ccc(C#N)c(C(=O)Nc2cc3n[nH]c(C)c3c(F)c2)c1 ZINC001189097421 1099957076 /nfs/dbraw/zinc/95/70/76/1099957076.db2.gz XTRHOQPXISEHMK-UHFFFAOYSA-N 0 1 324.315 3.143 20 30 DGEDMN C[N@@H+](CC#Cc1ccccc1)[C@@H]1CCN(C(=O)/C=C\C(C)(C)C)C1 ZINC001189437166 1100057361 /nfs/dbraw/zinc/05/73/61/1100057361.db2.gz AHWUWSLUGBNEEX-LUQQZNFBSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)/C=C\C(C)(C)C)C1 ZINC001189437166 1100057371 /nfs/dbraw/zinc/05/73/71/1100057371.db2.gz AHWUWSLUGBNEEX-LUQQZNFBSA-N 0 1 324.468 3.173 20 30 DGEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)CCC2CCC2)C1 ZINC001189565152 1100081591 /nfs/dbraw/zinc/08/15/91/1100081591.db2.gz MRBSYSAIHZWTJN-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2cc(OC)ccc2Cl)cc1 ZINC001189610071 1100099302 /nfs/dbraw/zinc/09/93/02/1100099302.db2.gz ZUEZNBFXSVGKLM-UHFFFAOYSA-N 0 1 321.785 3.131 20 30 DGEDMN Cn1cc(CN2CCC[C@@H]2c2cnccn2)c2cc(C#N)ccc21 ZINC001237471043 1100136313 /nfs/dbraw/zinc/13/63/13/1100136313.db2.gz MYLOTRFSLYGTOK-LJQANCHMSA-N 0 1 317.396 3.177 20 30 DGEDMN N#Cc1cccc(C2CCN(Cc3ccnc(C#N)c3)CC2)c1 ZINC001237469939 1100136358 /nfs/dbraw/zinc/13/63/58/1100136358.db2.gz ITZXAHCLEZRXEF-UHFFFAOYSA-N 0 1 302.381 3.205 20 30 DGEDMN N#Cc1ccc2nc(CN3CC[C@@H](c4ccccc4F)C3)cn2c1 ZINC001237615376 1100190066 /nfs/dbraw/zinc/19/00/66/1100190066.db2.gz VISHDEVWCPYKND-OAHLLOKOSA-N 0 1 320.371 3.335 20 30 DGEDMN N#C[C@H]1CN(Cc2cc(-c3ccc(F)cc3)ccc2O)CCC1=O ZINC001237648330 1100203718 /nfs/dbraw/zinc/20/37/18/1100203718.db2.gz ZOHUAIFGQLBGSJ-INIZCTEOSA-N 0 1 324.355 3.113 20 30 DGEDMN C=C(C)Cc1ccc(C(=O)Nc2cc(=O)[nH]c(Cl)n2)cc1 ZINC001190217777 1100224614 /nfs/dbraw/zinc/22/46/14/1100224614.db2.gz WECXRBGQEBXPOV-UHFFFAOYSA-N 0 1 303.749 3.207 20 30 DGEDMN COc1ccc(C)c(CN2CCN(c3ccc(C#N)cc3)CC2)c1 ZINC001237714655 1100225730 /nfs/dbraw/zinc/22/57/30/1100225730.db2.gz YHOYFPCBQJFWRU-UHFFFAOYSA-N 0 1 321.424 3.198 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC3(C[C@@H](F)CO3)CC2)c1 ZINC001237753673 1100238617 /nfs/dbraw/zinc/23/86/17/1100238617.db2.gz NATPDWQJAYWENS-OAHLLOKOSA-N 0 1 308.784 3.305 20 30 DGEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(Cc1cnc(CC)s1)C2 ZINC001237827202 1100258974 /nfs/dbraw/zinc/25/89/74/1100258974.db2.gz YWGGVFUWCRVPBH-PBHICJAKSA-N 0 1 322.474 3.032 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2ccc(C)nc2C)C1 ZINC001190429146 1100272501 /nfs/dbraw/zinc/27/25/01/1100272501.db2.gz VHZLFQSMVGMEKF-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2ccccc2Oc2cnccn2)C1 ZINC001238013803 1100327938 /nfs/dbraw/zinc/32/79/38/1100327938.db2.gz MYMIBZSDSRTEJE-OAHLLOKOSA-N 0 1 308.385 3.395 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccccc2C(C)(C)C)C1 ZINC001190828380 1100346005 /nfs/dbraw/zinc/34/60/05/1100346005.db2.gz GRRSZVSCKVGEBE-INIZCTEOSA-N 0 1 312.457 3.154 20 30 DGEDMN C#CCN(C(=O)CCC1(C)CC1)C1CCN(C[C@H](F)CC)CC1 ZINC001278194476 1100371564 /nfs/dbraw/zinc/37/15/64/1100371564.db2.gz NTDWYGBBPLSYQE-MRXNPFEDSA-N 0 1 322.468 3.241 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)SC)C1CCCC1 ZINC001278233061 1100443806 /nfs/dbraw/zinc/44/38/06/1100443806.db2.gz PHBHWZHCGWKKDO-TZMCWYRMSA-N 0 1 318.914 3.145 20 30 DGEDMN N#Cc1ccnc(NC(=O)c2cc(-c3cccc(Cl)c3)n[nH]2)c1 ZINC001191360472 1100458098 /nfs/dbraw/zinc/45/80/98/1100458098.db2.gz PWCVJSDEDQFISU-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001191543113 1100481308 /nfs/dbraw/zinc/48/13/08/1100481308.db2.gz JTXZXJXRHRXXCV-INIZCTEOSA-N 0 1 324.424 3.219 20 30 DGEDMN C=CCN1CCN(Cc2cc(C)cnc2-c2ccccc2)CC1 ZINC001238613662 1100484476 /nfs/dbraw/zinc/48/44/76/1100484476.db2.gz PBFZXEISUGCUPT-UHFFFAOYSA-N 0 1 307.441 3.361 20 30 DGEDMN C#CCCCCCC(=O)N1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC001191763555 1100507250 /nfs/dbraw/zinc/50/72/50/1100507250.db2.gz MXHOEPOWOBCNTR-QGZVFWFLSA-N 0 1 318.486 3.365 20 30 DGEDMN CCc1cc(CN2CCN(c3ccccc3)CC2)ccc1C#N ZINC001238766909 1100523665 /nfs/dbraw/zinc/52/36/65/1100523665.db2.gz QMFOTNDKOUVLJK-UHFFFAOYSA-N 0 1 305.425 3.443 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2cnccc2C)C1 ZINC001191868584 1100536332 /nfs/dbraw/zinc/53/63/32/1100536332.db2.gz WCXZFVHGIYBBHU-AEFFLSMTSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](N(C)Cc2cccnc2C)C1 ZINC001191912038 1100539775 /nfs/dbraw/zinc/53/97/75/1100539775.db2.gz MGYVLDRKDTUNQK-WMZOPIPTSA-N 0 1 315.461 3.025 20 30 DGEDMN Cc1cccc2nc(NC(=O)c3c(F)cc(C#N)cc3F)[nH]c21 ZINC001191904921 1100543015 /nfs/dbraw/zinc/54/30/15/1100543015.db2.gz XLZNULBFXDGLQK-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN N#Cc1cccc([C@H](O)CNC2(c3nccs3)CCCC2)c1 ZINC001191975780 1100557471 /nfs/dbraw/zinc/55/74/71/1100557471.db2.gz CJLPBOLXYAVLRT-OAHLLOKOSA-N 0 1 313.426 3.107 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001192074092 1100566255 /nfs/dbraw/zinc/56/62/55/1100566255.db2.gz ZFUUGUOIOCMVIL-CQSZACIVSA-N 0 1 317.458 3.009 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC001192059673 1100569548 /nfs/dbraw/zinc/56/95/48/1100569548.db2.gz IVQFZJRZNXDRRP-HNNXBMFYSA-N 0 1 322.399 3.354 20 30 DGEDMN C=CCC1(C(=O)NCCNCc2cc(C)ns2)CCCCC1 ZINC001151998135 1100579234 /nfs/dbraw/zinc/57/92/34/1100579234.db2.gz PNKCFRJAUMWLHC-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN Cc1cc(C#N)c(NC(=O)c2nc(Cc3ccccc3)no2)s1 ZINC001192262834 1100595528 /nfs/dbraw/zinc/59/55/28/1100595528.db2.gz SFMDDBOPQCTDJN-UHFFFAOYSA-N 0 1 324.365 3.154 20 30 DGEDMN CCCCCCCNC(=O)N1CCNC[C@H]1Cc1ccccc1 ZINC001239286658 1100621328 /nfs/dbraw/zinc/62/13/28/1100621328.db2.gz NOFMWVQXOYBGCR-GOSISDBHSA-N 0 1 317.477 3.183 20 30 DGEDMN CCCCCCCN1CC2(C1)CC[C@@H](CNC(=O)C=C(C)C)O2 ZINC001273645592 1100655432 /nfs/dbraw/zinc/65/54/32/1100655432.db2.gz JZTIWROBKKYBLZ-KRWDZBQOSA-N 0 1 322.493 3.273 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)C2CCCCC2)C1 ZINC001192800808 1100663439 /nfs/dbraw/zinc/66/34/39/1100663439.db2.gz IWCGKXUTEUAKPI-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001193478353 1100749622 /nfs/dbraw/zinc/74/96/22/1100749622.db2.gz KQUFDVHRRVVXGI-QGZVFWFLSA-N 0 1 324.424 3.219 20 30 DGEDMN CC[C@H](NC(=O)c1cccc(C#N)c1O)c1ccccc1OC ZINC001193659275 1100785651 /nfs/dbraw/zinc/78/56/51/1100785651.db2.gz KOHODZCZKDSTMU-HNNXBMFYSA-N 0 1 310.353 3.154 20 30 DGEDMN C=CCOc1ccccc1[C@@H](C)NC(=O)c1cccc(C#N)c1O ZINC001193659736 1100786260 /nfs/dbraw/zinc/78/62/60/1100786260.db2.gz QAZZCHZVMMWESY-CYBMUJFWSA-N 0 1 322.364 3.320 20 30 DGEDMN CC(C)(NC(=O)c1ccc(F)c(O)c1F)c1ccc(C#N)cc1 ZINC001193793513 1100805266 /nfs/dbraw/zinc/80/52/66/1100805266.db2.gz QARDYUNUSQCEIR-UHFFFAOYSA-N 0 1 316.307 3.207 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001278309823 1100895533 /nfs/dbraw/zinc/89/55/33/1100895533.db2.gz KMIZKNRZPIZWIH-KDQWWBNRSA-N 0 1 310.869 3.407 20 30 DGEDMN N#CCc1cccc(-c2cccc(CCN3CCOCC3)c2)c1F ZINC001240270068 1100917262 /nfs/dbraw/zinc/91/72/62/1100917262.db2.gz BIOMOIHNCIRJCT-UHFFFAOYSA-N 0 1 324.399 3.433 20 30 DGEDMN C=CCOC(=O)NC1CCN(Cc2ccc(C)cc2F)CC1 ZINC001194343275 1100927639 /nfs/dbraw/zinc/92/76/39/1100927639.db2.gz MMUXENNFLUIKOA-UHFFFAOYSA-N 0 1 306.381 3.011 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@@H](N(C)C[C@@H](F)CC)C2)CCCCC1 ZINC001194381769 1100930556 /nfs/dbraw/zinc/93/05/56/1100930556.db2.gz NDEKYOCVKZKQCU-DLBZAZTESA-N 0 1 322.468 3.241 20 30 DGEDMN COc1nc(C2=CCCN(Cc3ccccc3)C2)c(F)cc1C#N ZINC001240385921 1100969657 /nfs/dbraw/zinc/96/96/57/1100969657.db2.gz QRPNHPZTUDIIBP-UHFFFAOYSA-N 0 1 323.371 3.390 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C3=CCCC3)cc2)C1 ZINC001194606833 1100975150 /nfs/dbraw/zinc/97/51/50/1100975150.db2.gz ZPXMFTBAYZNYNS-FQEVSTJZSA-N 0 1 322.452 3.424 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N1CCC[N@H+](CCCCCCC)CC1 ZINC001195124304 1101077811 /nfs/dbraw/zinc/07/78/11/1101077811.db2.gz BOALFLCZDJCPSI-SFHVURJKSA-N 0 1 324.509 3.472 20 30 DGEDMN C=CCCOc1ccc(C(=O)Nc2ccc3[nH]nnc3c2)cc1 ZINC001195130642 1101078678 /nfs/dbraw/zinc/07/86/78/1101078678.db2.gz CFBMEYOEBVKCPU-UHFFFAOYSA-N 0 1 308.341 3.165 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2cc(C)sc2C)CC1 ZINC001195182667 1101084492 /nfs/dbraw/zinc/08/44/92/1101084492.db2.gz SUCVVAXYRGHTEB-UHFFFAOYSA-N 0 1 306.475 3.365 20 30 DGEDMN C=CCCC(=O)N1CCC[N@@H+](Cc2ccc3c(c2)CCC3)CC1 ZINC001195191248 1101085247 /nfs/dbraw/zinc/08/52/47/1101085247.db2.gz FXFLGXMYCKCZMF-UHFFFAOYSA-N 0 1 312.457 3.176 20 30 DGEDMN C=CCCC(=O)N1CCCN(Cc2ccc3c(c2)CCC3)CC1 ZINC001195191248 1101085250 /nfs/dbraw/zinc/08/52/50/1101085250.db2.gz FXFLGXMYCKCZMF-UHFFFAOYSA-N 0 1 312.457 3.176 20 30 DGEDMN C=CCCC(=O)N1CCCN(CC[C@H]2CC2(Cl)Cl)CC1 ZINC001195226503 1101102346 /nfs/dbraw/zinc/10/23/46/1101102346.db2.gz RPUBHXFOABQDKF-ZDUSSCGKSA-N 0 1 319.276 3.071 20 30 DGEDMN COc1cc2[nH]ccc2c(NC(=O)c2ccc(C#N)cc2O)c1 ZINC001195758192 1101190796 /nfs/dbraw/zinc/19/07/96/1101190796.db2.gz KPFSMGGYOMVMPH-UHFFFAOYSA-N 0 1 307.309 3.006 20 30 DGEDMN CCC1(NC(=O)c2ccc(C#N)cc2O)CCC(F)(F)CC1 ZINC001195767847 1101193348 /nfs/dbraw/zinc/19/33/48/1101193348.db2.gz AVBOMJTUOYFTIR-UHFFFAOYSA-N 0 1 308.328 3.352 20 30 DGEDMN CC(C)C[C@@H](NC(=O)c1ccc(C#N)cc1O)c1ccccn1 ZINC001195768486 1101193675 /nfs/dbraw/zinc/19/36/75/1101193675.db2.gz LYVLEAXBVIFYFS-MRXNPFEDSA-N 0 1 309.369 3.176 20 30 DGEDMN N#Cc1ccc(C(=O)NCC2(Cc3ccccc3F)CC2)c(O)c1 ZINC001195768381 1101193914 /nfs/dbraw/zinc/19/39/14/1101193914.db2.gz LHQHYGIRCQUYQI-UHFFFAOYSA-N 0 1 324.355 3.156 20 30 DGEDMN CCN(Cc1cccc(F)c1F)C(=O)c1ccc(C#N)cc1O ZINC001195751227 1101199030 /nfs/dbraw/zinc/19/90/30/1101199030.db2.gz QPERCAOWEYQEGD-UHFFFAOYSA-N 0 1 316.307 3.204 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[N@H+](Cc2ccc(OC)cc2)CC1 ZINC001196010457 1101234760 /nfs/dbraw/zinc/23/47/60/1101234760.db2.gz OBDTXBIQNOYQOR-UHFFFAOYSA-N 0 1 316.445 3.086 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(Cc2ccc(OC)cc2)CC1 ZINC001196010457 1101234765 /nfs/dbraw/zinc/23/47/65/1101234765.db2.gz OBDTXBIQNOYQOR-UHFFFAOYSA-N 0 1 316.445 3.086 20 30 DGEDMN C=C(C)CCC(=O)N1CCCN(Cc2sc(C)nc2C)CC1 ZINC001196010534 1101235845 /nfs/dbraw/zinc/23/58/45/1101235845.db2.gz PNRGMJBJOUMMEV-UHFFFAOYSA-N 0 1 321.490 3.151 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2cc(Cl)c(F)cc2O)cc1 ZINC001196478055 1101315887 /nfs/dbraw/zinc/31/58/87/1101315887.db2.gz NHELLHRZKKEUPF-UHFFFAOYSA-N 0 1 303.720 3.096 20 30 DGEDMN N#Cc1nc(-c2ccccc2)c(-c2cc(O)cc(F)c2)nc1C#N ZINC001241260946 1101329383 /nfs/dbraw/zinc/32/93/83/1101329383.db2.gz CVRANGNIOYKIMG-UHFFFAOYSA-N 0 1 316.295 3.399 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCCN(CCOCCC(C)C)CC1 ZINC001196829175 1101402782 /nfs/dbraw/zinc/40/27/82/1101402782.db2.gz DFGAKEVGEYHNGI-QZTJIDSGSA-N 0 1 324.509 3.042 20 30 DGEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001196855037 1101418824 /nfs/dbraw/zinc/41/88/24/1101418824.db2.gz XLIJHCWPKXZJCV-MSOLQXFVSA-N 0 1 314.473 3.488 20 30 DGEDMN COc1cc(C#N)ccc1-c1nc(C(C)(C)C)nc2nc[nH]c21 ZINC001241393384 1101428055 /nfs/dbraw/zinc/42/80/55/1101428055.db2.gz JKNRIKHRFYSCNT-UHFFFAOYSA-N 0 1 307.357 3.149 20 30 DGEDMN CN1CCC[C@](C)(NC(=O)c2ccc(-c3ccc(C#N)cc3)o2)C1 ZINC001197404521 1101557026 /nfs/dbraw/zinc/55/70/26/1101557026.db2.gz WVUQSVWNWSKKAS-IBGZPJMESA-N 0 1 323.396 3.032 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1cccc(C(C)C)n1 ZINC001152353369 1101680328 /nfs/dbraw/zinc/68/03/28/1101680328.db2.gz YDABNWDDYONLDJ-MRXNPFEDSA-N 0 1 317.477 3.498 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cccc(C(C)C)n1 ZINC001152353369 1101680337 /nfs/dbraw/zinc/68/03/37/1101680337.db2.gz YDABNWDDYONLDJ-MRXNPFEDSA-N 0 1 317.477 3.498 20 30 DGEDMN C#CC[N@@H+](Cc1cc(=O)oc2cc([O-])c(CC)cc12)C(C)(C)C ZINC001197930595 1101703177 /nfs/dbraw/zinc/70/31/77/1101703177.db2.gz RCTRDTYGKBVCDQ-UHFFFAOYSA-N 0 1 313.397 3.295 20 30 DGEDMN C#CC[N@H+](Cc1cc(=O)oc2cc([O-])c(CC)cc12)C(C)(C)C ZINC001197930595 1101703185 /nfs/dbraw/zinc/70/31/85/1101703185.db2.gz RCTRDTYGKBVCDQ-UHFFFAOYSA-N 0 1 313.397 3.295 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)CCCc2ccccc2)CC1 ZINC001198296660 1101807110 /nfs/dbraw/zinc/80/71/10/1101807110.db2.gz SHBZCMSGPULKSE-GOSISDBHSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC1CCCC1)c1ccccc1OC ZINC001198499689 1101880634 /nfs/dbraw/zinc/88/06/34/1101880634.db2.gz PIKPCWGXAVKPKE-QGZVFWFLSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@@H](C)C(C)C)c1ccccc1OC ZINC001198606328 1101924874 /nfs/dbraw/zinc/92/48/74/1101924874.db2.gz QZLFYEJFHQIGTD-NVXWUHKLSA-N 0 1 318.461 3.310 20 30 DGEDMN N#Cc1c[nH]c2ncnc(-c3cc(C(F)(F)F)ccc3O)c12 ZINC001242126008 1101925576 /nfs/dbraw/zinc/92/55/76/1101925576.db2.gz FNKHLWHZPNHYGU-UHFFFAOYSA-N 0 1 304.231 3.221 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)/C(C)=C\CC)c1ccccc1OC ZINC001198584902 1101930628 /nfs/dbraw/zinc/93/06/28/1101930628.db2.gz NAACSUFWMICMPQ-BAPRJRNISA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CCC1CCC1)c1ccccc1OC ZINC001198647366 1101941626 /nfs/dbraw/zinc/94/16/26/1101941626.db2.gz MPJHUISSDKODAK-KRWDZBQOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@H](C)CC)c1ccccc1OC ZINC001198694117 1101947199 /nfs/dbraw/zinc/94/71/99/1101947199.db2.gz GMZUSBYZCOCVMH-ZBFHGGJFSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@H](CNC(=O)C[C@H](C)CC)c1ccccc1OC ZINC001198694112 1101947281 /nfs/dbraw/zinc/94/72/81/1101947281.db2.gz GMZUSBYZCOCVMH-GDBMZVCRSA-N 0 1 304.434 3.064 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@H](C=C)CC)c1ccccc1OC ZINC001198857578 1102004850 /nfs/dbraw/zinc/00/48/50/1102004850.db2.gz RPOMQFAUXNHNID-WBVHZDCISA-N 0 1 316.445 3.230 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)C(C)(C)CC)c1ccccc1OC ZINC001198876175 1102017562 /nfs/dbraw/zinc/01/75/62/1102017562.db2.gz PUGKXUMQRCLAON-MRXNPFEDSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)CC[C@H](C)CC)c1ccccc1OC ZINC001198939388 1102029745 /nfs/dbraw/zinc/02/97/45/1102029745.db2.gz LPKSKSPCTCSEKC-NVXWUHKLSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)c1ccc(C)cc1)c1ccccc1OC ZINC001198968981 1102036090 /nfs/dbraw/zinc/03/60/90/1102036090.db2.gz QQHUEEDHEMLJAC-SFHVURJKSA-N 0 1 324.424 3.250 20 30 DGEDMN CCOC(=O)c1cc2cc(-c3cccc(OC)c3C#N)cnc2[nH]1 ZINC001242301630 1102040806 /nfs/dbraw/zinc/04/08/06/1102040806.db2.gz SRDYTJZZHOJDBQ-UHFFFAOYSA-N 0 1 321.336 3.287 20 30 DGEDMN C=CCN[C@@H](CNC(=O)CC(CC)CC)c1ccccc1OC ZINC001198945360 1102041177 /nfs/dbraw/zinc/04/11/77/1102041177.db2.gz MHDGAHUWPWLINH-KRWDZBQOSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](CC)CCC)c1ccccc1OC ZINC001198944951 1102041336 /nfs/dbraw/zinc/04/13/36/1102041336.db2.gz IXMAFAMRKGCJDI-DOTOQJQBSA-N 0 1 318.461 3.454 20 30 DGEDMN Cc1cc(C#N)cc(-c2ccc(CCN3CCOCC3)cc2)c1 ZINC001242479606 1102157900 /nfs/dbraw/zinc/15/79/00/1102157900.db2.gz ACDGEGHLXVLEJZ-UHFFFAOYSA-N 0 1 306.409 3.408 20 30 DGEDMN COc1ccc(-c2ccnc(-c3ccc(O)c(C#N)c3)n2)cc1 ZINC001242564117 1102211271 /nfs/dbraw/zinc/21/12/71/1102211271.db2.gz QAVIKUWCLPYFEB-UHFFFAOYSA-N 0 1 303.321 3.396 20 30 DGEDMN N#Cc1nc(-c2ccccc2N2CCCCC2)cc2[nH]cnc21 ZINC001242873309 1102392581 /nfs/dbraw/zinc/39/25/81/1102392581.db2.gz NQRYYMITFOMLMI-UHFFFAOYSA-N 0 1 303.369 3.487 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cncc(C(C)C)c2)cc1C#N ZINC001201295550 1102547271 /nfs/dbraw/zinc/54/72/71/1102547271.db2.gz UXHANOXAQZRJLU-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2scnc2C(C)C)cc1C#N ZINC001201296385 1102547479 /nfs/dbraw/zinc/54/74/79/1102547479.db2.gz HPKKTINTGXCKGQ-UHFFFAOYSA-N 0 1 321.427 3.247 20 30 DGEDMN CCOc1ccc(-c2nccc3[nH]cc(C#N)c32)c(OCC)n1 ZINC001243153054 1102557372 /nfs/dbraw/zinc/55/73/72/1102557372.db2.gz RUMHKMBBJDOSGH-UHFFFAOYSA-N 0 1 308.341 3.294 20 30 DGEDMN CCCCCCCN1Cc2nccn2C[C@H](Cn2cccn2)C1 ZINC001201776327 1102735050 /nfs/dbraw/zinc/73/50/50/1102735050.db2.gz BRWYQBIDKNARDS-QGZVFWFLSA-N 0 1 315.465 3.182 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001202130887 1102846172 /nfs/dbraw/zinc/84/61/72/1102846172.db2.gz QGDJAQAEDHFCKG-HUUCEWRRSA-N 0 1 319.449 3.227 20 30 DGEDMN C=CCOC[C@H]1CCC[C@@]12CN(CCCC(F)(F)F)CCO2 ZINC001202415664 1102974891 /nfs/dbraw/zinc/97/48/91/1102974891.db2.gz OJSJWFFPNYXKKV-HUUCEWRRSA-N 0 1 321.383 3.403 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC/C=C/c1ccccc1)C2 ZINC001111016273 1103018036 /nfs/dbraw/zinc/01/80/36/1103018036.db2.gz CBVRPTHGYNTOFH-MNKMEQRWSA-N 0 1 322.452 3.225 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)c1ccccc1F)C2 ZINC001111158011 1103056483 /nfs/dbraw/zinc/05/64/83/1103056483.db2.gz ZAXNGSNZQDRERS-DTDBQYNISA-N 0 1 316.420 3.227 20 30 DGEDMN COc1cc(C#N)cc(-c2ccc3[nH]c(C)nc3c2)c1OC(C)=O ZINC001243965942 1103091110 /nfs/dbraw/zinc/09/11/10/1103091110.db2.gz YXIFQBFHFFHLJY-UHFFFAOYSA-N 0 1 321.336 3.344 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)[C@H]1C[C@H]1C ZINC001325678379 1103099882 /nfs/dbraw/zinc/09/98/82/1103099882.db2.gz XLNSKESGKZNROY-CJNGLKHVSA-N 0 1 306.837 3.013 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](CC)C(C)(C)C)C2 ZINC001111269027 1103150297 /nfs/dbraw/zinc/15/02/97/1103150297.db2.gz MLBOUXMXDWKYDI-VQHPVUNQSA-N 0 1 304.478 3.194 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc(C4(C#N)CC4)c3)nc2)CC1 ZINC001244075575 1103191578 /nfs/dbraw/zinc/19/15/78/1103191578.db2.gz UMRHNPNARLZKPH-UHFFFAOYSA-N 0 1 318.424 3.056 20 30 DGEDMN CCOC(=O)C[C@H]1CCCN1Cc1ccc(C#N)cc1Cl ZINC001326071363 1103200426 /nfs/dbraw/zinc/20/04/26/1103200426.db2.gz NGZDQACGDIPROU-CQSZACIVSA-N 0 1 306.793 3.129 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(CC)CC)C1 ZINC001488886584 1103205832 /nfs/dbraw/zinc/20/58/32/1103205832.db2.gz PKAYUTLTGCIXRT-ZWKOTPCHSA-N 0 1 300.446 3.193 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1cccc(F)c1 ZINC001495105540 1103240060 /nfs/dbraw/zinc/24/00/60/1103240060.db2.gz AXFODQLMHSXCEJ-SWLSCSKDSA-N 0 1 312.816 3.166 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ccc2c(c1)CCC=C2 ZINC001489329982 1103365631 /nfs/dbraw/zinc/36/56/31/1103365631.db2.gz HROZWSIKPWWDEE-FQEVSTJZSA-N 0 1 322.452 3.206 20 30 DGEDMN Cc1c(C(=O)OCc2ccc(CC#N)cc2)ccc2cncn21 ZINC001326614899 1103387080 /nfs/dbraw/zinc/38/70/80/1103387080.db2.gz OHZJYAZLWARPOL-UHFFFAOYSA-N 0 1 305.337 3.066 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1COCCN1CC1CCCCCC1 ZINC001326653392 1103397304 /nfs/dbraw/zinc/39/73/04/1103397304.db2.gz AZDDTMVDNHPGSO-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC(C)(C)C3CC3)cccc2C1 ZINC001326676849 1103404627 /nfs/dbraw/zinc/40/46/27/1103404627.db2.gz XMUKZQNVOJMRHN-UHFFFAOYSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)c3ccccc3F)C[C@H]21 ZINC001114748041 1103423739 /nfs/dbraw/zinc/42/37/39/1103423739.db2.gz LWUAGNCJHRPIST-HWLIBYHHSA-N 0 1 316.420 3.289 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2oc(C)nc2C)CCC1 ZINC001326874831 1103466040 /nfs/dbraw/zinc/46/60/40/1103466040.db2.gz KLDBQMBSLKFBMX-OAHLLOKOSA-N 0 1 319.449 3.022 20 30 DGEDMN COC(OC)c1c(C#N)ccnc1-c1cc(C)c(F)c(O)c1 ZINC001244507605 1103487469 /nfs/dbraw/zinc/48/74/69/1103487469.db2.gz PKVRRISQTDCZSN-UHFFFAOYSA-N 0 1 302.305 3.065 20 30 DGEDMN C=CC(C)(C)C(=O)NCCCN(C)Cc1oc(C(C)C)nc1C ZINC001490234494 1103516080 /nfs/dbraw/zinc/51/60/80/1103516080.db2.gz YISFQPXUDMZIFS-UHFFFAOYSA-N 0 1 321.465 3.257 20 30 DGEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CC(=C)Cl)CC2)CCC1 ZINC001327148732 1103553788 /nfs/dbraw/zinc/55/37/88/1103553788.db2.gz VGWLZBMERUIQNJ-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC001327148534 1103554951 /nfs/dbraw/zinc/55/49/51/1103554951.db2.gz SUDAZWXYLHTNSH-DOTOQJQBSA-N 0 1 319.449 3.056 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)[C@@]1(C)C=CCC1 ZINC001327246182 1103599152 /nfs/dbraw/zinc/59/91/52/1103599152.db2.gz UNZQUQKNTBLYMP-NRFANRHFSA-N 0 1 324.468 3.175 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCOc2ccccc2)C1 ZINC001490438317 1103601452 /nfs/dbraw/zinc/60/14/52/1103601452.db2.gz FJOLWYREXINABQ-QGZVFWFLSA-N 0 1 316.445 3.002 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCN(C)Cc1oc(C)nc1C ZINC001327258958 1103602858 /nfs/dbraw/zinc/60/28/58/1103602858.db2.gz XGTZCUPTHZRJPL-SFHVURJKSA-N 0 1 321.465 3.174 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)CC2CCCCC2)CC1 ZINC001490419736 1103617550 /nfs/dbraw/zinc/61/75/50/1103617550.db2.gz HWIPNXIIMAAKFL-INIZCTEOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC(C)C#CC(=O)N[C@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001490437306 1103627691 /nfs/dbraw/zinc/62/76/91/1103627691.db2.gz RAYLYWHKULGUFH-FQEVSTJZSA-N 0 1 324.468 3.304 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@H](CNC(=O)C(C)(C)C)O2 ZINC001327362894 1103628761 /nfs/dbraw/zinc/62/87/61/1103628761.db2.gz DWKLBJVBVSYYOA-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN COc1c(F)cc(-c2cc3[nH]cnc3c(C#N)n2)cc1Cl ZINC001244694110 1103628909 /nfs/dbraw/zinc/62/89/09/1103628909.db2.gz YMIFMWYAXRMKIT-UHFFFAOYSA-N 0 1 302.696 3.298 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@@H](C)CC ZINC001327508059 1103665736 /nfs/dbraw/zinc/66/57/36/1103665736.db2.gz NICBQEJMPDJBQY-YJBOKZPZSA-N 0 1 300.446 3.152 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)CCC2(C)CC2)CC1 ZINC001490605457 1103677079 /nfs/dbraw/zinc/67/70/79/1103677079.db2.gz FFSHOAGPABBYIN-AWEZNQCLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)c1ccccc1F)C1CC1 ZINC001490721220 1103703504 /nfs/dbraw/zinc/70/35/04/1103703504.db2.gz ZQXLWWISFIMZED-BLLLJJGKSA-N 0 1 324.827 3.166 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1csc(C)n1)C1CC1 ZINC001490693901 1103707096 /nfs/dbraw/zinc/70/70/96/1103707096.db2.gz NAGPAOQYYILLPK-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CC[C@H](CC)CC1)C1CC1 ZINC001490732633 1103712622 /nfs/dbraw/zinc/71/26/22/1103712622.db2.gz NBPAUYAPIFBWGB-BPUTZDHNSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@@H](C)c1ccccc1)C1CC1 ZINC001490738003 1103714143 /nfs/dbraw/zinc/71/41/43/1103714143.db2.gz MDHCIEHLSWJNPM-DYVFJYSZSA-N 0 1 320.864 3.417 20 30 DGEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1ccsc1)C2 ZINC001095999898 1103726600 /nfs/dbraw/zinc/72/66/00/1103726600.db2.gz RDHCXSBJZAIYRM-UKMLZYKCSA-N 0 1 304.459 3.149 20 30 DGEDMN CCc1ccccc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001490793401 1103746361 /nfs/dbraw/zinc/74/63/61/1103746361.db2.gz VNFLCEVIHWHHNB-BGYRXZFFSA-N 0 1 324.468 3.084 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCCCCCCC)C2 ZINC001110672453 1103782850 /nfs/dbraw/zinc/78/28/50/1103782850.db2.gz WQPTYKXBXODNBV-KURKYZTESA-N 0 1 322.493 3.271 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCCC(C)(C)C1)C2 ZINC001096345495 1103802982 /nfs/dbraw/zinc/80/29/82/1103802982.db2.gz MACUSXMNNXHAPR-MHORFTMASA-N 0 1 316.489 3.338 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c(C)c1Cl)C2 ZINC001096344631 1103806598 /nfs/dbraw/zinc/80/65/98/1103806598.db2.gz DHFLFXKSPULDDL-KBMXLJTQSA-N 0 1 318.848 3.478 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccccc1Cl)C2 ZINC001096405373 1103822657 /nfs/dbraw/zinc/82/26/57/1103822657.db2.gz YAROGEKSXXMAKY-KCXAZCMYSA-N 0 1 304.821 3.251 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(C)C)cc1)C2 ZINC001096414405 1103829121 /nfs/dbraw/zinc/82/91/21/1103829121.db2.gz HCWHNXKVGBRTTI-QYZOEREBSA-N 0 1 310.441 3.168 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C3CCCCC3)CC1)C2 ZINC001096434990 1103833889 /nfs/dbraw/zinc/83/38/89/1103833889.db2.gz RMGVWSWASVNYRW-IKGGRYGDSA-N 0 1 302.462 3.254 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)csc1Cl)C2 ZINC001096436643 1103834253 /nfs/dbraw/zinc/83/42/53/1103834253.db2.gz KJGDQUGMXLVDAL-AGIUHOORSA-N 0 1 322.861 3.068 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(c3ccccc3C)CCC1)C2 ZINC001096442577 1103835719 /nfs/dbraw/zinc/83/57/19/1103835719.db2.gz NWZYYERRVVORSY-NEWSRXKRSA-N 0 1 324.468 3.324 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]cc1-c1ccccc1)C2 ZINC001096545450 1103861504 /nfs/dbraw/zinc/86/15/04/1103861504.db2.gz YZGSJPNQCLQQKY-MNEFBYGVSA-N 0 1 321.424 3.203 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2cnoc2C)[C@H](C)C1 ZINC001328259045 1103894324 /nfs/dbraw/zinc/89/43/24/1103894324.db2.gz OVNQIGOORHTUEN-CZUORRHYSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cc(C)ns2)[C@H](C)C1 ZINC001328261100 1103894341 /nfs/dbraw/zinc/89/43/41/1103894341.db2.gz UNJKGMQWFXNJDM-HUUCEWRRSA-N 0 1 321.490 3.277 20 30 DGEDMN C=CC[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#CC)c1ccccc1 ZINC001111436379 1103908425 /nfs/dbraw/zinc/90/84/25/1103908425.db2.gz DMERUZDNTKEAJG-WTGUMLROSA-N 0 1 322.452 3.091 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001111436447 1103910258 /nfs/dbraw/zinc/91/02/58/1103910258.db2.gz IGAYFKPQQOUOBN-NMLBUPMWSA-N 0 1 324.468 3.329 20 30 DGEDMN C=CC[C@H](Cc1ccccc1)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001111436448 1103911067 /nfs/dbraw/zinc/91/10/67/1103911067.db2.gz IGAYFKPQQOUOBN-WTGUMLROSA-N 0 1 324.468 3.329 20 30 DGEDMN COc1c(F)cc(SC)cc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001245225620 1103917203 /nfs/dbraw/zinc/91/72/03/1103917203.db2.gz WBHBNWCLWJBVOU-UHFFFAOYSA-N 0 1 314.345 3.366 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC001493226987 1103946616 /nfs/dbraw/zinc/94/66/16/1103946616.db2.gz OSDCCWCSGHRORW-AWEZNQCLSA-N 0 1 322.399 3.115 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C=C(CC)CC ZINC001328462947 1103953747 /nfs/dbraw/zinc/95/37/47/1103953747.db2.gz AEEPJGLMWZRZFD-HXUWFJFHSA-N 0 1 324.468 3.472 20 30 DGEDMN C#CCCCCCC(=O)N1CCCC[C@H]1CNCC(=C)Cl ZINC001328646977 1104009720 /nfs/dbraw/zinc/00/97/20/1104009720.db2.gz JDGGBHQNNGOGRL-INIZCTEOSA-N 0 1 310.869 3.293 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(C)CCC ZINC001328694876 1104023059 /nfs/dbraw/zinc/02/30/59/1104023059.db2.gz XZTQCHKKAKLQFK-QZTJIDSGSA-N 0 1 312.457 3.208 20 30 DGEDMN N#Cc1ccc2ccnc(C3=CC[C@H](N4CCOCC4)CC3)c2c1 ZINC001245419759 1104040282 /nfs/dbraw/zinc/04/02/82/1104040282.db2.gz QMHGJTFNYMMAOV-SFHVURJKSA-N 0 1 319.408 3.375 20 30 DGEDMN COc1cc(C2=CC[C@H](N3CCOCC3)CC2)ccc1CC#N ZINC001245423363 1104044305 /nfs/dbraw/zinc/04/43/05/1104044305.db2.gz GUQAEMWTUGWIBP-SFHVURJKSA-N 0 1 312.413 3.029 20 30 DGEDMN N#CCc1ccc(F)c(C2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001245425980 1104048512 /nfs/dbraw/zinc/04/85/12/1104048512.db2.gz LPHORJMPQWWWCA-MRXNPFEDSA-N 0 1 300.377 3.160 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001328778600 1104067010 /nfs/dbraw/zinc/06/70/10/1104067010.db2.gz AZDAGRFUYCBQMJ-FHWLQOOXSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H](NC/C(Cl)=C/Cl)C12CCC2 ZINC001202599623 1104072517 /nfs/dbraw/zinc/07/25/17/1104072517.db2.gz OGZOWWZYLZDNDQ-POVPLDHISA-N 0 1 317.260 3.289 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C2CC2)c1 ZINC001328797752 1104077392 /nfs/dbraw/zinc/07/73/92/1104077392.db2.gz PCHLXXGEKFRULX-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NCc1cccc(OC)c1Cl ZINC001328996183 1104119413 /nfs/dbraw/zinc/11/94/13/1104119413.db2.gz NUBCKMNZNOKBDB-QWHCGFSZSA-N 0 1 324.852 3.298 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cccc(C(C)C)n1 ZINC001329072738 1104144532 /nfs/dbraw/zinc/14/45/32/1104144532.db2.gz FXHCXRUODKQTDD-CHWSQXEVSA-N 0 1 309.841 3.054 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc(C)c1Cl ZINC001329155103 1104171673 /nfs/dbraw/zinc/17/16/73/1104171673.db2.gz ZKDJMGANUAJTAS-NWDGAFQWSA-N 0 1 315.244 3.497 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3cc(C(F)(F)F)n[nH]3)n2)c1 ZINC001212814188 1104217665 /nfs/dbraw/zinc/21/76/65/1104217665.db2.gz MDKXRIJPAFDZBB-UHFFFAOYSA-N 0 1 323.209 3.156 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001329374187 1104235266 /nfs/dbraw/zinc/23/52/66/1104235266.db2.gz AMAKFILKTZFWRP-CQSZACIVSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1ccc([S-])cc1 ZINC001274111961 1104249940 /nfs/dbraw/zinc/24/99/40/1104249940.db2.gz BETOGVPEWRUNLH-IAGOWNOFSA-N 0 1 316.470 3.117 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001329474865 1104277991 /nfs/dbraw/zinc/27/79/91/1104277991.db2.gz QMLXGNRQTWTKRZ-AWEZNQCLSA-N 0 1 314.420 3.052 20 30 DGEDMN CCCCCN1CCN(C(=S)Nc2ccc(C#N)cc2)CC1 ZINC001245898088 1104294759 /nfs/dbraw/zinc/29/47/59/1104294759.db2.gz AOJKIDPVFCDCBP-UHFFFAOYSA-N 0 1 316.474 3.063 20 30 DGEDMN N#Cc1ccc(-n2[nH]c(C3CCC3)cc2=O)cc1Br ZINC001245924645 1104314046 /nfs/dbraw/zinc/31/40/46/1104314046.db2.gz ZHAPQZIKNDCXBI-UHFFFAOYSA-N 0 1 318.174 3.480 20 30 DGEDMN C#CCCc1cc(=O)n(-c2ccc(Br)cc2F)[nH]1 ZINC001245951967 1104338295 /nfs/dbraw/zinc/33/82/95/1104338295.db2.gz MAYBAIPHVUAGJI-UHFFFAOYSA-N 0 1 309.138 3.045 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CCC(C)C ZINC001319840087 1104347267 /nfs/dbraw/zinc/34/72/67/1104347267.db2.gz YVVWQMRVRFXUHC-UKRRQHHQSA-N 0 1 300.874 3.392 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)CCCC[C@@H](C)CC)C(C)(C)C1 ZINC001274434247 1104384747 /nfs/dbraw/zinc/38/47/47/1104384747.db2.gz CDWGYBPBGBPTKS-DLBZAZTESA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c(F)cccc1Cl ZINC001274524524 1104405609 /nfs/dbraw/zinc/40/56/09/1104405609.db2.gz BCFVJUPXRMIZQG-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cccc2ccccc21 ZINC001274552612 1104408692 /nfs/dbraw/zinc/40/86/92/1104408692.db2.gz VKDFSBVJELVTQE-SNAWJCMRSA-N 0 1 314.816 3.468 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H]1CCCCCN1C/C=C\Cl ZINC001329920940 1104423527 /nfs/dbraw/zinc/42/35/27/1104423527.db2.gz MJZRYNMPQYUAEZ-CKDIWJPDSA-N 0 1 310.869 3.293 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)C[C@H]2CCc3ccccc32)C1 ZINC001278434918 1104428311 /nfs/dbraw/zinc/42/83/11/1104428311.db2.gz YOGKVZZIJKSNKZ-XLIONFOSSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cnc(C)o2)[C@@H](C)C1 ZINC001274642805 1104431403 /nfs/dbraw/zinc/43/14/03/1104431403.db2.gz FPWDNOGBXSWGKD-ZFWWWQNUSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001330070448 1104469858 /nfs/dbraw/zinc/46/98/58/1104469858.db2.gz JYPSKIBHHVQADR-ZDUSSCGKSA-N 0 1 320.864 3.240 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)C1=CCCCCC1 ZINC001274732922 1104473411 /nfs/dbraw/zinc/47/34/11/1104473411.db2.gz LCZMCBPGDNRVLR-OAHLLOKOSA-N 0 1 311.429 3.043 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001330086662 1104476725 /nfs/dbraw/zinc/47/67/25/1104476725.db2.gz SZHFXNHRGDKIBV-INIZCTEOSA-N 0 1 312.457 3.039 20 30 DGEDMN CCCCCCCN1CCO[C@@]2(CCN(C(=O)C3CCC3)C2)C1 ZINC001330148888 1104488970 /nfs/dbraw/zinc/48/89/70/1104488970.db2.gz SLHWCBWUFMSVSO-IBGZPJMESA-N 0 1 322.493 3.060 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2ccc(F)cc2)CC1(C)C ZINC001330178374 1104498019 /nfs/dbraw/zinc/49/80/19/1104498019.db2.gz UPKNNMKRWZOXEN-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN[C@@H](C)c2ccc(F)cc2F)C1 ZINC001274913546 1104541107 /nfs/dbraw/zinc/54/11/07/1104541107.db2.gz PVYGREMEAYRVFO-OLZOCXBDSA-N 0 1 322.399 3.476 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001274967356 1104560794 /nfs/dbraw/zinc/56/07/94/1104560794.db2.gz DMPRSWOFTHVLNY-HIFRSBDPSA-N 0 1 319.424 3.118 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CC/C=C\c3ccccc3)C2)C1 ZINC001330502040 1104561509 /nfs/dbraw/zinc/56/15/09/1104561509.db2.gz MTEOCSQPPVIYRU-WDZFZDKYSA-N 0 1 322.452 3.038 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1C(C)(C)C1(C)C ZINC001275063400 1104601058 /nfs/dbraw/zinc/60/10/58/1104601058.db2.gz SJNSGEBZHMYFDZ-IAGOWNOFSA-N 0 1 324.468 3.064 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C12CC(C(C)(C)C)(C1)C2 ZINC001275175534 1104664995 /nfs/dbraw/zinc/66/49/95/1104664995.db2.gz IQSIMOZJWUUGNF-DZIBYMRMSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1sc(C)cc1C ZINC001275404205 1104747951 /nfs/dbraw/zinc/74/79/51/1104747951.db2.gz OPXJRVOQBLPEAE-RYUDHWBXSA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C)sc1C ZINC001275441547 1104761315 /nfs/dbraw/zinc/76/13/15/1104761315.db2.gz DUZOVRQQEZBHMT-GHMZBOCLSA-N 0 1 300.855 3.214 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)cc(Cl)c1 ZINC001275453285 1104763468 /nfs/dbraw/zinc/76/34/68/1104763468.db2.gz WLTTZAWGKKQFKI-RYUDHWBXSA-N 0 1 315.244 3.497 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2cc(F)ccc2o1 ZINC001275504205 1104783331 /nfs/dbraw/zinc/78/33/31/1104783331.db2.gz RZYJPHARPRGHGM-GHMZBOCLSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2cc(F)ccc2o1 ZINC001275504207 1104783408 /nfs/dbraw/zinc/78/34/08/1104783408.db2.gz RZYJPHARPRGHGM-QWRGUYRKSA-N 0 1 324.783 3.421 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001275593089 1104824655 /nfs/dbraw/zinc/82/46/55/1104824655.db2.gz XYPCXZOBTUPWAQ-BHIYHBOVSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](CCC)CC1CCCCC1 ZINC001275665923 1104855561 /nfs/dbraw/zinc/85/55/61/1104855561.db2.gz QCSIBMPWEVUCTO-WMZOPIPTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)s1 ZINC001275783277 1104906757 /nfs/dbraw/zinc/90/67/57/1104906757.db2.gz UHZZLPWAOMJPAJ-NSHDSACASA-N 0 1 300.855 3.304 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(C)nc1C ZINC001275944589 1104963549 /nfs/dbraw/zinc/96/35/49/1104963549.db2.gz HCYHRKRAYCBLNN-OAHLLOKOSA-N 0 1 317.477 3.237 20 30 DGEDMN CCCC(=O)N(C)CCCN(C)CC#Cc1ccccc1Cl ZINC001280781027 1105009062 /nfs/dbraw/zinc/00/90/62/1105009062.db2.gz BGAILJKZSUVINF-UHFFFAOYSA-N 0 1 320.864 3.272 20 30 DGEDMN CN(Cc1nc(-c2cc(F)cc(C#N)c2)no1)C1CCCC1 ZINC001248140224 1105053627 /nfs/dbraw/zinc/05/36/27/1105053627.db2.gz PBAGIZYXXKXSTN-UHFFFAOYSA-N 0 1 300.337 3.122 20 30 DGEDMN C=CCCCCCCNC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC001248565729 1105129506 /nfs/dbraw/zinc/12/95/06/1105129506.db2.gz HGOIRSCJPTYAPQ-KRWDZBQOSA-N 0 1 309.498 3.495 20 30 DGEDMN C[C@]1(c2nc(-c3ccc(-c4ccc(C#N)cc4)cc3)no2)CCN1 ZINC001248721117 1105151110 /nfs/dbraw/zinc/15/11/10/1105151110.db2.gz CETZXISNPPWLNT-LJQANCHMSA-N 0 1 316.364 3.484 20 30 DGEDMN Cn1cc(C[N@@H+]2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c2c1ccnc2Cl ZINC001248837517 1105176064 /nfs/dbraw/zinc/17/60/64/1105176064.db2.gz GNORKCOSSJZEOZ-DEZZGGKRSA-N 0 1 314.820 3.493 20 30 DGEDMN Cn1cc(CN2[C@@H]3CC[C@H]2C[C@H](C#N)C3)c2c1ccnc2Cl ZINC001248837517 1105176066 /nfs/dbraw/zinc/17/60/66/1105176066.db2.gz GNORKCOSSJZEOZ-DEZZGGKRSA-N 0 1 314.820 3.493 20 30 DGEDMN N#Cc1cc(F)cc(-c2noc(CNC3CCCCC3)n2)c1 ZINC001248942557 1105198220 /nfs/dbraw/zinc/19/82/20/1105198220.db2.gz VGHUAAUZAWIFNE-UHFFFAOYSA-N 0 1 300.337 3.170 20 30 DGEDMN CCN1CCCC[C@H]1c1nc(-c2cc(F)cc(C#N)c2)no1 ZINC001248963799 1105205033 /nfs/dbraw/zinc/20/50/33/1105205033.db2.gz QAGSRCAAPVBTBU-AWEZNQCLSA-N 0 1 300.337 3.294 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc4c(c3)CCCC4=O)no2)C1 ZINC001249231459 1105252703 /nfs/dbraw/zinc/25/27/03/1105252703.db2.gz FLPMGZGZFWQMDY-SFHVURJKSA-N 0 1 309.369 3.020 20 30 DGEDMN C=C1CN[C@](C)(c2nc(-c3ccc(OCC)c(F)c3F)no2)C1 ZINC001249231742 1105252760 /nfs/dbraw/zinc/25/27/60/1105252760.db2.gz OGKOQKKJFVVQLF-INIZCTEOSA-N 0 1 321.327 3.178 20 30 DGEDMN CCSc1ncc2cccc(CN3CCC(S)CC3)n21 ZINC001249500715 1105298575 /nfs/dbraw/zinc/29/85/75/1105298575.db2.gz OXNKQLCTSWGBQE-UHFFFAOYSA-N 0 1 307.488 3.341 20 30 DGEDMN N#Cc1oc2ccccc2c1N=C1CCC(N2CCOCC2)CC1 ZINC001249558185 1105313850 /nfs/dbraw/zinc/31/38/50/1105313850.db2.gz TZBNMKQIRDUYJA-HNNXBMFYSA-N 0 1 323.396 3.485 20 30 DGEDMN C[C@H](NC(=S)Nc1cc(C#N)ccc1O)c1ccc(F)cc1 ZINC001249604965 1105329876 /nfs/dbraw/zinc/32/98/76/1105329876.db2.gz RWSVGTWCRTXMCH-JTQLQIEISA-N 0 1 315.373 3.451 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(F)c(C(F)F)c1)C2 ZINC001097089608 1105343646 /nfs/dbraw/zinc/34/36/46/1105343646.db2.gz WXDIMAVGPMJAFF-DFBGVHRSSA-N 0 1 324.346 3.284 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c3ccccc3n1)C2 ZINC001097359172 1105383423 /nfs/dbraw/zinc/38/34/23/1105383423.db2.gz BZXPGHPSGZMMOF-BJZITVGISA-N 0 1 321.424 3.064 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C)CCC(F)(F)CC1)C2 ZINC001097363885 1105387360 /nfs/dbraw/zinc/38/73/60/1105387360.db2.gz SHASFBBQVOUERL-MCIONIFRSA-N 0 1 312.404 3.110 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(C(C)C)n1)C2 ZINC001097451038 1105393497 /nfs/dbraw/zinc/39/34/97/1105393497.db2.gz DJPGAJGHCMXRHH-OLMNPRSZSA-N 0 1 313.445 3.116 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(c3cccc(C)c3)CCC1)C2 ZINC001097618569 1105413771 /nfs/dbraw/zinc/41/37/71/1105413771.db2.gz XKNKKEDNIZSJNE-QRVBRYPASA-N 0 1 324.468 3.324 20 30 DGEDMN COC(=O)c1cc(Nc2cc(O)c(F)c(F)c2F)ccc1C#N ZINC001249965725 1105417734 /nfs/dbraw/zinc/41/77/34/1105417734.db2.gz ISKFIAGYQQVGLW-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(F)c1Cl)C2 ZINC001097924312 1105464413 /nfs/dbraw/zinc/46/44/13/1105464413.db2.gz KALPASOTULZXDC-HONMWMINSA-N 0 1 308.784 3.000 20 30 DGEDMN CC[C@@H](CC#N)NC[C@H]1COc2ccc(Br)cc2C1 ZINC001255165335 1105503611 /nfs/dbraw/zinc/50/36/11/1105503611.db2.gz XBXJMRHWBWHVLP-FZMZJTMJSA-N 0 1 323.234 3.282 20 30 DGEDMN N#Cc1ccc(Cc2nc3cc(F)c(-n4ccnc4)cc3[nH]2)cc1 ZINC001250587298 1105542317 /nfs/dbraw/zinc/54/23/17/1105542317.db2.gz DYJYRDVKNOOCNV-UHFFFAOYSA-N 0 1 317.327 3.350 20 30 DGEDMN C=CCN(Cc1nc2cc(F)cc(Cl)c2[nH]1)C(=O)OCC ZINC001250591347 1105542949 /nfs/dbraw/zinc/54/29/49/1105542949.db2.gz KPJLIPORPBWFFK-UHFFFAOYSA-N 0 1 311.744 3.500 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)c1ccc(Cl)s1)C2 ZINC001098180818 1105561166 /nfs/dbraw/zinc/56/11/66/1105561166.db2.gz OKEOAPJGUZCLRV-LPWJVIDDSA-N 0 1 324.877 3.413 20 30 DGEDMN C#CCOc1ccc(-c2nc3ccc(NC(C)=O)cc3[nH]2)cc1 ZINC001250705225 1105563532 /nfs/dbraw/zinc/56/35/32/1105563532.db2.gz RMRWEXJLBMSMRL-UHFFFAOYSA-N 0 1 305.337 3.200 20 30 DGEDMN CCCC(=O)NCC1(C)CCN(Cc2ccccc2C#N)CC1 ZINC001278593533 1105569222 /nfs/dbraw/zinc/56/92/22/1105569222.db2.gz JRBOPDWUMYCQSZ-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csc3c1CCCC3)C2 ZINC001098343012 1105570689 /nfs/dbraw/zinc/57/06/89/1105570689.db2.gz RRHALLFPKYGJOY-WQVCFCJDSA-N 0 1 316.470 3.148 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)C[C@H]2C=CCC2)CC1 ZINC001278594601 1105572067 /nfs/dbraw/zinc/57/20/67/1105572067.db2.gz ZJGPYFXWYHUNRI-HNNXBMFYSA-N 0 1 310.869 3.314 20 30 DGEDMN C=CCOc1ccccc1-c1nc2cc3c(cc2[nH]1)OCC(=O)N3 ZINC001250743687 1105574051 /nfs/dbraw/zinc/57/40/51/1105574051.db2.gz WSMPKJVQLVQFTO-UHFFFAOYSA-N 0 1 321.336 3.126 20 30 DGEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3cc(C)ccc3o1)C2 ZINC001098461339 1105578142 /nfs/dbraw/zinc/57/81/42/1105578142.db2.gz WZVBTVKXZNKVBV-ZACQAIPSSA-N 0 1 322.408 3.100 20 30 DGEDMN CC(C)c1ccc(OC[C@H](O)CN2CCC(C)(C#N)CC2)cc1 ZINC001250759061 1105578913 /nfs/dbraw/zinc/57/89/13/1105578913.db2.gz OTTCISGSUTYWDE-QGZVFWFLSA-N 0 1 316.445 3.175 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc3ccccc13)C2 ZINC001098495567 1105580652 /nfs/dbraw/zinc/58/06/52/1105580652.db2.gz BHHQMTQSYURBHT-XYPHTWIQSA-N 0 1 310.397 3.344 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)/C=C(\C)C2CC2)CC1 ZINC001278597976 1105580936 /nfs/dbraw/zinc/58/09/36/1105580936.db2.gz FPLDCVDOAADORZ-JLHYYAGUSA-N 0 1 310.869 3.314 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1CCC(C)(C)CC1)C2 ZINC001098527669 1105582504 /nfs/dbraw/zinc/58/25/04/1105582504.db2.gz FMADFUNLXLPEJY-KURKYZTESA-N 0 1 316.489 3.338 20 30 DGEDMN C=C1CCC(C(=O)N[C@]23CCC[C@H]2CN(C/C=C\Cl)C3)CC1 ZINC001099012860 1105627572 /nfs/dbraw/zinc/62/75/72/1105627572.db2.gz PXNINMUKNLKBSI-CPWWUIAISA-N 0 1 322.880 3.456 20 30 DGEDMN N#Cc1ccc(O)c(-c2nc3cc(-n4ccnc4)c(F)cc3[nH]2)c1 ZINC001251180210 1105635761 /nfs/dbraw/zinc/63/57/61/1105635761.db2.gz IYOQJHQPXDEAMA-UHFFFAOYSA-N 0 1 319.299 3.132 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc3ccccc3c2)C1 ZINC001099133298 1105647683 /nfs/dbraw/zinc/64/76/83/1105647683.db2.gz OVRINKJMPRXSLT-FPOVZHCZSA-N 0 1 318.420 3.057 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1CCC(C)(C)CN1CC#CC ZINC001099170989 1105652677 /nfs/dbraw/zinc/65/26/77/1105652677.db2.gz MQHFUNOMKFALOJ-JDZKTDJGSA-N 0 1 302.462 3.139 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001099349910 1105671717 /nfs/dbraw/zinc/67/17/17/1105671717.db2.gz VGOKHXJFFHBILY-FXAWDEMLSA-N 0 1 316.489 3.363 20 30 DGEDMN CCCCCCCC[C@H](O)CNCc1cn(CC2CC2)cn1 ZINC001252140072 1105750053 /nfs/dbraw/zinc/75/00/53/1105750053.db2.gz YAUNCPRXGGRIEC-SFHVURJKSA-N 0 1 307.482 3.494 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN(Cc2nc(C)c(C)s2)C1 ZINC001491098577 1105750773 /nfs/dbraw/zinc/75/07/73/1105750773.db2.gz PGMQLWXAEHWMFK-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001491116237 1105756143 /nfs/dbraw/zinc/75/61/43/1105756143.db2.gz JNMRKFTUQJKIHD-LJQANCHMSA-N 0 1 324.468 3.079 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)C(C)(C)C(F)F)C1 ZINC001491302105 1105804146 /nfs/dbraw/zinc/80/41/46/1105804146.db2.gz ZASMGPCVXKULIC-VXGBXAGGSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)c1ccc(F)cc1)C2 ZINC001111479367 1105833612 /nfs/dbraw/zinc/83/36/12/1105833612.db2.gz SZQMIQCYCBLIJU-XDNAFOTISA-N 0 1 316.420 3.227 20 30 DGEDMN N#CC1(c2ccccc2)CCN(C[C@H](O)c2ccc(F)cc2)CC1 ZINC001252834138 1105838202 /nfs/dbraw/zinc/83/82/02/1105838202.db2.gz KNHOEUIYTZIKMQ-IBGZPJMESA-N 0 1 324.399 3.416 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CN1C[C@@H](F)C[C@H]1CO ZINC001252978620 1105864085 /nfs/dbraw/zinc/86/40/85/1105864085.db2.gz CTURGKCGGAKBEA-YESZJQIVSA-N 0 1 303.462 3.283 20 30 DGEDMN CCCCCCCCCC[C@@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252989593 1105868078 /nfs/dbraw/zinc/86/80/78/1105868078.db2.gz RBYKFWZXGNCQGV-GOSISDBHSA-N 0 1 323.525 3.456 20 30 DGEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1O)c1ccc(F)cc1F ZINC001253713369 1105972714 /nfs/dbraw/zinc/97/27/14/1105972714.db2.gz WGAAGMGDJDSVPQ-SECBINFHSA-N 0 1 302.280 3.284 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CN(CC(=C)Cl)C[C@H]1C ZINC001102228377 1106040175 /nfs/dbraw/zinc/04/01/75/1106040175.db2.gz AMLRNNJJKLPVER-GDBMZVCRSA-N 0 1 310.869 3.007 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C[C@H]2CC=CCC2)[C@H](C)C1 ZINC001102226122 1106040200 /nfs/dbraw/zinc/04/02/00/1106040200.db2.gz DZEWSRNDKMVQFL-VNQPRFMTSA-N 0 1 310.869 3.169 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CN([C@@H](C)c2ncc(C)o2)C[C@H]1C ZINC001102297321 1106047482 /nfs/dbraw/zinc/04/74/82/1106047482.db2.gz UQWFGIYRJXMWKZ-VNQPRFMTSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(CN1CCCC1)c1nnc(Cc2ccc(Cl)cc2)o1 ZINC001254280437 1106048732 /nfs/dbraw/zinc/04/87/32/1106048732.db2.gz OTJLTVHATOXOCX-UHFFFAOYSA-N 0 1 303.793 3.423 20 30 DGEDMN Cn1c(CN[C@H]2CC[C@@H](C#N)C2)nc2cc(C(F)(F)F)ccc21 ZINC001254642595 1106120015 /nfs/dbraw/zinc/12/00/15/1106120015.db2.gz ISNYALRXICXGER-PWSUYJOCSA-N 0 1 322.334 3.374 20 30 DGEDMN COc1cc(NC(=O)CN[C@H]2CC[C@H](C#N)C2)cc2ccccc21 ZINC001254654241 1106123600 /nfs/dbraw/zinc/12/36/00/1106123600.db2.gz SSEPESCFENQSLV-ZFWWWQNUSA-N 0 1 323.396 3.069 20 30 DGEDMN CC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@H]1CC[C@H](C#N)C1 ZINC001254669936 1106128350 /nfs/dbraw/zinc/12/83/50/1106128350.db2.gz XKRPFAKDQWTDDK-ZNMIVQPWSA-N 0 1 307.438 3.010 20 30 DGEDMN C=CCOC(=O)N1CCCC2(CCN([C@@H](CC)CC#N)CC2)C1 ZINC001255165119 1106196142 /nfs/dbraw/zinc/19/61/42/1106196142.db2.gz TYWAIAUGPRVVKN-INIZCTEOSA-N 0 1 319.449 3.179 20 30 DGEDMN C=CCOC(=O)N1CCC2(CC1)CCN([C@H](CC)CC#N)CC2 ZINC001255164623 1106196253 /nfs/dbraw/zinc/19/62/53/1106196253.db2.gz MDVHBGASFUFDQX-MRXNPFEDSA-N 0 1 319.449 3.179 20 30 DGEDMN CC[C@H](CC#N)N1CC[C@@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001255167168 1106197637 /nfs/dbraw/zinc/19/76/37/1106197637.db2.gz VLIRCAUPFBRMSO-CRAIPNDOSA-N 0 1 321.465 3.402 20 30 DGEDMN Cc1cc(C)c(NS(=O)(=O)c2ccc(F)cc2F)cc1C#N ZINC001256083027 1106367550 /nfs/dbraw/zinc/36/75/50/1106367550.db2.gz MRDJPAMUJCNEQM-UHFFFAOYSA-N 0 1 322.336 3.254 20 30 DGEDMN C=CC[C@H](CC)NC(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)cc1 ZINC001256504376 1106431479 /nfs/dbraw/zinc/43/14/79/1106431479.db2.gz JVKDDTABWLFOKJ-YESZJQIVSA-N 0 1 319.424 3.225 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1ccn(C(C)C)n1)C(C)(C)C ZINC001278945810 1106443461 /nfs/dbraw/zinc/44/34/61/1106443461.db2.gz OSFADOPZBNHSFG-MRXNPFEDSA-N 0 1 320.481 3.051 20 30 DGEDMN C=CCN(CC=C)C(=O)CN1Cc2ccccc2C2(CCC2)C1 ZINC000352377888 1106502959 /nfs/dbraw/zinc/50/29/59/1106502959.db2.gz ZPKRQWPSUBISEE-UHFFFAOYSA-N 0 1 310.441 3.125 20 30 DGEDMN CC[N@@H+]([C@@H](C)C(=O)OC)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257022094 1106525722 /nfs/dbraw/zinc/52/57/22/1106525722.db2.gz FGWFZNAHINSHCA-NNGSBXSVSA-N 0 1 314.429 3.468 20 30 DGEDMN CCOC(=O)c1ccc(C[C@@H](C)N2CC[C@](C)(C#N)C2)cc1 ZINC001257167959 1106548951 /nfs/dbraw/zinc/54/89/51/1106548951.db2.gz RYRUBQZHBUJNAS-RDTXWAMCSA-N 0 1 300.402 3.030 20 30 DGEDMN CC(C)[C@H]1C[C@@H](N(C)CCC#N)CCN1C(=O)OC(C)(C)C ZINC001257186304 1106549927 /nfs/dbraw/zinc/54/99/27/1106549927.db2.gz RLUUZPRXRAYKEH-LSDHHAIUSA-N 0 1 309.454 3.256 20 30 DGEDMN CN1CCC(=[NH+][N-]c2nncc3ccccc32)c2ccccc21 ZINC001257399298 1106581175 /nfs/dbraw/zinc/58/11/75/1106581175.db2.gz NTSJCKZSZSFICJ-UHFFFAOYSA-N 0 1 303.369 3.286 20 30 DGEDMN CCCCCCCCCC[C@@H](C)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001257549623 1106604151 /nfs/dbraw/zinc/60/41/51/1106604151.db2.gz BJHYMOJLBREVIQ-WBVHZDCISA-N 0 1 322.497 3.330 20 30 DGEDMN CCCCCCCCCC[C@H](C)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001257549621 1106604286 /nfs/dbraw/zinc/60/42/86/1106604286.db2.gz BJHYMOJLBREVIQ-RDJZCZTQSA-N 0 1 322.497 3.330 20 30 DGEDMN CCN(CCC#N)C1C[C@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC001257589306 1106609632 /nfs/dbraw/zinc/60/96/32/1106609632.db2.gz CEUUEEAKFSSJKW-KBPBESRZSA-N 0 1 309.454 3.398 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H](C)C1CC1)C(C)(C)C ZINC001279033742 1106620679 /nfs/dbraw/zinc/62/06/79/1106620679.db2.gz DAJLCHQRMGJEJJ-BXUZGUMPSA-N 0 1 300.874 3.296 20 30 DGEDMN N#Cc1cc(-c2nnc(-c3ccc4c(c3)CCC4)o2)ccc1O ZINC001258249128 1106705422 /nfs/dbraw/zinc/70/54/22/1106705422.db2.gz KXHGMUMGNZVPDZ-UHFFFAOYSA-N 0 1 303.321 3.470 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2ocnc2C)CC1 ZINC001316900425 1106746385 /nfs/dbraw/zinc/74/63/85/1106746385.db2.gz DVKZPOCRAFRLON-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CCC(=O)NCCCN(CC#Cc1cccc(Cl)c1)C1CC1 ZINC001316908700 1106755416 /nfs/dbraw/zinc/75/54/16/1106755416.db2.gz GUJSGAUSJSSQPZ-UHFFFAOYSA-N 0 1 318.848 3.072 20 30 DGEDMN N#CCC1CCN(C[C@H](O)c2ccccc2Br)CC1 ZINC001319989661 1106764608 /nfs/dbraw/zinc/76/46/08/1106764608.db2.gz ULFNBRMBHZXCOQ-HNNXBMFYSA-N 0 1 323.234 3.108 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001320007396 1106780543 /nfs/dbraw/zinc/78/05/43/1106780543.db2.gz OFVDGLJVWPUJRB-UHFFFAOYSA-N 0 1 313.445 3.051 20 30 DGEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC001316946685 1106800659 /nfs/dbraw/zinc/80/06/59/1106800659.db2.gz ZJGWSFGBEFLRKY-BQHJZSHBSA-N 0 1 324.468 3.330 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001316946410 1106800952 /nfs/dbraw/zinc/80/09/52/1106800952.db2.gz BQSJORKVEAZLLC-MOPGFXCFSA-N 0 1 314.473 3.268 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](Cc2ccccc2)C(C)C)C1 ZINC001316946419 1106801546 /nfs/dbraw/zinc/80/15/46/1106801546.db2.gz BQSJORKVEAZLLC-OALUTQOASA-N 0 1 314.473 3.268 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)Cc2ccc(C)cc2)C1 ZINC001316954286 1106817727 /nfs/dbraw/zinc/81/77/27/1106817727.db2.gz REXFDMIZAZMWTL-MJGOQNOKSA-N 0 1 314.473 3.330 20 30 DGEDMN CC(C)(C)OC(=O)N1CC[C@@H](N2CC[C@H](C#N)C2)CC1(C)C ZINC001258562383 1106822252 /nfs/dbraw/zinc/82/22/52/1106822252.db2.gz HUEVMVSACRKNSD-ZIAGYGMSSA-N 0 1 307.438 3.010 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001316975951 1106838138 /nfs/dbraw/zinc/83/81/38/1106838138.db2.gz NMSDSLAOAQMWAW-GOSISDBHSA-N 0 1 316.420 3.018 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@H]1CCC(C)(C)C1)CC2 ZINC001258604115 1106841828 /nfs/dbraw/zinc/84/18/28/1106841828.db2.gz WGSIDJSVKMGNKT-AWEZNQCLSA-N 0 1 303.450 3.060 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)CCCc2ccccc2Cl)C1 ZINC001316983958 1106846829 /nfs/dbraw/zinc/84/68/29/1106846829.db2.gz YYTQMAZYLRWYFU-HNNXBMFYSA-N 0 1 306.837 3.039 20 30 DGEDMN CC(C)CCCC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001316986923 1106855831 /nfs/dbraw/zinc/85/58/31/1106855831.db2.gz IQBSUOKJSULHSO-GOSISDBHSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC001316986326 1106856554 /nfs/dbraw/zinc/85/65/54/1106856554.db2.gz YIHOCNUMVMIUJX-XJKSGUPXSA-N 0 1 304.409 3.289 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@H]1CNCc1nc(C)c(C)o1 ZINC001320151901 1106902942 /nfs/dbraw/zinc/90/29/42/1106902942.db2.gz JIZDWBGHJFPQBC-HOTGVXAUSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)CCc1cccc(C)c1)C1CC1 ZINC001317021910 1106911225 /nfs/dbraw/zinc/91/12/25/1106911225.db2.gz MGEJMDAUTKLGAB-KRWDZBQOSA-N 0 1 320.864 3.165 20 30 DGEDMN C#CCCCCCC(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001320202117 1106925701 /nfs/dbraw/zinc/92/57/01/1106925701.db2.gz HGXCDDWXCSUMLE-MJGOQNOKSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCC[C@H](C)N1Cc2cncn2C[C@@H](COCC2CC2)C1 ZINC001258727091 1106931253 /nfs/dbraw/zinc/93/12/53/1106931253.db2.gz BLGIKQAKCGUYNI-RDJZCZTQSA-N 0 1 303.450 3.096 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@H]2[C@H](Oc3ccccn3)CC[C@@H]21 ZINC001258728204 1106933772 /nfs/dbraw/zinc/93/37/72/1106933772.db2.gz MQOOHYUGCABZOU-KYHPRHEASA-N 0 1 302.418 3.047 20 30 DGEDMN C=CCC[C@H](C)N1Cc2nn(CC3CC3)cc2[C@H](COC)C1 ZINC001258728270 1106933806 /nfs/dbraw/zinc/93/38/06/1106933806.db2.gz NRYJPEAKARMJMP-HOCLYGCPSA-N 0 1 303.450 3.193 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@@H](CN(C)CC(=C)Cl)C1 ZINC001317038197 1106934166 /nfs/dbraw/zinc/93/41/66/1106934166.db2.gz GOICDSSEVLIHCD-VCHSTOLQSA-N 0 1 310.869 3.432 20 30 DGEDMN C=CCC[C@@H](C)N1CCc2onc(COc3cccnc3)c2C1 ZINC001258730189 1106936930 /nfs/dbraw/zinc/93/69/30/1106936930.db2.gz BTENVHOEHJJFBW-CQSZACIVSA-N 0 1 313.401 3.361 20 30 DGEDMN C=CCC[C@@H](C)N1CCc2onc(COc3ccccn3)c2C1 ZINC001258730914 1106937245 /nfs/dbraw/zinc/93/72/45/1106937245.db2.gz QIIFFHVRMPRXTQ-CQSZACIVSA-N 0 1 313.401 3.361 20 30 DGEDMN C=C[C@@H](COC)NCc1cc(Cl)c(OCCC)c(OC)c1 ZINC001320293882 1106951601 /nfs/dbraw/zinc/95/16/01/1106951601.db2.gz DAIZSXZNTOUBPO-ZDUSSCGKSA-N 0 1 313.825 3.428 20 30 DGEDMN C#CCN(CC1CC1)C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC001320525475 1107041790 /nfs/dbraw/zinc/04/17/90/1107041790.db2.gz MVKFQMNUHFTPHB-UHFFFAOYSA-N 0 1 307.397 3.179 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cnc(C#N)c(C)c2)cc1Cl ZINC001259130845 1107084194 /nfs/dbraw/zinc/08/41/94/1107084194.db2.gz DACAPDNPEGAHOA-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001317174714 1107087794 /nfs/dbraw/zinc/08/77/94/1107087794.db2.gz VABIJSHZEQECTL-FQEVSTJZSA-N 0 1 324.468 3.101 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)c1ccccc1C ZINC001320672613 1107099073 /nfs/dbraw/zinc/09/90/73/1107099073.db2.gz QGUUVDULXXPSOS-UHFFFAOYSA-N 0 1 320.436 3.098 20 30 DGEDMN CC/C=C(\C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001317229545 1107153922 /nfs/dbraw/zinc/15/39/22/1107153922.db2.gz BYQZCORTPGGXEX-SXSSENBOSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C1CC(C)(C(=O)N(CC)CCNCc2cccc(Cl)n2)C1 ZINC001320838708 1107157923 /nfs/dbraw/zinc/15/79/23/1107157923.db2.gz SXUFUVRAOYTBTD-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN Cc1cc(N[C@@H]2CCC[C@H](C#N)C2)ccc1N1CCN(C)CC1 ZINC001320864406 1107166750 /nfs/dbraw/zinc/16/67/50/1107166750.db2.gz HCYWBHAKSBYZBD-DLBZAZTESA-N 0 1 312.461 3.241 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001320864811 1107168321 /nfs/dbraw/zinc/16/83/21/1107168321.db2.gz REMPUJKBEAQOFJ-KGLIPLIRSA-N 0 1 302.890 3.495 20 30 DGEDMN Cc1ccc(C(=O)NC[C@H](C)NCC#Cc2ccccc2)c(C)c1 ZINC001317279688 1107201655 /nfs/dbraw/zinc/20/16/55/1107201655.db2.gz DXGFHJQIONZKJC-SFHVURJKSA-N 0 1 320.436 3.063 20 30 DGEDMN C=C1CCC(C(=O)N2CC[C@H](NCC=C(Cl)Cl)C2)CC1 ZINC001317306027 1107223754 /nfs/dbraw/zinc/22/37/54/1107223754.db2.gz QFAYXJJEIFYOOB-ZDUSSCGKSA-N 0 1 317.260 3.242 20 30 DGEDMN Cc1cc(COC(=O)c2ccc3cncn3c2C)ccc1C#N ZINC001321041177 1107232374 /nfs/dbraw/zinc/23/23/74/1107232374.db2.gz OBGQMVJOJBHOTL-UHFFFAOYSA-N 0 1 305.337 3.180 20 30 DGEDMN Cc1ccccc1CS(=O)(=O)Nc1c(F)cc(F)cc1C#N ZINC001260022834 1107240003 /nfs/dbraw/zinc/24/00/03/1107240003.db2.gz QWJHUGXQUZSPJY-UHFFFAOYSA-N 0 1 322.336 3.087 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(Cl)cc1F ZINC001321145212 1107264392 /nfs/dbraw/zinc/26/43/92/1107264392.db2.gz LNMCOYXDISWZKV-NSCUHMNNSA-N 0 1 317.191 3.107 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2cccnc2C)C1 ZINC001317379652 1107287734 /nfs/dbraw/zinc/28/77/34/1107287734.db2.gz PJTILHVNEZHFNC-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccn(C3CCCCC3)n2)cc1O ZINC001321219858 1107289007 /nfs/dbraw/zinc/28/90/07/1107289007.db2.gz KKMIBCGMDCFQCJ-UHFFFAOYSA-N 0 1 310.357 3.218 20 30 DGEDMN C=CCCC(=O)N[C@H](C)CNCc1nc2cc(C)ccc2s1 ZINC001321468151 1107357586 /nfs/dbraw/zinc/35/75/86/1107357586.db2.gz DKFAKECYURXRBT-CYBMUJFWSA-N 0 1 317.458 3.165 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)CNCc1nsc2ccccc12 ZINC001321618126 1107392512 /nfs/dbraw/zinc/39/25/12/1107392512.db2.gz UCMGIDCZACRRLG-GFCCVEGCSA-N 0 1 317.458 3.103 20 30 DGEDMN CC(C)c1nc([C@H](C)NC2CC(C#N)(c3ccccc3)C2)n[nH]1 ZINC001321625416 1107394524 /nfs/dbraw/zinc/39/45/24/1107394524.db2.gz MLQDJFZWKMGCLJ-UQHVZDHRSA-N 0 1 309.417 3.203 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)C/C=C/c2ccccc2)CCC1 ZINC001317460561 1107412082 /nfs/dbraw/zinc/41/20/82/1107412082.db2.gz ZZWFWGDKAKDAOZ-YRNVUSSQSA-N 0 1 312.457 3.494 20 30 DGEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)C1CCCCCC1 ZINC001321800420 1107437091 /nfs/dbraw/zinc/43/70/91/1107437091.db2.gz FNWBUDSEXXTUFH-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN CN(CCNCc1ccccc1C#N)C(=O)CC1CCCCC1 ZINC001317565497 1107546133 /nfs/dbraw/zinc/54/61/33/1107546133.db2.gz YDEFLQMGYGWXQG-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1cccc(C(C)C)n1 ZINC001317624061 1107568768 /nfs/dbraw/zinc/56/87/68/1107568768.db2.gz SBUMFMVMZHLWSA-IBGZPJMESA-N 0 1 317.477 3.355 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001322235712 1107584202 /nfs/dbraw/zinc/58/42/02/1107584202.db2.gz HQWLUSFCRNBFPH-SUMWQHHRSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CC)CC1CCCCC1 ZINC001322259572 1107598487 /nfs/dbraw/zinc/59/84/87/1107598487.db2.gz YMRRTFHINNIUJB-FUHWJXTLSA-N 0 1 306.494 3.443 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CC)CC1CCCCC1 ZINC001322259573 1107598956 /nfs/dbraw/zinc/59/89/56/1107598956.db2.gz YMRRTFHINNIUJB-SJLPKXTDSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1cccc(CC)n1)C(C)C ZINC001317689048 1107605536 /nfs/dbraw/zinc/60/55/36/1107605536.db2.gz RYABKMYEWSOMCU-UHFFFAOYSA-N 0 1 323.868 3.227 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(Cl)cc1Cl ZINC001322285821 1107613204 /nfs/dbraw/zinc/61/32/04/1107613204.db2.gz PKGMKTNTFTWNMC-LLVKDONJSA-N 0 1 313.228 3.067 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](CC)CCC)c1ccccc1 ZINC001317726785 1107624819 /nfs/dbraw/zinc/62/48/19/1107624819.db2.gz CETTXKCJLDVWGK-SJLPKXTDSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2c(C)coc2C)C1 ZINC001317755401 1107644092 /nfs/dbraw/zinc/64/40/92/1107644092.db2.gz UJEHMCSTGOLVAH-INIZCTEOSA-N 0 1 304.434 3.399 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cc2ccc(F)cc2F)C1 ZINC001317758710 1107644816 /nfs/dbraw/zinc/64/48/16/1107644816.db2.gz JXISMVYZZCELBC-MRXNPFEDSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001317759557 1107645614 /nfs/dbraw/zinc/64/56/14/1107645614.db2.gz RFFKCNZSVCJTQV-VSZNYVQBSA-N 0 1 302.462 3.088 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc3ncccc3c2)C1 ZINC001317764209 1107650397 /nfs/dbraw/zinc/65/03/97/1107650397.db2.gz WQFMGRRDIUFHPZ-SFHVURJKSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCCC(=O)NCC[C@@H]1CCN(Cc2nc(C)cs2)C1 ZINC001317797251 1107674589 /nfs/dbraw/zinc/67/45/89/1107674589.db2.gz MCSQWUKIQPAPGS-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2c[nH]cc2C2CC2)C1 ZINC001317806057 1107683022 /nfs/dbraw/zinc/68/30/22/1107683022.db2.gz QKNCNHFFERWIOS-ZDUSSCGKSA-N 0 1 321.852 3.086 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2ccc(F)s2)C1 ZINC001317812937 1107689396 /nfs/dbraw/zinc/68/93/96/1107689396.db2.gz DMNGKJKIRXUQNW-LLVKDONJSA-N 0 1 316.829 3.082 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@H]2CCCC23CC3)CC1 ZINC001317876120 1107729461 /nfs/dbraw/zinc/72/94/61/1107729461.db2.gz BQSLAGHEPAGLJF-INIZCTEOSA-N 0 1 324.896 3.490 20 30 DGEDMN CC(C)(C)N1CCN(C(=O)Nc2ccc(C#N)c(Cl)c2)CC1 ZINC001317915648 1107741763 /nfs/dbraw/zinc/74/17/63/1107741763.db2.gz OXXLEJHCVRSGAV-UHFFFAOYSA-N 0 1 320.824 3.160 20 30 DGEDMN C=C[C@@H](CC)CC(=O)Nc1n[nH]c(C(=O)OCC)c1C1CC1 ZINC001262644672 1107759349 /nfs/dbraw/zinc/75/93/49/1107759349.db2.gz CLACWPPWAYPCPV-JTQLQIEISA-N 0 1 305.378 3.005 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1n[nH]c(C(=O)OCC)c1C1CC1 ZINC001262644673 1107759918 /nfs/dbraw/zinc/75/99/18/1107759918.db2.gz CLACWPPWAYPCPV-SNVBAGLBSA-N 0 1 305.378 3.005 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C(C)C)nc2C)C1 ZINC001318063138 1107817122 /nfs/dbraw/zinc/81/71/22/1107817122.db2.gz ONUNQUISHCMVHD-CQSZACIVSA-N 0 1 315.461 3.282 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@H](CNCc1nc(C)cs1)C1CC1 ZINC001323063195 1107820013 /nfs/dbraw/zinc/82/00/13/1107820013.db2.gz KXWKIKXLSSJLQG-RHSMWYFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC001323080822 1107827600 /nfs/dbraw/zinc/82/76/00/1107827600.db2.gz JKMZFFBYYGTKRF-IXDOHACOSA-N 0 1 318.848 3.027 20 30 DGEDMN C=CCCC[N@H+]1CC[C@H]1CNC(=O)CC1(c2ccccc2)CC1 ZINC001323246486 1107902481 /nfs/dbraw/zinc/90/24/81/1107902481.db2.gz NOJCYWJEFKGCGN-SFHVURJKSA-N 0 1 312.457 3.265 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001318269130 1107921979 /nfs/dbraw/zinc/92/19/79/1107921979.db2.gz MAWXTTAZGVFZSW-INIZCTEOSA-N 0 1 304.409 3.037 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001318400529 1107983460 /nfs/dbraw/zinc/98/34/60/1107983460.db2.gz QZCORUYTZBFMJJ-CRAIPNDOSA-N 0 1 313.445 3.027 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001318403745 1107985015 /nfs/dbraw/zinc/98/50/15/1107985015.db2.gz VNIBNFFWGKCLEF-QAPCUYQASA-N 0 1 316.420 3.079 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2scnc2C)C1 ZINC001318427202 1107996580 /nfs/dbraw/zinc/99/65/80/1107996580.db2.gz DFTKDHRHISLTPY-PBHICJAKSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001318424301 1107996731 /nfs/dbraw/zinc/99/67/31/1107996731.db2.gz IUWQUNMLHQVARU-SJLPKXTDSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001318429788 1107998934 /nfs/dbraw/zinc/99/89/34/1107998934.db2.gz SWICBQNUFIVVMZ-ZWKOTPCHSA-N 0 1 304.478 3.315 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001318427206 1107999007 /nfs/dbraw/zinc/99/90/07/1107999007.db2.gz DFTKDHRHISLTPY-WMLDXEAASA-N 0 1 321.490 3.087 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)C=Cc3nccs3)[nH]c21 ZINC001263290686 1108000905 /nfs/dbraw/zinc/00/09/05/1108000905.db2.gz ZVSZWVXUVAYQIP-GZTOBOFZSA-N 0 1 308.366 3.218 20 30 DGEDMN Cc1ccccc1C(=O)C(C#N)c1nc(N)c2ccccc2n1 ZINC001263375086 1108028904 /nfs/dbraw/zinc/02/89/04/1108028904.db2.gz FKSNGSJUAUMLSH-CQSZACIVSA-N 0 1 302.337 3.011 20 30 DGEDMN Cc1c(C(=O)N[C@@H](C)c2ccc(C#N)cc2)ccc2cncn21 ZINC001323646974 1108040990 /nfs/dbraw/zinc/04/09/90/1108040990.db2.gz LLQZRJABUAPKMC-LBPRGKRZSA-N 0 1 304.353 3.005 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)Cc2ccc(C)cc2)C1 ZINC001318492193 1108051730 /nfs/dbraw/zinc/05/17/30/1108051730.db2.gz OLYIOYXVKZVTIG-HKUYNNGSSA-N 0 1 314.473 3.282 20 30 DGEDMN Cn1c(Cl)cnc1[C@@H](C#N)C(=O)c1csc(C(C)(C)C)n1 ZINC001263615662 1108109817 /nfs/dbraw/zinc/10/98/17/1108109817.db2.gz BNXKXOZPRCNOFK-QMMMGPOBSA-N 0 1 322.821 3.318 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1csc(C(C)(C)C)n1 ZINC001263615662 1108109821 /nfs/dbraw/zinc/10/98/21/1108109821.db2.gz BNXKXOZPRCNOFK-QMMMGPOBSA-N 0 1 322.821 3.318 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001263616318 1108112696 /nfs/dbraw/zinc/11/26/96/1108112696.db2.gz SYEATPWSQBXVPZ-IIYDPXPESA-N 0 1 313.788 3.228 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)/C=C\c2ccco2)C1 ZINC001323885680 1108113586 /nfs/dbraw/zinc/11/35/86/1108113586.db2.gz OZOMZLBQSQVMBS-KHPPLWFESA-N 0 1 304.434 3.406 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cccc3c2CCCC3)C1 ZINC001323929883 1108122686 /nfs/dbraw/zinc/12/26/86/1108122686.db2.gz BCEMUDBMERZFDI-UHFFFAOYSA-N 0 1 312.457 3.288 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(C(C)C)nc2)C1 ZINC001323934318 1108124808 /nfs/dbraw/zinc/12/48/08/1108124808.db2.gz QXOAVMFSFWZZGJ-HNNXBMFYSA-N 0 1 315.461 3.316 20 30 DGEDMN CN(C(=O)CC#Cc1ccccc1)C1CN(CCC2CCCC2)C1 ZINC001324031316 1108152978 /nfs/dbraw/zinc/15/29/78/1108152978.db2.gz ZQLGWEJESXTBKS-UHFFFAOYSA-N 0 1 324.468 3.151 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1C[C@@H](NC/C(Cl)=C/Cl)C1 ZINC001263833608 1108243327 /nfs/dbraw/zinc/24/33/27/1108243327.db2.gz GUFTXRLHJMKAFN-YXWLAPQGSA-N 0 1 319.276 3.392 20 30 DGEDMN C#CCN(C(=O)C=C(C)C)C1CCN(Cc2ccsc2)CC1 ZINC001324364509 1108265458 /nfs/dbraw/zinc/26/54/58/1108265458.db2.gz JTTKJVLANMEOET-UHFFFAOYSA-N 0 1 316.470 3.141 20 30 DGEDMN C#CCN(C(=O)CC(C)C)C1CCN(Cc2ccsc2)CC1 ZINC001324365810 1108266708 /nfs/dbraw/zinc/26/67/08/1108266708.db2.gz YOEBGGMIZIMUDJ-UHFFFAOYSA-N 0 1 318.486 3.220 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C2=CCCC2)cc1 ZINC001318990449 1108267013 /nfs/dbraw/zinc/26/70/13/1108267013.db2.gz JGYCUYCNBIDSCZ-UHFFFAOYSA-N 0 1 304.821 3.255 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)Cc2ccccc2)CC1 ZINC001319027572 1108283636 /nfs/dbraw/zinc/28/36/36/1108283636.db2.gz OUHCCZOPMLQWKS-UHFFFAOYSA-N 0 1 320.864 3.200 20 30 DGEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCCCC)C1 ZINC001263882519 1108284278 /nfs/dbraw/zinc/28/42/78/1108284278.db2.gz QTGILLOBNIYJMZ-RBUKOAKNSA-N 0 1 312.457 3.174 20 30 DGEDMN C#CCN(C(=O)C[C@H](C)CCCCC)C1CCN(CC#C)CC1 ZINC001324427334 1108285193 /nfs/dbraw/zinc/28/51/93/1108285193.db2.gz VYZCEMDZPPYFQR-GOSISDBHSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C[C@H](C(=O)N[C@@]1(C)CCN(C/C=C/Cl)C1)c1ccccc1 ZINC001324670919 1108417301 /nfs/dbraw/zinc/41/73/01/1108417301.db2.gz ACGLNFXRIYMCKJ-QPXZKVABSA-N 0 1 318.848 3.289 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001324675737 1108418702 /nfs/dbraw/zinc/41/87/02/1108418702.db2.gz ZIYBPDIKQUDUCE-WIYYLYMNSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001264184260 1108419916 /nfs/dbraw/zinc/41/99/16/1108419916.db2.gz LZQGZTWBPDCMKX-KRWDZBQOSA-N 0 1 323.440 3.036 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)c1ccccc1 ZINC001264187188 1108422546 /nfs/dbraw/zinc/42/25/46/1108422546.db2.gz IRCNOHZWMSKNFE-HKUYNNGSSA-N 0 1 312.457 3.126 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC001319454420 1108476872 /nfs/dbraw/zinc/47/68/72/1108476872.db2.gz NNWLCARKJMBLSJ-ZIAGYGMSSA-N 0 1 322.399 3.122 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC(C)(C)CC(F)(F)F ZINC001264378333 1108491728 /nfs/dbraw/zinc/49/17/28/1108491728.db2.gz HQQACAGVAGERMK-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1cc2ccccc2o1 ZINC001319510507 1108499608 /nfs/dbraw/zinc/49/96/08/1108499608.db2.gz KZCYWKLNJHIMOL-ZDUSSCGKSA-N 0 1 320.820 3.164 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1oc(C(C)C)nc1C ZINC001319512436 1108500460 /nfs/dbraw/zinc/50/04/60/1108500460.db2.gz YMZBCIRCYBYUGC-CYBMUJFWSA-N 0 1 321.465 3.255 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C(C1CCC1)C1CCC1 ZINC001319522235 1108504432 /nfs/dbraw/zinc/50/44/32/1108504432.db2.gz BDLUEDDGEBPHOR-CYBMUJFWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1c(C)noc1CC ZINC001319526083 1108507949 /nfs/dbraw/zinc/50/79/49/1108507949.db2.gz UILWUBRRVNDAAH-SCLBCKFNSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNC/C(Cl)=C\Cl)C1 ZINC001319528989 1108509730 /nfs/dbraw/zinc/50/97/30/1108509730.db2.gz UJNYWKYTTYAVMN-LAPSENCUSA-N 0 1 305.249 3.098 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CCCN(Cc2cncc(C)c2)C1 ZINC001324919328 1108511605 /nfs/dbraw/zinc/51/16/05/1108511605.db2.gz FEDSHTWHEGEQOG-IBGZPJMESA-N 0 1 315.461 3.075 20 30 DGEDMN C#CCOCCN(C)Cc1cc(-c2ccc(Cl)cc2)no1 ZINC001319551314 1108523131 /nfs/dbraw/zinc/52/31/31/1108523131.db2.gz AFAUDMUBQYSGGL-UHFFFAOYSA-N 0 1 304.777 3.077 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1cccs1 ZINC001319602581 1108549467 /nfs/dbraw/zinc/54/94/67/1108549467.db2.gz KOOPDSBFMXZHII-LBPRGKRZSA-N 0 1 300.855 3.235 20 30 DGEDMN CCCC(=O)N[C@@H](CNCc1cc(F)ccc1C#N)CC(C)C ZINC001319602625 1108550353 /nfs/dbraw/zinc/55/03/53/1108550353.db2.gz LJSOGPDOLDSQNP-QGZVFWFLSA-N 0 1 319.424 3.118 20 30 DGEDMN C=CCOc1ccc(OC(=O)c2ccc3cncn3c2C)cc1 ZINC001325206615 1108594776 /nfs/dbraw/zinc/59/47/76/1108594776.db2.gz VYPKKAQRTCZLBX-UHFFFAOYSA-N 0 1 308.337 3.427 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CC2CCC1CC2 ZINC001264745478 1108643451 /nfs/dbraw/zinc/64/34/51/1108643451.db2.gz IAXSVQVOAHMHHM-BTRQGYIVSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)C2CC(C)C2)CC1 ZINC001319876769 1108675279 /nfs/dbraw/zinc/67/52/79/1108675279.db2.gz OUOBJBVCHBAVLG-UHFFFAOYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCc1nc(C)c(C)s1)C(C)C ZINC001319934561 1108702306 /nfs/dbraw/zinc/70/23/06/1108702306.db2.gz DGVOKEHEZDETCC-CQSZACIVSA-N 0 1 323.506 3.203 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(CCCCC(F)(F)F)C1 ZINC001265279320 1108803520 /nfs/dbraw/zinc/80/35/20/1108803520.db2.gz QVIXXWHJUWHOTC-AWEZNQCLSA-N 0 1 318.383 3.103 20 30 DGEDMN CCCN(C(=O)C#CC(C)(C)C)C1CCN(C[C@@H](F)CC)CC1 ZINC001265338935 1108833289 /nfs/dbraw/zinc/83/32/89/1108833289.db2.gz QDGSPGUJTABOBM-INIZCTEOSA-N 0 1 324.484 3.487 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2CC[C@H](C)C2)CC1 ZINC001265341331 1108834111 /nfs/dbraw/zinc/83/41/11/1108834111.db2.gz OEHVNXZNVPIHGM-DLBZAZTESA-N 0 1 304.478 3.149 20 30 DGEDMN CCC/C=C/CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC(C)C ZINC001265405086 1108864186 /nfs/dbraw/zinc/86/41/86/1108864186.db2.gz NDVPAOLDWYFTPR-QCUYKYDPSA-N 0 1 302.462 3.067 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2C[N@@H+](C/C=C/Cl)CC[C@H]21 ZINC001265522960 1108898827 /nfs/dbraw/zinc/89/88/27/1108898827.db2.gz FOTALYRVFRZKML-CKOVFFODSA-N 0 1 322.880 3.101 20 30 DGEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H]2CN(C/C=C/Cl)CC[C@H]21 ZINC001265522960 1108898830 /nfs/dbraw/zinc/89/88/30/1108898830.db2.gz FOTALYRVFRZKML-CKOVFFODSA-N 0 1 322.880 3.101 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)OC1CCCCCC1 ZINC001265653506 1108950943 /nfs/dbraw/zinc/95/09/43/1108950943.db2.gz BQVZDPZZHUYGSJ-IRXDYDNUSA-N 0 1 322.493 3.271 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H](CC)CC(F)F ZINC001265673492 1108960142 /nfs/dbraw/zinc/96/01/42/1108960142.db2.gz GWJOJBINMZKHJV-QWHCGFSZSA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H](CC)CC(F)F ZINC001265673493 1108960562 /nfs/dbraw/zinc/96/05/62/1108960562.db2.gz GWJOJBINMZKHJV-STQMWFEESA-N 0 1 322.827 3.391 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@@H](CCC)C(C)C ZINC001265833293 1109020737 /nfs/dbraw/zinc/02/07/37/1109020737.db2.gz ZTONDNKHFMMHLN-STQMWFEESA-N 0 1 319.287 3.062 20 30 DGEDMN CCCCCCC(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001265859359 1109029322 /nfs/dbraw/zinc/02/93/22/1109029322.db2.gz ZVLZHBDBXQZIFR-QGZVFWFLSA-N 0 1 300.446 3.103 20 30 DGEDMN CC1=CC[C@@](C)(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CC1 ZINC001265867716 1109030516 /nfs/dbraw/zinc/03/05/16/1109030516.db2.gz WNGBZSHICVVHGX-WIYYLYMNSA-N 0 1 324.468 3.269 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NCc2coc(C)n2)C1 ZINC001266071368 1109079837 /nfs/dbraw/zinc/07/98/37/1109079837.db2.gz LPVRRMOSKATYLD-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1cccc(C)c1 ZINC001266077563 1109081944 /nfs/dbraw/zinc/08/19/44/1109081944.db2.gz MSDZSURMJIOLSJ-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)C1 ZINC001266234029 1109134303 /nfs/dbraw/zinc/13/43/03/1109134303.db2.gz WJQRUJKMBAWOEH-HIZIYNLSSA-N 0 1 323.440 3.161 20 30 DGEDMN CCCCC(=O)N1CCC[C@H]2[C@H]1CCN2CC#Cc1ccccc1 ZINC001279539345 1109153472 /nfs/dbraw/zinc/15/34/72/1109153472.db2.gz CBMQIGMGFAPFDS-VQTJNVASSA-N 0 1 324.468 3.294 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@H](CC)CCCC)CC1 ZINC001279599552 1109157948 /nfs/dbraw/zinc/15/79/48/1109157948.db2.gz DPZQTOBRLIBLAE-QGZVFWFLSA-N 0 1 304.478 3.365 20 30 DGEDMN C=CC1(CC(=O)N2CCC[C@H]3CN(CCF)C[C@H]32)CCCCC1 ZINC001279624612 1109158770 /nfs/dbraw/zinc/15/87/70/1109158770.db2.gz NOQBDOMVFFNZAC-DLBZAZTESA-N 0 1 322.468 3.405 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@H](C)[C@@H](C)CC)c(F)c1 ZINC001279722328 1109161543 /nfs/dbraw/zinc/16/15/43/1109161543.db2.gz GPWRMVVALFYDRY-LSDHHAIUSA-N 0 1 318.436 3.237 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@@H](C)[C@H](C)CC)c(F)c1 ZINC001279722325 1109161639 /nfs/dbraw/zinc/16/16/39/1109161639.db2.gz GPWRMVVALFYDRY-CABCVRRESA-N 0 1 318.436 3.237 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cc(C)ccc1C ZINC001280341396 1109187688 /nfs/dbraw/zinc/18/76/88/1109187688.db2.gz XGDNMHUDIAERQU-UHFFFAOYSA-N 0 1 320.436 3.298 20 30 DGEDMN C=C(C)CCC(=O)NCC1(NCc2cc(F)ccc2F)CCC1 ZINC001280483365 1109211642 /nfs/dbraw/zinc/21/16/42/1109211642.db2.gz VXRCLWPOZXNCAJ-UHFFFAOYSA-N 0 1 322.399 3.450 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)CC(C)=C(C)C)O2 ZINC001280930549 1109275893 /nfs/dbraw/zinc/27/58/93/1109275893.db2.gz YYBLLPREGDPHNS-QGZVFWFLSA-N 0 1 320.477 3.049 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2c(C)nsc2C)[C@@H](C)C1 ZINC001281650674 1109388311 /nfs/dbraw/zinc/38/83/11/1109388311.db2.gz FOXDIQNNQKXYGK-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2c(C)nsc2C)[C@H](C)C1 ZINC001281650658 1109388341 /nfs/dbraw/zinc/38/83/41/1109388341.db2.gz FOXDIQNNQKXYGK-DOMZBBRYSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cnc(C)s2)[C@H](C)C1 ZINC001281677792 1109393942 /nfs/dbraw/zinc/39/39/42/1109393942.db2.gz XJHQBJHZLWDAKI-HIFRSBDPSA-N 0 1 321.490 3.277 20 30 DGEDMN CN(CC#Cc1ccccc1)C[C@@H]1CCCN1C(=O)CC1CCC1 ZINC001281928074 1109416390 /nfs/dbraw/zinc/41/63/90/1109416390.db2.gz IRGKUZWZXXWHAS-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(CCC)s1 ZINC001281939025 1109417112 /nfs/dbraw/zinc/41/71/12/1109417112.db2.gz AMRWEFZQXCZEQK-HNNXBMFYSA-N 0 1 318.486 3.260 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC[C@H]1CN(C)Cc1ccccn1 ZINC001281953388 1109421381 /nfs/dbraw/zinc/42/13/81/1109421381.db2.gz USPVDUBAPGGOKL-AEFFLSMTSA-N 0 1 315.461 3.107 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1(CC(C)C)CCCC1 ZINC001281955881 1109422021 /nfs/dbraw/zinc/42/20/21/1109422021.db2.gz RJGYJNVQTGBKQP-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CCC)c1ccccc1 ZINC001281970211 1109425566 /nfs/dbraw/zinc/42/55/66/1109425566.db2.gz SQKVFIUUMUCERB-RTBURBONSA-N 0 1 312.457 3.126 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001282131015 1109455251 /nfs/dbraw/zinc/45/52/51/1109455251.db2.gz BMUWWPUBXKXLMQ-OLZOCXBDSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(F)ccc1CC ZINC001282161464 1109459457 /nfs/dbraw/zinc/45/94/57/1109459457.db2.gz PTLLFKCDJQEIGJ-NWDGAFQWSA-N 0 1 312.816 3.237 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2cc(F)ccc2F)C1 ZINC001282183085 1109464064 /nfs/dbraw/zinc/46/40/64/1109464064.db2.gz FRGLQORNHYNCJI-OLZOCXBDSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@H](C)NCc2cc(F)ccc2F)C1 ZINC001282183087 1109464077 /nfs/dbraw/zinc/46/40/77/1109464077.db2.gz FRGLQORNHYNCJI-STQMWFEESA-N 0 1 322.399 3.304 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2cc(F)ccc2F)C1 ZINC001282183084 1109464125 /nfs/dbraw/zinc/46/41/25/1109464125.db2.gz FRGLQORNHYNCJI-CHWSQXEVSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CC(C)(C)CNCc1nocc1C ZINC001282314766 1109483402 /nfs/dbraw/zinc/48/34/02/1109483402.db2.gz XUNFQTLNOXYYCJ-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C=CCCC(=O)N[C@H]1CN(Cc2csc(C)c2)CC1(C)C ZINC001282790514 1109565464 /nfs/dbraw/zinc/56/54/64/1109565464.db2.gz GOPAQOLKLRLQHE-HNNXBMFYSA-N 0 1 306.475 3.349 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C[C@@H](CC)C(C)(C)C)C(C)(C)C1 ZINC001282834660 1109572407 /nfs/dbraw/zinc/57/24/07/1109572407.db2.gz XTLAFOSPPRGGRB-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cc(F)ccc1C ZINC001283520510 1109647297 /nfs/dbraw/zinc/64/72/97/1109647297.db2.gz MCBGNWLPSNJSHA-MRXNPFEDSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCCC(F)(F)C1 ZINC001283677616 1109672310 /nfs/dbraw/zinc/67/23/10/1109672310.db2.gz JJRPXDOTWPQKNU-NWDGAFQWSA-N 0 1 308.800 3.001 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(C/C=C\c2ccccc2)[C@H]1C ZINC001284119378 1109739805 /nfs/dbraw/zinc/73/98/05/1109739805.db2.gz CKXZDVFAYLBCAP-LLFHZPTDSA-N 0 1 324.468 3.328 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001284121309 1109740315 /nfs/dbraw/zinc/74/03/15/1109740315.db2.gz KXHPKWVPYKJFLA-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C(CC(C)C)CC(C)C)[C@@H]1C ZINC001284149588 1109749147 /nfs/dbraw/zinc/74/91/47/1109749147.db2.gz XFRNZJVUSSAHPU-WMZOPIPTSA-N 0 1 306.494 3.297 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)[C@H](C)C(C)(C)C)C(C)C ZINC001284553575 1109810644 /nfs/dbraw/zinc/81/06/44/1109810644.db2.gz PITQUHIEQLWUGF-IRXDYDNUSA-N 0 1 308.510 3.497 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H](C)[C@H](C)C(C)(C)C)C(C)C ZINC001284553576 1109810743 /nfs/dbraw/zinc/81/07/43/1109810743.db2.gz PITQUHIEQLWUGF-SJORKVTESA-N 0 1 308.510 3.497 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)[C@@H](C)C(C)(C)C)C(C)C ZINC001284553573 1109811219 /nfs/dbraw/zinc/81/12/19/1109811219.db2.gz PITQUHIEQLWUGF-DLBZAZTESA-N 0 1 308.510 3.497 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2ccc(Nc3ccncc3)cc2)CC1 ZINC001303294685 1111138906 /nfs/dbraw/zinc/13/89/06/1111138906.db2.gz QHGZVHAQLKLZBP-RZDIXWSQSA-N 0 1 319.408 3.169 20 30 DGEDMN C#CCN(C(=O)CN1CCC(C)CC1)[C@@H]1CCCc2ccccc21 ZINC001304065325 1111164711 /nfs/dbraw/zinc/16/47/11/1111164711.db2.gz AVCYOSHZWMWXHC-HXUWFJFHSA-N 0 1 324.468 3.258 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2c(C)noc2C)C1 ZINC001317377484 1111191661 /nfs/dbraw/zinc/19/16/61/1111191661.db2.gz UACBWAXMBKIGOT-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)Nc2cc(C#N)nc(C3CC3)n2)n[nH]1 ZINC001307110462 1111266757 /nfs/dbraw/zinc/26/67/57/1111266757.db2.gz CTEUUODEDMSOOT-VHSXEESVSA-N 0 1 311.393 3.030 20 30 DGEDMN CN1CCN(c2cc(C(F)(F)F)c(F)cc2C#N)CC1(C)C ZINC001307155420 1111272552 /nfs/dbraw/zinc/27/25/52/1111272552.db2.gz RHZPORNRYSHJBF-UHFFFAOYSA-N 0 1 315.314 3.247 20 30 DGEDMN Cc1nc2ccc(Cn3cc(C#N)c4ccccc4c3=O)cc2[nH]1 ZINC001307373963 1111293110 /nfs/dbraw/zinc/29/31/10/1111293110.db2.gz OLSWPJUAMMKXHZ-UHFFFAOYSA-N 0 1 314.348 3.106 20 30 DGEDMN N#Cc1cc(CN[C@H](Cn2ccnc2)c2ccccc2)ccc1F ZINC001308036011 1111369178 /nfs/dbraw/zinc/36/91/78/1111369178.db2.gz ZJUBCOWKUUNKCP-LJQANCHMSA-N 0 1 320.371 3.425 20 30 DGEDMN Cc1ccc(CCN2CCO[C@@H](c3ccc(C#N)cc3)C2)cc1 ZINC001308115371 1111379971 /nfs/dbraw/zinc/37/99/71/1111379971.db2.gz VMGOMDMAEPRMOB-HXUWFJFHSA-N 0 1 306.409 3.483 20 30 DGEDMN CC(C)(C)n1cncc1[C@H]1CCCN(Cc2cc(C#N)ccn2)C1 ZINC001308164579 1111387964 /nfs/dbraw/zinc/38/79/64/1111387964.db2.gz FQZPEOINSGGJCL-INIZCTEOSA-N 0 1 323.444 3.284 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@]2(CO)c2ccccc2)c1F ZINC001308164948 1111388074 /nfs/dbraw/zinc/38/80/74/1111388074.db2.gz WCLRBWFGYLOSCE-LJQANCHMSA-N 0 1 310.372 3.181 20 30 DGEDMN COCc1c(C)cc(C)c(CNCC2(C#N)CCOCC2)c1C ZINC001308548799 1111441441 /nfs/dbraw/zinc/44/14/41/1111441441.db2.gz ICFXVUQADKZZCI-UHFFFAOYSA-N 0 1 316.445 3.168 20 30 DGEDMN CNc1ccc(C)cc1CN1CCC(C#N)(c2ccccn2)CC1 ZINC001308718151 1111465063 /nfs/dbraw/zinc/46/50/63/1111465063.db2.gz RDKGDBPWWRBMAC-UHFFFAOYSA-N 0 1 320.440 3.489 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)Nc1cc(CN(C)C)ccn1 ZINC001311076762 1111582692 /nfs/dbraw/zinc/58/26/92/1111582692.db2.gz CUCPKLMLYRYCQH-HOTGVXAUSA-N 0 1 316.449 3.400 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001317534534 1111666560 /nfs/dbraw/zinc/66/65/60/1111666560.db2.gz DUTVOXYBLJOCOX-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@@H](F)CC)CC(C)(C)C1 ZINC001316809620 1111775196 /nfs/dbraw/zinc/77/51/96/1111775196.db2.gz DKJVSTJUOROQAQ-STQMWFEESA-N 0 1 304.837 3.094 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccc(F)cc2)CC1 ZINC001317007893 1111736711 /nfs/dbraw/zinc/73/67/11/1111736711.db2.gz LHFGYUFKYUVWLC-UHFFFAOYSA-N 0 1 316.420 3.166 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1csc(C)c1C ZINC001329051535 1111742689 /nfs/dbraw/zinc/74/26/89/1111742689.db2.gz XSHSZOZNKACOHN-QWRGUYRKSA-N 0 1 300.855 3.214 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(C/C=C/Cl)[C@@H]2CC)CCC1 ZINC001316737759 1111759139 /nfs/dbraw/zinc/75/91/39/1111759139.db2.gz YRTYMSXUTDJCDL-GFKCUXFZSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H](F)CC)CC(C)(C)C1 ZINC001316809617 1111775255 /nfs/dbraw/zinc/77/52/55/1111775255.db2.gz DKJVSTJUOROQAQ-CHWSQXEVSA-N 0 1 304.837 3.094 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1ccc(C)cc1 ZINC001316894863 1111794868 /nfs/dbraw/zinc/79/48/68/1111794868.db2.gz FUAGCXMBHRRPDE-UHFFFAOYSA-N 0 1 308.853 3.118 20 30 DGEDMN N#Cc1sc(N[C@H]2CCN(Cc3ccccc3)C2)nc1Cl ZINC000278713545 214345823 /nfs/dbraw/zinc/34/58/23/214345823.db2.gz OBFXWOFUYJDVPQ-LBPRGKRZSA-N 0 1 318.833 3.355 20 30 DGEDMN CC[C@@](C)(C#N)C(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000285137908 529491406 /nfs/dbraw/zinc/49/14/06/529491406.db2.gz NUSDVTLZXAEIAZ-PBHICJAKSA-N 0 1 312.373 3.293 20 30 DGEDMN CCc1c(C)sc(NC(=O)C23CCCN2CCC3)c1C#N ZINC000415130933 529491982 /nfs/dbraw/zinc/49/19/82/529491982.db2.gz YHBBHXWAELGTIR-UHFFFAOYSA-N 0 1 303.431 3.058 20 30 DGEDMN N#Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]1C1CCC1 ZINC000328933196 231392703 /nfs/dbraw/zinc/39/27/03/231392703.db2.gz POBXATNSMWEFCV-INIZCTEOSA-N 0 1 317.820 3.415 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000272793714 210197567 /nfs/dbraw/zinc/19/75/67/210197567.db2.gz AAROMJVZJTZCCX-AWEZNQCLSA-N 0 1 309.475 3.236 20 30 DGEDMN CN(CC#Cc1ccc(Cl)cc1)C[C@H](O)Cc1ccccc1 ZINC000433060614 529823061 /nfs/dbraw/zinc/82/30/61/529823061.db2.gz ZIRGXVYMNNNPKT-LJQANCHMSA-N 0 1 313.828 3.227 20 30 DGEDMN CN(CCC#N)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000352417201 529868153 /nfs/dbraw/zinc/86/81/53/529868153.db2.gz YKEDNTDNGVOCQE-UHFFFAOYSA-N 0 1 310.382 3.277 20 30 DGEDMN C[C@@H](c1ccc2ccccc2c1)N(CC(=O)NCC#N)C1CC1 ZINC000066496660 432017669 /nfs/dbraw/zinc/01/76/69/432017669.db2.gz CPUKXSLMQXOKRA-AWEZNQCLSA-N 0 1 307.397 3.005 20 30 DGEDMN Cc1ncc(CNCc2cccc(OCCCC#N)c2)s1 ZINC000105448525 432018352 /nfs/dbraw/zinc/01/83/52/432018352.db2.gz PXDGEROEIXCWAI-UHFFFAOYSA-N 0 1 301.415 3.424 20 30 DGEDMN C=C(C)C[NH+]1CCC(NC(=O)c2cc3ccccc3cc2[O-])CC1 ZINC000106291275 432020025 /nfs/dbraw/zinc/02/00/25/432020025.db2.gz QPJMGRXVNDEZEX-UHFFFAOYSA-N 0 1 324.424 3.316 20 30 DGEDMN CCOc1cc(CNCc2ccc(C#N)cc2F)ccc1OC ZINC000171481717 186166608 /nfs/dbraw/zinc/16/66/08/186166608.db2.gz HSHLDQZYFLURPL-UHFFFAOYSA-N 0 1 314.360 3.394 20 30 DGEDMN Cc1c(Cl)cccc1NC(=O)CCNCc1ccc(C#N)o1 ZINC000174329027 198320286 /nfs/dbraw/zinc/32/02/86/198320286.db2.gz UMLFTBQEGRHBPZ-UHFFFAOYSA-N 0 1 317.776 3.232 20 30 DGEDMN CC[C@@H](O)CN(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000131278001 432034594 /nfs/dbraw/zinc/03/45/94/432034594.db2.gz HDEAHNNWTLYFAJ-OAHLLOKOSA-N 0 1 300.427 3.393 20 30 DGEDMN C=C(C)CN(CC)C(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000067709619 432035538 /nfs/dbraw/zinc/03/55/38/432035538.db2.gz UWQLHQOQHGZIFJ-HNNXBMFYSA-N 0 1 307.438 3.270 20 30 DGEDMN Cc1ccc(NS(=O)(=O)c2ccc(C#N)cc2Cl)cc1O ZINC000169254992 432071050 /nfs/dbraw/zinc/07/10/50/432071050.db2.gz PSJHGHRVNGSIQW-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=C(C)COc1cc(CN2CCCO[C@@H](C)C2)ccc1OC ZINC000179401983 199029647 /nfs/dbraw/zinc/02/96/47/199029647.db2.gz JROQROICKFRVJO-HNNXBMFYSA-N 0 1 305.418 3.261 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Br)C[C@H]1CCCOC1 ZINC000181104924 199252551 /nfs/dbraw/zinc/25/25/51/199252551.db2.gz IMQRRSQOIIQEAC-CYBMUJFWSA-N 0 1 323.234 3.179 20 30 DGEDMN N#C[C@H]1CC[C@@H](N2CCN(Cc3cccc(Cl)c3)CC2)C1 ZINC000429707087 238058370 /nfs/dbraw/zinc/05/83/70/238058370.db2.gz FVVPCKLIDMVFHE-WMLDXEAASA-N 0 1 303.837 3.150 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H](c3ccccc3)C2)cc1 ZINC000433073293 238146284 /nfs/dbraw/zinc/14/62/84/238146284.db2.gz FLWQBVIHDUJYLN-GOSISDBHSA-N 0 1 319.408 3.376 20 30 DGEDMN CCn1nccc1C1CCN(Cc2cccc(C#N)c2F)CC1 ZINC000434794415 238245933 /nfs/dbraw/zinc/24/59/33/238245933.db2.gz NIMDZADWDRINLD-UHFFFAOYSA-N 0 1 312.392 3.293 20 30 DGEDMN COc1ccc(CN2CCC(OC3CCCC3)CC2)cc1C#N ZINC000135017157 432094350 /nfs/dbraw/zinc/09/43/50/432094350.db2.gz AXQASTOWPOUJPB-UHFFFAOYSA-N 0 1 314.429 3.490 20 30 DGEDMN CC(C)(C)Oc1ccc(CNCc2cc(C#N)cs2)cn1 ZINC000180889322 432104202 /nfs/dbraw/zinc/10/42/02/432104202.db2.gz AEUDPPSHLWKWCI-UHFFFAOYSA-N 0 1 301.415 3.482 20 30 DGEDMN CC1(C#N)CCC(NCc2noc(-c3ccccc3F)n2)CC1 ZINC000449292844 240115614 /nfs/dbraw/zinc/11/56/14/240115614.db2.gz SBTGUJKIQAUVQX-UHFFFAOYSA-N 0 1 314.364 3.438 20 30 DGEDMN CC(C)OCCOC1CCN(Cc2ccc(C#N)s2)CC1 ZINC000449732175 240387172 /nfs/dbraw/zinc/38/71/72/240387172.db2.gz YYJPUAUBSZYAGD-UHFFFAOYSA-N 0 1 308.447 3.026 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2Cl)CC[N@H+](C2CC2)C1 ZINC000451096639 241002035 /nfs/dbraw/zinc/00/20/35/241002035.db2.gz XOTCHJWKXAXWCF-CYBMUJFWSA-N 0 1 303.837 3.128 20 30 DGEDMN CC(C)(C)Oc1ccc(NS(=O)(=O)c2ccoc2)cc1C#N ZINC000451093208 241002420 /nfs/dbraw/zinc/00/24/20/241002420.db2.gz ZNWUSAMUZIKJFZ-UHFFFAOYSA-N 0 1 320.370 3.129 20 30 DGEDMN N#CCc1ccc(CN(Cc2cccc(C(N)=O)c2)C2CC2)cc1 ZINC000451209767 241049222 /nfs/dbraw/zinc/04/92/22/241049222.db2.gz PXLLIWHOWHUEIB-UHFFFAOYSA-N 0 1 319.408 3.016 20 30 DGEDMN CC(C)OCCOC1CCN(Cc2ccc(C#N)c(F)c2)CC1 ZINC000451951007 241281087 /nfs/dbraw/zinc/28/10/87/241281087.db2.gz JUCUBGNXROGJQG-UHFFFAOYSA-N 0 1 320.408 3.103 20 30 DGEDMN CC[C@H]1CN(CC)CCN1C(=O)Nc1ccc(C#N)cc1Cl ZINC000454989223 242081933 /nfs/dbraw/zinc/08/19/33/242081933.db2.gz MRSVWPKQGLWSKT-ZDUSSCGKSA-N 0 1 320.824 3.160 20 30 DGEDMN C=CCC1(CNC(=O)N[C@H]2CCCc3cn[nH]c32)CCCC1 ZINC000455475587 242210292 /nfs/dbraw/zinc/21/02/92/242210292.db2.gz JBWMVVWXFLTDDC-AWEZNQCLSA-N 0 1 302.422 3.223 20 30 DGEDMN C#CC[C@@H](NCC(=O)N(C)Cc1ccccc1F)c1ccccc1 ZINC000271762504 209192136 /nfs/dbraw/zinc/19/21/36/209192136.db2.gz ZYFNHWCHLICEJM-LJQANCHMSA-N 0 1 324.399 3.138 20 30 DGEDMN CN(C)C(=O)c1cccc(CNC2(c3cccc(C#N)c3)CC2)c1 ZINC000271757197 209187417 /nfs/dbraw/zinc/18/74/17/209187417.db2.gz HEOIZRPXXZNSMA-UHFFFAOYSA-N 0 1 319.408 3.039 20 30 DGEDMN Cc1c([C@@H](C)NCc2cccc(OCCCC#N)c2)cnn1C ZINC000171246320 432116806 /nfs/dbraw/zinc/11/68/06/432116806.db2.gz BXVDMKSCLXZJKN-CQSZACIVSA-N 0 1 312.417 3.262 20 30 DGEDMN N#C[C@@H]1CCC[C@@H]1NCCOc1ccc(OC(F)(F)F)cc1 ZINC000459468719 243382217 /nfs/dbraw/zinc/38/22/17/243382217.db2.gz RUIIVKGUZLDZOK-FZMZJTMJSA-N 0 1 314.307 3.246 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CCN(c2cccc(O)c2)CC1 ZINC000275092294 212116203 /nfs/dbraw/zinc/11/62/03/212116203.db2.gz MAKWZPAVWSAGEH-OAHLLOKOSA-N 0 1 307.397 3.147 20 30 DGEDMN Cc1cc(CN2CCC[C@H]([C@H](O)C(F)(F)F)C2)ccc1C#N ZINC000488973878 245257852 /nfs/dbraw/zinc/25/78/52/245257852.db2.gz VGWSATKRXWCSQH-GJZGRUSLSA-N 0 1 312.335 3.002 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cccc(Cl)c3)no2)CC1 ZINC000264647027 204051717 /nfs/dbraw/zinc/05/17/17/204051717.db2.gz RIXRWWOGVYQZQC-UHFFFAOYSA-N 0 1 301.777 3.203 20 30 DGEDMN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000125338521 284273635 /nfs/dbraw/zinc/27/36/35/284273635.db2.gz ASHNPVJQUMCZDZ-NWDGAFQWSA-N 0 1 305.809 3.271 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1oc(C)c(C)c1C#N)c1ccccc1 ZINC000271716625 209149624 /nfs/dbraw/zinc/14/96/24/209149624.db2.gz PHZZZSFASYQHNS-QGZVFWFLSA-N 0 1 321.380 3.061 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2c2cccc(C)c2C)CC1 ZINC000266064759 205070280 /nfs/dbraw/zinc/07/02/80/205070280.db2.gz JEBPRPDXWGIGTE-FQEVSTJZSA-N 0 1 324.468 3.312 20 30 DGEDMN CC#CCCN(CCOC)Cc1cc(Br)cs1 ZINC000494106000 246112716 /nfs/dbraw/zinc/11/27/16/246112716.db2.gz JSRFYAFIBCPOEJ-UHFFFAOYSA-N 0 1 316.264 3.372 20 30 DGEDMN CCCN(CC1(C#N)CC1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000494609399 246171876 /nfs/dbraw/zinc/17/18/76/246171876.db2.gz ZYFGUXGDSSNKRA-AWEZNQCLSA-N 0 1 307.438 3.012 20 30 DGEDMN C=CCN(C)[C@@H](C)C(=O)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000494627389 246173254 /nfs/dbraw/zinc/17/32/54/246173254.db2.gz YXDWSKLGDVLKBU-CABCVRRESA-N 0 1 300.446 3.249 20 30 DGEDMN COC(=O)Nc1cccc(CNCc2ccc(C#N)cc2F)c1 ZINC000495750829 246304141 /nfs/dbraw/zinc/30/41/41/246304141.db2.gz SNJAPWLDDYDYTL-UHFFFAOYSA-N 0 1 313.332 3.165 20 30 DGEDMN COc1ccc(CNc2cnccc2-n2cc(C)cn2)cc1C#N ZINC000136204404 432149238 /nfs/dbraw/zinc/14/92/38/432149238.db2.gz PGXOMRNYJNLXLF-UHFFFAOYSA-N 0 1 319.368 3.068 20 30 DGEDMN N#Cc1ccc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)cc1Cl ZINC000268914904 207082718 /nfs/dbraw/zinc/08/27/18/207082718.db2.gz UVLBBWQXJPXUSU-CYBMUJFWSA-N 0 1 315.764 3.134 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cnc2ccccc2c1 ZINC000114061581 194628286 /nfs/dbraw/zinc/62/82/86/194628286.db2.gz NAPSEQIGKYJNAR-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN COc1ccccc1[C@@H](CNc1c(C#N)cccc1C#N)N(C)C ZINC000302408141 532975247 /nfs/dbraw/zinc/97/52/47/532975247.db2.gz GHYZMLMRIHCMPF-QGZVFWFLSA-N 0 1 320.396 3.153 20 30 DGEDMN CCN(Cc1cnc2cnccn12)[C@H](C)c1ccc(C#N)cc1 ZINC000119856215 195050286 /nfs/dbraw/zinc/05/02/86/195050286.db2.gz PPPYZCSYAYUGDB-CQSZACIVSA-N 0 1 305.385 3.184 20 30 DGEDMN C[C@@H](NCc1cc(C#N)ccc1F)c1ccc2c(c1)OCCO2 ZINC000120130588 195102473 /nfs/dbraw/zinc/10/24/73/195102473.db2.gz ZLWNUQSNGZHFDG-GFCCVEGCSA-N 0 1 312.344 3.319 20 30 DGEDMN C[N@@H+](CC[C@@H]1CCCO1)Cc1ccc(C#N)cc1Br ZINC000342603730 254016867 /nfs/dbraw/zinc/01/68/67/254016867.db2.gz MFDAJWAQTDJBGQ-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN CN(CC[C@@H]1CCCO1)Cc1ccc(C#N)cc1Br ZINC000342603730 254016869 /nfs/dbraw/zinc/01/68/69/254016869.db2.gz MFDAJWAQTDJBGQ-AWEZNQCLSA-N 0 1 323.234 3.322 20 30 DGEDMN CO[C@H](C[N@@H+](C)CCOCc1ccc(C#N)cc1)c1ccccc1 ZINC000344107247 254226095 /nfs/dbraw/zinc/22/60/95/254226095.db2.gz JDOQBULOQDXLRL-HXUWFJFHSA-N 0 1 324.424 3.394 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1ccc(F)c(C#N)c1 ZINC000344345753 254260170 /nfs/dbraw/zinc/26/01/70/254260170.db2.gz HORKHEPXSRCENH-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(OC)c(Cn2cccn2)c1 ZINC000271551089 209006730 /nfs/dbraw/zinc/00/67/30/209006730.db2.gz VRDMHTGDRHAGQW-ZDUSSCGKSA-N 0 1 305.809 3.343 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289908086 221308874 /nfs/dbraw/zinc/30/88/74/221308874.db2.gz NVKMZKYXNUBFRF-LRDDRELGSA-N 0 1 300.793 3.416 20 30 DGEDMN COc1ccc(C#N)cc1CN(C)C1CC(OC(C)(C)C)C1 ZINC000421008862 533267688 /nfs/dbraw/zinc/26/76/88/533267688.db2.gz MKOVJAUDIHPXLV-UHFFFAOYSA-N 0 1 302.418 3.345 20 30 DGEDMN COc1ccc(Br)c(CN[C@@H]2CC[C@@H](C#N)C2)c1 ZINC000349813460 533258846 /nfs/dbraw/zinc/25/88/46/533258846.db2.gz OPIBMISDLYCLQY-ZYHUDNBSSA-N 0 1 309.207 3.240 20 30 DGEDMN COC(=O)C[C@@H](NCc1cccc(F)c1C#N)C1CCCCC1 ZINC000428346033 533614836 /nfs/dbraw/zinc/61/48/36/533614836.db2.gz GQQVHUOZOIAYGL-QGZVFWFLSA-N 0 1 318.392 3.299 20 30 DGEDMN C[C@@H](NCc1cccnc1N1CCCC1)c1cccc(C#N)c1 ZINC000595067257 400097067 /nfs/dbraw/zinc/09/70/67/400097067.db2.gz LHMAXIFFRQXSMK-OAHLLOKOSA-N 0 1 306.413 3.404 20 30 DGEDMN N#CCC[C@@H](C#N)CN[C@H]1CCN(c2ccc(Cl)cc2F)C1 ZINC000600615974 400108490 /nfs/dbraw/zinc/10/84/90/400108490.db2.gz WXWSJZWBAZGYBU-JSGCOSHPSA-N 0 1 320.799 3.091 20 30 DGEDMN C=CCN(Cc1cccc([N+](=O)[O-])c1)[C@H](C)c1n[nH]c(CC)n1 ZINC000595297768 400122463 /nfs/dbraw/zinc/12/24/63/400122463.db2.gz YDPUKCSRXXLXKS-GFCCVEGCSA-N 0 1 315.377 3.025 20 30 DGEDMN CN(CC(=O)N(CCC#N)c1ccc(F)cc1)CC(C)(C)C ZINC000608886446 400130236 /nfs/dbraw/zinc/13/02/36/400130236.db2.gz ZKVVBTMBISJFHJ-UHFFFAOYSA-N 0 1 305.397 3.050 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(c2nc(C)no2)CC1 ZINC000091835348 400139041 /nfs/dbraw/zinc/13/90/41/400139041.db2.gz NDUNWFLWXZCMET-UHFFFAOYSA-N 0 1 313.401 3.322 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@H]2C2CC2)cc1Cl ZINC000609133612 400142428 /nfs/dbraw/zinc/14/24/28/400142428.db2.gz MEMFXDQIAWZCNY-HNNXBMFYSA-N 0 1 303.793 3.025 20 30 DGEDMN CCN(CC)Cc1ccc(C(=O)N[C@@]2(C#N)CCC[C@@H](C)C2)o1 ZINC000609735386 400188357 /nfs/dbraw/zinc/18/83/57/400188357.db2.gz IXEMWPDYUXJLPY-KDOFPFPSSA-N 0 1 317.433 3.324 20 30 DGEDMN C=CC[C@H]1CCCN(Cc2cc([N+](=O)[O-])cc3c2OCOC3)C1 ZINC000595659422 400221544 /nfs/dbraw/zinc/22/15/44/400221544.db2.gz MAVQBNWVZLIHBE-ZDUSSCGKSA-N 0 1 318.373 3.249 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H]2Cc2ccccc2)cc1 ZINC000025439574 400236682 /nfs/dbraw/zinc/23/66/82/400236682.db2.gz NRJLMZCVCKGLQU-LJQANCHMSA-N 0 1 319.408 3.204 20 30 DGEDMN COc1ccc(C#N)cc1CN(Cc1ccccc1)[C@@H](C)CCO ZINC000093303755 400237796 /nfs/dbraw/zinc/23/77/96/400237796.db2.gz VEMPGLYAFKMPQG-INIZCTEOSA-N 0 1 324.424 3.340 20 30 DGEDMN COC(=O)[C@@H]1CCN(Cc2ccccc2C#N)[C@H]2CCCC[C@@H]12 ZINC000595732947 400245148 /nfs/dbraw/zinc/24/51/48/400245148.db2.gz KPXJWODFLSRKPU-KSZLIROESA-N 0 1 312.413 3.112 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(C)Cc1ccccc1)c1cccc(C#N)c1 ZINC000610345925 400245977 /nfs/dbraw/zinc/24/59/77/400245977.db2.gz CWFNUVAFYDFLNW-CVEARBPZSA-N 0 1 321.424 3.256 20 30 DGEDMN N#Cc1cccc(-c2nnc(CN3CCC[C@@H](CCF)C3)o2)c1 ZINC000595755142 400251753 /nfs/dbraw/zinc/25/17/53/400251753.db2.gz HDYXGSZTKRPMKM-ZDUSSCGKSA-N 0 1 314.364 3.180 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CCC(C2CCCC2)CC1 ZINC000610370839 400251791 /nfs/dbraw/zinc/25/17/91/400251791.db2.gz ONYFFOHASCVAAT-DNVCBOLYSA-N 0 1 319.493 3.332 20 30 DGEDMN CCN(CCC#N)C(=O)CN(Cc1ccsc1)C(C)(C)C ZINC000595603613 400200410 /nfs/dbraw/zinc/20/04/10/400200410.db2.gz ZMYLUVNXVPHJAE-UHFFFAOYSA-N 0 1 307.463 3.111 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NCc1noc(C)c1C(=O)OCC ZINC000595605864 400201622 /nfs/dbraw/zinc/20/16/22/400201622.db2.gz YVLVUKCCTLTSFY-ZIAGYGMSSA-N 0 1 306.406 3.384 20 30 DGEDMN COc1ccc([C@H](C)NCc2ccnc(C#N)c2)c(C)c1OC ZINC000588216111 400203202 /nfs/dbraw/zinc/20/32/02/400203202.db2.gz RWQOCFFFFIUOFJ-ZDUSSCGKSA-N 0 1 311.385 3.130 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2ccccc2C#N)CC1 ZINC000022775257 400204642 /nfs/dbraw/zinc/20/46/42/400204642.db2.gz LSEMUNYSHNNVMP-UHFFFAOYSA-N 0 1 305.425 3.185 20 30 DGEDMN N#CCCCCCC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000588248143 400205491 /nfs/dbraw/zinc/20/54/91/400205491.db2.gz AIQRFZWHCVSELK-OAHLLOKOSA-N 0 1 303.406 3.007 20 30 DGEDMN C=CCC[C@@H](Nc1cc(-n2cccn2)nc(N)n1)c1ccccc1 ZINC000092923670 400212980 /nfs/dbraw/zinc/21/29/80/400212980.db2.gz BPQPRDUFGJTWJQ-OAHLLOKOSA-N 0 1 320.400 3.364 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2ccccc2C)n1 ZINC000067895398 400214203 /nfs/dbraw/zinc/21/42/03/400214203.db2.gz UOHUEPXJTTWWLD-LBPRGKRZSA-N 0 1 314.366 3.118 20 30 DGEDMN COc1cccc2c1OCC[C@H]2NCc1cc(C#N)cs1 ZINC000610488726 400263245 /nfs/dbraw/zinc/26/32/45/400263245.db2.gz HSUOIQISJALWIE-CQSZACIVSA-N 0 1 300.383 3.242 20 30 DGEDMN CN(c1ccccc1)[C@@H]1CCN(Cc2cccc(C#N)c2F)C1 ZINC000595830233 400274119 /nfs/dbraw/zinc/27/41/19/400274119.db2.gz ZJZPTNVXNCHZRL-GOSISDBHSA-N 0 1 309.388 3.408 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(C#N)cc2C(F)(F)F)C[C@@]1(C)CO ZINC000595859898 400282053 /nfs/dbraw/zinc/28/20/53/400282053.db2.gz CRAXSRCABZXHSM-ABAIWWIYSA-N 0 1 312.335 3.027 20 30 DGEDMN CC(C)(C)c1nc2ccc(NC(=O)c3cccc(C#N)n3)cc2[nH]1 ZINC000589273062 400283855 /nfs/dbraw/zinc/28/38/55/400283855.db2.gz KMKHBRNQDUFAKT-UHFFFAOYSA-N 0 1 319.368 3.379 20 30 DGEDMN CC(C)CC1(C)CCN(CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000575158032 400323106 /nfs/dbraw/zinc/32/31/06/400323106.db2.gz RGIPGZZGCYGISK-SFHVURJKSA-N 0 1 307.482 3.189 20 30 DGEDMN Cc1ccc(-c2n[nH]cc2CNCc2ccc(C#N)cc2F)o1 ZINC000105642712 400323131 /nfs/dbraw/zinc/32/31/31/400323131.db2.gz UASWOXNRSIVJDV-UHFFFAOYSA-N 0 1 310.332 3.279 20 30 DGEDMN C[C@@H]1c2ccccc2CN1C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000595903710 400290583 /nfs/dbraw/zinc/29/05/83/400290583.db2.gz ZXZDKMMRDLNKNB-CRAIPNDOSA-N 0 1 322.408 3.069 20 30 DGEDMN C[C@@H]1CN(C(=O)C(C)(C)C#N)[C@H](C)[C@@H](C)N1Cc1ccccc1 ZINC000404384863 400295320 /nfs/dbraw/zinc/29/53/20/400295320.db2.gz VLJMYSGMAVRYHS-BZUAXINKSA-N 0 1 313.445 3.046 20 30 DGEDMN N#Cc1cccc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)n1 ZINC000589466421 400298669 /nfs/dbraw/zinc/29/86/69/400298669.db2.gz RAXHBFWOGHWXJQ-UHFFFAOYSA-N 0 1 313.267 3.019 20 30 DGEDMN Cc1ccc(C#N)nc1NC[C@@H](C)N1CCc2sccc2C1 ZINC000596074678 400310029 /nfs/dbraw/zinc/31/00/29/400310029.db2.gz IXMNKKMWKQPLFH-CYBMUJFWSA-N 0 1 312.442 3.182 20 30 DGEDMN CC[C@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000030142470 400321067 /nfs/dbraw/zinc/32/10/67/400321067.db2.gz LCGOKTWQUKTHNP-LBPRGKRZSA-N 0 1 305.809 3.272 20 30 DGEDMN Cc1[nH]n(-c2ccc(C#N)cc2C(F)(F)F)c(=O)c1C1CC1 ZINC000601948895 400384771 /nfs/dbraw/zinc/38/47/71/400384771.db2.gz NGIHNLREQAXFEZ-ZDUSSCGKSA-N 0 1 307.275 3.326 20 30 DGEDMN CC(C)c1cc(=O)n(-c2cc(C#N)ccc2Br)[nH]1 ZINC000601949655 400385068 /nfs/dbraw/zinc/38/50/68/400385068.db2.gz VSPBXRKTFMKIOY-UHFFFAOYSA-N 0 1 306.163 3.069 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@H](C[C@H]3CCOC3)C2)c1F ZINC000611374770 400386391 /nfs/dbraw/zinc/38/63/91/400386391.db2.gz GQPCWWNUKFXFML-HUUCEWRRSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@@H](NCc1ccnc(C#N)c1)c1ccc(OC(F)F)cc1 ZINC000611379625 400387465 /nfs/dbraw/zinc/38/74/65/400387465.db2.gz XZEBXNDEALJAFO-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN C[C@H](NCC(=O)Nc1ccc(F)c(F)c1)c1cccc(C#N)c1 ZINC000611386887 400388466 /nfs/dbraw/zinc/38/84/66/400388466.db2.gz BEOQRFTUSACOIU-NSHDSACASA-N 0 1 315.323 3.126 20 30 DGEDMN C[C@@H](NCC(=O)Nc1ccc(F)cc1F)c1cccc(C#N)c1 ZINC000611386967 400388588 /nfs/dbraw/zinc/38/85/88/400388588.db2.gz XTLPCWNMVIJIQI-LLVKDONJSA-N 0 1 315.323 3.126 20 30 DGEDMN CCN(C(=O)CN[C@H](C)c1cccc(C#N)c1)c1ccccc1 ZINC000611386125 400388708 /nfs/dbraw/zinc/38/87/08/400388708.db2.gz DHXDGWFZOKWCCW-OAHLLOKOSA-N 0 1 307.397 3.262 20 30 DGEDMN C[C@@H](NCC(=O)N[C@@H](C)c1cccs1)c1cccc(C#N)c1 ZINC000611388889 400389565 /nfs/dbraw/zinc/38/95/65/400389565.db2.gz TZUOSWTYKKJTFG-OLZOCXBDSA-N 0 1 313.426 3.148 20 30 DGEDMN C[C@@H](NCC(=O)N1c2ccccc2C[C@@H]1C)c1cccc(C#N)c1 ZINC000611388261 400390280 /nfs/dbraw/zinc/39/02/80/400390280.db2.gz DOCWCPIDFJAQDA-LSDHHAIUSA-N 0 1 319.408 3.187 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000610946927 400326679 /nfs/dbraw/zinc/32/66/79/400326679.db2.gz DPCNTDINBNJMPU-JTQLQIEISA-N 0 1 310.332 3.130 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])c(C)o1)Cc1ccc(OC)cc1 ZINC000578756103 400331862 /nfs/dbraw/zinc/33/18/62/400331862.db2.gz DDVAGQBTQGSQNF-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])c(C)o1)Cc1ccc(OC)cc1 ZINC000578756103 400331863 /nfs/dbraw/zinc/33/18/63/400331863.db2.gz DDVAGQBTQGSQNF-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN COc1cc(F)c(CN2CCC(CCC#N)CC2)cc1OC ZINC000579483065 400339145 /nfs/dbraw/zinc/33/91/45/400339145.db2.gz HLBPMPYCIPTFSP-UHFFFAOYSA-N 0 1 306.381 3.359 20 30 DGEDMN CCc1ccc(CN(C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000069875932 400347680 /nfs/dbraw/zinc/34/76/80/400347680.db2.gz NHXCRFJZYRGPDB-KXBFYZLASA-N 0 1 315.461 3.124 20 30 DGEDMN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1cc(Cl)ccc1C#N ZINC000072698399 400398662 /nfs/dbraw/zinc/39/86/62/400398662.db2.gz MIMKDSDEQMPRII-RISCZKNCSA-N 0 1 305.809 3.271 20 30 DGEDMN COC(=O)c1ccc(F)c(CN[C@H](C)c2cccc(C#N)c2)c1 ZINC000611574942 400426232 /nfs/dbraw/zinc/42/62/32/400426232.db2.gz ZAHWJTUESXMYPP-GFCCVEGCSA-N 0 1 312.344 3.335 20 30 DGEDMN N#Cc1cc([N+](=O)[O-])ccc1NC[C@@H]1CCN1Cc1ccccc1 ZINC000596553329 400443390 /nfs/dbraw/zinc/44/33/90/400443390.db2.gz PVPCCESTSHUDFB-KRWDZBQOSA-N 0 1 322.368 3.153 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)[C@@H](C)N2CCCCC2)c1 ZINC000073598315 400458930 /nfs/dbraw/zinc/45/89/30/400458930.db2.gz MVYNCKRPWCSNLF-QGZVFWFLSA-N 0 1 313.445 3.425 20 30 DGEDMN C[C@H](CC#N)C(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000596796060 400500834 /nfs/dbraw/zinc/50/08/34/400500834.db2.gz HRCOBUWMFPRWLC-CJNGLKHVSA-N 0 1 319.836 3.143 20 30 DGEDMN C[C@H](CC#N)C(=O)NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000596796061 400500858 /nfs/dbraw/zinc/50/08/58/400500858.db2.gz HRCOBUWMFPRWLC-CZUORRHYSA-N 0 1 319.836 3.143 20 30 DGEDMN COc1ccc(C#N)cc1N[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000602588615 400506240 /nfs/dbraw/zinc/50/62/40/400506240.db2.gz COIJOAYXTDEPCP-INIZCTEOSA-N 0 1 311.389 3.418 20 30 DGEDMN C[C@@H](NC(=O)[C@H](C)N(C)C)c1ccc(-c2cccc(C#N)c2)cc1 ZINC000611901909 400462411 /nfs/dbraw/zinc/46/24/11/400462411.db2.gz KYWASAUCVVJKFC-CABCVRRESA-N 0 1 321.424 3.353 20 30 DGEDMN CCN(CC)[C@@H](CNC(=O)[C@H](C)CC#N)c1ccccc1Cl ZINC000596710505 400484575 /nfs/dbraw/zinc/48/45/75/400484575.db2.gz MNZIMORGXKWHHV-CJNGLKHVSA-N 0 1 321.852 3.389 20 30 DGEDMN CCN(CC)[C@H](CNC(=O)[C@@H](C)CC#N)c1ccccc1Cl ZINC000596710508 400484788 /nfs/dbraw/zinc/48/47/88/400484788.db2.gz MNZIMORGXKWHHV-XJKSGUPXSA-N 0 1 321.852 3.389 20 30 DGEDMN N#CCC1(CN(CCO)Cc2ccc(Cl)c(Cl)c2)CC1 ZINC000602799118 400576877 /nfs/dbraw/zinc/57/68/77/400576877.db2.gz RONYXFNKJAHPGW-UHFFFAOYSA-N 0 1 313.228 3.482 20 30 DGEDMN CN(CC1(CC#N)CC1)[C@@H](CO)c1cccc(Br)c1 ZINC000602801393 400578812 /nfs/dbraw/zinc/57/88/12/400578812.db2.gz SKZSQZXLOIVRFR-AWEZNQCLSA-N 0 1 323.234 3.108 20 30 DGEDMN Cc1ccc([C@@H](C)N(C)CC(=O)N[C@@](C)(C#N)C(C)C)cc1 ZINC000047959723 400635754 /nfs/dbraw/zinc/63/57/54/400635754.db2.gz LTGZDHNIZODTQG-QAPCUYQASA-N 0 1 301.434 3.042 20 30 DGEDMN N#CCCCn1cc(-c2nc([C@@H]3CCCN3)no2)c2ccccc21 ZINC000592357020 400637464 /nfs/dbraw/zinc/63/74/64/400637464.db2.gz OGOURWCJOYFMCE-HNNXBMFYSA-N 0 1 321.384 3.420 20 30 DGEDMN CC(C)(C)OC(=O)CCC1CCN(Cc2ccc(C#N)o2)CC1 ZINC000597198402 400584909 /nfs/dbraw/zinc/58/49/09/400584909.db2.gz LVWGJTYEFFFSPB-UHFFFAOYSA-N 0 1 318.417 3.485 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](N[C@@H](CCO)c1ccccc1F)CC2 ZINC000592402742 400647474 /nfs/dbraw/zinc/64/74/74/400647474.db2.gz IRXPQQZEHCHXOM-MOPGFXCFSA-N 0 1 310.372 3.398 20 30 DGEDMN Cc1cc(C#N)ccc1Cn1cc(CN(C)C2CCCCC2)nn1 ZINC000603235912 400658314 /nfs/dbraw/zinc/65/83/14/400658314.db2.gz JGGOTHNLEWXYSA-UHFFFAOYSA-N 0 1 323.444 3.271 20 30 DGEDMN CN(Cc1cn(CCc2ccc(C#N)cc2)nn1)C1CCCCC1 ZINC000603246692 400660980 /nfs/dbraw/zinc/66/09/80/400660980.db2.gz FVCZIFNAYVPXDN-UHFFFAOYSA-N 0 1 323.444 3.157 20 30 DGEDMN N#Cc1ccc(CCC(=O)Nc2cccc(Cl)c2O)cc1 ZINC000077012898 400685343 /nfs/dbraw/zinc/68/53/43/400685343.db2.gz JHGUOXSOPCFJIE-UHFFFAOYSA-N 0 1 300.745 3.489 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccccc1 ZINC000153403247 400686533 /nfs/dbraw/zinc/68/65/33/400686533.db2.gz JWXLZCMBUPTESN-OAHLLOKOSA-N 0 1 308.385 3.063 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCCc2sccc2C1 ZINC000592981537 400724907 /nfs/dbraw/zinc/72/49/07/400724907.db2.gz CFRXTUPUCQROJA-AWEZNQCLSA-N 0 1 304.459 3.085 20 30 DGEDMN C=CCCOCC(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC000603871849 400727782 /nfs/dbraw/zinc/72/77/82/400727782.db2.gz CRCXKVVDUSVSHY-UHFFFAOYSA-N 0 1 322.368 3.156 20 30 DGEDMN COC(=O)C[C@@H]1CCCCN1Cc1ccc(C#N)cc1Cl ZINC000163659549 400749245 /nfs/dbraw/zinc/74/92/45/400749245.db2.gz GTUQWMJQNPBFBZ-AWEZNQCLSA-N 0 1 306.793 3.129 20 30 DGEDMN CN(C)[C@@H](CNc1c(C#N)nnc2ccccc21)c1ccsc1 ZINC000077188944 400693512 /nfs/dbraw/zinc/69/35/12/400693512.db2.gz RLWRLRGGKRYWDO-INIZCTEOSA-N 0 1 323.425 3.278 20 30 DGEDMN CC(C)(NC(=O)c1[nH]nc2c1CCCCC2)c1cccc(C#N)c1 ZINC000597953492 400703462 /nfs/dbraw/zinc/70/34/62/400703462.db2.gz CXDWSRQWVJJJHQ-UHFFFAOYSA-N 0 1 322.412 3.215 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC(C)CC1 ZINC000078606412 400814337 /nfs/dbraw/zinc/81/43/37/400814337.db2.gz QUMQAISPMVZLPZ-HNNXBMFYSA-N 0 1 317.408 3.193 20 30 DGEDMN N#CCc1ccc(NS(=O)(=O)c2cccc3cccnc32)cc1 ZINC000172197802 400815706 /nfs/dbraw/zinc/81/57/06/400815706.db2.gz LKUDCZGELFEAKU-UHFFFAOYSA-N 0 1 323.377 3.102 20 30 DGEDMN CC(=Cc1ccc2cnccc2c1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000619095320 400764588 /nfs/dbraw/zinc/76/45/88/400764588.db2.gz GRHATROJOLKVMP-YRNVUSSQSA-N 0 1 302.337 3.059 20 30 DGEDMN CC(C)N(C)CC(=O)Nc1ccc(Oc2ccc(C#N)cc2)nc1 ZINC000604530300 400785365 /nfs/dbraw/zinc/78/53/65/400785365.db2.gz XJTNHRHGRCDISZ-UHFFFAOYSA-N 0 1 324.384 3.024 20 30 DGEDMN C[C@@H]1CN(C)[C@H](C)[C@@H](C)N1C(=O)c1ccc(C(C)(C)C#N)cc1 ZINC000593189434 400786307 /nfs/dbraw/zinc/78/63/07/400786307.db2.gz OXIQOPKKCQBQGU-RBSFLKMASA-N 0 1 313.445 3.041 20 30 DGEDMN CC(C)[C@H](C#N)N1CCN(CCSCc2ccccc2)CC1 ZINC000619166115 400787843 /nfs/dbraw/zinc/78/78/43/400787843.db2.gz ZWOSIYWESUUHSZ-SFHVURJKSA-N 0 1 317.502 3.086 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(C)C[C@@H]2C(C)C)c1 ZINC000180658374 400885338 /nfs/dbraw/zinc/88/53/38/400885338.db2.gz ZLHQIBAMCUCLFA-QGZVFWFLSA-N 0 1 317.433 3.055 20 30 DGEDMN Cc1csc([C@H]2CCCCN2C[C@@H](O)CC(C)(C)C#N)n1 ZINC000598590551 400838755 /nfs/dbraw/zinc/83/87/55/400838755.db2.gz OLKZUDSSNPYLLG-UONOGXRCSA-N 0 1 307.463 3.279 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN[C@H](c1ccccc1)c1ccccn1 ZINC000598596283 400839718 /nfs/dbraw/zinc/83/97/18/400839718.db2.gz ZGQRCBWZLCWQHT-FUHWJXTLSA-N 0 1 309.413 3.061 20 30 DGEDMN C[C@@H](NC[C@H](O)CC(C)(C)C#N)c1ncc(-c2ccccc2)o1 ZINC000598612399 400842800 /nfs/dbraw/zinc/84/28/00/400842800.db2.gz OGKRUDGMCNCQBH-UKRRQHHQSA-N 0 1 313.401 3.293 20 30 DGEDMN C=C[C@H](Nc1cccc2c1C[N@H+]([C@H](C)C(C)C)C2)C(=O)OC ZINC000619734817 400895568 /nfs/dbraw/zinc/89/55/68/400895568.db2.gz TUWWMKVGNNZHDO-CJNGLKHVSA-N 0 1 302.418 3.186 20 30 DGEDMN CC(C)(C)n1cc(CNCc2ccc(C#N)c(Cl)c2)cn1 ZINC000619748201 400898261 /nfs/dbraw/zinc/89/82/61/400898261.db2.gz AIYUMXZJSNHBKG-UHFFFAOYSA-N 0 1 302.809 3.453 20 30 DGEDMN N#Cc1ccc(CNCc2cccc3c2OCCO3)cc1Cl ZINC000619748540 400898293 /nfs/dbraw/zinc/89/82/93/400898293.db2.gz NRVLMHSMPUMVPD-UHFFFAOYSA-N 0 1 314.772 3.273 20 30 DGEDMN CCc1nn(C)c(CC)c1CNCc1ccc(C#N)c(Cl)c1 ZINC000619748787 400898392 /nfs/dbraw/zinc/89/83/92/400898392.db2.gz OLQUHETVMRFYNG-UHFFFAOYSA-N 0 1 316.836 3.360 20 30 DGEDMN Cc1ncc([C@H](C)NCc2ccc(C#N)c(Cl)c2)c(C)n1 ZINC000619755619 400899563 /nfs/dbraw/zinc/89/95/63/400899563.db2.gz NOHNISPLPJHCPY-JTQLQIEISA-N 0 1 300.793 3.469 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N(C)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000598943702 400909374 /nfs/dbraw/zinc/90/93/74/400909374.db2.gz YJQBDKBTUXEBGI-XNJGSVPQSA-N 0 1 322.412 3.167 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000598943043 400909943 /nfs/dbraw/zinc/90/99/43/400909943.db2.gz RHTRPEFOCZMSFL-CZUORRHYSA-N 0 1 316.449 3.254 20 30 DGEDMN CCCc1cc(C(=O)N(CC)[C@H](C)c2ccc(C#N)cc2)n[nH]1 ZINC000056222359 400915557 /nfs/dbraw/zinc/91/55/57/400915557.db2.gz IXOCRACXIUNUSD-CYBMUJFWSA-N 0 1 310.401 3.457 20 30 DGEDMN CCCc1cc(C(=O)N(CC)[C@@H](C)c2ccc(C#N)cc2)n[nH]1 ZINC000056222358 400915653 /nfs/dbraw/zinc/91/56/53/400915653.db2.gz IXOCRACXIUNUSD-ZDUSSCGKSA-N 0 1 310.401 3.457 20 30 DGEDMN N#CCSc1ccccc1NC(=O)CN1CC[C@H]2CCC[C@@H]21 ZINC000614600839 400921750 /nfs/dbraw/zinc/92/17/50/400921750.db2.gz MCRSFMVOKRJWGS-HIFRSBDPSA-N 0 1 315.442 3.115 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CC[C@@H]3CCC[C@@H]32)c1C#N ZINC000614600305 400921797 /nfs/dbraw/zinc/92/17/97/400921797.db2.gz CLRNOYWWFAIZLP-YJBOKZPZSA-N 0 1 306.413 3.427 20 30 DGEDMN CC(C)CN(CC(=O)NCC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000599271128 401007645 /nfs/dbraw/zinc/00/76/45/401007645.db2.gz JFPZFXUOVQPMEV-UHFFFAOYSA-N 0 1 315.461 3.179 20 30 DGEDMN COc1c(Cl)cccc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000057123432 400972882 /nfs/dbraw/zinc/97/28/82/400972882.db2.gz GWIVHFRIEBRFHZ-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=CC[C@@H]1CCN(CC(=O)Nc2ccccc2SCC#N)C1 ZINC000599526970 401068814 /nfs/dbraw/zinc/06/88/14/401068814.db2.gz YVZOMSCPHIBFPO-CQSZACIVSA-N 0 1 315.442 3.139 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CNC(C)(C)c1ccc(Cl)cc1 ZINC000057846577 401032151 /nfs/dbraw/zinc/03/21/51/401032151.db2.gz MNJXNUJVHYEPCZ-KRWDZBQOSA-N 0 1 321.852 3.219 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H](c3cc[nH]n3)C2)c(Cl)c1 ZINC000615223998 401033873 /nfs/dbraw/zinc/03/38/73/401033873.db2.gz ODMADQLGDBKVSS-CQSZACIVSA-N 0 1 300.793 3.314 20 30 DGEDMN Cc1ccc(CN2C[C@H](C)O[C@@]3(CCO[C@@H](C)C3)C2)cc1C#N ZINC000599398665 401041304 /nfs/dbraw/zinc/04/13/04/401041304.db2.gz DURGCZZLNWBRHV-TXPKVOOTSA-N 0 1 314.429 3.025 20 30 DGEDMN C/C=C\C[C@H]1CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000599691846 401118515 /nfs/dbraw/zinc/11/85/15/401118515.db2.gz TUQUODYTJPQLNS-YPQKKHBXSA-N 0 1 303.450 3.007 20 30 DGEDMN CC[NH+](CC)Cc1ccc(NC(=O)c2cc([O-])cc(C#N)c2)cc1 ZINC000615863197 401166500 /nfs/dbraw/zinc/16/65/00/401166500.db2.gz DTRBDYLFQGFVFL-UHFFFAOYSA-N 0 1 323.396 3.358 20 30 DGEDMN N#CC(C(=O)c1cnn(C(F)F)c1)c1nc(C2CC2)cs1 ZINC000634011603 401178672 /nfs/dbraw/zinc/17/86/72/401178672.db2.gz HCXSNONIAHTCLP-SECBINFHSA-N 0 1 308.313 3.102 20 30 DGEDMN C[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)c1cccc(C#N)c1 ZINC000616070115 401204589 /nfs/dbraw/zinc/20/45/89/401204589.db2.gz FYSKXKQZFBFZOX-GFCCVEGCSA-N 0 1 308.385 3.041 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(C)c1ccccc1 ZINC000634386515 401277895 /nfs/dbraw/zinc/27/78/95/401277895.db2.gz ZLFMTBGGRBVGLB-UHFFFAOYSA-N 0 1 322.416 3.244 20 30 DGEDMN C=CCC[C@@H](NC(=O)N1CCNC[C@@H]1C(C)C)c1ccccc1 ZINC000627003381 401432019 /nfs/dbraw/zinc/43/20/19/401432019.db2.gz NVVAUVWGKULVKP-QZTJIDSGSA-N 0 1 315.461 3.333 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC(Cc3n[nH]c(C(C)C)n3)CC2)C1 ZINC000636118263 401534689 /nfs/dbraw/zinc/53/46/89/401534689.db2.gz IEUGPPRKEYIQGH-UHFFFAOYSA-N 0 1 316.449 3.066 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC(Cc3nnc(C(C)C)[nH]3)CC2)C1 ZINC000636118263 401534691 /nfs/dbraw/zinc/53/46/91/401534691.db2.gz IEUGPPRKEYIQGH-UHFFFAOYSA-N 0 1 316.449 3.066 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@@H](C[C@H]3CCOC3)C2)cc1F ZINC000365267484 287116224 /nfs/dbraw/zinc/11/62/24/287116224.db2.gz XJHASHXXMYUDTP-GOEBONIOSA-N 0 1 302.393 3.336 20 30 DGEDMN C[C@@H](c1ccncc1)N(C)CC#CCN(C)[C@@H](C)c1ccncc1 ZINC000276264320 287156423 /nfs/dbraw/zinc/15/64/23/287156423.db2.gz BOAWRRWZPNIERO-ROUUACIJSA-N 0 1 322.456 3.166 20 30 DGEDMN Cn1cc(CN[C@H]2CCSc3c(F)cccc32)cc1C#N ZINC000190718001 432184947 /nfs/dbraw/zinc/18/49/47/432184947.db2.gz QGOYJESMUAMBPR-HNNXBMFYSA-N 0 1 301.390 3.363 20 30 DGEDMN COc1ccc(CN2CCC(OC(C)(C)C)CC2)cc1C#N ZINC000135148605 196447642 /nfs/dbraw/zinc/44/76/42/196447642.db2.gz CGZOGHRYKSSIPU-UHFFFAOYSA-N 0 1 302.418 3.346 20 30 DGEDMN Cc1cc(C#N)ccc1S(=O)(=O)Nc1cccc2cccnc21 ZINC000172589773 228276854 /nfs/dbraw/zinc/27/68/54/228276854.db2.gz ANDKFJDCWGLWHF-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cn(C(C)(C)C)nc2C)n1 ZINC000181462483 228361813 /nfs/dbraw/zinc/36/18/13/228361813.db2.gz SBOPDUJBFPMGCZ-NSHDSACASA-N 0 1 302.403 3.202 20 30 DGEDMN C[C@@H](c1ccsc1)N1CCN(CCCSCC#N)CC1 ZINC000185443568 228393179 /nfs/dbraw/zinc/39/31/79/228393179.db2.gz CQPYHLNKTHJQIH-AWEZNQCLSA-N 0 1 309.504 3.074 20 30 DGEDMN N#C[C@H]1CCC[C@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000185774319 228397599 /nfs/dbraw/zinc/39/75/99/228397599.db2.gz YLGYCHJPSLLLBA-AAEUAGOBSA-N 0 1 313.323 3.195 20 30 DGEDMN N#CCc1ccc(CSc2nc(-c3cccnc3)n[nH]2)cc1 ZINC000342519619 229113429 /nfs/dbraw/zinc/11/34/29/229113429.db2.gz PUAAQCSNRPOQGB-UHFFFAOYSA-N 0 1 307.382 3.225 20 30 DGEDMN CN(C)C(=O)C[C@@H](NCc1ccc(CC#N)cc1)c1ccccc1 ZINC000343707113 229129990 /nfs/dbraw/zinc/12/99/90/229129990.db2.gz CZDDTLGOVITBRV-LJQANCHMSA-N 0 1 321.424 3.062 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c(C)n1C ZINC000345396096 229152161 /nfs/dbraw/zinc/15/21/61/229152161.db2.gz LXXMIBGNWZYXKT-CQSZACIVSA-N 0 1 306.369 3.317 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cnn(C(C)C)c3)[nH]c21 ZINC000345529878 229153951 /nfs/dbraw/zinc/15/39/51/229153951.db2.gz VOZXJXDANFGICA-CYBMUJFWSA-N 0 1 307.357 3.139 20 30 DGEDMN Cc1c[nH]cc1C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000358651684 229363376 /nfs/dbraw/zinc/36/33/76/229363376.db2.gz NVRFPENJCHTKFP-GFCCVEGCSA-N 0 1 308.366 3.332 20 30 DGEDMN Cc1c[nH]cc1C(=O)[C@@H](C#N)c1nc(-c2ccncc2)cs1 ZINC000358651684 229363381 /nfs/dbraw/zinc/36/33/81/229363381.db2.gz NVRFPENJCHTKFP-GFCCVEGCSA-N 0 1 308.366 3.332 20 30 DGEDMN C[C@@H]1CN(c2ncc(C#N)cc2F)[C@@H](C)CN1Cc1ccccc1 ZINC000413214591 230156576 /nfs/dbraw/zinc/15/65/76/230156576.db2.gz MIXRXOQTZBUUIX-CABCVRRESA-N 0 1 324.403 3.192 20 30 DGEDMN CSc1cccc(C)c1NS(=O)(=O)c1cccc(C#N)c1 ZINC000185338163 263633941 /nfs/dbraw/zinc/63/39/41/263633941.db2.gz XVLDWOBOQFYSQM-UHFFFAOYSA-N 0 1 318.423 3.389 20 30 DGEDMN CC[C@H](CO)N(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000347345516 264202121 /nfs/dbraw/zinc/20/21/21/264202121.db2.gz MEFOZPPTFMCJDD-GOSISDBHSA-N 0 1 312.388 3.470 20 30 DGEDMN CC[C@@H](CSC)N(C)Cc1nnc(-c2cccc(C#N)c2)o1 ZINC000358736682 270004096 /nfs/dbraw/zinc/00/40/96/270004096.db2.gz LJDVTHBYJYHLNG-AWEZNQCLSA-N 0 1 316.430 3.182 20 30 DGEDMN CCC[C@@H]1CCCN(Cc2cccc(C(=O)OC)c2C#N)C1 ZINC000533760442 270005179 /nfs/dbraw/zinc/00/51/79/270005179.db2.gz FHJUNLDTGOXLBO-CQSZACIVSA-N 0 1 300.402 3.357 20 30 DGEDMN COc1ccc(CN[C@@H](C)c2ccc(OCC#N)cc2)cc1O ZINC000171768644 533686728 /nfs/dbraw/zinc/68/67/28/533686728.db2.gz VMQOWXXUGPGXNL-ZDUSSCGKSA-N 0 1 312.369 3.154 20 30 DGEDMN CCCCCC(=O)NC1CCN(Cc2cc(C#N)cs2)CC1 ZINC000352933925 266022699 /nfs/dbraw/zinc/02/26/99/266022699.db2.gz GDWQQBZCRKOMJF-UHFFFAOYSA-N 0 1 319.474 3.281 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)CSc1ccc(C#N)cc1 ZINC000355623794 266134662 /nfs/dbraw/zinc/13/46/62/266134662.db2.gz VPLHXQNCYCRJSR-UHFFFAOYSA-N 0 1 322.340 3.258 20 30 DGEDMN COc1cc(CNc2nccn2Cc2ccccc2)ccc1C#N ZINC000351550069 266831998 /nfs/dbraw/zinc/83/19/98/266831998.db2.gz HJHKTPNQDCQNNP-UHFFFAOYSA-N 0 1 318.380 3.424 20 30 DGEDMN C[C@@H]1CCCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@H]1C ZINC000357733101 267014511 /nfs/dbraw/zinc/01/45/11/267014511.db2.gz PLDJRPPBXCFQST-NEPJUHHUSA-N 0 1 305.809 3.271 20 30 DGEDMN CCN(CC(=O)NCc1ccc(C#N)cc1)Cc1ccc(C)cc1 ZINC000521222536 267053730 /nfs/dbraw/zinc/05/37/30/267053730.db2.gz GYPIPIFAPVYDMW-UHFFFAOYSA-N 0 1 321.424 3.005 20 30 DGEDMN C[C@H]1CCN(Cc2nnc(-c3cccc(C#N)c3)o2)CC1(C)C ZINC000354024186 267216087 /nfs/dbraw/zinc/21/60/87/267216087.db2.gz LSKIICTTXJQVPE-ZDUSSCGKSA-N 0 1 310.401 3.476 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000524251729 267224917 /nfs/dbraw/zinc/22/49/17/267224917.db2.gz JTQCXWPAMSOFDQ-GOSISDBHSA-N 0 1 324.403 3.366 20 30 DGEDMN Cc1cc(CN2CC[C@H](n3cc(Cl)cn3)C2)ccc1C#N ZINC000352416442 267325196 /nfs/dbraw/zinc/32/51/96/267325196.db2.gz USPXSNYPLIRZGR-INIZCTEOSA-N 0 1 300.793 3.164 20 30 DGEDMN Cn1cc(CN[C@@H]2CC(C)(C)Oc3cc(F)ccc32)cc1C#N ZINC000365140110 267801980 /nfs/dbraw/zinc/80/19/80/267801980.db2.gz TVDDEPCZGRIWKJ-MRXNPFEDSA-N 0 1 313.376 3.428 20 30 DGEDMN N#Cc1ccc(-c2nnc(CN3CC[C@@H](c4ccco4)C3)o2)cc1 ZINC000363285093 268029445 /nfs/dbraw/zinc/02/94/45/268029445.db2.gz CAHDPFLAPDQWKE-OAHLLOKOSA-N 0 1 320.352 3.191 20 30 DGEDMN N#Cc1ccc(NCC(=O)Nc2cc(F)cc(Cl)c2O)cc1 ZINC000353892687 268153631 /nfs/dbraw/zinc/15/36/31/268153631.db2.gz IUPYTIHSQOABIY-UHFFFAOYSA-N 0 1 319.723 3.107 20 30 DGEDMN COc1ccccc1C[C@@H]1CCC[N@H+]1Cc1cc(C#N)n(C)c1 ZINC000191285395 432244879 /nfs/dbraw/zinc/24/48/79/432244879.db2.gz KXKWETOYJWCKTI-KRWDZBQOSA-N 0 1 309.413 3.112 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2c2ccccc2)CC1 ZINC000273884865 273478600 /nfs/dbraw/zinc/47/86/00/273478600.db2.gz MBRDDWMMVMGQRE-IBGZPJMESA-N 0 1 310.441 3.085 20 30 DGEDMN CN(C)[C@@H](CNc1cc(C(F)(F)F)cnc1C#N)c1ccco1 ZINC000413026961 273805605 /nfs/dbraw/zinc/80/56/05/273805605.db2.gz WXSAADXIMBMOHC-ZDUSSCGKSA-N 0 1 324.306 3.280 20 30 DGEDMN C=CCOc1ccc(CNC[C@](C)(O)C(F)(F)F)cc1Cl ZINC000270552793 432258452 /nfs/dbraw/zinc/25/84/52/432258452.db2.gz MVNYOURSXCZAKD-ZDUSSCGKSA-N 0 1 323.742 3.308 20 30 DGEDMN CO[C@H](CN1CCN(c2ccccc2C#N)CC1)c1ccccc1 ZINC000338545700 274077199 /nfs/dbraw/zinc/07/71/99/274077199.db2.gz IUOHSIUEJNVSES-HXUWFJFHSA-N 0 1 321.424 3.068 20 30 DGEDMN C#CCOc1ccc([C@H](C)NCc2ncccc2N(C)C)cc1 ZINC000289778834 275384870 /nfs/dbraw/zinc/38/48/70/275384870.db2.gz MPNHBZTUUUPQFX-HNNXBMFYSA-N 0 1 309.413 3.010 20 30 DGEDMN C#CCN1CCC(C(=O)N2C[C@H](c3ccccc3C)C[C@@H]2C)CC1 ZINC000078183772 276783377 /nfs/dbraw/zinc/78/33/77/276783377.db2.gz DAXIPZZDRYPTNF-PKOBYXMFSA-N 0 1 324.468 3.045 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N(C)c1ccccc1)c1ccc(C#N)cc1 ZINC000411444505 278361266 /nfs/dbraw/zinc/36/12/66/278361266.db2.gz DUEDTQFXJBOHHZ-CABCVRRESA-N 0 1 307.397 3.260 20 30 DGEDMN CC(C)N(Cc1ccc(C#N)cc1)C(=O)c1[nH]nc2ccccc21 ZINC000069009048 431154722 /nfs/dbraw/zinc/15/47/22/431154722.db2.gz RWZSBVDKWAFOBK-UHFFFAOYSA-N 0 1 318.380 3.485 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cccc2cccnc21 ZINC000114061396 431370929 /nfs/dbraw/zinc/37/09/29/431370929.db2.gz SPYOSJFUFYFTJZ-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN N#C[C@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccc(Cl)cc1 ZINC000113174655 431331826 /nfs/dbraw/zinc/33/18/26/431331826.db2.gz FSMSYZQXFYVQEE-INIZCTEOSA-N 0 1 324.771 3.140 20 30 DGEDMN CCS[C@H]1CC[C@@H](N(C)CC(=O)Nc2cccc(C#N)c2)C1 ZINC000072838033 431349456 /nfs/dbraw/zinc/34/94/56/431349456.db2.gz OTAJYXDFJUYGLN-CVEARBPZSA-N 0 1 317.458 3.103 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(-c3ccccc3)c2)n1 ZINC000073975648 431391440 /nfs/dbraw/zinc/39/14/40/431391440.db2.gz KJGDQFLRQRHLPS-AWEZNQCLSA-N 0 1 308.366 3.127 20 30 DGEDMN C=C(C)CN(CC)CC(=O)NCc1ccccc1COC(C)C ZINC000114712575 431407782 /nfs/dbraw/zinc/40/77/82/431407782.db2.gz NHPFAFZBHZIHLQ-UHFFFAOYSA-N 0 1 318.461 3.126 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H]2c2ccccc2)cc1 ZINC000010339617 431460213 /nfs/dbraw/zinc/46/02/13/431460213.db2.gz WKZYKFTVBHAYRM-GOSISDBHSA-N 0 1 305.381 3.334 20 30 DGEDMN CC[C@@]1(C)CCCN([C@@H](C)C(=O)NC2(C#N)CCCCC2)C1 ZINC000075998824 431514829 /nfs/dbraw/zinc/51/48/29/431514829.db2.gz VEXFEVVSCWSSSX-RDJZCZTQSA-N 0 1 305.466 3.230 20 30 DGEDMN Cc1ccc(C[C@H](C#N)Sc2nc(-c3cccnc3)n[nH]2)cc1 ZINC000076697446 431560934 /nfs/dbraw/zinc/56/09/34/431560934.db2.gz ZZUYBTFVMKSAFC-OAHLLOKOSA-N 0 1 321.409 3.402 20 30 DGEDMN Cn1cccc1C(=O)Nc1nc(-c2ccccc2Cl)n[nH]1 ZINC000076365951 431547609 /nfs/dbraw/zinc/54/76/09/431547609.db2.gz HVRAAKBQDQVCSM-UHFFFAOYSA-N 0 1 301.737 3.100 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1CC(C)(C)CC(C)(C)C1 ZINC000466940936 280104007 /nfs/dbraw/zinc/10/40/07/280104007.db2.gz SCZINIVBBNRYAW-KBXCAEBGSA-N 0 1 307.482 3.187 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](CCCO)c1ccccc1 ZINC000077467098 431597583 /nfs/dbraw/zinc/59/75/83/431597583.db2.gz UVSNQMBVCBXAAO-IBGZPJMESA-N 0 1 309.409 3.302 20 30 DGEDMN C=CCCC[N@H+](C)CC(=O)Nc1ccccc1Br ZINC000117870850 431580280 /nfs/dbraw/zinc/58/02/80/431580280.db2.gz WHYCNIOQIYDKCT-UHFFFAOYSA-N 0 1 311.223 3.286 20 30 DGEDMN C=CCCCN(C)CC(=O)Nc1ccccc1Br ZINC000117870850 431580284 /nfs/dbraw/zinc/58/02/84/431580284.db2.gz WHYCNIOQIYDKCT-UHFFFAOYSA-N 0 1 311.223 3.286 20 30 DGEDMN N#Cc1cccc(CNCc2cnn(-c3ccc(F)cc3)c2)c1 ZINC000077400876 431591202 /nfs/dbraw/zinc/59/12/02/431591202.db2.gz WMSDGVUICZABLQ-UHFFFAOYSA-N 0 1 306.344 3.173 20 30 DGEDMN CCCCN(C)[C@H](C)C(=O)Nc1sc2c(c1C#N)CCC2 ZINC000025510179 431657805 /nfs/dbraw/zinc/65/78/05/431657805.db2.gz WYZDXWWMKQVWIR-LLVKDONJSA-N 0 1 305.447 3.167 20 30 DGEDMN COC(=O)[C@H](C)[C@@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC000079923149 431752850 /nfs/dbraw/zinc/75/28/50/431752850.db2.gz PZJFFYFXHVEGTL-RDTXWAMCSA-N 0 1 308.381 3.198 20 30 DGEDMN COC(=O)[C@@H](C)[C@@H](NCc1cccc(C#N)c1)c1ccccc1 ZINC000079923147 431753309 /nfs/dbraw/zinc/75/33/09/431753309.db2.gz PZJFFYFXHVEGTL-KBXCAEBGSA-N 0 1 308.381 3.198 20 30 DGEDMN COc1cc(C#N)ccc1C[N@@H+](C)Cc1cccc(N(C)C)c1 ZINC000121513327 431797612 /nfs/dbraw/zinc/79/76/12/431797612.db2.gz IFBOSIQTXSSQIO-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)Cc1cccc(N(C)C)c1 ZINC000121513327 431797615 /nfs/dbraw/zinc/79/76/15/431797615.db2.gz IFBOSIQTXSSQIO-UHFFFAOYSA-N 0 1 309.413 3.265 20 30 DGEDMN C=CCN(C)[C@H](C)C(=O)NC(c1ccccc1)c1ccccc1 ZINC000121559059 431803073 /nfs/dbraw/zinc/80/30/73/431803073.db2.gz UVLMTYUDELRQER-MRXNPFEDSA-N 0 1 308.425 3.399 20 30 DGEDMN N#CC1CCN(Cc2c[nH]nc2-c2cccc(Cl)c2)CC1 ZINC000123735943 431873277 /nfs/dbraw/zinc/87/32/77/431873277.db2.gz QIZLZRUZWQOWDZ-UHFFFAOYSA-N 0 1 300.793 3.466 20 30 DGEDMN COc1ccc(C(=O)[C@H](C#N)c2nc3ccccc3o2)cc1OC ZINC000041545505 431860846 /nfs/dbraw/zinc/86/08/46/431860846.db2.gz DLQBEBPDIUAKIW-LBPRGKRZSA-N 0 1 322.320 3.335 20 30 DGEDMN COc1ccc(C(=O)C(C#N)c2nc3ccccc3o2)cc1OC ZINC000041545505 431860848 /nfs/dbraw/zinc/86/08/48/431860848.db2.gz DLQBEBPDIUAKIW-LBPRGKRZSA-N 0 1 322.320 3.335 20 30 DGEDMN C[C@@H](C#N)Oc1ccc(CN2CCOC3(CCCC3)C2)cc1 ZINC000093588984 431915027 /nfs/dbraw/zinc/91/50/27/431915027.db2.gz CLZCEEJLDMPDIX-HNNXBMFYSA-N 0 1 300.402 3.122 20 30 DGEDMN C=CCc1cc(CN2CCS[C@@H](C)[C@H]2C)cc(OC)c1O ZINC000093500321 431916179 /nfs/dbraw/zinc/91/61/79/431916179.db2.gz ZNNPWUCKNLNPFA-OLZOCXBDSA-N 0 1 307.459 3.455 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@H]1CCCN(Cc2ccc(C#N)s2)C1 ZINC000125458970 431925259 /nfs/dbraw/zinc/92/52/59/431925259.db2.gz FNWLMEHJNDOOPQ-KGLIPLIRSA-N 0 1 319.474 3.137 20 30 DGEDMN N#CC(C(=O)c1ccc(Cl)cc1[N+](=O)[O-])c1ccccn1 ZINC000046439661 431897647 /nfs/dbraw/zinc/89/76/47/431897647.db2.gz FYYZGONHZBXUMR-NSHDSACASA-N 0 1 301.689 3.133 20 30 DGEDMN Cc1cccc(C(C)C)c1NS(=O)(=O)c1ccc(C#N)nc1 ZINC000091053212 431900456 /nfs/dbraw/zinc/90/04/56/431900456.db2.gz OGDZMPYWISCLMH-UHFFFAOYSA-N 0 1 315.398 3.186 20 30 DGEDMN C=C(C)CN(CC)[C@@H](C)C(=O)N(CCC#N)c1ccc(F)cc1 ZINC000125962040 431939749 /nfs/dbraw/zinc/93/97/49/431939749.db2.gz UCKWOQVYWZKRBJ-HNNXBMFYSA-N 0 1 317.408 3.359 20 30 DGEDMN N#CCc1ccc(CN2CCN(c3ccc(F)cc3)CC2)cc1 ZINC000057661372 431993173 /nfs/dbraw/zinc/99/31/73/431993173.db2.gz QSCJXYUTNGKWRR-UHFFFAOYSA-N 0 1 309.388 3.214 20 30 DGEDMN C#CCN1CCC[C@H](c2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000102402204 431993340 /nfs/dbraw/zinc/99/33/40/431993340.db2.gz GBGUPUGIJNXXQM-ZDUSSCGKSA-N 0 1 301.777 3.203 20 30 DGEDMN C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)N1CC[C@@H](C)[C@H]1C ZINC000248356794 432281642 /nfs/dbraw/zinc/28/16/42/432281642.db2.gz OTUDZEHZJODDFS-IJLUTSLNSA-N 0 1 317.458 3.166 20 30 DGEDMN N#Cc1cc(CN2CC[C@H](Oc3cccc(F)c3)C2)ccc1F ZINC000183372279 432286827 /nfs/dbraw/zinc/28/68/27/432286827.db2.gz APPOMJAEDFXXLZ-KRWDZBQOSA-N 0 1 314.335 3.490 20 30 DGEDMN N#Cc1ccccc1OCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000060466418 431998886 /nfs/dbraw/zinc/99/88/86/431998886.db2.gz JPNSTNHXHZPHFP-UHFFFAOYSA-N 0 1 320.392 3.432 20 30 DGEDMN CC(C)c1nc([C@@H](C)Nc2nc(C(F)(F)F)ccc2C#N)n[nH]1 ZINC000270626178 432267845 /nfs/dbraw/zinc/26/78/45/432267845.db2.gz DGYDBBUIYQQYBU-MRVPVSSYSA-N 0 1 324.310 3.387 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC[C@H](C)C1 ZINC000249349664 432346342 /nfs/dbraw/zinc/34/63/42/432346342.db2.gz WBXJNTRKZITYSZ-LSDHHAIUSA-N 0 1 317.408 3.193 20 30 DGEDMN COc1ccc([C@@H](OC)[C@@H](C)NCc2ccc(C#N)o2)cc1 ZINC000271451295 432398596 /nfs/dbraw/zinc/39/85/96/432398596.db2.gz DYKWDCXLTYOBLT-PXAZEXFGSA-N 0 1 300.358 3.026 20 30 DGEDMN C=CCN(CCOC)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000192372697 432366840 /nfs/dbraw/zinc/36/68/40/432366840.db2.gz RYAZKFFQCIYVQU-UHFFFAOYSA-N 0 1 324.331 3.167 20 30 DGEDMN CCc1ccc([C@@H](COC)NCCOc2ccc(C#N)cc2)o1 ZINC000184812593 432434952 /nfs/dbraw/zinc/43/49/52/432434952.db2.gz WPMNMJKQBMABNC-QGZVFWFLSA-N 0 1 314.385 3.070 20 30 DGEDMN COC(=O)[C@@H](C)Oc1ccc(-c2nc3ccc(C#N)cc3[nH]2)cc1 ZINC000154234295 432484426 /nfs/dbraw/zinc/48/44/26/432484426.db2.gz FYNOMFBVWBQWOX-LLVKDONJSA-N 0 1 321.336 3.042 20 30 DGEDMN COc1cccc2c1CCN(Cc1ccc(F)cc1C#N)CC2 ZINC000193710934 432493733 /nfs/dbraw/zinc/49/37/33/432493733.db2.gz QHHCEDYKTUPKDF-UHFFFAOYSA-N 0 1 310.372 3.307 20 30 DGEDMN OCCN(CC#Cc1ccc(F)cc1)[C@@H]1CCc2ccccc21 ZINC000154605503 432509519 /nfs/dbraw/zinc/50/95/19/432509519.db2.gz WUELQRJUHRXKIK-HXUWFJFHSA-N 0 1 309.384 3.159 20 30 DGEDMN Cn1c2ccccc2nc1C(C)(C)NCc1ccc(C#N)cc1 ZINC000185641603 432518939 /nfs/dbraw/zinc/51/89/39/432518939.db2.gz LIAFAIFORWBKHF-UHFFFAOYSA-N 0 1 304.397 3.470 20 30 DGEDMN Cc1cccc2nc(CNC(=O)C=Cc3cccc(C#N)c3)[nH]c21 ZINC000155070008 432536494 /nfs/dbraw/zinc/53/64/94/432536494.db2.gz GKWZWRYCUVTDTF-CMDGGOBGSA-N 0 1 316.364 3.073 20 30 DGEDMN Cc1cc(C#N)ccc1CN(C)C[C@@H]1COc2ccccc2O1 ZINC000186165056 432564713 /nfs/dbraw/zinc/56/47/13/432564713.db2.gz MWWVHCAGVCNOPY-QGZVFWFLSA-N 0 1 308.381 3.139 20 30 DGEDMN Cc1c(Cl)cnc(NC[C@H](c2ccco2)N(C)C)c1C#N ZINC000273042477 432542258 /nfs/dbraw/zinc/54/22/58/432542258.db2.gz PIBWMFZATOOPCW-CYBMUJFWSA-N 0 1 304.781 3.223 20 30 DGEDMN C[C@H](C(=O)[O-])[N@@H+](C)Cc1cccc(OCc2cccc(C#N)c2)c1 ZINC000263435386 432544329 /nfs/dbraw/zinc/54/43/29/432544329.db2.gz UJUNOMXLGGKWAV-CQSZACIVSA-N 0 1 324.380 3.042 20 30 DGEDMN CC(=O)c1ccc(C#N)c(N[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC000273230125 432569739 /nfs/dbraw/zinc/56/97/39/432569739.db2.gz RHFXXRKYWDMQSL-IBGZPJMESA-N 0 1 319.408 3.447 20 30 DGEDMN Cc1ccc(CN2CCSCC2)cc1NC(=O)C(C)(C)C#N ZINC000263958124 432596953 /nfs/dbraw/zinc/59/69/53/432596953.db2.gz CWLYPCZVFYWJTN-UHFFFAOYSA-N 0 1 317.458 3.032 20 30 DGEDMN N#Cc1ccc(NC(=O)CCN2CCc3cccc(F)c3C2)cc1 ZINC000157872845 432631285 /nfs/dbraw/zinc/63/12/85/432631285.db2.gz JNNXADNTAKRNRI-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN Cc1c(-c2cc(CN[C@H](C)c3ccc(C#N)cc3)on2)cnn1C ZINC000274369704 432656867 /nfs/dbraw/zinc/65/68/67/432656867.db2.gz ZYIZRJUERZONII-GFCCVEGCSA-N 0 1 321.384 3.106 20 30 DGEDMN C#CCN1CCC(c2nc(-c3ccc(Cl)cc3)no2)CC1 ZINC000264597727 432663101 /nfs/dbraw/zinc/66/31/01/432663101.db2.gz HCKOMTHHLPASET-UHFFFAOYSA-N 0 1 301.777 3.203 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccccc2N2CCCC2)cc1O ZINC000188252077 432714307 /nfs/dbraw/zinc/71/43/07/432714307.db2.gz OJJLIZVZIVXBJZ-UHFFFAOYSA-N 0 1 307.353 3.116 20 30 DGEDMN Cc1cccc2c1[nH]cc(C(=O)N1CCC(C)(C#N)CC1)c2=O ZINC000275087440 432722304 /nfs/dbraw/zinc/72/23/04/432722304.db2.gz HAFGOPMAYPDOHC-UHFFFAOYSA-N 0 1 309.369 3.015 20 30 DGEDMN C=C(C)[C@@H](NCCn1c(C)csc1=O)c1ccc(F)c(F)c1 ZINC000187774215 432682581 /nfs/dbraw/zinc/68/25/81/432682581.db2.gz LOCMQFYSSHTTFN-OAHLLOKOSA-N 0 1 324.396 3.403 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000178799529 432777973 /nfs/dbraw/zinc/77/79/73/432777973.db2.gz YOSUSAHDUZTGCN-FPTDNZKUSA-N 0 1 312.438 3.489 20 30 DGEDMN Cc1cccc(NS(=O)(=O)c2cc(C#N)ccc2Cl)c1 ZINC000160764336 432741161 /nfs/dbraw/zinc/74/11/61/432741161.db2.gz QZSGRLZMPABLEM-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(Br)cc2)cc1O ZINC000189752087 432816270 /nfs/dbraw/zinc/81/62/70/432816270.db2.gz BSSNELNHLBDRKM-UHFFFAOYSA-N 0 1 317.142 3.279 20 30 DGEDMN C#CCC1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCCCC1 ZINC000276545627 432817658 /nfs/dbraw/zinc/81/76/58/432817658.db2.gz OXPNFPHIAMKUKC-UHFFFAOYSA-N 0 1 313.376 3.292 20 30 DGEDMN Cc1n[nH]c(C)c1CNCC#Cc1ccc(C(F)(F)F)cc1 ZINC000267032245 432809158 /nfs/dbraw/zinc/80/91/58/432809158.db2.gz BCATVXDMJCPOMT-UHFFFAOYSA-N 0 1 307.319 3.187 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1c(F)c(F)c(F)c(F)c1F ZINC000276508729 432813509 /nfs/dbraw/zinc/81/35/09/432813509.db2.gz XDSBGAUFALJLEK-ZETCQYMHSA-N 0 1 322.277 3.217 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@@H]2C[C@H](O)c2ccco2)c1 ZINC000268304335 432862696 /nfs/dbraw/zinc/86/26/96/432862696.db2.gz VMWIZSTXTIIPLH-WBVHZDCISA-N 0 1 314.360 3.378 20 30 DGEDMN C#CCOc1ccccc1CNCc1c(C)nn(CCC)c1C ZINC000268397583 432868765 /nfs/dbraw/zinc/86/87/65/432868765.db2.gz ZIQBWNRIETVEKG-UHFFFAOYSA-N 0 1 311.429 3.212 20 30 DGEDMN C=CCCCCCCCCNC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000288045562 432884093 /nfs/dbraw/zinc/88/40/93/432884093.db2.gz RMMYDXAVSCGJPT-CYBMUJFWSA-N 0 1 307.442 3.390 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)c2coc(C3CCCC3)n2)n[nH]1 ZINC000329995505 432887107 /nfs/dbraw/zinc/88/71/07/432887107.db2.gz NHCTUXFUKHKLEW-JTQLQIEISA-N 0 1 302.378 3.300 20 30 DGEDMN Cc1cc(C[C@@H](C)NC(=O)c2coc(C3CCCC3)n2)n[nH]1 ZINC000329995506 432888083 /nfs/dbraw/zinc/88/80/83/432888083.db2.gz NHCTUXFUKHKLEW-SNVBAGLBSA-N 0 1 302.378 3.300 20 30 DGEDMN CC(C)(CNC(=O)c1cccn2c(O)nnc12)C1=CCCCC1 ZINC000330024078 432888526 /nfs/dbraw/zinc/88/85/26/432888526.db2.gz ACXKVCNKJSTBDR-UHFFFAOYSA-N 0 1 314.389 3.266 20 30 DGEDMN CC[C@@H](C)n1ncc(C(=O)NCCN2CC=C(C)CC2)c1C ZINC000330055251 432890923 /nfs/dbraw/zinc/89/09/23/432890923.db2.gz UBLXFEMCZIITND-CQSZACIVSA-N 0 1 304.438 3.119 20 30 DGEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N(C1CC1)C1CCC(C)CC1 ZINC000330136387 432894143 /nfs/dbraw/zinc/89/41/43/432894143.db2.gz NHNTUUMPWGRWGJ-SHARSMKWSA-N 0 1 304.438 3.229 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)cc1 ZINC000245548660 432843459 /nfs/dbraw/zinc/84/34/59/432843459.db2.gz YCRZFCIMVUWWEU-GDBMZVCRSA-N 0 1 310.401 3.080 20 30 DGEDMN Cc1cc(C[C@@H](C)NC(=O)Nc2scnc2C2CC2)n[nH]1 ZINC000330158717 432895354 /nfs/dbraw/zinc/89/53/54/432895354.db2.gz QESJYKLAAJVLOY-MRVPVSSYSA-N 0 1 305.407 3.009 20 30 DGEDMN C=CCN(Cc1ccc(Cl)s1)C[C@H](O)CCOCC ZINC000277547060 432859618 /nfs/dbraw/zinc/85/96/18/432859618.db2.gz QGOMBUMXWRGBBO-GFCCVEGCSA-N 0 1 303.855 3.177 20 30 DGEDMN C[C@@H](NCCCSCC#N)c1nc(C(F)(F)F)cs1 ZINC000279020107 432927302 /nfs/dbraw/zinc/92/73/02/432927302.db2.gz VSKYHRPFJGINDE-MRVPVSSYSA-N 0 1 309.382 3.459 20 30 DGEDMN C=CC[C@H]1N(C(=O)N[C@H](C)Cc2cc(C)[nH]n2)CCCC1(C)C ZINC000279029897 432927849 /nfs/dbraw/zinc/92/78/49/432927849.db2.gz JYXOJNNFJPCYHI-CZUORRHYSA-N 0 1 318.465 3.425 20 30 DGEDMN CCNC(=O)Nc1cccc(NC(=O)[C@H]2CCCN2C2CC2)c1 ZINC000330213800 432897789 /nfs/dbraw/zinc/89/77/89/432897789.db2.gz FQRZZJZPFLNGLE-OAHLLOKOSA-N 0 1 316.405 3.247 20 30 DGEDMN O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)[C@@H]1CCCN1C1CC1 ZINC000330214609 432898694 /nfs/dbraw/zinc/89/86/94/432898694.db2.gz GHZPKYMZMVNMDC-BBRMVZONSA-N 0 1 313.401 3.345 20 30 DGEDMN CCc1nc(C)c(NC(=O)N[C@@H]2CCCc3cn[nH]c32)s1 ZINC000330339759 432904642 /nfs/dbraw/zinc/90/46/42/432904642.db2.gz XBUKTUQGCQQOOL-SNVBAGLBSA-N 0 1 305.407 3.141 20 30 DGEDMN C=CCOc1ccc(CN2CC(C)(CCOC)C2)cc1OC ZINC000297354015 432993236 /nfs/dbraw/zinc/99/32/36/432993236.db2.gz GUJIGUTVDYTGSW-UHFFFAOYSA-N 0 1 305.418 3.118 20 30 DGEDMN Cc1ccc2cccnc2c1S(=O)(=O)Nc1cccc(C#N)c1 ZINC000349780636 433008355 /nfs/dbraw/zinc/00/83/55/433008355.db2.gz WVHNZIAQIPEAJK-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN C#CC[C@@H](NCc1cc(OC)c(O)c(OC)c1)c1ccccc1 ZINC000290204938 433011477 /nfs/dbraw/zinc/01/14/77/433011477.db2.gz DIGJQPFNERFGLC-MRXNPFEDSA-N 0 1 311.381 3.264 20 30 DGEDMN C#CC[C@H](NCc1cc(OC)c(O)c(OC)c1)c1ccccc1 ZINC000290204930 433012260 /nfs/dbraw/zinc/01/22/60/433012260.db2.gz DIGJQPFNERFGLC-INIZCTEOSA-N 0 1 311.381 3.264 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1[C@H](C)CCC[C@H]1C)c1ccc(C#N)cc1 ZINC000298428205 433131506 /nfs/dbraw/zinc/13/15/06/433131506.db2.gz VCPNBKSWMNITOR-FPCVCCKLSA-N 0 1 313.445 3.387 20 30 DGEDMN COc1ccc([C@@H](C)NCc2ccc(OCC#N)cc2)cc1O ZINC000290592445 433110722 /nfs/dbraw/zinc/11/07/22/433110722.db2.gz GOPSKMURHKKTPH-CYBMUJFWSA-N 0 1 312.369 3.154 20 30 DGEDMN C[C@@H](CCC#N)N1CCN(c2cccc(Br)c2)CC1 ZINC000298644863 433201100 /nfs/dbraw/zinc/20/11/00/433201100.db2.gz WZBOIFYYRIHEFV-ZDUSSCGKSA-N 0 1 322.250 3.263 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC(Cc2cccnc2)CC1 ZINC000374480773 433201908 /nfs/dbraw/zinc/20/19/08/433201908.db2.gz KGLJYZQFAIFIDT-UHFFFAOYSA-N 0 1 321.424 3.417 20 30 DGEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCc3ccc(Cl)cc32)C1=O ZINC000337238924 433217205 /nfs/dbraw/zinc/21/72/05/433217205.db2.gz ZNEWNMXRZKKYHV-JKSUJKDBSA-N 0 1 304.821 3.046 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1ccc(C2CCCC2)cc1 ZINC000291059531 433218690 /nfs/dbraw/zinc/21/86/90/433218690.db2.gz PDRXUFOCXYYCTQ-UHFFFAOYSA-N 0 1 307.459 3.035 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cn(C(C)C)nc2C)c(O)c1 ZINC000281004456 433251656 /nfs/dbraw/zinc/25/16/56/433251656.db2.gz NWFAZRJCKFECRK-VMPITWQZSA-N 0 1 300.358 3.383 20 30 DGEDMN COc1cccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C#N)c1 ZINC000298855268 433262795 /nfs/dbraw/zinc/26/27/95/433262795.db2.gz FFZXIKGNWHMOPA-KGLIPLIRSA-N 0 1 323.396 3.245 20 30 DGEDMN C=CCN1CC[C@@H](N(C)Cc2ccc3cc(OC)ccc3c2)C1=O ZINC000281139085 433266987 /nfs/dbraw/zinc/26/69/87/433266987.db2.gz DQZPUIPDTJNDDK-LJQANCHMSA-N 0 1 324.424 3.067 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2ccnn2-c2ccccc2)n1 ZINC000361647805 433315390 /nfs/dbraw/zinc/31/53/90/433315390.db2.gz LTDOAIKSRMRASY-ZDUSSCGKSA-N 0 1 308.366 3.127 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)s1 ZINC000374766045 433272506 /nfs/dbraw/zinc/27/25/06/433272506.db2.gz WJXBPTCTURYDNH-AWEZNQCLSA-N 0 1 308.410 3.416 20 30 DGEDMN Cc1c2c(nc(SCc3n[nH]c(C(C)C)n3)c1C#N)CCC2 ZINC000291589742 433278586 /nfs/dbraw/zinc/27/85/86/433278586.db2.gz GDEAJNCHQVZFSX-UHFFFAOYSA-N 0 1 313.430 3.284 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC(C)(C)O[C@@]2(CCCOC2)C1 ZINC000361610205 433287828 /nfs/dbraw/zinc/28/78/28/433287828.db2.gz LNGYMLDYDPUDHM-BEFAXECRSA-N 0 1 314.429 3.279 20 30 DGEDMN CNC(=O)c1cccc(CN(C)[C@@H](C)c2cccc(C#N)c2)c1 ZINC000425538181 433289434 /nfs/dbraw/zinc/28/94/34/433289434.db2.gz RSDONURINRBNLA-AWEZNQCLSA-N 0 1 307.397 3.111 20 30 DGEDMN C=C[C@@H]1CCCCN1[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000447878563 433309444 /nfs/dbraw/zinc/30/94/44/433309444.db2.gz MKBANQQFZPBNKT-SJLPKXTDSA-N 0 1 309.413 3.094 20 30 DGEDMN CC(C)[C@H](C(=O)Nc1ccc(F)c(C#N)c1)N1CCCCC1 ZINC000354894486 433346384 /nfs/dbraw/zinc/34/63/84/433346384.db2.gz XYVQJUYKMBIDDZ-MRXNPFEDSA-N 0 1 303.381 3.146 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NCc2ccc(C#N)c(OC)c2)n[nH]1 ZINC000281720182 433360233 /nfs/dbraw/zinc/36/02/33/433360233.db2.gz PCBMKKXMZMLOFL-NWDGAFQWSA-N 0 1 313.405 3.049 20 30 DGEDMN C[C@@H](c1ccccc1)N(CC(=O)N[C@@](C)(C#N)C1CC1)C1CC1 ZINC000299391912 433364180 /nfs/dbraw/zinc/36/41/80/433364180.db2.gz ROZLACIYTUDNNP-LIRRHRJNSA-N 0 1 311.429 3.020 20 30 DGEDMN COC(=O)c1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000341944917 433371923 /nfs/dbraw/zinc/37/19/23/433371923.db2.gz STXTTXMENGQFAN-UHFFFAOYSA-N 0 1 306.365 3.124 20 30 DGEDMN CC(C)N(CC(=O)N[C@@](C)(C#N)C1CC1)[C@H](C)c1ccsc1 ZINC000421012215 433382750 /nfs/dbraw/zinc/38/27/50/433382750.db2.gz QCWLJEGZCGXMAJ-DYVFJYSZSA-N 0 1 319.474 3.328 20 30 DGEDMN COCC[C@@H](NCc1ccc(C#N)c(F)c1)c1ccc(C)o1 ZINC000361769895 433383681 /nfs/dbraw/zinc/38/36/81/433383681.db2.gz UUCUZSHBUYYJQR-MRXNPFEDSA-N 0 1 302.349 3.466 20 30 DGEDMN CCC[C@H]1CN(C(=O)CCCC#N)CCN1Cc1ccccc1 ZINC000291912781 433388800 /nfs/dbraw/zinc/38/88/00/433388800.db2.gz MMEJXNRLWKYTJD-SFHVURJKSA-N 0 1 313.445 3.193 20 30 DGEDMN CN1CCN(Cc2ccc(F)cc2C#N)C[C@H]1Cc1ccccc1 ZINC000341981057 433395213 /nfs/dbraw/zinc/39/52/13/433395213.db2.gz DSUUFOMKRQGMAS-HXUWFJFHSA-N 0 1 323.415 3.056 20 30 DGEDMN COc1cc(Cl)c(NC(=O)c2ccc(C#N)c(O)c2)cc1C ZINC000426027001 433404296 /nfs/dbraw/zinc/40/42/96/433404296.db2.gz FCOVUJKSXGOCDO-UHFFFAOYSA-N 0 1 316.744 3.487 20 30 DGEDMN C[C@H](c1cccc(F)c1)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292042918 433440137 /nfs/dbraw/zinc/44/01/37/433440137.db2.gz ASEMMSRLXJXYOK-DYVFJYSZSA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@H]1CN(CCCNc2cc(Cl)ccc2C#N)C[C@H](C)O1 ZINC000301198600 433451908 /nfs/dbraw/zinc/45/19/08/433451908.db2.gz LLSHJMMLLIAQCB-STQMWFEESA-N 0 1 307.825 3.123 20 30 DGEDMN C[C@@H](NCc1cc2ccccc2n(C)c1=O)c1cccc(C#N)c1 ZINC000426310742 433464741 /nfs/dbraw/zinc/46/47/41/433464741.db2.gz CKJWDXFUKFFJKW-CQSZACIVSA-N 0 1 317.392 3.261 20 30 DGEDMN C[C@H](C(=O)Nc1sc2c(c1C#N)CCC2)N(C)CC(C)(C)C ZINC000339255191 433470523 /nfs/dbraw/zinc/47/05/23/433470523.db2.gz YLSUWUZOOLVJAO-LLVKDONJSA-N 0 1 319.474 3.413 20 30 DGEDMN CC(C)N1CCN(c2c(C#N)cnc3ccc(Cl)cc32)CC1 ZINC000301357332 433521679 /nfs/dbraw/zinc/52/16/79/433521679.db2.gz PABZLRMSLPYLBH-UHFFFAOYSA-N 0 1 314.820 3.290 20 30 DGEDMN Cc1cc(CNCc2ccc(C#N)c(F)c2)cc(N2CCCC2)n1 ZINC000421356158 433501682 /nfs/dbraw/zinc/50/16/82/433501682.db2.gz KPISZIXTYIHWQB-UHFFFAOYSA-N 0 1 324.403 3.291 20 30 DGEDMN C=CCC[C@H](C(=O)N1CCN(C(C)C)CC1)c1ccccc1 ZINC000426451837 433503559 /nfs/dbraw/zinc/50/35/59/433503559.db2.gz SKFVFZOLQTWFAH-SFHVURJKSA-N 0 1 300.446 3.289 20 30 DGEDMN N#C[C@H]1CC[C@H](N2CCN(Cc3ccccc3Cl)CC2)C1 ZINC000292791480 433593480 /nfs/dbraw/zinc/59/34/80/433593480.db2.gz LMCHDARIRWHXSK-HOCLYGCPSA-N 0 1 303.837 3.150 20 30 DGEDMN Cc1oc(NC(=O)CN(C2CCC2)C2CCC2)c(C#N)c1C ZINC000292322810 433553379 /nfs/dbraw/zinc/55/33/79/433553379.db2.gz FNPASTHPAZHFTI-UHFFFAOYSA-N 0 1 301.390 3.114 20 30 DGEDMN C[C@@H]1[C@@H](NC(=O)Nc2ccc(CC#N)cc2Cl)CCC[N@H+]1C ZINC000455734650 433555634 /nfs/dbraw/zinc/55/56/34/433555634.db2.gz PHRIIYIYSKASBL-RISCZKNCSA-N 0 1 320.824 3.010 20 30 DGEDMN N#Cc1ccc(NS(=O)(=O)c2cc(F)cc(Cl)c2)cc1 ZINC000339410323 433562677 /nfs/dbraw/zinc/56/26/77/433562677.db2.gz QPKCWLFLPZVOEW-UHFFFAOYSA-N 0 1 310.737 3.152 20 30 DGEDMN Cc1cc(C(=O)[C@@H](C#N)c2cc(C(F)(F)F)ccn2)ccn1 ZINC000362182410 433564442 /nfs/dbraw/zinc/56/44/42/433564442.db2.gz HKANDTKUHRWRGP-LBPRGKRZSA-N 0 1 305.259 3.294 20 30 DGEDMN Cc1cc(C2CCN(c3nc(C)c(C#N)cc3Cl)CC2)[nH]n1 ZINC000292662404 433588766 /nfs/dbraw/zinc/58/87/66/433588766.db2.gz PZXWHBHMTJYYMW-UHFFFAOYSA-N 0 1 315.808 3.331 20 30 DGEDMN CC[C@H](C)c1nc([C@H](C)NCc2ccc(C#N)c(F)c2)n[nH]1 ZINC000421404146 433547915 /nfs/dbraw/zinc/54/79/15/433547915.db2.gz XRVOIRYTQUVHAI-QWRGUYRKSA-N 0 1 301.369 3.180 20 30 DGEDMN CC[C@H]1CN(CC)CCN1C(=O)C[C@@H](CC#N)c1ccccc1 ZINC000456385436 433636664 /nfs/dbraw/zinc/63/66/64/433636664.db2.gz QYIDKXILCPWBMO-MSOLQXFVSA-N 0 1 313.445 3.017 20 30 DGEDMN C=CCOc1cccc(C[NH2+][C@H](C(=O)[O-])c2cccs2)c1 ZINC000426785761 433595043 /nfs/dbraw/zinc/59/50/43/433595043.db2.gz RBKTWPMIGQFQNT-HNNXBMFYSA-N 0 1 303.383 3.228 20 30 DGEDMN C=C(C)COc1cc(CN2CCCO[C@@H](CF)C2)ccc1OC ZINC000414482400 433650580 /nfs/dbraw/zinc/65/05/80/433650580.db2.gz XKEYFFYYZYWQRE-INIZCTEOSA-N 0 1 323.408 3.211 20 30 DGEDMN C=C(C)COc1cc(CN2CCCO[C@H](CF)C2)ccc1OC ZINC000414482402 433651585 /nfs/dbraw/zinc/65/15/85/433651585.db2.gz XKEYFFYYZYWQRE-MRXNPFEDSA-N 0 1 323.408 3.211 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN([C@H](C)CC)CC1)c1ccccc1 ZINC000456528990 433710729 /nfs/dbraw/zinc/71/07/29/433710729.db2.gz LIKSKRGDOCBKIY-SJORKVTESA-N 0 1 300.446 3.289 20 30 DGEDMN COc1ccc(CN(C)Cc2cc(C#N)n(C)c2)cc1Cl ZINC000414556257 433717234 /nfs/dbraw/zinc/71/72/34/433717234.db2.gz YBRUVJQXDKSKKQ-UHFFFAOYSA-N 0 1 303.793 3.191 20 30 DGEDMN N#Cc1ccc(CN2CCC(CO)(c3ccccc3)CC2)c(F)c1 ZINC000293371742 433718791 /nfs/dbraw/zinc/71/87/91/433718791.db2.gz PBELFTXVKUEAJZ-UHFFFAOYSA-N 0 1 324.399 3.223 20 30 DGEDMN N#Cc1ccc(CSCc2nc(C3(N)CCCC3)no2)cc1 ZINC000414617754 433747870 /nfs/dbraw/zinc/74/78/70/433747870.db2.gz LSWYBBXNVKUHOH-UHFFFAOYSA-N 0 1 314.414 3.103 20 30 DGEDMN COc1ccc(CN(C)Cc2ccc(CC#N)cc2)c(OC)c1 ZINC000342713647 433752790 /nfs/dbraw/zinc/75/27/90/433752790.db2.gz SZAPZMPTTDVJHD-UHFFFAOYSA-N 0 1 310.397 3.402 20 30 DGEDMN N#Cc1cccc(NC(=O)CCN2CCc3c(F)cccc3C2)c1 ZINC000339777348 433778862 /nfs/dbraw/zinc/77/88/62/433778862.db2.gz MLGHABWSQMMDPU-UHFFFAOYSA-N 0 1 323.371 3.084 20 30 DGEDMN N#C[C@@H]1CC[C@@H](N2CCC(C(F)(F)F)(C(F)(F)F)C2)C1 ZINC000429692141 433781710 /nfs/dbraw/zinc/78/17/10/433781710.db2.gz QXJXKJOFXNHWJZ-RKDXNWHRSA-N 0 1 300.246 3.495 20 30 DGEDMN CC(C)CN1Cc2cccc(NC(=O)c3ccc(C#N)o3)c2C1 ZINC000343219249 433788601 /nfs/dbraw/zinc/78/86/01/433788601.db2.gz DHICRULFPRJGNF-UHFFFAOYSA-N 0 1 309.369 3.375 20 30 DGEDMN Cc1cc2ncc([C@H](C)NCc3ccc(C#N)s3)c(C)n2n1 ZINC000421882396 433791288 /nfs/dbraw/zinc/79/12/88/433791288.db2.gz PPEJCYLMFLCFCK-NSHDSACASA-N 0 1 311.414 3.130 20 30 DGEDMN COc1cc2c(cc1OC)[C@H](NCc1ccc(C#N)s1)CC2 ZINC000421891571 433795612 /nfs/dbraw/zinc/79/56/12/433795612.db2.gz WAERVNBGINZRLY-OAHLLOKOSA-N 0 1 314.410 3.414 20 30 DGEDMN N#Cc1ccc2c(c1)N(C(=O)c1cc(-c3cccs3)n[nH]1)CC2 ZINC000339818639 433804385 /nfs/dbraw/zinc/80/43/85/433804385.db2.gz RGIXXKCFMJCVSB-UHFFFAOYSA-N 0 1 320.377 3.213 20 30 DGEDMN N#CC1(c2ccccn2)CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000376738013 433809770 /nfs/dbraw/zinc/80/97/70/433809770.db2.gz CFPPROKFPBUMBE-UHFFFAOYSA-N 0 1 319.408 3.074 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(CC)Cc1ccc(OC)cc1 ZINC000343557644 433817051 /nfs/dbraw/zinc/81/70/51/433817051.db2.gz PMKDRTRFQJVZFA-MRXNPFEDSA-N 0 1 318.461 3.330 20 30 DGEDMN C[N@@H+](CCNc1ccc(C#N)c([N+](=O)[O-])c1)Cc1ccccc1 ZINC000357181919 433898352 /nfs/dbraw/zinc/89/83/52/433898352.db2.gz DZTZXTZTJIUNRN-UHFFFAOYSA-N 0 1 310.357 3.010 20 30 DGEDMN CN1Cc2ccccc2[C@H](Nc2nc3c(cc2C#N)CCC3)C1 ZINC000363447742 434057943 /nfs/dbraw/zinc/05/79/43/434057943.db2.gz CQIOMEYMOKOWEP-GOSISDBHSA-N 0 1 304.397 3.041 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2ccc(F)cc2O)cc1 ZINC000436825119 434121919 /nfs/dbraw/zinc/12/19/19/434121919.db2.gz FMFRVHUQPPDOGI-UHFFFAOYSA-N 0 1 301.317 3.026 20 30 DGEDMN C#CCOc1ccc(CNCc2c(C)nn(CCC)c2C)cc1 ZINC000295000052 434159201 /nfs/dbraw/zinc/15/92/01/434159201.db2.gz LFHDHJQAUFUTAY-UHFFFAOYSA-N 0 1 311.429 3.212 20 30 DGEDMN COCCCN(CCc1ccc(OC)cc1)CC1(C#N)CCC1 ZINC000450414403 434162324 /nfs/dbraw/zinc/16/23/24/434162324.db2.gz XMQFOHPRBGRLGG-UHFFFAOYSA-N 0 1 316.445 3.270 20 30 DGEDMN CC(C)c1nc([C@@H](C)NC(=O)N[C@H]2CC[C@@H](C)C[C@H]2C)n[nH]1 ZINC000328948464 434333488 /nfs/dbraw/zinc/33/34/88/434333488.db2.gz WDQPPWLTZPARKV-LPWJVIDDSA-N 0 1 307.442 3.317 20 30 DGEDMN Cc1cn2c(n1)[C@H](CNC(=O)c1cccc3nc(C)[nH]c31)CCC2 ZINC000328684765 434298185 /nfs/dbraw/zinc/29/81/85/434298185.db2.gz FFOIYXVIZUZLLL-ZDUSSCGKSA-N 0 1 323.400 3.258 20 30 DGEDMN N#Cc1c2c(sc1NC(=O)c1ccc3cncn3c1)CCC2 ZINC000340722488 434321842 /nfs/dbraw/zinc/32/18/42/434321842.db2.gz VOHBUKBRWLFFNV-UHFFFAOYSA-N 0 1 308.366 3.008 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000328926398 434327230 /nfs/dbraw/zinc/32/72/30/434327230.db2.gz FFXFYZMBMVEQKX-UPJWGTAASA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000328926398 434327240 /nfs/dbraw/zinc/32/72/40/434327240.db2.gz FFXFYZMBMVEQKX-UPJWGTAASA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)c1nc([C@H](C)NC(=O)N[C@H]2CCCCC2(C)C)n[nH]1 ZINC000328915368 434328621 /nfs/dbraw/zinc/32/86/21/434328621.db2.gz JPMFVLYYAFXQRB-RYUDHWBXSA-N 0 1 307.442 3.462 20 30 DGEDMN Cc1cnn(CC2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)c1 ZINC000329144305 434366941 /nfs/dbraw/zinc/36/69/41/434366941.db2.gz MWCYPGMBINQEKG-HNNXBMFYSA-N 0 1 318.465 3.191 20 30 DGEDMN C[C@@]1(C(=O)Nc2ccc3nc([C@@H]4CCCO4)[nH]c3c2)CCOC1 ZINC000329620792 434445763 /nfs/dbraw/zinc/44/57/63/434445763.db2.gz UHPWVHNOLGSADI-WMLDXEAASA-N 0 1 315.373 3.429 20 30 DGEDMN Cc1cnc(NC(=O)N2CCC(c3ccc(C)nc3)CC2)o1 ZINC000329346890 434397492 /nfs/dbraw/zinc/39/74/92/434397492.db2.gz VJFLLKDIJTXRQK-UHFFFAOYSA-N 0 1 300.362 3.112 20 30 DGEDMN [O-]C(NCCn1cccn1)=[NH+]c1ncc(C2CCCCC2)s1 ZINC000329362920 434398527 /nfs/dbraw/zinc/39/85/27/434398527.db2.gz CZLSSTJSVCFRAU-UHFFFAOYSA-N 0 1 319.434 3.413 20 30 DGEDMN CC(C)[C@@H]1OCCC[C@@H]1CNC(=O)c1cc2[nH]cnc2cc1F ZINC000329406163 434406763 /nfs/dbraw/zinc/40/67/63/434406763.db2.gz XEMJESWJTYZMBF-BZNIZROVSA-N 0 1 319.380 3.458 20 30 DGEDMN Cc1cc(C2CCN(CC(=O)NC(C3CC3)C3CC3)CC2)[nH]n1 ZINC000329421539 434410144 /nfs/dbraw/zinc/41/01/44/434410144.db2.gz DUOIOAGBSVTESF-UHFFFAOYSA-N 0 1 316.449 3.043 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cccc(C#N)c1F ZINC000340883884 434413490 /nfs/dbraw/zinc/41/34/90/434413490.db2.gz WXCGYPYHUXTFLS-MRXNPFEDSA-N 0 1 323.415 3.404 20 30 DGEDMN Cc1nc2ccc(NC(=O)c3c(F)cc(C#N)cc3F)cc2[nH]1 ZINC000359305481 434418993 /nfs/dbraw/zinc/41/89/93/434418993.db2.gz LEHOONZFNFYREI-UHFFFAOYSA-N 0 1 312.279 3.274 20 30 DGEDMN Cc1ccc2[nH]cc(C(=O)N3CCC(C)(C#N)CC3)c(=O)c2c1 ZINC000287649210 434419617 /nfs/dbraw/zinc/41/96/17/434419617.db2.gz AXEJRHKSWAKFMT-UHFFFAOYSA-N 0 1 309.369 3.015 20 30 DGEDMN C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329686549 434461244 /nfs/dbraw/zinc/46/12/44/434461244.db2.gz FRRXQQNRKAOWGW-FVQBIDKESA-N 0 1 324.428 3.059 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CC[C@@H]4CCC[C@@H]4C3)C2)c1 ZINC000329685833 434464148 /nfs/dbraw/zinc/46/41/48/434464148.db2.gz YOXOKRRGWPFVOQ-YLFCFFPRSA-N 0 1 316.449 3.321 20 30 DGEDMN CCC[C@]1(C)CCCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000329749924 434470765 /nfs/dbraw/zinc/47/07/65/434470765.db2.gz UQUZBTHEFBXXSO-SUMWQHHRSA-N 0 1 304.438 3.083 20 30 DGEDMN CC(C)(C)c1cnc([NH+]=C([O-])N[C@H]2CCc3[nH]cnc3C2)s1 ZINC000329790903 434480014 /nfs/dbraw/zinc/48/00/14/434480014.db2.gz UEUWFRNEXRWJJE-VIFPVBQESA-N 0 1 319.434 3.047 20 30 DGEDMN Cc1nc(CCNC([O-])=[NH+][C@@H]2C[C@H]2C2CCCCC2)cs1 ZINC000329841715 434491870 /nfs/dbraw/zinc/49/18/70/434491870.db2.gz WWHRKDJQYWKDMT-LSDHHAIUSA-N 0 1 307.463 3.466 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329861039 434495828 /nfs/dbraw/zinc/49/58/28/434495828.db2.gz PXSDPQPTEBNHRU-ZNMIVQPWSA-N 0 1 318.465 3.376 20 30 DGEDMN CCc1csc(NC(=O)N2CC[C@H](C)[C@@H](n3ccnc3)C2)n1 ZINC000329865237 434497148 /nfs/dbraw/zinc/49/71/48/434497148.db2.gz ACOQSJVDKMYIJA-AAEUAGOBSA-N 0 1 319.434 3.031 20 30 DGEDMN CC(C)O[C@@H]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1(C)C ZINC000329637573 434449370 /nfs/dbraw/zinc/44/93/70/434449370.db2.gz AJPVFQGSMJLTLD-BYCMXARLSA-N 0 1 305.422 3.378 20 30 DGEDMN C=CCN(CCC(=O)N1CCC[C@H]1C)Cc1ccccc1F ZINC000438825395 434530778 /nfs/dbraw/zinc/53/07/78/434530778.db2.gz CDJVETIFRDZQSE-OAHLLOKOSA-N 0 1 304.409 3.215 20 30 DGEDMN C#CCN(Cc1cn(C)nc1-c1cccnc1)Cc1ccccc1 ZINC000367658460 434552643 /nfs/dbraw/zinc/55/26/43/434552643.db2.gz ORXHOBWXPHGRGZ-UHFFFAOYSA-N 0 1 316.408 3.118 20 30 DGEDMN Cc1cccc(CN2CCCC[C@@H]2C(=O)NCCCCC#N)c1 ZINC000368354510 434572795 /nfs/dbraw/zinc/57/27/95/434572795.db2.gz OVIHOHGAOIDYFZ-GOSISDBHSA-N 0 1 313.445 3.160 20 30 DGEDMN C=CCN1CC[C@H](N2CC3(CCCC3)[C@H]2c2ccccc2)C1=O ZINC000459577845 434617669 /nfs/dbraw/zinc/61/76/69/434617669.db2.gz MDGSEIPEBHVFFU-ZWKOTPCHSA-N 0 1 310.441 3.391 20 30 DGEDMN C[C@H](NCCOc1ccccc1C#N)c1nc2c(s1)CCC2 ZINC000459633147 434641958 /nfs/dbraw/zinc/64/19/58/434641958.db2.gz KSPDOTCOQVCITD-LBPRGKRZSA-N 0 1 313.426 3.233 20 30 DGEDMN COC(=O)c1coc(CN[C@@H]2CCCc3cc(C#N)ccc32)c1 ZINC000418150092 434608209 /nfs/dbraw/zinc/60/82/09/434608209.db2.gz VXFQSYDIRIHDIF-QGZVFWFLSA-N 0 1 310.353 3.105 20 30 DGEDMN CCCN(C)CC(=O)Nc1ccc(OC(C)(C)C)c(C#N)c1 ZINC000452658568 434672690 /nfs/dbraw/zinc/67/26/90/434672690.db2.gz VEGYAQBVIXHLJZ-UHFFFAOYSA-N 0 1 303.406 3.016 20 30 DGEDMN C=CCN(CC1CCCCC1)[C@H](C)C(=O)Nc1cc(C)no1 ZINC000411255594 434752527 /nfs/dbraw/zinc/75/25/27/434752527.db2.gz HABSYGVMBGMREV-CQSZACIVSA-N 0 1 305.422 3.378 20 30 DGEDMN C[C@@H](CN(C)CC#Cc1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000459819694 434730586 /nfs/dbraw/zinc/73/05/86/434730586.db2.gz ZXCBIKYHYXEEIG-AWEZNQCLSA-N 0 1 305.393 3.087 20 30 DGEDMN CCC[C@@H](C)NC(=O)[C@@H](C)N(CCC)Cc1ccc(C#N)cc1 ZINC000411171273 434735664 /nfs/dbraw/zinc/73/56/64/434735664.db2.gz ZGQVGTVWIHNLRT-HZPDHXFCSA-N 0 1 315.461 3.464 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000424566645 434742335 /nfs/dbraw/zinc/74/23/35/434742335.db2.gz RUWCQFWWFUBFMP-MRXNPFEDSA-N 0 1 304.434 3.016 20 30 DGEDMN C[C@@](C#N)(NC(=O)Cc1[nH]nc2ccccc21)C1CCCCC1 ZINC000573158334 434877208 /nfs/dbraw/zinc/87/72/08/434877208.db2.gz UAAXKDODZDRENV-SFHVURJKSA-N 0 1 310.401 3.084 20 30 DGEDMN CN1CCN(c2ccc(C#N)cc2F)C[C@H]1Cc1ccccc1 ZINC000553341680 434887006 /nfs/dbraw/zinc/88/70/06/434887006.db2.gz BSPLOQYYYJECCN-QGZVFWFLSA-N 0 1 309.388 3.060 20 30 DGEDMN Cc1nc(N2CCN(C3CCCCC3)CC2)c(Cl)cc1C#N ZINC000461974163 434895906 /nfs/dbraw/zinc/89/59/06/434895906.db2.gz SGGOVJSLVZTJOS-UHFFFAOYSA-N 0 1 318.852 3.370 20 30 DGEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccc2oc(C(C)(C)C)nc2c1 ZINC000462071098 434898716 /nfs/dbraw/zinc/89/87/16/434898716.db2.gz JUWMTHAOYNGJHC-LLVKDONJSA-N 0 1 321.402 3.169 20 30 DGEDMN Cc1ccc(NC(=O)CN[C@@H](C)c2ccc(C#N)cc2)cc1F ZINC000554838875 434947763 /nfs/dbraw/zinc/94/77/63/434947763.db2.gz ALWGQKRXZWMYQJ-ZDUSSCGKSA-N 0 1 311.360 3.295 20 30 DGEDMN C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)N1CCC(CCC#N)CC1 ZINC000574273563 434964144 /nfs/dbraw/zinc/96/41/44/434964144.db2.gz MKAMXZKHOMCUPY-CBZIJGRNSA-N 0 1 319.493 3.332 20 30 DGEDMN N#CC1(NC(=O)/C=C\C2CCC2)CCN(Cc2ccccc2)CC1 ZINC000492343152 434965666 /nfs/dbraw/zinc/96/56/66/434965666.db2.gz VRUHZFHAKGFQIF-KTKRTIGZSA-N 0 1 323.440 3.017 20 30 DGEDMN C=C(C)CN(CC)Cc1cn2ccc(Br)cc2n1 ZINC000573768933 434923301 /nfs/dbraw/zinc/92/33/01/434923301.db2.gz HHMFUMFXWVYWTF-UHFFFAOYSA-N 0 1 308.223 3.495 20 30 DGEDMN COc1ccc([C@H]2C[C@H](NC[C@@H](C#N)CCC#N)C2)cc1F ZINC000554527655 434932680 /nfs/dbraw/zinc/93/26/80/434932680.db2.gz JNIHQPUKMZOXRD-BPLDGKMQSA-N 0 1 301.365 3.113 20 30 DGEDMN CCC(C#N)(CC)C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000528527027 435025848 /nfs/dbraw/zinc/02/58/48/435025848.db2.gz RGYJRTKMUVIENZ-UHFFFAOYSA-N 0 1 304.781 3.162 20 30 DGEDMN CCC[C@]1(C)CCCN([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000464845022 435006234 /nfs/dbraw/zinc/00/62/34/435006234.db2.gz DANROPBOYGZDJC-NXHRZFHOSA-N 0 1 307.482 3.332 20 30 DGEDMN C=CCCN(C)CC(=O)NCc1ccccc1OC1CCCC1 ZINC000467298550 435116713 /nfs/dbraw/zinc/11/67/13/435116713.db2.gz DTIOZDNNRQKZKQ-UHFFFAOYSA-N 0 1 316.445 3.132 20 30 DGEDMN Cc1cccc([C@@H](C)N2CCN(c3cnccc3C#N)CC2)c1 ZINC000559259529 435126989 /nfs/dbraw/zinc/12/69/89/435126989.db2.gz DJVOTPRUNLPOOO-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN N#Cc1ccc(F)c(CNC2(c3ccccc3)CCOCC2)c1 ZINC000532709106 435187481 /nfs/dbraw/zinc/18/74/81/435187481.db2.gz VLKNLQMIMXQWRI-UHFFFAOYSA-N 0 1 310.372 3.493 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000495142848 435201283 /nfs/dbraw/zinc/20/12/83/435201283.db2.gz TYHMPZKZKFQHCS-HZPDHXFCSA-N 0 1 319.449 3.413 20 30 DGEDMN CN(CCCCc1ccccc1)CC(=O)Nc1cccc(C#N)c1 ZINC000533240746 435218713 /nfs/dbraw/zinc/21/87/13/435218713.db2.gz TWZGKIVEARGCGV-UHFFFAOYSA-N 0 1 321.424 3.451 20 30 DGEDMN Cc1ccc2nc([C@H]3CCCN3C(=O)NCC3(C#N)CC3)[nH]c2c1 ZINC000582397285 435239317 /nfs/dbraw/zinc/23/93/17/435239317.db2.gz USDUDWRFQIYGID-OAHLLOKOSA-N 0 1 323.400 3.022 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnc(C(C)(C)C)nc2)n1 ZINC000582384156 435240226 /nfs/dbraw/zinc/24/02/26/435240226.db2.gz AQTGPBNUHQZNJT-NSHDSACASA-N 0 1 300.387 3.029 20 30 DGEDMN Cc1ccccc1CN(CCOCCC#N)Cc1ccccn1 ZINC000563215245 435266499 /nfs/dbraw/zinc/26/64/99/435266499.db2.gz FOTGBTIKZPTCCW-UHFFFAOYSA-N 0 1 309.413 3.323 20 30 DGEDMN CC1(C)CCN(CC(=O)Nc2cccc(OCCCC#N)c2)C1 ZINC000584061969 435272543 /nfs/dbraw/zinc/27/25/43/435272543.db2.gz AEQZTQYIUOFTEF-UHFFFAOYSA-N 0 1 315.417 3.040 20 30 DGEDMN COC(=O)c1ccc(CN2CCC(CCC#N)CC2)c(F)c1 ZINC000565713728 435281325 /nfs/dbraw/zinc/28/13/25/435281325.db2.gz NGEYSCJIRFSOPE-UHFFFAOYSA-N 0 1 304.365 3.128 20 30 DGEDMN CN(CCOCc1ccc(C#N)cc1)Cc1ccc(Cl)o1 ZINC000584319287 435290798 /nfs/dbraw/zinc/29/07/98/435290798.db2.gz VXLIUCPNXFOSNY-UHFFFAOYSA-N 0 1 304.777 3.453 20 30 DGEDMN C=C(C)CN1CCC(Nc2nnc(-c3ccccc3)s2)CC1 ZINC000592109008 435303217 /nfs/dbraw/zinc/30/32/17/435303217.db2.gz IBDOGBXZKSWYNO-UHFFFAOYSA-N 0 1 314.458 3.658 20 30 DGEDMN COC(=O)c1cccc(CN2CCCC[C@H]2C(C)C)c1C#N ZINC000535205965 435324013 /nfs/dbraw/zinc/32/40/13/435324013.db2.gz KQXMCSPKUWNMPG-KRWDZBQOSA-N 0 1 300.402 3.355 20 30 DGEDMN CCSCC[C@H](C)N(C)Cc1cccc(C(=O)OC)c1C#N ZINC000535204415 435324953 /nfs/dbraw/zinc/32/49/53/435324953.db2.gz BNDFBIQHRUREJK-ZDUSSCGKSA-N 0 1 320.458 3.308 20 30 DGEDMN COC(=O)c1cccc(CN2CC[C@H](C)C[C@H](C)C2)c1C#N ZINC000535964331 435363609 /nfs/dbraw/zinc/36/36/09/435363609.db2.gz PTVRGCHUYDFJFV-KBPBESRZSA-N 0 1 300.402 3.213 20 30 DGEDMN CC[C@@H](CC#N)NCCOc1cc(F)cc(Br)c1 ZINC000568182579 435404072 /nfs/dbraw/zinc/40/40/72/435404072.db2.gz UNZNHYWBJDUNIH-LBPRGKRZSA-N 0 1 315.186 3.249 20 30 DGEDMN CC(=O)c1ccccc1OCCN1CCC(CCC#N)CC1 ZINC000568261737 435415857 /nfs/dbraw/zinc/41/58/57/435415857.db2.gz OJAIXDKDXJNKKW-UHFFFAOYSA-N 0 1 300.402 3.284 20 30 DGEDMN CCN(CC)CC(=O)Nc1cccc(N[C@@H]2CC[C@H](C#N)C2)c1 ZINC000568102163 435392724 /nfs/dbraw/zinc/39/27/24/435392724.db2.gz WKTYLYSGBQVWOF-WMLDXEAASA-N 0 1 314.433 3.071 20 30 DGEDMN N#Cc1ccc2c(c1)[C@@H](NCc1cnn(CC(F)(F)F)c1)CC2 ZINC000568806329 435473090 /nfs/dbraw/zinc/47/30/90/435473090.db2.gz GBLXIXVXJSVIDJ-HNNXBMFYSA-N 0 1 320.318 3.094 20 30 DGEDMN CC[N@@H+](CC(=O)Nc1ccc(C#N)cc1)Cc1ccc(C)cc1 ZINC000568429323 435436559 /nfs/dbraw/zinc/43/65/59/435436559.db2.gz NEWNQFMFOCYDQS-UHFFFAOYSA-N 0 1 307.397 3.327 20 30 DGEDMN CCN(CC(=O)Nc1ccc(C#N)cc1)Cc1ccc(C)cc1 ZINC000568429323 435436565 /nfs/dbraw/zinc/43/65/65/435436565.db2.gz NEWNQFMFOCYDQS-UHFFFAOYSA-N 0 1 307.397 3.327 20 30 DGEDMN CCC#C[C@@H](C)N[C@@H](CO)c1ccc(Br)c(F)c1 ZINC000644784735 435512902 /nfs/dbraw/zinc/51/29/02/435512902.db2.gz QKWYCWUCLADIBQ-YGRLFVJLSA-N 0 1 314.198 3.013 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN(C)CCCOc1ccccc1C ZINC000515551299 435493244 /nfs/dbraw/zinc/49/32/44/435493244.db2.gz GSJHEMKOBCIGPC-UHFFFAOYSA-N 0 1 318.461 3.120 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000539388559 435550382 /nfs/dbraw/zinc/55/03/82/435550382.db2.gz OHFUWTDMFPREHM-PBHICJAKSA-N 0 1 321.440 3.472 20 30 DGEDMN N#CCCn1cc(CN2CC3(C2)CCCC3)c(-c2ccncc2)n1 ZINC000569670035 435550821 /nfs/dbraw/zinc/55/08/21/435550821.db2.gz LWFQUDHVWRFQGH-UHFFFAOYSA-N 0 1 321.428 3.235 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@H](N)c1ccccc1OC)c1ccccc1 ZINC000649268952 435553469 /nfs/dbraw/zinc/55/34/69/435553469.db2.gz MLYZHDIJYYGIHJ-YJBOKZPZSA-N 0 1 324.424 3.171 20 30 DGEDMN CCC1(CC)CCN(CC(=O)NC2(C#N)CCCCC2)CC1 ZINC000485889457 435581217 /nfs/dbraw/zinc/58/12/17/435581217.db2.gz ONGXEGPMJQRRRZ-UHFFFAOYSA-N 0 1 305.466 3.231 20 30 DGEDMN CC1CC(C#N)(C(=O)Nc2nc(-c3ccc(Cl)cc3)n[nH]2)C1 ZINC000541515716 435643782 /nfs/dbraw/zinc/64/37/82/435643782.db2.gz WPFYBTSSBBDKKL-UHFFFAOYSA-N 0 1 315.764 3.003 20 30 DGEDMN N#CCCC1CCN([C@H](C(=O)NC2CC2)c2ccccc2)CC1 ZINC000570958611 435666270 /nfs/dbraw/zinc/66/62/70/435666270.db2.gz HKSDCOSWAVWJCJ-SFHVURJKSA-N 0 1 311.429 3.022 20 30 DGEDMN C=CCN1CC[C@H](N2CCc3ccc(Cl)cc3[C@H](C)C2)C1=O ZINC000649746810 435689343 /nfs/dbraw/zinc/68/93/43/435689343.db2.gz JJCONEUMIQYFDF-DYVFJYSZSA-N 0 1 318.848 3.089 20 30 DGEDMN COC(=O)CC[N@@H+](CC#Cc1cccc(Cl)c1)CC(C)C ZINC000571388957 435702664 /nfs/dbraw/zinc/70/26/64/435702664.db2.gz UZCDQCLDUIRWDP-UHFFFAOYSA-N 0 1 307.821 3.213 20 30 DGEDMN C=C[C@@H]1OCC[C@H]1NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000650030014 435794719 /nfs/dbraw/zinc/79/47/19/435794719.db2.gz NIEJHIJCGDNXKB-MOPGFXCFSA-N 0 1 322.408 3.225 20 30 DGEDMN COc1cc(C#N)ccc1OCCN1CCC[C@@H]1c1ccccn1 ZINC000572225785 435797823 /nfs/dbraw/zinc/79/78/23/435797823.db2.gz SVVYILRFLSFIOD-QGZVFWFLSA-N 0 1 323.396 3.178 20 30 DGEDMN C#CCN1CCC(c2nc(-c3cnc4ccccc4c3)no2)CC1 ZINC000491771805 435808345 /nfs/dbraw/zinc/80/83/45/435808345.db2.gz PMDXNUQLUQLTNC-UHFFFAOYSA-N 0 1 318.380 3.097 20 30 DGEDMN C=C[C@H](CC(=O)N1[C@H](C)CN(C)[C@H](C)[C@@H]1C)c1ccccc1 ZINC000650153010 435835762 /nfs/dbraw/zinc/83/57/62/435835762.db2.gz NPFPIUGAZKCSFE-WCXIOVBPSA-N 0 1 300.446 3.286 20 30 DGEDMN C=C(CC)CN1CC(C)(c2nnc(-c3cccc(F)c3)o2)C1 ZINC000664936197 435847617 /nfs/dbraw/zinc/84/76/17/435847617.db2.gz NMQLBSPCVZZXSX-UHFFFAOYSA-N 0 1 301.365 3.415 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000660780971 435893221 /nfs/dbraw/zinc/89/32/21/435893221.db2.gz FDKLMGGJKVBNBZ-OKILXGFUSA-N 0 1 310.401 3.264 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000646788330 435920419 /nfs/dbraw/zinc/92/04/19/435920419.db2.gz VBSOOXCEPOSNNO-YOEHRIQHSA-N 0 1 309.413 3.290 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000660932332 435927865 /nfs/dbraw/zinc/92/78/65/435927865.db2.gz YYRWZFFEJCLGFS-XPKDYRNWSA-N 0 1 304.438 3.083 20 30 DGEDMN C=C(C)[C@@H](NC(=O)N(C)Cc1cnc[nH]1)c1ccc(F)c(F)c1 ZINC000661038043 435949842 /nfs/dbraw/zinc/94/98/42/435949842.db2.gz YLKKGBSTWNZJMC-OAHLLOKOSA-N 0 1 320.343 3.147 20 30 DGEDMN C=CCN(Cc1cnc2c(cnn2C(C)C)c1)[C@H](C)COC ZINC000661243013 436006465 /nfs/dbraw/zinc/00/64/65/436006465.db2.gz IBEBIOXGTUMMQX-CQSZACIVSA-N 0 1 302.422 3.035 20 30 DGEDMN CCn1nc(C)cc1C(=O)C(C#N)c1nc(C2CC2)cs1 ZINC000647377609 436036181 /nfs/dbraw/zinc/03/61/81/436036181.db2.gz YKTLBNHXGRJHQX-NSHDSACASA-N 0 1 300.387 3.035 20 30 DGEDMN C=C(C)CC[N@H+]1Cc2cccc(Br)c2C[C@H]1C(=O)[O-] ZINC000652489881 435996039 /nfs/dbraw/zinc/99/60/39/435996039.db2.gz NIKKRNGPOXBLHK-AWEZNQCLSA-N 0 1 324.218 3.227 20 30 DGEDMN C=CCOCCN1CCc2nc(-c3ccccc3)sc2C1 ZINC000661544085 436104479 /nfs/dbraw/zinc/10/44/79/436104479.db2.gz JZNARLFZHKXFGW-UHFFFAOYSA-N 0 1 300.427 3.371 20 30 DGEDMN C=CCCNC(=O)NC[C@H]1CCC[N@H+](C)[C@@H]1c1cccs1 ZINC000661571302 436109692 /nfs/dbraw/zinc/10/96/92/436109692.db2.gz SJPCIDBUAHJBIZ-HIFRSBDPSA-N 0 1 307.463 3.006 20 30 DGEDMN C=CCCn1cc(CNCCSc2ccc(Cl)cc2)nn1 ZINC000657293665 436120616 /nfs/dbraw/zinc/12/06/16/436120616.db2.gz RHWGGAMFPHSILL-UHFFFAOYSA-N 0 1 322.865 3.390 20 30 DGEDMN C=CCN(CCCS(=O)(=O)c1cccc(C)c1)C(C)(C)C ZINC000661757011 436179933 /nfs/dbraw/zinc/17/99/33/436179933.db2.gz BDYLKYWIVAZQNX-UHFFFAOYSA-N 0 1 309.475 3.445 20 30 DGEDMN C=CCCn1cc(CN2CCCC[C@@H]2Cc2ccccc2)nn1 ZINC000653538131 436199314 /nfs/dbraw/zinc/19/93/14/436199314.db2.gz SLFNNJOXGZTRRI-LJQANCHMSA-N 0 1 310.445 3.451 20 30 DGEDMN C=CCCn1cc(CNc2ccc(Cl)c(CN(C)C)c2)nn1 ZINC000657456627 436210109 /nfs/dbraw/zinc/21/01/09/436210109.db2.gz VHBISJPUBWCJME-UHFFFAOYSA-N 0 1 319.840 3.181 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](c3cc(C)cc(C)c3)C2)nn1 ZINC000653613293 436224220 /nfs/dbraw/zinc/22/42/20/436224220.db2.gz BPUVYBJDFMKDOJ-KRWDZBQOSA-N 0 1 310.445 3.461 20 30 DGEDMN C=CCCn1cc(CN[C@@H]2C[C@@H](OCC)C23CCCCC3)nn1 ZINC000653642533 436232407 /nfs/dbraw/zinc/23/24/07/436232407.db2.gz HWSRMGOZFBJCJF-IAGOWNOFSA-N 0 1 318.465 3.072 20 30 DGEDMN C=CCCn1cc(CN[C@@H]2C[C@H](OCC)C23CCCCC3)nn1 ZINC000653642535 436232903 /nfs/dbraw/zinc/23/29/03/436232903.db2.gz HWSRMGOZFBJCJF-SJORKVTESA-N 0 1 318.465 3.072 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC000662077951 436266187 /nfs/dbraw/zinc/26/61/87/436266187.db2.gz GLDWLGZRGZABRX-BDXSIMOUSA-N 0 1 302.462 3.351 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000662083580 436270664 /nfs/dbraw/zinc/27/06/64/436270664.db2.gz GJPOVKUQMZOVIF-ZENOOKHLSA-N 0 1 318.367 3.253 20 30 DGEDMN C=CCOCCCN[C@H](C)c1nnn(-c2ccc(C)cc2)c1C ZINC000658210721 436401486 /nfs/dbraw/zinc/40/14/86/436401486.db2.gz VYTWYQDCQFLKBB-OAHLLOKOSA-N 0 1 314.433 3.127 20 30 DGEDMN C=CCN(CCc1nc(C(C)=O)c(C)[nH]1)Cc1cccs1 ZINC000659815555 436532745 /nfs/dbraw/zinc/53/27/45/436532745.db2.gz GRBQHKGWGVOOHI-UHFFFAOYSA-N 0 1 303.431 3.213 20 30 DGEDMN C=CCN(CCc1nc(C)c(C(C)=O)[nH]1)Cc1cccs1 ZINC000659815555 436532758 /nfs/dbraw/zinc/53/27/58/436532758.db2.gz GRBQHKGWGVOOHI-UHFFFAOYSA-N 0 1 303.431 3.213 20 30 DGEDMN Cc1ccccc1[C@@H]1CCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329603271 302147015 /nfs/dbraw/zinc/14/70/15/302147015.db2.gz LIDHCSAAZBWCAK-KDOFPFPSSA-N 0 1 324.428 3.327 20 30 DGEDMN Cc1ccccc1[C@H]1CCCN1CC(=O)N[C@](C)(C#N)C(C)C ZINC000249725921 302217248 /nfs/dbraw/zinc/21/72/48/302217248.db2.gz PYUHGXYLLLBKBM-IEBWSBKVSA-N 0 1 313.445 3.186 20 30 DGEDMN Cc1ccc2nc(CNc3ncc4c(c3C#N)CCC4)[nH]c2c1 ZINC000565358527 315254978 /nfs/dbraw/zinc/25/49/78/315254978.db2.gz BQGQGUXIVIGVAN-UHFFFAOYSA-N 0 1 303.369 3.239 20 30 DGEDMN N#Cc1ccc(CN[C@H]2CCO[C@@H]2c2ccccc2)c([N+](=O)[O-])c1 ZINC000572004523 315760050 /nfs/dbraw/zinc/76/00/50/315760050.db2.gz HNYQACYPZOPDCW-FUHWJXTLSA-N 0 1 323.352 3.086 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ncc2c(c1C#N)CCC2 ZINC000576102280 316094234 /nfs/dbraw/zinc/09/42/34/316094234.db2.gz JBAJAYXBHFWJKH-UHFFFAOYSA-N 0 1 309.417 3.099 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)c1ccc(C#N)c([N+](=O)[O-])c1 ZINC000576677183 316154900 /nfs/dbraw/zinc/15/49/00/316154900.db2.gz CSFPJTVEVFZXHL-UHFFFAOYSA-N 0 1 313.361 3.123 20 30 DGEDMN COCC[N@@H+](CCCc1ccccc1)Cc1ccc(C#N)cn1 ZINC000580657358 324022648 /nfs/dbraw/zinc/02/26/48/324022648.db2.gz NCUIYMJMKBAPLS-UHFFFAOYSA-N 0 1 309.413 3.034 20 30 DGEDMN Cn1ccnc1[C@@H](N[C@H]1CCCc2cc(C#N)ccc21)C1CC1 ZINC000582351484 324219973 /nfs/dbraw/zinc/21/99/73/324219973.db2.gz YSWQBQXDTKJJNB-ROUUACIJSA-N 0 1 306.413 3.410 20 30 DGEDMN C=CCOCCCC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000531067280 332245017 /nfs/dbraw/zinc/24/50/17/332245017.db2.gz FGDOMECPGQOPIM-MRXNPFEDSA-N 0 1 324.852 3.042 20 30 DGEDMN C[C@@H](c1nccs1)N1CCN(CCCC(C)(C)C#N)CC1 ZINC000184598516 333198658 /nfs/dbraw/zinc/19/86/58/333198658.db2.gz FNCMSZHBVRMFID-AWEZNQCLSA-N 0 1 306.479 3.152 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000286295031 334152613 /nfs/dbraw/zinc/15/26/13/334152613.db2.gz WJNIRUFBEJXUTB-CYBMUJFWSA-N 0 1 321.178 3.204 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000582706826 337127166 /nfs/dbraw/zinc/12/71/66/337127166.db2.gz WFWWXPMTUATJOJ-UHFFFAOYSA-N 0 1 311.429 3.282 20 30 DGEDMN N#CC1(CNC(=O)c2cccc3nc(-c4cccs4)[nH]c32)CC1 ZINC000583241409 337284865 /nfs/dbraw/zinc/28/48/65/337284865.db2.gz IWYHTCIIZWHVKT-UHFFFAOYSA-N 0 1 322.393 3.325 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1ccc(Cl)cc1Cl ZINC000571697281 337571718 /nfs/dbraw/zinc/57/17/18/337571718.db2.gz GCDWROFIVSXMLY-VXGBXAGGSA-N 0 1 317.216 3.015 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)c1cccc(Br)c1O ZINC000515580772 337993283 /nfs/dbraw/zinc/99/32/83/337993283.db2.gz VKFQWIOYLDEKNP-SNVBAGLBSA-N 0 1 310.191 3.335 20 30 DGEDMN C=CCN1CC[C@H](NC(C)(c2ccccc2)c2ccccc2)C1=O ZINC000499601804 340040417 /nfs/dbraw/zinc/04/04/17/340040417.db2.gz FNJBQBFHSBQHQZ-IBGZPJMESA-N 0 1 320.436 3.327 20 30 DGEDMN C=CCNC(=O)[C@@H](C)Nc1ccc(N2CCCCCC2)cc1C ZINC000504416853 340147577 /nfs/dbraw/zinc/14/75/77/340147577.db2.gz VCEXKKRZCPCXJC-MRXNPFEDSA-N 0 1 315.461 3.478 20 30 DGEDMN C=CCN(Cc1ccccc1)Cc1ccc2c(c1)oc(=O)n2C ZINC000507371546 340218173 /nfs/dbraw/zinc/21/81/73/340218173.db2.gz MGBPNNRVFVLBHE-UHFFFAOYSA-N 0 1 308.381 3.320 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(C(=O)CC2CCCCC2)CC1 ZINC000174839965 340397163 /nfs/dbraw/zinc/39/71/63/340397163.db2.gz QXSVTNKZUPAURW-UHFFFAOYSA-N 0 1 319.493 3.431 20 30 DGEDMN CCN(C(=O)[C@@H](C)N1CCC(CCC#N)CC1)c1ccccc1 ZINC000516765596 340455368 /nfs/dbraw/zinc/45/53/68/340455368.db2.gz BQOLCRWOSMQLKI-MRXNPFEDSA-N 0 1 313.445 3.444 20 30 DGEDMN COc1ccc(CN2CCC(CC#N)CC2)c(Cl)c1OC ZINC000540994981 340955046 /nfs/dbraw/zinc/95/50/46/340955046.db2.gz MHIKIJBNRFHSEC-UHFFFAOYSA-N 0 1 308.809 3.483 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H](C)c1cc(C)ccc1OC ZINC000073736632 341120597 /nfs/dbraw/zinc/12/05/97/341120597.db2.gz HWPAKBZUJXCQNB-JKSUJKDBSA-N 0 1 318.461 3.467 20 30 DGEDMN C=CCCN1CCN(Cc2ccc(Br)s2)CC1 ZINC000132364791 341121292 /nfs/dbraw/zinc/12/12/92/341121292.db2.gz UUYPYMWDOPAUQX-UHFFFAOYSA-N 0 1 315.280 3.204 20 30 DGEDMN N#CC1(NC(=O)c2cccc3nc(-c4cccs4)[nH]c32)CC1 ZINC000545388309 341123705 /nfs/dbraw/zinc/12/37/05/341123705.db2.gz MZWRYAGIOYPIMD-UHFFFAOYSA-N 0 1 308.366 3.077 20 30 DGEDMN CC[C@H](CC#N)N[C@@H](C)CC(=O)Nc1cc(Cl)ccc1OC ZINC000545874006 341143672 /nfs/dbraw/zinc/14/36/72/341143672.db2.gz DELDKDXGWVUSDW-WCQYABFASA-N 0 1 323.824 3.348 20 30 DGEDMN CC(C)C1CCN(CC(=O)N(CCC#N)c2ccccc2)CC1 ZINC000075833594 341185112 /nfs/dbraw/zinc/18/51/12/341185112.db2.gz PKMPMVPLYTURDY-UHFFFAOYSA-N 0 1 313.445 3.301 20 30 DGEDMN CCN(Cc1ccccc1C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000134018891 341204715 /nfs/dbraw/zinc/20/47/15/341204715.db2.gz KJGUYDMXASMZDG-APWZRJJASA-N 0 1 315.461 3.260 20 30 DGEDMN C=C[C@H](CC(=O)N1CCN(C)C[C@@H]1C(C)C)c1ccccc1 ZINC000135445479 341239749 /nfs/dbraw/zinc/23/97/49/341239749.db2.gz CFERLCYOLMWTHS-SJLPKXTDSA-N 0 1 300.446 3.145 20 30 DGEDMN CC#CCSc1nc(-c2ccccc2Br)n[nH]1 ZINC000078937074 341249710 /nfs/dbraw/zinc/24/97/10/341249710.db2.gz UEKIRJHRFMISAP-UHFFFAOYSA-N 0 1 308.204 3.350 20 30 DGEDMN N#C[C@H](c1ccccc1)N1CCN(CCc2ccccc2)CC1 ZINC000080907776 341276531 /nfs/dbraw/zinc/27/65/31/341276531.db2.gz MXFVIJIUEBVRJA-HXUWFJFHSA-N 0 1 305.425 3.112 20 30 DGEDMN CC[C@H](CC#N)NCCC(=O)Nc1ccccc1Br ZINC000565958856 341543709 /nfs/dbraw/zinc/54/37/09/341543709.db2.gz FPJFSUQKYAGSJW-LLVKDONJSA-N 0 1 324.222 3.060 20 30 DGEDMN C=CC1CCN(CCCS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000091575516 500572883 /nfs/dbraw/zinc/57/28/83/500572883.db2.gz FPTGKSYLKGWECD-UHFFFAOYSA-N 0 1 307.459 3.057 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1sccc1Cl ZINC001480459963 1180516696 /nfs/dbraw/zinc/51/66/96/1180516696.db2.gz RUOQJOFDUUKMTB-LLVKDONJSA-N 0 1 312.866 3.045 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100569102 1180799679 /nfs/dbraw/zinc/79/96/79/1180799679.db2.gz LYMXQSZQKZWMFR-XFFZJAGNSA-N 0 1 305.249 3.002 20 30 DGEDMN C#Cc1ccc(C[NH2+]Cc2ccc(Br)cc2[O-])cc1 ZINC001658143807 1196216796 /nfs/dbraw/zinc/21/67/96/1196216796.db2.gz CCJJEZFWOAVVGP-UHFFFAOYSA-N 0 1 316.198 3.426 20 30 DGEDMN C[C@@H](F)CCN1CCN([C@@H](C#N)c2cccc(Cl)c2)CC1 ZINC000352402528 534276518 /nfs/dbraw/zinc/27/65/18/534276518.db2.gz OHMFHHMFPLAMNR-CJNGLKHVSA-N 0 1 309.816 3.270 20 30 DGEDMN C[C@H](C#N)C(=O)Nc1ccc(-c2nc3ccc(F)cc3[nH]2)cc1 ZINC000347142173 534285030 /nfs/dbraw/zinc/28/50/30/534285030.db2.gz WCAYVAJBECOKEV-SNVBAGLBSA-N 0 1 308.316 3.467 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN(C(=O)C(C)(C)C#N)C3)[nH]c2c1 ZINC000171195572 534361367 /nfs/dbraw/zinc/36/13/67/534361367.db2.gz HXJDRWGJXUNGAO-CYBMUJFWSA-N 0 1 310.401 3.127 20 30 DGEDMN C=C(CC)CNCc1cc(O)c(OC)cc1Br ZINC000449732259 534416903 /nfs/dbraw/zinc/41/69/03/534416903.db2.gz APHKEKNSQUNMFA-UHFFFAOYSA-N 0 1 300.196 3.219 20 30 DGEDMN C=C[C@@H]1CCCCN1CC(=O)N(C)[C@@H](C)c1ccccc1OC ZINC000489501852 534558493 /nfs/dbraw/zinc/55/84/93/534558493.db2.gz XKDXLOKENKSTSD-JKSUJKDBSA-N 0 1 316.445 3.255 20 30 DGEDMN C=C[C@@H]1OCCC[C@H]1NCc1csc(-c2ccccn2)n1 ZINC000449549284 534575619 /nfs/dbraw/zinc/57/56/19/534575619.db2.gz WKXVVJXNMAJTEA-HIFRSBDPSA-N 0 1 301.415 3.028 20 30 DGEDMN N#CCC[C@@H](NC(=O)c1ccc2cncn2c1)c1ccccc1 ZINC000339959820 534655803 /nfs/dbraw/zinc/65/58/03/534655803.db2.gz IWSNECSOBAVJQI-QGZVFWFLSA-N 0 1 304.353 3.109 20 30 DGEDMN Cn1c2ccccc2c(Cl)c1C(=O)C(C#N)c1ccncn1 ZINC000354474942 534711960 /nfs/dbraw/zinc/71/19/60/534711960.db2.gz XJUNTRJYGAVHKA-NSHDSACASA-N 0 1 310.744 3.112 20 30 DGEDMN N#Cc1ccc(CN2CCOC[C@@H](C3CCC3)C2)c(Cl)c1 ZINC000429255189 534780753 /nfs/dbraw/zinc/78/07/53/534780753.db2.gz MRXUIJWMQOUSCQ-INIZCTEOSA-N 0 1 304.821 3.460 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@@H](C(=O)OC)[C@@H]2C)cc1Cl ZINC000470149863 534883195 /nfs/dbraw/zinc/88/31/95/534883195.db2.gz UVGATKAPYAUGDE-GXTWGEPZSA-N 0 1 323.820 3.288 20 30 DGEDMN Cc1ccc2nc([C@@H]3CCCN3C(=O)c3c[nH]c(C#N)c3)[nH]c2c1 ZINC000181264226 526104024 /nfs/dbraw/zinc/10/40/24/526104024.db2.gz PNPGNCJSJPLBQX-INIZCTEOSA-N 0 1 319.368 3.048 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000185396649 526297222 /nfs/dbraw/zinc/29/72/22/526297222.db2.gz JBANFSLDKAPUKQ-INIZCTEOSA-N 0 1 302.418 3.035 20 30 DGEDMN CC(C)(C)NC(=O)C(C#N)c1nc(-c2ccc(C#N)cc2)cs1 ZINC000155733915 526321893 /nfs/dbraw/zinc/32/18/93/526321893.db2.gz LUKCSUIDWPENED-CYBMUJFWSA-N 0 1 324.409 3.204 20 30 DGEDMN C=CCN(CCc1ccco1)C(=O)c1cccc2[nH]c(C)nc21 ZINC000338577290 526323509 /nfs/dbraw/zinc/32/35/09/526323509.db2.gz OENKMXOKHGPFBG-UHFFFAOYSA-N 0 1 309.369 3.335 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cc(C(=O)OC)oc1CC ZINC000352701401 526325335 /nfs/dbraw/zinc/32/53/35/526325335.db2.gz JLGYTUGMJBAHOX-UHFFFAOYSA-N 0 1 317.385 3.452 20 30 DGEDMN C=CCN1CC[C@H](N(CC)Cc2ccc3ccccc3c2)C1=O ZINC000337124298 526505171 /nfs/dbraw/zinc/50/51/71/526505171.db2.gz DBTRENWHFCWXPG-IBGZPJMESA-N 0 1 308.425 3.449 20 30 DGEDMN C=CCNC(=O)CN1CCC(Sc2ccc(Cl)cc2)CC1 ZINC000134854379 526530334 /nfs/dbraw/zinc/53/03/34/526530334.db2.gz PRZHLQUITUJRGJ-UHFFFAOYSA-N 0 1 324.877 3.199 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc2c(c1)CCO2 ZINC000348655557 526559817 /nfs/dbraw/zinc/55/98/17/526559817.db2.gz ZIINEFGRBWLMLN-UHFFFAOYSA-N 0 1 313.397 3.285 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)Cc1ccc(OC)cc1 ZINC000352799004 526560817 /nfs/dbraw/zinc/56/08/17/526560817.db2.gz LNWGRMJDJHDTDW-UHFFFAOYSA-N 0 1 301.386 3.359 20 30 DGEDMN C=C(C)[C@@H](CC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1)OCC ZINC000347481865 526741706 /nfs/dbraw/zinc/74/17/06/526741706.db2.gz ALZCIMMNCYWGTO-CQSZACIVSA-N 0 1 307.438 3.037 20 30 DGEDMN CC(C)(C)c1cnc(NC(=O)c2ccc(C#N)c(O)c2)s1 ZINC000443289935 526827296 /nfs/dbraw/zinc/82/72/96/526827296.db2.gz ANBUNPZDMNLYCG-UHFFFAOYSA-N 0 1 301.371 3.270 20 30 DGEDMN C#CCN1CCC(C(=O)N2Cc3cc(C)ccc3CC2(C)C)CC1 ZINC000294097609 526922602 /nfs/dbraw/zinc/92/26/02/526922602.db2.gz JJJRQVXKNGGQEO-UHFFFAOYSA-N 0 1 324.468 3.004 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@@H](c1cccs1)N(C)C ZINC000432559441 527007785 /nfs/dbraw/zinc/00/77/85/527007785.db2.gz RRYHOMSYSIFYMN-ZDUSSCGKSA-N 0 1 309.479 3.252 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000432351392 527008268 /nfs/dbraw/zinc/00/82/68/527008268.db2.gz MEBUEKCRDQQKGH-UHFFFAOYSA-N 0 1 321.440 3.289 20 30 DGEDMN C=CCC(C)(C)CNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000352508931 527013140 /nfs/dbraw/zinc/01/31/40/527013140.db2.gz IMQVGFLWXBLNJX-QGZVFWFLSA-N 0 1 300.446 3.370 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000352904453 527043909 /nfs/dbraw/zinc/04/39/09/527043909.db2.gz YZQPENNNPZEKQY-HZPDHXFCSA-N 0 1 319.449 3.379 20 30 DGEDMN C=CC[C@@H](CC)NC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000346009809 527044945 /nfs/dbraw/zinc/04/49/45/527044945.db2.gz BLMUKEJOXBLUKI-HZPDHXFCSA-N 0 1 319.449 3.461 20 30 DGEDMN C=CCCCN(CCO)Cc1cc(Br)ccc1F ZINC000344589912 527195928 /nfs/dbraw/zinc/19/59/28/527195928.db2.gz VOHJOXGHGSNSET-UHFFFAOYSA-N 0 1 316.214 3.349 20 30 DGEDMN C=CCN1C(=O)N=NC1SCC[C@H](C)OCc1ccccc1 ZINC000352932502 527331975 /nfs/dbraw/zinc/33/19/75/527331975.db2.gz SZESDNXUMRBZFZ-ZDUSSCGKSA-N 0 1 319.430 3.257 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1csc(-c2ccco2)n1 ZINC000348126415 527333900 /nfs/dbraw/zinc/33/39/00/527333900.db2.gz WEHSSZVIPJSPSG-UHFFFAOYSA-N 0 1 320.399 3.179 20 30 DGEDMN CC(C)(O)[C@H]1CCCN1Cc1ccc(C#N)cc1Br ZINC000305833679 527364184 /nfs/dbraw/zinc/36/41/84/527364184.db2.gz WBFYECGGABFNNP-CQSZACIVSA-N 0 1 323.234 3.056 20 30 DGEDMN C=C[C@@H](C)NC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000128468886 527404437 /nfs/dbraw/zinc/40/44/37/527404437.db2.gz HOWCWHUACIHHNL-CJNGLKHVSA-N 0 1 321.852 3.351 20 30 DGEDMN C=C[C@@H](CO)NCc1ccc(-c2ccc(Br)cc2)o1 ZINC000352468100 527440835 /nfs/dbraw/zinc/44/08/35/527440835.db2.gz ZCZDKAYHEQSWGU-ZDUSSCGKSA-N 0 1 322.202 3.346 20 30 DGEDMN CC(C)(C#N)CCCN1CCN(c2ccc(C#N)cc2F)CC1 ZINC000174840058 527464044 /nfs/dbraw/zinc/46/40/44/527464044.db2.gz WHVJLDVHLBTBNQ-UHFFFAOYSA-N 0 1 314.408 3.149 20 30 DGEDMN CC(C)(C#N)CCN(Cc1cccs1)[C@H]1C=C[C@@H](CO)C1 ZINC000347349541 527465354 /nfs/dbraw/zinc/46/53/54/527465354.db2.gz WDTRRAGXLRJHBY-CABCVRRESA-N 0 1 304.459 3.427 20 30 DGEDMN CC(C)(C#N)CCN1CCCN(C(=O)Oc2ccccc2)CC1 ZINC000131592862 527466819 /nfs/dbraw/zinc/46/68/19/527466819.db2.gz BULOLPDLZDGTBS-UHFFFAOYSA-N 0 1 315.417 3.133 20 30 DGEDMN C=CCC[C@H](NC(=O)CCCCc1cn[nH]n1)c1ccccc1 ZINC000139590936 527474803 /nfs/dbraw/zinc/47/48/03/527474803.db2.gz VYZDYLGAFWAYGP-KRWDZBQOSA-N 0 1 312.417 3.341 20 30 DGEDMN CC(=Cc1ccc2c(c1)CC(C)(C)O2)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000435337034 527500319 /nfs/dbraw/zinc/50/03/19/527500319.db2.gz SRTKTLQGXBOXCA-XFFZJAGNSA-N 0 1 321.380 3.224 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)CN(C)[C@H]1CCSC1 ZINC000343472781 527594970 /nfs/dbraw/zinc/59/49/70/527594970.db2.gz BNHGSHYQVLNCPO-INIZCTEOSA-N 0 1 310.507 3.019 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(CCc1ccc(F)cc1)C1CC1 ZINC000342598280 527607871 /nfs/dbraw/zinc/60/78/71/527607871.db2.gz RTFNELILLYBFPX-UHFFFAOYSA-N 0 1 316.420 3.033 20 30 DGEDMN CCOC(=O)[C@@H](C)C/N=C/c1cc(Cl)cc(Cl)c1O ZINC000175899918 527713488 /nfs/dbraw/zinc/71/34/88/527713488.db2.gz WSZBEXVMQNIKOU-LBBJYAOWSA-N 0 1 304.173 3.317 20 30 DGEDMN CCC[N@@H+](CC)Cc1ccc(C([O-])=C(C#N)c2ccncn2)cc1 ZINC000347867365 528003775 /nfs/dbraw/zinc/00/37/75/528003775.db2.gz SDRVRXFDVHDSOP-QGZVFWFLSA-N 0 1 322.412 3.199 20 30 DGEDMN CCN(CCC#N)Cc1nc2ccc(Br)cc2[nH]1 ZINC000358832158 528376000 /nfs/dbraw/zinc/37/60/00/528376000.db2.gz PWFATDPWIDRHBQ-UHFFFAOYSA-N 0 1 307.195 3.061 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)CN([C@@H](C)c1ccccc1)C1CC1 ZINC000352837270 528690653 /nfs/dbraw/zinc/69/06/53/528690653.db2.gz DEZDHEJDFRLQNV-CVEARBPZSA-N 0 1 313.445 3.220 20 30 DGEDMN CCN(C[C@H](C)C#N)C(=O)[C@H](c1ccccc1)N(CC)CC ZINC000343229126 528702608 /nfs/dbraw/zinc/70/26/08/528702608.db2.gz WKQYCOAXNIVXPF-WBVHZDCISA-N 0 1 301.434 3.078 20 30 DGEDMN CCN(Cc1ccccc1)C(=O)CNCc1ccc(C#N)c(C)c1 ZINC000443970842 528823778 /nfs/dbraw/zinc/82/37/78/528823778.db2.gz UYLWLTJCCHVSHB-UHFFFAOYSA-N 0 1 321.424 3.005 20 30 DGEDMN CC[C@@H](C(=O)N1CCC(C)(C#N)CC1)N(C)Cc1ccccc1 ZINC000355642725 529274914 /nfs/dbraw/zinc/27/49/14/529274914.db2.gz MDEHVOSCTSJUNX-KRWDZBQOSA-N 0 1 313.445 3.049 20 30 DGEDMN CC(C)(C)OC(=O)Nc1ncc(CNCC2(CC#N)CC2)s1 ZINC000496365551 534919052 /nfs/dbraw/zinc/91/90/52/534919052.db2.gz RVZOYIFKKYTISV-UHFFFAOYSA-N 0 1 322.434 3.274 20 30 DGEDMN O=C(CN1CCC[C@@H](C2CC2)C1)Nc1ccc2c(c1)OCO2 ZINC000329629003 546488343 /nfs/dbraw/zinc/48/83/43/546488343.db2.gz HKKYRFCVFBMFMO-CYBMUJFWSA-N 0 1 302.374 3.125 20 30 DGEDMN C=C[C@@H](C)NC(=O)NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000674972339 548143587 /nfs/dbraw/zinc/14/35/87/548143587.db2.gz QYSUZPHOZONCLQ-CJNGLKHVSA-N 0 1 321.852 3.351 20 30 DGEDMN C=CCC[C@H](O)CN1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000675491535 548225003 /nfs/dbraw/zinc/22/50/03/548225003.db2.gz LCBQBVGNKCZPPF-DCAQKATOSA-N 0 1 319.289 3.376 20 30 DGEDMN CC[C@H](C(=O)Nc1cccc(C#Cc2cccs2)c1)N(C)C ZINC000675734214 548261036 /nfs/dbraw/zinc/26/10/36/548261036.db2.gz CLXWXKXWCZJWCG-QGZVFWFLSA-N 0 1 312.438 3.427 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(c2cccnc2)CC1 ZINC000678168120 548630852 /nfs/dbraw/zinc/63/08/52/548630852.db2.gz ONXGGSQNXRBHMQ-MRXNPFEDSA-N 0 1 315.461 3.074 20 30 DGEDMN Clc1cccc(C#CCN2CCC([C@H]3CCOC3)CC2)c1 ZINC000680090051 548995611 /nfs/dbraw/zinc/99/56/11/548995611.db2.gz KEOUBMMNFHXJMV-KRWDZBQOSA-N 0 1 303.833 3.440 20 30 DGEDMN CCC#C[C@H](C)N[C@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000683144419 549438560 /nfs/dbraw/zinc/43/85/60/549438560.db2.gz JGKUKVXEOISLQH-XNJJOIOASA-N 0 1 322.811 3.142 20 30 DGEDMN C[C@H](OCC1CC1)C(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000730422073 574529342 /nfs/dbraw/zinc/52/93/42/574529342.db2.gz SXBNGUUTSCFRLA-JOYOIKCWSA-N 0 1 318.373 3.427 20 30 DGEDMN Fc1ccc(N=NCc2cc(Cl)c3c(c2)OCCCO3)nc1 ZINC000731317855 574546898 /nfs/dbraw/zinc/54/68/98/574546898.db2.gz FJAXLTNFXJHUBA-UHFFFAOYSA-N 0 1 321.739 3.481 20 30 DGEDMN CCOCCNC(=S)Nc1ccccc1S[C@H](C)CC#N ZINC000731321256 574547105 /nfs/dbraw/zinc/54/71/05/574547105.db2.gz PJSSSAHPYAIRCT-GFCCVEGCSA-N 0 1 323.487 3.404 20 30 DGEDMN Cc1cc(=NN=Cc2ccc(-c3cccc([N+](=O)[O-])c3)o2)nc[nH]1 ZINC000731935736 574562863 /nfs/dbraw/zinc/56/28/63/574562863.db2.gz ORRZGUVTEYZAKD-UHFFFAOYSA-N 0 1 323.312 3.399 20 30 DGEDMN N#Cc1c(Cl)nsc1NCc1n[nH]c(-c2ccccc2)n1 ZINC000732568323 574576018 /nfs/dbraw/zinc/57/60/18/574576018.db2.gz PTBOXKZYBQIWHN-UHFFFAOYSA-N 0 1 316.777 3.065 20 30 DGEDMN N#Cc1c(Cl)nsc1NCc1nc(-c2ccccc2)n[nH]1 ZINC000732568323 574576019 /nfs/dbraw/zinc/57/60/19/574576019.db2.gz PTBOXKZYBQIWHN-UHFFFAOYSA-N 0 1 316.777 3.065 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@H]2CCc3ccccc3C2)nc(C)n1 ZINC000732593880 574576463 /nfs/dbraw/zinc/57/64/63/574576463.db2.gz VEJPARZDNGMUFT-YJBOKZPZSA-N 0 1 319.408 3.465 20 30 DGEDMN Cc1ccccc1[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC000738770222 574603876 /nfs/dbraw/zinc/60/38/76/574603876.db2.gz XZNKWTUZWFKXDM-HKUYNNGSSA-N 0 1 320.392 3.482 20 30 DGEDMN CC(=NNc1cnnc2ccccc21)c1ccc2c(c1)OCO2 ZINC000733748026 574636504 /nfs/dbraw/zinc/63/65/04/574636504.db2.gz BZOZZUZEHRNDTO-UHFFFAOYSA-N 0 1 306.325 3.195 20 30 DGEDMN CCOc1cc(CN=Nc2cnnc3ccccc23)ccc1O ZINC000733749995 574636831 /nfs/dbraw/zinc/63/68/31/574636831.db2.gz IALIVLWQABGQBY-UHFFFAOYSA-N 0 1 308.341 3.180 20 30 DGEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NNCc1ccccc1 ZINC000734362629 574657995 /nfs/dbraw/zinc/65/79/95/574657995.db2.gz AMAIRVNFEGJJPT-INIZCTEOSA-N 0 1 308.429 3.069 20 30 DGEDMN Cc1cc(C(C#N)C(=O)C[C@@H]2CCc3ccccc32)nc(C)n1 ZINC000734705712 574674152 /nfs/dbraw/zinc/67/41/52/574674152.db2.gz OYRAGLGYJUOPJO-DOTOQJQBSA-N 0 1 305.381 3.390 20 30 DGEDMN CC(=NNc1nc(=O)cc(C)[nH]1)C(C)(C)c1ccc(F)cc1 ZINC000734976862 574684071 /nfs/dbraw/zinc/68/40/71/574684071.db2.gz RZIJLADHQVUOJO-UHFFFAOYSA-N 0 1 302.353 3.395 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC(C)C(C)(C)c2ccc(F)cc2)n1 ZINC000734976862 574684072 /nfs/dbraw/zinc/68/40/72/574684072.db2.gz RZIJLADHQVUOJO-UHFFFAOYSA-N 0 1 302.353 3.395 20 30 DGEDMN C[C@@H](C[N@@H+](C)Cc1ccc(-c2ccccc2C#N)cc1)C(=O)[O-] ZINC000736576839 574759540 /nfs/dbraw/zinc/75/95/40/574759540.db2.gz MYWIRFVLXRIQOV-AWEZNQCLSA-N 0 1 308.381 3.378 20 30 DGEDMN Cn1nnc2cc(C=NNc3nccc4sccc43)ccc21 ZINC000737430174 574843067 /nfs/dbraw/zinc/84/30/67/574843067.db2.gz LJPMNVNNOLGISU-UHFFFAOYSA-N 0 1 308.370 3.024 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@@H+]2[C@@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581166 574874021 /nfs/dbraw/zinc/87/40/21/574874021.db2.gz CBYVJGRUOUSDFY-NVXWUHKLSA-N 0 1 310.353 3.423 20 30 DGEDMN Cc1ccc([C@H]2CCC[N@H+]2[C@@H](C(=O)[O-])c2ccc(C#N)cc2)o1 ZINC000738581166 574874024 /nfs/dbraw/zinc/87/40/24/574874024.db2.gz CBYVJGRUOUSDFY-NVXWUHKLSA-N 0 1 310.353 3.423 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](c3ccccc3)C2)cc1 ZINC000739106221 574892383 /nfs/dbraw/zinc/89/23/83/574892383.db2.gz QYBATQCSUIBEHE-QZTJIDSGSA-N 0 1 306.365 3.173 20 30 DGEDMN COC(=O)c1ccccc1CN=Nc1cccc(C(F)(F)F)n1 ZINC000739421464 574903011 /nfs/dbraw/zinc/90/30/11/574903011.db2.gz JHKQJCHZOGZHEH-UHFFFAOYSA-N 0 1 323.274 3.333 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(C#N)cc1F)N1CCCCC1 ZINC000741011426 574948177 /nfs/dbraw/zinc/94/81/77/574948177.db2.gz SYUXOJOUJKSSFZ-QGZVFWFLSA-N 0 1 318.392 3.251 20 30 DGEDMN Cc1cc(C(C#N)C(=O)Cc2c[nH]c3c2cccc3C)nc(C)n1 ZINC000741431980 574957790 /nfs/dbraw/zinc/95/77/90/574957790.db2.gz VXVGAQAZGOOZTO-INIZCTEOSA-N 0 1 318.380 3.302 20 30 DGEDMN Fc1cc2nc(NN=Cc3c[nH]c4ncccc34)[nH]c2cc1F ZINC000741761653 574969018 /nfs/dbraw/zinc/96/90/18/574969018.db2.gz ARAQESNZYVVKIC-UHFFFAOYSA-N 0 1 312.283 3.163 20 30 DGEDMN CSCCCON=Cc1ccc(N2CCCC2)c([N+](=O)[O-])c1 ZINC000742351936 574991478 /nfs/dbraw/zinc/99/14/78/574991478.db2.gz IEMZWTGAYOYTAO-UHFFFAOYSA-N 0 1 323.418 3.299 20 30 DGEDMN C[C@H](NCc1cn2ccccc2n1)c1ccc(OCC#N)cc1 ZINC000742370266 574993024 /nfs/dbraw/zinc/99/30/24/574993024.db2.gz JFBLNWPOUIGGMW-AWEZNQCLSA-N 0 1 306.369 3.087 20 30 DGEDMN N#Cc1ccc(F)c(CNC/C=C\c2ccccc2[N+](=O)[O-])c1 ZINC000742381689 574994478 /nfs/dbraw/zinc/99/44/78/574994478.db2.gz CSBHASRDZWTWDU-HYXAFXHYSA-N 0 1 311.316 3.409 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC(Cc2ccc(F)cc2)C(C)C)n1 ZINC000743418926 575050444 /nfs/dbraw/zinc/05/04/44/575050444.db2.gz MSVQFYDMOKLVSU-UHFFFAOYSA-N 0 1 302.353 3.296 20 30 DGEDMN C(=NNc1cccc2cnccc21)c1cc(-c2cccnc2)[nH]n1 ZINC000743439336 575051430 /nfs/dbraw/zinc/05/14/30/575051430.db2.gz YBWHUHLAKSQTFW-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN C=C(Cl)CN(CCC)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000729544702 575255335 /nfs/dbraw/zinc/25/53/35/575255335.db2.gz LOTNQKRNMVXXTD-CYBMUJFWSA-N 0 1 302.846 3.460 20 30 DGEDMN COc1ccc(C=NNc2ncccc2Cl)cc1[N+](=O)[O-] ZINC000730145637 575276224 /nfs/dbraw/zinc/27/62/24/575276224.db2.gz INZLKJRYMHAZHS-UHFFFAOYSA-N 0 1 306.709 3.098 20 30 DGEDMN C#CCNC(=O)[C@H](C)N1CC=C(c2cccc3ccccc32)CC1 ZINC000746171612 575438249 /nfs/dbraw/zinc/43/82/49/575438249.db2.gz MQRPSNQQNPTTHC-INIZCTEOSA-N 0 1 318.420 3.067 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)C[C@H]1CC1(Cl)Cl ZINC000746659323 575467203 /nfs/dbraw/zinc/46/72/03/575467203.db2.gz NOYISOCKMBFVBN-CQSZACIVSA-N 0 1 313.228 3.200 20 30 DGEDMN CC(=NNc1ccccc1F)c1ccc(NS(C)(=O)=O)cc1 ZINC000747046581 575492308 /nfs/dbraw/zinc/49/23/08/575492308.db2.gz CDEUYKINFFUQEW-UHFFFAOYSA-N 0 1 321.377 3.033 20 30 DGEDMN CC(C)c1csc([C@@H](C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194158 575504979 /nfs/dbraw/zinc/50/49/79/575504979.db2.gz VOPYVHUYUXNXEE-SCOBNMCVSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)C=CC2CCOCC2)n1 ZINC000747194158 575504983 /nfs/dbraw/zinc/50/49/83/575504983.db2.gz VOPYVHUYUXNXEE-SCOBNMCVSA-N 0 1 304.415 3.426 20 30 DGEDMN CC(C)c1csc(C(C#N)=C(O)C=CC2CCOCC2)n1 ZINC000747194158 575504986 /nfs/dbraw/zinc/50/49/86/575504986.db2.gz VOPYVHUYUXNXEE-SCOBNMCVSA-N 0 1 304.415 3.426 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCc2cccc(Cl)c2)c1 ZINC000747999318 575555672 /nfs/dbraw/zinc/55/56/72/575555672.db2.gz KWEVADDDGVGPRP-UHFFFAOYSA-N 0 1 300.745 3.489 20 30 DGEDMN CC(C)(C)c1nc(C(=O)Nc2cc(C#N)ccc2O)cs1 ZINC000748410102 575585416 /nfs/dbraw/zinc/58/54/16/575585416.db2.gz GNLCWTRFWRUTPG-UHFFFAOYSA-N 0 1 301.371 3.270 20 30 DGEDMN C[N@H+]1CCC[C@@H]1C(=O)Nc1ccc([C@@H](C#N)c2ccccc2)cc1 ZINC000748558812 575593465 /nfs/dbraw/zinc/59/34/65/575593465.db2.gz OKLXMJBEAJVWHI-RBUKOAKNSA-N 0 1 319.408 3.375 20 30 DGEDMN CN1CCC[C@@H]1C(=O)Nc1ccc([C@@H](C#N)c2ccccc2)cc1 ZINC000748558812 575593466 /nfs/dbraw/zinc/59/34/66/575593466.db2.gz OKLXMJBEAJVWHI-RBUKOAKNSA-N 0 1 319.408 3.375 20 30 DGEDMN COCCON=Cc1ccc(N2CCC(c3ccccc3)=N2)cc1 ZINC000748792310 575606669 /nfs/dbraw/zinc/60/66/69/575606669.db2.gz YAAGVTHBZUBATD-UHFFFAOYSA-N 0 1 323.396 3.298 20 30 DGEDMN CCCCCCCCCN(C)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000749737335 575674391 /nfs/dbraw/zinc/67/43/91/575674391.db2.gz XMEOYXRCVFOJQZ-UHFFFAOYSA-N 0 1 310.398 3.449 20 30 DGEDMN C[C@@H]1CCC[C@@H](CC(=O)[C@H](C#N)C(=O)NC2CCCCC2)C1 ZINC000750667191 575744350 /nfs/dbraw/zinc/74/43/50/575744350.db2.gz DAHHWXOWIBZMLS-FMKPAKJESA-N 0 1 304.434 3.361 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1c(Cl)nc2ccccn12 ZINC000751337509 575780736 /nfs/dbraw/zinc/78/07/36/575780736.db2.gz NNFOJQZYJIDPAS-UHFFFAOYSA-N 0 1 315.720 3.342 20 30 DGEDMN O=[N+]([O-])c1ccccc1NN=Cc1cnn(Cc2ccccc2)c1 ZINC000751338254 575780788 /nfs/dbraw/zinc/78/07/88/575780788.db2.gz RXSGMCBKBUVGQP-UHFFFAOYSA-N 0 1 321.340 3.286 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccccc2)CC1N=Nc1ccc(C(=O)[O-])cc1 ZINC000751515886 575788129 /nfs/dbraw/zinc/78/81/29/575788129.db2.gz AKGXUXYAFMNGEW-CQSZACIVSA-N 0 1 323.396 3.305 20 30 DGEDMN O=C1c2cc(F)cc(F)c2C/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000751536510 575789950 /nfs/dbraw/zinc/78/99/50/575789950.db2.gz RPKGWQCKPREKDM-OQFOIZHKSA-N 0 1 317.247 3.401 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1)C(C)C ZINC000726270705 576014950 /nfs/dbraw/zinc/01/49/50/576014950.db2.gz DRZCQKMEKOHRIQ-INIZCTEOSA-N 0 1 319.449 3.331 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NCc2cccc3c2OCO3)c(Cl)c1 ZINC000727649285 576136174 /nfs/dbraw/zinc/13/61/74/576136174.db2.gz FMIOESQPXVKQQL-UHFFFAOYSA-N 0 1 319.704 3.423 20 30 DGEDMN COc1cc2c(c(CNCc3ccc(C#N)cc3)c1)O[C@H](C)C2 ZINC000727839181 576148965 /nfs/dbraw/zinc/14/89/65/576148965.db2.gz YPIGXXVYPZNHRB-CYBMUJFWSA-N 0 1 308.381 3.180 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)CN2CC3CC2(C)C3)cc1C ZINC000852785413 620648870 /nfs/dbraw/zinc/64/88/70/620648870.db2.gz JWSUGEANVCFBCV-UHFFFAOYSA-N 0 1 311.429 3.034 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)CC(C)(C)C ZINC000916889533 620649184 /nfs/dbraw/zinc/64/91/84/620649184.db2.gz TYFNVSABWJWKGF-GOEBONIOSA-N 0 1 314.429 3.474 20 30 DGEDMN CCOc1ccccc1NC(=O)C(C#N)C(=O)C1CCCCC1 ZINC000916924516 620649630 /nfs/dbraw/zinc/64/96/30/620649630.db2.gz VDRMSKIVNKYJTE-CQSZACIVSA-N 0 1 314.385 3.313 20 30 DGEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC(C2CCCCC2)CC1 ZINC000917060634 620654115 /nfs/dbraw/zinc/65/41/15/620654115.db2.gz ZAYDFNUPGZJCIB-IBGZPJMESA-N 0 1 319.493 3.333 20 30 DGEDMN COc1cccc(/C=N\C[C@@H]2CCN2Cc2ccccc2)c1O ZINC000852999369 620658898 /nfs/dbraw/zinc/65/88/98/620658898.db2.gz ZZRFKLBIFOBEBU-BRLDDBHUSA-N 0 1 310.397 3.094 20 30 DGEDMN C=CCN1C(=O)N=NC1SC[C@H](C)COCc1ccccc1 ZINC000917209756 620660075 /nfs/dbraw/zinc/66/00/75/620660075.db2.gz LUMJLUBYLRIFKJ-CYBMUJFWSA-N 0 1 319.430 3.115 20 30 DGEDMN CN(C)c1nc(Cl)c(CN=Nc2ncccc2Cl)s1 ZINC000917546930 620673463 /nfs/dbraw/zinc/67/34/63/620673463.db2.gz VQKIIBYDWIPOKQ-UHFFFAOYSA-N 0 1 316.217 3.357 20 30 DGEDMN CN(C)c1nc(Cl)c(C=NNc2ncccc2Cl)s1 ZINC000917546930 620673465 /nfs/dbraw/zinc/67/34/65/620673465.db2.gz VQKIIBYDWIPOKQ-UHFFFAOYSA-N 0 1 316.217 3.357 20 30 DGEDMN C[C@@H](CN1CCCC1=O)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000917557987 620674582 /nfs/dbraw/zinc/67/45/82/620674582.db2.gz YNCVQEJJEQMXBJ-KKBGLURWSA-N 0 1 315.200 3.129 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1cnc(-c2ccccc2)nc1 ZINC000917534542 620674671 /nfs/dbraw/zinc/67/46/71/620674671.db2.gz SNISMPZFZOSELA-UHFFFAOYSA-N 0 1 314.352 3.466 20 30 DGEDMN C(=NNc1ncnc2nc[nH]c21)c1ccc(-c2ccccc2)o1 ZINC000917556280 620675882 /nfs/dbraw/zinc/67/58/82/620675882.db2.gz ZBKIJJVWCIRDLX-UHFFFAOYSA-N 0 1 304.313 3.059 20 30 DGEDMN CC(=NNC1=NCCN1)c1ccc(O[C@H](C)c2ccccc2)cc1 ZINC000853358258 620689462 /nfs/dbraw/zinc/68/94/62/620689462.db2.gz YJOYDKFPVNNNON-OAHLLOKOSA-N 0 1 322.412 3.099 20 30 DGEDMN CCOC(=O)CCC1CCN(Cc2csc(C#N)c2)CC1 ZINC000892149315 617975814 /nfs/dbraw/zinc/97/58/14/617975814.db2.gz BAKDVDUSSCWTON-UHFFFAOYSA-N 0 1 306.431 3.175 20 30 DGEDMN C#C[C@H](NC(=O)[C@H](c1ccccc1)N(CC)CC)C(C)(C)C ZINC000807879790 617716738 /nfs/dbraw/zinc/71/67/38/617716738.db2.gz KKEQDFOAQKTNDI-IRXDYDNUSA-N 0 1 300.446 3.234 20 30 DGEDMN CCCCNC(=O)[C@@H]1CC[C@@H](C)N(Cc2csc(C#N)c2)C1 ZINC000891782563 617896667 /nfs/dbraw/zinc/89/66/67/617896667.db2.gz UVDFBOWAGDBFQT-UKRRQHHQSA-N 0 1 319.474 3.137 20 30 DGEDMN Cc1cc(F)c(C#N)c(NC2CCN(Cc3ccncc3)CC2)c1 ZINC000891897527 617922593 /nfs/dbraw/zinc/92/25/93/617922593.db2.gz JITLJCLWZXHDTC-UHFFFAOYSA-N 0 1 324.403 3.477 20 30 DGEDMN Cc1cc(C)c(NC(=O)CN[C@@H](C)CC(C)(C)C#N)c(C)c1 ZINC000924542127 618081227 /nfs/dbraw/zinc/08/12/27/618081227.db2.gz PQQDBYMPBBQOIB-HNNXBMFYSA-N 0 1 301.434 3.468 20 30 DGEDMN CC(C)(CC(=O)C(C#N)C(=O)Nc1ccccc1)CC(F)F ZINC000892494588 618081348 /nfs/dbraw/zinc/08/13/48/618081348.db2.gz GPAQBCLKDXIEBW-GFCCVEGCSA-N 0 1 308.328 3.405 20 30 DGEDMN C(#Cc1ccccc1)CNCc1ccc(N2CCCCC2)nc1 ZINC000892591866 618114489 /nfs/dbraw/zinc/11/44/89/618114489.db2.gz LENKUHUZBHQZCP-UHFFFAOYSA-N 0 1 305.425 3.213 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@H](C)C(=O)Nc2ccccc2)cc1 ZINC000112099888 620791519 /nfs/dbraw/zinc/79/15/19/620791519.db2.gz FWESCRZRGGTWFB-HUUCEWRRSA-N 0 1 323.396 3.094 20 30 DGEDMN CC(C)c1cc([C@@H]2CCCN(c3ccc(C#N)cc3CO)C2)[nH]n1 ZINC000895061249 618522722 /nfs/dbraw/zinc/52/27/22/618522722.db2.gz GFTJRDSUWORLPQ-OAHLLOKOSA-N 0 1 324.428 3.281 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000909483390 618560022 /nfs/dbraw/zinc/56/00/22/618560022.db2.gz AGUVTOOJOHRCIX-MRXNPFEDSA-N 0 1 310.401 3.121 20 30 DGEDMN C=CCOCCNCc1nc(-c2cccc(OC)c2)cs1 ZINC000893476230 618567323 /nfs/dbraw/zinc/56/73/23/618567323.db2.gz VVTNYRNXJLNZQQ-UHFFFAOYSA-N 0 1 304.415 3.111 20 30 DGEDMN Cc1ccn(CN(C)[C@H]2CCC[C@@H]2C(C)(C)C)c(=O)c1C#N ZINC000841687875 618630622 /nfs/dbraw/zinc/63/06/22/618630622.db2.gz AFMULMAQHPQTEW-HOTGVXAUSA-N 0 1 301.434 3.133 20 30 DGEDMN CCCCNC(=O)C1(NCc2csc(C#N)c2)CCCCC1 ZINC000893697079 618641310 /nfs/dbraw/zinc/64/13/10/618641310.db2.gz ORAZMULLFWXJRH-UHFFFAOYSA-N 0 1 319.474 3.329 20 30 DGEDMN Cc1ccc(NN=C2CCc3sc(Br)cc32)nn1 ZINC000814840689 618731311 /nfs/dbraw/zinc/73/13/11/618731311.db2.gz PVGLKKTZIPCJDR-UHFFFAOYSA-N 0 1 323.219 3.371 20 30 DGEDMN C#CC1(O)CCN(Cc2ccccc2OCc2ccccc2)CC1 ZINC000895381228 618776429 /nfs/dbraw/zinc/77/64/29/618776429.db2.gz ZYJVUYYVQYKTRK-UHFFFAOYSA-N 0 1 321.420 3.226 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(-c3ccncc3)cc2F)CC1 ZINC000895669891 618815727 /nfs/dbraw/zinc/81/57/27/618815727.db2.gz KNKXUAJUHLPCOO-UHFFFAOYSA-N 0 1 324.399 3.238 20 30 DGEDMN C=CCC1(O)CCN(Cc2ccc(OC(F)F)cc2F)CC1 ZINC000895828253 618834309 /nfs/dbraw/zinc/83/43/09/618834309.db2.gz UJKDLCMVVVLXPT-UHFFFAOYSA-N 0 1 315.335 3.330 20 30 DGEDMN C=CCC1(O)CCN(Cc2c[nH]nc2-c2ccsc2)CC1 ZINC000895834916 618839558 /nfs/dbraw/zinc/83/95/58/618839558.db2.gz UNYRYMNLQGKBOL-UHFFFAOYSA-N 0 1 303.431 3.041 20 30 DGEDMN COC1(OC)CC2(CN(CC#Cc3ccccc3)[C@@H]2C(C)C)C1 ZINC000895987813 618858028 /nfs/dbraw/zinc/85/80/28/618858028.db2.gz IKXXCKXDOZJJSV-GOSISDBHSA-N 0 1 313.441 3.148 20 30 DGEDMN CC(C)[C@H](CNC(=O)OC(C)(C)C)CN1CCC[C@@H](C#N)C1 ZINC000896502419 618921779 /nfs/dbraw/zinc/92/17/79/618921779.db2.gz FEMRBQIPTRCBNH-LSDHHAIUSA-N 0 1 309.454 3.019 20 30 DGEDMN N#Cc1c(F)cccc1CNC[C@@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000896820676 618965078 /nfs/dbraw/zinc/96/50/78/618965078.db2.gz AMLAUINJBNIWEA-FZMZJTMJSA-N 0 1 316.298 3.287 20 30 DGEDMN COC(=O)c1cc(CNCc2ccc(C)c(C#N)c2)cs1 ZINC000896880807 618974512 /nfs/dbraw/zinc/97/45/12/618974512.db2.gz PDXXJQRFWRHQQM-UHFFFAOYSA-N 0 1 300.383 3.005 20 30 DGEDMN CN(Cc1cc(C#N)cs1)C[C@H]1CCN1C(=O)OC(C)(C)C ZINC000897406414 619105425 /nfs/dbraw/zinc/10/54/25/619105425.db2.gz ZNMRGDDFVCVADR-CYBMUJFWSA-N 0 1 321.446 3.061 20 30 DGEDMN CC[C@H]([NH2+]C1CCN(C(C)C)CC1)c1cccc(C#N)c1[O-] ZINC000925303136 619156629 /nfs/dbraw/zinc/15/66/29/619156629.db2.gz LPYXKXDXVANGRL-KRWDZBQOSA-N 0 1 301.434 3.177 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cc(C#N)ccc2Cl)ccc1O ZINC000148622596 619160666 /nfs/dbraw/zinc/16/06/66/619160666.db2.gz VYMWUOADTZERST-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN O=C1C=COC2(CC[NH+](Cc3ccc4ccccc4c3[O-])CC2)C1 ZINC000897599833 619164630 /nfs/dbraw/zinc/16/46/30/619164630.db2.gz XEOZHEAHJDQTEA-UHFFFAOYSA-N 0 1 323.392 3.383 20 30 DGEDMN C[C@H]1C[C@@H]1c1ccc(CN2CCC3(CC2)CC(=O)C=CO3)o1 ZINC000897602893 619165840 /nfs/dbraw/zinc/16/58/40/619165840.db2.gz ZDEUVVFCYLMESI-BBRMVZONSA-N 0 1 301.386 3.241 20 30 DGEDMN C=CCOc1ccccc1C[NH2+]Cc1nc(C(=O)[O-])c(C)s1 ZINC000900064305 619401768 /nfs/dbraw/zinc/40/17/68/619401768.db2.gz IYCHPYLRMJJNQP-UHFFFAOYSA-N 0 1 318.398 3.004 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)c2cc(C(F)F)n[nH]2)cc1 ZINC000900418051 619427853 /nfs/dbraw/zinc/42/78/53/619427853.db2.gz MPFLVXLZAFFGTN-UHFFFAOYSA-N 0 1 304.300 3.216 20 30 DGEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@@](C)(C#N)C1CCCCC1 ZINC000900724812 619447256 /nfs/dbraw/zinc/44/72/56/619447256.db2.gz RXPXPSNQJALQBF-PUECVXPYSA-N 0 1 314.433 3.054 20 30 DGEDMN N#Cc1ccc(N=NC2CCCc3c[nH]nc32)c(C(F)(F)F)c1 ZINC000789064249 625347178 /nfs/dbraw/zinc/34/71/78/625347178.db2.gz XTLWSYOAUVASJU-UHFFFAOYSA-N 0 1 319.290 3.453 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1cnn(C2CCC2)c1 ZINC000901169666 619476201 /nfs/dbraw/zinc/47/62/01/619476201.db2.gz JBHPMSYEMOPMHF-UHFFFAOYSA-N 0 1 308.389 3.331 20 30 DGEDMN CC(=NNc1nc2ccccc2n1C)c1cnn(CC2CCC2)c1 ZINC000901169720 619476313 /nfs/dbraw/zinc/47/63/13/619476313.db2.gz LEJFAYXCEMTJJC-UHFFFAOYSA-N 0 1 322.416 3.406 20 30 DGEDMN CCCCCc1cc(C(=O)N(O)Cc2ccc(F)cc2)[nH]n1 ZINC000901371984 619490695 /nfs/dbraw/zinc/49/06/95/619490695.db2.gz LOIUHMKFLIBPQL-UHFFFAOYSA-N 0 1 305.353 3.313 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2CC[C@@](C(=O)[O-])(C(C)C)C2)c1 ZINC000901470010 619506212 /nfs/dbraw/zinc/50/62/12/619506212.db2.gz YGRPAVYGNVFGJR-SFHVURJKSA-N 0 1 303.402 3.184 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2CCC[C@@H](CCC(=O)[O-])C2)cc1 ZINC000901552565 619518587 /nfs/dbraw/zinc/51/85/87/619518587.db2.gz CLEOMPJCUTWIAE-HNNXBMFYSA-N 0 1 303.402 3.328 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CC3(CCC3)[C@@](F)(C(=O)[O-])C2)cc1 ZINC000901913124 619563649 /nfs/dbraw/zinc/56/36/49/619563649.db2.gz AUDVCFLSIIPZHC-SFHVURJKSA-N 0 1 319.376 3.030 20 30 DGEDMN C=C(C)COc1cc(C[N@@H+](CCC(=O)[O-])C(C)C)ccc1OC ZINC000901978051 619572795 /nfs/dbraw/zinc/57/27/95/619572795.db2.gz FESDIKWJBOURFR-UHFFFAOYSA-N 0 1 321.417 3.335 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC000902349552 619620354 /nfs/dbraw/zinc/62/03/54/619620354.db2.gz FYJNYBRLIVPLTJ-RDJZCZTQSA-N 0 1 308.466 3.040 20 30 DGEDMN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NCc1ccc(C#N)cn1 ZINC000902965071 619697927 /nfs/dbraw/zinc/69/79/27/619697927.db2.gz QCUAPCLOCCCQOE-BFUOFWGJSA-N 0 1 307.397 3.375 20 30 DGEDMN COc1cccc([C@H](CN[C@@H](C)c2cc(C#N)ccn2)OC)c1 ZINC000903065893 619709587 /nfs/dbraw/zinc/70/95/87/619709587.db2.gz ZFVKNUQHSLGPSF-UGSOOPFHSA-N 0 1 311.385 3.000 20 30 DGEDMN CC(C)[C@H](N[C@H]1CCCCc2cc(C#N)ccc21)c1nncn1C ZINC000903066264 619709599 /nfs/dbraw/zinc/70/95/99/619709599.db2.gz ULBMUABOUSTNIT-ROUUACIJSA-N 0 1 323.444 3.441 20 30 DGEDMN CCOC(=O)[C@H]1CC[C@H](NCC(C)(C)C#N)c2ccccc21 ZINC000903101254 619716718 /nfs/dbraw/zinc/71/67/18/619716718.db2.gz DJSATFMORAEWOI-HOTGVXAUSA-N 0 1 300.402 3.308 20 30 DGEDMN C[C@H](NCC1(C#N)CCCC1)c1ccc(N2CCOC2=O)cc1 ZINC000903108087 619717843 /nfs/dbraw/zinc/71/78/43/619717843.db2.gz MAZIJNNQPAQQEF-AWEZNQCLSA-N 0 1 313.401 3.378 20 30 DGEDMN C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1cccc(C#N)c1[O-] ZINC000903266023 619748778 /nfs/dbraw/zinc/74/87/78/619748778.db2.gz JMETUQHABSHSIQ-XNJJOIOASA-N 0 1 312.344 3.386 20 30 DGEDMN CC(C)(C)c1ccc(NS(=O)(=O)c2ccc(C#N)cc2)cn1 ZINC000903688676 619795990 /nfs/dbraw/zinc/79/59/90/619795990.db2.gz QZUQSTFIVBLQRQ-UHFFFAOYSA-N 0 1 315.398 3.052 20 30 DGEDMN CC(C)N1CCC[C@@H]1C(=O)N(C)c1cc(Cl)ccc1C#N ZINC000903795131 619811920 /nfs/dbraw/zinc/81/19/20/619811920.db2.gz JJVYWWGSGHSSGS-CQSZACIVSA-N 0 1 305.809 3.047 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[NH2+][C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000904021129 619857008 /nfs/dbraw/zinc/85/70/08/619857008.db2.gz OSBTYZQSNTWKSC-NSHDSACASA-N 0 1 315.398 3.181 20 30 DGEDMN O=C(C1CC[NH+](C2CC2)CC1)N([O-])Cc1cccc2ccccc21 ZINC000904171796 619891506 /nfs/dbraw/zinc/89/15/06/619891506.db2.gz UGENDBQHJKBLOM-UHFFFAOYSA-N 0 1 324.424 3.432 20 30 DGEDMN CCOc1cncc(/C=C/C(=O)c2cccc(CN(C)C)c2)c1 ZINC000905077948 619968033 /nfs/dbraw/zinc/96/80/33/619968033.db2.gz JRLSCAGFALPVRF-CMDGGOBGSA-N 0 1 310.397 3.438 20 30 DGEDMN C[C@](O)(CNCc1cc(F)c(C(F)(F)F)cc1F)C(F)F ZINC000905263025 619982938 /nfs/dbraw/zinc/98/29/38/619982938.db2.gz SLJVOJAZXSTDCK-NSHDSACASA-N 0 1 319.220 3.089 20 30 DGEDMN C#CCN1CCC(NC(=S)Nc2cccc(C(C)C)c2)CC1 ZINC000905656447 620014257 /nfs/dbraw/zinc/01/42/57/620014257.db2.gz LTGXHTRAZIQTBT-UHFFFAOYSA-N 0 1 315.486 3.194 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H]1C[C@H](C)Cc2cn[nH]c21 ZINC000881467120 625395540 /nfs/dbraw/zinc/39/55/40/625395540.db2.gz RGGPJOOJIUEPCI-NOZJJQNGSA-N 0 1 312.348 3.034 20 30 DGEDMN CCC(=O)c1cccc(C#N)c1OCC[C@H]1CCCC[N@H+]1C ZINC000906507432 620101520 /nfs/dbraw/zinc/10/15/20/620101520.db2.gz CFFGXEWEAMXIQG-OAHLLOKOSA-N 0 1 300.402 3.404 20 30 DGEDMN N#CCCCC(=O)Nc1ccc2oc(CN3CCCC3)nc2c1 ZINC000908408335 620205115 /nfs/dbraw/zinc/20/51/15/620205115.db2.gz QFMCDMLUOYWEHN-UHFFFAOYSA-N 0 1 312.373 3.056 20 30 DGEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)c1cc(C)cc2c[nH]nc21 ZINC000908648352 620215138 /nfs/dbraw/zinc/21/51/38/620215138.db2.gz AXYVHUJSLDSNRY-HNNXBMFYSA-N 0 1 311.385 3.011 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC000913809426 620481904 /nfs/dbraw/zinc/48/19/04/620481904.db2.gz PHPDOPFFCXLJOO-GWCFXTLKSA-N 0 1 305.765 3.136 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)NCc1ccccc1)C(C)C ZINC000153273817 620545399 /nfs/dbraw/zinc/54/53/99/620545399.db2.gz BZTPGBFDRKLHEZ-HOTGVXAUSA-N 0 1 300.402 3.084 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000153323347 620550604 /nfs/dbraw/zinc/55/06/04/620550604.db2.gz FMRJEAZIMRSACJ-UONOGXRCSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(=O)Nc1ccc(O)c(C(C)=NNc2nc3ccccc3[nH]2)c1 ZINC000915938019 620608683 /nfs/dbraw/zinc/60/86/83/620608683.db2.gz XROGZMSJNILKAU-UHFFFAOYSA-N 0 1 323.356 3.063 20 30 DGEDMN Cc1cc(O)cc2c1C(=O)C(=NN=c1ccc3ccccc3[nH]1)O2 ZINC000915939066 620608834 /nfs/dbraw/zinc/60/88/34/620608834.db2.gz HMUXGOOPRPNKFK-UHFFFAOYSA-N 0 1 319.320 3.250 20 30 DGEDMN CCOc1ccc(C=NN=c2[nH]c3ccccc3n2C)c(O)c1 ZINC000915967663 620609235 /nfs/dbraw/zinc/60/92/35/620609235.db2.gz LKHUCLVNOBPJEW-UHFFFAOYSA-N 0 1 310.357 3.124 20 30 DGEDMN C#CCOc1ccccc1C=NN=c1[nH]c2ccccc2n1C ZINC000915971367 620610038 /nfs/dbraw/zinc/61/00/38/620610038.db2.gz QZRFGEODMCLAGR-UHFFFAOYSA-N 0 1 304.353 3.031 20 30 DGEDMN C#CCOc1ccccc1CN=Nc1nc2ccccc2n1C ZINC000915971367 620610040 /nfs/dbraw/zinc/61/00/40/620610040.db2.gz QZRFGEODMCLAGR-UHFFFAOYSA-N 0 1 304.353 3.031 20 30 DGEDMN COc1ccc2ccccc2c1C=NNCCN1CCCCC1 ZINC000915973137 620610300 /nfs/dbraw/zinc/61/03/00/620610300.db2.gz ADHGFAPRIIKTRQ-UHFFFAOYSA-N 0 1 311.429 3.258 20 30 DGEDMN FC(F)SCc1ccc(C=NNCCN2CCCCC2)o1 ZINC000915973704 620610539 /nfs/dbraw/zinc/61/05/39/620610539.db2.gz LNBMNVGGQBXZQG-UHFFFAOYSA-N 0 1 317.405 3.145 20 30 DGEDMN CCCCNN=Cc1ccc(N2CCCCC2)c([N+](=O)[O-])c1 ZINC000915965727 620611821 /nfs/dbraw/zinc/61/18/21/620611821.db2.gz AUAGMLSOENFRIB-UHFFFAOYSA-N 0 1 304.394 3.309 20 30 DGEDMN CC(N=Nc1cccc([N+](=O)[O-])c1)c1ccc(OCC#N)cc1 ZINC000916269142 620623353 /nfs/dbraw/zinc/62/33/53/620623353.db2.gz TYOSCTWKRXKEDV-UHFFFAOYSA-N 0 1 310.313 3.333 20 30 DGEDMN COc1cc(Br)c(CN=Nc2ccccn2)cc1O ZINC000916275909 620623746 /nfs/dbraw/zinc/62/37/46/620623746.db2.gz BDQQPUVUXGPTGM-UHFFFAOYSA-N 0 1 322.162 3.004 20 30 DGEDMN C[C@@H]1CCCC[C@@H]1CC(=O)[C@H](C#N)C(=O)NC1CCCCC1 ZINC000920790046 620822614 /nfs/dbraw/zinc/82/26/14/620822614.db2.gz NAHINDKYJLYOQN-FMKPAKJESA-N 0 1 304.434 3.361 20 30 DGEDMN C[C@@H](CC(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CC1 ZINC000920789971 620822877 /nfs/dbraw/zinc/82/28/77/620822877.db2.gz KIAZSNNOPWQRSW-HZMBPMFUSA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)C2CC(C)(C)CC(C)(C)C2)CC1 ZINC000855568669 620914393 /nfs/dbraw/zinc/91/43/93/620914393.db2.gz KQXTUSORLUQSLG-UHFFFAOYSA-N 0 1 318.505 3.395 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC(C)(C)CC(C)(C)C2)CC1 ZINC000855691131 620925021 /nfs/dbraw/zinc/92/50/21/620925021.db2.gz YADBTSTVEZUQOD-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=CCCONC(=O)C(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000856033766 620955882 /nfs/dbraw/zinc/95/58/82/620955882.db2.gz QBWJCCINQSUKNG-UHFFFAOYSA-N 0 1 317.307 3.487 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000922524706 621050711 /nfs/dbraw/zinc/05/07/11/621050711.db2.gz XMHATIKMWKAHLA-AWEZNQCLSA-N 0 1 309.369 3.062 20 30 DGEDMN N#CC(C(=O)[C@H]1CC(=O)c2ccccc21)c1nc2ccccc2[nH]1 ZINC000789336490 625461293 /nfs/dbraw/zinc/46/12/93/625461293.db2.gz NUJOAEGOUOWYCO-UONOGXRCSA-N 0 1 315.332 3.109 20 30 DGEDMN CCCc1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)s1 ZINC000857205782 621068441 /nfs/dbraw/zinc/06/84/41/621068441.db2.gz MCIDJQCRXVQHEQ-UHFFFAOYSA-N 0 1 320.365 3.482 20 30 DGEDMN Cc1cc(C#N)cc(C)c1COC(=O)Cc1n[nH]c2c1CCCC2 ZINC000922622549 621068565 /nfs/dbraw/zinc/06/85/65/621068565.db2.gz LNIUXCSCTAQEKM-UHFFFAOYSA-N 0 1 323.396 3.063 20 30 DGEDMN C[C@H]1Cc2cc(CNCc3cc(C#N)ccc3N(C)C)ccc2O1 ZINC000922701053 621085136 /nfs/dbraw/zinc/08/51/36/621085136.db2.gz ATBCTTULZRQQEC-AWEZNQCLSA-N 0 1 321.424 3.237 20 30 DGEDMN C=CCCn1cc(CNCC2(c3cccc(F)c3)CCC2)nn1 ZINC000922702268 621087878 /nfs/dbraw/zinc/08/78/78/621087878.db2.gz JBVAMRRBJNESQA-UHFFFAOYSA-N 0 1 314.408 3.205 20 30 DGEDMN COc1cc2c(c(CNCc3ccc(C)c(C#N)c3)c1)O[C@@H](C)C2 ZINC000922759616 621095680 /nfs/dbraw/zinc/09/56/80/621095680.db2.gz RFSDXTMMSWFMEX-AWEZNQCLSA-N 0 1 322.408 3.489 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2ccns2)cc(OC)c1O ZINC000858316748 621175341 /nfs/dbraw/zinc/17/53/41/621175341.db2.gz KDRXFWBJQACBFY-NSHDSACASA-N 0 1 304.415 3.437 20 30 DGEDMN C#CC[C@@H](COC)NCc1ccc(-c2ccccc2)cc1OC ZINC000858335517 621177440 /nfs/dbraw/zinc/17/74/40/621177440.db2.gz WJQYVAKQOYVDAS-IBGZPJMESA-N 0 1 309.409 3.490 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000838253670 621227915 /nfs/dbraw/zinc/22/79/15/621227915.db2.gz VDXGFAGTMGCAMJ-QWHCGFSZSA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@@H](CC(C)C)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000838253670 621227919 /nfs/dbraw/zinc/22/79/19/621227919.db2.gz VDXGFAGTMGCAMJ-QWHCGFSZSA-N 0 1 322.430 3.243 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2cc(-c3ccc(F)cc3)n[nH]2)c1 ZINC000103102556 621259204 /nfs/dbraw/zinc/25/92/04/621259204.db2.gz MOYOHODVBZIIEN-UHFFFAOYSA-N 0 1 319.339 3.474 20 30 DGEDMN CC[C@H](CC(F)F)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000838785671 621280573 /nfs/dbraw/zinc/28/05/73/621280573.db2.gz ANYWPOBMKJDZNS-GHMZBOCLSA-N 0 1 324.327 3.024 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1)C1CCC1 ZINC000838866227 621293070 /nfs/dbraw/zinc/29/30/70/621293070.db2.gz UCNTZDYXILVABI-NHYWBVRUSA-N 0 1 318.804 3.101 20 30 DGEDMN C[C@@H]1C[C@H](OC(=O)c2ccc(C#N)o2)CN(Cc2ccccc2)C1 ZINC000839092118 621327744 /nfs/dbraw/zinc/32/77/44/621327744.db2.gz YCYAIIRZICDQEG-PBHICJAKSA-N 0 1 324.380 3.219 20 30 DGEDMN N#Cc1ccc([C@@H](O)CN2CCc3cccc(Cl)c3C2)cc1 ZINC000839168751 621342182 /nfs/dbraw/zinc/34/21/82/621342182.db2.gz NWVOGCBHOKILPK-SFHVURJKSA-N 0 1 312.800 3.303 20 30 DGEDMN Cn1nc(C(F)(F)F)c(CNCC(C)(C)CC#N)c1Cl ZINC000839163791 621349403 /nfs/dbraw/zinc/34/94/03/621349403.db2.gz PHJHGUYWHFZHAL-UHFFFAOYSA-N 0 1 308.735 3.122 20 30 DGEDMN Cc1cc(C(C#N)C(=O)CCc2ccc(F)cc2F)nc(C)n1 ZINC000752479003 621395035 /nfs/dbraw/zinc/39/50/35/621395035.db2.gz UQBMTOSOGLMMQM-CQSZACIVSA-N 0 1 315.323 3.181 20 30 DGEDMN CC[C@H](C)OCC(=O)C(C#N)c1nc2cc(F)ccc2s1 ZINC000752496274 621395867 /nfs/dbraw/zinc/39/58/67/621395867.db2.gz RKGNUXYFIZEMRS-GXSJLCMTSA-N 0 1 306.362 3.427 20 30 DGEDMN C/C(=C/C(=O)Nc1cc(C#N)ccc1O)c1ccc([N+](=O)[O-])cc1 ZINC000752677805 621404075 /nfs/dbraw/zinc/40/40/75/621404075.db2.gz DPWBXTWABBCBPV-FLIBITNWSA-N 0 1 323.308 3.214 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1Cl)C(=O)C12CC(C1)C2 ZINC000860473585 621409034 /nfs/dbraw/zinc/40/90/34/621409034.db2.gz NNYWWTRLXDCUDC-VGWYGZTPSA-N 0 1 323.179 3.441 20 30 DGEDMN C#CCOCCN[C@@H](c1ccc(F)cc1)c1ccc(OC)cc1 ZINC000753770931 621464885 /nfs/dbraw/zinc/46/48/85/621464885.db2.gz SVAQKVKAMIBPLE-IBGZPJMESA-N 0 1 313.372 3.163 20 30 DGEDMN CCCOc1ccc(C(C)=NNC2=N[C@@H]3CCCC[C@H]3N2)cc1 ZINC000753931343 621472213 /nfs/dbraw/zinc/47/22/13/621472213.db2.gz YQKKOFRXQCMCHY-IAGOWNOFSA-N 0 1 314.433 3.059 20 30 DGEDMN C[C@H]1CC(=NNC2=N[C@H]3CCCC[C@@H]3N2)c2ccsc2S1 ZINC000753941399 621473236 /nfs/dbraw/zinc/47/32/36/621473236.db2.gz YXXGZERFGZPTGR-DLOVCJGASA-N 0 1 320.487 3.197 20 30 DGEDMN CSc1ccc(C(C)=NNC2=N[C@H]3CCCC[C@@H]3N2)cc1F ZINC000753939461 621473275 /nfs/dbraw/zinc/47/32/75/621473275.db2.gz OWCJJPUORFFKFR-KBPBESRZSA-N 0 1 320.437 3.132 20 30 DGEDMN N#Cc1ccc(F)c(CNCc2cnc(-c3ccccc3)[nH]2)c1 ZINC000754337245 621503682 /nfs/dbraw/zinc/50/36/82/621503682.db2.gz KNWLCAAXNPNZBU-UHFFFAOYSA-N 0 1 306.344 3.377 20 30 DGEDMN C#C[C@H](CC)NC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000754682438 621524506 /nfs/dbraw/zinc/52/45/06/621524506.db2.gz HOUFETGKJWSGAE-LLVKDONJSA-N 0 1 309.394 3.433 20 30 DGEDMN C#CCN(CC)Cc1c[nH]nc1-c1ccc(Br)s1 ZINC000862233935 621564722 /nfs/dbraw/zinc/56/47/22/621564722.db2.gz XTVPDJPYIIWONC-UHFFFAOYSA-N 0 1 324.247 3.356 20 30 DGEDMN C[C@]1(CN2CCO[C@H](c3cccc(C#N)c3)C2)CCCS1 ZINC000862254422 621568782 /nfs/dbraw/zinc/56/87/82/621568782.db2.gz YYABODXVUDJZLV-DLBZAZTESA-N 0 1 302.443 3.217 20 30 DGEDMN CCc1[nH+]c(C=NNc2ccc(C(=O)[O-])cc2)c2ccccn12 ZINC000755455834 621574148 /nfs/dbraw/zinc/57/41/48/621574148.db2.gz QMYBMQQCZSADHN-UHFFFAOYSA-N 0 1 308.341 3.041 20 30 DGEDMN CCCCCCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000862358607 621577914 /nfs/dbraw/zinc/57/79/14/621577914.db2.gz OVPKEXCNQSSPGX-UHFFFAOYSA-N 0 1 305.494 3.006 20 30 DGEDMN Cc1ncoc1C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000755604749 621580800 /nfs/dbraw/zinc/58/08/00/621580800.db2.gz SOIRJRFTVGOSGK-CQSZACIVSA-N 0 1 319.320 3.097 20 30 DGEDMN COc1nc2ccccc2cc1CN=Nc1cc(C)nc(C)n1 ZINC000755649805 621583550 /nfs/dbraw/zinc/58/35/50/621583550.db2.gz YPSDAWVBNQBXOT-UHFFFAOYSA-N 0 1 307.357 3.096 20 30 DGEDMN Cc1cccc(C)c1C=NNc1ccccc1S(C)(=O)=O ZINC000755728140 621589226 /nfs/dbraw/zinc/58/92/26/621589226.db2.gz PNXZKLYOOVVMFB-UHFFFAOYSA-N 0 1 302.399 3.153 20 30 DGEDMN CCSc1ccc(C(C)=NN=c2cc[nH]c(N(C)C)n2)cc1 ZINC000755739480 621589991 /nfs/dbraw/zinc/58/99/91/621589991.db2.gz MOLBIRBLNUCXME-UHFFFAOYSA-N 0 1 315.446 3.491 20 30 DGEDMN C#CCOc1ccc(CN2CCc3c(CC#N)cccc3C2)cc1 ZINC000862542054 621598913 /nfs/dbraw/zinc/59/89/13/621598913.db2.gz CYNYQKXHMXRMRR-UHFFFAOYSA-N 0 1 316.404 3.323 20 30 DGEDMN COc1cc(C(C)=NNc2cc(C)ccn2)cc(OC)c1OC ZINC000755906325 621602126 /nfs/dbraw/zinc/60/21/26/621602126.db2.gz LRICOGYYKNBHAG-UHFFFAOYSA-N 0 1 315.373 3.252 20 30 DGEDMN Fc1ccc(F)c(C=NNCCN2CCCCC2)c1Cl ZINC000863080868 621638005 /nfs/dbraw/zinc/63/80/05/621638005.db2.gz QJWMZOHLFWSYTK-UHFFFAOYSA-N 0 1 301.768 3.028 20 30 DGEDMN COC(=O)c1ccc(NN=C2CCCc3scnc32)c(F)c1 ZINC000863120356 621640694 /nfs/dbraw/zinc/64/06/94/621640694.db2.gz OAGLKDDQCNJRKP-UHFFFAOYSA-N 0 1 319.361 3.221 20 30 DGEDMN Cn1ncc(C2CC2)c1CN=Nc1nc2ccccc2[nH]c1=S ZINC000863125475 621641019 /nfs/dbraw/zinc/64/10/19/621641019.db2.gz XEMDZNWWOYONHX-UHFFFAOYSA-N 0 1 324.413 3.349 20 30 DGEDMN Cc1cnccc1NN=C(C[C@H]1CCCO1)c1ccc(F)cc1 ZINC000863157624 621643269 /nfs/dbraw/zinc/64/32/69/621643269.db2.gz CYTOWYPQFKNTOB-MRXNPFEDSA-N 0 1 313.376 3.336 20 30 DGEDMN c1ccc2c(c1)NCCCC2=NNC[C@H]1COc2ccccc2O1 ZINC000863199361 621646804 /nfs/dbraw/zinc/64/68/04/621646804.db2.gz XVDHHOJLQMXACR-AWEZNQCLSA-N 0 1 323.396 3.026 20 30 DGEDMN CC[C@@H]1CC[C@H](C(=O)C(C#N)c2nc3ccccc3s2)O1 ZINC000757297759 621698891 /nfs/dbraw/zinc/69/88/91/621698891.db2.gz UJSOADXKCLEALJ-NTZNESFSSA-N 0 1 300.383 3.430 20 30 DGEDMN C#CCOc1ccc(F)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000839692915 621708664 /nfs/dbraw/zinc/70/86/64/621708664.db2.gz WCFWMIQFMLVZMP-MJBXVCDLSA-N 0 1 318.392 3.038 20 30 DGEDMN CC(=NN=c1[nH]c2ccccc2n1C)c1cc(F)cc(F)c1O ZINC000757460891 621713498 /nfs/dbraw/zinc/71/34/98/621713498.db2.gz HXNGIBLMAPMGEK-UHFFFAOYSA-N 0 1 316.311 3.393 20 30 DGEDMN O=C(/C=C/c1cn(-c2ccccc2)nn1)c1cc(F)ccc1O ZINC000757468265 621714427 /nfs/dbraw/zinc/71/44/27/621714427.db2.gz PSEDENLNVLNNPJ-VQHVLOKHSA-N 0 1 309.300 3.008 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccncc2Cl)CN1Cc1ccccc1 ZINC000757584915 621726377 /nfs/dbraw/zinc/72/63/77/621726377.db2.gz SQIYPNGEHLVFEE-CYBMUJFWSA-N 0 1 314.820 3.219 20 30 DGEDMN O=c1[nH]c(N=NC=C2COc3ccccc3C2)nc2ccccc21 ZINC000757583481 621726721 /nfs/dbraw/zinc/72/67/21/621726721.db2.gz MLZYRPKVYVEOQJ-UHFFFAOYSA-N 0 1 318.336 3.209 20 30 DGEDMN C#CC[C@H](NC(=O)c1c[nH]c2ccccc2c1=O)c1ccccc1 ZINC000757926566 621747334 /nfs/dbraw/zinc/74/73/34/621747334.db2.gz YRRMVPBJSJIXHL-KRWDZBQOSA-N 0 1 316.360 3.023 20 30 DGEDMN CC(=NNc1nncc2ccccc21)c1cc([N+](=O)[O-])ccc1[O-] ZINC000758093220 621757491 /nfs/dbraw/zinc/75/74/91/621757491.db2.gz UOPBFECIVXPBTJ-UHFFFAOYSA-N 0 1 323.312 3.080 20 30 DGEDMN O=[N+]([O-])c1ccc([O-])c(/C=N/C[C@H]2CC[N@H+]2C2CCCCC2)c1 ZINC000864345046 621758149 /nfs/dbraw/zinc/75/81/49/621758149.db2.gz NGRKENDRUYVYIM-YCPFAOKUSA-N 0 1 317.389 3.126 20 30 DGEDMN N#Cc1cccn(CN2CCC[C@@H]2CCCc2ccccc2)c1=O ZINC000758241148 621770204 /nfs/dbraw/zinc/77/02/04/621770204.db2.gz RYHFEPGSQSOKHA-IBGZPJMESA-N 0 1 321.424 3.165 20 30 DGEDMN N#Cc1ccc2nc(NCc3nc4c(s3)CCCC4)[nH]c2c1 ZINC000865296544 621892635 /nfs/dbraw/zinc/89/26/35/621892635.db2.gz ZKNZPLZPANSTNT-UHFFFAOYSA-N 0 1 309.398 3.382 20 30 DGEDMN N#C[C@@H](C(=O)C[C@H](c1ccccc1)C(F)(F)F)c1ccncn1 ZINC000760346139 621933895 /nfs/dbraw/zinc/93/38/95/621933895.db2.gz OQNNZPGYDTXZGU-CHWSQXEVSA-N 0 1 319.286 3.389 20 30 DGEDMN CCCOc1ccc(C(=O)NC2=NO[C@@H](C)C2)c(OCCC)c1 ZINC000760485708 621944423 /nfs/dbraw/zinc/94/44/23/621944423.db2.gz JWRTXLFQRDQXDL-LBPRGKRZSA-N 0 1 320.389 3.116 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2ccc3c(c2)ncn3C)c(O)c1 ZINC000761205517 621994307 /nfs/dbraw/zinc/99/43/07/621994307.db2.gz OBBSIIGGJGSFGX-XBXARRHUSA-N 0 1 308.337 3.184 20 30 DGEDMN Cn1cnc2cc(C=NNc3cccc(C(F)(F)F)n3)ccc21 ZINC000761266435 621997693 /nfs/dbraw/zinc/99/76/93/621997693.db2.gz HERLCUSFOHFVEO-UHFFFAOYSA-N 0 1 319.290 3.433 20 30 DGEDMN CN(C)c1nc(=NN=C2CCCc3ccc(Cl)cc32)cc[nH]1 ZINC000761266573 621997748 /nfs/dbraw/zinc/99/77/48/621997748.db2.gz IESDQWGTFQLHEX-UHFFFAOYSA-N 0 1 315.808 3.349 20 30 DGEDMN Cc1cc(CNCc2cc3c([nH]c2=O)CCCC3)ccc1C#N ZINC000865878384 622014632 /nfs/dbraw/zinc/01/46/32/622014632.db2.gz KPROCARUWICOOJ-UHFFFAOYSA-N 0 1 307.397 3.136 20 30 DGEDMN COc1ccc(C(C)N=Nc2cnn(C)c2)c(Br)c1 ZINC000761881469 622036707 /nfs/dbraw/zinc/03/67/07/622036707.db2.gz ONMTXPUKILHZQO-UHFFFAOYSA-N 0 1 323.194 3.027 20 30 DGEDMN Cc1ccc(CC(=O)Nc2cc(C#N)ccc2O)c(Cl)c1 ZINC000762544769 622082639 /nfs/dbraw/zinc/08/26/39/622082639.db2.gz DSMUPNCFAZOZTA-UHFFFAOYSA-N 0 1 300.745 3.407 20 30 DGEDMN C=C(C)COCCN[C@H](C)c1cc(Br)ncc1F ZINC000762767021 622096831 /nfs/dbraw/zinc/09/68/31/622096831.db2.gz WHZVAZUMFRORRF-SNVBAGLBSA-N 0 1 317.202 3.227 20 30 DGEDMN N#Cc1ccccc1OCCOC(=O)c1ccc(O)c(Cl)c1 ZINC000762822099 622101641 /nfs/dbraw/zinc/10/16/41/622101641.db2.gz INJNARITJULKHZ-UHFFFAOYSA-N 0 1 317.728 3.153 20 30 DGEDMN CCC[C@@H](C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)C(C)C ZINC000763858605 622165763 /nfs/dbraw/zinc/16/57/63/622165763.db2.gz UVCIKCQEFSBMGW-CHWSQXEVSA-N 0 1 322.430 3.243 20 30 DGEDMN CCC[C@@H](C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000763858605 622165764 /nfs/dbraw/zinc/16/57/64/622165764.db2.gz UVCIKCQEFSBMGW-CHWSQXEVSA-N 0 1 322.430 3.243 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)NC1CCCCC1)c1cccs1 ZINC000121670927 622171483 /nfs/dbraw/zinc/17/14/83/622171483.db2.gz XVQHKZCSIKQMQG-YPMHNXCESA-N 0 1 304.415 3.009 20 30 DGEDMN CCCCCCCN1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000764461268 622195708 /nfs/dbraw/zinc/19/57/08/622195708.db2.gz ZMLXLCOWLDGJAT-UHFFFAOYSA-N 0 1 324.490 3.239 20 30 DGEDMN CCN(C(=O)[C@@H]1NCCc2cc(C#N)ccc21)c1cccc(C)c1 ZINC000867947064 622195939 /nfs/dbraw/zinc/19/59/39/622195939.db2.gz NWSSMZLCNZCVGB-LJQANCHMSA-N 0 1 319.408 3.107 20 30 DGEDMN C[C@H]1CC(NC(=O)c2ccccc2SCc2ccco2)=NO1 ZINC000765376816 622261782 /nfs/dbraw/zinc/26/17/82/622261782.db2.gz QKEQUWITSKRCKY-NSHDSACASA-N 0 1 316.382 3.424 20 30 DGEDMN Cc1onc(-c2ccccc2Cl)c1C(=O)NC1=NO[C@@H](C)C1 ZINC000765376879 622261831 /nfs/dbraw/zinc/26/18/31/622261831.db2.gz CGTDWVIEYYHJHC-QMMMGPOBSA-N 0 1 319.748 3.156 20 30 DGEDMN Cc1ccc(-c2ncc(C(=O)NC3=NO[C@@H](C)C3)s2)c(C)c1 ZINC000765384648 622261958 /nfs/dbraw/zinc/26/19/58/622261958.db2.gz PFAIRRPVZLKCMI-NSHDSACASA-N 0 1 315.398 3.279 20 30 DGEDMN C[C@@H]1CC(=NC(=O)c2sccc2-c2ccc(F)cc2)NO1 ZINC000765380053 622262280 /nfs/dbraw/zinc/26/22/80/622262280.db2.gz XDAIOSRCWFAXHO-SECBINFHSA-N 0 1 304.346 3.406 20 30 DGEDMN N#CCOc1ccc(CNCc2ncccc2C(F)(F)F)cc1 ZINC000765778292 622286980 /nfs/dbraw/zinc/28/69/80/622286980.db2.gz AZRYNFKBKWUFEI-UHFFFAOYSA-N 0 1 321.302 3.293 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)/C=C\c2ccc3[nH]ccc3c2)c1 ZINC000765882836 622292864 /nfs/dbraw/zinc/29/28/64/622292864.db2.gz IWUAUWXJNJMZEX-UTCJRWHESA-N 0 1 303.321 3.397 20 30 DGEDMN CC(=NNc1cc(C(F)(F)F)ccc1F)c1ccnn1C ZINC000766029799 622300855 /nfs/dbraw/zinc/30/08/55/622300855.db2.gz PFSRRONHNROLMO-UHFFFAOYSA-N 0 1 300.259 3.414 20 30 DGEDMN Cc1cc(CNc2ccccc2N2CCN(C)CC2)ccc1C#N ZINC000767681826 622415038 /nfs/dbraw/zinc/41/50/38/622415038.db2.gz BQVSNHZVGYBFJF-UHFFFAOYSA-N 0 1 320.440 3.231 20 30 DGEDMN CN(CCOC(C)(C)C)Cc1cc(Cl)ccc1OCC#N ZINC000767903611 622427256 /nfs/dbraw/zinc/42/72/56/622427256.db2.gz GXHAFPXDGZPZSV-UHFFFAOYSA-N 0 1 310.825 3.489 20 30 DGEDMN N#CC(C(=O)[C@]12CCC[C@H]1OCC2)c1ccc2ccccc2n1 ZINC000870123182 622435448 /nfs/dbraw/zinc/43/54/48/622435448.db2.gz PRQCXXSGJZNDPW-BJZITVGISA-N 0 1 306.365 3.370 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000870136362 622436386 /nfs/dbraw/zinc/43/63/86/622436386.db2.gz MJTIDIUXLTXFBX-CGYQILHKSA-N 0 1 316.788 3.279 20 30 DGEDMN Cc1cc(C(C#N)=C(O)C=CCCc2ccccc2)nc(C)n1 ZINC000870136788 622436956 /nfs/dbraw/zinc/43/69/56/622436956.db2.gz RJACZVAYWFBFRH-GJBHZFNWSA-N 0 1 305.381 3.459 20 30 DGEDMN C#CCCOc1ccc(CN2CCN(c3ccccc3)CC2)cc1 ZINC000768138531 622441426 /nfs/dbraw/zinc/44/14/26/622441426.db2.gz NSJFGARHAQZVCE-UHFFFAOYSA-N 0 1 320.436 3.411 20 30 DGEDMN N#C[C@H](C(=O)[C@@H](Cc1cccnc1)C1CCCC1)c1ccncn1 ZINC000870288764 622452393 /nfs/dbraw/zinc/45/23/93/622452393.db2.gz XNXCXVAIXOQFCB-IRXDYDNUSA-N 0 1 320.396 3.097 20 30 DGEDMN N#C[C@@H](C(=O)[C@]12CCC[C@H]1OCC2)c1nccc2ccccc21 ZINC000870314938 622455757 /nfs/dbraw/zinc/45/57/57/622455757.db2.gz WMADQMSEHOOKAE-MDZRGWNJSA-N 0 1 306.365 3.370 20 30 DGEDMN C#CCN(CC)Cc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC000769103841 622498643 /nfs/dbraw/zinc/49/86/43/622498643.db2.gz CTLMOBBCKKQMKF-UHFFFAOYSA-N 0 1 322.408 3.403 20 30 DGEDMN C#CCN(CC)Cc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000769110451 622499243 /nfs/dbraw/zinc/49/92/43/622499243.db2.gz GLYGMOWTMRIMAY-UHFFFAOYSA-N 0 1 314.341 3.319 20 30 DGEDMN Cc1cc(NN=Cc2cn(C)nc2-c2cccs2)nc(C)n1 ZINC000769571000 622541962 /nfs/dbraw/zinc/54/19/62/622541962.db2.gz WPCKEYKVBCDDNP-UHFFFAOYSA-N 0 1 312.402 3.001 20 30 DGEDMN CC(=O)CCCCCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871072716 622543552 /nfs/dbraw/zinc/54/35/52/622543552.db2.gz OQRMKCKNGUPEHI-UHFFFAOYSA-N 0 1 312.373 3.303 20 30 DGEDMN CC(C)(CC(F)(F)F)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC000871073006 622544301 /nfs/dbraw/zinc/54/43/01/622544301.db2.gz QEACEZJKHFEJRH-UHFFFAOYSA-N 0 1 310.279 3.352 20 30 DGEDMN CCn1nc(CN=Nc2cnnc3ccccc23)c2ccccc21 ZINC000769790429 622560529 /nfs/dbraw/zinc/56/05/29/622560529.db2.gz LBGXMGNRRSYQQD-UHFFFAOYSA-N 0 1 316.368 3.445 20 30 DGEDMN C#Cc1cccc(NS(=O)(=O)c2c(C)n(C)c3ccccc32)c1 ZINC000790045377 625595429 /nfs/dbraw/zinc/59/54/29/625595429.db2.gz NIHPUHYXIXJNQL-UHFFFAOYSA-N 0 1 324.405 3.269 20 30 DGEDMN CC(C)(C)OC(=O)N1C[C@@H](CCNCCC#N)c2ccccc21 ZINC000872220914 622860790 /nfs/dbraw/zinc/86/07/90/622860790.db2.gz USFVYOALSMZZHX-CQSZACIVSA-N 0 1 315.417 3.419 20 30 DGEDMN Cc1cncc(C=CC(=O)[C@H](C#N)c2nc3cccc(C)c3[nH]2)c1 ZINC000772653172 622923548 /nfs/dbraw/zinc/92/35/48/622923548.db2.gz IHPHTWFWSXSFKQ-XHPSBEMXSA-N 0 1 316.364 3.464 20 30 DGEDMN COc1cccc2c1C/C(=C\c1cc(O)ccc1[N+](=O)[O-])C2=O ZINC000772799230 622941653 /nfs/dbraw/zinc/94/16/53/622941653.db2.gz AAUZPZYRWAAFMJ-YRNVUSSQSA-N 0 1 311.293 3.131 20 30 DGEDMN Fc1cc(C=NNCCN2CCCCC2)cc(C(F)(F)F)c1 ZINC000772839921 622947339 /nfs/dbraw/zinc/94/73/39/622947339.db2.gz HYJXGZRULQOLDP-UHFFFAOYSA-N 0 1 317.330 3.254 20 30 DGEDMN N#Cc1ccc(CNC[C@@H](O)c2c(F)cccc2Cl)c(F)c1 ZINC000772920686 622954009 /nfs/dbraw/zinc/95/40/09/622954009.db2.gz INRORTYJHYHXHM-OAHLLOKOSA-N 0 1 322.742 3.313 20 30 DGEDMN C#CCOc1ccc(CN=Nc2ncccc2C)cc1OCC ZINC000790192907 625615317 /nfs/dbraw/zinc/61/53/17/625615317.db2.gz JSKLGIDGXAEUMI-UHFFFAOYSA-N 0 1 309.369 3.247 20 30 DGEDMN CC(C)c1nccnc1NN=Cc1cncc(Br)c1 ZINC000773086163 622981037 /nfs/dbraw/zinc/98/10/37/622981037.db2.gz ONONMJHTZQDJIT-UHFFFAOYSA-N 0 1 320.194 3.204 20 30 DGEDMN COc1cc(C=NN[C@H]2CCCCC2(C)C)cc([N+](=O)[O-])c1O ZINC000773126574 622988352 /nfs/dbraw/zinc/98/83/52/622988352.db2.gz UWGMWHLTSHBOQB-AWEZNQCLSA-N 0 1 321.377 3.201 20 30 DGEDMN O=C1c2ccc(F)cc2O/C1=C/c1cc(O)ccc1[N+](=O)[O-] ZINC000773171243 622995670 /nfs/dbraw/zinc/99/56/70/622995670.db2.gz IQCLXZQZEHBEKU-MKMNVTDBSA-N 0 1 301.229 3.056 20 30 DGEDMN CC[C@@H](C)NN=C(C)c1ccc(N2CCOCC2)cc1Cl ZINC000790188140 625614297 /nfs/dbraw/zinc/61/42/97/625614297.db2.gz DXSUANBMEAMRAC-GFCCVEGCSA-N 0 1 309.841 3.289 20 30 DGEDMN CC(=NNc1ncccc1C)c1cnn(Cc2ccccc2)c1 ZINC000790185905 625614470 /nfs/dbraw/zinc/61/44/70/625614470.db2.gz KUOFYPYUNKSAEM-UHFFFAOYSA-N 0 1 305.385 3.471 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CN=Nc2ncccc2C)cc1 ZINC000790189540 625614596 /nfs/dbraw/zinc/61/45/96/625614596.db2.gz AOCHIEBYYVSIKH-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN CCCc1cccc(C=NNc2ccccc2S(C)(=O)=O)c1 ZINC000774385068 623138411 /nfs/dbraw/zinc/13/84/11/623138411.db2.gz LBEOMYQJJJOSIT-UHFFFAOYSA-N 0 1 316.426 3.489 20 30 DGEDMN C#CCN([C@H]1CCc2ccccc21)[C@H]1CCCN(C(C)C)C1=O ZINC000790271059 625625682 /nfs/dbraw/zinc/62/56/82/625625682.db2.gz FWNQKQBLYGCTSO-OALUTQOASA-N 0 1 310.441 3.009 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C1(C)CC(F)(F)C1 ZINC000873495298 623159689 /nfs/dbraw/zinc/15/96/89/623159689.db2.gz INYDRAKYZBBFQI-JTQLQIEISA-N 0 1 303.312 3.185 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)NCc1ccc(F)c(F)c1 ZINC000873523480 623167105 /nfs/dbraw/zinc/16/71/05/623167105.db2.gz RJGYTBYKLCWTJM-STQMWFEESA-N 0 1 322.355 3.116 20 30 DGEDMN C[C@H]([NH2+]Cc1cc(=O)oc2cc([O-])ccc12)c1ccc(C#N)cc1 ZINC000775156377 623235870 /nfs/dbraw/zinc/23/58/70/623235870.db2.gz PAUFMBWCOPZNGA-LBPRGKRZSA-N 0 1 320.348 3.221 20 30 DGEDMN C#C[C@@H](C)N(C)CC1=Cc2cc(Br)ccc2OC1 ZINC000775184326 623239599 /nfs/dbraw/zinc/23/95/99/623239599.db2.gz VPGWXEYEPQDBBY-LLVKDONJSA-N 0 1 306.203 3.178 20 30 DGEDMN COc1ccc(-n2c(C)cc(C=NNc3nccn3C)c2C)cc1 ZINC000790305227 625632457 /nfs/dbraw/zinc/63/24/57/625632457.db2.gz FYZFQTXRWFIADZ-UHFFFAOYSA-N 0 1 323.400 3.282 20 30 DGEDMN C#C[C@H](NCc1ccc(OCCC)c(OCC)c1)[C@@H]1CCCO1 ZINC000775341318 623256289 /nfs/dbraw/zinc/25/62/89/623256289.db2.gz LKELMEGDGXTALS-IRXDYDNUSA-N 0 1 317.429 3.145 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C)c1ccccc1 ZINC000775398809 623267873 /nfs/dbraw/zinc/26/78/73/623267873.db2.gz MESBPGUXKIFRDL-DKKFBQAASA-N 0 1 312.457 3.282 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000775399048 623268036 /nfs/dbraw/zinc/26/80/36/623268036.db2.gz FIYDXXGKGXAURV-BEFAXECRSA-N 0 1 324.399 3.357 20 30 DGEDMN C#CC[C@@H](NCC(=O)Nc1cc(C)ccc1OC)c1ccccc1 ZINC000775403811 623269872 /nfs/dbraw/zinc/26/98/72/623269872.db2.gz JIELKEYVXWRXBO-QGZVFWFLSA-N 0 1 322.408 3.296 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(Br)cc1)[C@H]1CCCO1 ZINC000775573597 623291475 /nfs/dbraw/zinc/29/14/75/623291475.db2.gz ICYCVDBEAMJHAU-TUKIKUTGSA-N 0 1 308.219 3.281 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1c(O)cccc1Cl ZINC000775940236 623354761 /nfs/dbraw/zinc/35/47/61/623354761.db2.gz DQBHASPDPALZMB-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCc2c1cccc2Cl ZINC000776088513 623374481 /nfs/dbraw/zinc/37/44/81/623374481.db2.gz KKCYAUFHSRBXAE-BBRMVZONSA-N 0 1 317.816 3.011 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000874900765 623387094 /nfs/dbraw/zinc/38/70/94/623387094.db2.gz UIOHNJBPTPOJOX-FRRDWIJNSA-N 0 1 303.381 3.206 20 30 DGEDMN CCC(=NNc1ccccc1C(=O)OC)c1c(C)nn(C)c1C ZINC000776187052 623392490 /nfs/dbraw/zinc/39/24/90/623392490.db2.gz FLQGKUJKCGZZPO-UHFFFAOYSA-N 0 1 314.389 3.050 20 30 DGEDMN Cc1ccc(NC(=O)c2ccc(C#N)c(O)c2)cc1N1CCCC1 ZINC000874943521 623398101 /nfs/dbraw/zinc/39/81/01/623398101.db2.gz CBGUEPAHIILJKM-UHFFFAOYSA-N 0 1 321.380 3.425 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)C1 ZINC000776517834 623437651 /nfs/dbraw/zinc/43/76/51/623437651.db2.gz SXTAJCDSMFAZHV-UHIISALHSA-N 0 1 304.777 3.424 20 30 DGEDMN N#Cc1ccc(C(=O)/C=C/c2cc(O)ccc2[N+](=O)[O-])cc1F ZINC000776728895 623456022 /nfs/dbraw/zinc/45/60/22/623456022.db2.gz OXEGTJGDHSZGGK-ZZXKWVIFSA-N 0 1 312.256 3.207 20 30 DGEDMN C[C@H](NCc1cccc2c1OCCO2)c1ccc(C#N)c(F)c1 ZINC000776744698 623458929 /nfs/dbraw/zinc/45/89/29/623458929.db2.gz BGYXTJBCIPXFNZ-LBPRGKRZSA-N 0 1 312.344 3.319 20 30 DGEDMN CN(CC#Cc1ccc(F)cc1)CCCNC(=O)OC(C)(C)C ZINC000125576819 623490738 /nfs/dbraw/zinc/49/07/38/623490738.db2.gz ZUFNDQGKZDVHAG-UHFFFAOYSA-N 0 1 320.408 3.024 20 30 DGEDMN Cc1cc(C#N)ccc1COC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000777057101 623491628 /nfs/dbraw/zinc/49/16/28/623491628.db2.gz RKWDQCQYOJHMTH-KKUMJFAQSA-N 0 1 300.402 3.171 20 30 DGEDMN CC[C@@H](C(=O)OCc1ccc(C2(C#N)CC2)cc1)N(CC)CC ZINC000777145955 623500103 /nfs/dbraw/zinc/50/01/03/623500103.db2.gz LDJHBZPQCXCGGO-KRWDZBQOSA-N 0 1 314.429 3.405 20 30 DGEDMN Oc1c(Br)cccc1C=NOCCC(F)(F)F ZINC000777462930 623529327 /nfs/dbraw/zinc/52/93/27/623529327.db2.gz SBAPUEOFACZRJF-UHFFFAOYSA-N 0 1 312.085 3.458 20 30 DGEDMN Clc1ccnc(NN=Cc2cccc(Br)n2)c1 ZINC000777905365 623583629 /nfs/dbraw/zinc/58/36/29/623583629.db2.gz IDHZBSJPEXGVOK-UHFFFAOYSA-N 0 1 311.570 3.339 20 30 DGEDMN O=C(NC1CC1)c1ccc(C=NNc2cc(Cl)ccn2)cc1 ZINC000777905222 623583772 /nfs/dbraw/zinc/58/37/72/623583772.db2.gz BOOXOSKWWDIPAJ-UHFFFAOYSA-N 0 1 314.776 3.073 20 30 DGEDMN COc1cc(CN=Nc2cc(Cl)ccn2)ccc1[N+](=O)[O-] ZINC000777905993 623583909 /nfs/dbraw/zinc/58/39/09/623583909.db2.gz RFBAUYMBIAEYAV-UHFFFAOYSA-N 0 1 306.709 3.098 20 30 DGEDMN CC/C=C(/C)C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000778111557 623612155 /nfs/dbraw/zinc/61/21/55/623612155.db2.gz UJLMRHHGROWFIB-APSNUPSMSA-N 0 1 311.429 3.017 20 30 DGEDMN C[C@H](C#N)CN(C)C[C@H]1CCCCN(C(=O)OC(C)(C)C)C1 ZINC000876617773 623661470 /nfs/dbraw/zinc/66/14/70/623661470.db2.gz UWUMWYIWOJLXAH-HUUCEWRRSA-N 0 1 309.454 3.115 20 30 DGEDMN CC(=NNc1ccccn1)c1cc2c(s1)SCC(=O)N2 ZINC000778538300 623665235 /nfs/dbraw/zinc/66/52/35/623665235.db2.gz IODXGORPQUUSRY-UHFFFAOYSA-N 0 1 304.400 3.023 20 30 DGEDMN Nc1nc(Cl)cc(NN=Cc2cccc(Cl)c2Cl)n1 ZINC000778549235 623667619 /nfs/dbraw/zinc/66/76/19/623667619.db2.gz WFSVPTCMGRNFHP-UHFFFAOYSA-N 0 1 316.579 3.465 20 30 DGEDMN C=CC1CCN(C(=O)[C@@H](N)c2cccc(C(F)(F)F)c2)CC1 ZINC000876656914 623672196 /nfs/dbraw/zinc/67/21/96/623672196.db2.gz JBXUZHBLGZVKOS-AWEZNQCLSA-N 0 1 312.335 3.130 20 30 DGEDMN CC[C@H](C(=O)OC[C@H](C#N)Cc1ccc(F)cc1)N(CC)CC ZINC000778618879 623677506 /nfs/dbraw/zinc/67/75/06/623677506.db2.gz BWVFCQPHSGXZKS-DOTOQJQBSA-N 0 1 320.408 3.172 20 30 DGEDMN C[C@@H](CC1(C#N)CCOCC1)Nc1ccc(CN(C)C)c(F)c1 ZINC000876870189 623733757 /nfs/dbraw/zinc/73/37/57/623733757.db2.gz ZUYJIMWISHXLSL-AWEZNQCLSA-N 0 1 319.424 3.398 20 30 DGEDMN C[C@H](COc1cccc(F)c1)NCc1cc(C#N)cnc1Cl ZINC000876958051 623754823 /nfs/dbraw/zinc/75/48/23/623754823.db2.gz PODYEDNNDCOODN-LLVKDONJSA-N 0 1 319.767 3.303 20 30 DGEDMN CCO[C@@H]1C[C@@H](NCc2cc(C#N)cnc2Cl)C12CCC2 ZINC000877043375 623774673 /nfs/dbraw/zinc/77/46/73/623774673.db2.gz HLMRYRPYEOKBKN-ZIAGYGMSSA-N 0 1 305.809 3.044 20 30 DGEDMN c1[nH]nc2ccc(N=NC3CCN(Cc4ccccc4)C3)cc12 ZINC000779818272 623814520 /nfs/dbraw/zinc/81/45/20/623814520.db2.gz KELPSWUEKBLYBZ-UHFFFAOYSA-N 0 1 305.385 3.237 20 30 DGEDMN COc1ccc(N=NCc2cccc(OCCCC#N)c2)nc1 ZINC000780267286 623900454 /nfs/dbraw/zinc/90/04/54/623900454.db2.gz JJJIBNIURHQZNM-UHFFFAOYSA-N 0 1 310.357 3.219 20 30 DGEDMN CCOCc1cc(CN=Nc2ccc(OC)cn2)ccc1OC ZINC000780268369 623900570 /nfs/dbraw/zinc/90/05/70/623900570.db2.gz HYCVNZSQCBFNLA-UHFFFAOYSA-N 0 1 315.373 3.081 20 30 DGEDMN COc1ccc(N=NC2C[C@@H](C)N(Cc3ccccc3)C2)nc1 ZINC000780268046 623900643 /nfs/dbraw/zinc/90/06/43/623900643.db2.gz PKKHSCCKISFDLW-CQSZACIVSA-N 0 1 310.401 3.153 20 30 DGEDMN CCO[C@@H](CNCc1cc(C#N)cnc1Cl)c1ccccc1 ZINC000877481808 623903207 /nfs/dbraw/zinc/90/32/07/623903207.db2.gz SWZDLUWWGFKGRV-INIZCTEOSA-N 0 1 315.804 3.474 20 30 DGEDMN C[C@@H]1CN(Cc2ccccc2)CC1N=Nc1ncncc1Cl ZINC000780280510 623903558 /nfs/dbraw/zinc/90/35/58/623903558.db2.gz XSBZZZZQSRCULQ-GFCCVEGCSA-N 0 1 315.808 3.050 20 30 DGEDMN Cc1cc(C)cc([C@H](O)CNCc2cc(C#N)cnc2Cl)c1 ZINC000877503103 623915309 /nfs/dbraw/zinc/91/53/09/623915309.db2.gz BATBAGDLUVAXLS-MRXNPFEDSA-N 0 1 315.804 3.047 20 30 DGEDMN C[C@H](CC1(C#N)CCOCC1)NCc1cc(F)cnc1Cl ZINC000877556138 623940102 /nfs/dbraw/zinc/94/01/02/623940102.db2.gz MZCOQCCSCQFFFU-LLVKDONJSA-N 0 1 311.788 3.063 20 30 DGEDMN CC1(C)CCc2cc(CNCc3ccc(C#N)cn3)ccc2O1 ZINC000780556492 623951075 /nfs/dbraw/zinc/95/10/75/623951075.db2.gz YXYOVYQFXADCIW-UHFFFAOYSA-N 0 1 307.397 3.347 20 30 DGEDMN N#Cc1ccc(CNCc2ccc(OC(F)(F)F)cc2)nc1 ZINC000780556758 623951341 /nfs/dbraw/zinc/95/13/41/623951341.db2.gz QLGCSAXLYBZQCI-UHFFFAOYSA-N 0 1 307.275 3.142 20 30 DGEDMN CO[C@H]1c2ccccc2C[C@H]1NCc1cc(C#N)cnc1Cl ZINC000877587436 623952416 /nfs/dbraw/zinc/95/24/16/623952416.db2.gz LHAFVUWRXQIMEN-CVEARBPZSA-N 0 1 313.788 3.009 20 30 DGEDMN CN(Cc1ccc(C(F)F)nc1)C[C@@H](O)c1cccc(C#N)c1 ZINC000877609974 623960747 /nfs/dbraw/zinc/96/07/47/623960747.db2.gz PCJFOYHBILFJDR-MRXNPFEDSA-N 0 1 317.339 3.056 20 30 DGEDMN C[C@H](NCc1cccnc1-n1ccnc1)c1ccc(C#N)cc1F ZINC000781420989 624069009 /nfs/dbraw/zinc/06/90/09/624069009.db2.gz NMYPBWGDOUQTIL-ZDUSSCGKSA-N 0 1 321.359 3.129 20 30 DGEDMN COc1cc(C)c(C=NN=c2[nH]c3ccccc3n2C)c(C)n1 ZINC000781589852 624098823 /nfs/dbraw/zinc/09/88/23/624098823.db2.gz NNGIFXFBFDJJBB-UHFFFAOYSA-N 0 1 309.373 3.040 20 30 DGEDMN CCCCCCCCC[N@@H+](C)Cc1nc2c(c(=O)[nH]1)COCC2 ZINC000877990278 624127983 /nfs/dbraw/zinc/12/79/83/624127983.db2.gz PTYMDNSHFOQPTA-UHFFFAOYSA-N 0 1 321.465 3.437 20 30 DGEDMN CCCCCCCCCN(C)Cc1nc2c(c(=O)[nH]1)COCC2 ZINC000877990278 624127985 /nfs/dbraw/zinc/12/79/85/624127985.db2.gz PTYMDNSHFOQPTA-UHFFFAOYSA-N 0 1 321.465 3.437 20 30 DGEDMN CN(C(=O)OC(C)(C)C)[C@@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000878032269 624142570 /nfs/dbraw/zinc/14/25/70/624142570.db2.gz FGJIEVBAVGPLLO-CQSZACIVSA-N 0 1 307.438 3.012 20 30 DGEDMN CC(=O)[C@](C#N)(CCN1CCSC(C)(C)C1)c1ccccc1 ZINC000878175995 624202307 /nfs/dbraw/zinc/20/23/07/624202307.db2.gz AGZBEQQJUQWGLK-GOSISDBHSA-N 0 1 316.470 3.254 20 30 DGEDMN C=C/C=C\CCN1CCN(c2oc(-c3ccco3)nc2C#N)CC1 ZINC000878208801 624216940 /nfs/dbraw/zinc/21/69/40/624216940.db2.gz YDSILGBQZNTYGS-ARJAWSKDSA-N 0 1 324.384 3.061 20 30 DGEDMN CC(=[NH+]Nc1cccc(C(=O)[O-])c1)c1ccc(N)cc1Cl ZINC000782893388 624288642 /nfs/dbraw/zinc/28/86/42/624288642.db2.gz HOQPWGPYTKRGFX-UHFFFAOYSA-N 0 1 303.749 3.457 20 30 DGEDMN CO[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000783020622 624301161 /nfs/dbraw/zinc/30/11/61/624301161.db2.gz LIDIAGGFZCDRGT-OAHLLOKOSA-N 0 1 308.809 3.243 20 30 DGEDMN C#CCN(Cc1ccccc1)C[C@@H]1CCC2(CCOCC2)CO1 ZINC000878612799 624321876 /nfs/dbraw/zinc/32/18/76/624321876.db2.gz ASMWHJSPRPGMCH-IBGZPJMESA-N 0 1 313.441 3.098 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3CCC(=O)[C@H](C)C3)[nH]c21 ZINC000783385495 624342995 /nfs/dbraw/zinc/34/29/95/624342995.db2.gz JDKNIMFAZFZFLM-JHJVBQTASA-N 0 1 309.369 3.053 20 30 DGEDMN CN(Cc1cc(C(F)(F)F)co1)C[C@@H](O)c1cccc(C#N)c1 ZINC000878802073 624362762 /nfs/dbraw/zinc/36/27/62/624362762.db2.gz AOZFXDBEEBXHHQ-OAHLLOKOSA-N 0 1 324.302 3.335 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)O[C@H](C)[C@H]2C)c1O ZINC000783540623 624363639 /nfs/dbraw/zinc/36/36/39/624363639.db2.gz FLJMHBJAWKPDNL-BFHYXJOUSA-N 0 1 305.418 3.127 20 30 DGEDMN Cc1ccc(F)cc1CON=C(N)c1ccc(N(C)C)cc1 ZINC000783553811 624365213 /nfs/dbraw/zinc/36/52/13/624365213.db2.gz PMURDEMREULXHW-UHFFFAOYSA-N 0 1 301.365 3.037 20 30 DGEDMN Cc1cc(COC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)ccc1C#N ZINC000783781590 624396359 /nfs/dbraw/zinc/39/63/59/624396359.db2.gz YSIPKSNQDSIBKG-ZNMIVQPWSA-N 0 1 300.402 3.171 20 30 DGEDMN Cc1cc(COC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)ccc1C#N ZINC000783781588 624396376 /nfs/dbraw/zinc/39/63/76/624396376.db2.gz YSIPKSNQDSIBKG-QLFBSQMISA-N 0 1 300.402 3.171 20 30 DGEDMN Cc1nc(Cl)cc(NN=Cc2cc(-n3ccnc3)cs2)n1 ZINC000783849418 624400724 /nfs/dbraw/zinc/40/07/24/624400724.db2.gz LGXMCTOZFMBAFT-UHFFFAOYSA-N 0 1 318.793 3.132 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@@H]1CCCCN1C ZINC000783874137 624403691 /nfs/dbraw/zinc/40/36/91/624403691.db2.gz WJGHEMDOKONZKI-KRWDZBQOSA-N 0 1 302.418 3.007 20 30 DGEDMN N#Cc1cc(F)ccc1C[N@@H+]1CCC[C@@H]1[C@H](O)c1ccccc1 ZINC000879239992 624457690 /nfs/dbraw/zinc/45/76/90/624457690.db2.gz CMJNHPYYAFJEPG-RTBURBONSA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H]1[C@H](O)c1ccccc1 ZINC000879239992 624457691 /nfs/dbraw/zinc/45/76/91/624457691.db2.gz CMJNHPYYAFJEPG-RTBURBONSA-N 0 1 310.372 3.395 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCC[C@@H]1[C@H](O)c1ccccc1 ZINC000879244148 624459266 /nfs/dbraw/zinc/45/92/66/624459266.db2.gz NYWXMOPGKRIMIF-RTBURBONSA-N 0 1 310.372 3.395 20 30 DGEDMN C[C@@H](OC(=O)c1cccc(-c2nnc[nH]2)c1)c1cccc(C#N)c1 ZINC000784473814 624529877 /nfs/dbraw/zinc/52/98/77/624529877.db2.gz YPMITHDRHJJLSV-GFCCVEGCSA-N 0 1 318.336 3.261 20 30 DGEDMN CC(C)[C@@H](F)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000784490579 624533547 /nfs/dbraw/zinc/53/35/47/624533547.db2.gz HBFKEVJYXAFAEU-GOEBONIOSA-N 0 1 312.344 3.481 20 30 DGEDMN N#CC(C(=O)Cc1cc(Cl)cc2c1OCC2)c1ccccn1 ZINC000784490962 624533663 /nfs/dbraw/zinc/53/36/63/624533663.db2.gz MVOSEWISROKWFJ-AWEZNQCLSA-N 0 1 312.756 3.089 20 30 DGEDMN CC[C@@H](C)CCCCC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000784833252 624585903 /nfs/dbraw/zinc/58/59/03/624585903.db2.gz MFZCHAMYVVREMA-ZIAGYGMSSA-N 0 1 301.390 3.335 20 30 DGEDMN CC(C)(C)c1csc(CC2(O)CCN(CCCC#N)CC2)n1 ZINC000879613508 624607703 /nfs/dbraw/zinc/60/77/03/624607703.db2.gz KTGQAQSSCKDRLT-UHFFFAOYSA-N 0 1 321.490 3.114 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc(O)c3ccccc23)cc1O ZINC000785403630 624661893 /nfs/dbraw/zinc/66/18/93/624661893.db2.gz LAGWKZWQPPUSQT-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN C#CCOc1cccc(-c2noc(CN3[C@@H](C)CC[C@@H]3C)n2)c1 ZINC000785586053 624691886 /nfs/dbraw/zinc/69/18/86/624691886.db2.gz VDDKUYWKDKJDHX-KBPBESRZSA-N 0 1 311.385 3.121 20 30 DGEDMN C#CCOc1cccc(-c2noc(CN3[C@H](C)CC[C@H]3C)n2)c1 ZINC000785586057 624692105 /nfs/dbraw/zinc/69/21/05/624692105.db2.gz VDDKUYWKDKJDHX-ZIAGYGMSSA-N 0 1 311.385 3.121 20 30 DGEDMN C[C@@H]1CC[C@H](C)N1CC(=O)OCC#Cc1cccc(Cl)c1 ZINC000785744790 624722404 /nfs/dbraw/zinc/72/24/04/624722404.db2.gz WPKBNOJPFLMPBU-OKILXGFUSA-N 0 1 305.805 3.108 20 30 DGEDMN N#Cc1ccccc1C=CC(=O)Nc1nc2ccc(F)cc2[nH]1 ZINC000785877911 624747912 /nfs/dbraw/zinc/74/79/12/624747912.db2.gz XCCQNZKHCMBZQB-YVMONPNESA-N 0 1 306.300 3.226 20 30 DGEDMN C#CCCOc1ccc(CN2CC(C)(C)OC(C)(C)C2)cc1 ZINC000785987709 624774717 /nfs/dbraw/zinc/77/47/17/624774717.db2.gz BDZXUYGQAJKBTK-UHFFFAOYSA-N 0 1 301.430 3.478 20 30 DGEDMN COc1cc2c(cc1OC)[C@@H](C)N(CC1(CC#N)CC1)CC2 ZINC000880089365 624781975 /nfs/dbraw/zinc/78/19/75/624781975.db2.gz BKUOSIJXZCAJRB-CYBMUJFWSA-N 0 1 300.402 3.317 20 30 DGEDMN COCC1(N(C)Cc2ccc(C#N)cc2OC(F)F)CCC1 ZINC000880188317 624827849 /nfs/dbraw/zinc/82/78/49/624827849.db2.gz ZIGNAWOBGPNOBS-UHFFFAOYSA-N 0 1 310.344 3.161 20 30 DGEDMN CCCC(C)(C)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000786304912 624846304 /nfs/dbraw/zinc/84/63/04/624846304.db2.gz WOHQOWSHASUCEG-HNNXBMFYSA-N 0 1 300.402 3.228 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCC[C@H](CF)C1 ZINC000880235654 624859795 /nfs/dbraw/zinc/85/97/95/624859795.db2.gz PGUWYZNKYBAWFP-HZPDHXFCSA-N 0 1 317.408 3.003 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000786535297 624913296 /nfs/dbraw/zinc/91/32/96/624913296.db2.gz PWQJNGZFMVJYMH-YWPYICTPSA-N 0 1 320.820 3.347 20 30 DGEDMN CCC[C@H](C)CC(=O)C(C#N)C(=O)NCc1cccc(C)c1 ZINC000786548950 624918437 /nfs/dbraw/zinc/91/84/37/624918437.db2.gz CWMKDGXYRHDACK-XJKSGUPXSA-N 0 1 300.402 3.146 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@H]2CC[C@@H](C)C2)c1 ZINC000786552503 624920301 /nfs/dbraw/zinc/92/03/01/624920301.db2.gz NAXNMVGULDJFBH-HLLBOEOZSA-N 0 1 312.413 3.146 20 30 DGEDMN CCCCCCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000786554261 624920344 /nfs/dbraw/zinc/92/03/44/624920344.db2.gz LXMPUIRYQYFAQH-CQSZACIVSA-N 0 1 322.355 3.260 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C[C@@H]2CC[C@H](C)C2)c1 ZINC000786552505 624920488 /nfs/dbraw/zinc/92/04/88/624920488.db2.gz NAXNMVGULDJFBH-ZMSDIMECSA-N 0 1 312.413 3.146 20 30 DGEDMN C#CCC1(O)CCN(Cc2cc(-c3ccc(C)cc3)no2)CC1 ZINC000880477033 624965499 /nfs/dbraw/zinc/96/54/99/624965499.db2.gz AQVGXHAFDSIOCX-UHFFFAOYSA-N 0 1 310.397 3.000 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@@H]1CC12CCC2)c1ccccc1 ZINC000787031836 624972620 /nfs/dbraw/zinc/97/26/20/624972620.db2.gz NLCOIJWDESEYKB-JYJNAYRXSA-N 0 1 310.397 3.153 20 30 DGEDMN COc1ccc(N=NC(C)c2ccc(C#N)cc2Cl)nc1 ZINC000788441948 625174527 /nfs/dbraw/zinc/17/45/27/625174527.db2.gz YEWISETYZWBEPF-UHFFFAOYSA-N 0 1 300.749 3.451 20 30 DGEDMN C#C[C@H](N[C@H]1CC(C)(C)c2ccc([N+](=O)[O-])cc21)[C@@H]1CCCO1 ZINC000788601458 625225135 /nfs/dbraw/zinc/22/51/35/625225135.db2.gz QWNUHJLSXSYPPU-ULQDDVLXSA-N 0 1 314.385 3.088 20 30 DGEDMN CCc1cccc(Cl)c1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000788722174 625249887 /nfs/dbraw/zinc/24/98/87/625249887.db2.gz MBSBSNOGSWIZNQ-GJZGRUSLSA-N 0 1 304.825 3.096 20 30 DGEDMN CCc1cccc(Cl)c1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000788722175 625250644 /nfs/dbraw/zinc/25/06/44/625250644.db2.gz MBSBSNOGSWIZNQ-HUUCEWRRSA-N 0 1 304.825 3.096 20 30 DGEDMN CC[C@H](c1ccc(F)cc1)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000791052225 625744272 /nfs/dbraw/zinc/74/42/72/625744272.db2.gz TUUSBKSJMHNZEX-LJQANCHMSA-N 0 1 324.403 3.366 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C[C@@H](O)c1ccccc1 ZINC000791468871 625807930 /nfs/dbraw/zinc/80/79/30/625807930.db2.gz WRWLVNNTGSAOKS-VAMGGRTRSA-N 0 1 306.409 3.492 20 30 DGEDMN C[C@@H]1CCC[C@@H](OCC(=O)C(C#N)c2cnc3ccccc3n2)C1 ZINC000792108271 625910132 /nfs/dbraw/zinc/91/01/32/625910132.db2.gz CISOUAAERVPSMN-RBSFLKMASA-N 0 1 323.396 3.401 20 30 DGEDMN C=CCC[C@H](NCc1csc([C@@H](C)OC)n1)[C@H]1CCCO1 ZINC000883195851 625966099 /nfs/dbraw/zinc/96/60/99/625966099.db2.gz DOBGTVXRXSWYAE-VHDGCEQUSA-N 0 1 310.463 3.454 20 30 DGEDMN C#CCOc1cccc(Cl)c1CNCCC(C)(C)C(=O)OC ZINC000796453428 626052000 /nfs/dbraw/zinc/05/20/00/626052000.db2.gz HFDQHPAWNPADJO-UHFFFAOYSA-N 0 1 323.820 3.031 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)[C@@H]1CC[C@H](C(F)(F)F)C1 ZINC000796787376 626082670 /nfs/dbraw/zinc/08/26/70/626082670.db2.gz GNLKOFXVPPGPHY-MDZLAQPJSA-N 0 1 324.302 3.313 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)[C@@H]1CC[C@@H](C(F)(F)F)C1 ZINC000796787377 626082986 /nfs/dbraw/zinc/08/29/86/626082986.db2.gz GNLKOFXVPPGPHY-WZRBSPASSA-N 0 1 324.302 3.313 20 30 DGEDMN CC#CCCOC(=O)[C@@H]1CN(Cc2ccccc2)CCC1(F)F ZINC000797252661 626132107 /nfs/dbraw/zinc/13/21/07/626132107.db2.gz HRBVTSACFSGSBC-INIZCTEOSA-N 0 1 321.367 3.100 20 30 DGEDMN C=CCOCCOC(=O)c1[nH]c(-c2cccc(C)c2)nc1C ZINC000797305609 626135066 /nfs/dbraw/zinc/13/50/66/626135066.db2.gz DALGLXAWASKTGU-UHFFFAOYSA-N 0 1 300.358 3.053 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC1CN(C2CC2)C[C@H]1C ZINC000797405411 626140900 /nfs/dbraw/zinc/14/09/00/626140900.db2.gz VCFLMTMCYUITQL-SNVBAGLBSA-N 0 1 307.829 3.135 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=Cc2ccncn2)s1 ZINC000797571441 626153822 /nfs/dbraw/zinc/15/38/22/626153822.db2.gz RMRVMWLMLDPPLR-ITKZLYELSA-N 0 1 312.398 3.120 20 30 DGEDMN C[C@@H](OCC1CC1)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797570075 626153936 /nfs/dbraw/zinc/15/39/36/626153936.db2.gz CFOJLDBKYLFQTN-ZYHUDNBSSA-N 0 1 306.431 3.432 20 30 DGEDMN CC(C)(C)c1cnc([C@@H](C#N)C(=O)CC2CCOCC2)s1 ZINC000797570077 626154157 /nfs/dbraw/zinc/15/41/57/626154157.db2.gz CGIAWOUIHRXUJC-LBPRGKRZSA-N 0 1 306.431 3.434 20 30 DGEDMN CCOCCO[C@H](C)C(=O)C(C#N)c1ncc(C(C)(C)C)s1 ZINC000797572188 626154483 /nfs/dbraw/zinc/15/44/83/626154483.db2.gz ZZYRSVPZNCFJQC-VXGBXAGGSA-N 0 1 324.446 3.058 20 30 DGEDMN N#CC(C(=O)C[C@H]1CCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000797942008 626183020 /nfs/dbraw/zinc/18/30/20/626183020.db2.gz JMMCGGGZMJODBD-NEPJUHHUSA-N 0 1 322.359 3.006 20 30 DGEDMN Cc1nn(C(C)C)cc1C=NNc1ccc(Br)cn1 ZINC000799112675 626273099 /nfs/dbraw/zinc/27/30/99/626273099.db2.gz USJUOGAINOVOKM-UHFFFAOYSA-N 0 1 322.210 3.376 20 30 DGEDMN Cc1ccc(C(=O)NC[C@H](c2ccco2)N2CCCC2)cc1C#N ZINC000799297726 626285022 /nfs/dbraw/zinc/28/50/22/626285022.db2.gz PQKWXSMPYKOIJZ-QGZVFWFLSA-N 0 1 323.396 3.027 20 30 DGEDMN COc1cc(CNc2ccc(CN(C)C)c(F)c2)ccc1C#N ZINC000799339276 626292061 /nfs/dbraw/zinc/29/20/61/626292061.db2.gz KVUBKIPKECCFPR-UHFFFAOYSA-N 0 1 313.376 3.380 20 30 DGEDMN FC(F)(F)c1cc(C=NNc2ccc(C(F)(F)F)cn2)[nH]n1 ZINC000799467206 626303370 /nfs/dbraw/zinc/30/33/70/626303370.db2.gz GZEWERWTZNDQRB-UHFFFAOYSA-N 0 1 323.200 3.288 20 30 DGEDMN FC(F)(F)c1cc(CN=Nc2ccc(C(F)(F)F)cn2)n[nH]1 ZINC000799467206 626303371 /nfs/dbraw/zinc/30/33/71/626303371.db2.gz GZEWERWTZNDQRB-UHFFFAOYSA-N 0 1 323.200 3.288 20 30 DGEDMN CCc1cccc(C)c1[N-][NH+]=C1c2ccc(N(C)C)cc2NC1=O ZINC000799493671 626305344 /nfs/dbraw/zinc/30/53/44/626305344.db2.gz KXUXABFKBOLXPX-UHFFFAOYSA-N 0 1 322.412 3.392 20 30 DGEDMN CCCCCCCCC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC000839884536 626317017 /nfs/dbraw/zinc/31/70/17/626317017.db2.gz KLEKFQCQKAHKFJ-UHFFFAOYSA-N 0 1 315.421 3.234 20 30 DGEDMN COc1cc(/C=C\C(=O)c2cc(C)c(C)o2)cc([N+](=O)[O-])c1O ZINC000799896673 626334463 /nfs/dbraw/zinc/33/44/63/626334463.db2.gz KADLSQLILRHLSQ-PLNGDYQASA-N 0 1 317.297 3.415 20 30 DGEDMN CCCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000800387913 626355437 /nfs/dbraw/zinc/35/54/37/626355437.db2.gz WUJJXDLQYUVGNO-CHWSQXEVSA-N 0 1 302.374 3.169 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)OC(C)(C)C)C1 ZINC000800485139 626364238 /nfs/dbraw/zinc/36/42/38/626364238.db2.gz FBMXVKQCXLMTAX-JKSUJKDBSA-N 0 1 302.418 3.165 20 30 DGEDMN COC(=O)c1c(F)cccc1NN=C1CCc2c1cccc2O ZINC000800816657 626376635 /nfs/dbraw/zinc/37/66/35/626376635.db2.gz GPUCDFQKEYTJTA-UHFFFAOYSA-N 0 1 314.316 3.080 20 30 DGEDMN CCCc1ccc(CN2CCN(c3ccc(C#N)nc3)CC2)cc1 ZINC000801552523 626409614 /nfs/dbraw/zinc/40/96/14/626409614.db2.gz CYWVBDYJMPWBIQ-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN COc1cccc(C=C2CCN(CCO[C@H](C)C#N)CC2)c1 ZINC000801927584 626426112 /nfs/dbraw/zinc/42/61/12/626426112.db2.gz VTENYKFMOUEMEY-OAHLLOKOSA-N 0 1 300.402 3.103 20 30 DGEDMN CC[C@H](NCCO[C@H](C)C#N)c1nc(C(F)(F)F)cs1 ZINC000801939558 626426936 /nfs/dbraw/zinc/42/69/36/626426936.db2.gz SNEQMQUKBGZMSM-BDAKNGLRSA-N 0 1 307.341 3.131 20 30 DGEDMN C[C@@H](C#N)OCCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000801937214 626427051 /nfs/dbraw/zinc/42/70/51/626427051.db2.gz HOOSJADBJAEQHS-GWCFXTLKSA-N 0 1 304.287 3.337 20 30 DGEDMN Cc1ccc(C=NNc2ccc(F)cn2)c(-c2cnn(C)c2)c1 ZINC000802710930 626567185 /nfs/dbraw/zinc/56/71/85/626567185.db2.gz FGQWCYDTFRPXFP-UHFFFAOYSA-N 0 1 309.348 3.376 20 30 DGEDMN CC[C@H](C)CCC(=O)C(C#N)C(=O)NCc1ccc(F)cc1F ZINC000802797107 626573410 /nfs/dbraw/zinc/57/34/10/626573410.db2.gz OUYDPVFFHSONJH-SMDDNHRTSA-N 0 1 322.355 3.116 20 30 DGEDMN CC(C)CN(Cc1ccc(C#N)cc1)CN1C[C@H](C)[C@@H](C)C1=O ZINC000839979169 626573779 /nfs/dbraw/zinc/57/37/79/626573779.db2.gz AEBSGYROHXJZST-JKSUJKDBSA-N 0 1 313.445 3.088 20 30 DGEDMN C=CCC[C@@H](NCc1nnc(C)s1)[C@H]1Cc2ccccc2O1 ZINC000883215922 626612139 /nfs/dbraw/zinc/61/21/39/626612139.db2.gz IRTNWVMZSGUYDP-GDBMZVCRSA-N 0 1 315.442 3.275 20 30 DGEDMN C=C(C)C[C@H](CO)[NH2+][C@@H](C)c1ccc(Br)cc1[O-] ZINC000883392001 626671282 /nfs/dbraw/zinc/67/12/82/626671282.db2.gz YAKADKKQINPCDN-CMPLNLGQSA-N 0 1 314.223 3.132 20 30 DGEDMN C=C(C)CN1CCC(Nc2ccc([N+](=O)[O-])cc2C(C)=O)CC1 ZINC000804438275 626679788 /nfs/dbraw/zinc/67/97/88/626679788.db2.gz MNQHCDWNDJAOAY-UHFFFAOYSA-N 0 1 317.389 3.250 20 30 DGEDMN C#C[C@@H](NC(=O)[C@@H](c1ccccc1)N(CC)CC)C(C)(C)C ZINC000807879789 626774386 /nfs/dbraw/zinc/77/43/86/626774386.db2.gz KKEQDFOAQKTNDI-IAGOWNOFSA-N 0 1 300.446 3.234 20 30 DGEDMN CC(N=Nc1cc(F)c(F)c(F)c1)c1cn2c(n1)CCCC2 ZINC000807974617 626781392 /nfs/dbraw/zinc/78/13/92/626781392.db2.gz MZZLPCRVTSEJBI-UHFFFAOYSA-N 0 1 308.307 3.473 20 30 DGEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1c(F)cccc1Cl ZINC000807979253 626782005 /nfs/dbraw/zinc/78/20/05/626782005.db2.gz OQLPIAODVIZLGG-CHWSQXEVSA-N 0 1 308.788 3.063 20 30 DGEDMN COc1cccc2c(C(=O)O[C@@H](C)c3ccc(C#N)cc3)[nH]nc21 ZINC000808675434 626848257 /nfs/dbraw/zinc/84/82/57/626848257.db2.gz GPLRWJUVFRISFW-NSHDSACASA-N 0 1 321.336 3.361 20 30 DGEDMN C#CC[C@@H](NCc1cnnn1-c1ccccc1)c1ccccc1 ZINC000808743347 626857925 /nfs/dbraw/zinc/85/79/25/626857925.db2.gz AAGOSXDCYORODI-LJQANCHMSA-N 0 1 302.381 3.122 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CC1(C)CCCC1 ZINC000808980198 626872982 /nfs/dbraw/zinc/87/29/82/626872982.db2.gz WEEASXMMTIYZRR-ZDUSSCGKSA-N 0 1 314.385 3.313 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)c2ocnc2C2CC2)cc1 ZINC000808979975 626873223 /nfs/dbraw/zinc/87/32/23/626873223.db2.gz QEAGHUOTJKVRMK-CQSZACIVSA-N 0 1 323.352 3.076 20 30 DGEDMN C=CCCCCCNC(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000884390571 626874640 /nfs/dbraw/zinc/87/46/40/626874640.db2.gz ZERGKZDAEUFNPL-INIZCTEOSA-N 0 1 300.402 3.165 20 30 DGEDMN C=CCCC(C)(C)NC(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000884419509 626877925 /nfs/dbraw/zinc/87/79/25/626877925.db2.gz SMYZRVAATKWSHY-OAHLLOKOSA-N 0 1 300.402 3.164 20 30 DGEDMN C#Cc1ccc(CN(C)Cc2cnn(-c3ccccc3)c2)cc1 ZINC000809540450 626917732 /nfs/dbraw/zinc/91/77/32/626917732.db2.gz BJHIEQODUGKXLP-UHFFFAOYSA-N 0 1 301.393 3.486 20 30 DGEDMN CC(C)C[C@H](C)CN[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000809639093 626931248 /nfs/dbraw/zinc/93/12/48/626931248.db2.gz GYXRLSCUAXPXPT-KKUMJFAQSA-N 0 1 309.454 3.017 20 30 DGEDMN Cc1nc(C2CCN(c3nc(Cl)c(C#N)s3)CC2)[nH]c1C ZINC000884706622 626932191 /nfs/dbraw/zinc/93/21/91/626932191.db2.gz JDCTVVXMURNZQM-UHFFFAOYSA-N 0 1 321.837 3.392 20 30 DGEDMN C#C[C@H](C)NCc1cc(Br)cc(C)c1OC(F)F ZINC000809683969 626935907 /nfs/dbraw/zinc/93/59/07/626935907.db2.gz TVVXUDYEKJWKQW-VIFPVBQESA-N 0 1 318.161 3.470 20 30 DGEDMN C#C[C@H](NC1CCN(C(=O)CC)CC1)c1ccc(Cl)cc1F ZINC000809698630 626937842 /nfs/dbraw/zinc/93/78/42/626937842.db2.gz DZXKDSIJAHRFEI-INIZCTEOSA-N 0 1 322.811 3.144 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@](CO)(CCC)C1 ZINC000809790749 626954522 /nfs/dbraw/zinc/95/45/22/626954522.db2.gz MOPSJNYGPSCSMN-GOSISDBHSA-N 0 1 321.848 3.337 20 30 DGEDMN C=C(Br)CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000810051506 626972418 /nfs/dbraw/zinc/97/24/18/626972418.db2.gz JHBVYCKBTSXATH-AWEZNQCLSA-N 0 1 307.235 3.032 20 30 DGEDMN CC(C)(C)N1CCCC(C(=O)OCCCCC(C)(C)C#N)C1=O ZINC000885481008 627019093 /nfs/dbraw/zinc/01/90/93/627019093.db2.gz HMSOUTSFCLTYEQ-AWEZNQCLSA-N 0 1 322.449 3.287 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CCc3c(Cl)cccc3C2)CCC1 ZINC000886017038 627085087 /nfs/dbraw/zinc/08/50/87/627085087.db2.gz QSRIZURONBDMNC-CQSZACIVSA-N 0 1 304.821 3.143 20 30 DGEDMN CC1=C(c2ccco2)C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CC1 ZINC000886191952 627119367 /nfs/dbraw/zinc/11/93/67/627119367.db2.gz ANYZYXGYQADANR-HNNXBMFYSA-N 0 1 300.402 3.204 20 30 DGEDMN N#CC1(C[C@@H](O)CN2CC[C@](F)(c3ccccc3)C2)CCC1 ZINC000886192410 627119430 /nfs/dbraw/zinc/11/94/30/627119430.db2.gz VFHJUNTYGGHWMM-SJLPKXTDSA-N 0 1 302.393 3.002 20 30 DGEDMN C#CCN1CCC[C@H](NCc2csc(-c3cccs3)n2)C1 ZINC000886213731 627121872 /nfs/dbraw/zinc/12/18/72/627121872.db2.gz ZMVFCVAWTCGBPJ-ZDUSSCGKSA-N 0 1 317.483 3.059 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1C[C@@H]2CSC[C@@H]2C1 ZINC000886560322 627163646 /nfs/dbraw/zinc/16/36/46/627163646.db2.gz VKRQVCAHHHTUBP-BETUJISGSA-N 0 1 308.834 3.037 20 30 DGEDMN CCOC(=O)[C@@]1(F)CN(CCCCC(C)(C)C#N)C[C@@H]1CC ZINC000886878794 627212032 /nfs/dbraw/zinc/21/20/32/627212032.db2.gz RWGJODHUWLPVOL-WMLDXEAASA-N 0 1 312.429 3.320 20 30 DGEDMN Cc1cc(NN=C(C[C@H]2CCCO2)c2ccc(F)cc2)ncn1 ZINC000811642344 627297823 /nfs/dbraw/zinc/29/78/23/627297823.db2.gz NJTVQASGIFKQFP-OAHLLOKOSA-N 0 1 314.364 3.309 20 30 DGEDMN COCCCN=NC([C@H](C)OCc1ccccc1)C(F)(F)F ZINC000811647977 627299205 /nfs/dbraw/zinc/29/92/05/627299205.db2.gz HWYSZEMAUGFEOH-LBPRGKRZSA-N 0 1 318.339 3.136 20 30 DGEDMN CC(=NNC[C@@H]1CCSC1)c1[nH]c(-c2ccccc2)nc1C ZINC000811657869 627300749 /nfs/dbraw/zinc/30/07/49/627300749.db2.gz FDOJODRUJRJVML-AWEZNQCLSA-N 0 1 314.458 3.452 20 30 DGEDMN C=CCCCCCN(C)CN1C(=O)C2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000811748067 627315934 /nfs/dbraw/zinc/31/59/34/627315934.db2.gz JEKDYPXLLFZFCT-IRXDYDNUSA-N 0 1 320.477 3.182 20 30 DGEDMN CC(N=Nc1ccccc1F)c1ccc(S(C)(=O)=O)cc1 ZINC000812460200 627388901 /nfs/dbraw/zinc/38/89/01/627388901.db2.gz NFPHKFCBYOIZDP-UHFFFAOYSA-N 0 1 306.362 3.065 20 30 DGEDMN C#C[C@@H](Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000812486618 627391398 /nfs/dbraw/zinc/39/13/98/627391398.db2.gz YCJNXZJMMVTNAI-GFCCVEGCSA-N 0 1 315.260 3.429 20 30 DGEDMN N#CC(C(=O)CCc1cccnc1)c1cc(C(F)(F)F)ccn1 ZINC000813213346 627467377 /nfs/dbraw/zinc/46/73/77/627467377.db2.gz IIXKLMPVMSVGOM-CYBMUJFWSA-N 0 1 319.286 3.304 20 30 DGEDMN N#C[C@H](C(=O)[C@H]1C[C@@H]1C(F)F)c1cc(C(F)(F)F)ccn1 ZINC000813213404 627467544 /nfs/dbraw/zinc/46/75/44/627467544.db2.gz ITWJVVJCNIYGIN-CIUDSAMLSA-N 0 1 304.218 3.178 20 30 DGEDMN CC(=NOCC1CC1)c1cc(Br)cc(F)c1O ZINC000814241098 627553991 /nfs/dbraw/zinc/55/39/91/627553991.db2.gz HAGDUJKALIARLB-UHFFFAOYSA-N 0 1 302.143 3.444 20 30 DGEDMN C=CCCCCCN1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814662150 627582107 /nfs/dbraw/zinc/58/21/07/627582107.db2.gz AMMXYSWSUZYSMM-UHFFFAOYSA-N 0 1 324.465 3.055 20 30 DGEDMN CC(=NNc1ccc(F)cc1F)c1cccc(-n2cnnc2)c1 ZINC000814686434 627583593 /nfs/dbraw/zinc/58/35/93/627583593.db2.gz GEOXNQLPWXNRPA-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN Cc1sc2ncnc(NN=Cc3cnn(C)c3Cl)c2c1C ZINC000814726079 627587090 /nfs/dbraw/zinc/58/70/90/627587090.db2.gz JKHNDCACFJPOLO-UHFFFAOYSA-N 0 1 320.809 3.141 20 30 DGEDMN COCC(N=Nc1ncccc1Cl)c1cccc([N+](=O)[O-])c1 ZINC000814810758 627594001 /nfs/dbraw/zinc/59/40/01/627594001.db2.gz UXIUSHZSHHZIPD-UHFFFAOYSA-N 0 1 320.736 3.106 20 30 DGEDMN Nc1ccc2c(c1)C(=NNCCCOc1ccccc1)CCC2 ZINC000814838538 627597105 /nfs/dbraw/zinc/59/71/05/627597105.db2.gz RTPQNHWGJQSZEY-UHFFFAOYSA-N 0 1 309.413 3.368 20 30 DGEDMN Cc1cc(Cl)cc(C=NNc2ccccc2S(C)(=O)=O)c1 ZINC000814846316 627597975 /nfs/dbraw/zinc/59/79/75/627597975.db2.gz DMJKUGOWYGMKBO-UHFFFAOYSA-N 0 1 322.817 3.498 20 30 DGEDMN COc1ccc(CCNN=C2CCCc3ccc(N)cc32)cc1 ZINC000814855653 627599047 /nfs/dbraw/zinc/59/90/47/627599047.db2.gz RMEZUSRRYIXQAB-UHFFFAOYSA-N 0 1 309.413 3.150 20 30 DGEDMN Cc1ccnc(NN=C2COc3ccc(Br)cc32)c1 ZINC000814868409 627600067 /nfs/dbraw/zinc/60/00/67/627600067.db2.gz RCAJKGZDJBQVCK-UHFFFAOYSA-N 0 1 318.174 3.361 20 30 DGEDMN COc1ccc(NN=C2COc3c2cccc3C)c([N+](=O)[O-])c1 ZINC000814882579 627602151 /nfs/dbraw/zinc/60/21/51/627602151.db2.gz LPTNHQNLKIZKFX-UHFFFAOYSA-N 0 1 313.313 3.120 20 30 DGEDMN COc1ccc(N=NCc2ccc3oncc3c2)c([N+](=O)[O-])c1 ZINC000814881235 627602304 /nfs/dbraw/zinc/60/23/04/627602304.db2.gz UEHBLWYVYKWINY-UHFFFAOYSA-N 0 1 312.285 3.191 20 30 DGEDMN COc1cccc(N=NC2CC(C)(C)Oc3ccc(O)cc32)n1 ZINC000814900303 627604709 /nfs/dbraw/zinc/60/47/09/627604709.db2.gz MGTXMKSIFYLXRH-UHFFFAOYSA-N 0 1 313.357 3.173 20 30 DGEDMN CC1(C)C[C@H](NN=C2CC(C)(C)Oc3ccc(N)cc32)CCO1 ZINC000814908654 627606367 /nfs/dbraw/zinc/60/63/67/627606367.db2.gz AINAYMDVXZXUGF-CYBMUJFWSA-N 0 1 317.433 3.081 20 30 DGEDMN OC[C@H](NN=C1CC[C@@H]2CNc3cccc1c32)c1ccccc1 ZINC000814919452 627607927 /nfs/dbraw/zinc/60/79/27/627607927.db2.gz LYQXOKGBYYMSPQ-KDOFPFPSSA-N 0 1 307.397 3.017 20 30 DGEDMN Cc1n[nH]cc1C(C)N=Nc1ccc(C#N)cc1C(F)(F)F ZINC000814923330 627608322 /nfs/dbraw/zinc/60/83/22/627608322.db2.gz PPLMATYQIZBTFA-UHFFFAOYSA-N 0 1 307.279 3.445 20 30 DGEDMN CC1(C)CC(=NNc2cccc(F)n2)c2cc(O)ccc2O1 ZINC000814930168 627609371 /nfs/dbraw/zinc/60/93/71/627609371.db2.gz SNHUCGUKWDEJOX-UHFFFAOYSA-N 0 1 301.321 3.304 20 30 DGEDMN COc1ccccc1-c1ccc(C(C)=NNc2cnnn2C)cc1 ZINC000814983430 627615947 /nfs/dbraw/zinc/61/59/47/627615947.db2.gz JNZWHHFKLGSJJS-UHFFFAOYSA-N 0 1 321.384 3.327 20 30 DGEDMN CC[C@@H]([NH2+]C1CCN(CC2CC2)CC1)c1cccc(C#N)c1[O-] ZINC000924710709 627671255 /nfs/dbraw/zinc/67/12/55/627671255.db2.gz BIZJXXVSTPEYNZ-GOSISDBHSA-N 0 1 313.445 3.179 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C(C)(F)F ZINC000815907069 627711284 /nfs/dbraw/zinc/71/12/84/627711284.db2.gz QVFPEXBTKYWWQH-KCJUWKMLSA-N 0 1 314.719 3.279 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1Cl)C(C)(F)F ZINC000815907610 627711447 /nfs/dbraw/zinc/71/14/47/627711447.db2.gz FVUFVELPGDGDIH-RKDXNWHRSA-N 0 1 314.719 3.279 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)CC(C)(C)C2CC2)cc1 ZINC000815910284 627711987 /nfs/dbraw/zinc/71/19/87/627711987.db2.gz UBMNGGKTEFPYEM-HNNXBMFYSA-N 0 1 314.385 3.169 20 30 DGEDMN C[C@H](NCc1ccc(OCC#N)cc1)c1cnn(C2CCC2)c1 ZINC000925369407 627731430 /nfs/dbraw/zinc/73/14/30/627731430.db2.gz SZXONJMSEPUIOC-AWEZNQCLSA-N 0 1 310.401 3.361 20 30 DGEDMN C#CCSCCN[C@H](C)c1nc(Br)ccc1F ZINC000925453131 627737081 /nfs/dbraw/zinc/73/70/81/627737081.db2.gz GZHVYAUMOAUZAQ-SECBINFHSA-N 0 1 317.227 3.000 20 30 DGEDMN COc1ccc(CN[C@@H]2CCc3c2nccc3Cl)cc1C#N ZINC000925595013 627760903 /nfs/dbraw/zinc/76/09/03/627760903.db2.gz PVOYFVNGQYHLOZ-OAHLLOKOSA-N 0 1 313.788 3.392 20 30 DGEDMN Cc1ccc2nc(NC(=O)C=Cc3ccccc3C#N)[nH]c2c1 ZINC000817018232 627846787 /nfs/dbraw/zinc/84/67/87/627846787.db2.gz VIQVEXYGCXGNBR-CLFYSBASSA-N 0 1 302.337 3.395 20 30 DGEDMN C#CCOc1ccc(C(=O)Nc2nc3ccc(C)cc3[nH]2)cc1 ZINC000817018361 627846816 /nfs/dbraw/zinc/84/68/16/627846816.db2.gz ZTEXOFQZEDNAJO-UHFFFAOYSA-N 0 1 305.337 3.136 20 30 DGEDMN CC[C@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@H](CC)O1 ZINC000817412106 627895260 /nfs/dbraw/zinc/89/52/60/627895260.db2.gz CZCBVVMVFWBNBR-OALUTQOASA-N 0 1 315.461 3.426 20 30 DGEDMN COc1cc(CN[C@@H](C)c2ccnn2CC2CCC2)ccc1C#N ZINC000926447335 627896873 /nfs/dbraw/zinc/89/68/73/627896873.db2.gz ASEINSVFVJQACC-AWEZNQCLSA-N 0 1 324.428 3.414 20 30 DGEDMN C#CCOc1ccc(CN[C@@H](C)c2cccc3c2OCO3)cc1 ZINC000926530351 627909421 /nfs/dbraw/zinc/90/94/21/627909421.db2.gz MJCUELDXBYWGAR-AWEZNQCLSA-N 0 1 309.365 3.278 20 30 DGEDMN N#CC(C(=O)Nc1cc(Cl)cc(Cl)c1)C(=O)[C@H]1CC12CC2 ZINC000817713350 627937407 /nfs/dbraw/zinc/93/74/07/627937407.db2.gz RIKUBGJXLDGPIU-NWDGAFQWSA-N 0 1 323.179 3.441 20 30 DGEDMN Cc1ccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)cc1C#N ZINC000926959800 627989585 /nfs/dbraw/zinc/98/95/85/627989585.db2.gz CGIYBGPXHALWKT-KRWDZBQOSA-N 0 1 309.417 3.396 20 30 DGEDMN C=C(Br)CNCCC(=O)Nc1ccc(Cl)cc1 ZINC000818979001 628071666 /nfs/dbraw/zinc/07/16/66/628071666.db2.gz DZWFCVYDNMJUDP-UHFFFAOYSA-N 0 1 317.614 3.167 20 30 DGEDMN C=C(Br)CNCCC(=O)Nc1cccc(Cl)c1 ZINC000818979155 628071712 /nfs/dbraw/zinc/07/17/12/628071712.db2.gz HLUIKMMAYPSYFF-UHFFFAOYSA-N 0 1 317.614 3.167 20 30 DGEDMN C=C(Br)CNC[C@H](O)c1ccccc1C(F)(F)F ZINC000818978847 628071735 /nfs/dbraw/zinc/07/17/35/628071735.db2.gz ADUMNNWSFBNVBX-NSHDSACASA-N 0 1 324.140 3.237 20 30 DGEDMN N#C[C@@H]1CCCN(C(=O)c2cn[nH]c2-c2ccc(Cl)s2)C1 ZINC000928219371 628126447 /nfs/dbraw/zinc/12/64/47/628126447.db2.gz VHFWIUIFIXQAHZ-VIFPVBQESA-N 0 1 320.805 3.167 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2[C@@H](C)c2ccccc2)CC1 ZINC000928388103 628146479 /nfs/dbraw/zinc/14/64/79/628146479.db2.gz WHSIYIPFGHWNNN-PXNSSMCTSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCC(NC(=O)c2csc3cc(C)ccc23)CC1 ZINC000928653421 628173082 /nfs/dbraw/zinc/17/30/82/628173082.db2.gz LTUGDJNOMBAHCK-UHFFFAOYSA-N 0 1 312.438 3.037 20 30 DGEDMN C#CCN1CCC(NC(=O)C/C=C\c2ccc(C(C)C)cc2)CC1 ZINC000928654525 628173098 /nfs/dbraw/zinc/17/30/98/628173098.db2.gz GGSCGFRFSPQNNE-WAYWQWQTSA-N 0 1 324.468 3.427 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@H]2CCCC[C@H]2C)cc1 ZINC000819942928 628202479 /nfs/dbraw/zinc/20/24/79/628202479.db2.gz MVSCCXFCQMUQDQ-UHOFOFEASA-N 0 1 314.385 3.169 20 30 DGEDMN N#CC(C(=O)C=C[C@@H]1CCCO1)c1nc2cc(F)ccc2s1 ZINC000820031522 628215069 /nfs/dbraw/zinc/21/50/69/628215069.db2.gz KVXFYAXPCPLPSK-KBIXYVOKSA-N 0 1 316.357 3.347 20 30 DGEDMN CN(C)Cc1cccc(C(=O)/C=C\c2ccc3c(c2)OCCO3)c1 ZINC000821021325 628327262 /nfs/dbraw/zinc/32/72/62/628327262.db2.gz FEFQSYSCIIFYNH-VURMDHGXSA-N 0 1 323.392 3.416 20 30 DGEDMN CC(C)(CC#N)CNC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000822398916 628447405 /nfs/dbraw/zinc/44/74/05/628447405.db2.gz HYDPYKCEIXCERS-UHFFFAOYSA-N 0 1 306.316 3.170 20 30 DGEDMN CC(C)(C#N)CCC[N@H+]1CCC[C@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000823738272 628592202 /nfs/dbraw/zinc/59/22/02/628592202.db2.gz UESXCANIUYMXDQ-LSDHHAIUSA-N 0 1 307.438 3.171 20 30 DGEDMN C[C@@H](NCc1cc(C2CC2)nn1C)c1ccc(OCC#N)cc1 ZINC000823830917 628600468 /nfs/dbraw/zinc/60/04/68/628600468.db2.gz JNSICZYQUJTWNY-CYBMUJFWSA-N 0 1 310.401 3.051 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2C[C@H](C)C[C@@H](C)C2)o1 ZINC000824858587 628701374 /nfs/dbraw/zinc/70/13/74/628701374.db2.gz KSDCCDGKCODJQY-NXEZZACHSA-N 0 1 317.397 3.202 20 30 DGEDMN Cc1cc(CN2CCSCC2)ccc1NC(=O)C#CC(C)C ZINC000824982347 628712737 /nfs/dbraw/zinc/71/27/37/628712737.db2.gz AHPUUUZTDCNSBD-UHFFFAOYSA-N 0 1 316.470 3.142 20 30 DGEDMN C=CCC[C@H](CO)NCc1cnn(Cc2ccccc2)c1Cl ZINC000825172391 628735085 /nfs/dbraw/zinc/73/50/85/628735085.db2.gz RNXSRKWOZVCXOR-MRXNPFEDSA-N 0 1 319.836 3.002 20 30 DGEDMN C[C@@H](NC(=O)[O-])[C@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000825256684 628743739 /nfs/dbraw/zinc/74/37/39/628743739.db2.gz ZIJQAOIKKRTHQT-HIFRSBDPSA-N 0 1 320.820 3.060 20 30 DGEDMN N#CCC1CC[NH+](Cc2ccc(Br)cc2[O-])CC1 ZINC000825478796 628765285 /nfs/dbraw/zinc/76/52/85/628765285.db2.gz GMHNEJIBYBOYSD-UHFFFAOYSA-N 0 1 309.207 3.280 20 30 DGEDMN C=CCOc1cccc(C[N@@H+]2Cc3ccccc3C[C@@H]2C(=O)[O-])c1 ZINC000825702680 628787653 /nfs/dbraw/zinc/78/76/53/628787653.db2.gz UNOMUHRQOTVGIZ-LJQANCHMSA-N 0 1 323.392 3.263 20 30 DGEDMN N#CC[C@H]1CCCN(Cc2cc(Br)ccc2O)C1 ZINC000826862110 628896511 /nfs/dbraw/zinc/89/65/11/628896511.db2.gz VBVIKLVXFUYAPM-LLVKDONJSA-N 0 1 309.207 3.280 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000827972332 629010029 /nfs/dbraw/zinc/01/00/29/629010029.db2.gz ZGPFOZVGAFUEPB-IFXJQAMLSA-N 0 1 309.413 3.486 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccccc1 ZINC000827972334 629010060 /nfs/dbraw/zinc/01/00/60/629010060.db2.gz ZGPFOZVGAFUEPB-LIRRHRJNSA-N 0 1 309.413 3.486 20 30 DGEDMN CN(CCC#N)CCCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000827980163 629010854 /nfs/dbraw/zinc/01/08/54/629010854.db2.gz JSCVNWOTNPTDDO-UHFFFAOYSA-N 0 1 309.454 3.259 20 30 DGEDMN C#CCOc1ccc(CN2Cc3c[nH]nc3CC(C)(C)C2)cc1 ZINC000828013650 629014261 /nfs/dbraw/zinc/01/42/61/629014261.db2.gz HAZJZAKOKHOZKV-UHFFFAOYSA-N 0 1 309.413 3.006 20 30 DGEDMN CC/C(C)=C\C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000828686917 629082197 /nfs/dbraw/zinc/08/21/97/629082197.db2.gz BEPBNEREEDDOPZ-SSZFMOIBSA-N 0 1 311.429 3.017 20 30 DGEDMN C#CC[C@@H]1CCCN(CC(=O)N(C)Cc2ccc(Cl)s2)C1 ZINC000829768541 629190105 /nfs/dbraw/zinc/19/01/05/629190105.db2.gz FTQBAWAJPRAOTQ-CYBMUJFWSA-N 0 1 324.877 3.095 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cc(F)ccc2C)C1 ZINC000829773366 629190164 /nfs/dbraw/zinc/19/01/64/629190164.db2.gz DKQANIQBMQCBOI-LSDHHAIUSA-N 0 1 302.393 3.196 20 30 DGEDMN C#CC[C@H]1CCCN(C[C@@H](O)c2cccc(Br)c2)C1 ZINC000829774579 629190765 /nfs/dbraw/zinc/19/07/65/629190765.db2.gz QIVOQOIPNDYSOC-XJKSGUPXSA-N 0 1 322.246 3.218 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2cc(C)ccc2OC)C1 ZINC000829793968 629193418 /nfs/dbraw/zinc/19/34/18/629193418.db2.gz PHRLYXYXCCHJOD-CVEARBPZSA-N 0 1 314.429 3.066 20 30 DGEDMN C=C(Br)CN[C@H](c1cccs1)C1(CO)CCC1 ZINC000832692711 629504927 /nfs/dbraw/zinc/50/49/27/629504927.db2.gz XVFCAIDUILSAOW-GFCCVEGCSA-N 0 1 316.264 3.450 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C/c2c[nH]c(C(=O)[O-])c2)cc1 ZINC000833008965 629548470 /nfs/dbraw/zinc/54/84/70/629548470.db2.gz FYVGVHOPATWPJJ-FARCUNLSSA-N 0 1 321.336 3.103 20 30 DGEDMN C#CCOc1ccc(C[N@@H+]2CCC[C@H](C(C)(C)C(=O)[O-])C2)cc1 ZINC000833367163 629592982 /nfs/dbraw/zinc/59/29/82/629592982.db2.gz QVBIGMBZSKQKJO-INIZCTEOSA-N 0 1 315.413 3.021 20 30 DGEDMN C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC000833549704 629619208 /nfs/dbraw/zinc/61/92/08/629619208.db2.gz RWODRANSUYBMCT-GFCCVEGCSA-N 0 1 310.353 3.155 20 30 DGEDMN C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cccc(C(=O)[O-])c1C#N ZINC000833549704 629619210 /nfs/dbraw/zinc/61/92/10/629619210.db2.gz RWODRANSUYBMCT-GFCCVEGCSA-N 0 1 310.353 3.155 20 30 DGEDMN N#CCCCOc1cccc(C[N@@H+]2CCCCC[C@H]2C(=O)[O-])c1 ZINC000833760212 629647612 /nfs/dbraw/zinc/64/76/12/629647612.db2.gz KTHFGQMSHHACAR-KRWDZBQOSA-N 0 1 316.401 3.198 20 30 DGEDMN N#Cc1ccc(C[N@@H+]2CCCC[C@@H]2[C@H]2CCCN2C(=O)[O-])s1 ZINC000833972270 629664546 /nfs/dbraw/zinc/66/45/46/629664546.db2.gz YBJWQACMBGDYBV-HUUCEWRRSA-N 0 1 319.430 3.117 20 30 DGEDMN C=CCC[C@@H](CO)[NH2+][C@@H](C)c1cc(Br)ccc1[O-] ZINC000834903865 629803764 /nfs/dbraw/zinc/80/37/64/629803764.db2.gz KPJFUXQWLLJCAH-JQWIXIFHSA-N 0 1 314.223 3.132 20 30 DGEDMN C#Cc1cccc(CN[C@H](C)c2ccccc2OCC(=O)OC)c1 ZINC000834902232 629803901 /nfs/dbraw/zinc/80/39/01/629803901.db2.gz FYQVEFDEBASDFA-OAHLLOKOSA-N 0 1 323.392 3.071 20 30 DGEDMN C#Cc1cccc(CN[C@@H](C)c2ccc(NC(C)=O)cc2OC)c1 ZINC000834903800 629803977 /nfs/dbraw/zinc/80/39/77/629803977.db2.gz SHFRUKKRDHPGLC-AWEZNQCLSA-N 0 1 322.408 3.486 20 30 DGEDMN CN(Cc1cccs1)c1ccc(C=NN[C@H]2CCOC2)cc1 ZINC000834978978 629816721 /nfs/dbraw/zinc/81/67/21/629816721.db2.gz YQJNYQPBKRDRHG-HNNXBMFYSA-N 0 1 315.442 3.097 20 30 DGEDMN CN(Cc1cccs1)c1ccc(C=NN[C@@H]2CCOC2)cc1 ZINC000834978983 629816734 /nfs/dbraw/zinc/81/67/34/629816734.db2.gz YQJNYQPBKRDRHG-OAHLLOKOSA-N 0 1 315.442 3.097 20 30 DGEDMN CCn1nc(C)c(C=NNc2cccc(Br)n2)c1C ZINC000835023142 629826602 /nfs/dbraw/zinc/82/66/02/629826602.db2.gz AVDHPDOISKSTKK-UHFFFAOYSA-N 0 1 322.210 3.123 20 30 DGEDMN CCc1ccc(NC(=O)C(C#N)C(=O)CC2CC(F)(F)C2)cc1 ZINC000835061936 629841388 /nfs/dbraw/zinc/84/13/88/629841388.db2.gz LOFAGUVDWDWKKA-CQSZACIVSA-N 0 1 320.339 3.332 20 30 DGEDMN CCc1cccc(CC)c1NC(=O)C(C#N)C(=O)[C@@H]1CC1(F)F ZINC000835064394 629842335 /nfs/dbraw/zinc/84/23/35/629842335.db2.gz VXJAUQKZPQBANJ-STQMWFEESA-N 0 1 320.339 3.114 20 30 DGEDMN CCC[C@H](OC)C(=O)C(C#N)c1nc(-c2ccncc2)cs1 ZINC000835104151 629852636 /nfs/dbraw/zinc/85/26/36/629852636.db2.gz QGTXVITVNYTSIA-OCCSQVGLSA-N 0 1 315.398 3.196 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCCC(=O)C3CC3)[nH]c21 ZINC000835173548 629869161 /nfs/dbraw/zinc/86/91/61/629869161.db2.gz PRPNPLAINZKRJR-ZDUSSCGKSA-N 0 1 309.369 3.197 20 30 DGEDMN N#C[C@@H](C(=O)C1(Cc2cccc(Cl)c2)CC1)c1ccncn1 ZINC000835303482 629903139 /nfs/dbraw/zinc/90/31/39/629903139.db2.gz PZFNKWFUXGKKPO-CQSZACIVSA-N 0 1 311.772 3.329 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)NCc1cccc(Cl)c1 ZINC000835340536 629909869 /nfs/dbraw/zinc/90/98/69/629909869.db2.gz NHYMHMLQWGKFLX-AWEZNQCLSA-N 0 1 306.793 3.101 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)C=C[C@H]2CCOC2)s1 ZINC000835387877 629920898 /nfs/dbraw/zinc/92/08/98/629920898.db2.gz MNLBVPVLBZPPJH-KBIXYVOKSA-N 0 1 304.415 3.210 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)=C(O)C=C[C@H]2CCOC2)s1 ZINC000835387877 629920904 /nfs/dbraw/zinc/92/09/04/629920904.db2.gz MNLBVPVLBZPPJH-KBIXYVOKSA-N 0 1 304.415 3.210 20 30 DGEDMN C=CCC1(CNC(=O)Cc2n[nH]c3c2CCCC3)CCCC1 ZINC000836990336 630198168 /nfs/dbraw/zinc/19/81/68/630198168.db2.gz DGVGHEVFDPDCSI-UHFFFAOYSA-N 0 1 301.434 3.084 20 30 DGEDMN C=CCONC(=O)C(C)(C)c1ccc(Br)c(C)c1 ZINC000837049339 630206960 /nfs/dbraw/zinc/20/69/60/630206960.db2.gz FCQXUBVERLWYKY-UHFFFAOYSA-N 0 1 312.207 3.269 20 30 DGEDMN CC#CC(=O)N1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000837280983 630235468 /nfs/dbraw/zinc/23/54/68/630235468.db2.gz DHCASRDIYDTVEA-AWEZNQCLSA-N 0 1 301.777 3.293 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000837318756 630239027 /nfs/dbraw/zinc/23/90/27/630239027.db2.gz XQFRIFQLTIRUST-AWEZNQCLSA-N 0 1 304.459 3.051 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000837318757 630239067 /nfs/dbraw/zinc/23/90/67/630239067.db2.gz XQFRIFQLTIRUST-CQSZACIVSA-N 0 1 304.459 3.051 20 30 DGEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2ccc3c(c2)CCC3)CC1 ZINC000837525395 630270796 /nfs/dbraw/zinc/27/07/96/630270796.db2.gz UECOINPLMLVOGD-HNNXBMFYSA-N 0 1 311.425 3.125 20 30 DGEDMN COC(=O)[C@@H](CC1CC1)/N=C\c1cc(Cl)cc(Cl)c1O ZINC000840711164 630350772 /nfs/dbraw/zinc/35/07/72/630350772.db2.gz OYCAZMYAOFNCDS-JGSGYBEMSA-N 0 1 316.184 3.460 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2COCC[C@@H]2c2ccccc2)c1 ZINC000841410551 630436030 /nfs/dbraw/zinc/43/60/30/630436030.db2.gz FDABYXCZPDRKKM-HZPDHXFCSA-N 0 1 322.364 3.023 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN[C@H]2COCc3ccccc32)cc1 ZINC000841891389 630473628 /nfs/dbraw/zinc/47/36/28/630473628.db2.gz OYGRYLUZHCMSLO-KUHUBIRLSA-N 0 1 308.381 3.339 20 30 DGEDMN COCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000842289640 630511583 /nfs/dbraw/zinc/51/15/83/630511583.db2.gz GLOQGYIGVTWHGR-LLVKDONJSA-N 0 1 322.792 3.050 20 30 DGEDMN C#C[C@@H](OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccc(F)cc1 ZINC000842406438 630528421 /nfs/dbraw/zinc/52/84/21/630528421.db2.gz DLDRYZBFFRYKOJ-CRAIPNDOSA-N 0 1 321.367 3.256 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](CN2C[C@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628363 630554887 /nfs/dbraw/zinc/55/48/87/630554887.db2.gz BIMYSHQTJLQDSF-PKOBYXMFSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628363 630554888 /nfs/dbraw/zinc/55/48/88/630554888.db2.gz BIMYSHQTJLQDSF-PKOBYXMFSA-N 0 1 310.441 3.004 20 30 DGEDMN COC1(C(=O)[C@@H](C#N)c2nc(-c3ccc(C)cc3)cs2)CC1 ZINC000842687377 630563163 /nfs/dbraw/zinc/56/31/63/630563163.db2.gz REBOFWKQYGOZJK-CYBMUJFWSA-N 0 1 312.394 3.474 20 30 DGEDMN C=CCc1cc(-c2nc([C@@H](N)C(C)C)no2)cc(OC)c1OC ZINC000843152906 630621902 /nfs/dbraw/zinc/62/19/02/630621902.db2.gz TXPRWTQPNNLBTM-AWEZNQCLSA-N 0 1 317.389 3.138 20 30 DGEDMN CCN(CC)c1ccc(/C=N/[C@H](C)C(=O)OC(C)(C)C)c(O)c1 ZINC000121539926 630650868 /nfs/dbraw/zinc/65/08/68/630650868.db2.gz ZERRFVKKSSVKKT-KZKLBEMASA-N 0 1 320.433 3.388 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(CN(CC)CC)o1)c1ccccc1 ZINC000843640011 630682445 /nfs/dbraw/zinc/68/24/45/630682445.db2.gz WPQPDMMNAZFSKV-QGZVFWFLSA-N 0 1 310.397 3.226 20 30 DGEDMN C#CC[C@H]1CCCN(C(=O)c2cccc(Br)c2O)C1 ZINC000843998585 630706067 /nfs/dbraw/zinc/70/60/67/630706067.db2.gz FTODLQHXOVVPJK-NSHDSACASA-N 0 1 322.202 3.030 20 30 DGEDMN C=CCc1cc(CNCc2c(C)n[nH]c2C)cc(OC)c1OC ZINC000105478047 630713071 /nfs/dbraw/zinc/71/30/71/630713071.db2.gz YLALYYASQLGDGG-UHFFFAOYSA-N 0 1 315.417 3.062 20 30 DGEDMN C[C@@H]1CCCN(CC2(C#N)CC2)[C@H]1CCNC(=O)OC(C)(C)C ZINC000844403075 630724816 /nfs/dbraw/zinc/72/48/16/630724816.db2.gz URRFRMJPNXKWPS-CABCVRRESA-N 0 1 321.465 3.305 20 30 DGEDMN C[C@H](CO)C1CCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000844416296 630726994 /nfs/dbraw/zinc/72/69/94/630726994.db2.gz HCHOJJRZCGMUFB-CYBMUJFWSA-N 0 1 322.836 3.083 20 30 DGEDMN COc1cccc2c1OC(C)(C)CC2=NNc1cc(C)ncn1 ZINC000844581363 630741036 /nfs/dbraw/zinc/74/10/36/630741036.db2.gz LZGWZSBDJSQUCB-UHFFFAOYSA-N 0 1 312.373 3.171 20 30 DGEDMN CC(C)O[C@@H]1CCCN(Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000844715759 630804965 /nfs/dbraw/zinc/80/49/65/630804965.db2.gz GBDSIZLIZJIYMG-GOSISDBHSA-N 0 1 324.428 3.269 20 30 DGEDMN C=CCc1cc(CN2C[C@@H](CC)OC[C@H]2C)c(O)c(OC)c1 ZINC000844730831 630819981 /nfs/dbraw/zinc/81/99/81/630819981.db2.gz LBWVHMRSPMALDZ-CZUORRHYSA-N 0 1 305.418 3.129 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845440621 631174925 /nfs/dbraw/zinc/17/49/25/631174925.db2.gz IFXKUJGZSFFHRX-ZNMIVQPWSA-N 0 1 316.788 3.424 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)c1cnc2ccccc2n1 ZINC000845530360 631180648 /nfs/dbraw/zinc/18/06/48/631180648.db2.gz GESMLXHJUCFKPH-BARDWOONSA-N 0 1 303.365 3.408 20 30 DGEDMN N#CC(C(=O)[C@H]1CC1(Cl)Cl)=C(O)C1(c2ccccc2)CC1 ZINC000845839457 631198468 /nfs/dbraw/zinc/19/84/68/631198468.db2.gz HNNKSJJPICGPPN-VXGBXAGGSA-N 0 1 322.191 3.190 20 30 DGEDMN C[C@H](C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1)c1ccco1 ZINC000845841228 631198515 /nfs/dbraw/zinc/19/85/15/631198515.db2.gz LUAVMOMYUWVFPT-DZGCQCFKSA-N 0 1 307.349 3.393 20 30 DGEDMN CC[C@H](C)[C@H](OC)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845843392 631198810 /nfs/dbraw/zinc/19/88/10/631198810.db2.gz DAUKBTIYOVPDIV-LXZKKBNFSA-N 0 1 313.397 3.057 20 30 DGEDMN Cc1cc(C[N@@H+](C)C[C@H](O)c2cccc(C#N)c2)cnc1Cl ZINC000846007989 631205479 /nfs/dbraw/zinc/20/54/79/631205479.db2.gz RTEXQORHESSAJG-INIZCTEOSA-N 0 1 315.804 3.081 20 30 DGEDMN Cc1cc(CN(C)C[C@H](O)c2cccc(C#N)c2)cnc1Cl ZINC000846007989 631205480 /nfs/dbraw/zinc/20/54/80/631205480.db2.gz RTEXQORHESSAJG-INIZCTEOSA-N 0 1 315.804 3.081 20 30 DGEDMN C[C@@H]1CC[C@@H](NCC2(C#N)CCCC2)CN1C(=O)OC(C)(C)C ZINC000846011313 631205824 /nfs/dbraw/zinc/20/58/24/631205824.db2.gz RWSKQTOPAKVUCV-HUUCEWRRSA-N 0 1 321.465 3.448 20 30 DGEDMN C#CCOc1ccc(CN(Cc2cnn(CC)c2)C(C)C)cc1 ZINC000846055643 631208735 /nfs/dbraw/zinc/20/87/35/631208735.db2.gz NRAMQYAYNXOIOF-UHFFFAOYSA-N 0 1 311.429 3.326 20 30 DGEDMN C=C[C@@H](C)ONC(=O)C(C)(C)c1ccc(Br)cc1 ZINC000846550380 631259198 /nfs/dbraw/zinc/25/91/98/631259198.db2.gz ORBXNGJMNIAGCR-SNVBAGLBSA-N 0 1 312.207 3.349 20 30 DGEDMN C=C[C@H](C)ONC(=O)[C@H]1CCc2cc(Br)ccc21 ZINC000846553454 631259956 /nfs/dbraw/zinc/25/99/56/631259956.db2.gz BKAXGRFPRMISBI-ZANVPECISA-N 0 1 310.191 3.101 20 30 DGEDMN CCCOC(=O)CC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000106586477 631343075 /nfs/dbraw/zinc/34/30/75/631343075.db2.gz NQOIYYLYRFHYFF-LZYBPNLTSA-N 0 1 304.173 3.461 20 30 DGEDMN Cc1ccccc1[C@H](O)C1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000846898266 631345352 /nfs/dbraw/zinc/34/53/52/631345352.db2.gz CUOYOHBWSBKLSL-HXUWFJFHSA-N 0 1 321.424 3.207 20 30 DGEDMN COc1ccc2c(c1)[C@@H](C(=O)Nc1cc(C#N)ccc1O)CCC2 ZINC000847617081 631497590 /nfs/dbraw/zinc/49/75/90/631497590.db2.gz YJTHCNKNBSOSMB-HNNXBMFYSA-N 0 1 322.364 3.331 20 30 DGEDMN C#C[C@@H]1CCCN(C(=O)c2cc(-c3ccc(C)c(C)c3)[nH]n2)C1 ZINC000848279201 631638504 /nfs/dbraw/zinc/63/85/04/631638504.db2.gz QYWYJALLBPJUMP-OAHLLOKOSA-N 0 1 307.397 3.179 20 30 DGEDMN O=[N+]([O-])c1cccc2c1CCC2=NNc1nccnc1C1CCC1 ZINC000848410694 631656121 /nfs/dbraw/zinc/65/61/21/631656121.db2.gz ITMLBKGMWZFOQS-UHFFFAOYSA-N 0 1 323.356 3.415 20 30 DGEDMN C#CCOc1ccccc1CN[C@H]1CCc2c1cccc2[N+](=O)[O-] ZINC000848532827 631681161 /nfs/dbraw/zinc/68/11/61/631681161.db2.gz BMAKNHCYOQGABW-KRWDZBQOSA-N 0 1 322.364 3.384 20 30 DGEDMN C[C@H](O)c1ncc(CNC2(c3ccc(C#N)cc3)CCC2)s1 ZINC000848567510 631695842 /nfs/dbraw/zinc/69/58/42/631695842.db2.gz HZPGFBPNMPLMOM-LBPRGKRZSA-N 0 1 313.426 3.237 20 30 DGEDMN C#CCOc1ccc(NC(=O)c2ccc(O)c(Cl)c2)cc1 ZINC000108453039 631887012 /nfs/dbraw/zinc/88/70/12/631887012.db2.gz WYWCNLUINQPOMS-UHFFFAOYSA-N 0 1 301.729 3.310 20 30 DGEDMN C=CC[C@H]1CCC[N@H+](CCOc2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000849344421 631897062 /nfs/dbraw/zinc/89/70/62/631897062.db2.gz LMQMVHFDRCRDFF-YRYLYKBFSA-N 0 1 315.413 3.451 20 30 DGEDMN N#CC1(CC(=O)OCc2cc(O)ccc2[N+](=O)[O-])CCCCC1 ZINC000849906618 632002283 /nfs/dbraw/zinc/00/22/83/632002283.db2.gz GEIWBQPQZQRINW-UHFFFAOYSA-N 0 1 318.329 3.208 20 30 DGEDMN C[C@H](NCc1c(C2CCC2)cnn1C)c1ccc(OCC#N)cc1 ZINC000850550862 632190234 /nfs/dbraw/zinc/19/02/34/632190234.db2.gz PGWUBUAXKVZLEB-AWEZNQCLSA-N 0 1 324.428 3.441 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850757134 632216927 /nfs/dbraw/zinc/21/69/27/632216927.db2.gz WTGFKXRGFDKVNZ-OXIWPEFWSA-N 0 1 302.761 3.033 20 30 DGEDMN Cc1ccn([C@H](C)CC(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)n1 ZINC000850850026 632237147 /nfs/dbraw/zinc/23/71/47/632237147.db2.gz OJHAVEPEUDSANL-ZIAGYGMSSA-N 0 1 321.384 3.204 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)[C@@H]2CCCC3(CC3)C2)c1 ZINC000850977516 632275157 /nfs/dbraw/zinc/27/51/57/632275157.db2.gz WZPAWYZWZKFNKE-IAGOWNOFSA-N 0 1 324.424 3.291 20 30 DGEDMN C=C(Br)C[N@@H+]1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000851707997 632470035 /nfs/dbraw/zinc/47/00/35/632470035.db2.gz OTGPRPFXVCWLGP-STQMWFEESA-N 0 1 316.239 3.250 20 30 DGEDMN C#CCCN1CCN(CCSc2cccc(Cl)c2)CC1 ZINC000851872291 632512620 /nfs/dbraw/zinc/51/26/20/632512620.db2.gz AUNQCFAYKRNUBP-UHFFFAOYSA-N 0 1 308.878 3.073 20 30 DGEDMN C#C[C@@](C)(CC)NCC(=O)N1CC[C@@H](C)Sc2ccccc21 ZINC000852097626 632552938 /nfs/dbraw/zinc/55/29/38/632552938.db2.gz SQRZDDDBUUWDGU-KDOFPFPSSA-N 0 1 316.470 3.295 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)Nc1nc2ccccc2s1 ZINC000852102077 632555129 /nfs/dbraw/zinc/55/51/29/632555129.db2.gz VIYKMGGAOIIPIJ-ZBEGNZNMSA-N 0 1 301.415 3.015 20 30 DGEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000852103035 632555261 /nfs/dbraw/zinc/55/52/61/632555261.db2.gz WEEUKSSDPHREBX-LPHOPBHVSA-N 0 1 311.429 3.022 20 30 DGEDMN C=CCN(C[C@@H](O)c1ccc(F)cc1Cl)[C@@H](C)COC ZINC000852357280 632594708 /nfs/dbraw/zinc/59/47/08/632594708.db2.gz ZVHNGYDIMZCMDG-XHDPSFHLSA-N 0 1 301.789 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(F)cc2)CC1(C)C ZINC000995349754 660187261 /nfs/dbraw/zinc/18/72/61/660187261.db2.gz UCNXJVFZJXJAKC-CQSZACIVSA-N 0 1 310.800 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)s2)CC1(C)C ZINC000995367308 660194378 /nfs/dbraw/zinc/19/43/78/660194378.db2.gz QGUPTZVDHGTAIQ-CYBMUJFWSA-N 0 1 312.866 3.249 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3cc(C)ccc3s2)C1 ZINC001032096820 660196208 /nfs/dbraw/zinc/19/62/08/660196208.db2.gz VDLPGBKHXBTLPQ-UHFFFAOYSA-N 0 1 314.454 3.447 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cccc(F)c2)CC1(C)C ZINC000995386676 660200170 /nfs/dbraw/zinc/20/01/70/660200170.db2.gz SIQBTBCKANOHPD-AWEZNQCLSA-N 0 1 310.800 3.018 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@@H](C)C1 ZINC000944192391 660215138 /nfs/dbraw/zinc/21/51/38/660215138.db2.gz LFDFTFHCRFNRBW-DOTOQJQBSA-N 0 1 324.424 3.123 20 30 DGEDMN C=CCN1CC(CNC(=O)c2ccc(C(C)C)c(Cl)c2)C1 ZINC001032220285 660233611 /nfs/dbraw/zinc/23/36/11/660233611.db2.gz GHIVSXJYFWBNJT-UHFFFAOYSA-N 0 1 306.837 3.311 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(F)=C2CCCC2)CC1(C)C ZINC000995652772 660235083 /nfs/dbraw/zinc/23/50/83/660235083.db2.gz NJSZFXZROGRIHC-ZDUSSCGKSA-N 0 1 314.832 3.363 20 30 DGEDMN CC[C@H](C#N)Oc1cccc(CNCc2c(C)nn(C)c2C)c1 ZINC000073012028 660235877 /nfs/dbraw/zinc/23/58/77/660235877.db2.gz UHUNHEGNWJZJHL-MRXNPFEDSA-N 0 1 312.417 3.008 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(CC)c(CC)c2)C1 ZINC001032233581 660239645 /nfs/dbraw/zinc/23/96/45/660239645.db2.gz NGRJUHHESRFECN-UHFFFAOYSA-N 0 1 300.446 3.049 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(C)C)CC1(C)C ZINC000995852376 660271793 /nfs/dbraw/zinc/27/17/93/660271793.db2.gz ZFYFNQNQGBEHRB-ZDUSSCGKSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1(C)C ZINC000996082752 660275030 /nfs/dbraw/zinc/27/50/30/660275030.db2.gz VIRANIVKVAEKDL-LVQVYYBASA-N 0 1 324.896 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(F)ccc2C)CC1(C)C ZINC000996118732 660275770 /nfs/dbraw/zinc/27/57/70/660275770.db2.gz XLRLPTCOQOGWLU-HNNXBMFYSA-N 0 1 324.827 3.327 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCC2(C)C)CC1(C)C ZINC000996164701 660276880 /nfs/dbraw/zinc/27/68/80/660276880.db2.gz XGWPJIJNBDVNGF-UONOGXRCSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CCCC2(C)C)CC1(C)C ZINC000996164700 660276945 /nfs/dbraw/zinc/27/69/45/660276945.db2.gz XGWPJIJNBDVNGF-KGLIPLIRSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CCCC2(C)C)CC1(C)C ZINC000996164698 660277021 /nfs/dbraw/zinc/27/70/21/660277021.db2.gz XGWPJIJNBDVNGF-KBPBESRZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC(=C)CC2)CC1(C)C ZINC000996230999 660277858 /nfs/dbraw/zinc/27/78/58/660277858.db2.gz DRFGVMSXNJVCLX-OAHLLOKOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1(C)C ZINC000996266212 660278840 /nfs/dbraw/zinc/27/88/40/660278840.db2.gz OJBOUUHFYBZGAQ-VBQJREDUSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2C[C@H]2CC(C)C)CC1(C)C ZINC000996331983 660279786 /nfs/dbraw/zinc/27/97/86/660279786.db2.gz VAUWBIWERYILSR-KFWWJZLASA-N 0 1 312.885 3.248 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(C)(F)F)CC1(C)C ZINC000996565049 660286264 /nfs/dbraw/zinc/28/62/64/660286264.db2.gz WNFXWSCALZBZFN-LLVKDONJSA-N 0 1 322.827 3.247 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CCC=CCC2)CC1(C)C ZINC000996645204 660289385 /nfs/dbraw/zinc/28/93/85/660289385.db2.gz QEBUNXJZCIZYTD-OAHLLOKOSA-N 0 1 310.869 3.312 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1(Cc2ccccc2)CCC1 ZINC001032450317 660321826 /nfs/dbraw/zinc/32/18/26/660321826.db2.gz MTIBEORRWOUCBY-OALUTQOASA-N 0 1 324.468 3.261 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2c1cccc2Cl ZINC001038519290 660321919 /nfs/dbraw/zinc/32/19/19/660321919.db2.gz WPIZRVWZTIRHBV-CZUORRHYSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cc(C)cc1F ZINC001032511050 660334403 /nfs/dbraw/zinc/33/44/03/660334403.db2.gz FFOWTVYNXHMKHA-KBPBESRZSA-N 0 1 320.383 3.138 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc3ccccc3nc2C)CC1 ZINC000948607304 660335105 /nfs/dbraw/zinc/33/51/05/660335105.db2.gz JMACNEYAMIFKCY-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C[C@H]1C ZINC000947933457 660338842 /nfs/dbraw/zinc/33/88/42/660338842.db2.gz AUSDLOIIJHQBPP-NVXWUHKLSA-N 0 1 324.424 3.266 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C[C@@H]1C ZINC000947933458 660338876 /nfs/dbraw/zinc/33/88/76/660338876.db2.gz AUSDLOIIJHQBPP-RDJZCZTQSA-N 0 1 324.424 3.266 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)c(C)c1 ZINC001032561850 660348928 /nfs/dbraw/zinc/34/89/28/660348928.db2.gz DMBLSGNJGDLKEX-ROUUACIJSA-N 0 1 312.457 3.301 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1C ZINC001032606527 660375157 /nfs/dbraw/zinc/37/51/57/660375157.db2.gz WCVUWMGGUZYATA-BJLQDIEVSA-N 0 1 312.457 3.382 20 30 DGEDMN C=C1CCC(C(=O)N(CC)[C@H]2CCCN(CCF)CC2)CC1 ZINC000998109493 660370784 /nfs/dbraw/zinc/37/07/84/660370784.db2.gz KQTZJNGTQPDKTF-KRWDZBQOSA-N 0 1 310.457 3.405 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)Cc1ccccc1 ZINC001032658659 660407671 /nfs/dbraw/zinc/40/76/71/660407671.db2.gz MTTKEVSNQRUUTF-QYZOEREBSA-N 0 1 312.457 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC000999191857 660407995 /nfs/dbraw/zinc/40/79/95/660407995.db2.gz CJCKBHWWPUHJIT-SOUVJXGZSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)CC1CCCCC1 ZINC001032660808 660408549 /nfs/dbraw/zinc/40/85/49/660408549.db2.gz JMZQJCVGKVMWKL-NJAFHUGGSA-N 0 1 304.478 3.454 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc(C)c2F)C1 ZINC000999219203 660408947 /nfs/dbraw/zinc/40/89/47/660408947.db2.gz DBZLTFHWMLEYTA-CYBMUJFWSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCC=CCCC2)C1 ZINC000999476115 660419610 /nfs/dbraw/zinc/41/96/10/660419610.db2.gz PSLDWSYBGZLXLH-CVEARBPZSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCc2ccsc2)C1 ZINC000999358826 660416840 /nfs/dbraw/zinc/41/68/40/660416840.db2.gz IQNXXRQILFFEGC-AWEZNQCLSA-N 0 1 312.866 3.014 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2c[nH]c3ccccc23)C1 ZINC000999627828 660423433 /nfs/dbraw/zinc/42/34/33/660423433.db2.gz WUEZQVTYXSTJLF-CYBMUJFWSA-N 0 1 317.820 3.115 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](NCc2ncc(C)s2)C1 ZINC000999877634 660430302 /nfs/dbraw/zinc/43/03/02/660430302.db2.gz VTYBVZIHFLALRN-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000999898423 660429794 /nfs/dbraw/zinc/42/97/94/660429794.db2.gz IQFJKDMVBSXFJG-OAHLLOKOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)C(C)(C)C)C1 ZINC000999906403 660431659 /nfs/dbraw/zinc/43/16/59/660431659.db2.gz ZQNCHCCXVHFUBW-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCc2ccccc2C1 ZINC001032752057 660438574 /nfs/dbraw/zinc/43/85/74/660438574.db2.gz ZKRMTJISBPKNOQ-UXPWSPDFSA-N 0 1 324.468 3.043 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1occ2c1CCCC2 ZINC001032752153 660438961 /nfs/dbraw/zinc/43/89/61/660438961.db2.gz CXRQCPMHWSHGIN-HOTGVXAUSA-N 0 1 314.429 3.023 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccc(Cl)s1 ZINC001032779942 660443985 /nfs/dbraw/zinc/44/39/85/660443985.db2.gz REVMXABZBIPOCG-STQMWFEESA-N 0 1 324.877 3.195 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)c1ccc(F)cc1 ZINC001032797843 660449738 /nfs/dbraw/zinc/44/97/38/660449738.db2.gz GFZNQZKOCNAIKT-WBAXXEDZSA-N 0 1 316.420 3.181 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCc2ccccc21 ZINC001032807436 660451889 /nfs/dbraw/zinc/45/18/89/660451889.db2.gz JOCYDCYSSWAERQ-BJLQDIEVSA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2c(C)cccc2Cl)C1 ZINC001043225084 660456213 /nfs/dbraw/zinc/45/62/13/660456213.db2.gz WURGTJGCFBVDJC-UHFFFAOYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(C)C)s1 ZINC001032823390 660459433 /nfs/dbraw/zinc/45/94/33/660459433.db2.gz CVJYTXUNPAAMCR-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2csc(C)c2C)C[C@H]1C ZINC001054680499 660467505 /nfs/dbraw/zinc/46/75/05/660467505.db2.gz NIMQGQUQORJWNM-YMTOWFKASA-N 0 1 312.866 3.168 20 30 DGEDMN C#CCN1CCC(NC(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001003804063 660509679 /nfs/dbraw/zinc/50/96/79/660509679.db2.gz COCNOZIVXHVLAR-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC(NC(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001003804042 660509703 /nfs/dbraw/zinc/50/97/03/660509703.db2.gz BRECGWHURJWHMJ-IAGOWNOFSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CCN1CCC(NC(=O)c2ccc(C(C)(C)C)s2)CC1 ZINC001004185251 660532414 /nfs/dbraw/zinc/53/24/14/660532414.db2.gz KLOYJISDVGJTBK-UHFFFAOYSA-N 0 1 318.486 3.263 20 30 DGEDMN CC#CCN1CCC(NC(=O)CC2CCC(C)(C)CC2)CC1 ZINC001004284312 660538990 /nfs/dbraw/zinc/53/89/90/660538990.db2.gz HDJHBHLYWHETFZ-UHFFFAOYSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001033245091 660599171 /nfs/dbraw/zinc/59/91/71/660599171.db2.gz VVAXJKRMAMFRBU-CQSZACIVSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3cc(Cl)ccc3o2)C1 ZINC001033245090 660599196 /nfs/dbraw/zinc/59/91/96/660599196.db2.gz VVAXJKRMAMFRBU-AWEZNQCLSA-N 0 1 318.804 3.419 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2occc2-c2ccccc2)C1 ZINC001033253747 660599866 /nfs/dbraw/zinc/59/98/66/660599866.db2.gz NMHRNPUSJKQALD-MRXNPFEDSA-N 0 1 310.397 3.279 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3c2cccc3Cl)C1 ZINC001033269945 660602037 /nfs/dbraw/zinc/60/20/37/660602037.db2.gz WLGBQXXGZQDXCX-CZUORRHYSA-N 0 1 318.848 3.089 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cccc(Cl)c2F)C1 ZINC001033386073 660617760 /nfs/dbraw/zinc/61/77/60/660617760.db2.gz SGXBUHQIXIRTEA-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2ccc(CC)cc2)C1 ZINC001033512129 660628944 /nfs/dbraw/zinc/62/89/44/660628944.db2.gz FPTCMXOTJWFVCA-YJBOKZPZSA-N 0 1 300.446 3.071 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(O[C@H](C)CC)cc2)C1 ZINC001033567748 660631842 /nfs/dbraw/zinc/63/18/42/660631842.db2.gz RRACEHCSGRPYOR-WBVHZDCISA-N 0 1 316.445 3.196 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001033564975 660632944 /nfs/dbraw/zinc/63/29/44/660632944.db2.gz ILGXNPIZESCNCE-STQMWFEESA-N 0 1 324.877 3.061 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ccc(C3CC3)s2)C1 ZINC001033586539 660634822 /nfs/dbraw/zinc/63/48/22/660634822.db2.gz XUBZBDWTXLIKOS-CQSZACIVSA-N 0 1 304.459 3.348 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001033612433 660639518 /nfs/dbraw/zinc/63/95/18/660639518.db2.gz DQXRPTASJOTWPF-AWEZNQCLSA-N 0 1 313.228 3.326 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3ccccc3C)CCC2)C1 ZINC001033624464 660640992 /nfs/dbraw/zinc/64/09/92/660640992.db2.gz LSPKDWQIASMCIC-QGZVFWFLSA-N 0 1 312.457 3.135 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2sc(CCC)nc2C)C1 ZINC001033656505 660646300 /nfs/dbraw/zinc/64/63/00/660646300.db2.gz QITZPNUJLMKBRV-AWEZNQCLSA-N 0 1 321.490 3.126 20 30 DGEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001033690894 660651501 /nfs/dbraw/zinc/65/15/01/660651501.db2.gz IFFYBRWRUDMDFV-ZDUSSCGKSA-N 0 1 321.490 3.163 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2CC(C)(C)C)C1 ZINC001033834577 660667696 /nfs/dbraw/zinc/66/76/96/660667696.db2.gz QVGBZKWMNARMGR-GVDBMIGSSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001033875357 660673105 /nfs/dbraw/zinc/67/31/05/660673105.db2.gz RJHNHCCPUYCYET-IEBWSBKVSA-N 0 1 312.457 3.215 20 30 DGEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCC=CCCC2)C1 ZINC001033874453 660674403 /nfs/dbraw/zinc/67/44/03/660674403.db2.gz YVYDDLHJLUFCLP-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC=CCCC2)C1 ZINC001033874453 660674405 /nfs/dbraw/zinc/67/44/05/660674405.db2.gz YVYDDLHJLUFCLP-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(-n3cccc3)cc2)C1 ZINC001033886332 660675354 /nfs/dbraw/zinc/67/53/54/660675354.db2.gz ANKSHHLPRVYLOL-LJQANCHMSA-N 0 1 323.440 3.200 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC(C)=C(C)C2)CC1 ZINC001005440678 660675733 /nfs/dbraw/zinc/67/57/33/660675733.db2.gz QHSPOMYAGRTNBB-QGZVFWFLSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(F)c(Cl)c2)C1 ZINC001033939137 660684267 /nfs/dbraw/zinc/68/42/67/660684267.db2.gz VLLSFGMWGZRFGJ-ZDUSSCGKSA-N 0 1 310.800 3.202 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(OCC)c(C)c2)C1 ZINC001033978464 660689545 /nfs/dbraw/zinc/68/95/45/660689545.db2.gz YGLGJBKWVQUEGK-QGZVFWFLSA-N 0 1 316.445 3.116 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2csc(C(C)C)n2)C1 ZINC001033992857 660691707 /nfs/dbraw/zinc/69/17/07/660691707.db2.gz ZIXVYIJGPWYGLD-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cnc(C(C)C)s2)C1 ZINC001034055654 660700181 /nfs/dbraw/zinc/70/01/81/660700181.db2.gz ANVKLSRSKRPBIA-CQSZACIVSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2oc3ccccc3c2C)C1 ZINC001034034323 660697642 /nfs/dbraw/zinc/69/76/42/660697642.db2.gz CQIPMZAEOGQACP-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(CC)c(C)s2)C1 ZINC001034036454 660697656 /nfs/dbraw/zinc/69/76/56/660697656.db2.gz RHYJOPABOIOTSJ-HNNXBMFYSA-N 0 1 306.475 3.341 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(CCC)s2)C1 ZINC001034230189 660725638 /nfs/dbraw/zinc/72/56/38/660725638.db2.gz OPTDKGQYFJPFLK-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2cccc3c2CCCC3)C1 ZINC001034222464 660726563 /nfs/dbraw/zinc/72/65/63/660726563.db2.gz DYGUNTAOGQNRLV-SFHVURJKSA-N 0 1 324.468 3.173 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@H]1CCCCN(C/C=C/Cl)C1 ZINC001034248225 660727782 /nfs/dbraw/zinc/72/77/82/660727782.db2.gz XGAIMBNWJCHKQK-PPYMECGQSA-N 0 1 321.852 3.011 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2oc(C(F)F)cc2C)C1 ZINC001034254010 660729694 /nfs/dbraw/zinc/72/96/94/660729694.db2.gz ONRVZGDRWDIHLW-ZDUSSCGKSA-N 0 1 324.371 3.133 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc(C)c(F)cc2F)C1 ZINC001034263606 660730032 /nfs/dbraw/zinc/73/00/32/660730032.db2.gz ZPIKCFKWWJNXAJ-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCC=CCCC2)C1 ZINC001034267276 660730254 /nfs/dbraw/zinc/73/02/54/660730254.db2.gz VAFUONUWYMYHDU-ROUUACIJSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001034269510 660730672 /nfs/dbraw/zinc/73/06/72/660730672.db2.gz FWOCNNIDBXVYSZ-UYAOXDASSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001034333029 660738252 /nfs/dbraw/zinc/73/82/52/660738252.db2.gz BRLAIKFZBLWDJS-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(F)c(Cl)c2)C1 ZINC001034371136 660742272 /nfs/dbraw/zinc/74/22/72/660742272.db2.gz FMEACSVENFCHQG-AWEZNQCLSA-N 0 1 322.811 3.087 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC001034374822 660742814 /nfs/dbraw/zinc/74/28/14/660742814.db2.gz FJHOCKVUFQDXMM-GFCCVEGCSA-N 0 1 310.800 3.249 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2scnc2C2CC2)C1 ZINC001034434194 660753969 /nfs/dbraw/zinc/75/39/69/660753969.db2.gz YSZMRJBRYJDMDU-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC001034440837 660754408 /nfs/dbraw/zinc/75/44/08/660754408.db2.gz ZLXHCPZNQNGJLB-YSIASYRMSA-N 0 1 324.468 3.093 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001034471421 660758202 /nfs/dbraw/zinc/75/82/02/660758202.db2.gz CGFJRMFTNSNKMH-MRXNPFEDSA-N 0 1 316.445 3.319 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001034507217 660763262 /nfs/dbraw/zinc/76/32/62/660763262.db2.gz OXMYOUIHIADSSD-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001034507217 660763264 /nfs/dbraw/zinc/76/32/64/660763264.db2.gz OXMYOUIHIADSSD-SFHVURJKSA-N 0 1 312.457 3.202 20 30 DGEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034506649 660763405 /nfs/dbraw/zinc/76/34/05/660763405.db2.gz LKLVGVXPKHSFNJ-LJQANCHMSA-N 0 1 306.409 3.057 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc3ccccc3c2)C1 ZINC001034506649 660763407 /nfs/dbraw/zinc/76/34/07/660763407.db2.gz LKLVGVXPKHSFNJ-LJQANCHMSA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001034515382 660765527 /nfs/dbraw/zinc/76/55/27/660765527.db2.gz SCZXAODAZHJGNB-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cccc3ccccc32)C1 ZINC001034517749 660765998 /nfs/dbraw/zinc/76/59/98/660765998.db2.gz CSSTXMYMJNIZIV-GOSISDBHSA-N 0 1 320.436 3.447 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC001034522464 660766775 /nfs/dbraw/zinc/76/67/75/660766775.db2.gz VCWCPBBKFCGCFE-GOSISDBHSA-N 0 1 312.457 3.219 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H](C)c2ccc(C)cc2)C1 ZINC001034500559 660766897 /nfs/dbraw/zinc/76/68/97/660766897.db2.gz FQWCQVXVUIDOMX-IEBWSBKVSA-N 0 1 312.457 3.093 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)C1 ZINC001034553905 660773687 /nfs/dbraw/zinc/77/36/87/660773687.db2.gz PTUXUZMROBUUJQ-DLBZAZTESA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001034545414 660771942 /nfs/dbraw/zinc/77/19/42/660771942.db2.gz VUFAJRPDMLAQIQ-UYAOXDASSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001034545412 660772205 /nfs/dbraw/zinc/77/22/05/660772205.db2.gz VUFAJRPDMLAQIQ-ICSRJNTNSA-N 0 1 324.468 3.101 20 30 DGEDMN CC(C)(C)CC(=O)N1CCC[C@@H]1CNCc1ccccc1C#N ZINC001034861466 660798976 /nfs/dbraw/zinc/79/89/76/660798976.db2.gz YZBJBWITXZNWTR-QGZVFWFLSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNCc1cccc(F)c1F ZINC001035015329 660809245 /nfs/dbraw/zinc/80/92/45/660809245.db2.gz ANFLVHCXGMMDDI-CQSZACIVSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@@H](C)C1CC1)CC2 ZINC001035174692 660822263 /nfs/dbraw/zinc/82/22/63/660822263.db2.gz NRZSUXCMWKPIQC-AWEZNQCLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1CC[C@H](C)C1)CC2 ZINC001035234302 660831762 /nfs/dbraw/zinc/83/17/62/660831762.db2.gz WZQACFOVBCMDHR-HOCLYGCPSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C1(C)CC(=C)C1)CC2 ZINC001035226858 660828930 /nfs/dbraw/zinc/82/89/30/660828930.db2.gz NCKUEMGOLLDDNT-UHFFFAOYSA-N 0 1 322.880 3.410 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1scc(C)c1Cl)CC2 ZINC001035714438 660847047 /nfs/dbraw/zinc/84/70/47/660847047.db2.gz PZZHLCCSGMZTRQ-UHFFFAOYSA-N 0 1 324.877 3.434 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)CC1(C)CCCCC1)CC2 ZINC001035749763 660850951 /nfs/dbraw/zinc/85/09/51/660850951.db2.gz RSLLBQRVMJDWPH-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccc(CCC)cc1)CC2 ZINC001035778962 660853908 /nfs/dbraw/zinc/85/39/08/660853908.db2.gz HZIYENLLCNYQPG-UHFFFAOYSA-N 0 1 324.468 3.200 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)c1cccc(C)c1)CC2 ZINC001035808757 660855148 /nfs/dbraw/zinc/85/51/48/660855148.db2.gz YEUTZQGIOUGNBZ-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCCC(C)(C)C1)CC2 ZINC001035836164 660857416 /nfs/dbraw/zinc/85/74/16/660857416.db2.gz HWPHRASXZTXKJW-KRWDZBQOSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)c1ccc(C)s1)CC2 ZINC001035839530 660857683 /nfs/dbraw/zinc/85/76/83/660857683.db2.gz VCDFVQXTMHQFDZ-OAHLLOKOSA-N 0 1 318.486 3.270 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccc(C(C)C)cc1)CC2 ZINC001035843705 660858247 /nfs/dbraw/zinc/85/82/47/660858247.db2.gz ZCFLPYDRLTUYSS-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccccc1CC(C)C)CC2 ZINC001035859410 660860657 /nfs/dbraw/zinc/86/06/57/660860657.db2.gz AGAYVJAZELONOO-UHFFFAOYSA-N 0 1 324.468 3.056 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC(C)(C)C1)CC2 ZINC001035859598 660860680 /nfs/dbraw/zinc/86/06/80/660860680.db2.gz DVPRPJOUVBLBTC-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCCC[C@H]1C)CC2 ZINC001035860145 660860861 /nfs/dbraw/zinc/86/08/61/660860861.db2.gz TYWWPONSTUVYFJ-MSOLQXFVSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1(C)C(C)(C)C1(C)C)CC2 ZINC001035863279 660861082 /nfs/dbraw/zinc/86/10/82/660861082.db2.gz AWEMVIUIOLGGKW-UHFFFAOYSA-N 0 1 304.478 3.169 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)c3ccc(F)cc3)CC[C@@H]21 ZINC001036623822 660927592 /nfs/dbraw/zinc/92/75/92/660927592.db2.gz HIAWIDMYDJWMBV-ZBFHGGJFSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cccc(F)c3)CC[C@H]21 ZINC001036634772 660934419 /nfs/dbraw/zinc/93/44/19/660934419.db2.gz YDYSCTSSLBLIMK-GOEBONIOSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3c(C)coc3C)CC[C@@H]21 ZINC001036703364 660956812 /nfs/dbraw/zinc/95/68/12/660956812.db2.gz BGWCCHXHVSNDFO-GJZGRUSLSA-N 0 1 322.836 3.185 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(C)cc2Cl)CC1 ZINC001006029645 660957027 /nfs/dbraw/zinc/95/70/27/660957027.db2.gz UQJRFPPNRHMILQ-UHFFFAOYSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC001006051714 660959652 /nfs/dbraw/zinc/95/96/52/660959652.db2.gz HHDXCJODSARBJI-QZTJIDSGSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)c2cccc(C)c2)CC1 ZINC001006069178 660961175 /nfs/dbraw/zinc/96/11/75/660961175.db2.gz ZGKLSNPWJUNNTN-QGZVFWFLSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CC(C)(C)OC[C@@H]2C)c1O ZINC000792722834 660981115 /nfs/dbraw/zinc/98/11/15/660981115.db2.gz OMURYNMPSDIKRE-ZDUSSCGKSA-N 0 1 305.418 3.129 20 30 DGEDMN C[C@@H]1CSCCN(Cn2ccc(-c3ccc(C#N)cc3)n2)C1 ZINC000792719145 660981320 /nfs/dbraw/zinc/98/13/20/660981320.db2.gz GRIOJVQFDLQIBV-AWEZNQCLSA-N 0 1 312.442 3.064 20 30 DGEDMN O=C(/C=C/c1cnnn1Cc1ccccc1)c1cc(F)ccc1O ZINC000792882720 660991448 /nfs/dbraw/zinc/99/14/48/660991448.db2.gz ADWHBGZLXKYENC-VQHVLOKHSA-N 0 1 323.327 3.067 20 30 DGEDMN CC(=NN[C@H](C)C(C)(C)C)c1ccc(N2CCOCC2)c(F)c1 ZINC000793113722 661005380 /nfs/dbraw/zinc/00/53/80/661005380.db2.gz BUHVFTCTSKWTBW-CQSZACIVSA-N 0 1 321.440 3.411 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2CCC(=O)c3ccccc32)nc(C)n1 ZINC000793122881 661006291 /nfs/dbraw/zinc/00/62/91/661006291.db2.gz FLIRPCZAYDPUNY-JKSUJKDBSA-N 0 1 319.364 3.030 20 30 DGEDMN C=CCc1ccccc1OC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793127286 661006495 /nfs/dbraw/zinc/00/64/95/661006495.db2.gz XGMZFZZOACIXJW-UHFFFAOYSA-N 0 1 305.337 3.419 20 30 DGEDMN C#CC[C@@H](NCc1cnc2ccc(C#N)cn12)c1ccccc1 ZINC000793585460 661047780 /nfs/dbraw/zinc/04/77/80/661047780.db2.gz BNAWABHUZQYHBA-GOSISDBHSA-N 0 1 300.365 3.060 20 30 DGEDMN Cn1cc(-c2ccc(C=NNc3cccc(F)n3)s2)cn1 ZINC000795008958 661121285 /nfs/dbraw/zinc/12/12/85/661121285.db2.gz UYLKBVPDPMYXTR-UHFFFAOYSA-N 0 1 301.350 3.129 20 30 DGEDMN Fc1cccc(N=NCc2sccc2Br)n1 ZINC000795009659 661121322 /nfs/dbraw/zinc/12/13/22/661121322.db2.gz HCEXLBTWBRQKIZ-UHFFFAOYSA-N 0 1 300.156 3.491 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc2ccccc2[nH]1 ZINC000969140125 655527542 /nfs/dbraw/zinc/52/75/42/655527542.db2.gz YCZMAQGBZHKARR-QGZVFWFLSA-N 0 1 323.440 3.166 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCCC(C)(C)C1 ZINC000969161498 655529818 /nfs/dbraw/zinc/52/98/18/655529818.db2.gz YETLZBCORJSZSO-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC000969830961 655559164 /nfs/dbraw/zinc/55/91/64/655559164.db2.gz XGBNQHRMQNJBEB-RHSMWYFYSA-N 0 1 321.852 3.028 20 30 DGEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2coc3c2cccc3C)C1 ZINC000969847796 655560323 /nfs/dbraw/zinc/56/03/23/655560323.db2.gz OCRDLBHSQVJQPL-CQSZACIVSA-N 0 1 312.413 3.367 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C1 ZINC000969864891 655561216 /nfs/dbraw/zinc/56/12/16/655561216.db2.gz HOAIUCLPGYLJNR-XIRDDKMYSA-N 0 1 304.478 3.215 20 30 DGEDMN C[C@H]1C[C@@H](NC(=O)C2=CCCC2)CCN1Cc1ccccc1C#N ZINC000947191635 655561297 /nfs/dbraw/zinc/56/12/97/655561297.db2.gz VRACZYNFBAUWQM-KXBFYZLASA-N 0 1 323.440 3.138 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2ccc(-c3ccco3)o2)C1 ZINC000970127624 655578019 /nfs/dbraw/zinc/57/80/19/655578019.db2.gz QOONANRUTUUJGT-ZDUSSCGKSA-N 0 1 314.385 3.166 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3cc(F)ccc3o2)C1 ZINC000970141713 655580209 /nfs/dbraw/zinc/58/02/09/655580209.db2.gz DZMXEDKGCJUEDG-CYBMUJFWSA-N 0 1 322.767 3.129 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(C)s1 ZINC000968719737 655585520 /nfs/dbraw/zinc/58/55/20/655585520.db2.gz PKNCWEYHUACQSD-OAHLLOKOSA-N 0 1 304.459 3.054 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2csc3ccccc23)C1 ZINC000970228630 655589477 /nfs/dbraw/zinc/58/94/77/655589477.db2.gz OHOHJBDAELIXMH-GFCCVEGCSA-N 0 1 300.427 3.137 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccccc2C)C1 ZINC000970235466 655589762 /nfs/dbraw/zinc/58/97/62/655589762.db2.gz SYRWNNQQRQXZKT-HYVNUMGLSA-N 0 1 318.848 3.042 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)c2ccccc2F)C1 ZINC000970277562 655590972 /nfs/dbraw/zinc/59/09/72/655590972.db2.gz FZUHPZUHNDXFLT-TZMCWYRMSA-N 0 1 324.827 3.262 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC000970301617 655592830 /nfs/dbraw/zinc/59/28/30/655592830.db2.gz RAYAHTPJYSWCEB-JKSUJKDBSA-N 0 1 318.848 3.050 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccccc2OC(C)C)C1 ZINC000970541031 655605248 /nfs/dbraw/zinc/60/52/48/655605248.db2.gz YQMVQRIIQOGNMN-CQSZACIVSA-N 0 1 322.836 3.030 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(-c3ccco3)s2)C1 ZINC000970672871 655612072 /nfs/dbraw/zinc/61/20/72/655612072.db2.gz ONZJZRGWVYSLBW-LBPRGKRZSA-N 0 1 316.426 3.244 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)c2ccccc2C)C1 ZINC000970775966 655619254 /nfs/dbraw/zinc/61/92/54/655619254.db2.gz XDSAKLHDVWCVFB-HUUCEWRRSA-N 0 1 306.837 3.042 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC000970786464 655620743 /nfs/dbraw/zinc/62/07/43/655620743.db2.gz QPUGFEBRRBSFKQ-AWEZNQCLSA-N 0 1 300.446 3.220 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(Cl)ccc2Cl)C1 ZINC000970791240 655620847 /nfs/dbraw/zinc/62/08/47/655620847.db2.gz NNFIPLIEYYVHED-JTQLQIEISA-N 0 1 313.228 3.230 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C(/C)C2CC2)C1 ZINC001021529752 655625375 /nfs/dbraw/zinc/62/53/75/655625375.db2.gz PZUPYRRDQQVMEB-JINBKUQRSA-N 0 1 322.880 3.408 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CCC(C)=C(C)C2)C1 ZINC000970833045 655628077 /nfs/dbraw/zinc/62/80/77/655628077.db2.gz JPBINELMIOJDHT-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2[C@H](CCCN2C(=O)c2[nH]ccc2C)C1 ZINC001021574751 655635486 /nfs/dbraw/zinc/63/54/86/655635486.db2.gz FHUKZBHIAJDSQE-CABCVRRESA-N 0 1 321.852 3.002 20 30 DGEDMN C=C1CCC(C(=O)N2CCC[C@@H]3CN(CCF)CC[C@@H]32)CC1 ZINC001021666724 655652439 /nfs/dbraw/zinc/65/24/39/655652439.db2.gz WLXADXGWQJTMPZ-SJORKVTESA-N 0 1 308.441 3.015 20 30 DGEDMN N#CC(C(=O)CCCc1ccccc1)C(=O)NC1CCCCC1 ZINC000050683096 655673879 /nfs/dbraw/zinc/67/38/79/655673879.db2.gz TZROSZGEFJCONT-QGZVFWFLSA-N 0 1 312.413 3.167 20 30 DGEDMN C[C@]1(C(=O)N[C@H]2C[C@@H](NCc3ccccc3C#N)C2)CC=CCC1 ZINC001022132666 655714962 /nfs/dbraw/zinc/71/49/62/655714962.db2.gz LTSYEXAKXLPDHD-NSHGMRRFSA-N 0 1 323.440 3.041 20 30 DGEDMN O=C(/C=C\c1ccc(N2CCOCC2)cc1)c1ccccc1O ZINC000255394580 655728855 /nfs/dbraw/zinc/72/88/55/655728855.db2.gz PGXRMDQASIHZAU-YFHOEESVSA-N 0 1 309.365 3.125 20 30 DGEDMN C=C(C)CN1C[C@H](C)OC2(CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000933852255 655736062 /nfs/dbraw/zinc/73/60/62/655736062.db2.gz KOBNQWQGAJVMKT-HNNXBMFYSA-N 0 1 324.465 3.053 20 30 DGEDMN CC(C)(C)[C@H](C#N)NC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000934236657 655758186 /nfs/dbraw/zinc/75/81/86/655758186.db2.gz RCDHQNADDPRCQE-JTQLQIEISA-N 0 1 306.316 3.169 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)Cc2ncccc2C)C1 ZINC000972074206 655762385 /nfs/dbraw/zinc/76/23/85/655762385.db2.gz XZXDKXOUFIFRJQ-INIZCTEOSA-N 0 1 315.461 3.025 20 30 DGEDMN COc1cc(C(=O)/C=C\c2cc(F)c(O)c(F)c2)ccc1O ZINC000255495135 655768490 /nfs/dbraw/zinc/76/84/90/655768490.db2.gz PVWXGWCYKZIVQK-RQOWECAXSA-N 0 1 306.264 3.281 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N(CCC#N)c1ccc(C)cc1 ZINC000934469349 655777634 /nfs/dbraw/zinc/77/76/34/655777634.db2.gz SMFCWIIGDYJXDA-IAGOWNOFSA-N 0 1 311.429 3.281 20 30 DGEDMN C[C@H](Oc1cccc(Cl)c1)C(=O)Nc1cc(C#N)ccc1O ZINC000176819590 655847978 /nfs/dbraw/zinc/84/79/78/655847978.db2.gz RZVRDTKKYHIFCI-JTQLQIEISA-N 0 1 316.744 3.323 20 30 DGEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2cccc(C(C)C)c2)C1 ZINC001023685022 655854990 /nfs/dbraw/zinc/85/49/90/655854990.db2.gz BKLXCUVLFMUPLH-KRWDZBQOSA-N 0 1 312.457 3.275 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1csc2cc(C)ccc12 ZINC001038295687 655866039 /nfs/dbraw/zinc/86/60/39/655866039.db2.gz JXCWKXBVIRSOPN-CQSZACIVSA-N 0 1 312.438 3.037 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H](CNCc2cscn2)C1 ZINC001024137198 655889324 /nfs/dbraw/zinc/88/93/24/655889324.db2.gz KOUZACWGOXZWLB-PBHICJAKSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cccc2scnc21 ZINC001024438709 655906252 /nfs/dbraw/zinc/90/62/52/655906252.db2.gz VBVZBCJICWUBIV-CYBMUJFWSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001024453309 655906569 /nfs/dbraw/zinc/90/65/69/655906569.db2.gz HOPBVBKFUAIBNA-ZWKOTPCHSA-N 0 1 302.462 3.117 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2cnc3ccc(C)cn23)c(O)c1 ZINC000255836473 655908833 /nfs/dbraw/zinc/90/88/33/655908833.db2.gz VJIFTCBDGYBGSX-DAXSKMNVSA-N 0 1 308.337 3.253 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C3(CC)CCC3)CC[C@@H]21 ZINC001036795255 661202257 /nfs/dbraw/zinc/20/22/57/661202257.db2.gz JUSVXWJCNHMCCB-CABCVRRESA-N 0 1 310.869 3.242 20 30 DGEDMN COCCOc1ccccc1/C=C\C(=O)c1ccc(O)c(F)c1 ZINC000255847929 655912279 /nfs/dbraw/zinc/91/22/79/655912279.db2.gz VJYYIRYTDDAZQA-VURMDHGXSA-N 0 1 316.328 3.453 20 30 DGEDMN COc1cccc(SCC(=O)Nc2cc(C#N)ccc2O)c1 ZINC000179732853 655912859 /nfs/dbraw/zinc/91/28/59/655912859.db2.gz IMNWILSRLYYIBB-UHFFFAOYSA-N 0 1 314.366 3.003 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccnc2ccccc21 ZINC001024541241 655914982 /nfs/dbraw/zinc/91/49/82/655914982.db2.gz ZTKPQPVGHCKEKG-OAHLLOKOSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C)nc1C(C)C ZINC001024579793 655920123 /nfs/dbraw/zinc/92/01/23/655920123.db2.gz LNDRSQJTSLTKGV-MRXNPFEDSA-N 0 1 315.461 3.284 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001024585736 655920895 /nfs/dbraw/zinc/92/08/95/655920895.db2.gz FJFXEQXVZFPRDQ-OAHLLOKOSA-N 0 1 315.392 3.077 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(CCC)cc1 ZINC001024624938 655925502 /nfs/dbraw/zinc/92/55/02/655925502.db2.gz DMXCTXPTGUGITI-SFHVURJKSA-N 0 1 300.446 3.409 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cc([C@H](C)CC)no1 ZINC001024697179 655931413 /nfs/dbraw/zinc/93/14/13/655931413.db2.gz RQSIOHNCBFVZSO-CABCVRRESA-N 0 1 319.449 3.349 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC001024701917 655931978 /nfs/dbraw/zinc/93/19/78/655931978.db2.gz KZRNMPQVSDPIMP-IBGZPJMESA-N 0 1 310.441 3.416 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1[nH]c2ccc(C)cc2c1C ZINC001024741008 655934273 /nfs/dbraw/zinc/93/42/73/655934273.db2.gz QVLCPWIJQOICRQ-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1cccc2ccncc21 ZINC001024737255 655934513 /nfs/dbraw/zinc/93/45/13/655934513.db2.gz HBIIQDKKLLONEV-INIZCTEOSA-N 0 1 309.413 3.005 20 30 DGEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC001024743041 655935034 /nfs/dbraw/zinc/93/50/34/655935034.db2.gz ZFTMJVYFWKOLJT-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cccc(C(C)C)c1 ZINC001024743041 655935035 /nfs/dbraw/zinc/93/50/35/655935035.db2.gz ZFTMJVYFWKOLJT-LJQANCHMSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001024767801 655936906 /nfs/dbraw/zinc/93/69/06/655936906.db2.gz JGAQVAAIGKNXBK-BZSNNMDCSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1ccc(Cl)s1 ZINC001024788423 655939044 /nfs/dbraw/zinc/93/90/44/655939044.db2.gz JTXPQJKIAKROPH-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001024789142 655939484 /nfs/dbraw/zinc/93/94/84/655939484.db2.gz TWPPODORVLSELN-DAWZGUTISA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024805765 655940761 /nfs/dbraw/zinc/94/07/61/655940761.db2.gz CRHDALJVGRLFBY-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C)c(F)c(C)c1 ZINC001024805765 655940763 /nfs/dbraw/zinc/94/07/63/655940763.db2.gz CRHDALJVGRLFBY-MRXNPFEDSA-N 0 1 304.409 3.213 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001024805718 655940886 /nfs/dbraw/zinc/94/08/86/655940886.db2.gz YYAGTMOTEZPYMW-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C2CCCC2)no1 ZINC001024805718 655940889 /nfs/dbraw/zinc/94/08/89/655940889.db2.gz YYAGTMOTEZPYMW-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1CC(c2ccccc2)C1 ZINC001024821140 655941765 /nfs/dbraw/zinc/94/17/65/655941765.db2.gz FQQJVKVQQNGWLF-MHJFOBGBSA-N 0 1 324.468 3.174 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccccc1CC(C)C ZINC001024816495 655942592 /nfs/dbraw/zinc/94/25/92/655942592.db2.gz LTGKNFKSHSFUCK-GOSISDBHSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cc2c(cccc2C)o1 ZINC001024816629 655942838 /nfs/dbraw/zinc/94/28/38/655942838.db2.gz NNRYNIPNMGFIKW-INIZCTEOSA-N 0 1 324.424 3.349 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001025037719 655958039 /nfs/dbraw/zinc/95/80/39/655958039.db2.gz MQGXNHJOIZSNLF-AWEZNQCLSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ccc(Cl)o1 ZINC001025199316 655976373 /nfs/dbraw/zinc/97/63/73/655976373.db2.gz VQNHRHDIXHXWMV-KGLIPLIRSA-N 0 1 320.820 3.025 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCCC[C@H]2[C@H]2CCCN2CCF)C1 ZINC001025268485 655986443 /nfs/dbraw/zinc/98/64/43/655986443.db2.gz BPOUHKVRLQKHNB-CVEARBPZSA-N 0 1 308.441 3.158 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cncc(-c2ccccc2)c1 ZINC001038326208 655999745 /nfs/dbraw/zinc/99/97/45/655999745.db2.gz GDVMJRSNNAENDF-LJQANCHMSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)[C@H]3CC[C@H](C)CC3)CC[C@@H]21 ZINC001036874748 661219092 /nfs/dbraw/zinc/21/90/92/661219092.db2.gz OKDRQGPOFZXXQU-HJWJTTGWSA-N 0 1 324.896 3.488 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)Cc1ccccc1F ZINC000122702778 656080082 /nfs/dbraw/zinc/08/00/82/656080082.db2.gz AUVMWYMQVJPJKG-CYBMUJFWSA-N 0 1 307.328 3.131 20 30 DGEDMN CCC(=O)C(C#N)C(=O)N[C@H](C)c1ccc(Cl)cc1Cl ZINC000193726927 656193164 /nfs/dbraw/zinc/19/31/64/656193164.db2.gz KAAPRNNPLFFVEI-KCJUWKMLSA-N 0 1 313.184 3.289 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc3c2CCCC3)C(C)(C)C1 ZINC000974618832 656216438 /nfs/dbraw/zinc/21/64/38/656216438.db2.gz FUHNKLFSXICENM-GOSISDBHSA-N 0 1 312.457 3.192 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C(C)(C)C1 ZINC000974855643 656235109 /nfs/dbraw/zinc/23/51/09/656235109.db2.gz OUEHSLXZYVEGSK-NXOAAHMSSA-N 0 1 304.478 3.215 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc(C)ccc2C2CC2)C(C)(C)C1 ZINC000974865451 656236418 /nfs/dbraw/zinc/23/64/18/656236418.db2.gz UDGZROGNELROMW-IBGZPJMESA-N 0 1 324.468 3.336 20 30 DGEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C(C)(C)c2cccs2)C(C)(C)C1 ZINC000974916185 656241032 /nfs/dbraw/zinc/24/10/32/656241032.db2.gz XIWHQPMPYGZBAY-CQSZACIVSA-N 0 1 320.502 3.428 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)[C@@H](F)c2ccccc2)C(C)(C)C1 ZINC000975083003 656254849 /nfs/dbraw/zinc/25/48/49/656254849.db2.gz KQVIQBVDZFWZRW-HOTGVXAUSA-N 0 1 304.409 3.100 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2sc(C)cc2OC)C(C)(C)C1 ZINC000975106320 656256690 /nfs/dbraw/zinc/25/66/90/656256690.db2.gz FZKHQGHIPUJOQF-AWEZNQCLSA-N 0 1 322.474 3.081 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccccc2-n2cccc2)CC1 ZINC000950000651 656327484 /nfs/dbraw/zinc/32/74/84/656327484.db2.gz RNZURYKQLUMVBE-UHFFFAOYSA-N 0 1 323.440 3.201 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001027522196 656329394 /nfs/dbraw/zinc/32/93/94/656329394.db2.gz UGAJABZNIAOIRT-MRXNPFEDSA-N 0 1 315.461 3.025 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000977494433 656335004 /nfs/dbraw/zinc/33/50/04/656335004.db2.gz MWAGJGSZLZSRTM-BRWVUGGUSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(C)cc2)C(C)(C)C1 ZINC000977517879 656336340 /nfs/dbraw/zinc/33/63/40/656336340.db2.gz UYVDWZOZYXOVAS-RDJZCZTQSA-N 0 1 300.446 3.111 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2ccc3c(c2)CCCC3)C(C)(C)C1 ZINC000977558255 656342883 /nfs/dbraw/zinc/34/28/83/656342883.db2.gz ORGJDCYHXFSSOY-IBGZPJMESA-N 0 1 324.468 3.029 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC(C)C)cc2)C(C)(C)C1 ZINC000977561389 656343265 /nfs/dbraw/zinc/34/32/65/656343265.db2.gz ZSWNPCJQWCURKM-KRWDZBQOSA-N 0 1 316.445 3.100 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)c(CC)s2)C(C)(C)C1 ZINC000977576771 656344461 /nfs/dbraw/zinc/34/44/61/656344461.db2.gz OCKFJSCRYVRLBF-MRXNPFEDSA-N 0 1 318.486 3.082 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(C)ccc3o2)C(C)(C)C1 ZINC000977619578 656349729 /nfs/dbraw/zinc/34/97/29/656349729.db2.gz BKACADBDTAHFIS-QGZVFWFLSA-N 0 1 312.413 3.367 20 30 DGEDMN C#CCC[N@H+]1C[C@H](NC(=O)C2CC(c3ccccc3)C2)C(C)(C)C1 ZINC000977639843 656350572 /nfs/dbraw/zinc/35/05/72/656350572.db2.gz LIXMZHIGGJEQLJ-ACBHZAAOSA-N 0 1 324.468 3.030 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977656763 656353588 /nfs/dbraw/zinc/35/35/88/656353588.db2.gz CFVHRQBWVMRNKJ-HOTGVXAUSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000977664244 656354585 /nfs/dbraw/zinc/35/45/85/656354585.db2.gz RNZZNGFLLQOFSS-DLBZAZTESA-N 0 1 318.505 3.443 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H](N(C)Cc2cccnc2)C1 ZINC001027560337 656359471 /nfs/dbraw/zinc/35/94/71/656359471.db2.gz WUFGKMVYTNUMAL-PKOBYXMFSA-N 0 1 315.461 3.107 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCC=CCCC3)[C@@H]2C1 ZINC000978325789 656374828 /nfs/dbraw/zinc/37/48/28/656374828.db2.gz UCCVQLQDALCUGW-VQHPVUNQSA-N 0 1 322.880 3.169 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2cccc3ccccc32)CC1 ZINC001006363436 661257816 /nfs/dbraw/zinc/25/78/16/661257816.db2.gz CQLIOMZYWNUBGY-UHFFFAOYSA-N 0 1 320.436 3.400 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccc(F)cc2)CC1 ZINC001052159475 656418033 /nfs/dbraw/zinc/41/80/33/656418033.db2.gz WOVWOORTENHEQZ-HNNXBMFYSA-N 0 1 310.800 3.163 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C[C@H]2C=CCCC2)CC1 ZINC001052487988 656439297 /nfs/dbraw/zinc/43/92/97/656439297.db2.gz FRQVRBFXDQICTP-JKSUJKDBSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C23CCC(CC2)C3)CC1 ZINC001052499196 656439643 /nfs/dbraw/zinc/43/96/43/656439643.db2.gz PTEAXCHVJJVZRY-CKDBGZEDSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2C(C)(C)C)CC1 ZINC001052551565 656444125 /nfs/dbraw/zinc/44/41/25/656444125.db2.gz FMXUHSMPQIAROE-RRFJBIMHSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(F)ccc1F ZINC001039387140 656491585 /nfs/dbraw/zinc/49/15/85/656491585.db2.gz UWAOSCVQLRCVDX-CABCVRRESA-N 0 1 320.383 3.220 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(C)(C)C ZINC001039417777 656496637 /nfs/dbraw/zinc/49/66/37/656496637.db2.gz XGMLSXYBXBXFIT-CVEARBPZSA-N 0 1 304.478 3.147 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1C1CC1 ZINC001039424262 656499169 /nfs/dbraw/zinc/49/91/69/656499169.db2.gz ILJLXIXYAVEEKZ-CABCVRRESA-N 0 1 300.402 3.022 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ccoc1)C2 ZINC001048505002 656503448 /nfs/dbraw/zinc/50/34/48/656503448.db2.gz ZRODKMNDBMPKCA-YOWGUQMCSA-N 0 1 308.809 3.005 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1cccs1 ZINC001039446158 656504058 /nfs/dbraw/zinc/50/40/58/656504058.db2.gz VJEOFGJLLUHHLG-XHSDSOJGSA-N 0 1 318.486 3.178 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)c1ccccc1C ZINC001039447527 656504089 /nfs/dbraw/zinc/50/40/89/656504089.db2.gz QTUMMQGBILEEPG-OTWHNJEPSA-N 0 1 324.468 3.187 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1coc(C)c1)C2 ZINC001048520009 656506125 /nfs/dbraw/zinc/50/61/25/656506125.db2.gz REOFUGFRXRAVDS-RBVVOMGSSA-N 0 1 322.836 3.313 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCCC(C)(C)C1 ZINC001039458546 656506633 /nfs/dbraw/zinc/50/66/33/656506633.db2.gz BPILBLLPTRXRTD-KURKYZTESA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CCC(C2CC2)CC1 ZINC001039465195 656508265 /nfs/dbraw/zinc/50/82/65/656508265.db2.gz STHSMLRYCXBYTL-GMNCBBECSA-N 0 1 316.489 3.454 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1C1CC1 ZINC001039465833 656508807 /nfs/dbraw/zinc/50/88/07/656508807.db2.gz CHKNQEQAPMPABN-CABCVRRESA-N 0 1 316.470 3.490 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)c1ccccc1C ZINC001039473673 656510451 /nfs/dbraw/zinc/51/04/51/656510451.db2.gz XUHNLGFXYRNIOJ-OTWHNJEPSA-N 0 1 324.468 3.187 20 30 DGEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1sccc1CC ZINC001039473876 656511057 /nfs/dbraw/zinc/51/10/57/656511057.db2.gz GQQDAKLHDSHFGW-CVEARBPZSA-N 0 1 316.470 3.013 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCc2ccccc21 ZINC001039475660 656511749 /nfs/dbraw/zinc/51/17/49/656511749.db2.gz BRGKDHCTKSBKKM-LQWHRVPQSA-N 0 1 324.468 3.142 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C=C3CCCCC3)C[C@@H]2C1 ZINC001048708044 656534213 /nfs/dbraw/zinc/53/42/13/656534213.db2.gz AMUMBIHTPKRHLK-IYBDPMFKSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC3(C)CCCC3)C[C@@H]2C1 ZINC001048802839 656539424 /nfs/dbraw/zinc/53/94/24/656539424.db2.gz MPCIWSLAIZXZLA-GASCZTMLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@]3(C)CCC[C@H]3CC)C[C@@H]2C1 ZINC001048892351 656544975 /nfs/dbraw/zinc/54/49/75/656544975.db2.gz YUUJRPHQMMKPEL-HPFXQQBRSA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCCc2ccccc21 ZINC001027969362 656557782 /nfs/dbraw/zinc/55/77/82/656557782.db2.gz JZPIMWVWEBNFRV-HKUYNNGSSA-N 0 1 312.457 3.263 20 30 DGEDMN N#Cc1cccc(C[NH2+]Cc2cc(Br)ccc2[O-])c1 ZINC000066971940 656580838 /nfs/dbraw/zinc/58/08/38/656580838.db2.gz KNOFMZJMZVLCMO-UHFFFAOYSA-N 0 1 317.186 3.316 20 30 DGEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cc2ccccc2s1 ZINC001028004899 656591056 /nfs/dbraw/zinc/59/10/56/656591056.db2.gz KCVJITFQSGQIQD-HNNXBMFYSA-N 0 1 312.438 3.119 20 30 DGEDMN C#CCCN1CCC[C@H]1CNC(=O)c1cc2ccccc2s1 ZINC001028004899 656591059 /nfs/dbraw/zinc/59/10/59/656591059.db2.gz KCVJITFQSGQIQD-HNNXBMFYSA-N 0 1 312.438 3.119 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@H]2[C@H]1CCN2Cc1ccccc1C#N ZINC001049290963 656591880 /nfs/dbraw/zinc/59/18/80/656591880.db2.gz HATPSWSHIRYEIH-RBUKOAKNSA-N 0 1 323.440 3.090 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)/C=C/C3CC3)C2)CC1 ZINC001040648752 656600369 /nfs/dbraw/zinc/60/03/69/656600369.db2.gz HWQITQBMPVPTNU-SNAWJCMRSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)c3cocc3C)C2)CC1 ZINC001040806005 656607296 /nfs/dbraw/zinc/60/72/96/656607296.db2.gz DWKDDOUNUZVWGH-UHFFFAOYSA-N 0 1 322.836 3.269 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H](F)C(C)C)C2)CC1 ZINC001040812143 656608042 /nfs/dbraw/zinc/60/80/42/656608042.db2.gz KXQKGQWZTPDHNQ-AWEZNQCLSA-N 0 1 316.848 3.048 20 30 DGEDMN CC(C)CC(=O)N1CC[C@]2(CCN(CC#Cc3ccccc3)C2)C1 ZINC001041022824 656623317 /nfs/dbraw/zinc/62/33/17/656623317.db2.gz NQZDIEQOEOCYDE-NRFANRHFSA-N 0 1 324.468 3.009 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc2c(o1)CCCC2 ZINC001049515060 656634238 /nfs/dbraw/zinc/63/42/38/656634238.db2.gz LQYNJULCJZFLBT-JKSUJKDBSA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1CCCCCCC1 ZINC001049586389 656646174 /nfs/dbraw/zinc/64/61/74/656646174.db2.gz SHMSKGJUMMCXFF-ZWKOTPCHSA-N 0 1 302.462 3.045 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1csc2ccccc12 ZINC001049619864 656650002 /nfs/dbraw/zinc/65/00/02/656650002.db2.gz HFRMUWYXJRPDJL-IAGOWNOFSA-N 0 1 324.449 3.213 20 30 DGEDMN C=CCCN1CCCN(C(=O)c2scc(C)c2Cl)CC1 ZINC000981233742 656653707 /nfs/dbraw/zinc/65/37/07/656653707.db2.gz ZMWVEHDPUSHDFW-UHFFFAOYSA-N 0 1 312.866 3.434 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCCN2Cc2ccsc2)cc1 ZINC001028066512 656659563 /nfs/dbraw/zinc/65/95/63/656659563.db2.gz CCSMXWNTSZTMHU-GOSISDBHSA-N 0 1 324.449 3.124 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@]2(CCN(C/C=C\Cl)C2)C1 ZINC001041639547 656661611 /nfs/dbraw/zinc/66/16/11/656661611.db2.gz WMDXRCBQMZXVPR-NWTSWCFDSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cc(F)c3ccccc3c2)CC1 ZINC000981290778 656671810 /nfs/dbraw/zinc/67/18/10/656671810.db2.gz KOKRSKAAYIJKTI-UHFFFAOYSA-N 0 1 312.388 3.313 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](c1ccccc1)C(C)C ZINC001049753931 656679933 /nfs/dbraw/zinc/67/99/33/656679933.db2.gz LZWMNJYRQRMBAF-XUVXKRRUSA-N 0 1 324.468 3.125 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(Cl)cs1 ZINC001049761676 656681864 /nfs/dbraw/zinc/68/18/64/656681864.db2.gz LAPAFCXJTLPZCL-KBPBESRZSA-N 0 1 322.861 3.104 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccsc1Cl ZINC001049761604 656682019 /nfs/dbraw/zinc/68/20/19/656682019.db2.gz KMMSILCIPKEQJK-KGLIPLIRSA-N 0 1 322.861 3.104 20 30 DGEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc([C@H](C)CC)no1 ZINC001049770333 656683720 /nfs/dbraw/zinc/68/37/20/656683720.db2.gz PVMMMJAKQKZMQT-FVQBIDKESA-N 0 1 317.433 3.053 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(CC)(CC)CC ZINC001049816167 656691414 /nfs/dbraw/zinc/69/14/14/656691414.db2.gz SZZXNTWPUXZOPG-DLBZAZTESA-N 0 1 304.478 3.291 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(CC)(CC)CC ZINC001049816168 656691615 /nfs/dbraw/zinc/69/16/15/656691615.db2.gz SZZXNTWPUXZOPG-IAGOWNOFSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc2sccc2c1 ZINC001049822315 656692254 /nfs/dbraw/zinc/69/22/54/656692254.db2.gz MSKGPOONIFIWOV-DLBZAZTESA-N 0 1 324.449 3.213 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccccc1Cl ZINC001049855526 656700409 /nfs/dbraw/zinc/70/04/09/656700409.db2.gz VOXYTQKWHVGYRF-DLBZAZTESA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1c(C)csc1Cl ZINC001049866017 656705671 /nfs/dbraw/zinc/70/56/71/656705671.db2.gz AYFTZYBLHMFHHK-OLZOCXBDSA-N 0 1 322.861 3.022 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sccc1C(C)C ZINC001049907991 656717981 /nfs/dbraw/zinc/71/79/81/656717981.db2.gz FXMOLARDQRRRAE-JKSUJKDBSA-N 0 1 316.470 3.184 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1sccc1CC ZINC001049907266 656718006 /nfs/dbraw/zinc/71/80/06/656718006.db2.gz CICLRYBPHMFYNT-CABCVRRESA-N 0 1 304.459 3.175 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sccc1CC ZINC001049907269 656718525 /nfs/dbraw/zinc/71/85/25/656718525.db2.gz CICLRYBPHMFYNT-LSDHHAIUSA-N 0 1 304.459 3.175 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3scc(C)c3Cl)C[C@@H]21 ZINC001042075542 656719611 /nfs/dbraw/zinc/71/96/11/656719611.db2.gz DANWTMDDYTVZJR-STQMWFEESA-N 0 1 324.877 3.432 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc2cc(C)ccc2o1 ZINC001049913859 656720883 /nfs/dbraw/zinc/72/08/83/656720883.db2.gz IUCYFZJXQFYVCF-IAGOWNOFSA-N 0 1 322.408 3.053 20 30 DGEDMN C=CCN1CCCN(C(=O)CCc2ccc([C@H]3C[C@@H]3C)o2)CC1 ZINC000981586906 656726876 /nfs/dbraw/zinc/72/68/76/656726876.db2.gz MIMMAZOCNZNGPX-RDJZCZTQSA-N 0 1 316.445 3.056 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccc4ccsc43)C[C@H]21 ZINC001042302081 656749739 /nfs/dbraw/zinc/74/97/39/656749739.db2.gz QGBDFQWMBQRQLY-RHSMWYFYSA-N 0 1 324.449 3.071 20 30 DGEDMN CC(C)(C)C(=O)N1CC[C@H]2CCN(CC#Cc3ccccc3)[C@H]2C1 ZINC001042322142 656753052 /nfs/dbraw/zinc/75/30/52/656753052.db2.gz MHBJBAMPLPEFAU-MOPGFXCFSA-N 0 1 324.468 3.007 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc4ccccc4c3)C[C@H]21 ZINC001042335836 656757812 /nfs/dbraw/zinc/75/78/12/656757812.db2.gz NUOOJOBPHBIZFP-FXAWDEMLSA-N 0 1 318.420 3.009 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cc(C)c(CC)s3)C[C@H]21 ZINC001042340966 656760538 /nfs/dbraw/zinc/76/05/38/656760538.db2.gz INSDHRVEAYPXOL-LSDHHAIUSA-N 0 1 318.486 3.341 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)c3ccccc3C(C)C)C2)C1 ZINC000981802522 656772809 /nfs/dbraw/zinc/77/28/09/656772809.db2.gz GTRXGENFDLPQFX-UHFFFAOYSA-N 0 1 324.468 3.371 20 30 DGEDMN C=CCOc1ccc(C(=O)N(C)C2CN(C[C@H](C)CC)C2)cc1 ZINC001043116957 656813526 /nfs/dbraw/zinc/81/35/26/656813526.db2.gz ADHHPJZHRMWOIA-OAHLLOKOSA-N 0 1 316.445 3.054 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCCC[C@@H]2C2CC2)C1 ZINC001043453540 656830631 /nfs/dbraw/zinc/83/06/31/656830631.db2.gz KVPFVPUVNHKUHJ-MSOLQXFVSA-N 0 1 304.478 3.312 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1 ZINC001028190294 656851945 /nfs/dbraw/zinc/85/19/45/656851945.db2.gz QYSZWBDZTATNDF-HSALFYBXSA-N 0 1 324.468 3.011 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(-c3ccccc3)o2)CC1 ZINC000982006559 656857973 /nfs/dbraw/zinc/85/79/73/656857973.db2.gz JGOKJTSIVDEYPX-UHFFFAOYSA-N 0 1 322.408 3.118 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C2[C@@H]3CCCCCC[C@@H]23)CC1 ZINC000982024957 656863785 /nfs/dbraw/zinc/86/37/85/656863785.db2.gz URMIIAKGJOGXAN-IAGOWNOFSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2cc3cc(F)ccc3o2)CC1 ZINC000982059510 656878767 /nfs/dbraw/zinc/87/87/67/656878767.db2.gz ZUXQSGCYUMKCKR-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cc3cc(F)ccc3o2)CC1 ZINC000982059510 656878772 /nfs/dbraw/zinc/87/87/72/656878772.db2.gz ZUXQSGCYUMKCKR-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2cccn2C2CCCC2)C1 ZINC001044105443 656879947 /nfs/dbraw/zinc/87/99/47/656879947.db2.gz MSRIFSAIUPHWEL-UHFFFAOYSA-N 0 1 315.461 3.326 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001054036572 656886939 /nfs/dbraw/zinc/88/69/39/656886939.db2.gz OBQGHXZHOVTJMW-VNTMZGSJSA-N 0 1 324.468 3.152 20 30 DGEDMN C#CCCN1CCCN(C(=O)C(C)(C)C2CCCCC2)CC1 ZINC000982120567 656899472 /nfs/dbraw/zinc/89/94/72/656899472.db2.gz PJAPWEVCQRLBGG-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C[C@H](C)c3ccccc3)C2)C1 ZINC000982139155 656903646 /nfs/dbraw/zinc/90/36/46/656903646.db2.gz OSUMGBFBXWIJKH-SFHVURJKSA-N 0 1 324.468 3.128 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2c2ccccc2F)CC1 ZINC000982132421 656903794 /nfs/dbraw/zinc/90/37/94/656903794.db2.gz SYOHTKCIZVBPCB-DLBZAZTESA-N 0 1 316.420 3.040 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)c1cc[nH]c1C)C2 ZINC001054112379 656912718 /nfs/dbraw/zinc/91/27/18/656912718.db2.gz LUCCRXXWUXMPGS-KRWDZBQOSA-N 0 1 321.852 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C=C1CCC1)C2 ZINC001054115220 656913513 /nfs/dbraw/zinc/91/35/13/656913513.db2.gz UFEDFZPQFSRJQJ-KRWDZBQOSA-N 0 1 308.853 3.164 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H](C)C1CCC1)C2 ZINC001054151428 656920180 /nfs/dbraw/zinc/92/01/80/656920180.db2.gz JUDINCPYBAABHJ-MAUKXSAKSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)[C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001054182955 656928589 /nfs/dbraw/zinc/92/85/89/656928589.db2.gz UXDWBWOJDWXDAO-LHHMISFZSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H](C)C(C)C)C2 ZINC001054206901 656938699 /nfs/dbraw/zinc/93/86/99/656938699.db2.gz OGSLZCSQCWOSRX-NVXWUHKLSA-N 0 1 312.885 3.346 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(Cl)c(CCC)c1 ZINC001028258484 656949013 /nfs/dbraw/zinc/94/90/13/656949013.db2.gz TUMWHVIEPICLJT-INIZCTEOSA-N 0 1 318.848 3.120 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3cccc(F)c3)cc2C1 ZINC001054253278 656951013 /nfs/dbraw/zinc/95/10/13/656951013.db2.gz SHUCXRBUQVWDMY-UHFFFAOYSA-N 0 1 322.383 3.095 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccc(C)n3C)cc2C1 ZINC001054255723 656951664 /nfs/dbraw/zinc/95/16/64/656951664.db2.gz ZDDDLVWMODQJAQ-UHFFFAOYSA-N 0 1 323.440 3.155 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cnccc3C)cc2C1 ZINC001054259694 656953202 /nfs/dbraw/zinc/95/32/02/656953202.db2.gz NHHHUDUFTPZQGT-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3c(C)coc3C)cc2C1 ZINC001054264012 656955216 /nfs/dbraw/zinc/95/52/16/656955216.db2.gz OOWFKNPTIVKANE-UHFFFAOYSA-N 0 1 322.408 3.165 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3C[C@@]3(C)C(C)C)cc2C1 ZINC001054287423 656962546 /nfs/dbraw/zinc/96/25/46/656962546.db2.gz KFUPPTMZCRKIOH-CTNGQTDRSA-N 0 1 324.468 3.324 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3cccn3CC)cc2C1 ZINC001054292035 656964589 /nfs/dbraw/zinc/96/45/89/656964589.db2.gz QGHIWACEMQOTOT-UHFFFAOYSA-N 0 1 323.440 3.330 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1sccc1C(F)F ZINC001028290460 656974394 /nfs/dbraw/zinc/97/43/94/656974394.db2.gz SEOCIMIWLRWVDK-LLVKDONJSA-N 0 1 314.401 3.456 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc2ccccc21 ZINC001028298326 656983369 /nfs/dbraw/zinc/98/33/69/656983369.db2.gz SDRCAEUBLSQUAS-KRWDZBQOSA-N 0 1 306.409 3.057 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1csc2c1CC[C@@H](C)C2 ZINC001028301057 656985323 /nfs/dbraw/zinc/98/53/23/656985323.db2.gz NOHAKUZZCHTEMI-ZIAGYGMSSA-N 0 1 318.486 3.253 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCCc2ccccc21 ZINC001028339452 656997757 /nfs/dbraw/zinc/99/77/57/656997757.db2.gz UPQNHIRIWQNDFC-QUCCMNQESA-N 0 1 324.468 3.101 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1CNCc1ncc(C)s1 ZINC001045185923 656999144 /nfs/dbraw/zinc/99/91/44/656999144.db2.gz PMBLLGTZJPRBKX-WMLDXEAASA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2c(C)cccc2C)C[C@@H]1C ZINC001054694439 657001405 /nfs/dbraw/zinc/00/14/05/657001405.db2.gz MZXUKKVKWLVCME-YOEHRIQHSA-N 0 1 320.864 3.035 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)oc(C)c2C)C[C@H]1C ZINC001054879351 657017706 /nfs/dbraw/zinc/01/77/06/657017706.db2.gz IHAMPCLFTODUFS-YMTOWFKASA-N 0 1 310.825 3.007 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cc3ccccc3cc2F)CC1 ZINC001045499632 657030803 /nfs/dbraw/zinc/03/08/03/657030803.db2.gz GIEQGCHHGSOELM-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C1CCC(C(=O)NC2(C)CCN(C[C@H](F)CC)CC2)CC1 ZINC001045545625 657035855 /nfs/dbraw/zinc/03/58/55/657035855.db2.gz HXLKBZRXHSHYBI-MRXNPFEDSA-N 0 1 310.457 3.452 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)c2cccc(-c3ccoc3)c2)CC1 ZINC001045617205 657043422 /nfs/dbraw/zinc/04/34/22/657043422.db2.gz RBYCMEDMMCCLQF-UHFFFAOYSA-N 0 1 322.408 3.164 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C[C@@H](F)CC)C1 ZINC001045843821 657073612 /nfs/dbraw/zinc/07/36/12/657073612.db2.gz VPJJJGVDSRQPGP-XHSDSOJGSA-N 0 1 308.441 3.156 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001028579346 657080794 /nfs/dbraw/zinc/08/07/94/657080794.db2.gz CGUNPDQMWVBADU-MRXNPFEDSA-N 0 1 310.441 3.029 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C(C)(C)F)C2 ZINC001045908592 657084679 /nfs/dbraw/zinc/08/46/79/657084679.db2.gz IVUYIGYEEMMVRV-INIZCTEOSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)[C@H](C)C1CC1)C2 ZINC001045927901 657095313 /nfs/dbraw/zinc/09/53/13/657095313.db2.gz VGUDXUQZAULZAS-CRAIPNDOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3sccc3[nH]2)C1 ZINC001000623562 657138319 /nfs/dbraw/zinc/13/83/19/657138319.db2.gz KZUPBCYZLQFACS-NSHDSACASA-N 0 1 323.849 3.176 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001046317301 657145226 /nfs/dbraw/zinc/14/52/26/657145226.db2.gz GMAVTPRHVCNFHA-MRXNPFEDSA-N 0 1 312.335 3.144 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2scc(C)c2Cl)CC1 ZINC001000720401 657146300 /nfs/dbraw/zinc/14/63/00/657146300.db2.gz LSNXWQWTAMHUSD-UHFFFAOYSA-N 0 1 322.861 3.095 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2scc(C)c2Cl)C1 ZINC001046342925 657150513 /nfs/dbraw/zinc/15/05/13/657150513.db2.gz XVGYGLSBDRZVBJ-OAHLLOKOSA-N 0 1 312.866 3.480 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc3ccccc3o2)C1 ZINC001000850704 657159013 /nfs/dbraw/zinc/15/90/13/657159013.db2.gz UGJMHGKBSKFHJS-AWEZNQCLSA-N 0 1 318.804 3.380 20 30 DGEDMN C#CCCN1CC[C@@](C)(NC(=O)c2cc3ccccc3s2)C1 ZINC001046458968 657164698 /nfs/dbraw/zinc/16/46/98/657164698.db2.gz UWWSVZDETLJNAS-GOSISDBHSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C23CCC(CC2)CC3)C1 ZINC001000971521 657170347 /nfs/dbraw/zinc/17/03/47/657170347.db2.gz JTWSIEVAYPORKF-ISXOHVOVSA-N 0 1 310.869 3.290 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)c2ccccc2C)C1 ZINC001000981057 657171247 /nfs/dbraw/zinc/17/12/47/657171247.db2.gz MYWKOMDQOHRPQL-HZPDHXFCSA-N 0 1 320.864 3.432 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001046516602 657171539 /nfs/dbraw/zinc/17/15/39/657171539.db2.gz MKADKSZACHBNQH-FQEVSTJZSA-N 0 1 324.424 3.267 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C)c(C)cc2Cl)C1 ZINC001046537545 657174378 /nfs/dbraw/zinc/17/43/78/657174378.db2.gz WQEHWAHSVUPJRQ-GOSISDBHSA-N 0 1 318.848 3.174 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001046726193 657208594 /nfs/dbraw/zinc/20/85/94/657208594.db2.gz INCBBCCOUAYZMP-FQEVSTJZSA-N 0 1 321.424 3.129 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001046769511 657215105 /nfs/dbraw/zinc/21/51/05/657215105.db2.gz WRYHGXMXVVVKTN-GOSISDBHSA-N 0 1 318.848 3.174 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccsc2C(F)F)C1 ZINC001046802769 657223269 /nfs/dbraw/zinc/22/32/69/657223269.db2.gz MHDGDSIBWIIYBW-AWEZNQCLSA-N 0 1 300.374 3.066 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc([C@@H](C)CC)cc2)CC1 ZINC001001471630 657224487 /nfs/dbraw/zinc/22/44/87/657224487.db2.gz LEPQGPUADGPQFM-INIZCTEOSA-N 0 1 310.441 3.195 20 30 DGEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cc3c(s2)CCC3)C1 ZINC001046819436 657229457 /nfs/dbraw/zinc/22/94/57/657229457.db2.gz XCDQAGWFPVXINM-QGZVFWFLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2coc(C3CCCCC3)n2)C1 ZINC001046864271 657239550 /nfs/dbraw/zinc/23/95/50/657239550.db2.gz QWGRMTZFFBZGCH-SFHVURJKSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(-c3ccccc3)co2)C1 ZINC001046881343 657242687 /nfs/dbraw/zinc/24/26/87/657242687.db2.gz QVQSKDYWWUBMCT-IBGZPJMESA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3sc(C)cc3C)[C@@H]2C1 ZINC001050056663 657243317 /nfs/dbraw/zinc/24/33/17/657243317.db2.gz UVBPWQRWXVYNIK-LSDHHAIUSA-N 0 1 304.459 3.087 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)C2=CCCCCC2)C1 ZINC001046933150 657246852 /nfs/dbraw/zinc/24/68/52/657246852.db2.gz AMLAGRVZSJJDQE-HNNXBMFYSA-N 0 1 312.404 3.136 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001046968271 657248857 /nfs/dbraw/zinc/24/88/57/657248857.db2.gz CPJQHMCESNKSIR-ZIAGYGMSSA-N 0 1 314.420 3.072 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)c2ccc(C)cc2)C1 ZINC001046990243 657249197 /nfs/dbraw/zinc/24/91/97/657249197.db2.gz PALUDNPEOADGIN-MRXNPFEDSA-N 0 1 322.399 3.258 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H](C)C3CCCCC3)[C@@H]2C1 ZINC001050163268 657262817 /nfs/dbraw/zinc/26/28/17/657262817.db2.gz JJBFFZMUOWFEOB-YTQUADARSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H]3CCCCC3(C)C)[C@@H]2C1 ZINC001050215265 657271786 /nfs/dbraw/zinc/27/17/86/657271786.db2.gz VFGKBPDJBZFVQF-OKZBNKHCSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(Cl)CN1CCC([C@H]2CCCN(C(=O)C3(C)CC3)C2)CC1 ZINC001047241245 657285283 /nfs/dbraw/zinc/28/52/83/657285283.db2.gz NYQHWOREGIZVDS-INIZCTEOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@@H]3C[C@H]3C3CC3)C2)CC1 ZINC001050598970 657329142 /nfs/dbraw/zinc/32/91/42/657329142.db2.gz ZAKUJIOPQKSCDC-HOTGVXAUSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3C[C@@]3(C)CC)C2)CC1 ZINC001050714398 657345809 /nfs/dbraw/zinc/34/58/09/657345809.db2.gz HSHICPYGYUWYBA-GDBMZVCRSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)C[C@H](C)C3CC3)C2)CC1 ZINC001050767140 657358324 /nfs/dbraw/zinc/35/83/24/657358324.db2.gz KKWPIAJMPRKADU-ZDUSSCGKSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCn1c(C)nn(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)c1=S ZINC000181399122 657370035 /nfs/dbraw/zinc/37/00/35/657370035.db2.gz NFLAXGNRASSMHW-CFVMTHIKSA-N 0 1 306.479 3.377 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3ccccc3F)cccc2C1 ZINC001051278139 657378169 /nfs/dbraw/zinc/37/81/69/657378169.db2.gz IWDWMFJMEJNLDB-UHFFFAOYSA-N 0 1 324.399 3.300 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3coc(C)c3)cccc2C1 ZINC001051285009 657380757 /nfs/dbraw/zinc/38/07/57/657380757.db2.gz TYJDUEBBXZXWLR-UHFFFAOYSA-N 0 1 310.397 3.062 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3cc(C)c(C)o3)cccc2C1 ZINC001051283519 657381719 /nfs/dbraw/zinc/38/17/19/657381719.db2.gz CUKTZVTXJUSCJN-UHFFFAOYSA-N 0 1 324.424 3.371 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3occc3CC)cccc2C1 ZINC001051300644 657383331 /nfs/dbraw/zinc/38/33/31/657383331.db2.gz XXKQTKBFKZNQPJ-UHFFFAOYSA-N 0 1 324.424 3.316 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3C[C@@]3(C)CC)cccc2C1 ZINC001051306113 657384878 /nfs/dbraw/zinc/38/48/78/657384878.db2.gz LSIGBIKOAISSBN-PZJWPPBQSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H]3C[C@@]3(C)CC)cccc2C1 ZINC001051306214 657385076 /nfs/dbraw/zinc/38/50/76/657385076.db2.gz ORVQFJOSYFXMNM-UYAOXDASSA-N 0 1 312.457 3.283 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968355157 657392301 /nfs/dbraw/zinc/39/23/01/657392301.db2.gz YRNLXPKUHUMTMP-LRDDRELGSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(F)c(Cl)c2)C1 ZINC000968354027 657392771 /nfs/dbraw/zinc/39/27/71/657392771.db2.gz LVINCKVZWZTMOP-LRDDRELGSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c(OCC)c2)C1 ZINC000968374900 657399016 /nfs/dbraw/zinc/39/90/16/657399016.db2.gz OOZALUBQBOPRFY-PBHICJAKSA-N 0 1 316.445 3.020 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431059 657411367 /nfs/dbraw/zinc/41/13/67/657411367.db2.gz HTVFTSRFIDOIIO-DOMZBBRYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(C)c2Cl)C1 ZINC000968431059 657411368 /nfs/dbraw/zinc/41/13/68/657411368.db2.gz HTVFTSRFIDOIIO-DOMZBBRYSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454315 657413623 /nfs/dbraw/zinc/41/36/23/657413623.db2.gz KVZXPLPOXYPLCV-AUUYWEPGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc3nc(C)ccc3c2)C1 ZINC000968454315 657413625 /nfs/dbraw/zinc/41/36/25/657413625.db2.gz KVZXPLPOXYPLCV-AUUYWEPGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2cccc3ccccc32)CC1 ZINC000957418667 657413834 /nfs/dbraw/zinc/41/38/34/657413834.db2.gz HDIISJRIQUKDOB-UHFFFAOYSA-N 0 1 308.425 3.103 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccn2C2CCCC2)C1 ZINC000968467772 657414508 /nfs/dbraw/zinc/41/45/08/657414508.db2.gz HPQGQYDIUCDARG-WBVHZDCISA-N 0 1 315.461 3.229 20 30 DGEDMN C=CCCN1CC(N(CC)C(=O)c2c[nH]c3ccc(F)cc23)C1 ZINC000957464039 657419211 /nfs/dbraw/zinc/41/92/11/657419211.db2.gz IYXIEPBQZFZZBE-UHFFFAOYSA-N 0 1 315.392 3.029 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cc(Cl)ccc2OC)CC1 ZINC000957550640 657430984 /nfs/dbraw/zinc/43/09/84/657430984.db2.gz OLAVSGFJRJHUGK-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2c(F)cccc2Cl)C1 ZINC000968582646 657433194 /nfs/dbraw/zinc/43/31/94/657433194.db2.gz AYYXIJHIAAEADK-BLLLJJGKSA-N 0 1 324.827 3.034 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(C)C)cc2)C1 ZINC000968583314 657433282 /nfs/dbraw/zinc/43/32/82/657433282.db2.gz LPLLNZXNZDDJHB-CRAIPNDOSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592906 657435361 /nfs/dbraw/zinc/43/53/61/657435361.db2.gz QGTUOQUXWHIJNZ-ZBEGNZNMSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)c(F)cc2Cl)C1 ZINC000968592906 657435366 /nfs/dbraw/zinc/43/53/66/657435366.db2.gz QGTUOQUXWHIJNZ-ZBEGNZNMSA-N 0 1 324.827 3.414 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(F)cc2Cl)C1 ZINC000968610383 657442137 /nfs/dbraw/zinc/44/21/37/657442137.db2.gz PEAGIJRJNCXVJU-ABAIWWIYSA-N 0 1 310.800 3.105 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2sccc2C2CC2)C1 ZINC000968618438 657443602 /nfs/dbraw/zinc/44/36/02/657443602.db2.gz GILJCXAIQNFWPB-XJKSGUPXSA-N 0 1 316.470 3.089 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2c[nH]cc2-c2ccccc2)CC1 ZINC000957656733 657446330 /nfs/dbraw/zinc/44/63/30/657446330.db2.gz IGURHVLERKLBEP-UHFFFAOYSA-N 0 1 323.440 3.406 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622960 657446533 /nfs/dbraw/zinc/44/65/33/657446533.db2.gz DAKUQVVDRKZAFY-SWLSCSKDSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cc(C)c(C)s2)C1 ZINC000968622960 657446535 /nfs/dbraw/zinc/44/65/35/657446535.db2.gz DAKUQVVDRKZAFY-SWLSCSKDSA-N 0 1 306.475 3.381 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC000957714901 657453348 /nfs/dbraw/zinc/45/33/48/657453348.db2.gz BJUVUJNQGYLOSJ-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C3CC3)cc2)C1 ZINC000968673969 657453545 /nfs/dbraw/zinc/45/35/45/657453545.db2.gz NCFTWEBYRIIZDI-KXBFYZLASA-N 0 1 310.441 3.028 20 30 DGEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2sccc2C(C)C)C1 ZINC000968677413 657453980 /nfs/dbraw/zinc/45/39/80/657453980.db2.gz CWYPQKUKHTZYGX-HOCLYGCPSA-N 0 1 318.486 3.335 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c3ccc(C)cc23)C1 ZINC000968680516 657455110 /nfs/dbraw/zinc/45/51/10/657455110.db2.gz AOITWSBNFIKQQI-KSSFIOAISA-N 0 1 311.429 3.103 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(CCC(C)C)CC1)c1ccccc1 ZINC000957746923 657456676 /nfs/dbraw/zinc/45/66/76/657456676.db2.gz IPRFCMGDCDBWHB-SFHVURJKSA-N 0 1 300.446 3.147 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnc(CC)s2)C1 ZINC000968691932 657461312 /nfs/dbraw/zinc/46/13/12/657461312.db2.gz KGMWMHKTHMCJAF-KBPBESRZSA-N 0 1 321.490 3.112 20 30 DGEDMN C=CCCN1CCN(C(=O)c2c[nH]c3c2cccc3CC)CC1 ZINC000957778449 657462018 /nfs/dbraw/zinc/46/20/18/657462018.db2.gz VNIGBQBBZBOLTJ-UHFFFAOYSA-N 0 1 311.429 3.064 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)c2ccccc2C)C1 ZINC000968694841 657462133 /nfs/dbraw/zinc/46/21/33/657462133.db2.gz BHPZBKZODZGFFO-WMZOPIPTSA-N 0 1 314.473 3.285 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)Cc2ccc(C)cc2)CC1 ZINC000957811920 657464383 /nfs/dbraw/zinc/46/43/83/657464383.db2.gz FICITWNEMBFXHG-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)Cc2ccccc2C)CC1 ZINC000957829655 657466927 /nfs/dbraw/zinc/46/69/27/657466927.db2.gz PWLGOBKFUJEYFS-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)Cc2ccccc2C)CC1 ZINC000957829654 657466972 /nfs/dbraw/zinc/46/69/72/657466972.db2.gz PWLGOBKFUJEYFS-GOSISDBHSA-N 0 1 314.473 3.284 20 30 DGEDMN COc1cc(OC)c(OC)cc1/C=C\C(=O)c1ccccc1O ZINC000095909067 657488487 /nfs/dbraw/zinc/48/84/87/657488487.db2.gz FLADQPAHTPZATB-HJWRWDBZSA-N 0 1 314.337 3.314 20 30 DGEDMN C=C(C)C[N@H+]1CCCCC[C@H]1CNC(=O)c1sccc1F ZINC000968847468 657501339 /nfs/dbraw/zinc/50/13/39/657501339.db2.gz WOAPGJJOMPRJBH-ZDUSSCGKSA-N 0 1 310.438 3.438 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC000968877354 657508212 /nfs/dbraw/zinc/50/82/12/657508212.db2.gz VIJXOTAHZSBZNZ-OAHLLOKOSA-N 0 1 318.486 3.308 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(CCC)s1 ZINC000968877354 657508223 /nfs/dbraw/zinc/50/82/23/657508223.db2.gz VIJXOTAHZSBZNZ-OAHLLOKOSA-N 0 1 318.486 3.308 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cscc1Cl ZINC000968901583 657512374 /nfs/dbraw/zinc/51/23/74/657512374.db2.gz BRQDVMPCXSXPTN-LBPRGKRZSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cscc1Cl ZINC000968901580 657512526 /nfs/dbraw/zinc/51/25/26/657512526.db2.gz BRQDVMPCXSXPTN-GFCCVEGCSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1c(C)noc1C1CC1 ZINC000968955536 657522061 /nfs/dbraw/zinc/52/20/61/657522061.db2.gz ZIXYHUZZBXORIU-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1c(C)noc1C1CC1 ZINC000968955536 657522068 /nfs/dbraw/zinc/52/20/68/657522068.db2.gz ZIXYHUZZBXORIU-HNNXBMFYSA-N 0 1 317.433 3.021 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(Cl)c(C)c1 ZINC000969007734 657532775 /nfs/dbraw/zinc/53/27/75/657532775.db2.gz GRKIBXVGWUVYFC-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC000969070091 657549831 /nfs/dbraw/zinc/54/98/31/657549831.db2.gz HPSVFSUOXJWHDL-SLFFLAALSA-N 0 1 324.468 3.093 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(F)c(C(F)F)c2)C1 ZINC001007346242 657596405 /nfs/dbraw/zinc/59/64/05/657596405.db2.gz MACGSAVBBCEVKR-GFCCVEGCSA-N 0 1 312.335 3.144 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(F)c(C)cc2F)C1 ZINC001007535861 657617380 /nfs/dbraw/zinc/61/73/80/657617380.db2.gz XOIHVGHUONJTBA-CYBMUJFWSA-N 0 1 308.372 3.044 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001007680003 657627719 /nfs/dbraw/zinc/62/77/19/657627719.db2.gz PXNSATQIOLPIMM-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001007701098 657629324 /nfs/dbraw/zinc/62/93/24/657629324.db2.gz YIGCLWZTJWJUBY-UUKMXZOPSA-N 0 1 324.468 3.174 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC001007779590 657632423 /nfs/dbraw/zinc/63/24/23/657632423.db2.gz BIEVSAQQUDQAIV-SFHVURJKSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2[nH]c3ccccc3c2Cl)C1 ZINC001007785417 657632721 /nfs/dbraw/zinc/63/27/21/657632721.db2.gz FNLZWCUPUIAXJR-LBPRGKRZSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3cc(C)ccc3n2)C1 ZINC001007881484 657642744 /nfs/dbraw/zinc/64/27/44/657642744.db2.gz JNGCLTXYGWHUFP-KRWDZBQOSA-N 0 1 323.440 3.314 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C3CC3)oc2C2CC2)C1 ZINC001008000345 657652643 /nfs/dbraw/zinc/65/26/43/657652643.db2.gz MMFKYZSXQGIKTR-HNNXBMFYSA-N 0 1 314.429 3.415 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3ccc(C)cc3[nH]2)C1 ZINC001008109581 657662447 /nfs/dbraw/zinc/66/24/47/657662447.db2.gz PBONUNATAWWBJG-MRXNPFEDSA-N 0 1 311.429 3.247 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(Cl)cc2OC)C1 ZINC001008323743 657681411 /nfs/dbraw/zinc/68/14/11/657681411.db2.gz RBABVZWCHOBJAK-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(Cc3ccc(C)cc3)C2)C1 ZINC001008436761 657694532 /nfs/dbraw/zinc/69/45/32/657694532.db2.gz ITCILPMTXBFKMU-GOSISDBHSA-N 0 1 312.457 3.432 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001008508181 657699535 /nfs/dbraw/zinc/69/95/35/657699535.db2.gz DLBLGNDEWMQJLB-QGZVFWFLSA-N 0 1 318.436 3.260 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C(C)(C)c2cccc(F)c2)C1 ZINC001008508165 657699856 /nfs/dbraw/zinc/69/98/56/657699856.db2.gz DLBLGNDEWMQJLB-KRWDZBQOSA-N 0 1 318.436 3.260 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)C2(c3cccc(F)c3)CC2)C1 ZINC001008750331 657726274 /nfs/dbraw/zinc/72/62/74/657726274.db2.gz NVWQQHBFNHLPKE-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC000985512130 657727954 /nfs/dbraw/zinc/72/79/54/657727954.db2.gz QWCLMUCKXUFVHH-BMFZPTHFSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc3c(c2)C=CCC3)C1 ZINC001008847649 657733982 /nfs/dbraw/zinc/73/39/82/657733982.db2.gz UWKHYYCNMQXVMT-IBGZPJMESA-N 0 1 310.441 3.416 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(-c3ccccc3)nc2)C1 ZINC001008966375 657741899 /nfs/dbraw/zinc/74/18/99/657741899.db2.gz ODPRBIVPYFYHOJ-SFHVURJKSA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)C[C@@H]2C[C@H]2C2CC2)CC1 ZINC000985543943 657743951 /nfs/dbraw/zinc/74/39/51/657743951.db2.gz UOQXQFGUDNWCJX-HOCLYGCPSA-N 0 1 310.869 3.098 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C(C)C)oc2C)C1 ZINC001009047977 657744448 /nfs/dbraw/zinc/74/44/48/657744448.db2.gz ZNRZSXZQKPCHRU-HNNXBMFYSA-N 0 1 304.434 3.482 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](CN(C)Cc2cncs2)C1 ZINC001029800155 657747188 /nfs/dbraw/zinc/74/71/88/657747188.db2.gz LRUJAHFQEAOOLP-AWEZNQCLSA-N 0 1 321.490 3.026 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3ccncc3c2)C1 ZINC001009106555 657748534 /nfs/dbraw/zinc/74/85/34/657748534.db2.gz TWFIKHWAMXGYKM-GOSISDBHSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(O[C@@H](C)CC)cc2)C1 ZINC001009104741 657749513 /nfs/dbraw/zinc/74/95/13/657749513.db2.gz PAMYNHLUVYIBOZ-DOTOQJQBSA-N 0 1 316.445 3.244 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001009128998 657750282 /nfs/dbraw/zinc/75/02/82/657750282.db2.gz DYYFRFKLDLOWPX-RYUDHWBXSA-N 0 1 312.866 3.272 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)c2ccc(C)s2)C1 ZINC001009196800 657754762 /nfs/dbraw/zinc/75/47/62/657754762.db2.gz SHUTZLSQOXHYEO-LSDHHAIUSA-N 0 1 306.475 3.317 20 30 DGEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001038463525 657758561 /nfs/dbraw/zinc/75/85/61/657758561.db2.gz SSJBDHGKWVOKIN-LLVKDONJSA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1csc(C(F)(F)F)c1 ZINC001038463525 657758569 /nfs/dbraw/zinc/75/85/69/657758569.db2.gz SSJBDHGKWVOKIN-LLVKDONJSA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001009276343 657762563 /nfs/dbraw/zinc/76/25/63/657762563.db2.gz OAFDFYLAEJLPGK-KRWDZBQOSA-N 0 1 300.446 3.039 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCCc3ccccc32)C1 ZINC001009289762 657763712 /nfs/dbraw/zinc/76/37/12/657763712.db2.gz DWXHTWBSAVBXQP-QZTJIDSGSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccsc2C(F)F)C1 ZINC001009355012 657772000 /nfs/dbraw/zinc/77/20/00/657772000.db2.gz AJUJTQQSYLAGPP-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC1CCCCCC1 ZINC000960313026 657776650 /nfs/dbraw/zinc/77/66/50/657776650.db2.gz PLGYHAHSJLMXOU-TZIWHRDSSA-N 0 1 324.468 3.352 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccoc2C2CC2)CC1 ZINC000985606291 657781683 /nfs/dbraw/zinc/78/16/83/657781683.db2.gz RTTYSNFRISAZNN-UHFFFAOYSA-N 0 1 322.836 3.446 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3c(s2)CCC3)C1 ZINC001009413126 657782161 /nfs/dbraw/zinc/78/21/61/657782161.db2.gz FGMBPOLRLADLFI-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(CCC)c(C)s2)C1 ZINC001009452481 657788376 /nfs/dbraw/zinc/78/83/76/657788376.db2.gz DUZGQABPIGWFAB-MRXNPFEDSA-N 0 1 318.486 3.227 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2csc3c2CCCC3)C1 ZINC001009464192 657789733 /nfs/dbraw/zinc/78/97/33/657789733.db2.gz XVCKBYDQEXQVCY-CYBMUJFWSA-N 0 1 304.459 3.007 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001009509624 657792919 /nfs/dbraw/zinc/79/29/19/657792919.db2.gz NSDNUQBCQKCQNO-INIZCTEOSA-N 0 1 309.413 3.062 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)c2ccc(F)cc2F)C1 ZINC001009586435 657796452 /nfs/dbraw/zinc/79/64/52/657796452.db2.gz KHSVACABITVBHD-ZFWWWQNUSA-N 0 1 322.399 3.225 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2cnc(C(C)(C)C)s2)C1 ZINC001009643348 657801992 /nfs/dbraw/zinc/80/19/92/657801992.db2.gz OMNDTPBVRYBTLT-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2Cc3c2cccc3Cl)C1 ZINC001009679323 657807861 /nfs/dbraw/zinc/80/78/61/657807861.db2.gz CGOXNUNPJPSFHP-XJKSGUPXSA-N 0 1 318.848 3.136 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@H]1CN(C)Cc1cccnc1 ZINC000960838396 657892766 /nfs/dbraw/zinc/89/27/66/657892766.db2.gz LLLDRCHERPHPFE-HKUYNNGSSA-N 0 1 315.461 3.107 20 30 DGEDMN CCC(CC)C(=O)N1CC[C@H](NCc2ccccc2C#N)[C@@H]1C ZINC000986003422 657949959 /nfs/dbraw/zinc/94/99/59/657949959.db2.gz NRHREEHDAGKAAN-KSSFIOAISA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)CC(C)C)C2)C1 ZINC000961106402 657950811 /nfs/dbraw/zinc/95/08/11/657950811.db2.gz BXSASQYNEACOKF-HOTGVXAUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C=C(C)C)C2)C1 ZINC000961106662 657951089 /nfs/dbraw/zinc/95/10/89/657951089.db2.gz CUPSVSKXBVVYNX-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C=C(C)C)C2)C1 ZINC000961106668 657951595 /nfs/dbraw/zinc/95/15/95/657951595.db2.gz CUPSVSKXBVVYNX-JKSUJKDBSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)/C=C/C3CC3)C2)C1 ZINC000961136033 657961073 /nfs/dbraw/zinc/96/10/73/657961073.db2.gz GTGBQRFTRYHSFM-SGUAIBKKSA-N 0 1 322.880 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C3=CCCC3)C2)C1 ZINC000961137866 657961643 /nfs/dbraw/zinc/96/16/43/657961643.db2.gz FWOWKJCORXSSSL-SJORKVTESA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccccc2CC)[C@@H]1C ZINC000986420465 658047740 /nfs/dbraw/zinc/04/77/40/658047740.db2.gz ULDUKOZWKUMGPL-PBHICJAKSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccc(C)cc2)[C@@H]1C ZINC000986524314 658060345 /nfs/dbraw/zinc/06/03/45/658060345.db2.gz RPJPRPNENKOBTA-NVXWUHKLSA-N 0 1 320.864 3.259 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2c(C)c(F)ccc2Cl)C1 ZINC001015012764 658078471 /nfs/dbraw/zinc/07/84/71/658078471.db2.gz GVLQEVFZSPKVKC-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2CC)[C@@H]1C ZINC000986766791 658096705 /nfs/dbraw/zinc/09/67/05/658096705.db2.gz KREKBTFUYAQUBW-CJNGLKHVSA-N 0 1 306.837 3.194 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc3[nH]ccc32)[C@@H]1C ZINC000987033398 658142013 /nfs/dbraw/zinc/14/20/13/658142013.db2.gz AFAXICQCHQJQKT-IUODEOHRSA-N 0 1 317.820 3.113 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)c1ccc2[nH]nnc2c1 ZINC000189893825 658144774 /nfs/dbraw/zinc/14/47/74/658144774.db2.gz JMFDAZBPXCNEMV-UHFFFAOYSA-N 0 1 322.368 3.108 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN([C@@H](C)c3cccc(F)c3)C2)C1 ZINC001015671931 658161725 /nfs/dbraw/zinc/16/17/25/658161725.db2.gz IKWNGDBQKAOLLV-YOEHRIQHSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3cc4ccccc4o3)C2)C1 ZINC001015673058 658164515 /nfs/dbraw/zinc/16/45/15/658164515.db2.gz GSOLZCODHYVWJT-MRXNPFEDSA-N 0 1 324.424 3.480 20 30 DGEDMN C=C(Cl)C[N@@H+]1CCC[C@@H]1[C@H]1CCCN(C(=O)C=C(C)C)C1 ZINC000963249507 658169862 /nfs/dbraw/zinc/16/98/62/658169862.db2.gz INBHEPOHTOMJKP-JKSUJKDBSA-N 0 1 310.869 3.408 20 30 DGEDMN COc1ccccc1-c1n[nH]c(=S)n1N=Cc1ccco1 ZINC000254807791 658186134 /nfs/dbraw/zinc/18/61/34/658186134.db2.gz FNOATLQBOUVXOX-UHFFFAOYSA-N 0 1 300.343 3.091 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccccc2Cl)[C@@H]1C ZINC000987395644 658202559 /nfs/dbraw/zinc/20/25/59/658202559.db2.gz ZNFSNUISPCIZPZ-RISCZKNCSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3c(s2)CCC3)[C@H]1C ZINC000987458364 658211066 /nfs/dbraw/zinc/21/10/66/658211066.db2.gz GCXLOBULPLCOAO-WCQYABFASA-N 0 1 324.877 3.182 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H](C)c2cc3ccccc3o2)C1 ZINC001015966487 658212973 /nfs/dbraw/zinc/21/29/73/658212973.db2.gz JAYDCMVANBKWCZ-ZBFHGGJFSA-N 0 1 312.413 3.303 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccccc2F)[C@H]1C ZINC000987520061 658225751 /nfs/dbraw/zinc/22/57/51/658225751.db2.gz XKTNZRLEZAKEGB-BBRMVZONSA-N 0 1 324.827 3.090 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2-c2ccccc2)C1 ZINC001016175075 658249231 /nfs/dbraw/zinc/24/92/31/658249231.db2.gz PEXZFFQWEZTKDM-SFHVURJKSA-N 0 1 318.420 3.181 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C1 ZINC001016227912 658258198 /nfs/dbraw/zinc/25/81/98/658258198.db2.gz CQYUCPJGEPJLKG-INIZCTEOSA-N 0 1 311.429 3.165 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)c2ccc(F)cc2)CC1 ZINC001016470610 658288319 /nfs/dbraw/zinc/28/83/19/658288319.db2.gz MAUFHVMEAYFXCP-OAHLLOKOSA-N 0 1 322.811 3.163 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C2CC3(CCC3)C2)CC1 ZINC001016776279 658327061 /nfs/dbraw/zinc/32/70/61/658327061.db2.gz WBLMJNWLKPTFBK-HNNXBMFYSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@H]2CCC2(C)C)CC1 ZINC001016780284 658329288 /nfs/dbraw/zinc/32/92/88/658329288.db2.gz IKYZRMAYRSNNOH-ZIAGYGMSSA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2CCC(=C)CC2)CC1 ZINC001016804777 658333649 /nfs/dbraw/zinc/33/36/49/658333649.db2.gz ZYPZVNQQKUPAGJ-MRXNPFEDSA-N 0 1 322.880 3.456 20 30 DGEDMN CC(C)(C)C(=O)N[C@H]1CC12CCN(CC#Cc1ccccc1)CC2 ZINC001016941398 658354788 /nfs/dbraw/zinc/35/47/88/658354788.db2.gz YXWIWYNAUPPRDU-SFHVURJKSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)C(F)=C2CCCC2)C1 ZINC000988602646 658365776 /nfs/dbraw/zinc/36/57/76/658365776.db2.gz KFSCJNSGVMLALG-WCQYABFASA-N 0 1 300.805 3.116 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2csc(C)c2C)C1 ZINC000988874917 658413060 /nfs/dbraw/zinc/41/30/60/658413060.db2.gz GEVLNRPWLWSRJD-ZWNOBZJWSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2cccc(CC)c2)C1 ZINC000989055260 658429892 /nfs/dbraw/zinc/42/98/92/658429892.db2.gz GWJOCPJOXDQUJM-RHSMWYFYSA-N 0 1 320.864 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(F)ccc2CC)C1 ZINC000989092867 658435468 /nfs/dbraw/zinc/43/54/68/658435468.db2.gz GWTTZKCHXWARAD-WFASDCNBSA-N 0 1 324.827 3.333 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(CC)s2)C1 ZINC000989131146 658439834 /nfs/dbraw/zinc/43/98/34/658439834.db2.gz NHDOBZIYNDCUEF-NWDGAFQWSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC(F)(F)C1 ZINC001017605144 658444164 /nfs/dbraw/zinc/44/41/64/658444164.db2.gz QTZXLPWGVYDYFK-SOUVJXGZSA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1ccc(C)cc1 ZINC001017721329 658454479 /nfs/dbraw/zinc/45/44/79/658454479.db2.gz MHSWSJCTFPMYAL-KDURUIRLSA-N 0 1 312.457 3.179 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2sccc2Cl)C1 ZINC000966268499 658460085 /nfs/dbraw/zinc/46/00/85/658460085.db2.gz TZSRYYWDPIITQU-DGCLKSJQSA-N 0 1 312.866 3.418 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cccc(C)c2F)C1 ZINC000966266448 658460223 /nfs/dbraw/zinc/46/02/23/658460223.db2.gz QOPQDWKETPPIJK-BBRMVZONSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C(F)F)CCCC1 ZINC001017845348 658465957 /nfs/dbraw/zinc/46/59/57/658465957.db2.gz ZRHHVYCSPVYEJF-OKILXGFUSA-N 0 1 312.404 3.063 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccoc2C2CC2)C1 ZINC000989357011 658468559 /nfs/dbraw/zinc/46/85/59/658468559.db2.gz MHQBRGLHIDXERX-AAEUAGOBSA-N 0 1 308.809 3.102 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2sc(C)cc2C)C1 ZINC000966418340 658473866 /nfs/dbraw/zinc/47/38/66/658473866.db2.gz DPVNDGCITRVKRL-WFASDCNBSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3ccccc3nc2C)C1 ZINC000966494481 658478929 /nfs/dbraw/zinc/47/89/29/658478929.db2.gz XNVKBCYDZGESMG-AUUYWEPGSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2C(C)C)C1 ZINC000966601712 658484725 /nfs/dbraw/zinc/48/47/25/658484725.db2.gz RXCDVIRZFFOQQH-MAUKXSAKSA-N 0 1 300.446 3.436 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)c2ccc(Cl)s2)CC1 ZINC000989442685 658485641 /nfs/dbraw/zinc/48/56/41/658485641.db2.gz NDEIRZWKKBPKGE-GFCCVEGCSA-N 0 1 312.866 3.225 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@@H](C)c2ccc(Cl)s2)CC1 ZINC000989446694 658486580 /nfs/dbraw/zinc/48/65/80/658486580.db2.gz WZYGLOOWNAWKAF-ZDUSSCGKSA-N 0 1 324.877 3.063 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@H](C)c2cc3ccccc3o2)CC1 ZINC000989447871 658488455 /nfs/dbraw/zinc/48/84/55/658488455.db2.gz VRKGGDBVKHZXFU-MRXNPFEDSA-N 0 1 324.424 3.094 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc2ccccc2c1 ZINC001017997953 658488668 /nfs/dbraw/zinc/48/86/68/658488668.db2.gz GOLKTPYOMWZXTD-HDICACEKSA-N 0 1 321.424 3.100 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C(C)C)cn2)C1 ZINC000989450760 658489021 /nfs/dbraw/zinc/48/90/21/658489021.db2.gz PGXQCBUTSGIVIW-HIFRSBDPSA-N 0 1 321.852 3.150 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)CC1CCCC1 ZINC001018027067 658493043 /nfs/dbraw/zinc/49/30/43/658493043.db2.gz IMIOSHTYQZUPJA-GBESFXJTSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ccc(C)cc2F)C1 ZINC000989481306 658497338 /nfs/dbraw/zinc/49/73/38/658497338.db2.gz PGCYOGJNEVINSR-OLZOCXBDSA-N 0 1 310.800 3.079 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC000966783536 658498169 /nfs/dbraw/zinc/49/81/69/658498169.db2.gz JCGGLQQASQURAN-DOTOQJQBSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C)cc2F)C1 ZINC000989481308 658498388 /nfs/dbraw/zinc/49/83/88/658498388.db2.gz PGCYOGJNEVINSR-STQMWFEESA-N 0 1 310.800 3.079 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC000966783540 658498594 /nfs/dbraw/zinc/49/85/94/658498594.db2.gz JCGGLQQASQURAN-RDJZCZTQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CC[N@@H+]1CCCN(C(=O)c2oc(CCC)nc2C)CC1 ZINC000989487812 658500614 /nfs/dbraw/zinc/50/06/14/658500614.db2.gz HBLBBRHPLGIQSX-UHFFFAOYSA-N 0 1 319.449 3.050 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)c2ccc(C)cc2)CC1 ZINC000989511527 658504595 /nfs/dbraw/zinc/50/45/95/658504595.db2.gz YMMZNZYZVWHCNN-UHFFFAOYSA-N 0 1 314.473 3.383 20 30 DGEDMN C=CCN1CCCN(C(=O)Cc2cccc3ccccc32)CC1 ZINC000989540845 658513386 /nfs/dbraw/zinc/51/33/86/658513386.db2.gz OLVGWYHPQLXGMG-UHFFFAOYSA-N 0 1 308.425 3.103 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)cc1Cl ZINC001018234094 658522688 /nfs/dbraw/zinc/52/26/88/658522688.db2.gz PCRJLYOBTITLIH-OKILXGFUSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccccc1Cl ZINC001018240933 658523670 /nfs/dbraw/zinc/52/36/70/658523670.db2.gz RGMZFZYAPKGMKM-IYBDPMFKSA-N 0 1 318.848 3.134 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(CC(C)C)c[nH]2)C1 ZINC000989685575 658540896 /nfs/dbraw/zinc/54/08/96/658540896.db2.gz DAMYCEUKTKGIEU-DZGCQCFKSA-N 0 1 323.868 3.158 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC000989725014 658549503 /nfs/dbraw/zinc/54/95/03/658549503.db2.gz QTAKAVHESOSVQY-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)c2ccc(F)cc2)CC1 ZINC000989725014 658549512 /nfs/dbraw/zinc/54/95/12/658549512.db2.gz QTAKAVHESOSVQY-OAHLLOKOSA-N 0 1 304.409 3.040 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc(C(C)(C)C)s2)CC1 ZINC000989717577 658552127 /nfs/dbraw/zinc/55/21/27/658552127.db2.gz HFWMSKHBGOZCFY-UHFFFAOYSA-N 0 1 318.486 3.217 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2c(Cl)cccc2OC)CC1 ZINC000989734971 658557097 /nfs/dbraw/zinc/55/70/97/658557097.db2.gz MGINVKROURSQAH-UHFFFAOYSA-N 0 1 322.836 3.073 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ccc(C(F)F)o2)C1 ZINC000989734022 658557379 /nfs/dbraw/zinc/55/73/79/658557379.db2.gz PAWDCFJSYKLNSS-UWVGGRQHSA-N 0 1 318.751 3.162 20 30 DGEDMN C#CCCN1CCCN(C(=O)c2ccc([C@@H](C)CC)cc2)CC1 ZINC000989747334 658558806 /nfs/dbraw/zinc/55/88/06/658558806.db2.gz MFJDMAXBZMNNMB-KRWDZBQOSA-N 0 1 312.457 3.371 20 30 DGEDMN C=CCN1CCCN(C(=O)CC(C)(C)c2ccccc2)CC1 ZINC000989739604 658562486 /nfs/dbraw/zinc/56/24/86/658562486.db2.gz WNKMLMGDORXEDW-UHFFFAOYSA-N 0 1 300.446 3.075 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc3ccccc3o2)C1 ZINC000989755148 658572381 /nfs/dbraw/zinc/57/23/81/658572381.db2.gz IBRFGMXCIXKBLA-TZMCWYRMSA-N 0 1 318.804 3.378 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C)c3cccnc23)C1 ZINC000967410092 658576995 /nfs/dbraw/zinc/57/69/95/658576995.db2.gz YSCMWKRBBJSOOR-QAPCUYQASA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](C)Cc2cccc(Cl)c2)CC1 ZINC000989804538 658578725 /nfs/dbraw/zinc/57/87/25/658578725.db2.gz BJAKIIJVABMJQK-OAHLLOKOSA-N 0 1 320.864 3.239 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC000967420868 658579433 /nfs/dbraw/zinc/57/94/33/658579433.db2.gz ZDXOWFKVWPFXMH-KXBFYZLASA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cccc(C3CC3)c2)C1 ZINC000967420867 658579796 /nfs/dbraw/zinc/57/97/96/658579796.db2.gz ZDXOWFKVWPFXMH-HNAYVOBHSA-N 0 1 312.457 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC000989812485 658581881 /nfs/dbraw/zinc/58/18/81/658581881.db2.gz GOLDZZTTXPJCDS-ZFWWWQNUSA-N 0 1 324.827 3.388 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CC(C2CC2)C2CC2)C1 ZINC000989832531 658585733 /nfs/dbraw/zinc/58/57/33/658585733.db2.gz SVUQUZXLTBPRBQ-WFASDCNBSA-N 0 1 310.869 3.144 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)ccc2C2CC2)C1 ZINC001019188255 658615083 /nfs/dbraw/zinc/61/50/83/658615083.db2.gz HKBNHLSDIPPGGF-HNNXBMFYSA-N 0 1 318.848 3.429 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2sccc2Cl)C1 ZINC001019238206 658619185 /nfs/dbraw/zinc/61/91/85/658619185.db2.gz QQQJMMZEMAKHON-NSHDSACASA-N 0 1 312.866 3.304 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NCc2ccccc2F)C1 ZINC001019332407 658633566 /nfs/dbraw/zinc/63/35/66/658633566.db2.gz PDYGYAYKKCYUPO-MAUKXSAKSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)c(F)c2)C1 ZINC001019424736 658644631 /nfs/dbraw/zinc/64/46/31/658644631.db2.gz VZVDSIVNOIASPH-NSHDSACASA-N 0 1 317.191 3.036 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](c2ccccc2)C(C)C)C1 ZINC001019438043 658645596 /nfs/dbraw/zinc/64/55/96/658645596.db2.gz DUXUTKDXUIFTPD-DLBZAZTESA-N 0 1 320.864 3.369 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(Cl)ccc2C)C1 ZINC001019533010 658653250 /nfs/dbraw/zinc/65/32/50/658653250.db2.gz VWQUSBOMMSQQSG-ZDUSSCGKSA-N 0 1 313.228 3.205 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968102878 658668084 /nfs/dbraw/zinc/66/80/84/658668084.db2.gz BAHQPRCYBMZPGV-CRAIPNDOSA-N 0 1 300.446 3.265 20 30 DGEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)C(C)=C3CCCC3)CCC[C@H]12 ZINC000990205204 658668605 /nfs/dbraw/zinc/66/86/05/658668605.db2.gz IQLAXWIIHODKRH-PKOBYXMFSA-N 0 1 300.446 3.013 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2oc3ccccc3c2C)C1 ZINC001019737666 658670512 /nfs/dbraw/zinc/67/05/12/658670512.db2.gz SFIXHMXJQSREAF-ZDUSSCGKSA-N 0 1 318.804 3.298 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cccc(Cl)c2F)C1 ZINC000968126783 658672230 /nfs/dbraw/zinc/67/22/30/658672230.db2.gz BCMSNLTXOXJUTK-SWLSCSKDSA-N 0 1 324.827 3.495 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191888 658680954 /nfs/dbraw/zinc/68/09/54/658680954.db2.gz PQGRTSHSQSLCBC-XJKSGUPXSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(F)cc2Cl)C1 ZINC000968208336 658682599 /nfs/dbraw/zinc/68/25/99/658682599.db2.gz DHEIMDYQLWFGRQ-WBMJQRKESA-N 0 1 324.827 3.034 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(Cc3ccsc3)CC[C@H]2C)C1 ZINC000968277117 658690812 /nfs/dbraw/zinc/69/08/12/658690812.db2.gz XOCDDYQCZYZDLL-GDBMZVCRSA-N 0 1 318.486 3.431 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC000968345722 658703784 /nfs/dbraw/zinc/70/37/84/658703784.db2.gz OSGWRNXXTLNWJW-BBRMVZONSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cccc(F)c2Cl)C1 ZINC000968353062 658706476 /nfs/dbraw/zinc/70/64/76/658706476.db2.gz CJIWWVKFLDKRES-SWLSCSKDSA-N 0 1 324.827 3.495 20 30 DGEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccccc1CC(C)(C)C ZINC001038389103 658748837 /nfs/dbraw/zinc/74/88/37/658748837.db2.gz LTRKBISUDASFIS-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cccc(Cl)c3C)CCC[C@@H]12 ZINC000990792290 658752805 /nfs/dbraw/zinc/75/28/05/658752805.db2.gz RJMWVSOSTJYOPZ-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2CCc2ccccc2)CC1 ZINC001038659642 658775984 /nfs/dbraw/zinc/77/59/84/658775984.db2.gz AAHDOXPMPZCVNZ-IBGZPJMESA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(-c2ccccc2)o1 ZINC001038735698 658790803 /nfs/dbraw/zinc/79/08/03/658790803.db2.gz RXXFPKOYDXBGFR-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(Cl)cc1Cl ZINC001038951845 658961787 /nfs/dbraw/zinc/96/17/87/658961787.db2.gz JBWDHCZNVJLLMQ-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2cccc(Cl)c2o1 ZINC001039100482 658998846 /nfs/dbraw/zinc/99/88/46/658998846.db2.gz AWQMZJXXXCZZID-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)/C(C)=C/C)C1 ZINC001039201928 659037257 /nfs/dbraw/zinc/03/72/57/659037257.db2.gz CUZFMFRPRNQXDG-DFLPUSRVSA-N 0 1 310.869 3.408 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@H]1C ZINC000947750840 659063734 /nfs/dbraw/zinc/06/37/34/659063734.db2.gz RUMXRHFPZQQAJN-HIFRSBDPSA-N 0 1 316.445 3.101 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(C)(C)C)oc2C)C[C@H]1C ZINC000947750840 659063738 /nfs/dbraw/zinc/06/37/38/659063738.db2.gz RUMXRHFPZQQAJN-HIFRSBDPSA-N 0 1 316.445 3.101 20 30 DGEDMN CC#CCN1CC[C@]2(NC(=O)c3cc(C)sc3C)CCC[C@H]12 ZINC000991617217 659074232 /nfs/dbraw/zinc/07/42/32/659074232.db2.gz BETCKOSBVPNOTE-FUHWJXTLSA-N 0 1 316.470 3.115 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCOC1)c1nc2ccccc2n1C(F)F ZINC000171038066 659256309 /nfs/dbraw/zinc/25/63/09/659256309.db2.gz WTMHIBUGEZNYOY-WDEREUQCSA-N 0 1 319.311 3.034 20 30 DGEDMN N#CC(C(=O)Cc1cccc(Br)c1)c1ccccn1 ZINC000171328705 659273626 /nfs/dbraw/zinc/27/36/26/659273626.db2.gz HVMYCBLCMSTWJY-CYBMUJFWSA-N 0 1 315.170 3.263 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001030631849 659297617 /nfs/dbraw/zinc/29/76/17/659297617.db2.gz IDTNVVSXFSLVLF-UHFFFAOYSA-N 0 1 314.473 3.194 20 30 DGEDMN C#CC[C@@H](OC(=O)c1cc(-c2ccc(C)o2)n[nH]1)c1ccccn1 ZINC000172026736 659311789 /nfs/dbraw/zinc/31/17/89/659311789.db2.gz OARSBPFUJTWBQH-MRXNPFEDSA-N 0 1 321.336 3.295 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3[nH]c(C)c(C)c3c2)C[C@H]1C ZINC000948026741 659336530 /nfs/dbraw/zinc/33/65/30/659336530.db2.gz SZRHXODJRDUVBD-DYVFJYSZSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCCCNC(=O)c1cccc(C[N@@H+](C)Cc2ccccc2)c1 ZINC000172950839 659366756 /nfs/dbraw/zinc/36/67/56/659366756.db2.gz PLUUOTODUGJABA-UHFFFAOYSA-N 0 1 320.436 3.462 20 30 DGEDMN C#CCCCNC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000172950839 659366762 /nfs/dbraw/zinc/36/67/62/659366762.db2.gz PLUUOTODUGJABA-UHFFFAOYSA-N 0 1 320.436 3.462 20 30 DGEDMN Cc1ccc(N2CCC[C@H](NCc3ccc(C#N)cc3)C2=O)cc1 ZINC000174580728 659440368 /nfs/dbraw/zinc/44/03/68/659440368.db2.gz BGIFYTATMMMKOB-IBGZPJMESA-N 0 1 319.408 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3csc4ccccc34)CCC[C@@H]12 ZINC000992049239 659455735 /nfs/dbraw/zinc/45/57/35/659455735.db2.gz KUNVVXZDCMYNKQ-IEBWSBKVSA-N 0 1 324.449 3.261 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ccoc3C3CC3)CCC[C@H]12 ZINC000992137356 659471165 /nfs/dbraw/zinc/47/11/65/659471165.db2.gz NIIIOMGTXFURCI-MAUKXSAKSA-N 0 1 300.402 3.070 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@@]2(NC(=O)c3ccoc3C3CC3)CCC[C@@H]12 ZINC000992137788 659471536 /nfs/dbraw/zinc/47/15/36/659471536.db2.gz PZZRNYUOPFONJR-APWZRJJASA-N 0 1 314.429 3.460 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3ccsc3Cl)CCC[C@@H]12 ZINC000992305827 659518483 /nfs/dbraw/zinc/51/84/83/659518483.db2.gz UGGUGYHXUGAAFE-CJNGLKHVSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc4sccc4c3)CCC[C@@H]12 ZINC000992397606 659541167 /nfs/dbraw/zinc/54/11/67/659541167.db2.gz WYOBMZHIJRYEMJ-IEBWSBKVSA-N 0 1 324.449 3.261 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc4ccccc4o3)CCC[C@H]12 ZINC000992448971 659552084 /nfs/dbraw/zinc/55/20/84/659552084.db2.gz BDSHCRNRZHXOOA-ICSRJNTNSA-N 0 1 322.408 3.183 20 30 DGEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@@H]12 ZINC000992516087 659568558 /nfs/dbraw/zinc/56/85/58/659568558.db2.gz NGUACHSQSDIBKM-FCJNSDPXSA-N 0 1 304.478 3.358 20 30 DGEDMN CCCCC(=O)C(C#N)C(=O)Nc1ccc(OC)c(Cl)c1 ZINC000130337199 659678104 /nfs/dbraw/zinc/67/81/04/659678104.db2.gz CJJVQRVHHWAPNJ-NSHDSACASA-N 0 1 308.765 3.186 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3c2CCCC3)[C@@H]1C ZINC000993367666 659722178 /nfs/dbraw/zinc/72/21/78/659722178.db2.gz ORSNLLIGBMJJSG-HNAYVOBHSA-N 0 1 312.457 3.334 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nsc3ccccc32)[C@H]1C ZINC000993386054 659724977 /nfs/dbraw/zinc/72/49/77/659724977.db2.gz UCBQURJRVYTDHU-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C2(c3ccc(F)cc3)CC2)[C@H]1C ZINC000993393492 659727026 /nfs/dbraw/zinc/72/70/26/659727026.db2.gz JCMBKEUNGGVWLR-PBHICJAKSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3cc(C)cn3c2)[C@@H]1C ZINC000993414361 659730359 /nfs/dbraw/zinc/73/03/59/659730359.db2.gz WJIFQNKYIBWGRR-MAUKXSAKSA-N 0 1 311.429 3.016 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc3ccc(C)nc32)[C@@H]1C ZINC000993477844 659746277 /nfs/dbraw/zinc/74/62/77/659746277.db2.gz KFXBIICNTYCUNP-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)[C@H]1C ZINC000993475851 659746969 /nfs/dbraw/zinc/74/69/69/659746969.db2.gz KUJJMGUAILCYCF-NXWXRZEISA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2nccc3ccsc32)[C@H]1C ZINC000993466918 659749470 /nfs/dbraw/zinc/74/94/70/659749470.db2.gz RXUPQCLSCLSVBC-OCCSQVGLSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2cccc3cccnc32)[C@H]1C ZINC000993531356 659757403 /nfs/dbraw/zinc/75/74/03/659757403.db2.gz CJYFAEYWYNMVLD-PBHICJAKSA-N 0 1 309.413 3.004 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccccc2CCC)[C@H]1C ZINC000993560233 659762465 /nfs/dbraw/zinc/76/24/65/659762465.db2.gz HGNNRNZGAMHQFK-APWZRJJASA-N 0 1 312.457 3.245 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3ccccc3c2C)[C@H]1C ZINC000993608994 659765697 /nfs/dbraw/zinc/76/56/97/659765697.db2.gz XFRLOLQBSLVXOL-CRAIPNDOSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3)CCC2)[C@H]1C ZINC000993592614 659768460 /nfs/dbraw/zinc/76/84/60/659768460.db2.gz WNJXEUVVDIBBBY-IEBWSBKVSA-N 0 1 324.468 3.101 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)[C@H]1C ZINC000993664332 659773304 /nfs/dbraw/zinc/77/33/04/659773304.db2.gz LMGFBQSPSRBJTC-NVXWUHKLSA-N 0 1 323.440 3.001 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2cccs2)[C@@H]1C ZINC000993684777 659776428 /nfs/dbraw/zinc/77/64/28/659776428.db2.gz DVIDOPCZVDVRKT-KBPBESRZSA-N 0 1 306.475 3.181 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)[C@@H]1C ZINC000993660614 659776904 /nfs/dbraw/zinc/77/69/04/659776904.db2.gz LWLIGRZXMABLBC-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cccc(F)c2F)[C@H]1C ZINC000993712521 659779289 /nfs/dbraw/zinc/77/92/89/659779289.db2.gz INANGXGRIQHCEV-IUODEOHRSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn(C(C)C)c2C)[C@H]1C ZINC000993699577 659781381 /nfs/dbraw/zinc/78/13/81/659781381.db2.gz DNCGEAZNYYDTBR-NVXWUHKLSA-N 0 1 303.450 3.146 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccoc2C2CC2)[C@@H]1C ZINC000993699780 659782096 /nfs/dbraw/zinc/78/20/96/659782096.db2.gz AKYDGNRXHWGYOZ-XJKSGUPXSA-N 0 1 302.418 3.316 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C2CCC2)C2CCC2)[C@@H]1C ZINC000993703231 659782382 /nfs/dbraw/zinc/78/23/82/659782382.db2.gz LWKCPRPIKZHJLW-MAUKXSAKSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OCC)c(C)c2)[C@H]1C ZINC000993929299 659799345 /nfs/dbraw/zinc/79/93/45/659799345.db2.gz OSRWMKRCCOGZSE-WBVHZDCISA-N 0 1 316.445 3.162 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@H]1C ZINC000993945933 659804840 /nfs/dbraw/zinc/80/48/40/659804840.db2.gz CWEGURVBHRXMRD-DNVCBOLYSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)[C@@H]1C ZINC000993945936 659805203 /nfs/dbraw/zinc/80/52/03/659805203.db2.gz CWEGURVBHRXMRD-HNAYVOBHSA-N 0 1 310.441 3.415 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H]1C ZINC000993950196 659806499 /nfs/dbraw/zinc/80/64/99/659806499.db2.gz LLRLLHIGBQFWSY-YJBCQJRQSA-N 0 1 316.489 3.195 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccc(C)c2Cl)[C@@H]1C ZINC000994016165 659809645 /nfs/dbraw/zinc/80/96/45/659809645.db2.gz CHZDTGWWJUORST-GOEBONIOSA-N 0 1 318.848 3.254 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc(C3CCCC3)n2)[C@@H]1C ZINC000994132529 659813604 /nfs/dbraw/zinc/81/36/04/659813604.db2.gz HBMKXNVPCSNFPX-DZGCQCFKSA-N 0 1 317.433 3.101 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2oc(CCC)nc2C)[C@@H]1C ZINC000994230346 659822577 /nfs/dbraw/zinc/82/25/77/659822577.db2.gz MEWJWBSDWZXPKM-LSDHHAIUSA-N 0 1 319.449 3.094 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(CCC)nc2C)[C@@H]1C ZINC000994230336 659822732 /nfs/dbraw/zinc/82/27/32/659822732.db2.gz MEWJWBSDWZXPKM-GJZGRUSLSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H]1C ZINC000994266511 659828968 /nfs/dbraw/zinc/82/89/68/659828968.db2.gz IZMGFCSURWACOF-DNVCBOLYSA-N 0 1 310.441 3.415 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2c(F)cccc2Cl)[C@H]1C ZINC000994268575 659829041 /nfs/dbraw/zinc/82/90/41/659829041.db2.gz ZWKQHEGRITTYFJ-RISCZKNCSA-N 0 1 310.800 3.248 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@H]1C ZINC000994268976 659829916 /nfs/dbraw/zinc/82/99/16/659829916.db2.gz OGODIBMMBISMNC-UZLBHIALSA-N 0 1 322.452 3.252 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C2(C3CCCCC3)CC2)[C@H]1C ZINC000994300064 659834722 /nfs/dbraw/zinc/83/47/22/659834722.db2.gz ZBGMPHMJTPFXJW-SJLPKXTDSA-N 0 1 316.489 3.339 20 30 DGEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)c2ccc(C)cc2C)[C@H]1C ZINC000994344183 659840093 /nfs/dbraw/zinc/84/00/93/659840093.db2.gz BYNKPVXOEINPEH-AEFFLSMTSA-N 0 1 300.446 3.462 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2sccc2C2CC2)[C@H]1C ZINC000994342459 659841335 /nfs/dbraw/zinc/84/13/35/659841335.db2.gz AOIZUROOXDWGPR-CZUORRHYSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(CC)c(C)s2)[C@@H]1C ZINC000994361290 659842974 /nfs/dbraw/zinc/84/29/74/659842974.db2.gz GRZLLHDAWFMVRG-BBRMVZONSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(CC)c(C)s2)[C@@H]1C ZINC000994361297 659843326 /nfs/dbraw/zinc/84/33/26/659843326.db2.gz GRZLLHDAWFMVRG-XJKSGUPXSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC)c(Cl)c2)[C@@H]1C ZINC000994367602 659845943 /nfs/dbraw/zinc/84/59/43/659845943.db2.gz UJOIGAOKDMGMJZ-WFASDCNBSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)c2ccccc2)[C@H]1C ZINC000994382032 659850042 /nfs/dbraw/zinc/85/00/42/659850042.db2.gz ZSPHFXRHQYNEOY-NVXWUHKLSA-N 0 1 300.446 3.119 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cccc(F)c3o2)[C@H]1C ZINC000994444815 659855081 /nfs/dbraw/zinc/85/50/81/659855081.db2.gz UUEJAAHKYBENCN-IUODEOHRSA-N 0 1 316.376 3.341 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@@H]1C ZINC000994453989 659856787 /nfs/dbraw/zinc/85/67/87/659856787.db2.gz SEPCUBJAGXRXAE-DOTOQJQBSA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(C3CC3)cc2)[C@@H]1C ZINC000994461153 659856923 /nfs/dbraw/zinc/85/69/23/659856923.db2.gz JCMMEGQRTGBGBI-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c[nH]c3ccc(C)cc23)[C@@H]1C ZINC000994481816 659859201 /nfs/dbraw/zinc/85/92/01/659859201.db2.gz CQYHKPUKYSGQKB-YJBOKZPZSA-N 0 1 323.440 3.082 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)[C@H]1C ZINC000994484999 659859440 /nfs/dbraw/zinc/85/94/40/659859440.db2.gz AVSSTFXZBBKEJY-QAQJPARQSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CC2CCC(C)(C)CC2)[C@@H]1C ZINC000994561720 659882809 /nfs/dbraw/zinc/88/28/09/659882809.db2.gz OEYJSPUCOOAGDE-RDJZCZTQSA-N 0 1 304.478 3.195 20 30 DGEDMN CC(C)[C@@H](C#N)N1CCN(CCCCC2(C#N)CCC2)CC1 ZINC000930114822 659910084 /nfs/dbraw/zinc/91/00/84/659910084.db2.gz KEXLSJQSWRYNPF-QGZVFWFLSA-N 0 1 302.466 3.016 20 30 DGEDMN N#CC1(CCCCN2CCN(c3ncccc3F)CC2)CCC1 ZINC000930132294 659911826 /nfs/dbraw/zinc/91/18/26/659911826.db2.gz QMEDVVUSVDFIOM-UHFFFAOYSA-N 0 1 316.424 3.207 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc(C(F)F)ccc2F)C1 ZINC001031675176 659912094 /nfs/dbraw/zinc/91/20/94/659912094.db2.gz YFBZOEWLTHFTQH-UHFFFAOYSA-N 0 1 312.335 3.001 20 30 DGEDMN C#CCCCCC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000179910113 659946225 /nfs/dbraw/zinc/94/62/25/659946225.db2.gz VDXLCLMLZUOTPP-UHFFFAOYSA-N 0 1 312.457 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccsc2Cl)C[C@@H]1C ZINC000939256828 659992892 /nfs/dbraw/zinc/99/28/92/659992892.db2.gz FFKFYDCLLPBOJC-GZMMTYOYSA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccsc2Cl)C[C@H]1C ZINC000939256829 659992924 /nfs/dbraw/zinc/99/29/24/659992924.db2.gz FFKFYDCLLPBOJC-KCJUWKMLSA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2ccc(CCCC)cc2)C1 ZINC001031829457 660002836 /nfs/dbraw/zinc/00/28/36/660002836.db2.gz SGVGYYIFOJMLEU-UHFFFAOYSA-N 0 1 300.446 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)c(C)c2)C[C@H]1C ZINC000939662426 660008806 /nfs/dbraw/zinc/00/88/06/660008806.db2.gz GUCRLYFPQWBYES-CZUORRHYSA-N 0 1 306.837 3.106 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(Cl)s2)C[C@H]1C ZINC000939668433 660009154 /nfs/dbraw/zinc/00/91/54/660009154.db2.gz ANGLKELETCRNMU-PSASIEDQSA-N 0 1 319.257 3.204 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc3ccccc3o2)C[C@@H]1C ZINC000939668696 660009237 /nfs/dbraw/zinc/00/92/37/660009237.db2.gz DFRWNCFPCPCMDV-SMDDNHRTSA-N 0 1 318.804 3.235 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc3ccccc3o2)C[C@@H]1C ZINC000939668694 660009293 /nfs/dbraw/zinc/00/92/93/660009293.db2.gz DFRWNCFPCPCMDV-FZMZJTMJSA-N 0 1 318.804 3.235 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)sc2C)C(C)(C)C1 ZINC000940868074 660069336 /nfs/dbraw/zinc/06/93/36/660069336.db2.gz UDMAHOYRQCGJHA-INIZCTEOSA-N 0 1 318.486 3.219 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2c(C)oc(C)c2C)C(C)(C)C1 ZINC000940874320 660071431 /nfs/dbraw/zinc/07/14/31/660071431.db2.gz IOJBVVKNLKIPBM-MRXNPFEDSA-N 0 1 316.445 3.058 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2coc(C(F)F)c2)C(C)(C)C1 ZINC000940986100 660078236 /nfs/dbraw/zinc/07/82/36/660078236.db2.gz HVULUOMBOGYUJO-AWEZNQCLSA-N 0 1 324.371 3.071 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2coc(C(F)F)c2)C(C)(C)C1 ZINC000940986100 660078237 /nfs/dbraw/zinc/07/82/37/660078237.db2.gz HVULUOMBOGYUJO-AWEZNQCLSA-N 0 1 324.371 3.071 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(C[C@H](F)CC)CC2(C)C)C1 ZINC000941016269 660080135 /nfs/dbraw/zinc/08/01/35/660080135.db2.gz GEYWOLWWUVPESX-CABCVRRESA-N 0 1 310.457 3.308 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cnc3ccccc3c2)C(C)(C)C1 ZINC000941061899 660083702 /nfs/dbraw/zinc/08/37/02/660083702.db2.gz SVCREJZDQURGQS-SFHVURJKSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cccc(C(F)F)c2)C(C)(C)C1 ZINC000941173075 660091000 /nfs/dbraw/zinc/09/10/00/660091000.db2.gz QAYDZEUYAFUFGK-OAHLLOKOSA-N 0 1 320.383 3.088 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CC[C@H](CC)CC2)C(C)(C)C1 ZINC000941174561 660091151 /nfs/dbraw/zinc/09/11/51/660091151.db2.gz JERULFUWOKBWIQ-BZSNNMDCSA-N 0 1 318.505 3.443 20 30 DGEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc(C3CC3)cc2)C(C)(C)C1 ZINC000941233293 660095894 /nfs/dbraw/zinc/09/58/94/660095894.db2.gz UIYAPMNUJMDWOQ-LJQANCHMSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cncc3ccccc32)C(C)(C)C1 ZINC000941233440 660096007 /nfs/dbraw/zinc/09/60/07/660096007.db2.gz UZXNKJAAJYTVOP-SFHVURJKSA-N 0 1 323.440 3.251 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCCCC2(C)C)C(C)(C)C1 ZINC000941239651 660099071 /nfs/dbraw/zinc/09/90/71/660099071.db2.gz SJLMLCGZTPXGNQ-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2cc[nH]c2CC)CC1 ZINC000948348607 660108057 /nfs/dbraw/zinc/10/80/57/660108057.db2.gz DTEOZTMWLDMPPC-AWEZNQCLSA-N 0 1 323.868 3.256 20 30 DGEDMN COc1ccccc1CN(CCO)Cc1c(C)cc(C#N)cc1C ZINC000929506664 661313662 /nfs/dbraw/zinc/31/36/62/661313662.db2.gz YODRBLMEGMBIMM-UHFFFAOYSA-N 0 1 324.424 3.178 20 30 DGEDMN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C[C@H](O)CC#N ZINC000929835379 661342910 /nfs/dbraw/zinc/34/29/10/661342910.db2.gz QOQATFUMCDPHOO-DZGCQCFKSA-N 0 1 315.442 3.386 20 30 DGEDMN COc1cccc([C@H]2C[N@@H+](CC3CCC(C#N)CC3)CCO2)c1 ZINC000929845010 661343747 /nfs/dbraw/zinc/34/37/47/661343747.db2.gz JYOWYWZEGMQILZ-LADRWXRNSA-N 0 1 314.429 3.398 20 30 DGEDMN COc1cccc([C@H]2CN(CC3CCC(C#N)CC3)CCO2)c1 ZINC000929845010 661343749 /nfs/dbraw/zinc/34/37/49/661343749.db2.gz JYOWYWZEGMQILZ-LADRWXRNSA-N 0 1 314.429 3.398 20 30 DGEDMN C[C@@H](NCc1cncc(C#N)c1)c1cccc(OC(F)F)c1 ZINC000930198211 661376542 /nfs/dbraw/zinc/37/65/42/661376542.db2.gz YAJXQPYMCDOGNX-LLVKDONJSA-N 0 1 303.312 3.405 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000930526027 661407338 /nfs/dbraw/zinc/40/73/38/661407338.db2.gz FQNRICVIHMRAAQ-MRXNPFEDSA-N 0 1 314.820 3.472 20 30 DGEDMN N#Cc1cncc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1 ZINC000930667193 661420189 /nfs/dbraw/zinc/42/01/89/661420189.db2.gz NYETYRMSZIUYOB-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN N#Cc1cncc(CN[C@@H](c2ccccc2)C2(CO)CC=CC2)c1 ZINC000930825141 661432703 /nfs/dbraw/zinc/43/27/03/661432703.db2.gz MHXARJMNLNSVCQ-IBGZPJMESA-N 0 1 319.408 3.113 20 30 DGEDMN N#CCc1cccc2c1CCN(CCOC1CCSCC1)C2 ZINC000931333740 661468013 /nfs/dbraw/zinc/46/80/13/661468013.db2.gz VXIUOHDMZVAVJG-UHFFFAOYSA-N 0 1 316.470 3.023 20 30 DGEDMN COC[C@@H](C)N(Cc1cncc(C#N)c1)[C@@H]1CCc2ccccc21 ZINC000931332512 661468113 /nfs/dbraw/zinc/46/81/13/661468113.db2.gz GOJARGCHQJHXER-FOIQADDNSA-N 0 1 321.424 3.478 20 30 DGEDMN C[C@]1(Cc2ccc(F)c(Cl)c2)CCCN1C[C@H](O)CC#N ZINC000931555623 661488222 /nfs/dbraw/zinc/48/82/22/661488222.db2.gz WCQOBUAUXDCWFR-CZUORRHYSA-N 0 1 310.800 3.151 20 30 DGEDMN COc1cc2c(cc1OC)C1(CCC1)N(CCCC#N)CC2 ZINC000932082691 661536052 /nfs/dbraw/zinc/53/60/52/661536052.db2.gz XTHFGAUWNMGMJQ-UHFFFAOYSA-N 0 1 300.402 3.245 20 30 DGEDMN Cn1ccc(C2CCN(CC#Cc3ccc(Cl)cc3)CC2)n1 ZINC000932140950 661541752 /nfs/dbraw/zinc/54/17/52/661541752.db2.gz FXNLFTPSCCCPFB-UHFFFAOYSA-N 0 1 313.832 3.305 20 30 DGEDMN N#Cc1cncc(CN2CCC[C@@H](c3nc4c(s3)CCC4)C2)c1 ZINC000932189314 661545922 /nfs/dbraw/zinc/54/59/22/661545922.db2.gz SWBYYJIDWZJLLB-OAHLLOKOSA-N 0 1 324.453 3.278 20 30 DGEDMN CC(C)(C)NC(=O)[C@@H]1CCCN1CCC1(C#N)CCCCC1 ZINC000932438534 661569825 /nfs/dbraw/zinc/56/98/25/661569825.db2.gz XZVSVTNDYXFINH-HNNXBMFYSA-N 0 1 305.466 3.230 20 30 DGEDMN CC(C)(C)NC(=O)[C@H]1CCCN1CCC1(C#N)CCCCC1 ZINC000932438536 661570011 /nfs/dbraw/zinc/57/00/11/661570011.db2.gz XZVSVTNDYXFINH-OAHLLOKOSA-N 0 1 305.466 3.230 20 30 DGEDMN Cc1cccc(C)c1OCCN1CCC2(CC1)CC(=O)C=CO2 ZINC000933653186 661648566 /nfs/dbraw/zinc/64/85/66/661648566.db2.gz DNJPMYUOBSVWBA-UHFFFAOYSA-N 0 1 315.413 3.020 20 30 DGEDMN N#Cc1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)cc1 ZINC000933999458 661672080 /nfs/dbraw/zinc/67/20/80/661672080.db2.gz PMXDMDYPIKIGRA-UHFFFAOYSA-N 0 1 306.365 3.108 20 30 DGEDMN C#Cc1ccc(NC(=O)N2CCC(c3cn[nH]c3C)CC2)cc1 ZINC000934926023 661748749 /nfs/dbraw/zinc/74/87/49/661748749.db2.gz DWHAHDBBNUBRBA-UHFFFAOYSA-N 0 1 308.385 3.111 20 30 DGEDMN C#C[C@@H](NC(=O)c1ccc(CN2CCCCC2)o1)C(C)(C)C ZINC000936001678 661824745 /nfs/dbraw/zinc/82/47/45/661824745.db2.gz OTSIWWDVHWCTGV-MRXNPFEDSA-N 0 1 302.418 3.043 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)CC2CCC2)CCN1CC#Cc1ccccc1 ZINC000947163208 661829854 /nfs/dbraw/zinc/82/98/54/661829854.db2.gz RYPJTVNYNBANLN-YLJYHZDGSA-N 0 1 324.468 3.197 20 30 DGEDMN N#CC(C(=O)Cc1ccc(OC(F)F)cc1)c1ccccn1 ZINC000041493160 661834566 /nfs/dbraw/zinc/83/45/66/661834566.db2.gz BEZMHEQGRXNWAZ-CYBMUJFWSA-N 0 1 302.280 3.102 20 30 DGEDMN CCOc1ccc(OCC(=O)C(C#N)c2nc(C)cs2)cc1 ZINC000041521661 661835170 /nfs/dbraw/zinc/83/51/70/661835170.db2.gz VQMDIABAZNOYND-CQSZACIVSA-N 0 1 316.382 3.106 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3ccccc3s2)C[C@@H]1C ZINC000947505842 661912130 /nfs/dbraw/zinc/91/21/30/661912130.db2.gz FWSPJHYXUBCBIS-ZFWWWQNUSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2csc3ccccc23)C[C@H]1C ZINC000947590869 661918761 /nfs/dbraw/zinc/91/87/61/661918761.db2.gz WGEWKBWPHGYWPV-KGLIPLIRSA-N 0 1 312.438 3.117 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C[C@H]1C ZINC000947596493 661918951 /nfs/dbraw/zinc/91/89/51/661918951.db2.gz AYKJFKWDJBSTFR-MJGOQNOKSA-N 0 1 324.468 3.019 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)C2(c3cccc(C)c3)CCC2)C[C@@H]1C ZINC000947596491 661919496 /nfs/dbraw/zinc/91/94/96/661919496.db2.gz AYKJFKWDJBSTFR-HKUYNNGSSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccsc2)CC1 ZINC000948155464 661955898 /nfs/dbraw/zinc/95/58/98/661955898.db2.gz FUPWWDRQJYUBCZ-AWEZNQCLSA-N 0 1 312.866 3.427 20 30 DGEDMN C=CCOc1ccccc1C(=O)N1CCN(C[C@H](C)CC)CC1 ZINC000948919056 662007419 /nfs/dbraw/zinc/00/74/19/662007419.db2.gz RBGWEQHDVXIVDQ-MRXNPFEDSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2c3ccccc3CCN2CC)CC1 ZINC000948954024 662009421 /nfs/dbraw/zinc/00/94/21/662009421.db2.gz IPWKQNRAERYVPB-LJQANCHMSA-N 0 1 312.457 3.468 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@@H]1CNC(=O)[C@H]1CC12CC2 ZINC000948965978 662009971 /nfs/dbraw/zinc/00/99/71/662009971.db2.gz SWIHMOAKQJZWFQ-MSOLQXFVSA-N 0 1 310.441 3.078 20 30 DGEDMN C=CCCN1CCN(C(=O)Cc2ccc(CCCC)cc2)CC1 ZINC000949134228 662012192 /nfs/dbraw/zinc/01/21/92/662012192.db2.gz GVDINWGYFGQOIQ-UHFFFAOYSA-N 0 1 314.473 3.292 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC000949199287 662012574 /nfs/dbraw/zinc/01/25/74/662012574.db2.gz QQSVQRZNFVIGPW-DLBZAZTESA-N 0 1 304.478 3.335 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C2C[C@@H](C)C[C@H](C)C2)CC1 ZINC001006453348 662052414 /nfs/dbraw/zinc/05/24/14/662052414.db2.gz ZDKYXWLVVNWJDE-HOTGVXAUSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cccc3cccnc32)C1 ZINC000950783012 662087584 /nfs/dbraw/zinc/08/75/84/662087584.db2.gz RNRCEPWLLCUXHL-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2CCCC[C@H]2C)CC1 ZINC001006465356 662095634 /nfs/dbraw/zinc/09/56/34/662095634.db2.gz VJXQUAIXVBSCCA-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCSCCNCc1c(F)cccc1Br ZINC000192586002 662105505 /nfs/dbraw/zinc/10/55/05/662105505.db2.gz CXDRWBBCBZQFHW-UHFFFAOYSA-N 0 1 302.212 3.044 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](C)c2ccccc2C)CC1 ZINC000951187554 662118397 /nfs/dbraw/zinc/11/83/97/662118397.db2.gz RXIKCYDOOFFYFX-QGZVFWFLSA-N 0 1 300.446 3.209 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc[nH]c2C2CC2)C1 ZINC000951549942 662138741 /nfs/dbraw/zinc/13/87/41/662138741.db2.gz GLIJYTJFWBYADR-UHFFFAOYSA-N 0 1 301.434 3.005 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)c2cc(C)oc2C)CC1 ZINC000951768932 662150921 /nfs/dbraw/zinc/15/09/21/662150921.db2.gz JOAOXYSTRLSWOJ-OAHLLOKOSA-N 0 1 304.434 3.110 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000951773411 662151120 /nfs/dbraw/zinc/15/11/20/662151120.db2.gz ODXLLFPHXZRCHT-INIZCTEOSA-N 0 1 300.446 3.073 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCO[C@H](CCC)C2)C1 ZINC000957041084 662346390 /nfs/dbraw/zinc/34/63/90/662346390.db2.gz SYDMXVQIMIYDNC-FUHWJXTLSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2cc3sccc3[nH]2)C1 ZINC000957094856 662349875 /nfs/dbraw/zinc/34/98/75/662349875.db2.gz VLSFKGBGZVIJSS-UHFFFAOYSA-N 0 1 317.458 3.342 20 30 DGEDMN C#CCOc1ccccc1C[N@H+](C)[C@H](CCO)c1ccccc1 ZINC000093442654 662354929 /nfs/dbraw/zinc/35/49/29/662354929.db2.gz CAJRYLGDSJSLAE-LJQANCHMSA-N 0 1 309.409 3.254 20 30 DGEDMN C#CCOc1ccccc1CN(C)[C@H](CCO)c1ccccc1 ZINC000093442654 662354934 /nfs/dbraw/zinc/35/49/34/662354934.db2.gz CAJRYLGDSJSLAE-LJQANCHMSA-N 0 1 309.409 3.254 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)c(C)c2)[C@@H]1C ZINC000986752376 685350590 /nfs/dbraw/zinc/35/05/90/685350590.db2.gz DYJDNUIQQBCYDR-DOMZBBRYSA-N 0 1 310.800 3.079 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc2ccccc2c1 ZINC001028283979 685379204 /nfs/dbraw/zinc/37/92/04/685379204.db2.gz UTJLMJPUUXDZHD-LJQANCHMSA-N 0 1 306.409 3.057 20 30 DGEDMN C[C@H](NCC(C)(C)C#N)[C@@H]1CCCCN1C(=O)OC(C)(C)C ZINC000385987405 685175252 /nfs/dbraw/zinc/17/52/52/685175252.db2.gz DOGNKNZCGKVXIA-KBPBESRZSA-N 0 1 309.454 3.304 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)noc2CCC)CC[C@@H]1C ZINC001071501838 686670013 /nfs/dbraw/zinc/67/00/13/686670013.db2.gz VJFWIGVZUIFCAI-ZFWWWQNUSA-N 0 1 319.449 3.094 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccnn2C(CC)CC)CC[C@H]1C ZINC001071568901 686691378 /nfs/dbraw/zinc/69/13/78/686691378.db2.gz UISPXHDMKSAOAV-CABCVRRESA-N 0 1 318.465 3.013 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(F)ccc2F)CC[C@H]1C ZINC001071569030 686691404 /nfs/dbraw/zinc/69/14/04/686691404.db2.gz VWJCATCELWMTSG-TZMCWYRMSA-N 0 1 308.372 3.124 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(F)c(C)cc2F)CC[C@@H]1C ZINC001071583421 686694697 /nfs/dbraw/zinc/69/46/97/686694697.db2.gz HTJNZIBMXZYLGI-UONOGXRCSA-N 0 1 322.399 3.432 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2ccccc2C(C)(C)C)CC[C@@H]1C ZINC001071599870 686697458 /nfs/dbraw/zinc/69/74/58/686697458.db2.gz BTEBCVOFKCELLA-JKSUJKDBSA-N 0 1 312.457 3.200 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cccc(-n3cccc3)c2)CC[C@@H]1C ZINC001071656498 686714007 /nfs/dbraw/zinc/71/40/07/686714007.db2.gz YMKBDUOVLJJCCO-WMZOPIPTSA-N 0 1 323.440 3.246 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(F)cc(C)cc2F)CC[C@@H]1C ZINC001071670534 686719199 /nfs/dbraw/zinc/71/91/99/686719199.db2.gz MGUTXPOLAIZJAB-STQMWFEESA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cnc3ccccc3c2C)CC[C@H]1C ZINC001071695415 686725930 /nfs/dbraw/zinc/72/59/30/686725930.db2.gz PNWRPBFRKHOGGI-GDBMZVCRSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cc3ccc(C)cc3[nH]2)CC[C@@H]1C ZINC001071712867 686730685 /nfs/dbraw/zinc/73/06/85/686730685.db2.gz VCYHXLBVHBNGMQ-DOTOQJQBSA-N 0 1 323.440 3.082 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc3c(cc(C)cc3C)[nH]2)CC[C@@H]1C ZINC001071713060 686730723 /nfs/dbraw/zinc/73/07/23/686730723.db2.gz XYYFBPHCTTXZLD-HOTGVXAUSA-N 0 1 323.440 3.001 20 30 DGEDMN C#CCN1C[C@H](NC(=O)c2csc3ccccc23)CC[C@@H]1C ZINC001071714897 686731019 /nfs/dbraw/zinc/73/10/19/686731019.db2.gz BIGPBHZWOMOJQB-UONOGXRCSA-N 0 1 312.438 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@H]1C ZINC001071775744 686748633 /nfs/dbraw/zinc/74/86/33/686748633.db2.gz HMRUBHKAVDWNJP-DGCLKSJQSA-N 0 1 310.800 3.248 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)c(Cl)c2)CC[C@@H]1C ZINC001071775743 686748672 /nfs/dbraw/zinc/74/86/72/686748672.db2.gz HMRUBHKAVDWNJP-AAEUAGOBSA-N 0 1 310.800 3.248 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CC[C@@H](C)N(CCC(F)(F)F)C2)C1 ZINC001071807475 686760209 /nfs/dbraw/zinc/76/02/09/686760209.db2.gz SOLKSVVGNURCSE-CHWSQXEVSA-N 0 1 318.383 3.264 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)C)nc2C)CC[C@H]1C ZINC001071828726 686763149 /nfs/dbraw/zinc/76/31/49/686763149.db2.gz SSDPUWNNAIGQAA-ZBFHGGJFSA-N 0 1 315.461 3.282 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CCC(CC2)C3(C)C)CC[C@H]1C ZINC001071880458 686780887 /nfs/dbraw/zinc/78/08/87/686780887.db2.gz RPNUEYAWYNIWSI-XZZQLLPFSA-N 0 1 304.478 3.358 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2nc(C3CC3)oc2C)CC[C@@H]1C ZINC001071945713 686794298 /nfs/dbraw/zinc/79/42/98/686794298.db2.gz GZQBYTQNZSZBHY-WFASDCNBSA-N 0 1 317.433 3.019 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2oc(CC)cc2C)CC[C@@H]1C ZINC001071991150 686806399 /nfs/dbraw/zinc/80/63/99/686806399.db2.gz DFQVIHUIBYOWMC-LSDHHAIUSA-N 0 1 304.434 3.309 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2occ3c2CCCC3)CC[C@H]1C ZINC001072026771 686829060 /nfs/dbraw/zinc/82/90/60/686829060.db2.gz OBSKSMHLNMEVFC-GDBMZVCRSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2c(C)csc2Cl)CC[C@@H]1C ZINC001072027221 686831018 /nfs/dbraw/zinc/83/10/18/686831018.db2.gz VQNVSJWUQMDHDU-RYUDHWBXSA-N 0 1 312.866 3.479 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccsc2C(F)F)CC[C@@H]1C ZINC001072033565 686833741 /nfs/dbraw/zinc/83/37/41/686833741.db2.gz NJWFZPKTLBLBIO-QWRGUYRKSA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccsc2C(F)F)CC[C@@H]1C ZINC001072033566 686834552 /nfs/dbraw/zinc/83/45/52/686834552.db2.gz NJWFZPKTLBLBIO-WDEREUQCSA-N 0 1 314.401 3.454 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc3c(s2)CCC3)CC[C@H]1C ZINC001072049794 686844908 /nfs/dbraw/zinc/84/49/08/686844908.db2.gz RATPKWSWMWARQT-UKRRQHHQSA-N 0 1 318.486 3.396 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(CC)c(C)s2)CC[C@@H]1C ZINC001072054930 686849611 /nfs/dbraw/zinc/84/96/11/686849611.db2.gz MYBPUDLWAJPGKW-XJKSGUPXSA-N 0 1 318.486 3.225 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(OC)c(Cl)c2)CC[C@H]1C ZINC001072057773 686850483 /nfs/dbraw/zinc/85/04/83/686850483.db2.gz ASFNIJGWEUBOPY-OCCSQVGLSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(F)c(C)c2)CC[C@@H]1C ZINC001072088917 686859972 /nfs/dbraw/zinc/85/99/72/686859972.db2.gz SCYMHSPEDLVBBP-HOCLYGCPSA-N 0 1 304.409 3.211 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CC2CCC(C)(C)CC2)CC[C@@H]1C ZINC001072125178 686877126 /nfs/dbraw/zinc/87/71/26/686877126.db2.gz AAJQPXOPCZCPQU-RDJZCZTQSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C1CCC(C(=O)N2CC3(C2)CCN(C/C=C\Cl)C3)CC1 ZINC001072941585 686987757 /nfs/dbraw/zinc/98/77/57/686987757.db2.gz YBBDIJXTTCSEMA-WAPJZHGLSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(C)=C(C)C2)[C@H]1C ZINC001074666190 687289830 /nfs/dbraw/zinc/28/98/30/687289830.db2.gz QWSOPZQMKUZKAD-JYJNAYRXSA-N 0 1 310.869 3.454 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)c2cccc(F)c2)[C@H]1C ZINC001075120918 687336747 /nfs/dbraw/zinc/33/67/47/687336747.db2.gz SIJOKRGHYBYWCM-XEZPLFJOSA-N 0 1 324.827 3.261 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3ccc(CC)s3)[C@@H]2C1 ZINC001076004472 687464358 /nfs/dbraw/zinc/46/43/58/687464358.db2.gz NGDPZDBOBUFMDJ-DZGCQCFKSA-N 0 1 304.459 3.033 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4ccccc4s3)[C@@H]2C1 ZINC001076002423 687464507 /nfs/dbraw/zinc/46/45/07/687464507.db2.gz WZZQQPGPYMXCJW-LSDHHAIUSA-N 0 1 312.438 3.234 20 30 DGEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cc(C)c(C)s3)[C@@H]2C1 ZINC001076546504 687537284 /nfs/dbraw/zinc/53/72/84/687537284.db2.gz DXKRPKUPEIJWJJ-LSDHHAIUSA-N 0 1 304.459 3.087 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(C)CC)cc2)C1 ZINC001079925396 687904009 /nfs/dbraw/zinc/90/40/09/687904009.db2.gz WDZCFURPAQPTPC-CRAIPNDOSA-N 0 1 312.457 3.058 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2C(C)(C)C)C1 ZINC001079924527 687904348 /nfs/dbraw/zinc/90/43/48/687904348.db2.gz SBZHJPQKOCNKLT-RHSMWYFYSA-N 0 1 300.446 3.220 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001080084023 687918604 /nfs/dbraw/zinc/91/86/04/687918604.db2.gz OKIJMAJRYPPEJS-RHSMWYFYSA-N 0 1 310.397 3.183 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001080184840 687927985 /nfs/dbraw/zinc/92/79/85/687927985.db2.gz UCFQOJSDBMAZBX-DGCLKSJQSA-N 0 1 310.800 3.024 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3sccc3c2)C1 ZINC001080701471 688008872 /nfs/dbraw/zinc/00/88/72/688008872.db2.gz VRESJQCCMVXKIX-IUODEOHRSA-N 0 1 300.427 3.137 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2ccc(Cl)s2)C1 ZINC001080744036 688009407 /nfs/dbraw/zinc/00/94/07/688009407.db2.gz HXZZASWRSXAEDS-GRYCIOLGSA-N 0 1 312.866 3.128 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccsc2C(F)(F)F)C1 ZINC001080852822 688019127 /nfs/dbraw/zinc/01/91/27/688019127.db2.gz WYHHCRCNVPPDHB-MWLCHTKSSA-N 0 1 318.364 3.003 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccccc2C2CCC2)C1 ZINC001080864876 688021221 /nfs/dbraw/zinc/02/12/21/688021221.db2.gz XLUZGFLHAXSPEZ-DNVCBOLYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001080896972 688025603 /nfs/dbraw/zinc/02/56/03/688025603.db2.gz AROGFHXZAZZJPR-SJLPKXTDSA-N 0 1 312.457 3.121 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC(CCCC)CC2)C1 ZINC001080901093 688026234 /nfs/dbraw/zinc/02/62/34/688026234.db2.gz JTWCGEGGKPBJKU-LWSMDNFKSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(C)(C)C)s2)C1 ZINC001080982422 688030441 /nfs/dbraw/zinc/03/04/41/688030441.db2.gz ALXRVXNHNMISCQ-ZIAGYGMSSA-N 0 1 318.486 3.119 20 30 DGEDMN CC(C)CCC(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001662157336 1196688113 /nfs/dbraw/zinc/68/81/13/1196688113.db2.gz QJMYCQLOOBZYCJ-OAHLLOKOSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001662233968 1196697621 /nfs/dbraw/zinc/69/76/21/1196697621.db2.gz FOXPTOQDLOKXFG-QWHCGFSZSA-N 0 1 310.388 3.476 20 30 DGEDMN N#CCN(C(=O)c1cccc(F)c1O)c1ccc(Cl)cc1 ZINC001586048478 1192303984 /nfs/dbraw/zinc/30/39/84/1192303984.db2.gz RISHBJNNAOOBKF-UHFFFAOYSA-N 0 1 304.708 3.355 20 30 DGEDMN C=C[C@](C)(O)CC(=O)Nc1nc2cc(Cl)cc(Cl)c2[nH]1 ZINC001586343337 1192323505 /nfs/dbraw/zinc/32/35/05/1192323505.db2.gz HOYDORITVANBKX-ZDUSSCGKSA-N 0 1 314.172 3.135 20 30 DGEDMN COc1cc(C)sc1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001586345990 1192323664 /nfs/dbraw/zinc/32/36/64/1192323664.db2.gz FVRVKHPCXRHBFA-UHFFFAOYSA-N 0 1 312.354 3.065 20 30 DGEDMN CCOc1ccc(CC(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1 ZINC001587347315 1192394419 /nfs/dbraw/zinc/39/44/19/1192394419.db2.gz GYDLHTCJXLCKQC-UHFFFAOYSA-N 0 1 320.352 3.014 20 30 DGEDMN C[C@H](Cc1ccccc1F)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001587347633 1192394895 /nfs/dbraw/zinc/39/48/95/1192394895.db2.gz UDZLRMAUEYRWIP-LLVKDONJSA-N 0 1 322.343 3.391 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2cc(-c3nn[nH]n3)cs2)CCCC1(C)C ZINC001588564419 1192450318 /nfs/dbraw/zinc/45/03/18/1192450318.db2.gz NEKDYRZVBYIFOK-CQSZACIVSA-N 0 1 317.462 3.495 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC(C)(C)C(F)(F)F)C1 ZINC001664687399 1197035964 /nfs/dbraw/zinc/03/59/64/1197035964.db2.gz SPSNGNMNIARMGE-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN CCn1cc(CNCc2ccc(C#N)cn2)c(-c2ccccc2)n1 ZINC001620958633 1192653925 /nfs/dbraw/zinc/65/39/25/1192653925.db2.gz VYPFMXTYRAQDSY-UHFFFAOYSA-N 0 1 317.396 3.126 20 30 DGEDMN CC(=CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001595735007 1192668323 /nfs/dbraw/zinc/66/83/23/1192668323.db2.gz QKVNMYRUFTXUJZ-FMIVXFBMSA-N 0 1 302.337 3.477 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(C)CCc1c(F)cccc1F ZINC001690980552 1176061701 /nfs/dbraw/zinc/06/17/01/1176061701.db2.gz LMRQCNPQQHVALF-UHFFFAOYSA-N 0 1 324.415 3.158 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1occc1C)c1ccc(C(C)C)cc1 ZINC001691768633 1176156844 /nfs/dbraw/zinc/15/68/44/1176156844.db2.gz XMGCDHJTXQFHCP-SFHVURJKSA-N 0 1 324.424 3.405 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC001691038935 1176187784 /nfs/dbraw/zinc/18/77/84/1176187784.db2.gz RGIJPGHNHBXRGQ-UHFFFAOYSA-N 0 1 320.864 3.079 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCN1[C@H](CC)c1ccc(F)cc1 ZINC001670635439 1176198007 /nfs/dbraw/zinc/19/80/07/1176198007.db2.gz LKMXQSPCCBVJAP-QZTJIDSGSA-N 0 1 316.420 3.271 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCN1CCCC1CCCC1 ZINC001670641743 1176199384 /nfs/dbraw/zinc/19/93/84/1176199384.db2.gz XHUOOOGNHJFRKG-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CC[N@H+](CC)CCNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001691040606 1176199584 /nfs/dbraw/zinc/19/95/84/1176199584.db2.gz OXEXNBBSGQENMU-GOSISDBHSA-N 0 1 314.473 3.340 20 30 DGEDMN CC#CCN(CC)CCNC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC001691040606 1176199587 /nfs/dbraw/zinc/19/95/87/1176199587.db2.gz OXEXNBBSGQENMU-GOSISDBHSA-N 0 1 314.473 3.340 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CC[N@@H+]1CCc1ccc(C)cc1 ZINC001670646503 1176200429 /nfs/dbraw/zinc/20/04/29/1176200429.db2.gz WJPWRJRYLXNDGI-GOSISDBHSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001670646503 1176200433 /nfs/dbraw/zinc/20/04/33/1176200433.db2.gz WJPWRJRYLXNDGI-GOSISDBHSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1ccc2cc[nH]c2c1 ZINC001670678165 1176214512 /nfs/dbraw/zinc/21/45/12/1176214512.db2.gz YZXYQQKHAQNUMU-INIZCTEOSA-N 0 1 311.429 3.071 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1Cc1ccccc1CC ZINC001670682925 1176215336 /nfs/dbraw/zinc/21/53/36/1176215336.db2.gz XQKFRUGDHKJGIJ-SFHVURJKSA-N 0 1 300.446 3.296 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1coc2ccccc12 ZINC001691066893 1176290206 /nfs/dbraw/zinc/29/02/06/1176290206.db2.gz XRWOKLTWGFHJKB-INIZCTEOSA-N 0 1 312.413 3.084 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001691798732 1176290826 /nfs/dbraw/zinc/29/08/26/1176290826.db2.gz NZGAGDFLLKQBTK-BZSNNMDCSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001691085219 1176301243 /nfs/dbraw/zinc/30/12/43/1176301243.db2.gz BOMKXOUFJROFLO-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(CC)CCC(F)(F)CC1 ZINC001691085219 1176301248 /nfs/dbraw/zinc/30/12/48/1176301248.db2.gz BOMKXOUFJROFLO-CQSZACIVSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC001691097331 1176310283 /nfs/dbraw/zinc/31/02/83/1176310283.db2.gz JUJHOBHLIRUBEV-CZUORRHYSA-N 0 1 306.837 3.210 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)[C@@]1(C)C=CCC1 ZINC001691188683 1176373333 /nfs/dbraw/zinc/37/33/33/1176373333.db2.gz DVFCEBMYWWNZHH-NRFANRHFSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CC[N@H+](CCNC(=O)[C@@H](CC)C1CCC(C)CC1)C1CC1 ZINC001691212991 1176394803 /nfs/dbraw/zinc/39/48/03/1176394803.db2.gz GQWNTGQWZPXNEZ-HTWSVDAQSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H](CC)C1CCC(C)CC1)C1CC1 ZINC001691212991 1176394813 /nfs/dbraw/zinc/39/48/13/1176394813.db2.gz GQWNTGQWZPXNEZ-HTWSVDAQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1cccc(F)c1 ZINC001691339088 1176444851 /nfs/dbraw/zinc/44/48/51/1176444851.db2.gz JOOBSSMWYXRJHE-WBMJQRKESA-N 0 1 324.827 3.409 20 30 DGEDMN C=C/C(C)=C/CC(=O)N1CC[C@@H](C)C[C@H]1CNCC(=C)Cl ZINC001691365577 1176452590 /nfs/dbraw/zinc/45/25/90/1176452590.db2.gz FYYROCKEAIBMDJ-NZTAJPAGSA-N 0 1 310.869 3.478 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)c1cccc(F)c1 ZINC001691398700 1176463866 /nfs/dbraw/zinc/46/38/66/1176463866.db2.gz HSLQWFVQVTXZAC-CRAIPNDOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2Cc2cccc(C)c2)C1 ZINC001691398598 1176464934 /nfs/dbraw/zinc/46/49/34/1176464934.db2.gz GHOSZBFZDOKSEJ-GOSISDBHSA-N 0 1 312.457 3.384 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H](C)Cc1ccccc1 ZINC001691416078 1176475830 /nfs/dbraw/zinc/47/58/30/1176475830.db2.gz CTVZZKXOBGKBLD-IEBWSBKVSA-N 0 1 314.473 3.364 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3cc(F)c(O)c(F)c3)sc2C1 ZINC001213370938 1176530410 /nfs/dbraw/zinc/53/04/10/1176530410.db2.gz POXDWAMWRIFUHU-UHFFFAOYSA-N 0 1 321.352 3.335 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1 ZINC001691786446 1176556000 /nfs/dbraw/zinc/55/60/00/1176556000.db2.gz AATCZIMIAYWSNS-WBVHZDCISA-N 0 1 300.446 3.139 20 30 DGEDMN Cc1cc([C@H]2CCCCN2C(=O)c2ccc(CC#N)cc2)n[nH]1 ZINC001597891372 1192753226 /nfs/dbraw/zinc/75/32/26/1192753226.db2.gz JRVDRGHKNRHNFB-QGZVFWFLSA-N 0 1 308.385 3.152 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)C(CC)CC)C1 ZINC001754741696 1176680690 /nfs/dbraw/zinc/68/06/90/1176680690.db2.gz MHRYRYSXGNPVBI-CQSZACIVSA-N 0 1 302.409 3.072 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCC)CC(C)C)C(C)(C)C1 ZINC001755143024 1176773486 /nfs/dbraw/zinc/77/34/86/1176773486.db2.gz GZGZXBXENLTTIT-IRXDYDNUSA-N 0 1 306.494 3.299 20 30 DGEDMN C#CCCCCC(=O)NCC1(N[C@H](C)c2ccccc2F)CC1 ZINC001670767399 1176779622 /nfs/dbraw/zinc/77/96/22/1176779622.db2.gz FJBLKWOQLNXMIY-OAHLLOKOSA-N 0 1 316.420 3.319 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2scnc2C)C1 ZINC001671649155 1176837004 /nfs/dbraw/zinc/83/70/04/1176837004.db2.gz OSTXMILMUFHNRM-QGZVFWFLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc2c(o1)CCCC2 ZINC001755237592 1176863172 /nfs/dbraw/zinc/86/31/72/1176863172.db2.gz NUDGYFWPINDKFA-NEPJUHHUSA-N 0 1 310.825 3.007 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@@H]21 ZINC001600075187 1192787351 /nfs/dbraw/zinc/78/73/51/1192787351.db2.gz LHMPIURALIMIKJ-SQNIBIBYSA-N 0 1 312.413 3.332 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+](CC(=O)[O-])C1CCCCC1 ZINC001600074541 1192787760 /nfs/dbraw/zinc/78/77/60/1192787760.db2.gz IYOOJCRYJRJZJN-UHFFFAOYSA-N 0 1 300.402 3.394 20 30 DGEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)[C@H](F)c1ccccc1 ZINC001752822898 1177205546 /nfs/dbraw/zinc/20/55/46/1177205546.db2.gz QKERYNWOXHUUTB-DLBZAZTESA-N 0 1 304.409 3.244 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001755830601 1177272408 /nfs/dbraw/zinc/27/24/08/1177272408.db2.gz OSVHXYFKEMILJE-UONOGXRCSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CCC)c1ccccc1 ZINC001691587633 1177319921 /nfs/dbraw/zinc/31/99/21/1177319921.db2.gz WEYDDMBSUCFGDN-INIZCTEOSA-N 0 1 308.853 3.371 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2ccc(O)c(Cl)c2)c1 ZINC000036987805 1177378629 /nfs/dbraw/zinc/37/86/29/1177378629.db2.gz LAQSBGFYUDCXBX-UHFFFAOYSA-N 0 1 302.717 3.200 20 30 DGEDMN CC[C@H](C)[C@H](C)C(=O)NCC1(NCc2ccc(C#N)s2)CC1 ZINC001670880890 1177454695 /nfs/dbraw/zinc/45/46/95/1177454695.db2.gz UKFICTNSOMGZJG-STQMWFEESA-N 0 1 319.474 3.040 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1C ZINC001691680549 1177681462 /nfs/dbraw/zinc/68/14/62/1177681462.db2.gz XCLJUUJOCYPPIY-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2(Cc3ccccc3)CCC2)[C@H]1C ZINC001691689063 1177689855 /nfs/dbraw/zinc/68/98/55/1177689855.db2.gz RYFBHSVUTMLPMI-MJGOQNOKSA-N 0 1 324.468 3.002 20 30 DGEDMN C=C[C@H](C(=O)N1CCN(CC/C=C\CC)CC1)c1ccccc1 ZINC001113665011 1177728551 /nfs/dbraw/zinc/72/85/51/1177728551.db2.gz KUBCWTXFVFXBSW-VOFKWLDRSA-N 0 1 312.457 3.457 20 30 DGEDMN C=C[C@@H](CC(=O)N1CCN(CCC2CC2)CC1)c1ccccc1 ZINC001113666805 1177728719 /nfs/dbraw/zinc/72/87/19/1177728719.db2.gz BTNSYXFOGZBSTB-SFHVURJKSA-N 0 1 312.457 3.291 20 30 DGEDMN C=CCC[C@H](CO)NCc1ccc(C(F)(F)F)nc1Cl ZINC001181752362 1177815998 /nfs/dbraw/zinc/81/59/98/1177815998.db2.gz HKJPKYAJMMGEHF-SNVBAGLBSA-N 0 1 308.731 3.171 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)CC2CCCCC2)[C@H]1C ZINC001691728211 1177890830 /nfs/dbraw/zinc/89/08/30/1177890830.db2.gz DKGQDTHWTCQQAS-JZXOWHBKSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCCc1ccc(C)s1 ZINC001275477454 1177930387 /nfs/dbraw/zinc/93/03/87/1177930387.db2.gz ZGVCPOPEOYNSQY-LBPRGKRZSA-N 0 1 314.882 3.226 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCC(F)(F)F ZINC001110933837 1177944456 /nfs/dbraw/zinc/94/44/56/1177944456.db2.gz SQLWQNGFTQPBBH-DGAVXFQQSA-N 0 1 318.383 3.263 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)/C=C\c2ccc[nH]2)C1 ZINC001671120874 1178015171 /nfs/dbraw/zinc/01/51/71/1178015171.db2.gz YDVDXBKKUQMNJF-KHPPLWFESA-N 0 1 303.450 3.141 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)Nc1ccc2nc[nH]c2c1 ZINC001292189994 1178083819 /nfs/dbraw/zinc/08/38/19/1178083819.db2.gz PPLRTHSFMFIDHH-LBPRGKRZSA-N 0 1 304.353 3.252 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](CNCc1ncc(C)s1)C1CC1 ZINC001331289962 1178175538 /nfs/dbraw/zinc/17/55/38/1178175538.db2.gz OOYSRSYSHNCFAH-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H](CNCc1csc(C)n1)C1CC1 ZINC001331288801 1178175558 /nfs/dbraw/zinc/17/55/58/1178175558.db2.gz KNNYPZXFWZPSDM-MRXNPFEDSA-N 0 1 321.490 3.134 20 30 DGEDMN CCc1cccc(NC(=O)CN[C@H](C)c2cccc(C#N)c2)c1 ZINC001331305879 1178179405 /nfs/dbraw/zinc/17/94/05/1178179405.db2.gz GGQACDCMPRPNGZ-CQSZACIVSA-N 0 1 307.397 3.410 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1occc1Cl ZINC001331327375 1178185763 /nfs/dbraw/zinc/18/57/63/1178185763.db2.gz ZGMKTJNJHVZBIA-GFCCVEGCSA-N 0 1 317.216 3.126 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)C1CCCC1 ZINC001331468511 1178221204 /nfs/dbraw/zinc/22/12/04/1178221204.db2.gz BZAHSBOURRJIRE-CYBMUJFWSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1cccc(C)c1C[N@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001600520528 1192866475 /nfs/dbraw/zinc/86/64/75/1192866475.db2.gz SQKHMXZAGXBXAK-GOSISDBHSA-N 0 1 308.381 3.433 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NCc1ccn(C(C)C)n1 ZINC001331519645 1178233785 /nfs/dbraw/zinc/23/37/85/1178233785.db2.gz PTHHSYMOOBDYEQ-HNNXBMFYSA-N 0 1 320.481 3.003 20 30 DGEDMN CCC(CC)CC(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001331598634 1178256512 /nfs/dbraw/zinc/25/65/12/1178256512.db2.gz LBSVCGFUAVKCKW-OAHLLOKOSA-N 0 1 315.461 3.321 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)c1ccc(C)cc1 ZINC001331614556 1178259880 /nfs/dbraw/zinc/25/98/80/1178259880.db2.gz HMAUXDJIKCBFPK-OAHLLOKOSA-N 0 1 322.880 3.462 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](F)CC1CCCCC1 ZINC001331614607 1178260056 /nfs/dbraw/zinc/26/00/56/1178260056.db2.gz HZLJPJJRIGFDDC-DZGCQCFKSA-N 0 1 318.864 3.484 20 30 DGEDMN N#Cc1cccc(CN2CCC[C@@H](c3nc4ccccc4[nH]3)C2)n1 ZINC001331692311 1178271251 /nfs/dbraw/zinc/27/12/51/1178271251.db2.gz NQVNRDVPZVKJFA-CQSZACIVSA-N 0 1 317.396 3.209 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCC[N@@H+](Cc2ccsc2)[C@@H]1C ZINC001332179233 1178382411 /nfs/dbraw/zinc/38/24/11/1178382411.db2.gz UGTBEMLRRSVMQK-WBVHZDCISA-N 0 1 318.486 3.411 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCCN(Cc2ccsc2)[C@@H]1C ZINC001332179233 1178382416 /nfs/dbraw/zinc/38/24/16/1178382416.db2.gz UGTBEMLRRSVMQK-WBVHZDCISA-N 0 1 318.486 3.411 20 30 DGEDMN C#C[C@@H](NCc1cc2ccnc(Cl)c2s1)[C@@H]1CCCO1 ZINC001332737780 1178488716 /nfs/dbraw/zinc/48/87/16/1178488716.db2.gz WWSWEWJJNVLTIJ-OLZOCXBDSA-N 0 1 306.818 3.220 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](CN[C@@H](C)c1cnccn1)C(C)(C)C ZINC001332811770 1178501912 /nfs/dbraw/zinc/50/19/12/1178501912.db2.gz WVPUUCJZYIZAHL-GOEBONIOSA-N 0 1 318.465 3.014 20 30 DGEDMN CCN(Cc1c(C)cnn1COC)[C@@H](C)c1ccc(C#N)cc1 ZINC001334124427 1178723737 /nfs/dbraw/zinc/72/37/37/1178723737.db2.gz UFMQFUGMNLDHFF-HNNXBMFYSA-N 0 1 312.417 3.250 20 30 DGEDMN C#CCCCCCC[N@H+]1C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C1 ZINC001334793026 1178798900 /nfs/dbraw/zinc/79/89/00/1178798900.db2.gz GHBDYUSMBJPYBV-OLZOCXBDSA-N 0 1 305.340 3.155 20 30 DGEDMN N#C[C@H]1CCC[N@@H+]([C@@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059585 1192914889 /nfs/dbraw/zinc/91/48/89/1192914889.db2.gz HTPKUFNVRYLEMS-ZWNOBZJWSA-N 0 1 312.291 3.067 20 30 DGEDMN N#C[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2ccc(C(F)(F)F)cc2)C1 ZINC001601059585 1192914891 /nfs/dbraw/zinc/91/48/91/1192914891.db2.gz HTPKUFNVRYLEMS-ZWNOBZJWSA-N 0 1 312.291 3.067 20 30 DGEDMN N#CCCCC[N@H+](CC(=O)[O-])Cc1ccc(C(F)(F)F)cc1 ZINC001601065922 1192915927 /nfs/dbraw/zinc/91/59/27/1192915927.db2.gz WAAJUUOYDAIBIM-UHFFFAOYSA-N 0 1 314.307 3.286 20 30 DGEDMN N#CCC[C@@H]([NH2+][C@@H]1CCCn2nc(C(=O)[O-])cc21)c1ccccc1 ZINC001601066598 1192915975 /nfs/dbraw/zinc/91/59/75/1192915975.db2.gz OACZJTYSUHVYJH-HUUCEWRRSA-N 0 1 324.384 3.051 20 30 DGEDMN N#Cc1c(C[N@H+]2C[C@@H](C3CC3)C[C@H]2C2CC2)cccc1C(=O)[O-] ZINC001601081096 1192916421 /nfs/dbraw/zinc/91/64/21/1192916421.db2.gz DBRBHKRMSVNNBK-YJBOKZPZSA-N 0 1 310.397 3.267 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CCSC3(CCC3)CC2)cc1 ZINC001601095278 1192918197 /nfs/dbraw/zinc/91/81/97/1192918197.db2.gz MFXARZWABZOUPG-OAHLLOKOSA-N 0 1 316.426 3.046 20 30 DGEDMN N#Cc1ccc(CC[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)cc1 ZINC001601098438 1192918691 /nfs/dbraw/zinc/91/86/91/1192918691.db2.gz XPGWXXMJDDKDPL-SQNIBIBYSA-N 0 1 312.413 3.066 20 30 DGEDMN C=C(C)Cn1c([C@H]2CCC[N@@H+](C)C2)nnc1N(CC)[C@H](C)CC ZINC001342354571 1179133475 /nfs/dbraw/zinc/13/34/75/1179133475.db2.gz HIMGAAIQTROMSO-CVEARBPZSA-N 0 1 319.497 3.288 20 30 DGEDMN Cc1c(C#N)cccc1C(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC001342599716 1179159107 /nfs/dbraw/zinc/15/91/07/1179159107.db2.gz KDAKNKVMHNLDPC-MRXNPFEDSA-N 0 1 324.380 3.281 20 30 DGEDMN C#CC[C@@H](OC(=O)c1cccc2nc(C(F)F)[nH]c21)C1CC1 ZINC001342852663 1179196957 /nfs/dbraw/zinc/19/69/57/1179196957.db2.gz DWYYXDZHTMTZAS-GFCCVEGCSA-N 0 1 304.296 3.459 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(CC)[C@@H](C)CC ZINC001342976600 1179220072 /nfs/dbraw/zinc/22/00/72/1179220072.db2.gz ADQDNAFWTIMHCW-LBPRGKRZSA-N 0 1 302.426 3.178 20 30 DGEDMN N#CC(C(=O)Nc1ccccc1)C(=O)[C@@H]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001343404883 1179267548 /nfs/dbraw/zinc/26/75/48/1179267548.db2.gz YORHZGUTKHSPMI-CABNGKKXSA-N 0 1 318.323 3.015 20 30 DGEDMN Cn1ccc(NN=Cc2cc(Br)c(Cl)s2)n1 ZINC001343544111 1179295177 /nfs/dbraw/zinc/29/51/77/1179295177.db2.gz OPFDMYIPWRFRBC-UHFFFAOYSA-N 0 1 319.615 3.344 20 30 DGEDMN N#CC(C(=O)[C@H]1CCOC2(CCCC2)C1)c1cccc(F)n1 ZINC001343607645 1179303183 /nfs/dbraw/zinc/30/31/83/1179303183.db2.gz IZONIFJNHWJBCS-STQMWFEESA-N 0 1 302.349 3.136 20 30 DGEDMN N#CC(C(=O)[C@]12C[C@H]1CCC2)c1nccn1Cc1ccccc1 ZINC001343703691 1179320173 /nfs/dbraw/zinc/32/01/73/1179320173.db2.gz COYLKQYXYXZCOS-GPMSIDNRSA-N 0 1 305.381 3.298 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@H](NCc2conc2CC)C1 ZINC001479685416 1179331429 /nfs/dbraw/zinc/33/14/29/1179331429.db2.gz PLIKIKKBCDRFKA-INIZCTEOSA-N 0 1 319.449 3.064 20 30 DGEDMN N#C[C@@H](C(=O)[C@H]1CCCN1c1ccccc1)c1cccc(F)n1 ZINC001344033965 1179367104 /nfs/dbraw/zinc/36/71/04/1179367104.db2.gz FXVHPMSACJNIFT-GDBMZVCRSA-N 0 1 309.344 3.066 20 30 DGEDMN CCn1ccnc1[C@H](C#N)C(=O)Cn1c(C)cc2ccccc21 ZINC001344322705 1179412237 /nfs/dbraw/zinc/41/22/37/1179412237.db2.gz WASKLNNFEVZLEH-OAHLLOKOSA-N 0 1 306.369 3.043 20 30 DGEDMN C#CCN1CCC(OC(=O)c2cccc(C(F)F)c2F)CC1 ZINC001344373836 1179422413 /nfs/dbraw/zinc/42/24/13/1179422413.db2.gz WFQLFAMTSWZAIK-UHFFFAOYSA-N 0 1 311.303 3.018 20 30 DGEDMN Cn1cc(-c2ccc(CN=Nc3ccncc3Cl)cc2)cn1 ZINC001344416241 1179431055 /nfs/dbraw/zinc/43/10/55/1179431055.db2.gz OTJQWJROIVAHSN-UHFFFAOYSA-N 0 1 311.776 3.003 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1C(=O)[C@H](C#N)c1cccc(F)n1 ZINC001344597190 1179456071 /nfs/dbraw/zinc/45/60/71/1179456071.db2.gz ULUUKUZEQBBMFR-GFCCVEGCSA-N 0 1 314.364 3.279 20 30 DGEDMN Cc1nn(C(C)(C)C)c(C)c1C(=O)C(C#N)c1cccc(F)n1 ZINC001344597190 1179456075 /nfs/dbraw/zinc/45/60/75/1179456075.db2.gz ULUUKUZEQBBMFR-GFCCVEGCSA-N 0 1 314.364 3.279 20 30 DGEDMN N#C[C@@H](C(=O)[C@@H]1CCOC2(CCC2)C1)c1cnc2ccccc2n1 ZINC001344850176 1179486140 /nfs/dbraw/zinc/48/61/40/1179486140.db2.gz KBCNDXNQJIMWMB-ZIAGYGMSSA-N 0 1 321.380 3.155 20 30 DGEDMN C#CCN1CCC(OC(=O)[C@H](C)CSc2ccccc2)CC1 ZINC001345673155 1179591337 /nfs/dbraw/zinc/59/13/37/1179591337.db2.gz IXGMJLXQPVAWFT-OAHLLOKOSA-N 0 1 317.454 3.056 20 30 DGEDMN N#CC(C(=O)CSC1CC1)c1nccn1Cc1ccccc1 ZINC001346537014 1179717469 /nfs/dbraw/zinc/71/74/69/1179717469.db2.gz FONDQLPMMXFWGO-OAHLLOKOSA-N 0 1 311.410 3.003 20 30 DGEDMN C=CCNC(=O)[C@H](C#N)C(=O)C=Cc1cc(Cl)cc(Cl)c1 ZINC001347064019 1179773232 /nfs/dbraw/zinc/77/32/32/1179773232.db2.gz PQTLJSSXUBHETQ-DHCBQETCSA-N 0 1 323.179 3.018 20 30 DGEDMN COc1nc(C(=O)C(C#N)c2cccc(F)n2)cc2ccccc21 ZINC001347106896 1179778046 /nfs/dbraw/zinc/77/80/46/1179778046.db2.gz JNXDGELNEGLMGW-CYBMUJFWSA-N 0 1 321.311 3.268 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)C(C)(C)c1ccccc1 ZINC001349703206 1179937335 /nfs/dbraw/zinc/93/73/35/1179937335.db2.gz VYDZEOWZYDFKMC-LBPRGKRZSA-N 0 1 301.777 3.228 20 30 DGEDMN C#CCN1CCC(OC(=O)C[C@@H](C)c2ccc(F)cc2F)CC1 ZINC001349770098 1179947580 /nfs/dbraw/zinc/94/75/80/1179947580.db2.gz CJXFLTMVRRXHMQ-CYBMUJFWSA-N 0 1 321.367 3.099 20 30 DGEDMN CC[C@H](C#N)N(C)C(=O)[C@H]1CCCCN1Cc1cccc(C)c1 ZINC001349776827 1179949649 /nfs/dbraw/zinc/94/96/49/1179949649.db2.gz HNIMZPNMUPBOAU-QZTJIDSGSA-N 0 1 313.445 3.110 20 30 DGEDMN C=C(C)C[N@H+](CCC(C)(C)C(=O)[O-])Cc1cnn(C(C)C)c1 ZINC001602762010 1192996634 /nfs/dbraw/zinc/99/66/34/1192996634.db2.gz NBCQUATUCBBMKL-UHFFFAOYSA-N 0 1 307.438 3.343 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@H]1CCCO[C@H]1c1ccccc1 ZINC001602829805 1192998810 /nfs/dbraw/zinc/99/88/10/1192998810.db2.gz YAUQQDDDRZLJSS-ZACQAIPSSA-N 0 1 303.402 3.115 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC001603230044 1193011602 /nfs/dbraw/zinc/01/16/02/1193011602.db2.gz NAQKEARSWVABQB-MRXNPFEDSA-N 0 1 316.401 3.227 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1C[C@H](CC)CC[C@@H]1C ZINC001351603575 1180227982 /nfs/dbraw/zinc/22/79/82/1180227982.db2.gz GRYKYNUDAGUTDO-GXTWGEPZSA-N 0 1 314.437 3.178 20 30 DGEDMN CC(C)[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)Cc1ccc(C#N)cc1 ZINC001603883409 1193035966 /nfs/dbraw/zinc/03/59/66/1193035966.db2.gz ZPCDLOUGVGKEOH-UHFFFAOYSA-N 0 1 311.385 3.006 20 30 DGEDMN N#CCCCCC(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001354462679 1180802454 /nfs/dbraw/zinc/80/24/54/1180802454.db2.gz XDKFIYZJSHGIER-UHFFFAOYSA-N 0 1 303.753 3.148 20 30 DGEDMN N#CC1(CCCCN[C@@H](CO)c2c(F)cccc2F)CCC1 ZINC001354581939 1180845080 /nfs/dbraw/zinc/84/50/80/1180845080.db2.gz YDSZUGLOKIPLRT-HNNXBMFYSA-N 0 1 308.372 3.452 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CC(NCc2csc(C)n2)C1 ZINC001100644878 1180886221 /nfs/dbraw/zinc/88/62/21/1180886221.db2.gz LMOKVEGNWSCBIH-KVULBXGLSA-N 0 1 321.490 3.038 20 30 DGEDMN C[C@@H](c1ccc(C#N)cc1)[N@H+]1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC001604459645 1193073901 /nfs/dbraw/zinc/07/39/01/1193073901.db2.gz DATNROBNSZWLGF-YWZLYKJASA-N 0 1 320.392 3.348 20 30 DGEDMN CC[C@](O)(CN[C@H](CCC#N)c1ccccc1)C(F)(F)F ZINC001356031677 1181128826 /nfs/dbraw/zinc/12/88/26/1181128826.db2.gz DANPALHGHLGLMF-KGLIPLIRSA-N 0 1 300.324 3.324 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)C[C@H]1CC=CCC1 ZINC001356265469 1181166773 /nfs/dbraw/zinc/16/67/73/1181166773.db2.gz IKSAEIWBVGBTNQ-UONOGXRCSA-N 0 1 312.369 3.089 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@@H](C(=O)[O-])c1cc(Cl)cc(Cl)c1 ZINC001604980980 1193103520 /nfs/dbraw/zinc/10/35/20/1193103520.db2.gz QNNLQVIQAVZEJK-NWDGAFQWSA-N 0 1 301.173 3.401 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)NCc2ccc3cncn3c2)cc1 ZINC001436629429 1181900630 /nfs/dbraw/zinc/90/06/30/1181900630.db2.gz YMYHHRPXAKALPG-UHFFFAOYSA-N 0 1 318.380 3.065 20 30 DGEDMN N#C[C@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccc(F)cc1 ZINC001440868310 1182049217 /nfs/dbraw/zinc/04/92/17/1182049217.db2.gz SDSQTPQJIGEZMY-ZDUSSCGKSA-N 0 1 304.708 3.179 20 30 DGEDMN N#Cc1ccccc1Oc1ccc(NC(=O)Cc2cnc[nH]2)cc1 ZINC001442236851 1182078651 /nfs/dbraw/zinc/07/86/51/1182078651.db2.gz VAOFJVJPRLZALW-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CC[N@@H+](C)C3(CCCCC3)C2)cc1 ZINC001449893304 1182235737 /nfs/dbraw/zinc/23/57/37/1182235737.db2.gz JWZXUSGIHMMTGT-UHFFFAOYSA-N 0 1 324.468 3.077 20 30 DGEDMN CCc1ccc(C#CC(=O)N2CCN(C)C3(CCCCC3)C2)cc1 ZINC001449893304 1182235743 /nfs/dbraw/zinc/23/57/43/1182235743.db2.gz JWZXUSGIHMMTGT-UHFFFAOYSA-N 0 1 324.468 3.077 20 30 DGEDMN CC[N@@H+](Cc1cncc(C(=O)[O-])c1)[C@H](C)c1ccc(C#N)cc1 ZINC001605461690 1193153019 /nfs/dbraw/zinc/15/30/19/1193153019.db2.gz IKXYRBYBZQMHAI-CYBMUJFWSA-N 0 1 309.369 3.235 20 30 DGEDMN C[C@@H](CSc1ccccc1)C(=O)NOc1cccc(C#N)c1 ZINC001454681842 1182350573 /nfs/dbraw/zinc/35/05/73/1182350573.db2.gz IWFDEOBEYBCDKA-ZDUSSCGKSA-N 0 1 312.394 3.397 20 30 DGEDMN C[C@@H]1CN(c2cc(F)ccc2C#N)CCN1Cc1ccccc1 ZINC001458426807 1182522861 /nfs/dbraw/zinc/52/28/61/1182522861.db2.gz BPCARWRZXOCTHY-OAHLLOKOSA-N 0 1 309.388 3.408 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CC[C@H](CSc2ccccc2)C1 ZINC001459596371 1182597219 /nfs/dbraw/zinc/59/72/19/1182597219.db2.gz RJBSOAWDJGPYHO-GOEBONIOSA-N 0 1 305.443 3.218 20 30 DGEDMN C=CCCCCCN1Cc2ccccc2C[C@@H]1C(=O)NCC ZINC001460280961 1182671379 /nfs/dbraw/zinc/67/13/79/1182671379.db2.gz WGWFQLMGDQLULR-GOSISDBHSA-N 0 1 300.446 3.296 20 30 DGEDMN C#CC1CCN([C@@H](C)C(=O)Nc2ccc(C(F)(F)F)cc2)CC1 ZINC001460289557 1182672616 /nfs/dbraw/zinc/67/26/16/1182672616.db2.gz LFKLRHVWWRVJTA-LBPRGKRZSA-N 0 1 324.346 3.378 20 30 DGEDMN C=CCN(C[C@H]1CC(c2ccc(Cl)cc2)=NO1)[C@H](C)COC ZINC001460410121 1182686662 /nfs/dbraw/zinc/68/66/62/1182686662.db2.gz RVMBHJXSDKCBEQ-CZUORRHYSA-N 0 1 322.836 3.356 20 30 DGEDMN CC[C@@H]1CCC[C@H](C)N1Cc1cccc(C(=O)OC)c1C#N ZINC001460481073 1182690776 /nfs/dbraw/zinc/69/07/76/1182690776.db2.gz HLKUSZFXBSUOHN-DZGCQCFKSA-N 0 1 300.402 3.498 20 30 DGEDMN O[C@@H]1CCN(CC#Cc2ccccc2Cl)[C@@H]2CCCC[C@H]12 ZINC001460664954 1182706262 /nfs/dbraw/zinc/70/62/62/1182706262.db2.gz GBCMDZOHTUUVOI-CGTJXYLNSA-N 0 1 303.833 3.317 20 30 DGEDMN C#CCN(Cc1cn2cc(Br)ccc2n1)C(C)(C)C ZINC001460805806 1182724129 /nfs/dbraw/zinc/72/41/29/1182724129.db2.gz FUDGPHIVJNMJFY-UHFFFAOYSA-N 0 1 320.234 3.331 20 30 DGEDMN C=CCOc1ccccc1CNCc1ccnn1-c1ccccn1 ZINC001461203987 1182780487 /nfs/dbraw/zinc/78/04/87/1182780487.db2.gz LKOIXBKVLKNMRT-UHFFFAOYSA-N 0 1 320.396 3.122 20 30 DGEDMN N#Cc1ccc(CNCc2ccnn2CCc2ccccc2)s1 ZINC001461587827 1182831919 /nfs/dbraw/zinc/83/19/19/1182831919.db2.gz GMQLAXSDEAVYHS-UHFFFAOYSA-N 0 1 322.437 3.349 20 30 DGEDMN C#C[C@@H](CC)NCc1cc(OC)c(Br)cc1F ZINC001462219724 1182924820 /nfs/dbraw/zinc/92/48/20/1182924820.db2.gz ITHTYACFVZRCLS-JTQLQIEISA-N 0 1 300.171 3.098 20 30 DGEDMN C#CC[C@H]1CCN(Cc2sc(N3CCCC3)nc2Cl)C1 ZINC001462464098 1182956737 /nfs/dbraw/zinc/95/67/37/1182956737.db2.gz YEHVTAXKGXRGBN-LBPRGKRZSA-N 0 1 309.866 3.242 20 30 DGEDMN Cc1cc(CN2CCN(c3ccc(C#N)cc3)CC2)ccc1C#N ZINC001462513597 1182962087 /nfs/dbraw/zinc/96/20/87/1182962087.db2.gz ANBGBTXQZDKMEZ-UHFFFAOYSA-N 0 1 316.408 3.061 20 30 DGEDMN COC(=O)/C=C\c1ccc(CN[C@@H](C)c2cccc(C#N)c2)o1 ZINC001462581493 1182971551 /nfs/dbraw/zinc/97/15/51/1182971551.db2.gz ALCJOCAVZAVXBO-YXVBKTCISA-N 0 1 310.353 3.188 20 30 DGEDMN C=CCCC(C)(C)CNS(=O)(=O)c1c(F)cccc1F ZINC001464214116 1183077686 /nfs/dbraw/zinc/07/76/86/1183077686.db2.gz SPOQSZKURIKCKD-UHFFFAOYSA-N 0 1 303.374 3.236 20 30 DGEDMN C[C@@H](CC#N)C(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001465132409 1183131187 /nfs/dbraw/zinc/13/11/87/1183131187.db2.gz XEDNMEOJGDFNPA-UGSOOPFHSA-N 0 1 318.380 3.318 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(C)CC12CCCCC2)c1ccccc1 ZINC001465435773 1183149674 /nfs/dbraw/zinc/14/96/74/1183149674.db2.gz RMPCJDUTQXQCIL-GOSISDBHSA-N 0 1 312.457 3.433 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)c2ccc3c(C#N)c[nH]c3c2)c1 ZINC001466523727 1183209343 /nfs/dbraw/zinc/20/93/43/1183209343.db2.gz LJOJASFFDBOUNL-UHFFFAOYSA-N 0 1 319.320 3.200 20 30 DGEDMN N#CCc1ccccc1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC001466606185 1183213243 /nfs/dbraw/zinc/21/32/43/1183213243.db2.gz UAQKBBWLUOYZMC-UHFFFAOYSA-N 0 1 317.392 3.483 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(Cl)c(O)c1)c1ccc(F)cc1 ZINC001468327647 1183325624 /nfs/dbraw/zinc/32/56/24/1183325624.db2.gz DYYXXPIFWGHEPQ-AWEZNQCLSA-N 0 1 303.720 3.289 20 30 DGEDMN C=CCCC[C@@H](C)NC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC001469533185 1183416112 /nfs/dbraw/zinc/41/61/12/1183416112.db2.gz NSTZRNGMBGAUIN-SJLPKXTDSA-N 0 1 315.461 3.305 20 30 DGEDMN N#CCCc1ccc(NC(=O)c2cc(F)cc3[nH]cnc32)cc1 ZINC001471939389 1183601019 /nfs/dbraw/zinc/60/10/19/1183601019.db2.gz HDYQKUFRJYTDCA-UHFFFAOYSA-N 0 1 308.316 3.410 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2ccc(C)c(C#N)c2)n[nH]1 ZINC001472103835 1183617043 /nfs/dbraw/zinc/61/70/43/1183617043.db2.gz IKIJNTLVRPJFSO-KRWDZBQOSA-N 0 1 308.385 3.266 20 30 DGEDMN CCCCCCCC[C@@H](CO)NC(=O)c1cccc(F)c1O ZINC001472324622 1183635264 /nfs/dbraw/zinc/63/52/64/1183635264.db2.gz QLJDAAZKTUGJPC-ZDUSSCGKSA-N 0 1 311.397 3.373 20 30 DGEDMN C[C@@H](C(=O)N([O-])Cc1ccccc1Cl)[N@H+]1[C@H](C)CC[C@@H]1C ZINC001472619017 1183656583 /nfs/dbraw/zinc/65/65/83/1183656583.db2.gz SBYPDVBNMVJNDS-XQQFMLRXSA-N 0 1 310.825 3.319 20 30 DGEDMN C[C@@H](C(=O)N([O-])Cc1ccccc1Cl)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001472619017 1183656587 /nfs/dbraw/zinc/65/65/87/1183656587.db2.gz SBYPDVBNMVJNDS-XQQFMLRXSA-N 0 1 310.825 3.319 20 30 DGEDMN COc1ccc(CNc2ccncc2C(F)(F)F)cc1C#N ZINC001472863429 1183692001 /nfs/dbraw/zinc/69/20/01/1183692001.db2.gz LQHIRGOLJPLPHQ-UHFFFAOYSA-N 0 1 307.275 3.015 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1cnc(-c2ccccc2)o1 ZINC001473004443 1183706344 /nfs/dbraw/zinc/70/63/44/1183706344.db2.gz ALWNABIQBSQIRZ-UHFFFAOYSA-N 0 1 314.370 3.117 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@H+]1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC001607566040 1193237595 /nfs/dbraw/zinc/23/75/95/1193237595.db2.gz YEMFFIHAXLAUMH-IBGZPJMESA-N 0 1 320.392 3.187 20 30 DGEDMN CC(C)(C)OC(=O)N(CCCN(CCC#N)CC1CC1)C1CC1 ZINC001473204737 1183729073 /nfs/dbraw/zinc/72/90/73/1183729073.db2.gz YTFMZUFELHQLJA-UHFFFAOYSA-N 0 1 321.465 3.402 20 30 DGEDMN C=CCCCCC[N@@H+]1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC001473675885 1183788891 /nfs/dbraw/zinc/78/88/91/1183788891.db2.gz CTYWZRYFFVQMAO-OLZOCXBDSA-N 0 1 306.372 3.122 20 30 DGEDMN COC(=O)c1ccc2c(c1)CCN([C@@H](C)c1cccc(C#N)c1)C2 ZINC001473761164 1183800044 /nfs/dbraw/zinc/80/00/44/1183800044.db2.gz RNLPYWWGEADUEW-AWEZNQCLSA-N 0 1 320.392 3.464 20 30 DGEDMN CCC#C[C@H](C)N1CCC[C@@H](NC(=O)c2ccc(Cl)cc2)C1 ZINC001473842497 1183811480 /nfs/dbraw/zinc/81/14/80/1183811480.db2.gz YUNOGWGEIPEBCP-WMLDXEAASA-N 0 1 318.848 3.336 20 30 DGEDMN C#C[C@H](NCc1ccc(C)c(Br)c1C)[C@H]1CCCO1 ZINC001474118561 1183871388 /nfs/dbraw/zinc/87/13/88/1183871388.db2.gz NWIZUIDKXYUIQD-LSDHHAIUSA-N 0 1 322.246 3.336 20 30 DGEDMN CC#CC[C@H](CO)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474196141 1183881214 /nfs/dbraw/zinc/88/12/14/1183881214.db2.gz KVZAXNQIPGHNOR-OAHLLOKOSA-N 0 1 303.789 3.464 20 30 DGEDMN CSc1ccc(CNCc2cccc3c2OCO3)cc1C#N ZINC001474267363 1183891587 /nfs/dbraw/zinc/89/15/87/1183891587.db2.gz UYTRMWXLUQOCNP-UHFFFAOYSA-N 0 1 312.394 3.299 20 30 DGEDMN CCCCCc1ccc(NC(=O)NCC#CCN(C)C)cc1 ZINC001475874109 1184021096 /nfs/dbraw/zinc/02/10/96/1184021096.db2.gz LJJODFWLYKHGEY-UHFFFAOYSA-N 0 1 301.434 3.106 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC001478965816 1184190232 /nfs/dbraw/zinc/19/02/32/1184190232.db2.gz QMJXBYUHZWCHIN-KZNAEPCWSA-N 0 1 321.509 3.493 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1C[C@@H](NCc2nc(C)cs2)C1 ZINC001479313289 1184231770 /nfs/dbraw/zinc/23/17/70/1184231770.db2.gz ADMXWRXBWGONLM-OKILXGFUSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H]1[C@@H]2CCCN(CCF)[C@H]2C1(C)C ZINC001479612886 1184275394 /nfs/dbraw/zinc/27/53/94/1184275394.db2.gz LEJNXQPZMBRWML-GVDBMIGSSA-N 0 1 322.468 3.330 20 30 DGEDMN C=CCN1CC[C@H](c2ccccc2)[C@H](NC(=O)CCCC#CC)C1 ZINC001479617108 1184275556 /nfs/dbraw/zinc/27/55/56/1184275556.db2.gz IZHORXFDTASGQS-WOJBJXKFSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CC[N@@H+]1CCC2(CN(C(=O)c3ccc(CCCC)cc3)C2)C1 ZINC001479758150 1184314490 /nfs/dbraw/zinc/31/44/90/1184314490.db2.gz NDYKWMDTCJPQDS-UHFFFAOYSA-N 0 1 312.457 3.363 20 30 DGEDMN N#CCc1cccc2c1CC[N@H+]([C@@H](C(=O)[O-])c1ccccc1F)C2 ZINC001608189448 1193282449 /nfs/dbraw/zinc/28/24/49/1193282449.db2.gz SMZXTGYJSOFWPY-GOSISDBHSA-N 0 1 324.355 3.076 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H](C)c2cccc(F)c2)C1 ZINC001479910198 1184377182 /nfs/dbraw/zinc/37/71/82/1184377182.db2.gz LDLDTYDLGVRJFU-WMLDXEAASA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001479964961 1184396211 /nfs/dbraw/zinc/39/62/11/1184396211.db2.gz GUBUQETVKJZPFO-MSOLQXFVSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cc(C3CC3)no2)CC1 ZINC001479995184 1184406827 /nfs/dbraw/zinc/40/68/27/1184406827.db2.gz JGMFSNXHRMYNHU-UHFFFAOYSA-N 0 1 317.433 3.055 20 30 DGEDMN C[C@H](C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1)C1CCCC1 ZINC001480119933 1184439486 /nfs/dbraw/zinc/43/94/86/1184439486.db2.gz UKQABQUEPLUQCE-PXNSSMCTSA-N 0 1 324.468 3.055 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001480206042 1184459428 /nfs/dbraw/zinc/45/94/28/1184459428.db2.gz RVJQOZYGVQWAMS-BFWKAJHVSA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCCN1Cc1cccnc1C ZINC001480319863 1184492247 /nfs/dbraw/zinc/49/22/47/1184492247.db2.gz RLSZHUNCHWUBDY-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CCCC1CCCC1 ZINC001480333474 1184495418 /nfs/dbraw/zinc/49/54/18/1184495418.db2.gz YCXBEGXRJUTCMB-GOSISDBHSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H]1CCCN(Cc2conc2C)C1 ZINC001480379868 1184514233 /nfs/dbraw/zinc/51/42/33/1184514233.db2.gz MZILALSCAROESF-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@@H](CNC(=O)C1CCCCCC1)NCc1ccccc1C#N ZINC001480431533 1184528823 /nfs/dbraw/zinc/52/88/23/1184528823.db2.gz HXEXKJHLIANOCM-HNNXBMFYSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C(C)(C)C)oc1C ZINC001480543703 1184545325 /nfs/dbraw/zinc/54/53/25/1184545325.db2.gz MHXMNWGJGRBJMD-NSHDSACASA-N 0 1 312.841 3.346 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)Cc1ccccc1C ZINC001480601314 1184557472 /nfs/dbraw/zinc/55/74/72/1184557472.db2.gz MVNPINGURZAOKV-ZFWWWQNUSA-N 0 1 308.853 3.020 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@@H](NCc2nc(C)oc2C)C1 ZINC001480699806 1184579638 /nfs/dbraw/zinc/57/96/38/1184579638.db2.gz XFNAXELMXXVWKO-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN CC/C=C(\C)C(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2)C1 ZINC001480720174 1184586928 /nfs/dbraw/zinc/58/69/28/1184586928.db2.gz QGBMJNLEPGTFNZ-ACEGTKJHSA-N 0 1 324.468 3.317 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)Cc2cncc(C)c2)CCC1 ZINC001493015432 1184634282 /nfs/dbraw/zinc/63/42/82/1184634282.db2.gz XBZOLECUDIEPKG-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN CC#CCN(CC)CCNC(=O)c1cc(CCC)c(C)s1 ZINC001493056699 1184639656 /nfs/dbraw/zinc/63/96/56/1184639656.db2.gz XBGWAAFGIXFOLK-UHFFFAOYSA-N 0 1 306.475 3.084 20 30 DGEDMN C#CCN(CCNC(=O)C[C@@H](c1ccccc1)C(C)C)C1CC1 ZINC001493435800 1184707221 /nfs/dbraw/zinc/70/72/21/1184707221.db2.gz MCXVLTMEJLZUCE-LJQANCHMSA-N 0 1 312.457 3.030 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1c[nH]cc1C)c1ccc(C(C)C)cc1 ZINC001493877623 1184755595 /nfs/dbraw/zinc/75/55/95/1184755595.db2.gz DSZOLCNZTZDDHI-LJQANCHMSA-N 0 1 323.440 3.140 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(C)cc(C)n2)C1 ZINC001493957936 1184776205 /nfs/dbraw/zinc/77/62/05/1184776205.db2.gz XRIQJOJIFPMEKE-QGZVFWFLSA-N 0 1 315.461 3.201 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C(F)F)nc2)C1 ZINC001493956283 1184776304 /nfs/dbraw/zinc/77/63/04/1184776304.db2.gz MSPQMQMDCYPSIM-AWEZNQCLSA-N 0 1 323.387 3.132 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)CC2CCC(OC)CC2)C1 ZINC001493978065 1184782627 /nfs/dbraw/zinc/78/26/27/1184782627.db2.gz JEOOLGVFLFFYNW-LXPRWKDFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC2CCC(OC)CC2)C1 ZINC001493978065 1184782631 /nfs/dbraw/zinc/78/26/31/1184782631.db2.gz JEOOLGVFLFFYNW-LXPRWKDFSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001493978349 1184782814 /nfs/dbraw/zinc/78/28/14/1184782814.db2.gz SIVFAYQPRPIERN-CRAIPNDOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001493978349 1184782822 /nfs/dbraw/zinc/78/28/22/1184782822.db2.gz SIVFAYQPRPIERN-CRAIPNDOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCC(=O)NCC[C@@H]1CCN(Cc2cccc(F)c2F)C1 ZINC001493998137 1184787316 /nfs/dbraw/zinc/78/73/16/1184787316.db2.gz UNZGSYWWZDSVCF-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CCCC23CC3)C1 ZINC001494144818 1184816921 /nfs/dbraw/zinc/81/69/21/1184816921.db2.gz GLQCCWVXCBGHMU-CABCVRRESA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2c(C)oc(C)c2C)C1 ZINC001494146107 1184817303 /nfs/dbraw/zinc/81/73/03/1184817303.db2.gz YJYJXIJBEYFLEM-OAHLLOKOSA-N 0 1 324.852 3.351 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CC[C@H](C)CC)C1 ZINC001494168127 1184822692 /nfs/dbraw/zinc/82/26/92/1184822692.db2.gz YXNPQSDAQPNGAM-UKRRQHHQSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2CCCc3ccccc32)C1 ZINC001494406848 1184866929 /nfs/dbraw/zinc/86/69/29/1184866929.db2.gz PNGFYKUUNCLUBK-HNAYVOBHSA-N 0 1 312.457 3.262 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001494483468 1184874073 /nfs/dbraw/zinc/87/40/73/1184874073.db2.gz PZFKSWGJSRJHGY-INIZCTEOSA-N 0 1 314.473 3.428 20 30 DGEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1c(C)cccc1C ZINC001494651157 1184899994 /nfs/dbraw/zinc/89/99/94/1184899994.db2.gz UCXVEFWPPFJFMF-OALUTQOASA-N 0 1 324.468 3.282 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCCc1sccc1C ZINC001494683656 1184905695 /nfs/dbraw/zinc/90/56/95/1184905695.db2.gz VRJMMJJYFUKITI-HOTGVXAUSA-N 0 1 318.486 3.240 20 30 DGEDMN CC[C@H](C)CCC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001494781996 1184926621 /nfs/dbraw/zinc/92/66/21/1184926621.db2.gz SRSCOQYLHKNGBA-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H]1CCN(Cc2ccsc2)C1 ZINC001494826177 1184935755 /nfs/dbraw/zinc/93/57/55/1184935755.db2.gz VFGRXUMKIHBQSK-OAHLLOKOSA-N 0 1 306.475 3.383 20 30 DGEDMN CCN(C(=O)C#CC(C)C)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001494896099 1184956856 /nfs/dbraw/zinc/95/68/56/1184956856.db2.gz DWLRLEKMDIAGBL-KRWDZBQOSA-N 0 1 318.486 3.139 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)CC(C)(C)CC(F)F)C1 ZINC001494905022 1184958996 /nfs/dbraw/zinc/95/89/96/1184958996.db2.gz GGKVRRLYAYLTNY-AWEZNQCLSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001494918767 1184962969 /nfs/dbraw/zinc/96/29/69/1184962969.db2.gz MOPDJLFHIHAESS-IAGOWNOFSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001494925898 1184964080 /nfs/dbraw/zinc/96/40/80/1184964080.db2.gz UXRMDCBRASLKGO-DLBZAZTESA-N 0 1 300.446 3.207 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2ccc(-c3nnn[n-]3)o2)CCCC1(C)C ZINC001609053298 1193322196 /nfs/dbraw/zinc/32/21/96/1193322196.db2.gz HSFVCMTVGPDUEW-CQSZACIVSA-N 0 1 301.394 3.026 20 30 DGEDMN C=CC[C@H]1[N@@H+](Cc2ccc(-c3nn[n-]n3)o2)CCCC1(C)C ZINC001609053298 1193322203 /nfs/dbraw/zinc/32/22/03/1193322203.db2.gz HSFVCMTVGPDUEW-CQSZACIVSA-N 0 1 301.394 3.026 20 30 DGEDMN C=CC[C@H]1[N@H+](Cc2ccc(-c3nn[n-]n3)o2)CCCC1(C)C ZINC001609053298 1193322206 /nfs/dbraw/zinc/32/22/06/1193322206.db2.gz HSFVCMTVGPDUEW-CQSZACIVSA-N 0 1 301.394 3.026 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CC)NCc1c(C)noc1CC ZINC001495036691 1184984832 /nfs/dbraw/zinc/98/48/32/1184984832.db2.gz GGMGIJUXCRIFEN-AWEZNQCLSA-N 0 1 321.465 3.132 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](NCc2ncc(CC)o2)CC1 ZINC001495465892 1185058154 /nfs/dbraw/zinc/05/81/54/1185058154.db2.gz CSMRIXKMXPCPLM-SHTZXODSSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)c2cc(C)c(C)o2)CC1 ZINC001495512100 1185066745 /nfs/dbraw/zinc/06/67/45/1185066745.db2.gz DVYCCBMDFJMVIY-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(C)C(C)(C)C1(C)C ZINC001495595983 1185079038 /nfs/dbraw/zinc/07/90/38/1185079038.db2.gz DYNXRFVOJWXCQC-CYBMUJFWSA-N 0 1 312.885 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)CNCc1ncc(C(C)(C)C)o1 ZINC001495673032 1185088611 /nfs/dbraw/zinc/08/86/11/1185088611.db2.gz NNQIJKKPGJBBHW-CQSZACIVSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1cc(C)no1)CC(C)C ZINC001496285580 1185160025 /nfs/dbraw/zinc/16/00/25/1185160025.db2.gz UOJGDVWZHCGVQB-OAHLLOKOSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001496297187 1185161821 /nfs/dbraw/zinc/16/18/21/1185161821.db2.gz UQRRKYVVBUSZKL-HRCADAONSA-N 0 1 312.885 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1oc(C)cc1C ZINC001496468241 1185194052 /nfs/dbraw/zinc/19/40/52/1185194052.db2.gz OBMPJEIWIQRTOG-XHDPSFHLSA-N 0 1 324.852 3.479 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1csc2cc(C)ccc12 ZINC001496709445 1185236329 /nfs/dbraw/zinc/23/63/29/1185236329.db2.gz GZVXJGDYVDBDPW-UHFFFAOYSA-N 0 1 314.454 3.237 20 30 DGEDMN CCCC(C)(C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001496773326 1185244928 /nfs/dbraw/zinc/24/49/28/1185244928.db2.gz XBRDHBFESRUUNG-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN CCC[C@@H](C)C(=O)N(CC)CCNCc1ccc(C#N)cc1F ZINC001497362501 1185321927 /nfs/dbraw/zinc/32/19/27/1185321927.db2.gz RXASKYJCPSJFNX-CQSZACIVSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCc1ccc(F)cc1F ZINC001497589937 1185359057 /nfs/dbraw/zinc/35/90/57/1185359057.db2.gz MMHHAJPSJFSMIV-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C/CNCc2cc(F)cc(F)c2)C1 ZINC001497672566 1185373846 /nfs/dbraw/zinc/37/38/46/1185373846.db2.gz JIEXPWYFFZPLGO-ONEGZZNKSA-N 0 1 320.383 3.083 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@H](C)CNCC#Cc1ccccc1Cl ZINC001497812281 1185396883 /nfs/dbraw/zinc/39/68/83/1185396883.db2.gz LSXLREYDULEQMJ-OKFGHLOFSA-N 0 1 318.848 3.142 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC001497823382 1185398447 /nfs/dbraw/zinc/39/84/47/1185398447.db2.gz XETQZXVVTIMHHL-OLZOCXBDSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C)cc(Cl)c1 ZINC001498074797 1185431916 /nfs/dbraw/zinc/43/19/16/1185431916.db2.gz MZIGKHLONMJAIQ-NSHDSACASA-N 0 1 301.217 3.109 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(O[C@H](C)CC)cc1 ZINC001498156884 1185441915 /nfs/dbraw/zinc/44/19/15/1185441915.db2.gz KCWDOMUUIYASFV-UONOGXRCSA-N 0 1 324.852 3.324 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc(C(C)C)nc1)C1CC1 ZINC001498771073 1185543816 /nfs/dbraw/zinc/54/38/16/1185543816.db2.gz SQKOXRJXRFHYCZ-INIZCTEOSA-N 0 1 321.852 3.056 20 30 DGEDMN CC[C@H](F)CN1CCC[C@]2(CCN(C(=O)C#CC(C)(C)C)C2)C1 ZINC001499569649 1185669594 /nfs/dbraw/zinc/66/95/94/1185669594.db2.gz FSAUSQAWHPAPMO-LPHOPBHVSA-N 0 1 322.468 3.099 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ccc(OCC)cc2)C1 ZINC001499780273 1185694338 /nfs/dbraw/zinc/69/43/38/1185694338.db2.gz OKDUYPZNELBWNT-HNNXBMFYSA-N 0 1 316.445 3.196 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ncsc2C(C)C)C1 ZINC001499771032 1185694552 /nfs/dbraw/zinc/69/45/52/1185694552.db2.gz PUQHTXDKZFVDQB-ZDUSSCGKSA-N 0 1 321.490 3.377 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NCc2cscn2)C[C@H]1C ZINC001499833438 1185703825 /nfs/dbraw/zinc/70/38/25/1185703825.db2.gz ZRZPSTDPXSBYPD-KGLIPLIRSA-N 0 1 321.490 3.215 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1ccsc1Cl ZINC001499932009 1185718812 /nfs/dbraw/zinc/71/88/12/1185718812.db2.gz SPCAZXXLGFMTNL-JTQLQIEISA-N 0 1 319.257 3.348 20 30 DGEDMN C#CCN(C(=O)CCCCC)C1CCN(C/C=C/Cl)CC1 ZINC001500028497 1185731825 /nfs/dbraw/zinc/73/18/25/1185731825.db2.gz MNTHNWLPYKSEJU-YRNVUSSQSA-N 0 1 310.869 3.245 20 30 DGEDMN C#CCN(C(=O)C/C=C(/C)C=C)C1CCN(C/C=C\Cl)CC1 ZINC001500048225 1185733761 /nfs/dbraw/zinc/73/37/61/1185733761.db2.gz DVLLJXOBJUFTCN-RAWSHEIWSA-N 0 1 320.864 3.188 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CC(C)C)C1CCN(CC#CC)CC1 ZINC001500054114 1185735333 /nfs/dbraw/zinc/73/53/33/1185735333.db2.gz IWCRVKPDNLSLBD-GOSISDBHSA-N 0 1 316.489 3.008 20 30 DGEDMN C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)[C@@H]1CCc2ccccc21 ZINC001610122612 1193377724 /nfs/dbraw/zinc/37/77/24/1193377724.db2.gz ABCIPMPTOAFFOA-GOSISDBHSA-N 0 1 306.365 3.376 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001500537346 1185817861 /nfs/dbraw/zinc/81/78/61/1185817861.db2.gz CUHXCQSSHFWFJA-PXNSSMCTSA-N 0 1 318.505 3.444 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NCC#CC)c1ccccc1CC ZINC001501023319 1185857627 /nfs/dbraw/zinc/85/76/27/1185857627.db2.gz WIGQVJYJMLUEKR-SFHVURJKSA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CC=C)c1ccccc1 ZINC001501408435 1185901235 /nfs/dbraw/zinc/90/12/35/1185901235.db2.gz WXQFMSYZNWUONG-SLFFLAALSA-N 0 1 322.452 3.045 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C)cc2C)CCC1 ZINC001502022409 1185948977 /nfs/dbraw/zinc/94/89/77/1185948977.db2.gz IJLMHLHDGRVHQT-UHFFFAOYSA-N 0 1 306.837 3.298 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](CC)CC(F)F)CC1 ZINC001502126269 1185956948 /nfs/dbraw/zinc/95/69/48/1185956948.db2.gz UKQHLSWPQMNWQN-CHWSQXEVSA-N 0 1 322.827 3.391 20 30 DGEDMN C=CCCN1Cc2ccccc2C[C@H]1CNC(=O)[C@H](F)C(C)C ZINC001502532579 1185992056 /nfs/dbraw/zinc/99/20/56/1185992056.db2.gz TXCZELRNEBRBHH-ZWKOTPCHSA-N 0 1 318.436 3.100 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2conc2C)[C@@H](C)C1 ZINC001502966596 1186032702 /nfs/dbraw/zinc/03/27/02/1186032702.db2.gz FAJDMRHEMAPPCH-BBRMVZONSA-N 0 1 319.449 3.054 20 30 DGEDMN Cc1ccc([C@H]([NH2+]Cc2ccc(F)cc2C#N)C(=O)[O-])cc1C ZINC001610495198 1193393130 /nfs/dbraw/zinc/39/31/30/1193393130.db2.gz NPLPIAQZOLDABX-KRWDZBQOSA-N 0 1 312.344 3.230 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C=C\C(C)(C)C ZINC001503678135 1186051230 /nfs/dbraw/zinc/05/12/30/1186051230.db2.gz NFIUOVHGGGAOMS-QXFGJRORSA-N 0 1 324.468 3.328 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CC(C)(F)F ZINC001503692917 1186051340 /nfs/dbraw/zinc/05/13/40/1186051340.db2.gz ZCIJZDUPNPXLKG-MRXNPFEDSA-N 0 1 322.399 3.323 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)Cc1cc(F)cc(F)c1 ZINC001505424034 1186074882 /nfs/dbraw/zinc/07/48/82/1186074882.db2.gz HDHBSIVHWWTRPR-KRWDZBQOSA-N 0 1 322.399 3.354 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1coc2ccc(CC)cc21 ZINC001505431080 1186077523 /nfs/dbraw/zinc/07/75/23/1186077523.db2.gz OQKLJYWQUFDYPU-INIZCTEOSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001505588871 1186109533 /nfs/dbraw/zinc/10/95/33/1186109533.db2.gz RBEWHDGQPYUWKD-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2cc(C)cn2c1 ZINC001505619020 1186115104 /nfs/dbraw/zinc/11/51/04/1186115104.db2.gz SWIZEFVOUYDDON-UONOGXRCSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc2cc(C)cn2c1 ZINC001505619019 1186115615 /nfs/dbraw/zinc/11/56/15/1186115615.db2.gz SWIZEFVOUYDDON-KGLIPLIRSA-N 0 1 319.836 3.097 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(c2ccccc2)CCC1 ZINC001505649506 1186117997 /nfs/dbraw/zinc/11/79/97/1186117997.db2.gz NMNPXLZBLGMTFW-CABCVRRESA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(F)c(Cl)c1 ZINC001505678134 1186123651 /nfs/dbraw/zinc/12/36/51/1186123651.db2.gz LGVXVQYXYDXXJY-ZJUUUORDSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc2cccnc2c1 ZINC001505713696 1186127976 /nfs/dbraw/zinc/12/79/76/1186127976.db2.gz QJZRKVOPWDDVSU-STQMWFEESA-N 0 1 317.820 3.084 20 30 DGEDMN N#Cc1c(C[N@H+](Cc2cccs2)C2CC2)cccc1C(=O)[O-] ZINC001610623666 1193401299 /nfs/dbraw/zinc/40/12/99/1193401299.db2.gz RXPDLMYTIICJPL-UHFFFAOYSA-N 0 1 312.394 3.483 20 30 DGEDMN N#Cc1c(C[N@@H+](Cc2cccs2)C2CC2)cccc1C(=O)[O-] ZINC001610623666 1193401302 /nfs/dbraw/zinc/40/13/02/1193401302.db2.gz RXPDLMYTIICJPL-UHFFFAOYSA-N 0 1 312.394 3.483 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C(CC)(CC)c1ccccc1 ZINC001506063004 1186191624 /nfs/dbraw/zinc/19/16/24/1186191624.db2.gz JAFKDMBPUKSALS-KRWDZBQOSA-N 0 1 314.473 3.204 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](c1ccc(F)cc1)C(C)C ZINC001506067448 1186193053 /nfs/dbraw/zinc/19/30/53/1186193053.db2.gz OBPBUDBXSJXHLR-QAPCUYQASA-N 0 1 318.436 3.025 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1(CC=C)CCCC1 ZINC001506581001 1186255862 /nfs/dbraw/zinc/25/58/62/1186255862.db2.gz IMHKVJCYALJZTH-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)C1(F)CCCCC1 ZINC001506579093 1186255904 /nfs/dbraw/zinc/25/59/04/1186255904.db2.gz LISGJSLMOWTMHW-MRXNPFEDSA-N 0 1 308.441 3.043 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001507054979 1186351352 /nfs/dbraw/zinc/35/13/52/1186351352.db2.gz DMYLVQUXZWMYPF-CHWSQXEVSA-N 0 1 310.388 3.429 20 30 DGEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)c1F ZINC001507075849 1186354735 /nfs/dbraw/zinc/35/47/35/1186354735.db2.gz MLZQXFHNWCMIJT-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1ccccc1C ZINC001507126826 1186368327 /nfs/dbraw/zinc/36/83/27/1186368327.db2.gz UYZQMUKJYUTZNM-OAHLLOKOSA-N 0 1 308.853 3.117 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2cccc(Cl)n2)C[C@@H]1C ZINC001507309110 1186387139 /nfs/dbraw/zinc/38/71/39/1186387139.db2.gz GOOGRTAMESYHMI-ZFWWWQNUSA-N 0 1 321.852 3.170 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@H](CNCc2nc(C)oc2C)C1 ZINC001507397086 1186417150 /nfs/dbraw/zinc/41/71/50/1186417150.db2.gz GFGMBGPVDSOKNI-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1C[C@H](NCc2ccns2)C(C)(C)C1 ZINC001507444818 1186430247 /nfs/dbraw/zinc/43/02/47/1186430247.db2.gz SJTBRZOPWJINNJ-HIFRSBDPSA-N 0 1 321.490 3.072 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)C2(C)CCCCC2)c(F)c1 ZINC001508241736 1186493227 /nfs/dbraw/zinc/49/32/27/1186493227.db2.gz UFEUEIADKKFOOH-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2nc(-c3ccccc3)co2)cc1O ZINC001611310731 1193430104 /nfs/dbraw/zinc/43/01/04/1193430104.db2.gz XHFVJRZJOBCGAE-UHFFFAOYSA-N 0 1 305.293 3.171 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1ncc(C(C)(C)C)s1)C1CCC1 ZINC001514868716 1186733753 /nfs/dbraw/zinc/73/37/53/1186733753.db2.gz MNAAYXYKLYZCCG-FZMZJTMJSA-N 0 1 306.431 3.432 20 30 DGEDMN Cc1c(Br)scc1C(=O)C(C#N)c1nccn1C ZINC001515837682 1186772398 /nfs/dbraw/zinc/77/23/98/1186772398.db2.gz QKAQTQIEZYKILG-QMMMGPOBSA-N 0 1 324.203 3.043 20 30 DGEDMN CCCn1ncc(C(=O)[C@@H](C#N)c2nc3cccc(C)c3[nH]2)c1C ZINC001516637767 1186787965 /nfs/dbraw/zinc/78/79/65/1186787965.db2.gz OSTIUQIWHPSALA-CYBMUJFWSA-N 0 1 321.384 3.276 20 30 DGEDMN C=C(CC)C(=O)N1CCC[C@@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC001519862076 1186899944 /nfs/dbraw/zinc/89/99/44/1186899944.db2.gz LYKSPQGZBGSXTP-OAHLLOKOSA-N 0 1 310.401 3.144 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001520028450 1186911345 /nfs/dbraw/zinc/91/13/45/1186911345.db2.gz DQOFZWRCFFHUSQ-NWDGAFQWSA-N 0 1 320.179 3.243 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC001520028451 1186911968 /nfs/dbraw/zinc/91/19/68/1186911968.db2.gz DQOFZWRCFFHUSQ-RYUDHWBXSA-N 0 1 320.179 3.243 20 30 DGEDMN C=C[C@H](C(=O)N[C@H](C)c1n[nH]c([C@H](C)CC)n1)c1ccccc1 ZINC001520074054 1186915188 /nfs/dbraw/zinc/91/51/88/1186915188.db2.gz STBDBGBNFZQKIH-NFAWXSAZSA-N 0 1 312.417 3.465 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C(C)(C)c1ccc(Cl)cc1F ZINC001524257081 1187229400 /nfs/dbraw/zinc/22/94/00/1187229400.db2.gz RBKLUUJRDMGZKY-NSHDSACASA-N 0 1 319.767 3.367 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N1C[C@H](C)[C@@H](C)[C@H]1C ZINC001524338565 1187235596 /nfs/dbraw/zinc/23/55/96/1187235596.db2.gz WPPOZRGAKDJRMW-OUCADQQQSA-N 0 1 314.437 3.033 20 30 DGEDMN C#CC[C@@H]1CCCN(C(=O)[C@H](c2ccccc2)N(CC)CC)C1 ZINC001526527912 1187371277 /nfs/dbraw/zinc/37/12/77/1187371277.db2.gz VYZIEUNLUDORRR-MJGOQNOKSA-N 0 1 312.457 3.331 20 30 DGEDMN C=C(C)CCC(=O)Nc1[nH]c(CCCC)nc1C(=O)OCC ZINC001528890981 1187518620 /nfs/dbraw/zinc/51/86/20/1187518620.db2.gz MEEYPCPBXDOFEU-UHFFFAOYSA-N 0 1 307.394 3.224 20 30 DGEDMN C=CCC(CC=C)C(=O)Nc1ccc(F)c(-c2nc[nH]n2)c1 ZINC001530915981 1187653444 /nfs/dbraw/zinc/65/34/44/1187653444.db2.gz HHVYBGIHBMCHNS-UHFFFAOYSA-N 0 1 300.337 3.318 20 30 DGEDMN C=CCCCCN1CCN(C(=O)c2ccccc2OCC)CC1 ZINC001615180164 1193517182 /nfs/dbraw/zinc/51/71/82/1193517182.db2.gz CSMAZTJRIRIFRP-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN C=CCn1nc(C)c(-c2cc(C(=O)Nc3ccccc3)[nH]n2)c1C ZINC001540359675 1188234679 /nfs/dbraw/zinc/23/46/79/1188234679.db2.gz HETSZIJRPIJRAQ-UHFFFAOYSA-N 0 1 321.384 3.328 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)[C@H]2CCCN2C(C)C)cc1C#N ZINC001544986435 1188418601 /nfs/dbraw/zinc/41/86/01/1188418601.db2.gz IBUCKMKEHIMJIS-MRXNPFEDSA-N 0 1 315.417 3.157 20 30 DGEDMN Cc1cccc(CN2CCCC[C@@H]2C(=O)N(C)[C@@H](C)CC#N)c1 ZINC001617525375 1193572342 /nfs/dbraw/zinc/57/23/42/1193572342.db2.gz JGMUKHMKBKMBDC-FUHWJXTLSA-N 0 1 313.445 3.110 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1csc(-c2cccc(F)c2)n1 ZINC001557343618 1189058652 /nfs/dbraw/zinc/05/86/52/1189058652.db2.gz VMLBOJQQCPWVDY-JTQLQIEISA-N 0 1 306.362 3.114 20 30 DGEDMN N#Cc1cccc(ONC(=O)Cc2ccc3c(c2)CCCC3)c1 ZINC001557921295 1189092716 /nfs/dbraw/zinc/09/27/16/1189092716.db2.gz UHORECOQUACNHH-UHFFFAOYSA-N 0 1 306.365 3.090 20 30 DGEDMN C#CCN1CCC(NC(=O)C=C2CCC(C(C)(C)C)CC2)CC1 ZINC001558422682 1189124172 /nfs/dbraw/zinc/12/41/72/1189124172.db2.gz HSTZNSOYJSKANK-UHFFFAOYSA-N 0 1 316.489 3.363 20 30 DGEDMN CC(C)c1ncc(CNc2ncc(C#N)cc2Br)[nH]1 ZINC001559288447 1189192895 /nfs/dbraw/zinc/19/28/95/1189192895.db2.gz CWSVTIBIDWBFDT-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN C=CC[C@H](Sc1nc(-c2ccc(Cl)cc2)n[nH]1)C(=O)OC ZINC001559338645 1189197670 /nfs/dbraw/zinc/19/76/70/1189197670.db2.gz FDTVNBOYUICEMA-NSHDSACASA-N 0 1 323.805 3.335 20 30 DGEDMN C=CCCCCCN(C)CC(=O)Nc1ccc(C(=O)OC)cc1 ZINC001559556013 1189216962 /nfs/dbraw/zinc/21/69/62/1189216962.db2.gz POFRRJOTPFFHDM-UHFFFAOYSA-N 0 1 318.417 3.090 20 30 DGEDMN C=CCC[C@H](O)CN(C)Cc1ccc(Br)cc1F ZINC001560048518 1189272932 /nfs/dbraw/zinc/27/29/32/1189272932.db2.gz RBRJUNRHAHMVDU-ZDUSSCGKSA-N 0 1 316.214 3.347 20 30 DGEDMN Cc1ccc(F)cc1NC(=O)CCN(C)[C@H]1CCC[C@@H]1C#N ZINC001560060572 1189274060 /nfs/dbraw/zinc/27/40/60/1189274060.db2.gz QICXHNXDLIXDQV-CJNGLKHVSA-N 0 1 303.381 3.087 20 30 DGEDMN C[C@H]1c2ccc(F)cc2CCN1C[C@H](O)c1cccc(C#N)c1 ZINC001560119112 1189280498 /nfs/dbraw/zinc/28/04/98/1189280498.db2.gz NXBXNZALBZOKBX-DJJJIMSYSA-N 0 1 310.372 3.350 20 30 DGEDMN C#CCN(CC(=O)N(C)[C@H](C)c1ccc(F)c(F)c1)C(C)(C)C ZINC001560156374 1189285249 /nfs/dbraw/zinc/28/52/49/1189285249.db2.gz LQWXRYSLHUMXCW-CYBMUJFWSA-N 0 1 322.399 3.218 20 30 DGEDMN C#CCN(CC#CC)CC(CC)(CC)CNC(=O)OC(C)(C)C ZINC001560688164 1189360927 /nfs/dbraw/zinc/36/09/27/1189360927.db2.gz ZBVOJIFTRVVVJT-UHFFFAOYSA-N 0 1 320.477 3.276 20 30 DGEDMN C=C(C)C[N@@H+](Cc1ccc(CO)o1)CC(C)(C)CC(=O)OCC ZINC001560825636 1189388069 /nfs/dbraw/zinc/38/80/69/1189388069.db2.gz SFPQZUVCRVDQNZ-UHFFFAOYSA-N 0 1 323.433 3.129 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)CC(C)(C)CC(=O)OCC ZINC001560825636 1189388075 /nfs/dbraw/zinc/38/80/75/1189388075.db2.gz SFPQZUVCRVDQNZ-UHFFFAOYSA-N 0 1 323.433 3.129 20 30 DGEDMN C=CC[C@@H]1CCCC[C@H]1NS(=O)(=O)c1c(F)cccc1F ZINC001561745454 1189458658 /nfs/dbraw/zinc/45/86/58/1189458658.db2.gz SIDLUYSWTWUBJS-BXUZGUMPSA-N 0 1 315.385 3.378 20 30 DGEDMN C=CC(C)(C)CCNC(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC001565127165 1189623684 /nfs/dbraw/zinc/62/36/84/1189623684.db2.gz LRCDHLJZOZMIQX-UHFFFAOYSA-N 0 1 315.461 3.115 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC[C@@H](C)c1ccccc1 ZINC001565828879 1189680297 /nfs/dbraw/zinc/68/02/97/1189680297.db2.gz SVAPNHOGDKGKHF-AEFFLSMTSA-N 0 1 300.446 3.289 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)C[C@H](C)C(F)(F)F ZINC001566564085 1189803041 /nfs/dbraw/zinc/80/30/41/1189803041.db2.gz SIWWWACZLPSEMM-JTQLQIEISA-N 0 1 314.779 3.156 20 30 DGEDMN CC(C)(C)C(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001566602285 1189816830 /nfs/dbraw/zinc/81/68/30/1189816830.db2.gz SLMPQACXDAFBIS-UHFFFAOYSA-N 0 1 319.474 3.137 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2ccc(OC)c(C)c2)C1 ZINC001566664190 1189846400 /nfs/dbraw/zinc/84/64/00/1189846400.db2.gz FTXVENKILMWGPW-KRWDZBQOSA-N 0 1 316.445 3.050 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCCN(C(=O)Cc2ccccc2)C1 ZINC001566738873 1189885055 /nfs/dbraw/zinc/88/50/55/1189885055.db2.gz OWHZYRUOUSXDNW-KRWDZBQOSA-N 0 1 320.864 3.152 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CCC)CC(C)C)C1 ZINC001566779312 1189900167 /nfs/dbraw/zinc/90/01/67/1189900167.db2.gz UDVCOKKAZXLFFC-CABCVRRESA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001566847458 1189921712 /nfs/dbraw/zinc/92/17/12/1189921712.db2.gz FIMKPKNMEYITKW-UFGRDIFHSA-N 0 1 305.249 3.145 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@@H](NCc3cscn3)C2)CCCC1 ZINC001566863922 1189927882 /nfs/dbraw/zinc/92/78/82/1189927882.db2.gz QIJGJQRTBSIVCL-OKILXGFUSA-N 0 1 319.474 3.016 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001566945636 1189962470 /nfs/dbraw/zinc/96/24/70/1189962470.db2.gz QBAYOYYYVTUYAI-LSDHHAIUSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCCCCC(=O)NC[C@H](C)NCc1cc(Cl)ccc1F ZINC001567010139 1189992825 /nfs/dbraw/zinc/99/28/25/1189992825.db2.gz NKOZESNHIMQQAW-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](c1ccccc1)C1CC1 ZINC001567034913 1190002416 /nfs/dbraw/zinc/00/24/16/1190002416.db2.gz GDUWGAAVIFSDIA-BBRMVZONSA-N 0 1 306.837 3.027 20 30 DGEDMN COc1cccc(C[C@@H](C)CC(=O)Nc2cc(C#N)ccc2O)c1 ZINC001567072371 1190019668 /nfs/dbraw/zinc/01/96/68/1190019668.db2.gz CDVDROMUUMQWKS-CYBMUJFWSA-N 0 1 324.380 3.480 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC001567188842 1190058501 /nfs/dbraw/zinc/05/85/01/1190058501.db2.gz VHTSJHYFPFSVIG-HNNXBMFYSA-N 0 1 322.399 3.354 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](N(C)Cc2cnc(C)s2)C1 ZINC001567193568 1190062232 /nfs/dbraw/zinc/06/22/32/1190062232.db2.gz WQQXREXZSJQCFX-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H](C)NC/C(Cl)=C\Cl ZINC001567216023 1190074853 /nfs/dbraw/zinc/07/48/53/1190074853.db2.gz LSTQFZKPRBCUJT-UTEVDWOZSA-N 0 1 307.265 3.392 20 30 DGEDMN CC(C(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1)=C1CCC1 ZINC001567363582 1190153445 /nfs/dbraw/zinc/15/34/45/1190153445.db2.gz VTDBCSAAUWPNHU-LJQANCHMSA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC001567367991 1190156400 /nfs/dbraw/zinc/15/64/00/1190156400.db2.gz MNFORKXLIBZVOE-KRWDZBQOSA-N 0 1 304.409 3.263 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H](C)CCC=C(C)C ZINC001567380403 1190161447 /nfs/dbraw/zinc/16/14/47/1190161447.db2.gz OYBSKDHDQQGAHY-ROUUACIJSA-N 0 1 304.478 3.363 20 30 DGEDMN CCC(=CC(=O)NC[C@H]1CCN(CC#Cc2ccccc2)C1)CC ZINC001567396985 1190169686 /nfs/dbraw/zinc/16/96/86/1190169686.db2.gz NLXKDQUEDMCTFF-HXUWFJFHSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCCCC(=O)NCCN(Cc1ccc(F)cc1C)C1CC1 ZINC001567439642 1190193288 /nfs/dbraw/zinc/19/32/88/1190193288.db2.gz BGNRMRHRAOZHQU-UHFFFAOYSA-N 0 1 316.420 3.018 20 30 DGEDMN Cc1cccc(C)c1C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001567565256 1190219200 /nfs/dbraw/zinc/21/92/00/1190219200.db2.gz HGDZDWFBVRDSNI-UHFFFAOYSA-N 0 1 320.436 3.017 20 30 DGEDMN C=CCCCC(=O)N1CCC([C@@H](C)NCc2cc(C)on2)CC1 ZINC001567630148 1190230757 /nfs/dbraw/zinc/23/07/57/1190230757.db2.gz LVFDZZQTMFBWTC-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCC(=O)N(CCC)[C@@H]1CCN(Cc2oc(C)nc2C)C1 ZINC001567681455 1190250765 /nfs/dbraw/zinc/25/07/65/1190250765.db2.gz RTMGTBSXFCWDJQ-MRXNPFEDSA-N 0 1 319.449 3.071 20 30 DGEDMN CC#CCCCC(=O)N(CCC)[C@@H]1CCN(C[C@H](F)CC)C1 ZINC001567686378 1190251814 /nfs/dbraw/zinc/25/18/14/1190251814.db2.gz MEZHZNLDDWENAF-IAGOWNOFSA-N 0 1 310.457 3.241 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc(Cl)c2)C1 ZINC001567687855 1190253354 /nfs/dbraw/zinc/25/33/54/1190253354.db2.gz AQYCQCATWSBBFC-MRXNPFEDSA-N 0 1 306.837 3.453 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(F)CCCCC2)C1 ZINC001567738685 1190280519 /nfs/dbraw/zinc/28/05/19/1190280519.db2.gz HYTPWCNCTUWDRQ-CQSZACIVSA-N 0 1 316.848 3.192 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2c(C)cccc2C)C1 ZINC001567739502 1190281478 /nfs/dbraw/zinc/28/14/78/1190281478.db2.gz ZOKBPXLBCREYGF-INIZCTEOSA-N 0 1 320.864 3.450 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001567801168 1190302471 /nfs/dbraw/zinc/30/24/71/1190302471.db2.gz WQFRFTJZVOMPFE-OLZOCXBDSA-N 0 1 322.399 3.223 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001567807504 1190304982 /nfs/dbraw/zinc/30/49/82/1190304982.db2.gz MRFCLNYTOSDDHD-GJZGRUSLSA-N 0 1 318.436 3.159 20 30 DGEDMN C=CCC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1sccc1OC ZINC001567863586 1190326651 /nfs/dbraw/zinc/32/66/51/1190326651.db2.gz GSGXWLMFOPXKAX-IHRRRGAJSA-N 0 1 320.458 3.010 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC001567878093 1190331050 /nfs/dbraw/zinc/33/10/50/1190331050.db2.gz FLEJDDVCJSJXOT-WMZOPIPTSA-N 0 1 316.420 3.360 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001567906041 1190346285 /nfs/dbraw/zinc/34/62/85/1190346285.db2.gz HGBCHUMKXMPXBR-YJBOKZPZSA-N 0 1 313.445 3.027 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)N[C@@H](C)c1c(F)cccc1F ZINC001567952874 1190373678 /nfs/dbraw/zinc/37/36/78/1190373678.db2.gz LTFOMCFIROWXTO-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@H](CC)NCc1cc(C#N)ccc1F ZINC001567960062 1190376701 /nfs/dbraw/zinc/37/67/01/1190376701.db2.gz VCDKNDVECVODMH-CJNGLKHVSA-N 0 1 319.424 3.118 20 30 DGEDMN CC[C@@H](C)CC(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001567960063 1190377140 /nfs/dbraw/zinc/37/71/40/1190377140.db2.gz VCDKNDVECVODMH-CZUORRHYSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccccc1OC(C)C ZINC001567985955 1190388435 /nfs/dbraw/zinc/38/84/35/1190388435.db2.gz UVKOANMFMHAMGO-AWEZNQCLSA-N 0 1 324.852 3.324 20 30 DGEDMN N#Cc1ccc(CNC(=O)c2cc(F)cc(F)c2O)cc1Cl ZINC001568095112 1190418563 /nfs/dbraw/zinc/41/85/63/1190418563.db2.gz AQUALIWYTIDBSC-UHFFFAOYSA-N 0 1 322.698 3.125 20 30 DGEDMN C=C/C(C)=C\CC(=O)NCCC1CCN(CC(=C)Cl)CC1 ZINC001568129118 1190430153 /nfs/dbraw/zinc/43/01/53/1190430153.db2.gz VFDOVQMVJRWPFN-RZNTYIFUSA-N 0 1 310.869 3.480 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1(NCc2ocnc2C)CCCC1 ZINC001568240540 1190459326 /nfs/dbraw/zinc/45/93/26/1190459326.db2.gz LFKMSFJQCJRWNE-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1F ZINC001568294168 1190476329 /nfs/dbraw/zinc/47/63/29/1190476329.db2.gz BSJRQHBGQBKCPK-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C)c(Cl)c1 ZINC001568296700 1190477634 /nfs/dbraw/zinc/47/76/34/1190477634.db2.gz GCKKBSWNPUSBFQ-GFCCVEGCSA-N 0 1 315.244 3.451 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1ccc(Cl)cc1 ZINC001568478588 1190537002 /nfs/dbraw/zinc/53/70/02/1190537002.db2.gz GFAZRNADJXJUAV-UHFFFAOYSA-N 0 1 320.864 3.424 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001568495866 1190547922 /nfs/dbraw/zinc/54/79/22/1190547922.db2.gz ZBMDHPJOLGLQLR-LJQANCHMSA-N 0 1 313.445 3.051 20 30 DGEDMN C#CCN(C)CCN(C)C(=O)[C@H](CC1CCCCC1)C(C)C ZINC001568497754 1190548668 /nfs/dbraw/zinc/54/86/68/1190548668.db2.gz ORNKGYNSOJHDOC-GOSISDBHSA-N 0 1 306.494 3.252 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)c1ccccc1C ZINC001568724161 1190582994 /nfs/dbraw/zinc/58/29/94/1190582994.db2.gz UPWMMOSJABHECX-UHFFFAOYSA-N 0 1 321.424 3.119 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1c(C)cc(F)cc1C ZINC001568764534 1190586743 /nfs/dbraw/zinc/58/67/43/1190586743.db2.gz OXYQYLQRAMUKCO-UHFFFAOYSA-N 0 1 312.816 3.247 20 30 DGEDMN CC(C)C(C)(C)C(=O)NC/C=C\CNCc1ccc(C#N)s1 ZINC001568856211 1190595069 /nfs/dbraw/zinc/59/50/69/1190595069.db2.gz VYKIHVWVUXAGOG-WAYWQWQTSA-N 0 1 319.474 3.064 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)C(C)(C)c1ccccc1C ZINC001568974915 1190603628 /nfs/dbraw/zinc/60/36/28/1190603628.db2.gz NKZNYSVCMQNIBZ-FPLPWBNLSA-N 0 1 320.864 3.287 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2cc(C)ccc2n1 ZINC001569006328 1190606987 /nfs/dbraw/zinc/60/69/87/1190606987.db2.gz XYUBCVHIOFVKIX-CYBMUJFWSA-N 0 1 317.820 3.004 20 30 DGEDMN CC#CCCCC(=O)N[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC001569013704 1190608693 /nfs/dbraw/zinc/60/86/93/1190608693.db2.gz YGKHSGMCUTXRKN-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC(C1CCC1)C1CCC1 ZINC001569018773 1190608922 /nfs/dbraw/zinc/60/89/22/1190608922.db2.gz SUABGXJHXWNSNM-CYBMUJFWSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1CCCCC1(C)C ZINC001569039948 1190612503 /nfs/dbraw/zinc/61/25/03/1190612503.db2.gz FZRIHAZXULXDBJ-ZIAGYGMSSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cccc(F)c1Cl ZINC001569059797 1190614809 /nfs/dbraw/zinc/61/48/09/1190614809.db2.gz GGNGVUXIVPNDLF-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1occc1-c1ccccc1 ZINC001569067569 1190615650 /nfs/dbraw/zinc/61/56/50/1190615650.db2.gz DVIMOUMEJAEOLO-ZDUSSCGKSA-N 0 1 318.804 3.407 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2ccccc2n1CC ZINC001569098913 1190621049 /nfs/dbraw/zinc/62/10/49/1190621049.db2.gz JLQMVISNVVCHBK-ZDUSSCGKSA-N 0 1 319.836 3.122 20 30 DGEDMN C[C@H]1CC[C@H](CC(=O)NCC2(NCC#Cc3ccccc3)CC2)C1 ZINC001569414442 1190693184 /nfs/dbraw/zinc/69/31/84/1190693184.db2.gz MAXAXSKDHUJJDE-HKUYNNGSSA-N 0 1 324.468 3.103 20 30 DGEDMN C=CCCC(=O)NC1(C)CCN(Cc2c(C)nsc2C)CC1 ZINC001569569872 1190718349 /nfs/dbraw/zinc/71/83/49/1190718349.db2.gz ZRPZEHCAFWNPKB-UHFFFAOYSA-N 0 1 321.490 3.197 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1(C)CCN(Cc2conc2C)CC1 ZINC001569572519 1190718932 /nfs/dbraw/zinc/71/89/32/1190718932.db2.gz MBNWYHRSQFCEBJ-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)c2ccc(Cl)cc2)CC1 ZINC001569575610 1190719095 /nfs/dbraw/zinc/71/90/95/1190719095.db2.gz XFZCAMKRMWYOPG-CQSZACIVSA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C/C=C/Cc2ccccc2)C1 ZINC001569716385 1190747908 /nfs/dbraw/zinc/74/79/08/1190747908.db2.gz XDGQYRFKEGNHMQ-CQQBXOTJSA-N 0 1 324.468 3.027 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H](C=C)c2ccccc2)C1 ZINC001569726388 1190751070 /nfs/dbraw/zinc/75/10/70/1190751070.db2.gz PGXLCPGBDUPIHP-NQIIRXRSSA-N 0 1 324.468 3.198 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)CC(C)=C(C)C)C1 ZINC001569738471 1190752244 /nfs/dbraw/zinc/75/22/44/1190752244.db2.gz BDGUBOSLGNFENT-HNNXBMFYSA-N 0 1 314.420 3.382 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)[C@H](CC)CCC)C1 ZINC001569740397 1190753121 /nfs/dbraw/zinc/75/31/21/1190753121.db2.gz BQUJLSHCSUMDMR-ZIAGYGMSSA-N 0 1 302.409 3.072 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@H](C)C(C)(F)F)cccc2C1 ZINC001570078096 1190791828 /nfs/dbraw/zinc/79/18/28/1190791828.db2.gz LSHKMNXHHBTQAU-ZDUSSCGKSA-N 0 1 322.399 3.138 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1(F)CCCCC1 ZINC001570092007 1190793666 /nfs/dbraw/zinc/79/36/66/1190793666.db2.gz ZAPDGRZWWQZWQB-CQSZACIVSA-N 0 1 316.848 3.382 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CCc2ccc(C)cc2)CCC1 ZINC001570110085 1190797182 /nfs/dbraw/zinc/79/71/82/1190797182.db2.gz FEHSNYYYXYCACR-UHFFFAOYSA-N 0 1 320.864 3.309 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](C)C(CC)CC ZINC001570520584 1190873818 /nfs/dbraw/zinc/87/38/18/1190873818.db2.gz INKYABGPJACLBR-NIKGAXFTSA-N 0 1 312.457 3.064 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)C/C=C/Cc1ccccc1 ZINC001570534980 1190879058 /nfs/dbraw/zinc/87/90/58/1190879058.db2.gz UMGCBNYWHOFIRT-UCFODXPJSA-N 0 1 312.457 3.284 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)CCCc1ccc(C)cc1 ZINC001570540123 1190881199 /nfs/dbraw/zinc/88/11/99/1190881199.db2.gz KTKCPDGHYURBLS-IBGZPJMESA-N 0 1 314.473 3.427 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)c1cccc(C)c1 ZINC001570542262 1190882710 /nfs/dbraw/zinc/88/27/10/1190882710.db2.gz QKABHIHTHIVHPO-IEBWSBKVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001570631671 1190906696 /nfs/dbraw/zinc/90/66/96/1190906696.db2.gz YBACVZKXOCPFPM-KBPBESRZSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1coc2ccccc12 ZINC001570642734 1190908568 /nfs/dbraw/zinc/90/85/68/1190908568.db2.gz XFAHOQFUXDPGRS-CHWSQXEVSA-N 0 1 320.820 3.211 20 30 DGEDMN C[C@H](CNC(=O)C#CC(C)(C)C)N(C)[C@@H](C)c1ccccc1F ZINC001570711097 1190925709 /nfs/dbraw/zinc/92/57/09/1190925709.db2.gz VVWHHVVGWMXJNR-CABCVRRESA-N 0 1 318.436 3.373 20 30 DGEDMN C[C@H](c1ccccc1F)N(C)[C@@H](C)CNC(=O)C#CC(C)(C)C ZINC001570711100 1190925910 /nfs/dbraw/zinc/92/59/10/1190925910.db2.gz VVWHHVVGWMXJNR-LSDHHAIUSA-N 0 1 318.436 3.373 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ncc(C)cc1C ZINC001570812511 1190954074 /nfs/dbraw/zinc/95/40/74/1190954074.db2.gz RRRNYJYHFXGSCE-HNNXBMFYSA-N 0 1 323.868 3.329 20 30 DGEDMN C/C=C(\C)C(=O)N1CC[C@]2(C1)CN(CCCCCCC)CCO2 ZINC001570882175 1190969390 /nfs/dbraw/zinc/96/93/90/1190969390.db2.gz NYAPFARPKSSANJ-QPUGPGLDSA-N 0 1 322.493 3.226 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@H](C)CCCCCC)C(C)(C)C1 ZINC001570907983 1190975065 /nfs/dbraw/zinc/97/50/65/1190975065.db2.gz RYVSDKCCSYIJEF-IAGOWNOFSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](CC)CCCC)C2)C1 ZINC001571039601 1190980381 /nfs/dbraw/zinc/98/03/81/1190980381.db2.gz UYMZPUQIWRBFMI-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCCCC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1F ZINC001571400707 1191014562 /nfs/dbraw/zinc/01/45/62/1191014562.db2.gz PNIWWVHXFJVNSV-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](c1cccnc1)C(C)C ZINC001571411081 1191018375 /nfs/dbraw/zinc/01/83/75/1191018375.db2.gz WXVCSVOQNUPMAD-GDBMZVCRSA-N 0 1 323.868 3.010 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)c(CC)s1 ZINC001571416730 1191019919 /nfs/dbraw/zinc/01/99/19/1191019919.db2.gz OFWLCVQPPQFXOE-LBPRGKRZSA-N 0 1 314.882 3.422 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(C(C)C)n2)C[C@@H]1C ZINC001571473270 1191026690 /nfs/dbraw/zinc/02/66/90/1191026690.db2.gz OHAIGDWKIMJDGB-DOTOQJQBSA-N 0 1 315.461 3.250 20 30 DGEDMN CC(C)CC(=O)N[C@@H]1CCCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001571513024 1191036760 /nfs/dbraw/zinc/03/67/60/1191036760.db2.gz NKVGXPXJEMORTJ-CRAIPNDOSA-N 0 1 313.445 3.073 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2cncc(C)c2)[C@@H]1C ZINC001571522420 1191041322 /nfs/dbraw/zinc/04/13/22/1191041322.db2.gz FUQSYUPDMDIQFH-AEFFLSMTSA-N 0 1 315.461 3.215 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cccc(Cl)c1C)C(C)C ZINC001571612762 1191059096 /nfs/dbraw/zinc/05/90/96/1191059096.db2.gz XLLWCMISVCMYEN-UHFFFAOYSA-N 0 1 320.864 3.454 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1C[C@H]1C1CCCC1)C(C)C ZINC001571615161 1191062725 /nfs/dbraw/zinc/06/27/25/1191062725.db2.gz HRKZYCPEJOZQTK-ROUUACIJSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C)CCCC1)C(C)(C)C ZINC001571629009 1191068071 /nfs/dbraw/zinc/06/80/71/1191068071.db2.gz BTSGPCWKXSCDQG-CYBMUJFWSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCC(C)(C)CNC(=O)C[C@@H](N)c1ccccc1OCC ZINC001574889558 1191455624 /nfs/dbraw/zinc/45/56/24/1191455624.db2.gz RQNVKPOFEIUGKJ-OAHLLOKOSA-N 0 1 304.434 3.194 20 30 DGEDMN C=CC[C@]1(C(=O)OCc2ccc(C(C)(C)C)cn2)CCCN1 ZINC001574897518 1191457117 /nfs/dbraw/zinc/45/71/17/1191457117.db2.gz PZTXKXRNNZCWSB-GOSISDBHSA-N 0 1 302.418 3.121 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN1CCCC[C@@H]1c1c[nH]nn1 ZINC001575239167 1191469280 /nfs/dbraw/zinc/46/92/80/1191469280.db2.gz WMGTVSNFCKRCEZ-QGZVFWFLSA-N 0 1 309.417 3.333 20 30 DGEDMN CCCCCCCCNC(=O)Cc1ccccc1-c1cn[nH]n1 ZINC001576393647 1191584339 /nfs/dbraw/zinc/58/43/39/1191584339.db2.gz BTHNUJZPCYVHDX-UHFFFAOYSA-N 0 1 314.433 3.491 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@H](C)N1CCC(C)(F)CC1 ZINC001620466985 1193770994 /nfs/dbraw/zinc/77/09/94/1193770994.db2.gz FERXCWSBNPECLT-AWEZNQCLSA-N 0 1 324.465 3.475 20 30 DGEDMN Cc1ccc(Br)cc1CNCc1cccc(C#N)n1 ZINC001621441902 1193843639 /nfs/dbraw/zinc/84/36/39/1193843639.db2.gz SFMWTZPPYALOEI-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN Cc1nc2ccc(C(=O)Nc3nc4ccc(C#N)cc4[nH]3)cc2o1 ZINC001623605169 1193940904 /nfs/dbraw/zinc/94/09/04/1193940904.db2.gz STXDHRJOBVTONO-UHFFFAOYSA-N 0 1 317.308 3.137 20 30 DGEDMN C#Cc1cccc(CNC(=O)c2cccc(C(F)(F)F)c2O)c1 ZINC001626494841 1194080381 /nfs/dbraw/zinc/08/03/81/1194080381.db2.gz GKKXLDKXJTWBOQ-UHFFFAOYSA-N 0 1 319.282 3.322 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)c1cccc2c[nH]nc21 ZINC001627129383 1194113484 /nfs/dbraw/zinc/11/34/84/1194113484.db2.gz ATMGIUPTOSCWJY-LBPRGKRZSA-N 0 1 304.353 3.268 20 30 DGEDMN N#Cc1c2ccccc2[nH]c1C(=O)Nc1ccc(O)c(F)c1F ZINC001627448941 1194129985 /nfs/dbraw/zinc/12/99/85/1194129985.db2.gz PSUBGDPEWNQART-UHFFFAOYSA-N 0 1 313.263 3.276 20 30 DGEDMN Cc1nc2c(cccc2C(=O)N(C)CCc2cccc(C#N)c2)[nH]1 ZINC001631335498 1194330064 /nfs/dbraw/zinc/33/00/64/1194330064.db2.gz QVAHOFZWLIHEGX-UHFFFAOYSA-N 0 1 318.380 3.058 20 30 DGEDMN C#Cc1cccc(N(C)C(=O)c2ccc(CN3CCCC3)o2)c1 ZINC001632698217 1194402985 /nfs/dbraw/zinc/40/29/85/1194402985.db2.gz NXQUSTUWSNRAIV-UHFFFAOYSA-N 0 1 308.381 3.133 20 30 DGEDMN Cc1cc2[nH]ncc2cc1NC(=O)c1c[nH]c2cccc(C#N)c21 ZINC001632888454 1194410888 /nfs/dbraw/zinc/41/08/88/1194410888.db2.gz WNEGRLXPOHUCNR-UHFFFAOYSA-N 0 1 315.336 3.477 20 30 DGEDMN C=C(C)C[C@@H](CO)NC(=O)c1cc(Cl)c2ccccc2c1O ZINC001633180294 1194425734 /nfs/dbraw/zinc/42/57/34/1194425734.db2.gz YENWQOWXFVYLAR-NSHDSACASA-N 0 1 319.788 3.256 20 30 DGEDMN N#Cc1cccc(Oc2ccc(NC(=O)Cc3cnc[nH]3)cc2)c1 ZINC001633259030 1194428542 /nfs/dbraw/zinc/42/85/42/1194428542.db2.gz HSFJGDZNADSDJY-UHFFFAOYSA-N 0 1 318.336 3.255 20 30 DGEDMN C=C[C@H](C(=O)Nc1ccn(-c2ccncc2)n1)c1ccccc1 ZINC001633832720 1194458812 /nfs/dbraw/zinc/45/88/12/1194458812.db2.gz MPVXAFVSFNEFJM-INIZCTEOSA-N 0 1 304.353 3.176 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)c2cncc3sccc32)C1 ZINC001671162614 1194496915 /nfs/dbraw/zinc/49/69/15/1194496915.db2.gz MRCODFNQTZRYLF-UHFFFAOYSA-N 0 1 315.442 3.019 20 30 DGEDMN COc1nccc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc21 ZINC001637072598 1194648401 /nfs/dbraw/zinc/64/84/01/1194648401.db2.gz YWTHGCPHCVHJRE-UHFFFAOYSA-N 0 1 319.320 3.073 20 30 DGEDMN COc1cccc2c(C(=O)Nc3cc(C#N)ccc3O)coc21 ZINC001637620451 1194678374 /nfs/dbraw/zinc/67/83/74/1194678374.db2.gz QOWLRNPDFBRPFY-UHFFFAOYSA-N 0 1 308.293 3.271 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1nc(-c2cc3ccccc3o2)n[nH]1 ZINC001638662221 1194731006 /nfs/dbraw/zinc/73/10/06/1194731006.db2.gz UAGWMJRKWVUROF-UHFFFAOYSA-N 0 1 318.283 3.368 20 30 DGEDMN CCC(C#N)(CC)C(=O)N[C@@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001639160495 1194753862 /nfs/dbraw/zinc/75/38/62/1194753862.db2.gz IKPZYQQIYXFUGV-NSHDSACASA-N 0 1 314.389 3.079 20 30 DGEDMN C=C(C)[C@H](CC(=O)N1CCCC[C@H]1c1cc(C)[nH]n1)OCC ZINC001639472918 1194768058 /nfs/dbraw/zinc/76/80/58/1194768058.db2.gz ZYLHVHDRASTYML-HOTGVXAUSA-N 0 1 305.422 3.143 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@](C)(CNC(=O)[C@@H]2CCCC(F)(F)C2)C1 ZINC001671907438 1194786329 /nfs/dbraw/zinc/78/63/29/1194786329.db2.gz IRFLXDBISQYEDJ-ZBFHGGJFSA-N 0 1 314.420 3.216 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ccc(C3CC3)cn2)C1 ZINC001671193412 1194813469 /nfs/dbraw/zinc/81/34/69/1194813469.db2.gz RKGSTKBRYGTXFK-CQSZACIVSA-N 0 1 313.445 3.070 20 30 DGEDMN C=C(C)CO[N-]C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)C[C@H]1CCC ZINC001640656017 1194823951 /nfs/dbraw/zinc/82/39/51/1194823951.db2.gz FPGBZLIWHHGULV-QZTJIDSGSA-N 0 1 316.445 3.159 20 30 DGEDMN C=C(C)CO[N-]C(=O)[C@@H]1C[N@H+](Cc2ccccc2)C[C@H]1CCC ZINC001640656017 1194823954 /nfs/dbraw/zinc/82/39/54/1194823954.db2.gz FPGBZLIWHHGULV-QZTJIDSGSA-N 0 1 316.445 3.159 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(c2cc3ccccc3[nH]2)CC1 ZINC001641650089 1194864829 /nfs/dbraw/zinc/86/48/29/1194864829.db2.gz QSNPTARPHVJIQI-SFHVURJKSA-N 0 1 312.413 3.465 20 30 DGEDMN CCC1CCN([C@@H](C)C(=O)N(C)C2(C#N)CCC(C)CC2)CC1 ZINC001641721721 1194868322 /nfs/dbraw/zinc/86/83/22/1194868322.db2.gz HMRQWWBPAWFBNZ-WUJZJPHMSA-N 0 1 319.493 3.428 20 30 DGEDMN Cc1cc(N2CCN([C@H](C)c3ccccc3)CC2)ncc1C#N ZINC001643111850 1194996499 /nfs/dbraw/zinc/99/64/99/1194996499.db2.gz APIFDMHMANGOTM-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN C=C(C)CONC(=O)Cc1coc(-c2ccc(Cl)cc2)n1 ZINC001644319501 1195100560 /nfs/dbraw/zinc/10/05/60/1195100560.db2.gz BSRQIBIGINWREE-UHFFFAOYSA-N 0 1 306.749 3.161 20 30 DGEDMN CCC#C[C@@H](C)N1CCC[C@H](c2ncc(Br)cn2)C1 ZINC001644496822 1195113073 /nfs/dbraw/zinc/11/30/73/1195113073.db2.gz PHKVLAPFBWYZIX-OLZOCXBDSA-N 0 1 322.250 3.220 20 30 DGEDMN C=CCN(Cc1n[nH]c(C)c1C(=O)OCC)CC1CCCCC1 ZINC001644603546 1195119929 /nfs/dbraw/zinc/11/99/29/1195119929.db2.gz XJXJGMXWHCVXLH-UHFFFAOYSA-N 0 1 319.449 3.463 20 30 DGEDMN C#CCCCCCCN1CCN(C(=O)c2ccc(C)cc2)CC1 ZINC001644875023 1195139822 /nfs/dbraw/zinc/13/98/22/1195139822.db2.gz OVYXNACOBYPCPI-UHFFFAOYSA-N 0 1 312.457 3.337 20 30 DGEDMN C[C@@]1(CN2CCN(c3ccc(C#N)cc3)CC2)CC1(Cl)Cl ZINC001648064382 1195275247 /nfs/dbraw/zinc/27/52/47/1195275247.db2.gz MVCQWBRPYJEXSK-HNNXBMFYSA-N 0 1 324.255 3.264 20 30 DGEDMN Fc1ccc(C#CCNC2(c3ccc4c(c3)OCCO4)CC2)cc1 ZINC001648426742 1195300016 /nfs/dbraw/zinc/30/00/16/1195300016.db2.gz LLQXOMPLSJBTBJ-UHFFFAOYSA-N 0 1 323.367 3.227 20 30 DGEDMN N#Cc1c(N)sc2c1CCN(Cc1ccc3cc[nH]c3c1)C2 ZINC001648528541 1195307346 /nfs/dbraw/zinc/30/73/46/1195307346.db2.gz AKSLZKXPPRCKCG-UHFFFAOYSA-N 0 1 308.410 3.242 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)c1 ZINC001648729653 1195326764 /nfs/dbraw/zinc/32/67/64/1195326764.db2.gz WDEFXYYLGJMVHX-VXGBXAGGSA-N 0 1 318.785 3.487 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C2CCCC2)cc1 ZINC001649451585 1195342380 /nfs/dbraw/zinc/34/23/80/1195342380.db2.gz DISLCVMGUVWXKJ-MRXNPFEDSA-N 0 1 312.457 3.418 20 30 DGEDMN C#Cc1ccc(CN[C@@H](C)C(=O)N2c3ccccc3C[C@H]2C)cc1 ZINC001651088654 1195412469 /nfs/dbraw/zinc/41/24/69/1195412469.db2.gz XCRBAVJDBFZZBI-CVEARBPZSA-N 0 1 318.420 3.124 20 30 DGEDMN CC#CC[C@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001651273535 1195435224 /nfs/dbraw/zinc/43/52/24/1195435224.db2.gz NWGWZMAVZJCXFF-GFCCVEGCSA-N 0 1 305.727 3.223 20 30 DGEDMN CCc1ccc(C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)s1 ZINC001651268439 1195435466 /nfs/dbraw/zinc/43/54/66/1195435466.db2.gz ZJPYCTBEASYLCW-UHFFFAOYSA-N 0 1 323.381 3.220 20 30 DGEDMN C#C[C@H]1CC[C@H](NC(=O)c2cccc(C(F)(F)F)c2O)CC1 ZINC001651341159 1195446766 /nfs/dbraw/zinc/44/67/66/1195446766.db2.gz DUBBKXYOAYGUOL-XYPYZODXSA-N 0 1 311.303 3.333 20 30 DGEDMN O=C(C#Cc1cccs1)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001651423428 1195459837 /nfs/dbraw/zinc/45/98/37/1195459837.db2.gz OSYIECCOHVJMQA-UHFFFAOYSA-N 0 1 301.758 3.268 20 30 DGEDMN Cc1cc(NC[C@@H](C)N2CCc3sccc3C2)ncc1C#N ZINC001651459550 1195465457 /nfs/dbraw/zinc/46/54/57/1195465457.db2.gz ISOBODOGVYLGLX-CYBMUJFWSA-N 0 1 312.442 3.182 20 30 DGEDMN CC(C)c1ncc(CNc2cc(C#N)c(Br)cn2)[nH]1 ZINC001652270351 1195573572 /nfs/dbraw/zinc/57/35/72/1195573572.db2.gz TUHRRBOZMFPGPK-UHFFFAOYSA-N 0 1 320.194 3.174 20 30 DGEDMN Cc1c(Br)cccc1CNCc1cccc(C#N)n1 ZINC001652737686 1195643151 /nfs/dbraw/zinc/64/31/51/1195643151.db2.gz ZLZSKFWWGOFYSO-UHFFFAOYSA-N 0 1 316.202 3.314 20 30 DGEDMN C=CCO[C@H]1CCN([C@H](CC)C(=O)N(C)c2ccc(C)cc2)C1 ZINC001652993494 1195678750 /nfs/dbraw/zinc/67/87/50/1195678750.db2.gz GCRWCBOUSHPTGN-ZWKOTPCHSA-N 0 1 316.445 3.013 20 30 DGEDMN COCC#CCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC001653037849 1195682582 /nfs/dbraw/zinc/68/25/82/1195682582.db2.gz LGVGNHDYBPUGSF-SNVBAGLBSA-N 0 1 303.736 3.242 20 30 DGEDMN C#Cc1ccc(NC(=O)[C@@H]2CCCN2Cc2ccccc2)cc1 ZINC001653439921 1195720649 /nfs/dbraw/zinc/72/06/49/1195720649.db2.gz MWUKAJUKOLKEDO-IBGZPJMESA-N 0 1 304.393 3.271 20 30 DGEDMN C[C@H]1CN(c2ccccc2F)CCN1CCc1ccc(C#N)cc1 ZINC001653491392 1195728699 /nfs/dbraw/zinc/72/86/99/1195728699.db2.gz ZHEGKGBFAZCCRR-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN CCN(CC)C(=O)OC[C@H]1CCCCN1CC1(CC#N)CC1 ZINC001653529231 1195731812 /nfs/dbraw/zinc/73/18/12/1195731812.db2.gz ZQDXEMZPSWABFE-OAHLLOKOSA-N 0 1 307.438 3.013 20 30 DGEDMN C=CC[C@@H](C)N1CCN(Cc2nc3ccccc3s2)CC1 ZINC001653844094 1195754408 /nfs/dbraw/zinc/75/44/08/1195754408.db2.gz YQMKQXUMVMSHCK-CQSZACIVSA-N 0 1 301.459 3.379 20 30 DGEDMN CC[C@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@H]1C ZINC001654217786 1195785031 /nfs/dbraw/zinc/78/50/31/1195785031.db2.gz FBQKTNPFXKTGQF-RYUDHWBXSA-N 0 1 305.809 3.271 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C)sc2C)CCC1 ZINC001673185594 1195834809 /nfs/dbraw/zinc/83/48/09/1195834809.db2.gz LVMWQQDFSKCGPY-UHFFFAOYSA-N 0 1 312.866 3.360 20 30 DGEDMN C=CCCCN(CC)CC(=O)Nc1nc2c(s1)CCCC2 ZINC001655014173 1195864905 /nfs/dbraw/zinc/86/49/05/1195864905.db2.gz DZTDKRFKAZDWRP-UHFFFAOYSA-N 0 1 307.463 3.249 20 30 DGEDMN COC(=O)c1ccc([C@H]2CCCCN2CC2(CC#N)CC2)n1C ZINC001655106346 1195877808 /nfs/dbraw/zinc/87/78/08/1195877808.db2.gz VWNTUWNQEVGDEJ-OAHLLOKOSA-N 0 1 315.417 3.033 20 30 DGEDMN C[C@H](CCC#N)N1CCC[C@@H](c2ncc(Br)cn2)C1 ZINC001655580856 1195935263 /nfs/dbraw/zinc/93/52/63/1195935263.db2.gz IOFXWIMHBSURNB-VXGBXAGGSA-N 0 1 323.238 3.111 20 30 DGEDMN C=CCOc1cccc(CN[C@H](CO)c2cccc(F)c2)c1 ZINC001655609571 1195940488 /nfs/dbraw/zinc/94/04/88/1195940488.db2.gz XDRIYVUMTDPUEU-GOSISDBHSA-N 0 1 301.361 3.214 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)c1cccc(F)c1 ZINC001656092944 1195999017 /nfs/dbraw/zinc/99/90/17/1195999017.db2.gz MWOOEUDSVRRSRT-QGZVFWFLSA-N 0 1 318.436 3.212 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)C1CCCCC1 ZINC001656096958 1196000395 /nfs/dbraw/zinc/00/03/95/1196000395.db2.gz GMIZGKGQOWQSDN-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1C(=O)N=NC1SCC1([C@H]2CCCCO2)CCC1 ZINC001656379038 1196034008 /nfs/dbraw/zinc/03/40/08/1196034008.db2.gz YKFHPZDPTPMGHO-GFCCVEGCSA-N 0 1 309.435 3.001 20 30 DGEDMN C=CCC[C@H]1CCCN1Cc1nnnn1-c1c(C)cccc1C ZINC001656376624 1196034075 /nfs/dbraw/zinc/03/40/75/1196034075.db2.gz AMNPZLHZXMQUAV-INIZCTEOSA-N 0 1 311.433 3.210 20 30 DGEDMN C=CC[C@@H]1CCN1CCOc1ccc(C(=O)OC)cc1Cl ZINC001656411873 1196036636 /nfs/dbraw/zinc/03/66/36/1196036636.db2.gz WWPJJEJSSHDDQO-CYBMUJFWSA-N 0 1 309.793 3.156 20 30 DGEDMN CCCCCCCCC(=O)N1C[C@H]2CCN(CCF)C[C@H]2C1 ZINC001656843428 1196075543 /nfs/dbraw/zinc/07/55/43/1196075543.db2.gz SHBCINPQQGVSEH-SJORKVTESA-N 0 1 312.473 3.487 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)[C@@H]1C ZINC001656995202 1196091956 /nfs/dbraw/zinc/09/19/56/1196091956.db2.gz YHEHDTYZPSBFGJ-PBHICJAKSA-N 0 1 304.409 3.261 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C#CC(C)C)CC(C)(C)C1 ZINC001657097068 1196104834 /nfs/dbraw/zinc/10/48/34/1196104834.db2.gz UGQJZPWPEKTBDP-OAHLLOKOSA-N 0 1 310.869 3.005 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H](c3nc4ccccc4[nH]3)C2)c1 ZINC001657596574 1196158717 /nfs/dbraw/zinc/15/87/17/1196158717.db2.gz LPMYCCRNEAGRCC-HNNXBMFYSA-N 0 1 317.396 3.209 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN[C@@H](C)[C@@H](C)C(=O)OCC ZINC001657697382 1196169833 /nfs/dbraw/zinc/16/98/33/1196169833.db2.gz YHRZPAQTOLFUIZ-OLZOCXBDSA-N 0 1 323.820 3.029 20 30 DGEDMN N#Cc1ccc(CNCc2c[nH]nc2-c2cccs2)s1 ZINC001658149874 1196218727 /nfs/dbraw/zinc/21/87/27/1196218727.db2.gz DPFISTOFCDZIPP-UHFFFAOYSA-N 0 1 300.412 3.361 20 30 DGEDMN CN(CCCN(C(=O)OC(C)(C)C)C1CC1)CC(C)(C)C#N ZINC001658758345 1196296638 /nfs/dbraw/zinc/29/66/38/1196296638.db2.gz CPQQQJJPEYAAFL-UHFFFAOYSA-N 0 1 309.454 3.258 20 30 DGEDMN N#Cc1cc(Cl)cc(CN[C@H](CO)c2ccc(F)cc2)c1 ZINC001658758777 1196296808 /nfs/dbraw/zinc/29/68/08/1196296808.db2.gz LCMFWFJGFFHMKZ-MRXNPFEDSA-N 0 1 304.752 3.174 20 30 DGEDMN Cn1cc([C@@H]2C[C@H]2CN2CCC[C@@H]2[C@H](C#N)c2ccccc2)cn1 ZINC001658899401 1196316562 /nfs/dbraw/zinc/31/65/62/1196316562.db2.gz PPFFIKNHOYGECU-MTFMMBMASA-N 0 1 320.440 3.295 20 30 DGEDMN CCO[C@@H]1C[C@@H](NCC#Cc2ccccc2)[C@@H]1Oc1ccccc1 ZINC001658921039 1196319492 /nfs/dbraw/zinc/31/94/92/1196319492.db2.gz AIFZGNXHJROYML-NJYVYQBISA-N 0 1 321.420 3.253 20 30 DGEDMN O[C@H](CNCC#Cc1ccccc1)c1cc(F)cc(Cl)c1 ZINC001659060957 1196339057 /nfs/dbraw/zinc/33/90/57/1196339057.db2.gz CISOCRDYRUUIGV-QGZVFWFLSA-N 0 1 303.764 3.154 20 30 DGEDMN C=CC(C)(C)CNCc1oc(Br)cc1C(=O)OC ZINC001659235343 1196362005 /nfs/dbraw/zinc/36/20/05/1196362005.db2.gz ATLBHXMQEJIHJS-UHFFFAOYSA-N 0 1 316.195 3.131 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(CC)Cc1ccc(C#N)cc1F ZINC001660494196 1196491035 /nfs/dbraw/zinc/49/10/35/1196491035.db2.gz UFFLGGSTUSIQAZ-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(Cl)o1 ZINC001661240015 1196587099 /nfs/dbraw/zinc/58/70/99/1196587099.db2.gz UFNPUROXHPQGFK-BETUJISGSA-N 0 1 308.809 3.188 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccoc1CC ZINC001661249091 1196588964 /nfs/dbraw/zinc/58/89/64/1196588964.db2.gz JPAVTGFJRKCOQQ-GASCZTMLSA-N 0 1 302.418 3.097 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](NCc2ncoc2C(C)C)C1 ZINC001661460177 1196607566 /nfs/dbraw/zinc/60/75/66/1196607566.db2.gz OQBLEQFYPJQQIC-HDJSIYSDSA-N 0 1 319.449 3.137 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001661636482 1196626660 /nfs/dbraw/zinc/62/66/60/1196626660.db2.gz OQBLEQFYPJQQIC-OKILXGFUSA-N 0 1 319.449 3.137 20 30 DGEDMN CC(C)C#CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1C/C=C/Cl ZINC001661978546 1196670116 /nfs/dbraw/zinc/67/01/16/1196670116.db2.gz VLIOKDVJQXIAHQ-FABOKFROSA-N 0 1 322.880 3.244 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C2(C)CC=CC2)C1 ZINC001661995383 1196672817 /nfs/dbraw/zinc/67/28/17/1196672817.db2.gz GILJTBCEFRKYKB-CABCVRRESA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001662302782 1196704065 /nfs/dbraw/zinc/70/40/65/1196704065.db2.gz FDLXUPVVEIUZNF-LBPRGKRZSA-N 0 1 319.836 3.245 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)c1ccc(OC)cc1 ZINC001662420909 1196719777 /nfs/dbraw/zinc/71/97/77/1196719777.db2.gz VGHGDGIWBDDCCJ-GXTWGEPZSA-N 0 1 324.852 3.036 20 30 DGEDMN C#CCOc1ccc(CNCc2cnc(Cl)c(F)c2)cc1 ZINC001662483878 1196724939 /nfs/dbraw/zinc/72/49/39/1196724939.db2.gz SBTLHLHZATXVPN-UHFFFAOYSA-N 0 1 304.752 3.176 20 30 DGEDMN C#Cc1ccc(CNCc2cc(OC)cc3c2O[C@@H](C)C3)cc1 ZINC001662488442 1196725565 /nfs/dbraw/zinc/72/55/65/1196725565.db2.gz YRKCLQABZJPKGX-AWEZNQCLSA-N 0 1 307.393 3.290 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[C@H](NCc2cc(C)on2)C1 ZINC001662772571 1196750091 /nfs/dbraw/zinc/75/00/91/1196750091.db2.gz IINMJKMHEHABBU-GJZGRUSLSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1coc(C)n1 ZINC001663093883 1196791037 /nfs/dbraw/zinc/79/10/37/1196791037.db2.gz VJUHNWXBIOQNJR-INIZCTEOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(CC)Cc1ccc(C)nc1C ZINC001663385105 1196853890 /nfs/dbraw/zinc/85/38/90/1196853890.db2.gz BXLMSZKVANMYTM-UHFFFAOYSA-N 0 1 317.477 3.239 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCC[N@@H+]1[C@H](C)c1cccc(F)c1 ZINC001663493630 1196886730 /nfs/dbraw/zinc/88/67/30/1196886730.db2.gz RZRGKUSWEVNADS-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1[C@H](C)c1cccc(F)c1 ZINC001663493630 1196886737 /nfs/dbraw/zinc/88/67/37/1196886737.db2.gz RZRGKUSWEVNADS-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)c1cccc(Cl)c1 ZINC001663547417 1196902099 /nfs/dbraw/zinc/90/20/99/1196902099.db2.gz GOSHTQSPWIJVLQ-WMLDXEAASA-N 0 1 318.848 3.047 20 30 DGEDMN C#CC[N@@H+](Cc1ccc(F)cc1)Cc1ccc(F)c(F)c1[O-] ZINC001663717657 1196934034 /nfs/dbraw/zinc/93/40/34/1196934034.db2.gz PZMZORBCISMOCU-UHFFFAOYSA-N 0 1 305.299 3.445 20 30 DGEDMN C=C(C)CCC(=O)N1CCC([C@@H](C)NCc2ncc(C)o2)CC1 ZINC001664442038 1196999424 /nfs/dbraw/zinc/99/94/24/1196999424.db2.gz JCHCGCRHEBYBOE-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccc(C)cc1C)c1ccccc1 ZINC001664597453 1197019200 /nfs/dbraw/zinc/01/92/00/1197019200.db2.gz BCMOIJCKGJLMFH-HXUWFJFHSA-N 0 1 320.436 3.387 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC#C)CCCCC1)c1ccccc1 ZINC001664604191 1197020142 /nfs/dbraw/zinc/02/01/42/1197020142.db2.gz KLZHUCZGDIMFFE-IBGZPJMESA-N 0 1 322.452 3.041 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC2=CCCCC2)C1 ZINC001664655969 1197027215 /nfs/dbraw/zinc/02/72/15/1197027215.db2.gz KPJKWCGAWBQWBY-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCC(C)=C(C)C2)C1 ZINC001664666977 1197029819 /nfs/dbraw/zinc/02/98/19/1197029819.db2.gz DJNBMYULMCOYMW-OALUTQOASA-N 0 1 316.489 3.459 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC(C2CC2)C2CC2)C1 ZINC001664686872 1197035093 /nfs/dbraw/zinc/03/50/93/1197035093.db2.gz OMUOQLDVSWRPIC-SFHVURJKSA-N 0 1 316.489 3.149 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@@H]1CCN(Cc2nccs2)C1 ZINC001664716630 1197042579 /nfs/dbraw/zinc/04/25/79/1197042579.db2.gz FXXDQIPFBGXQBK-CQSZACIVSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@@H](CN(C)CC(=C)Cl)C1 ZINC001664812316 1197061626 /nfs/dbraw/zinc/06/16/26/1197061626.db2.gz QHPGNJIDAQVXDS-HNNXBMFYSA-N 0 1 310.869 3.288 20 30 DGEDMN C=CCCCN1CC(NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001665157212 1197102939 /nfs/dbraw/zinc/10/29/39/1197102939.db2.gz NGEHIKWHRCZXLI-UHFFFAOYSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc(C)cc2Cl)C1 ZINC001665196435 1197107607 /nfs/dbraw/zinc/10/76/07/1197107607.db2.gz QPYRAKDUDKPTNT-CYBMUJFWSA-N 0 1 306.837 3.417 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001665232153 1197112035 /nfs/dbraw/zinc/11/20/35/1197112035.db2.gz OKZVCWCLZWBTKY-CQSZACIVSA-N 0 1 304.409 3.211 20 30 DGEDMN C=CC1(CC(=O)N2C[C@@H]3C[C@H]2CN3CCCF)CCCCC1 ZINC001665417112 1197134155 /nfs/dbraw/zinc/13/41/55/1197134155.db2.gz YNORFDRJZBUQPV-HOTGVXAUSA-N 0 1 308.441 3.158 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CCCCCc2cccs2)C1 ZINC001665496468 1197144869 /nfs/dbraw/zinc/14/48/69/1197144869.db2.gz WUOORBANBIUUFN-INIZCTEOSA-N 0 1 318.486 3.065 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC001665616506 1197159255 /nfs/dbraw/zinc/15/92/55/1197159255.db2.gz FFECYYQBGVFZNO-INIZCTEOSA-N 0 1 306.837 3.339 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ccccc2F)C1 ZINC001665658705 1197166734 /nfs/dbraw/zinc/16/67/34/1197166734.db2.gz BXXFEJYIVJNQBJ-NVXWUHKLSA-N 0 1 318.436 3.461 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001665670342 1197167916 /nfs/dbraw/zinc/16/79/16/1197167916.db2.gz GCBSWMUXIYFKRA-ROUUACIJSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(Cl)cs1 ZINC001665856979 1197208245 /nfs/dbraw/zinc/20/82/45/1197208245.db2.gz YMFUVGMALKPKCG-JTQLQIEISA-N 0 1 307.246 3.252 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(CC)[C@@H]1CCN(Cc2cccnc2)C1 ZINC001665725905 1197181849 /nfs/dbraw/zinc/18/18/49/1197181849.db2.gz KXXXWZMDWGVKJW-FUHWJXTLSA-N 0 1 315.461 3.107 20 30 DGEDMN C=CCCC(=O)NC[C@@H](CC)N[C@H](C)c1nc2ccccc2o1 ZINC001665765979 1197190435 /nfs/dbraw/zinc/19/04/35/1197190435.db2.gz RDFJDCWOBVLFNP-ZIAGYGMSSA-N 0 1 315.417 3.339 20 30 DGEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1ccc(F)cc1Cl ZINC001665789353 1197196488 /nfs/dbraw/zinc/19/64/88/1197196488.db2.gz VYVCQLPIPZFBDQ-HNNXBMFYSA-N 0 1 324.827 3.123 20 30 DGEDMN CC[C@@H](CNC(=O)C1(CC)CCC1)NCc1ccccc1C#N ZINC001665834278 1197203732 /nfs/dbraw/zinc/20/37/32/1197203732.db2.gz OVLVVIMWRQVCDJ-KRWDZBQOSA-N 0 1 313.445 3.123 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001665887851 1197212207 /nfs/dbraw/zinc/21/22/07/1197212207.db2.gz IRIUMWQPDYGICS-BBRMVZONSA-N 0 1 308.853 3.102 20 30 DGEDMN CCCCC(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001665902597 1197214679 /nfs/dbraw/zinc/21/46/79/1197214679.db2.gz GUWZZWZOCJVZHH-UHFFFAOYSA-N 0 1 313.445 3.267 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2coc(C)n2)CC1 ZINC001666302623 1197249873 /nfs/dbraw/zinc/24/98/73/1197249873.db2.gz MLKYXYWHGPXOCN-BZUAXINKSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@H](C)CNCc1cc(C2CC2)no1 ZINC001666908689 1197297970 /nfs/dbraw/zinc/29/79/70/1197297970.db2.gz XPMVUMFAUVCEFC-CYBMUJFWSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)c1ccc(F)cc1 ZINC001667069918 1197313976 /nfs/dbraw/zinc/31/39/76/1197313976.db2.gz NGPMQOHQVVYSPE-HNNXBMFYSA-N 0 1 312.816 3.312 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)c2ccncc2)CC1 ZINC001667406253 1197344938 /nfs/dbraw/zinc/34/49/38/1197344938.db2.gz ZEKJVARNOAIZSK-UHFFFAOYSA-N 0 1 321.852 3.008 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001667612068 1197368156 /nfs/dbraw/zinc/36/81/56/1197368156.db2.gz ZJWXGNDJIIWMCA-CQSZACIVSA-N 0 1 324.415 3.457 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001667642640 1197373023 /nfs/dbraw/zinc/37/30/23/1197373023.db2.gz CLDJQVRZKMCWFP-GOSISDBHSA-N 0 1 315.461 3.275 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CCN[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001668000554 1197395033 /nfs/dbraw/zinc/39/50/33/1197395033.db2.gz UJTBZLCVXSPNBX-UONOGXRCSA-N 0 1 321.465 3.484 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CCN[C@H](C)c2ncc(C)o2)CCC1 ZINC001668204304 1197404697 /nfs/dbraw/zinc/40/46/97/1197404697.db2.gz WTAAMHIYPHSYLT-DZGCQCFKSA-N 0 1 319.449 3.275 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCc1cc(F)cc(F)c1 ZINC001668843312 1197432293 /nfs/dbraw/zinc/43/22/93/1197432293.db2.gz JMNPMZAYQSUBBL-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccc(C2CCC2)cc1 ZINC001669625979 1197465667 /nfs/dbraw/zinc/46/56/67/1197465667.db2.gz FAKODSDUIXHNKM-AWEZNQCLSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001669657565 1197468730 /nfs/dbraw/zinc/46/87/30/1197468730.db2.gz JIYKOXIPOOEMTH-YVEFUNNKSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1CC(c2ccc(F)cc2)C1 ZINC001669758188 1197476445 /nfs/dbraw/zinc/47/64/45/1197476445.db2.gz LZQJXOPBBOJQSL-GRTSSRMGSA-N 0 1 324.827 3.166 20 30 DGEDMN C#CCN(C(=O)CCCC)C1CCN(CCC(F)(F)F)CC1 ZINC001671455884 1197541488 /nfs/dbraw/zinc/54/14/88/1197541488.db2.gz CTTDWGDHKUZDLJ-UHFFFAOYSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H](C2CCN(CCF)CC2)C1 ZINC001672171095 1197594278 /nfs/dbraw/zinc/59/42/78/1197594278.db2.gz MGRMSZXKGOOJPA-GOSISDBHSA-N 0 1 322.468 3.100 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CCN[C@H](C)c2ncc(C)o2)C1 ZINC001672854486 1197625662 /nfs/dbraw/zinc/62/56/62/1197625662.db2.gz XQOJKXFVIFKYPT-HZPDHXFCSA-N 0 1 319.449 3.229 20 30 DGEDMN C=CCC(C)(C)C(=O)NCc1ccccc1CN(C)CC#CC ZINC001672929373 1197629103 /nfs/dbraw/zinc/62/91/03/1197629103.db2.gz FWQDASMOKMBYFM-UHFFFAOYSA-N 0 1 312.457 3.360 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C(C)(CC)CC)cccc2C1 ZINC001673079907 1197636685 /nfs/dbraw/zinc/63/66/85/1197636685.db2.gz UJAKDXUWRZHNTO-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](F)c2ccccc2)CCC1 ZINC001673214905 1197644229 /nfs/dbraw/zinc/64/42/29/1197644229.db2.gz QJSVTEIZDLYCFG-CQSZACIVSA-N 0 1 310.800 3.078 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C2(C(C)(F)F)CC2)CC1 ZINC001673396223 1197655907 /nfs/dbraw/zinc/65/59/07/1197655907.db2.gz CYQUQKHDSJYSRQ-GFCCVEGCSA-N 0 1 320.811 3.145 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@H]1CCCN(CCF)C1 ZINC001673496612 1197669596 /nfs/dbraw/zinc/66/95/96/1197669596.db2.gz PDTBNLQXDHPZGP-IRXDYDNUSA-N 0 1 310.457 3.405 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)C1(CCC)CC1 ZINC001673633402 1197680752 /nfs/dbraw/zinc/68/07/52/1197680752.db2.gz HBCDAXYESUPNMA-IBGZPJMESA-N 0 1 324.468 3.133 20 30 DGEDMN CC[C@@H](F)CN1CC[C@@H](NC(=O)C#CC(C)(C)C)C(C)(C)C1 ZINC001674018410 1197723384 /nfs/dbraw/zinc/72/33/84/1197723384.db2.gz MKSNPFXPEFTXHC-HUUCEWRRSA-N 0 1 310.457 3.001 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(CCF)CC2(C)C)CCCC1 ZINC001674033672 1197725104 /nfs/dbraw/zinc/72/51/04/1197725104.db2.gz JMHWDQBMHMFBRT-HNNXBMFYSA-N 0 1 310.457 3.309 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H](C)C1 ZINC001674139459 1197737207 /nfs/dbraw/zinc/73/72/07/1197737207.db2.gz BHNLYUONQTWUJS-RDJZCZTQSA-N 0 1 315.461 3.153 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@@H](C)C1 ZINC001674140143 1197737369 /nfs/dbraw/zinc/73/73/69/1197737369.db2.gz DWCHUKSYTMGJBG-GJZGRUSLSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)C[C@@H]1C ZINC001674155979 1197740707 /nfs/dbraw/zinc/74/07/07/1197740707.db2.gz RYKVPGOVCJFLCC-AQIYZVDXSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)c1cc(C)c(C)cn1 ZINC001674389176 1197766783 /nfs/dbraw/zinc/76/67/83/1197766783.db2.gz QBNGNAARIPRKLG-HNNXBMFYSA-N 0 1 321.852 3.035 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(C)CC(C)C ZINC001674429215 1197771838 /nfs/dbraw/zinc/77/18/38/1197771838.db2.gz AIFNIEFPPKHJHH-QZTJIDSGSA-N 0 1 312.457 3.064 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C#N)s1 ZINC001674441319 1197775595 /nfs/dbraw/zinc/77/55/95/1197775595.db2.gz XHKHORWBXGPUHO-CQSZACIVSA-N 0 1 317.458 3.009 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1coc2c1cccc2C ZINC001674462999 1197779119 /nfs/dbraw/zinc/77/91/19/1197779119.db2.gz DBHTYGISUCWNNN-MRXNPFEDSA-N 0 1 324.424 3.301 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1scc(C)c1Cl ZINC001674457844 1197779151 /nfs/dbraw/zinc/77/91/51/1197779151.db2.gz OIMOINZMGXYNIZ-ZDUSSCGKSA-N 0 1 324.877 3.270 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCC(C)=C(C)C1 ZINC001674457774 1197779203 /nfs/dbraw/zinc/77/92/03/1197779203.db2.gz MQSMAQGSTPXPIQ-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC[C@H]1C[N@@H+](C)CCOC1CCC1 ZINC001674480978 1197783524 /nfs/dbraw/zinc/78/35/24/1197783524.db2.gz NODSBXYCNGZMNE-QFBILLFUSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C)cc1Cl ZINC001674486852 1197785323 /nfs/dbraw/zinc/78/53/23/1197785323.db2.gz PYTMQHAKDBFACS-OAHLLOKOSA-N 0 1 318.848 3.208 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c(F)cccc1Cl ZINC001674495248 1197787630 /nfs/dbraw/zinc/78/76/30/1197787630.db2.gz DPZWZVVPJHQQHT-ZDUSSCGKSA-N 0 1 322.811 3.039 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C)nc1C1CC1 ZINC001674709833 1197841618 /nfs/dbraw/zinc/84/16/18/1197841618.db2.gz NDOVXTVYBYCHTO-CHWSQXEVSA-N 0 1 321.852 3.116 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2cc[nH]c21 ZINC001674728886 1197851284 /nfs/dbraw/zinc/85/12/84/1197851284.db2.gz KHGAAYVDCMHRJC-NEPJUHHUSA-N 0 1 305.809 3.017 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC001674986222 1197949523 /nfs/dbraw/zinc/94/95/23/1197949523.db2.gz STAZYLQLEOADGZ-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(Br)CNC[C@@H](CCCC)NC(=O)/C(C)=C\C ZINC001675219303 1198010953 /nfs/dbraw/zinc/01/09/53/1198010953.db2.gz XHKIWPFLRLMNDA-TUOYRWMMSA-N 0 1 317.271 3.126 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(CCC)CCC1 ZINC001675263139 1198026726 /nfs/dbraw/zinc/02/67/26/1198026726.db2.gz MMRIHHHGAJEODM-KRWDZBQOSA-N 0 1 304.478 3.341 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CCCc2ccc(Cl)cc2)C1 ZINC001675322482 1198042191 /nfs/dbraw/zinc/04/21/91/1198042191.db2.gz JHYWLRBUDFWXBN-CQSZACIVSA-N 0 1 320.864 3.285 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001675332640 1198045880 /nfs/dbraw/zinc/04/58/80/1198045880.db2.gz SQJBCAOYPDUZQM-LSDHHAIUSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C2CN(Cc3ccsc3)C2)C1 ZINC001675343451 1198047967 /nfs/dbraw/zinc/04/79/67/1198047967.db2.gz PICSEGRJOLOXFC-ZDUSSCGKSA-N 0 1 304.459 3.041 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)CC[C@H](C)c2ccccc2)C1 ZINC001675349672 1198051044 /nfs/dbraw/zinc/05/10/44/1198051044.db2.gz XTQXVWSXBDSDKX-IRXDYDNUSA-N 0 1 312.457 3.030 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@]2(C)CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001675692604 1198117335 /nfs/dbraw/zinc/11/73/35/1198117335.db2.gz IFTMQHQSTVKUFJ-GMPQZDMWSA-N 0 1 322.880 3.103 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](CNCc2csc(C)n2)C1 ZINC001675798126 1198143442 /nfs/dbraw/zinc/14/34/42/1198143442.db2.gz UWMHDKHDJDEHQB-HDJSIYSDSA-N 0 1 321.490 3.038 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C[C@H]1C=CCCC1 ZINC001676227811 1198255207 /nfs/dbraw/zinc/25/52/07/1198255207.db2.gz GUIFJPSHFNRWSX-HOCLYGCPSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1C[C@]1(C)c1ccccc1 ZINC001676391314 1198308230 /nfs/dbraw/zinc/30/82/30/1198308230.db2.gz HVULBSSDOZKXJS-QILLFSRXSA-N 0 1 320.864 3.153 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001676407344 1198314270 /nfs/dbraw/zinc/31/42/70/1198314270.db2.gz RIBFLCJHKVRNSH-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1cccc(Cl)n1 ZINC001676418944 1198318330 /nfs/dbraw/zinc/31/83/30/1198318330.db2.gz XDIUPTPWPKPQQX-CXAGYDPISA-N 0 1 323.868 3.274 20 30 DGEDMN CCCC(=O)N1C[C@H](NCC#Cc2ccccc2Cl)C[C@@H]1C ZINC001676703668 1198366899 /nfs/dbraw/zinc/36/68/99/1198366899.db2.gz ZLJYVPRFGBXQBY-GOEBONIOSA-N 0 1 318.848 3.071 20 30 DGEDMN CCCC(=O)N1C[C@@H](NCC#Cc2ccccc2Cl)C[C@@H]1C ZINC001676703669 1198367424 /nfs/dbraw/zinc/36/74/24/1198367424.db2.gz ZLJYVPRFGBXQBY-HOCLYGCPSA-N 0 1 318.848 3.071 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CCCc2ccsc2)[C@H]1C ZINC001676873248 1198416981 /nfs/dbraw/zinc/41/69/81/1198416981.db2.gz OSKZBTPXWMZCRU-WBVHZDCISA-N 0 1 318.486 3.063 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCC[N@@H+](CC=C)[C@H]2C)CCCCC1 ZINC001676898843 1198428386 /nfs/dbraw/zinc/42/83/86/1198428386.db2.gz SJXDROPIRWPRLF-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CCCN(CC=C)[C@H]2C)CCCCC1 ZINC001676898843 1198428388 /nfs/dbraw/zinc/42/83/88/1198428388.db2.gz SJXDROPIRWPRLF-IRXDYDNUSA-N 0 1 302.462 3.115 20 30 DGEDMN CC#CCN(C)CCN(C(=O)Cc1cc(C)cc(C)c1)C(C)C ZINC001677208334 1198487469 /nfs/dbraw/zinc/48/74/69/1198487469.db2.gz AWZVIVGPQNEDNX-UHFFFAOYSA-N 0 1 314.473 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCc1nccs1)C(C)(C)C ZINC001677253677 1198502459 /nfs/dbraw/zinc/50/24/59/1198502459.db2.gz ZGEUVTDRRFKICM-CYBMUJFWSA-N 0 1 323.506 3.366 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001677272754 1198505937 /nfs/dbraw/zinc/50/59/37/1198505937.db2.gz YJLSDKQRPJFFGN-ZDUSSCGKSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN(Cc1ccccc1F)C2 ZINC001678338036 1198671351 /nfs/dbraw/zinc/67/13/51/1198671351.db2.gz CTQODLNTCWULDG-UHFFFAOYSA-N 0 1 316.420 3.072 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)NC[C@H](c1ccsc1)N(C)C ZINC001681612797 1199045116 /nfs/dbraw/zinc/04/51/16/1199045116.db2.gz OUBCTMVAZNAUEI-JKSUJKDBSA-N 0 1 321.490 3.491 20 30 DGEDMN C=CC(C)(C)CNC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC001685194019 1199452427 /nfs/dbraw/zinc/45/24/27/1199452427.db2.gz QYUVHUOMUMJEEU-HNNXBMFYSA-N 0 1 323.868 3.454 20 30 DGEDMN C=CCCC1(CNC(=O)N2CCN(CC)C[C@@H]2C)CCCC1 ZINC001687039769 1199614155 /nfs/dbraw/zinc/61/41/55/1199614155.db2.gz TWCCOZTVJVVQMO-INIZCTEOSA-N 0 1 307.482 3.249 20 30 DGEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)N1CCN(CC(C)C)CC1 ZINC001687035718 1199614230 /nfs/dbraw/zinc/61/42/30/1199614230.db2.gz AEUVZJHODWTVRY-IRXDYDNUSA-N 0 1 307.482 3.105 20 30 DGEDMN N#CCOc1cccc(CNCc2cccc3c2OCCCO3)c1 ZINC000113347411 1199667470 /nfs/dbraw/zinc/66/74/70/1199667470.db2.gz GWSYOFIRKWRFDU-UHFFFAOYSA-N 0 1 324.380 3.040 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1cccc(CC)c1 ZINC001688880672 1199940400 /nfs/dbraw/zinc/94/04/00/1199940400.db2.gz BPGSFDMJDJLYFH-GOSISDBHSA-N 0 1 314.473 3.494 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1Cc1cccc(C)c1 ZINC001688881017 1199940558 /nfs/dbraw/zinc/94/05/58/1199940558.db2.gz IMIICKFOZPFDND-QGZVFWFLSA-N 0 1 300.446 3.240 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2Cc2ccccc2C)C1 ZINC001688889145 1199944349 /nfs/dbraw/zinc/94/43/49/1199944349.db2.gz UYQQCPSVMFVTHS-SFHVURJKSA-N 0 1 312.457 3.384 20 30 DGEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1sccc1CC ZINC001688896945 1199949462 /nfs/dbraw/zinc/94/94/62/1199949462.db2.gz NCIAUVPQIXDJOH-OAHLLOKOSA-N 0 1 306.475 3.423 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)CCN(C)Cc1ccc(F)cc1 ZINC001753861169 1200009765 /nfs/dbraw/zinc/00/97/65/1200009765.db2.gz WJAMRJBFVFHQPP-OAHLLOKOSA-N 0 1 306.425 3.318 20 30 DGEDMN CCCC1(C(=O)N(C)CCN(C)Cc2ccc(C#N)cc2)CC1 ZINC001753861336 1200010022 /nfs/dbraw/zinc/01/00/22/1200010022.db2.gz BVEHHVSBPQIRQT-UHFFFAOYSA-N 0 1 313.445 3.029 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1ccccc1F ZINC001689078748 1200019902 /nfs/dbraw/zinc/01/99/02/1200019902.db2.gz BCVWCFCWVRNWAW-OCCSQVGLSA-N 0 1 324.827 3.409 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC(F)(F)F)CC[C@H]21 ZINC001753872073 1200023287 /nfs/dbraw/zinc/02/32/87/1200023287.db2.gz NHPYRYDCZVDNCU-NWDGAFQWSA-N 0 1 324.774 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CCC(F)(F)F)CC[C@@H]21 ZINC001753872075 1200024752 /nfs/dbraw/zinc/02/47/52/1200024752.db2.gz NHPYRYDCZVDNCU-RYUDHWBXSA-N 0 1 324.774 3.004 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCC=C(Cl)Cl ZINC001754065602 1200093359 /nfs/dbraw/zinc/09/33/59/1200093359.db2.gz KOLMSDTZCDWPGH-CQSZACIVSA-N 0 1 307.265 3.346 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(CC)CCNCc1oc(CC)nc1C ZINC001754061692 1200088389 /nfs/dbraw/zinc/08/83/89/1200088389.db2.gz UJMRSECKLKRJJJ-UHFFFAOYSA-N 0 1 321.465 3.086 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](CCC)C(C)C ZINC001689352306 1200104793 /nfs/dbraw/zinc/10/47/93/1200104793.db2.gz CLKXSHHEXNKTLL-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCCCC(=O)N1CCCC[C@H]1CN(C)C[C@@H](F)CC ZINC001689350888 1200105592 /nfs/dbraw/zinc/10/55/92/1200105592.db2.gz YCTKXSFAZHKCML-IRXDYDNUSA-N 0 1 310.457 3.241 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1sccc1C1CC1 ZINC001754204837 1200130874 /nfs/dbraw/zinc/13/08/74/1200130874.db2.gz ZMAZRNOKSRADIB-IHWYPQMZSA-N 0 1 310.850 3.254 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC001754261338 1200159796 /nfs/dbraw/zinc/15/97/96/1200159796.db2.gz XQTWGFYOFCJONC-XHDPSFHLSA-N 0 1 308.372 3.013 20 30 DGEDMN CCCC[C@](C)(F)C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001754273478 1200167666 /nfs/dbraw/zinc/16/76/66/1200167666.db2.gz SYRXJLAMDJICIX-KSSFIOAISA-N 0 1 319.424 3.071 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)CCCc1cc(C)sc1C ZINC001754336419 1200229546 /nfs/dbraw/zinc/22/95/46/1200229546.db2.gz LTDAMYQFTKPRIE-AWEZNQCLSA-N 0 1 320.502 3.147 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCCN[C@@H](C)c1ncc(C)o1 ZINC001754399724 1200253585 /nfs/dbraw/zinc/25/35/85/1200253585.db2.gz IWBQHOURBALVFQ-AWEZNQCLSA-N 0 1 307.438 3.084 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](CNCc1cc(F)ccc1F)C1CC1 ZINC001754447402 1200267513 /nfs/dbraw/zinc/26/75/13/1200267513.db2.gz DVZANJOEHIERFZ-MRXNPFEDSA-N 0 1 322.399 3.161 20 30 DGEDMN C=C/C(C)=C\CC(=O)N[C@@H](CNCc1ccccc1C#N)C1CC1 ZINC001754448885 1200267562 /nfs/dbraw/zinc/26/75/62/1200267562.db2.gz HVWYSRXOMXAKLU-IVWUANMUSA-N 0 1 323.440 3.065 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC001754484874 1200294400 /nfs/dbraw/zinc/29/44/00/1200294400.db2.gz KBLDXFIOZZGJQO-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN1Cc1ccccc1C ZINC001754490656 1200299980 /nfs/dbraw/zinc/29/99/80/1200299980.db2.gz TXECPRBMYGGNLG-QGZVFWFLSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2CCc2ccc(C)cc2)C1 ZINC001754494176 1200306142 /nfs/dbraw/zinc/30/61/42/1200306142.db2.gz MRSRFIZZLSKCCK-SFHVURJKSA-N 0 1 312.457 3.084 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001754495253 1200308859 /nfs/dbraw/zinc/30/88/59/1200308859.db2.gz HZSWAVNXXLVRGB-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCN2CC[C@H](C)F)CCCCC1 ZINC001754505581 1200323020 /nfs/dbraw/zinc/32/30/20/1200323020.db2.gz QCJALNTUZIRGLJ-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc(F)cc(F)c1 ZINC001689968405 1200347648 /nfs/dbraw/zinc/34/76/48/1200347648.db2.gz ROPDEBQRWVLLLS-UHFFFAOYSA-N 0 1 316.779 3.159 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(Cc1ccc(C#N)s1)C1CC1 ZINC001689975890 1200353104 /nfs/dbraw/zinc/35/31/04/1200353104.db2.gz PPBQTRKJNDHXHM-ZDUSSCGKSA-N 0 1 319.474 3.137 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C[C@H](CC)c2ccccc2)CC1 ZINC001754630999 1200406451 /nfs/dbraw/zinc/40/64/51/1200406451.db2.gz FYQXQTVQFLESDA-KRWDZBQOSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cnccc1C)C1CCCC1 ZINC001754646728 1200415131 /nfs/dbraw/zinc/41/51/31/1200415131.db2.gz TYFJDVNLYNMZHN-MRXNPFEDSA-N 0 1 321.852 3.021 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCC=CCCC1)C1CC1 ZINC001690156204 1200425671 /nfs/dbraw/zinc/42/56/71/1200425671.db2.gz KYZSPLPGDMONLT-HZPDHXFCSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C2CCC2)C1 ZINC001754744023 1200483824 /nfs/dbraw/zinc/48/38/24/1200483824.db2.gz VGKMQDRDSNFJHL-DZGCQCFKSA-N 0 1 314.420 3.072 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C2CCCC2)C1 ZINC001754743930 1200483919 /nfs/dbraw/zinc/48/39/19/1200483919.db2.gz AQVQYVNEJRWTNB-ZFWWWQNUSA-N 0 1 314.420 3.072 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001690338512 1200501627 /nfs/dbraw/zinc/50/16/27/1200501627.db2.gz ZZBWINZRWSPXNE-CALCHBBNSA-N 0 1 313.445 3.121 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](CCNCc2csc(C)n2)C1 ZINC001754928991 1200539754 /nfs/dbraw/zinc/53/97/54/1200539754.db2.gz CEVUNFWMWQYAFW-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@H](C)[C@H](C)CC ZINC001754946727 1200549342 /nfs/dbraw/zinc/54/93/42/1200549342.db2.gz USNZEOMFXGUVGH-CVEARBPZSA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C(CC)CC)cccc2C1 ZINC001754973435 1200562892 /nfs/dbraw/zinc/56/28/92/1200562892.db2.gz AXOYKKGKORCUAK-UHFFFAOYSA-N 0 1 300.446 3.283 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C2C[C@H](C)C[C@@H](C)C2)CCC1 ZINC001754993287 1200579674 /nfs/dbraw/zinc/57/96/74/1200579674.db2.gz JPSKCQPXYPRRTH-CHWSQXEVSA-N 0 1 312.885 3.440 20 30 DGEDMN C=C/C(C)=C\CC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001755042760 1200611800 /nfs/dbraw/zinc/61/18/00/1200611800.db2.gz VBFUZSXMYCXYJW-RGEXLXHISA-N 0 1 324.468 3.341 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@H](NC(=O)C(C)(CC)CC)CO2 ZINC001755066176 1200622164 /nfs/dbraw/zinc/62/21/64/1200622164.db2.gz WGFVZWOZXUVBED-CVEARBPZSA-N 0 1 322.493 3.127 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCC#Cc1ccccc1Cl ZINC001690582226 1200635268 /nfs/dbraw/zinc/63/52/68/1200635268.db2.gz ILRAATSNSKKRIA-HNNXBMFYSA-N 0 1 318.848 3.142 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@@H]3CC[C@H](C)C3)cc2C1 ZINC001755102424 1200637473 /nfs/dbraw/zinc/63/74/73/1200637473.db2.gz SXZHCDBGMRLXDE-DLBZAZTESA-N 0 1 324.468 3.468 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2c(o1)c(F)ccc2C ZINC001690606673 1200645515 /nfs/dbraw/zinc/64/55/15/1200645515.db2.gz SIGWXPWCGRLUHZ-LLVKDONJSA-N 0 1 324.783 3.341 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)NCc1cccc(C(C)C)n1 ZINC001690618889 1200651718 /nfs/dbraw/zinc/65/17/18/1200651718.db2.gz JSLFEVUZAABGPE-BEFAXECRSA-N 0 1 317.477 3.402 20 30 DGEDMN C=C(Br)CN[C@@H](C)CNC(=O)[C@](C)(CC)CCC ZINC001690635367 1200661789 /nfs/dbraw/zinc/66/17/89/1200661789.db2.gz MEKZEQPBIZWPLH-GXTWGEPZSA-N 0 1 319.287 3.206 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC001755158912 1200665984 /nfs/dbraw/zinc/66/59/84/1200665984.db2.gz AWHZTFUZHDTVQS-WBVHZDCISA-N 0 1 315.461 3.153 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)C1(c2ccccc2)CCC1 ZINC001755194070 1200691759 /nfs/dbraw/zinc/69/17/59/1200691759.db2.gz MFXSGOWIFCJAQB-GOSISDBHSA-N 0 1 312.457 3.217 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)C[C@H](C)CC(C)(C)C ZINC001755198606 1200696239 /nfs/dbraw/zinc/69/62/39/1200696239.db2.gz CZBYBXQPMWLTNT-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@H](C)CC(C)(C)C ZINC001755198606 1200696240 /nfs/dbraw/zinc/69/62/40/1200696240.db2.gz CZBYBXQPMWLTNT-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)CCCF)C2)C1 ZINC001755205021 1200702695 /nfs/dbraw/zinc/70/26/95/1200702695.db2.gz OWSWMVHWGKVBJL-CABCVRRESA-N 0 1 316.848 3.049 20 30 DGEDMN C[C@H](NCc1ccccc1C#N)[C@H](C)NC(=O)CC1CCCC1 ZINC001755223068 1200713019 /nfs/dbraw/zinc/71/30/19/1200713019.db2.gz ISAUFYQRJQZXOZ-GJZGRUSLSA-N 0 1 313.445 3.121 20 30 DGEDMN C[C@H](NCc1ccc(C#N)cc1F)[C@H](C)NC(=O)CC(C)(C)C ZINC001755225339 1200715574 /nfs/dbraw/zinc/71/55/74/1200715574.db2.gz AHYAWINJYODLNB-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1Cl ZINC001755228478 1200717270 /nfs/dbraw/zinc/71/72/70/1200717270.db2.gz PDUMZICCRYOMDN-RYUDHWBXSA-N 0 1 312.816 3.428 20 30 DGEDMN CC/C=C(/C)C(=O)N[C@H](C)[C@H](C)NCc1cc(F)ccc1C#N ZINC001755227799 1200717305 /nfs/dbraw/zinc/71/73/05/1200717305.db2.gz KSAOADRHXYCQAR-PJWHXWNJSA-N 0 1 317.408 3.036 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(F)ccc1Cl ZINC001755228476 1200717689 /nfs/dbraw/zinc/71/76/89/1200717689.db2.gz PDUMZICCRYOMDN-NEPJUHHUSA-N 0 1 312.816 3.428 20 30 DGEDMN C#CCCCCC(=O)N[C@H](C)[C@@H](C)NCc1cccc(F)c1F ZINC001755232291 1200720920 /nfs/dbraw/zinc/72/09/20/1200720920.db2.gz XSGRUUOLKLUNRN-ZIAGYGMSSA-N 0 1 322.399 3.141 20 30 DGEDMN CCC(=O)N1CCC[C@@H](N(C)CC#Cc2ccccc2Cl)C1 ZINC001690800591 1200721985 /nfs/dbraw/zinc/72/19/85/1200721985.db2.gz YOMPDFPSNRXWMF-MRXNPFEDSA-N 0 1 318.848 3.024 20 30 DGEDMN C=C(Br)CN[C@H](C)[C@H](C)NC(=O)CC(C)=C(C)C ZINC001755248029 1200733235 /nfs/dbraw/zinc/73/32/35/1200733235.db2.gz GAUZPXQOEZQXBT-OLZOCXBDSA-N 0 1 317.271 3.124 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2ccncc2Cl)C1 ZINC001755252437 1200737760 /nfs/dbraw/zinc/73/77/60/1200737760.db2.gz HWVRMJQPPATEPB-OLZOCXBDSA-N 0 1 321.852 3.074 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cccc2scnc21 ZINC001755252269 1200738249 /nfs/dbraw/zinc/73/82/49/1200738249.db2.gz IWICPBHKGREMCL-MNOVXSKESA-N 0 1 323.849 3.145 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C2CC2)nc1C ZINC001755255398 1200739840 /nfs/dbraw/zinc/73/98/40/1200739840.db2.gz DGXGKUANPMPMPB-RYUDHWBXSA-N 0 1 321.852 3.116 20 30 DGEDMN C#CCCCCC(=O)NC[C@@H](C)N(C)Cc1cc(F)cc(F)c1 ZINC001755296900 1200776947 /nfs/dbraw/zinc/77/69/47/1200776947.db2.gz RRVDDYIIWFGAJR-CQSZACIVSA-N 0 1 322.399 3.095 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1cc(F)ccc1CC)c1ccccc1 ZINC001691788047 1200807914 /nfs/dbraw/zinc/80/79/14/1200807914.db2.gz PTBCNFBSKLEPNN-IBGZPJMESA-N 0 1 324.399 3.082 20 30 DGEDMN C=C1CCC(C(=O)NC[C@H](NCC#CC)c2ccccc2)CC1 ZINC001691795939 1200810017 /nfs/dbraw/zinc/81/00/17/1200810017.db2.gz ATDIXFAZUFMMAK-IBGZPJMESA-N 0 1 310.441 3.203 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C[C@@H](C)CC ZINC001691808116 1200817221 /nfs/dbraw/zinc/81/72/21/1200817221.db2.gz PESCVNDFTIGVMC-GUTXKFCHSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC(C2CC2)C2CC2)C1 ZINC001691867493 1200846399 /nfs/dbraw/zinc/84/63/99/1200846399.db2.gz VEFVVKPEPOTSDB-QGZVFWFLSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cccc3cnccc32)C1 ZINC001691867476 1200847008 /nfs/dbraw/zinc/84/70/08/1200847008.db2.gz UVACKZXOIADHTO-KRWDZBQOSA-N 0 1 323.440 3.347 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@H]1CCCCCN1C/C=C/Cl ZINC001755364123 1200849605 /nfs/dbraw/zinc/84/96/05/1200849605.db2.gz QVIPOJAKNPLCEG-XKOZXHHJSA-N 0 1 310.869 3.149 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CN(C/C=C\c2ccccc2)CC1(C)C ZINC001755407988 1200882241 /nfs/dbraw/zinc/88/22/41/1200882241.db2.gz UVKVFLODYRPVBO-XGCMIMOYSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)[C@@H]1CC ZINC001691980669 1200895689 /nfs/dbraw/zinc/89/56/89/1200895689.db2.gz YGGRKBCMTDQCGQ-JKSUJKDBSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2CCC=CCC2)C1 ZINC001691995050 1200901921 /nfs/dbraw/zinc/90/19/21/1200901921.db2.gz RBINFDCACRXZFE-OAHLLOKOSA-N 0 1 310.869 3.266 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)[C@H](C)C(C)(C)C)C2)C1 ZINC001755457928 1200904591 /nfs/dbraw/zinc/90/45/91/1200904591.db2.gz XJNITWZBQAVWEU-SJORKVTESA-N 0 1 318.505 3.252 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cnoc2C)[C@@H]1CC ZINC001692006894 1200908208 /nfs/dbraw/zinc/90/82/08/1200908208.db2.gz AZJGJDJUKBGMOY-JZXOWHBKSA-N 0 1 319.449 3.054 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1cccc(C)c1 ZINC001755555372 1200951419 /nfs/dbraw/zinc/95/14/19/1200951419.db2.gz OHMOOTMYWJSXJA-MRXNPFEDSA-N 0 1 306.837 3.188 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001755562374 1200953820 /nfs/dbraw/zinc/95/38/20/1200953820.db2.gz MTALBDGNOAPJSI-CZAWJFPGSA-N 0 1 319.276 3.488 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2ocnc2C)[C@@H]1CC ZINC001692204679 1200968887 /nfs/dbraw/zinc/96/88/87/1200968887.db2.gz ZNTQDCZJBJRHTO-DAYGRLMNSA-N 0 1 319.449 3.054 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H](C)NCc1ccc(F)cc1Cl ZINC001755584630 1200972384 /nfs/dbraw/zinc/97/23/84/1200972384.db2.gz AXAWNUGOLQXXLU-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cscc1Cl ZINC001755592741 1200976551 /nfs/dbraw/zinc/97/65/51/1200976551.db2.gz KWWHLKBUHVVWPE-SECBINFHSA-N 0 1 307.246 3.204 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)C1=CCCCCC1 ZINC001692249503 1200985448 /nfs/dbraw/zinc/98/54/48/1200985448.db2.gz BREOFGPOSFIFSK-SFHVURJKSA-N 0 1 302.462 3.213 20 30 DGEDMN C#CCCCC(=O)N[C@H](CNC/C(Cl)=C/Cl)C(C)(C)C ZINC001755823714 1201088259 /nfs/dbraw/zinc/08/82/59/1201088259.db2.gz LJUWJWPJQVXFTG-KIWPFMIBSA-N 0 1 319.276 3.229 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001692337810 1201025864 /nfs/dbraw/zinc/02/58/64/1201025864.db2.gz KDLFUMWINAJEDZ-MRXNPFEDSA-N 0 1 300.446 3.113 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2cccc(F)c2)[C@H]1C ZINC001755714277 1201035054 /nfs/dbraw/zinc/03/50/54/1201035054.db2.gz KHUIVVLUGNYWEB-WMLDXEAASA-N 0 1 304.409 3.261 20 30 DGEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)CCCCCC ZINC001692373114 1201039537 /nfs/dbraw/zinc/03/95/37/1201039537.db2.gz QMHFRBWJFNKZBO-BZSNNMDCSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001692395057 1201050731 /nfs/dbraw/zinc/05/07/31/1201050731.db2.gz AZBBBJDDSBFBEU-QAPCUYQASA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001698568277 1201237818 /nfs/dbraw/zinc/23/78/18/1201237818.db2.gz YXMQGVMIIDZUBR-QGZVFWFLSA-N 0 1 304.409 3.215 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC)Cc2cccc(C)c2)C1 ZINC001698571143 1201241330 /nfs/dbraw/zinc/24/13/30/1201241330.db2.gz LAQDQFLMAFPSRK-OALUTQOASA-N 0 1 314.473 3.282 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CC2CCCCCC2)C1 ZINC001698589159 1201262552 /nfs/dbraw/zinc/26/25/52/1201262552.db2.gz ZDTHOZITACSOQY-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC001698792598 1201421215 /nfs/dbraw/zinc/42/12/15/1201421215.db2.gz SSJZZZJBTYNCNJ-IAGOWNOFSA-N 0 1 324.509 3.088 20 30 DGEDMN C=CCCC(=O)NCC1(NC/C(Cl)=C/Cl)CCCC1 ZINC001698794577 1201423048 /nfs/dbraw/zinc/42/30/48/1201423048.db2.gz DYMZYILBPWMCRY-XFXZXTDPSA-N 0 1 305.249 3.290 20 30 DGEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNCc1cccc(Cl)c1F ZINC001698820269 1201468558 /nfs/dbraw/zinc/46/85/58/1201468558.db2.gz GPTGHADWXPPTFF-CYBMUJFWSA-N 0 1 324.827 3.075 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@@H](C)CNCc1ccncc1Cl ZINC001698837107 1201489403 /nfs/dbraw/zinc/48/94/03/1201489403.db2.gz PERATMBNKDQAOW-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2cnccc2c1 ZINC001698860959 1201516229 /nfs/dbraw/zinc/51/62/29/1201516229.db2.gz JVUNELNQKLBNRD-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)C1(C(F)F)CCC1 ZINC001698871273 1201531207 /nfs/dbraw/zinc/53/12/07/1201531207.db2.gz LXEHAKUBUMJPDX-GFCCVEGCSA-N 0 1 322.827 3.295 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc[nH]1)C1CCCCC1 ZINC001698881050 1201544584 /nfs/dbraw/zinc/54/45/84/1201544584.db2.gz XMIGFWBJAXSEPI-OAHLLOKOSA-N 0 1 309.841 3.036 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1cccs1 ZINC001698942448 1201592981 /nfs/dbraw/zinc/59/29/81/1201592981.db2.gz ADBZMDJVEVNGEV-WCQYABFASA-N 0 1 312.866 3.331 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCN(C)C/C=C\c2ccccc2)C1 ZINC001698996461 1201664003 /nfs/dbraw/zinc/66/40/03/1201664003.db2.gz DTRWNACTJIIPKQ-FLIBITNWSA-N 0 1 312.457 3.446 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001699315158 1201786533 /nfs/dbraw/zinc/78/65/33/1201786533.db2.gz MBXXGESODJFGGU-ANBQXBGZSA-N 0 1 318.848 3.195 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001699351738 1201800165 /nfs/dbraw/zinc/80/01/65/1201800165.db2.gz ZFGNLRQLIHRHTA-VNKDHWASSA-N 0 1 318.848 3.413 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)C1CCCCC1 ZINC001699380806 1201826413 /nfs/dbraw/zinc/82/64/13/1201826413.db2.gz CGAKBGPVCSZAAD-TZMCWYRMSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C=C(CC)CC)CC1 ZINC001752421397 1201834660 /nfs/dbraw/zinc/83/46/60/1201834660.db2.gz RAWBVGWLTXJZBP-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2nccc(C)c2c1 ZINC001699405158 1201842446 /nfs/dbraw/zinc/84/24/46/1201842446.db2.gz ZGRNBVGCBCPIGF-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1cccc2cc[nH]c21)C1CC1 ZINC001752484489 1201851405 /nfs/dbraw/zinc/85/14/05/1201851405.db2.gz LRESCHJZSIZCOP-OAHLLOKOSA-N 0 1 317.820 3.018 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)c1ccccc1)C1CC1 ZINC001752490559 1201853337 /nfs/dbraw/zinc/85/33/37/1201853337.db2.gz KPIGKCVFXCAQDG-CJNGLKHVSA-N 0 1 306.837 3.027 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)c1ccccc1OC ZINC001699427707 1201860521 /nfs/dbraw/zinc/86/05/21/1201860521.db2.gz KTVAGZLNMBGLBS-JSGCOSHPSA-N 0 1 324.852 3.036 20 30 DGEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ncc(C)s1 ZINC001752689406 1201894322 /nfs/dbraw/zinc/89/43/22/1201894322.db2.gz JCVUIQKXJYDHPC-GOOCMWNKSA-N 0 1 319.474 3.029 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)CCC(F)(F)F ZINC001752826383 1201955770 /nfs/dbraw/zinc/95/57/70/1201955770.db2.gz ALYJPTKKMRELDS-LLVKDONJSA-N 0 1 312.763 3.052 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)CCCNCc1cc(F)ccc1C#N ZINC001699502685 1201928899 /nfs/dbraw/zinc/92/88/99/1201928899.db2.gz UNHKSKDRJPUKGA-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccc2[nH]ccc2c1)C1CC1 ZINC001699592274 1201963088 /nfs/dbraw/zinc/96/30/88/1201963088.db2.gz GNBPHGOGRVFRNO-INIZCTEOSA-N 0 1 317.820 3.018 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1cccc(C)n1 ZINC001699612898 1201976381 /nfs/dbraw/zinc/97/63/81/1201976381.db2.gz MTJQBMVVBYEAPA-MRXNPFEDSA-N 0 1 303.450 3.165 20 30 DGEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2CC/C=C/CCC)cc1 ZINC001699625446 1201987978 /nfs/dbraw/zinc/98/79/78/1201987978.db2.gz DEKKGEUGFGDPCR-OVKVCFKUSA-N 0 1 310.441 3.218 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN1CCc1cccs1 ZINC001699630203 1201993000 /nfs/dbraw/zinc/99/30/00/1201993000.db2.gz KMFOWXQRKCJAJB-GJZGRUSLSA-N 0 1 306.475 3.083 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001752915079 1202011738 /nfs/dbraw/zinc/01/17/38/1202011738.db2.gz ULMCBPJJKHLECA-ZDUSSCGKSA-N 0 1 308.853 3.445 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC001699658734 1202018406 /nfs/dbraw/zinc/01/84/06/1202018406.db2.gz LVGOGRQKEBWOHB-KBPBESRZSA-N 0 1 310.869 3.216 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)CC1 ZINC001699658736 1202019600 /nfs/dbraw/zinc/01/96/00/1202019600.db2.gz LVGOGRQKEBWOHB-UONOGXRCSA-N 0 1 310.869 3.216 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCC[C@@H](NCc2cc(C)on2)C1 ZINC001752978561 1202033581 /nfs/dbraw/zinc/03/35/81/1202033581.db2.gz PENUBIFJXVSAEC-CABCVRRESA-N 0 1 319.449 3.102 20 30 DGEDMN C#CCCCC(=O)N(C)C1CN(CC[C@@H]2CCC[C@@H](C)C2)C1 ZINC001699711945 1202046134 /nfs/dbraw/zinc/04/61/34/1202046134.db2.gz CFSGFFGUXJKANP-SJORKVTESA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](N(C)Cc2cnc(C)s2)C1 ZINC001752995977 1202046682 /nfs/dbraw/zinc/04/66/82/1202046682.db2.gz GGAMRPSFGHBFAG-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CCCCCCCN1CC(N(C)C(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001699715534 1202048242 /nfs/dbraw/zinc/04/82/42/1202048242.db2.gz BSLCHDNIMKGXPB-KRWDZBQOSA-N 0 1 324.509 3.305 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCCC[C@@H]2C2CC2)C1 ZINC001699715920 1202048788 /nfs/dbraw/zinc/04/87/88/1202048788.db2.gz LMBXHDSEHLCWHP-QZTJIDSGSA-N 0 1 304.478 3.312 20 30 DGEDMN C=CCCC(=O)N1CC[C@H](NCc2ncoc2C(C)C)C[C@H]1C ZINC001699729690 1202057701 /nfs/dbraw/zinc/05/77/01/1202057701.db2.gz JVGSOPAXENSIOZ-CABCVRRESA-N 0 1 319.449 3.233 20 30 DGEDMN C#CCN(C(=O)CCCC1CC1)C1CCN(C[C@H](F)CC)CC1 ZINC001699784627 1202077497 /nfs/dbraw/zinc/07/74/97/1202077497.db2.gz NWEOGZVQVJQSGU-QGZVFWFLSA-N 0 1 322.468 3.241 20 30 DGEDMN C#CCN(C(=O)CC(C)(C)C=C)C1CCN(C[C@H](F)CC)CC1 ZINC001699781974 1202077757 /nfs/dbraw/zinc/07/77/57/1202077757.db2.gz PNIUNFZBSRRIKI-MRXNPFEDSA-N 0 1 322.468 3.263 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001753085797 1202115601 /nfs/dbraw/zinc/11/56/01/1202115601.db2.gz QNXSZOCHDBMHQN-RBUKOAKNSA-N 0 1 312.457 3.032 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@]1(C)CCCN(Cc2cncs2)C1 ZINC001699875568 1202131764 /nfs/dbraw/zinc/13/17/64/1202131764.db2.gz SUXVGQRUAAITTF-KRWDZBQOSA-N 0 1 321.490 3.074 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](CC)CCCCC)C1 ZINC001699879051 1202135073 /nfs/dbraw/zinc/13/50/73/1202135073.db2.gz HHONNKXANOXYHV-HKUYNNGSSA-N 0 1 306.494 3.444 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](CC)CC(C)C)C1 ZINC001699888752 1202136963 /nfs/dbraw/zinc/13/69/63/1202136963.db2.gz UKGVSHXYMSYSBQ-GJZGRUSLSA-N 0 1 316.436 3.318 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC2(C)CCCC2)C1 ZINC001699886788 1202137032 /nfs/dbraw/zinc/13/70/32/1202137032.db2.gz HTPSUKWVDZHHBG-CQSZACIVSA-N 0 1 314.420 3.216 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1(CC=C)CCC1)c1ccccc1CC ZINC001699929937 1202138809 /nfs/dbraw/zinc/13/88/09/1202138809.db2.gz CLUGVYSZTXKEPA-IBGZPJMESA-N 0 1 324.468 3.376 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@](C)(C=C)CC)c1ccccc1CC ZINC001699929864 1202138854 /nfs/dbraw/zinc/13/88/54/1202138854.db2.gz BDXXKLNQKMWGOI-ICSRJNTNSA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@](C)(C=C)CC)c1ccccc1CC ZINC001699929863 1202138865 /nfs/dbraw/zinc/13/88/65/1202138865.db2.gz BDXXKLNQKMWGOI-AZUAARDMSA-N 0 1 312.457 3.231 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)Cc1ccc(C2CCC2)cc1 ZINC001753108671 1202139586 /nfs/dbraw/zinc/13/95/86/1202139586.db2.gz PRZOBZVRLUQXMN-HXUWFJFHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1C/C=C/c1ccccc1 ZINC001753109847 1202140256 /nfs/dbraw/zinc/14/02/56/1202140256.db2.gz UYZBKYFGABSFNU-HCWHUNCVSA-N 0 1 312.457 3.493 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H](CC)c1ccccc1 ZINC001753115511 1202144826 /nfs/dbraw/zinc/14/48/26/1202144826.db2.gz DQRCGAYPZHYPJJ-IEBWSBKVSA-N 0 1 312.457 3.174 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H]1CCCN1C/C=C\Cl)c1ccccc1 ZINC001753116359 1202145538 /nfs/dbraw/zinc/14/55/38/1202145538.db2.gz UVFXZVYDMPGOER-YZBXERDGSA-N 0 1 318.848 3.289 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC001753134144 1202154367 /nfs/dbraw/zinc/15/43/67/1202154367.db2.gz VTNWVELVHZXKSO-HNNXBMFYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1cncs1 ZINC001700014601 1202165082 /nfs/dbraw/zinc/16/50/82/1202165082.db2.gz XWNYIBLOLXVAIP-HZPDHXFCSA-N 0 1 319.474 3.065 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CCNC/C(Cl)=C/Cl)C1 ZINC001700064363 1202171080 /nfs/dbraw/zinc/17/10/80/1202171080.db2.gz BXOPMMVWRYVMLV-GFAPJHNFSA-N 0 1 319.276 3.490 20 30 DGEDMN C#CCN(CCNC(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1)C1CC1 ZINC001753161040 1202176972 /nfs/dbraw/zinc/17/69/72/1202176972.db2.gz KMNFBKMSQGSYQI-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cn(CC)c2ccccc12 ZINC001753210348 1202184229 /nfs/dbraw/zinc/18/42/29/1202184229.db2.gz PEIYNLTVXOOVDT-UHFFFAOYSA-N 0 1 319.836 3.075 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC2(C(F)(F)F)CC2)CCC1 ZINC001700132260 1202187782 /nfs/dbraw/zinc/18/77/82/1202187782.db2.gz VTIBOPZXMNUMBB-UHFFFAOYSA-N 0 1 324.774 3.100 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@](C)(F)CCCC)CCC1 ZINC001700133418 1202188067 /nfs/dbraw/zinc/18/80/67/1202188067.db2.gz QYZYCZZHJBNVMS-CQSZACIVSA-N 0 1 304.837 3.286 20 30 DGEDMN CCCCCC(=O)N(C)CCCN(C)CC#Cc1ccccc1 ZINC001700184148 1202199908 /nfs/dbraw/zinc/19/99/08/1202199908.db2.gz VEFSUNMCZBSSAE-UHFFFAOYSA-N 0 1 314.473 3.399 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(C2CCC2)CCC1)c1ccccc1 ZINC001753299780 1202200328 /nfs/dbraw/zinc/20/03/28/1202200328.db2.gz HNFISCLOUHFXDO-LJQANCHMSA-N 0 1 324.468 3.427 20 30 DGEDMN C#CCCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1cccc(C)c1 ZINC001753304614 1202201513 /nfs/dbraw/zinc/20/15/13/1202201513.db2.gz JGQJAFYWAWUCQF-BGYRXZFFSA-N 0 1 324.468 3.364 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)c2ccco2)C1 ZINC001753320238 1202208729 /nfs/dbraw/zinc/20/87/29/1202208729.db2.gz WMXFCYKYJJUETJ-IRXDYDNUSA-N 0 1 316.445 3.109 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCC2(CN(CCC(C)(C)C)C2)O1 ZINC001700205966 1202211394 /nfs/dbraw/zinc/21/13/94/1202211394.db2.gz IKMJGDBJQLOPIM-MRXNPFEDSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3(C(F)F)CC3)cc2C1 ZINC001700253348 1202218047 /nfs/dbraw/zinc/21/80/47/1202218047.db2.gz AOXVKAJEUSEMLU-UHFFFAOYSA-N 0 1 320.383 3.240 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H](CC)CCC)C1 ZINC001753359581 1202227841 /nfs/dbraw/zinc/22/78/41/1202227841.db2.gz KIWBOXRQBNZOKM-CABCVRRESA-N 0 1 300.874 3.346 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)c2ccccc2)CC1 ZINC001753361818 1202228559 /nfs/dbraw/zinc/22/85/59/1202228559.db2.gz QEHPDAYSQLRVAK-UHFFFAOYSA-N 0 1 306.837 3.223 20 30 DGEDMN C#CCCCCC(=O)NC1CN(CC[C@@H]2CCC[C@@H](C)C2)C1 ZINC001753374986 1202234067 /nfs/dbraw/zinc/23/40/67/1202234067.db2.gz WECAYROFXQRYNB-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@@H](C)c2cccc(F)c2)C1 ZINC001753387501 1202237045 /nfs/dbraw/zinc/23/70/45/1202237045.db2.gz XSVKBWJHTNXIHC-CQSZACIVSA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1(CC)CCC1 ZINC001700329533 1202239312 /nfs/dbraw/zinc/23/93/12/1202239312.db2.gz GFRITOWXNPRWGV-LJQANCHMSA-N 0 1 324.468 3.306 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](C)Cc2ccccc2C)C1 ZINC001753393887 1202239532 /nfs/dbraw/zinc/23/95/32/1202239532.db2.gz HPIVAEKZYBDEQR-DLBZAZTESA-N 0 1 314.473 3.329 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2ccc(Cl)cc2)C1 ZINC001753392816 1202239610 /nfs/dbraw/zinc/23/96/10/1202239610.db2.gz WCEPCTVHKYRLSL-CYBMUJFWSA-N 0 1 306.837 3.038 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1CN(C/C=C\c2ccccc2)C1 ZINC001753408917 1202243449 /nfs/dbraw/zinc/24/34/49/1202243449.db2.gz DRNSDAYXYRWDJF-FNUUXAGOSA-N 0 1 312.457 3.350 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CCCCCC ZINC001700349307 1202243813 /nfs/dbraw/zinc/24/38/13/1202243813.db2.gz OLVBBSCWQPDXDT-UYAOXDASSA-N 0 1 312.457 3.352 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2ccccc2cc1F ZINC001700356238 1202247427 /nfs/dbraw/zinc/24/74/27/1202247427.db2.gz HGIQENMNMLFBAJ-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H](C)c1ccccc1 ZINC001700363230 1202251224 /nfs/dbraw/zinc/25/12/24/1202251224.db2.gz GGMRZJFYGHQQTH-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C(C)C)cc1 ZINC001700365265 1202252034 /nfs/dbraw/zinc/25/20/34/1202252034.db2.gz UNXWSJNRCDRGKA-IBGZPJMESA-N 0 1 312.457 3.370 20 30 DGEDMN Cc1cc(CN2CC[C@H](N(C)C(=O)C#CC(C)(C)C)C2)cs1 ZINC001753438306 1202254866 /nfs/dbraw/zinc/25/48/66/1202254866.db2.gz WPPLSEATAVKFRP-INIZCTEOSA-N 0 1 318.486 3.139 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H]1CCN(Cc2ncccc2C)C1 ZINC001753441986 1202255554 /nfs/dbraw/zinc/25/55/54/1202255554.db2.gz SZCJYFGDXXUHIA-IRXDYDNUSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cccc2c1CCCC2 ZINC001700401265 1202263029 /nfs/dbraw/zinc/26/30/29/1202263029.db2.gz NXEIOBUMOXRIBD-KBPBESRZSA-N 0 1 320.864 3.414 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001753460406 1202263372 /nfs/dbraw/zinc/26/33/72/1202263372.db2.gz XQPBPDMPAXEHRI-IRXDYDNUSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@@H]1CCC(F)(F)C1 ZINC001753475083 1202268778 /nfs/dbraw/zinc/26/87/78/1202268778.db2.gz JPHQOWGWWRCBSN-NWDGAFQWSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccsc1Cl ZINC001753488694 1202272633 /nfs/dbraw/zinc/27/26/33/1202272633.db2.gz RJEZVUBVFFRQNQ-SECBINFHSA-N 0 1 307.246 3.252 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1cccc(Cl)c1 ZINC001753490015 1202273314 /nfs/dbraw/zinc/27/33/14/1202273314.db2.gz OISKUSPXEGPFKI-CQSZACIVSA-N 0 1 315.244 3.119 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(CCC)cc1 ZINC001753709993 1202318955 /nfs/dbraw/zinc/31/89/55/1202318955.db2.gz JKCHGTSIMGOHSC-AWEZNQCLSA-N 0 1 308.853 3.442 20 30 DGEDMN CC[C@@H](C)CCC(=O)N(C)[C@H](C)CNCc1ccccc1C#N ZINC001753718827 1202320210 /nfs/dbraw/zinc/32/02/10/1202320210.db2.gz RMSIFPOEXARSDY-HZPDHXFCSA-N 0 1 315.461 3.321 20 30 DGEDMN CC[C@H](C)CCC(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001753718826 1202320477 /nfs/dbraw/zinc/32/04/77/1202320477.db2.gz RMSIFPOEXARSDY-HOTGVXAUSA-N 0 1 315.461 3.321 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)CCCCC(C)C)C2)C1 ZINC001700621507 1202324056 /nfs/dbraw/zinc/32/40/56/1202324056.db2.gz ZDDBFIIBTYVZMO-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)C#CC(C)(C)C ZINC001753818808 1202339626 /nfs/dbraw/zinc/33/96/26/1202339626.db2.gz MGZPVTGSCQIDCC-ZFWWWQNUSA-N 0 1 310.869 3.005 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001753825816 1202342415 /nfs/dbraw/zinc/34/24/15/1202342415.db2.gz KCDPPSASANEXEG-MAUKXSAKSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001700753373 1202348548 /nfs/dbraw/zinc/34/85/48/1202348548.db2.gz WCFDYERSTGUDMA-MELADBBJSA-N 0 1 300.874 3.248 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1ccc(F)cc1F ZINC001700752366 1202348708 /nfs/dbraw/zinc/34/87/08/1202348708.db2.gz YCQAWQBBEWTDHR-CYBMUJFWSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NCc1ccccc1C#N ZINC001700760405 1202349927 /nfs/dbraw/zinc/34/99/27/1202349927.db2.gz PYWRVIBSDOEWJI-OAHLLOKOSA-N 0 1 313.445 3.097 20 30 DGEDMN CC#CCN(C)CCN(C(=O)CCc1cccc(C)c1)C(C)C ZINC001700973098 1202373612 /nfs/dbraw/zinc/37/36/12/1202373612.db2.gz YSLNAOPAXAZPKX-UHFFFAOYSA-N 0 1 314.473 3.120 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCC[C@H]1CCC)C(C)C ZINC001700973776 1202373823 /nfs/dbraw/zinc/37/38/23/1202373823.db2.gz MGGIOCBDPPNAGJ-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@@H](C)c1cccc(F)c1)C(C)C ZINC001700979236 1202375403 /nfs/dbraw/zinc/37/54/03/1202375403.db2.gz BIESXAHLUAZUCB-INIZCTEOSA-N 0 1 318.436 3.121 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1sccc1CC)C(C)C ZINC001700979225 1202375417 /nfs/dbraw/zinc/37/54/17/1202375417.db2.gz ARFVTFFEWWYGFR-UHFFFAOYSA-N 0 1 306.475 3.116 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C12CCC(CC1)C2)C(C)(C)C ZINC001700986833 1202376731 /nfs/dbraw/zinc/37/67/31/1202376731.db2.gz MKGITTWZALENDV-XOYHFGRZSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001701023864 1202382595 /nfs/dbraw/zinc/38/25/95/1202382595.db2.gz RKUNFHWDSSBFGU-UHFFFAOYSA-N 0 1 321.490 3.133 20 30 DGEDMN C=C(C)CCC(=O)NC1(C2CCN(C/C=C\Cl)CC2)CC1 ZINC001701032245 1202384062 /nfs/dbraw/zinc/38/40/62/1202384062.db2.gz RPOWPUAAPALBIE-KMKOMSMNSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccccc1CC ZINC001707046355 1202568713 /nfs/dbraw/zinc/56/87/13/1202568713.db2.gz HJMSQDZPSAGIMI-SFHVURJKSA-N 0 1 314.473 3.494 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1oncc1C ZINC001707052499 1202569765 /nfs/dbraw/zinc/56/97/65/1202569765.db2.gz KMWAYUPCKUONDZ-OAHLLOKOSA-N 0 1 307.438 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C#CC(C)C)C2)CC1 ZINC001707125950 1202573986 /nfs/dbraw/zinc/57/39/86/1202573986.db2.gz UHSGZHAERVEFEA-MRXNPFEDSA-N 0 1 322.880 3.101 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)[C@H]1CC=CCC1 ZINC001707151983 1202574771 /nfs/dbraw/zinc/57/47/71/1202574771.db2.gz DARLORVXNZWULW-KBMXLJTQSA-N 0 1 310.869 3.312 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)C1CCN(Cc2ocnc2C)CC1 ZINC001713055564 1202613233 /nfs/dbraw/zinc/61/32/33/1202613233.db2.gz VPBACBIBKAMGDL-OAHLLOKOSA-N 0 1 319.449 3.008 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001713085217 1202615468 /nfs/dbraw/zinc/61/54/68/1202615468.db2.gz CANJKXSOGBZNAE-SFHVURJKSA-N 0 1 316.420 3.100 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2)CCC1 ZINC001713086237 1202615774 /nfs/dbraw/zinc/61/57/74/1202615774.db2.gz FRJKBPNLMDAWMT-SFHVURJKSA-N 0 1 312.457 3.432 20 30 DGEDMN C#CCCCC(=O)N1CC[C@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC001713147178 1202621897 /nfs/dbraw/zinc/62/18/97/1202621897.db2.gz WNFBRRNWNZVGFH-ZFWWWQNUSA-N 0 1 320.383 3.020 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713213349 1202627720 /nfs/dbraw/zinc/62/77/20/1202627720.db2.gz OHIUMTAJQAOZBV-PHKMIBKXSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1cccc(Cl)c1 ZINC001713258929 1202632785 /nfs/dbraw/zinc/63/27/85/1202632785.db2.gz IMPYBNHYLGIUFT-PBHICJAKSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@]12C[C@H]1CCCC2 ZINC001713266367 1202633231 /nfs/dbraw/zinc/63/32/31/1202633231.db2.gz SRHQGXIGPMHLDE-HLLBOEOZSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)[C@H]1CCCN(Cc2ccns2)C1 ZINC001713277626 1202634735 /nfs/dbraw/zinc/63/47/35/1202634735.db2.gz KYYUECZILLJHEU-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(C)Cc1cccc(C(F)F)c1 ZINC001713464163 1202653580 /nfs/dbraw/zinc/65/35/80/1202653580.db2.gz YEBLHMJPBYVPAN-UHFFFAOYSA-N 0 1 310.388 3.384 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC001713510555 1202662594 /nfs/dbraw/zinc/66/25/94/1202662594.db2.gz BODISNGJEAZAPL-INIZCTEOSA-N 0 1 304.409 3.119 20 30 DGEDMN CC(C)=C(C)CC(=O)NC[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC001713532626 1202666059 /nfs/dbraw/zinc/66/60/59/1202666059.db2.gz BGHOTSNVLGZVRU-FQEVSTJZSA-N 0 1 324.468 3.223 20 30 DGEDMN CC/C=C(/C)C(=O)NCCN(CCC)Cc1ccc(C#N)s1 ZINC001713544720 1202667444 /nfs/dbraw/zinc/66/74/44/1202667444.db2.gz ZZFWWBALDNMNBH-NSIKDUERSA-N 0 1 319.474 3.304 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN[C@@H](C)c1cccc(Cl)c1F ZINC001713590274 1202672741 /nfs/dbraw/zinc/67/27/41/1202672741.db2.gz YKNOQEMBWSRACV-ZDUSSCGKSA-N 0 1 324.827 3.248 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001713644424 1202674764 /nfs/dbraw/zinc/67/47/64/1202674764.db2.gz CCWMITPDDYFJPI-CQSZACIVSA-N 0 1 308.853 3.153 20 30 DGEDMN C=CC1(CC(=O)N(C)CCNCc2ccns2)CCCCC1 ZINC001713642969 1202674773 /nfs/dbraw/zinc/67/47/73/1202674773.db2.gz BUZBPIKWNHRCRF-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)C1CC(F)(F)C1)C(C)C ZINC001713687503 1202677009 /nfs/dbraw/zinc/67/70/09/1202677009.db2.gz IBGWXJORUAENRP-UHFFFAOYSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@@H]1C[C@H]1C1CCC1)C(C)C ZINC001713693658 1202677913 /nfs/dbraw/zinc/67/79/13/1202677913.db2.gz FXGUCVVKEXFFBE-JKSUJKDBSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CC12CCC2)c1ccc(C(C)C)cc1 ZINC001713696930 1202678254 /nfs/dbraw/zinc/67/82/54/1202678254.db2.gz BSPQAPZJRLHQFH-RTBURBONSA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1CCCC1)c1ccc(C(C)C)cc1 ZINC001713697455 1202678399 /nfs/dbraw/zinc/67/83/99/1202678399.db2.gz HGXDRGOTOAGHOF-LJQANCHMSA-N 0 1 312.457 3.380 20 30 DGEDMN CCCC(=O)N(CCC)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001713714407 1202679900 /nfs/dbraw/zinc/67/99/00/1202679900.db2.gz XAZUQMSUFDYUFV-SFHVURJKSA-N 0 1 313.445 3.171 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cc[nH]c2C(C)C)C1 ZINC001713719209 1202681023 /nfs/dbraw/zinc/68/10/23/1202681023.db2.gz WLAOGZGBVRSSNW-OAHLLOKOSA-N 0 1 303.450 3.251 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(CC)cc2)C1 ZINC001713721421 1202681501 /nfs/dbraw/zinc/68/15/01/1202681501.db2.gz PXEODTJYPFWGMA-SFHVURJKSA-N 0 1 300.446 3.362 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccc(Cl)cc2)C1 ZINC001713721728 1202681507 /nfs/dbraw/zinc/68/15/07/1202681507.db2.gz WSMVOIVDFZQQTA-KRWDZBQOSA-N 0 1 320.864 3.381 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)c2ccccc2)C1 ZINC001713721002 1202681515 /nfs/dbraw/zinc/68/15/15/1202681515.db2.gz HPPMLTBKPFKROR-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2sccc2C)C1 ZINC001713723233 1202682155 /nfs/dbraw/zinc/68/21/55/1202682155.db2.gz CTZWZBNOTGXQEJ-ZDUSSCGKSA-N 0 1 312.866 3.251 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](C)CCCC)C1 ZINC001713729100 1202682960 /nfs/dbraw/zinc/68/29/60/1202682960.db2.gz VNGNOGFAYVJAJP-DZGCQCFKSA-N 0 1 300.874 3.393 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](CCC=C)c2ccccc2)CC1 ZINC001713785343 1202690284 /nfs/dbraw/zinc/69/02/84/1202690284.db2.gz OKRUFUGFINIYIN-HXUWFJFHSA-N 0 1 324.468 3.292 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](F)CC2CCCCC2)C1 ZINC001713804725 1202691871 /nfs/dbraw/zinc/69/18/71/1202691871.db2.gz DNNAAPXWWFMDEL-DLBZAZTESA-N 0 1 310.457 3.452 20 30 DGEDMN CC(C)(C)C1CCC(CN2CC(NC(=O)C#CC3CC3)C2)CC1 ZINC001713821872 1202693030 /nfs/dbraw/zinc/69/30/30/1202693030.db2.gz RLYLHJKPYDUIJJ-UHFFFAOYSA-N 0 1 316.489 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001713830137 1202693925 /nfs/dbraw/zinc/69/39/25/1202693925.db2.gz XVAOJJDHQWMGBX-HKUYNNGSSA-N 0 1 318.436 3.427 20 30 DGEDMN C=CCCCN1CC(NC(=O)Cc2cccc3ccccc32)C1 ZINC001713854712 1202696128 /nfs/dbraw/zinc/69/61/28/1202696128.db2.gz YYOQFPNZZKHFGE-UHFFFAOYSA-N 0 1 308.425 3.149 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CC[C@H](C)CC2)CC1 ZINC001713858683 1202696494 /nfs/dbraw/zinc/69/64/94/1202696494.db2.gz RLIJQLHZXIYENY-QAQDUYKDSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H](C)c2ccc(F)cc2)C1 ZINC001713874023 1202697714 /nfs/dbraw/zinc/69/77/14/1202697714.db2.gz BRWDBGFTBFCOPC-LSDHHAIUSA-N 0 1 318.436 3.474 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc(C)cc(C)c1)C1CC1 ZINC001713881126 1202698399 /nfs/dbraw/zinc/69/83/99/1202698399.db2.gz BRAAPVVNSHDSFG-INIZCTEOSA-N 0 1 306.837 3.154 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccsn1 ZINC001713934396 1202703534 /nfs/dbraw/zinc/70/35/34/1202703534.db2.gz RWQUQWAQQQZFLX-KBPBESRZSA-N 0 1 307.463 3.012 20 30 DGEDMN CCCCCC(=O)N(C)[C@H]1CCN(Cc2cccc(C#N)c2)C1 ZINC001713988652 1202715799 /nfs/dbraw/zinc/71/57/99/1202715799.db2.gz CGRVOFVYMUBEBR-SFHVURJKSA-N 0 1 313.445 3.171 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCC2CCCCCC2)C1 ZINC001714005735 1202724754 /nfs/dbraw/zinc/72/47/54/1202724754.db2.gz CHYLPKIKYASEMR-GOSISDBHSA-N 0 1 304.478 3.293 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2(CC(C)C)CCCC2)C1 ZINC001714017867 1202730596 /nfs/dbraw/zinc/73/05/96/1202730596.db2.gz GAZMEJQVGWYWEM-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)CCCc2sccc2C)C1 ZINC001714022254 1202731575 /nfs/dbraw/zinc/73/15/75/1202731575.db2.gz RGTFVJPMEOVBID-MRXNPFEDSA-N 0 1 320.502 3.488 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCCN(Cc2cc(C)ns2)C1 ZINC001714034900 1202742599 /nfs/dbraw/zinc/74/25/99/1202742599.db2.gz BGXYODRTYGTCHT-OAHLLOKOSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](CC)NCc1ccc(F)cc1F ZINC001714076519 1202763992 /nfs/dbraw/zinc/76/39/92/1202763992.db2.gz XKWXCUBCZGIFIO-HNNXBMFYSA-N 0 1 310.388 3.306 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCCCC1(F)F ZINC001714090738 1202769401 /nfs/dbraw/zinc/76/94/01/1202769401.db2.gz KXWMZIRWJCGUFH-NWDGAFQWSA-N 0 1 308.800 3.049 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1cccc(C2CC2)c1 ZINC001714092207 1202770029 /nfs/dbraw/zinc/77/00/29/1202770029.db2.gz ZTJHTCHBDRASRJ-QGZVFWFLSA-N 0 1 320.864 3.343 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@H]1CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001714104592 1202778684 /nfs/dbraw/zinc/77/86/84/1202778684.db2.gz SQCZPINLLLAJJJ-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)c1ccccc1F ZINC001714113781 1202784769 /nfs/dbraw/zinc/78/47/69/1202784769.db2.gz COSPVFBMYMMFEK-CABCVRRESA-N 0 1 304.409 3.086 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CC1CCCCCC1 ZINC001714113512 1202785120 /nfs/dbraw/zinc/78/51/20/1202785120.db2.gz GGTMMWAJRUNPBL-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CCC(C)C)C1 ZINC001714144984 1202800093 /nfs/dbraw/zinc/80/00/93/1202800093.db2.gz ODSZJPGVQIXEDC-HUUCEWRRSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)C2(C3CC3)CC2)C1 ZINC001714150587 1202805856 /nfs/dbraw/zinc/80/58/56/1202805856.db2.gz MXXAZQWBMOHVBJ-UONOGXRCSA-N 0 1 310.869 3.146 20 30 DGEDMN CCCC(CCC)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001714269205 1202856857 /nfs/dbraw/zinc/85/68/57/1202856857.db2.gz QGDRSKWYBHYIFI-KRWDZBQOSA-N 0 1 314.473 3.349 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(C)(F)F)cc1 ZINC001714312008 1202878465 /nfs/dbraw/zinc/87/84/65/1202878465.db2.gz PFBGKFXLKZVITI-NSHDSACASA-N 0 1 316.779 3.259 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@@H](NCc2ncc(CC)o2)C1 ZINC001714401803 1202913293 /nfs/dbraw/zinc/91/32/93/1202913293.db2.gz RCTFPFCSBNQRHT-HUUCEWRRSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCC[C@H](NCc2ncc(CC)o2)C1 ZINC001714401804 1202913395 /nfs/dbraw/zinc/91/33/95/1202913395.db2.gz RCTFPFCSBNQRHT-LSDHHAIUSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H](N(C)CCC(F)(F)F)C1 ZINC001714442431 1202935582 /nfs/dbraw/zinc/93/55/82/1202935582.db2.gz XZSLFSBAXRHQLO-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2nccs2)CCCC1 ZINC001714502026 1202965596 /nfs/dbraw/zinc/96/55/96/1202965596.db2.gz AHFRZSUOFXUAGG-UHFFFAOYSA-N 0 1 307.463 3.018 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)C1CCCCC1 ZINC001714530915 1202979955 /nfs/dbraw/zinc/97/99/55/1202979955.db2.gz QYXLJMPGWPTSNJ-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C1(CCc2ccccc2)CC1 ZINC001714626828 1203060080 /nfs/dbraw/zinc/06/00/80/1203060080.db2.gz QRMPXRIQUUJRAL-SFHVURJKSA-N 0 1 312.457 3.166 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC001714650113 1203074955 /nfs/dbraw/zinc/07/49/55/1203074955.db2.gz XDQBGGDVLFNKIP-HNNXBMFYSA-N 0 1 321.490 3.054 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cccc(C(C)C)n1 ZINC001714719076 1203108988 /nfs/dbraw/zinc/10/89/88/1203108988.db2.gz YDJFSSHGPXKZCR-UHFFFAOYSA-N 0 1 303.450 3.109 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1cccc(F)c1F ZINC001714744417 1203114379 /nfs/dbraw/zinc/11/43/79/1203114379.db2.gz SLCXFRVZRNGGEB-ZDUSSCGKSA-N 0 1 310.388 3.258 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2cc(C)ccc2F)C1 ZINC001714753704 1203118467 /nfs/dbraw/zinc/11/84/67/1203118467.db2.gz GADXOCPBVKLWQA-UHFFFAOYSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1oc(C(C)C)nc1C ZINC001714751649 1203118744 /nfs/dbraw/zinc/11/87/44/1203118744.db2.gz HYUDLUAZLDZRIK-SFHVURJKSA-N 0 1 321.465 3.257 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)CCNCc2cccc(C(C)C)n2)C1 ZINC001714753518 1203118864 /nfs/dbraw/zinc/11/88/64/1203118864.db2.gz DEVWKWREIPLMPJ-UHFFFAOYSA-N 0 1 315.461 3.109 20 30 DGEDMN C=C(Br)CNCCN(C)C(=O)C[C@H](C)CC(C)C ZINC001714779548 1203124617 /nfs/dbraw/zinc/12/46/17/1203124617.db2.gz LQHDFYUHYADTEI-GFCCVEGCSA-N 0 1 319.287 3.015 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCCc1sccc1C ZINC001714789476 1203127939 /nfs/dbraw/zinc/12/79/39/1203127939.db2.gz XJHIICKTQCQPRW-UHFFFAOYSA-N 0 1 314.882 3.180 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)CCCc1ccc(C)s1 ZINC001714800605 1203129839 /nfs/dbraw/zinc/12/98/39/1203129839.db2.gz DDKFZDCCBKEZDU-UHFFFAOYSA-N 0 1 314.882 3.180 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cc(C)no2)CCCCC1 ZINC001714809087 1203133607 /nfs/dbraw/zinc/13/36/07/1203133607.db2.gz UHTHYRBUVBOSAS-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1ccc(C)c(C)c1 ZINC001714844192 1203148762 /nfs/dbraw/zinc/14/87/62/1203148762.db2.gz RBXVPVGVSZIAGS-OAHLLOKOSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2cccc(CC)n2)C1 ZINC001714853879 1203153079 /nfs/dbraw/zinc/15/30/79/1203153079.db2.gz APOGFKNLLDQXLW-KRWDZBQOSA-N 0 1 315.461 3.147 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2c(C)oc(C)c2C)C1 ZINC001714856503 1203156538 /nfs/dbraw/zinc/15/65/38/1203156538.db2.gz QLTMUGASLXNSQB-INIZCTEOSA-N 0 1 304.434 3.317 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)Cc2ccccc2)C1 ZINC001714861542 1203160966 /nfs/dbraw/zinc/16/09/66/1203160966.db2.gz JLXOAOFKASVKDV-PKOBYXMFSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2ccc(OC)cc2C)C1 ZINC001714863048 1203162650 /nfs/dbraw/zinc/16/26/50/1203162650.db2.gz CEOPREAVOJSIJY-MRXNPFEDSA-N 0 1 316.445 3.116 20 30 DGEDMN C=CCCCC(=O)N(CCC)[C@@H]1CCN(Cc2ccccn2)C1 ZINC001714869958 1203167723 /nfs/dbraw/zinc/16/77/23/1203167723.db2.gz MHSZBMXQRXSCKE-GOSISDBHSA-N 0 1 315.461 3.251 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](CN(C)[C@@H](C)c2ncc(C)o2)C1 ZINC001714896415 1203184167 /nfs/dbraw/zinc/18/41/67/1203184167.db2.gz PHBOFHSQORAOMT-JKSUJKDBSA-N 0 1 319.449 3.181 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2cccc(F)c2)C1 ZINC001714953700 1203214682 /nfs/dbraw/zinc/21/46/82/1203214682.db2.gz PMMSHJMAZLZFPW-ZIAGYGMSSA-N 0 1 304.409 3.084 20 30 DGEDMN C#CCC1(C(=O)NC2CN(CC3CCCCC3)C2)CCCCC1 ZINC001714953355 1203215097 /nfs/dbraw/zinc/21/50/97/1203215097.db2.gz JQXAUACGIDNMLE-UHFFFAOYSA-N 0 1 316.489 3.341 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)C[C@H](CC)c2ccccc2)C1 ZINC001714954931 1203216403 /nfs/dbraw/zinc/21/64/03/1203216403.db2.gz QYNOCQGQQHQVNO-INIZCTEOSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc(CF)cc2)C1 ZINC001714972807 1203222075 /nfs/dbraw/zinc/22/20/75/1203222075.db2.gz TWBKUUJPPBHMAM-UHFFFAOYSA-N 0 1 304.409 3.060 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)C2(c3ccc(C)cc3)CCC2)C1 ZINC001714980240 1203225176 /nfs/dbraw/zinc/22/51/76/1203225176.db2.gz BGYIZNCYXCLMOU-UHFFFAOYSA-N 0 1 312.457 3.041 20 30 DGEDMN Cc1cc(C)c(CN2C[C@@H]3C[C@H]2CN3C(=O)C#CC(C)C)c(C)c1 ZINC001714987079 1203227720 /nfs/dbraw/zinc/22/77/20/1203227720.db2.gz QXTLHJKCURWONO-OALUTQOASA-N 0 1 324.468 3.056 20 30 DGEDMN C=C(C)CCC(=O)N(C)[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001715015447 1203238136 /nfs/dbraw/zinc/23/81/36/1203238136.db2.gz MNJXTCVBXLKDRP-HNNXBMFYSA-N 0 1 321.490 3.149 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](CCCCC)C(C)C)C1 ZINC001715029320 1203244346 /nfs/dbraw/zinc/24/43/46/1203244346.db2.gz DJBDPJCZUUUANW-MSOLQXFVSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H]2CCN(C[C@@H](F)CC)C2)CCCC1 ZINC001715033767 1203246169 /nfs/dbraw/zinc/24/61/69/1203246169.db2.gz ZGURIKKXTCDZBG-HOTGVXAUSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001715074873 1203264229 /nfs/dbraw/zinc/26/42/29/1203264229.db2.gz MRLBOKQAECQKQN-YESZJQIVSA-N 0 1 320.864 3.335 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2ncc(C)s2)CCCC1 ZINC001715099529 1203272393 /nfs/dbraw/zinc/27/23/93/1203272393.db2.gz GULZOQCJJKGZFJ-UHFFFAOYSA-N 0 1 321.490 3.326 20 30 DGEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H](C)CCC(C)(C)C)C1 ZINC001715290776 1203308005 /nfs/dbraw/zinc/30/80/05/1203308005.db2.gz HRBBQBCMTGSWMT-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNC/C(Cl)=C/Cl ZINC001715341273 1203319504 /nfs/dbraw/zinc/31/95/04/1203319504.db2.gz XHTUTLPETBPUTG-LCFDYFRESA-N 0 1 307.265 3.344 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2cc(C)ccc2[nH]1 ZINC001715350711 1203321122 /nfs/dbraw/zinc/32/11/22/1203321122.db2.gz JKVUEYFUIXCUMT-ZDUSSCGKSA-N 0 1 319.836 3.279 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1ncc(C)s1 ZINC001715355654 1203322774 /nfs/dbraw/zinc/32/27/74/1203322774.db2.gz LGZGTDOHQQAWRP-HIFRSBDPSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1cc(C)cc(C)c1 ZINC001715366182 1203325947 /nfs/dbraw/zinc/32/59/47/1203325947.db2.gz NYUBIJUXGBIHSY-INIZCTEOSA-N 0 1 322.880 3.425 20 30 DGEDMN C=CCC1(C(=O)N(C)[C@H](C)CNCc2cc(C)no2)CCCC1 ZINC001715378992 1203329239 /nfs/dbraw/zinc/32/92/39/1203329239.db2.gz GNPFZTPPAOSKKZ-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@H]1CCCC12CC2 ZINC001715384999 1203330487 /nfs/dbraw/zinc/33/04/87/1203330487.db2.gz NJJKGLKUWWCCGI-HUUCEWRRSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1CCNCc1cscn1 ZINC001715445761 1203342330 /nfs/dbraw/zinc/34/23/30/1203342330.db2.gz OSXJZIFQECIWRI-MRXNPFEDSA-N 0 1 321.490 3.360 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1cc(C)no1 ZINC001715479947 1203348748 /nfs/dbraw/zinc/34/87/48/1203348748.db2.gz SYIJMSITUNMULY-YOEHRIQHSA-N 0 1 319.449 3.056 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@@H](NCc1ccccc1C#N)C(C)C ZINC001715497628 1203352818 /nfs/dbraw/zinc/35/28/18/1203352818.db2.gz XBAGZHSVNZQCKH-QWSHFYNQSA-N 0 1 313.445 3.145 20 30 DGEDMN C=CCCC(=O)NC[C@H](NCc1cc(O)ccc1Cl)C(C)C ZINC001715521750 1203359946 /nfs/dbraw/zinc/35/99/46/1203359946.db2.gz LRMNLFCGEKOWIM-INIZCTEOSA-N 0 1 324.852 3.242 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@H](NCc1oc(C)nc1C)C(C)C ZINC001715720632 1203384343 /nfs/dbraw/zinc/38/43/43/1203384343.db2.gz GIWAVYOTTJUSCP-HNNXBMFYSA-N 0 1 321.465 3.124 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)CCNCc1ccncc1Cl ZINC001715731823 1203385561 /nfs/dbraw/zinc/38/55/61/1203385561.db2.gz DHQLLJWKRZUHQL-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN Cc1cccc(C(=O)N(C)CCN(C)Cc2ccc(C#N)cc2)c1 ZINC001715750550 1203387357 /nfs/dbraw/zinc/38/73/57/1203387357.db2.gz JMDTWMVEPLCOQO-UHFFFAOYSA-N 0 1 321.424 3.071 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(CC)CCNCC#Cc1ccccc1 ZINC001715755117 1203388325 /nfs/dbraw/zinc/38/83/25/1203388325.db2.gz JXGQIJVWRQVXBH-HXUWFJFHSA-N 0 1 312.457 3.079 20 30 DGEDMN CCC[C@@H](C)CC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715771289 1203390457 /nfs/dbraw/zinc/39/04/57/1203390457.db2.gz WBUXNTDNAOUVQB-MRXNPFEDSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC/C=C\CNCc1cccc(Cl)n1 ZINC001715866408 1203399268 /nfs/dbraw/zinc/39/92/68/1203399268.db2.gz SDHMGFCFVTXZHP-TUYSUELWSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CNCc2nc(C)sc2C)CC1 ZINC001715947151 1203409081 /nfs/dbraw/zinc/40/90/81/1203409081.db2.gz QEMFEJJLUWXGPH-GFCCVEGCSA-N 0 1 321.490 3.101 20 30 DGEDMN CCC[C@@H](CC)C(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001715978209 1203415067 /nfs/dbraw/zinc/41/50/67/1203415067.db2.gz SRCPFGZGBXYDDI-GOSISDBHSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CCCC3CC3)CC[C@@H]21 ZINC001716051432 1203435589 /nfs/dbraw/zinc/43/55/89/1203435589.db2.gz OKXAQTNTUCYYPO-CVEARBPZSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)N(CC)CCNCc1ccccc1F ZINC001716219475 1203450576 /nfs/dbraw/zinc/45/05/76/1203450576.db2.gz SDEKUIMCHAHZHW-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cscc1Cl ZINC001716306831 1203458131 /nfs/dbraw/zinc/45/81/31/1203458131.db2.gz MVGHBSFVFWOUFV-NSCUHMNNSA-N 0 1 305.230 3.030 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C\CNCc1ccccc1Cl ZINC001716329837 1203459991 /nfs/dbraw/zinc/45/99/91/1203459991.db2.gz KBITVPMGWUBKTI-FPLPWBNLSA-N 0 1 306.837 3.314 20 30 DGEDMN Cc1cc(CN(C)C[C@H](C)NC(=O)C#CC(C)(C)C)cs1 ZINC001716478806 1203484634 /nfs/dbraw/zinc/48/46/34/1203484634.db2.gz UUURTFMAEMTDGV-ZDUSSCGKSA-N 0 1 306.475 3.043 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)ccc1C ZINC001716659659 1203516856 /nfs/dbraw/zinc/51/68/56/1203516856.db2.gz DQYCUYNFDAVGJP-IBGZPJMESA-N 0 1 312.457 3.188 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1cc(Cl)no1 ZINC001716668185 1203519895 /nfs/dbraw/zinc/51/98/95/1203519895.db2.gz OXYXVQKSGORTKB-GFCCVEGCSA-N 0 1 313.829 3.103 20 30 DGEDMN C=CCCC(=O)NCC1(NCc2ccc(Cl)cc2OC)CC1 ZINC001716676732 1203522982 /nfs/dbraw/zinc/52/29/82/1203522982.db2.gz BRRQDXKNSGWHNW-UHFFFAOYSA-N 0 1 322.836 3.053 20 30 DGEDMN C=C1CCC(C(=O)NCC2(NCC=C(Cl)Cl)CC2)CC1 ZINC001716692767 1203526250 /nfs/dbraw/zinc/52/62/50/1203526250.db2.gz GHKLKKUMXWNFSM-UHFFFAOYSA-N 0 1 317.260 3.290 20 30 DGEDMN CC1(C(=O)NCC2(NCC#Cc3ccccc3)CC2)CCCCC1 ZINC001716692583 1203526291 /nfs/dbraw/zinc/52/62/91/1203526291.db2.gz ZUAYASQKLQDYCM-UHFFFAOYSA-N 0 1 324.468 3.247 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)N(C)C1CN(CCC2=CCCCC2)C1 ZINC001716737378 1203534711 /nfs/dbraw/zinc/53/47/11/1203534711.db2.gz WAQMANVPHYGSEH-INIZCTEOSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCCCCN1CC(N(C)C(=O)[C@H]2CCC[C@@H](F)C2)C1 ZINC001716741195 1203535295 /nfs/dbraw/zinc/53/52/95/1203535295.db2.gz PJBFGZCBHHYLFF-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCc2ccc(F)cc2)C1 ZINC001716744375 1203535803 /nfs/dbraw/zinc/53/58/03/1203535803.db2.gz WMHCSDPCNWQSIC-HNNXBMFYSA-N 0 1 318.436 3.256 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)C1 ZINC001716749375 1203536684 /nfs/dbraw/zinc/53/66/84/1203536684.db2.gz XYIYHDJTNVOAQH-WEFJBSGNSA-N 0 1 324.468 3.070 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1ccccc1CC ZINC001716780588 1203541545 /nfs/dbraw/zinc/54/15/45/1203541545.db2.gz UOKZTLGAMYTJOY-KRWDZBQOSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1ccc(F)cc1 ZINC001716781397 1203541547 /nfs/dbraw/zinc/54/15/47/1203541547.db2.gz VJALCPVXJOSDBH-INIZCTEOSA-N 0 1 324.827 3.091 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC[C@H]1CNCc1nc(C)cs1 ZINC001716785648 1203542303 /nfs/dbraw/zinc/54/23/03/1203542303.db2.gz MQIMIUUJWYGXIV-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(Cc2ccccc2Cl)C1 ZINC001716836489 1203551500 /nfs/dbraw/zinc/55/15/00/1203551500.db2.gz DBBDFOCODFLWHR-KRWDZBQOSA-N 0 1 306.837 3.387 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@]1(C)CCN(Cc2cccnc2C)C1 ZINC001716848241 1203554477 /nfs/dbraw/zinc/55/44/77/1203554477.db2.gz ZWTQXHKGMOHLIO-QFBILLFUSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccccc1)c1ccccc1CC ZINC001716952651 1203569197 /nfs/dbraw/zinc/56/91/97/1203569197.db2.gz JLIOAOUXMGGATR-HXUWFJFHSA-N 0 1 320.436 3.333 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]([C@@H](C)NCc2ncoc2C(C)C)C1 ZINC001716957644 1203570281 /nfs/dbraw/zinc/57/02/81/1203570281.db2.gz BVPVKGUSUKEZLB-HUUCEWRRSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H](C)C1CC1)C2 ZINC001716996798 1203574692 /nfs/dbraw/zinc/57/46/92/1203574692.db2.gz ZBWSMAYUFMZVJA-UGQVUOCMSA-N 0 1 310.869 3.144 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC1CCCCC1 ZINC001717113719 1203586219 /nfs/dbraw/zinc/58/62/19/1203586219.db2.gz TXMIIDFBUQGBGM-UHFFFAOYSA-N 0 1 312.457 3.338 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC001717199128 1203598452 /nfs/dbraw/zinc/59/84/52/1203598452.db2.gz GPKXWUYOCXUNTL-WMZOPIPTSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CC1CCCC1 ZINC001717242532 1203608484 /nfs/dbraw/zinc/60/84/84/1203608484.db2.gz DFQIGSMXDMSWQQ-FQEVSTJZSA-N 0 1 324.468 3.133 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H]1Cc2ccccc2CN1CC ZINC001717243436 1203608838 /nfs/dbraw/zinc/60/88/38/1203608838.db2.gz DOMJQJBMWTZFKQ-QGZVFWFLSA-N 0 1 300.446 3.152 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CC(C)(C)C=C)cc2C1 ZINC001717269829 1203611230 /nfs/dbraw/zinc/61/12/30/1203611230.db2.gz AQCLGVSDNAWGRA-UHFFFAOYSA-N 0 1 310.441 3.244 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1CCC(C)(C)CC1 ZINC001717376403 1203631627 /nfs/dbraw/zinc/63/16/27/1203631627.db2.gz QEEJIHIKLVAJBY-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)[C@@H](C)NCc2nc(C)c(C)s2)C1 ZINC001717438567 1203644278 /nfs/dbraw/zinc/64/42/78/1203644278.db2.gz IOSIGKMYTUVOEI-NEPJUHHUSA-N 0 1 321.490 3.099 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@H](C)NCc2c(F)cccc2F)C1 ZINC001717438621 1203644286 /nfs/dbraw/zinc/64/42/86/1203644286.db2.gz JHDKDXMEEZZUFY-QWHCGFSZSA-N 0 1 322.399 3.304 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)c1ccccc1F ZINC001717529486 1203673011 /nfs/dbraw/zinc/67/30/11/1203673011.db2.gz VGZAVRDNVRRTCS-ZDUSSCGKSA-N 0 1 312.816 3.456 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001717555841 1203681088 /nfs/dbraw/zinc/68/10/88/1203681088.db2.gz AIRROUHAGXXEOC-CQSZACIVSA-N 0 1 304.409 3.119 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCCN(C[C@@H](F)CC)C2 ZINC001717628782 1203691213 /nfs/dbraw/zinc/69/12/13/1203691213.db2.gz CUJHKHJJINELRR-HOTGVXAUSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)c1cccs1 ZINC001717741645 1203707980 /nfs/dbraw/zinc/70/79/80/1203707980.db2.gz UKRYEMLEIULNCQ-VXGBXAGGSA-N 0 1 300.855 3.041 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)CC(CC)CC ZINC001717749289 1203709736 /nfs/dbraw/zinc/70/97/36/1203709736.db2.gz ZTKZJSOCRZPNJR-GFCCVEGCSA-N 0 1 319.287 3.158 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001717855603 1203723172 /nfs/dbraw/zinc/72/31/72/1203723172.db2.gz IKTYEZULTJUFSS-KBPBESRZSA-N 0 1 318.383 3.102 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)CCCCc2ccccc2)[C@@H]1C ZINC001717857630 1203723239 /nfs/dbraw/zinc/72/32/39/1203723239.db2.gz JNGTYXAFRAFVNK-PKOBYXMFSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccccc2F)[C@@H]1C ZINC001717864376 1203725096 /nfs/dbraw/zinc/72/50/96/1203725096.db2.gz XBARQKOINJTSTO-RHSMWYFYSA-N 0 1 304.409 3.261 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H](C)c1cccc(F)c1)C(C)C ZINC001717950849 1203733618 /nfs/dbraw/zinc/73/36/18/1203733618.db2.gz XCQQQFIDCFBINE-MRXNPFEDSA-N 0 1 318.436 3.121 20 30 DGEDMN C#CCN(C)CCN(C(=O)C[C@@H]1CCCCC1(C)C)C(C)C ZINC001717952973 1203734842 /nfs/dbraw/zinc/73/48/42/1203734842.db2.gz UJHYDYBXIWNXOT-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC1(C)C)C(C)(C)C ZINC001717965910 1203737155 /nfs/dbraw/zinc/73/71/55/1203737155.db2.gz YCHHBQMTYZPTTF-QWHCGFSZSA-N 0 1 300.874 3.296 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccccc1OC)C(C)(C)C ZINC001717971473 1203738297 /nfs/dbraw/zinc/73/82/97/1203738297.db2.gz LTHSFAGYGNAQHF-OAHLLOKOSA-N 0 1 324.852 3.182 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CCCC)C(C)C)CC1 ZINC001718035556 1203745267 /nfs/dbraw/zinc/74/52/67/1203745267.db2.gz IKZVVKXAELIGIW-SFHVURJKSA-N 0 1 304.478 3.220 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc([C@@H](C)CC)cc1 ZINC001722917802 1203951583 /nfs/dbraw/zinc/95/15/83/1203951583.db2.gz XGXRRCMIMXVPPE-HOTGVXAUSA-N 0 1 300.446 3.274 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](CNCc1ncc(C)s1)C1CC1 ZINC001723333611 1203964323 /nfs/dbraw/zinc/96/43/23/1203964323.db2.gz JDVCSFJOSUPMOY-AWEZNQCLSA-N 0 1 321.490 3.038 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1[C@@H](C)c1cccc(C)c1 ZINC001723423462 1203970119 /nfs/dbraw/zinc/97/01/19/1203970119.db2.gz OOQOEKRBPAEHED-PKOBYXMFSA-N 0 1 312.457 3.440 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN1Cc1cc(C)ccc1C ZINC001723433241 1203970929 /nfs/dbraw/zinc/97/09/29/1203970929.db2.gz PRZFETILGVBQFN-GOSISDBHSA-N 0 1 300.446 3.350 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1cc(C)ccc1C ZINC001723433242 1203971093 /nfs/dbraw/zinc/97/10/93/1203971093.db2.gz PRZFETILGVBQFN-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN CC#CCCCC(=O)NC[C@H]1CCN1[C@H](C)c1cccc(C)c1 ZINC001723446293 1203972555 /nfs/dbraw/zinc/97/25/55/1203972555.db2.gz JRHATHVLZIKBLU-IEBWSBKVSA-N 0 1 312.457 3.440 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)CC/C=C\c2ccccc2)CC1 ZINC001723558312 1203982347 /nfs/dbraw/zinc/98/23/47/1203982347.db2.gz JALDJOOIFSSKSD-UITAMQMPSA-N 0 1 318.848 3.471 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CO[C@@H]2CCCC[C@H]2CC)C1 ZINC001723760850 1203991704 /nfs/dbraw/zinc/99/17/04/1203991704.db2.gz SOPFUBXWNNVXNY-SJLPKXTDSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CO[C@H]2CCCC[C@@H]2CC)C1 ZINC001723760851 1203991928 /nfs/dbraw/zinc/99/19/28/1203991928.db2.gz SOPFUBXWNNVXNY-WMZOPIPTSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2nccc3ccccc32)C1 ZINC001723791164 1203993139 /nfs/dbraw/zinc/99/31/39/1203993139.db2.gz JRKMLVJCPINVJA-HNNXBMFYSA-N 0 1 323.440 3.346 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)CCc2ccc(F)cc2F)C1 ZINC001723803100 1203994106 /nfs/dbraw/zinc/99/41/06/1203994106.db2.gz KHCKMPJTBLQLEE-UHFFFAOYSA-N 0 1 322.399 3.006 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001723814497 1203994609 /nfs/dbraw/zinc/99/46/09/1203994609.db2.gz GIPIWLUZIUGEEB-NVXWUHKLSA-N 0 1 322.493 3.079 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CNCc1cccc(F)c1F ZINC001723904903 1203998345 /nfs/dbraw/zinc/99/83/45/1203998345.db2.gz CFRNTACQIYDCAY-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN C=CC1(CC(=O)NC2(C)CCN(CCF)CC2)CCCCC1 ZINC001724015558 1204001642 /nfs/dbraw/zinc/00/16/42/1204001642.db2.gz CAEIZRZNXJOEME-UHFFFAOYSA-N 0 1 310.457 3.453 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)/C=C/c1ccco1)C1CCCC1 ZINC001724071674 1204003105 /nfs/dbraw/zinc/00/31/05/1204003105.db2.gz HQCQIOAZBNUBJQ-ROJDOSBLSA-N 0 1 322.836 3.310 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1nccs1)C1CCCC1 ZINC001724099607 1204005365 /nfs/dbraw/zinc/00/53/65/1204005365.db2.gz VDRWLNMNDJMNHV-AWEZNQCLSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@]1(C)CCCN(Cc2conc2C)C1 ZINC001724322812 1204021147 /nfs/dbraw/zinc/02/11/47/1204021147.db2.gz HEXQWGDJJMLRQR-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)C1 ZINC001724341256 1204022951 /nfs/dbraw/zinc/02/29/51/1204022951.db2.gz SGMSHVRCUPIWCS-NRSPTQNISA-N 0 1 324.468 3.032 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001724341860 1204023646 /nfs/dbraw/zinc/02/36/46/1204023646.db2.gz ZUMULLGFXVXPAH-BJLQDIEVSA-N 0 1 318.505 3.300 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C1CC(C)C1 ZINC001724615917 1204033425 /nfs/dbraw/zinc/03/34/25/1204033425.db2.gz JHIDAJAOPGBUHW-UHFFFAOYSA-N 0 1 320.864 3.403 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)CCCCCC ZINC001724656140 1204037074 /nfs/dbraw/zinc/03/70/74/1204037074.db2.gz QYTKDEULRMXIKD-RCCFBDPRSA-N 0 1 304.478 3.291 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C[C@@H](C)c1ccco1 ZINC001724741198 1204041666 /nfs/dbraw/zinc/04/16/66/1204041666.db2.gz NABJTHYAQYVYQJ-MRXNPFEDSA-N 0 1 324.424 3.155 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C3(CC=C)CCC3)cccc2C1 ZINC001724777624 1204045532 /nfs/dbraw/zinc/04/55/32/1204045532.db2.gz TVFCSEQUZXUIQH-UHFFFAOYSA-N 0 1 322.452 3.041 20 30 DGEDMN CC(C)C#CC(=O)NCC1(NCc2ccccc2Cl)CCC1 ZINC001724784291 1204046924 /nfs/dbraw/zinc/04/69/24/1204046924.db2.gz SVEZJHBLXBLJLP-UHFFFAOYSA-N 0 1 318.848 3.128 20 30 DGEDMN C=CCC[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)CC(C)=C(C)C)CO2 ZINC001724878222 1204061494 /nfs/dbraw/zinc/06/14/94/1204061494.db2.gz ANABOVJYNZYTLL-IAGOWNOFSA-N 0 1 320.477 3.047 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@]3(C)CC=CCC3)cc2C1 ZINC001724923718 1204065787 /nfs/dbraw/zinc/06/57/87/1204065787.db2.gz OOOMHIHQGXPPER-NRFANRHFSA-N 0 1 322.452 3.388 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001724994842 1204075967 /nfs/dbraw/zinc/07/59/67/1204075967.db2.gz NRIJOSBUWHSWON-FMRFAKEVSA-N 0 1 324.468 3.364 20 30 DGEDMN C=C(C)CN1CCOC2(CCN(C(=O)CCCCCC)CC2)C1 ZINC001725011967 1204079388 /nfs/dbraw/zinc/07/93/88/1204079388.db2.gz HAHOPLVSPLPIRR-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H]1CN(C)CCC(F)(F)F ZINC001725035968 1204082797 /nfs/dbraw/zinc/08/27/97/1204082797.db2.gz OERJKAUTOTVWSS-CQSZACIVSA-N 0 1 318.383 3.055 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1sccc1C1CC1 ZINC001725053880 1204086451 /nfs/dbraw/zinc/08/64/51/1204086451.db2.gz PRPBQSVMDNXOFC-OAHLLOKOSA-N 0 1 316.470 3.185 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)NCc1cc(O)ccc1Cl ZINC001725091028 1204092490 /nfs/dbraw/zinc/09/24/90/1204092490.db2.gz LUWQUIZQPWERRS-QWHCGFSZSA-N 0 1 324.852 3.385 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1ccc(CC)cc1 ZINC001725123512 1204098879 /nfs/dbraw/zinc/09/88/79/1204098879.db2.gz CPJRDWRCAIGIPX-LSDHHAIUSA-N 0 1 322.880 3.417 20 30 DGEDMN CC(C)=C(C)CC(=O)N[C@H](C)[C@H](C)NCc1ccccc1C#N ZINC001725136669 1204101443 /nfs/dbraw/zinc/10/14/43/1204101443.db2.gz UKNUOJBMIJTOLE-JKSUJKDBSA-N 0 1 313.445 3.287 20 30 DGEDMN C=CCCC(=O)N[C@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001725251937 1204128208 /nfs/dbraw/zinc/12/82/08/1204128208.db2.gz GHFUCXPKFCNZBC-CQSZACIVSA-N 0 1 304.409 3.037 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001725448890 1204151886 /nfs/dbraw/zinc/15/18/86/1204151886.db2.gz GHXPOOZDXCNFES-LLBKUYECSA-N 0 1 305.249 3.098 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cc(C)cs1 ZINC001725450393 1204152309 /nfs/dbraw/zinc/15/23/09/1204152309.db2.gz GHPFZIGXLHHXKI-ZDUSSCGKSA-N 0 1 312.866 3.249 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](CNCc1c(F)cccc1F)C1CC1 ZINC001725453193 1204152809 /nfs/dbraw/zinc/15/28/09/1204152809.db2.gz QOVZCQLUHZTCLX-QGZVFWFLSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC001725474911 1204155024 /nfs/dbraw/zinc/15/50/24/1204155024.db2.gz DETNSYLMWVPTPH-MLGOLLRUSA-N 0 1 322.399 3.355 20 30 DGEDMN CC(C)CC(=O)N(C)C[C@@H](C)NCC#Cc1ccccc1Cl ZINC001725476040 1204155306 /nfs/dbraw/zinc/15/53/06/1204155306.db2.gz XTCJJWMYOAVZJI-OAHLLOKOSA-N 0 1 320.864 3.174 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1sccc1C(F)F ZINC001725518435 1204164395 /nfs/dbraw/zinc/16/43/95/1204164395.db2.gz WPZBJZUDSNDJKJ-VIFPVBQESA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1sccc1C(F)F ZINC001725518434 1204164553 /nfs/dbraw/zinc/16/45/53/1204164553.db2.gz WPZBJZUDSNDJKJ-SECBINFHSA-N 0 1 322.808 3.488 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cnc(C(C)C)s1 ZINC001725525505 1204166506 /nfs/dbraw/zinc/16/65/06/1204166506.db2.gz SLUQEPCXKXNAOI-LLVKDONJSA-N 0 1 315.870 3.069 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](NCc2nc(C)sc2C)C[C@@H]1C ZINC001725582497 1204171633 /nfs/dbraw/zinc/17/16/33/1204171633.db2.gz BHWSRGKRQANAKW-SWLSCSKDSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@H](NCc2ccncc2Cl)C[C@@H]1C ZINC001725598722 1204175795 /nfs/dbraw/zinc/17/57/95/1204175795.db2.gz OISMNHITLGDFLB-DZGCQCFKSA-N 0 1 321.852 3.170 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C\c2ccc(C)cc2)[C@H]1C ZINC001725619584 1204181850 /nfs/dbraw/zinc/18/18/50/1204181850.db2.gz HQAQEOXWIJYMCL-SBQZJAHESA-N 0 1 324.468 3.391 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)[C@@H]1C ZINC001725619590 1204181892 /nfs/dbraw/zinc/18/18/92/1204181892.db2.gz HQAQEOXWIJYMCL-VDIGXEGLSA-N 0 1 324.468 3.391 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2oc(C)nc2C)[C@@H]1C ZINC001725629583 1204186224 /nfs/dbraw/zinc/18/62/24/1204186224.db2.gz NKFSMHJPZPFGES-GDBMZVCRSA-N 0 1 319.449 3.117 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C(C)(C)CC(C)(C)C)[C@H]1C ZINC001725632732 1204187061 /nfs/dbraw/zinc/18/70/61/1204187061.db2.gz OXIXLRLUQOWHNE-HZPDHXFCSA-N 0 1 306.494 3.441 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](CNCc2nc(C)oc2C)C1 ZINC001725661816 1204194412 /nfs/dbraw/zinc/19/44/12/1204194412.db2.gz RKPYHJSEWBJNOZ-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN C#CCN(C)CCN(C(=O)Cc1ccc2ccccc2c1)C(C)C ZINC001725731803 1204204310 /nfs/dbraw/zinc/20/43/10/1204204310.db2.gz CYMOWEIOXKZUBA-UHFFFAOYSA-N 0 1 322.452 3.184 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccccc1C)C(C)(C)C ZINC001725738838 1204208054 /nfs/dbraw/zinc/20/80/54/1204208054.db2.gz VTLZRDKPJLXRAR-HNNXBMFYSA-N 0 1 308.853 3.482 20 30 DGEDMN CC#CCN(C)CCN(C(=O)[C@H]1[C@@H](C=C(C)C)C1(C)C)C(C)C ZINC001725769398 1204217480 /nfs/dbraw/zinc/21/74/80/1204217480.db2.gz UYNTYJRHCLBKHU-QZTJIDSGSA-N 0 1 318.505 3.417 20 30 DGEDMN CC/C=C(/C)C(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001725811038 1204225450 /nfs/dbraw/zinc/22/54/50/1204225450.db2.gz GWEPJOKEVZJYJE-XRVIQIRUSA-N 0 1 323.440 3.163 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)c2cccc(C)c2)c(F)c1 ZINC001725831294 1204229377 /nfs/dbraw/zinc/22/93/77/1204229377.db2.gz LHVINBAKEMWWKT-UHFFFAOYSA-N 0 1 324.399 3.177 20 30 DGEDMN C=CCC1(C(=O)NC[C@H]2CCCN2C[C@@H](F)CC)CCCC1 ZINC001753118436 1204316712 /nfs/dbraw/zinc/31/67/12/1204316712.db2.gz IDLYUWAQHDBHFC-JKSUJKDBSA-N 0 1 310.457 3.452 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1conc1C ZINC001731293692 1204365148 /nfs/dbraw/zinc/36/51/48/1204365148.db2.gz CLEGBVPQPIUCNT-DOTOQJQBSA-N 0 1 319.449 3.199 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCCCN1Cc1ccsc1 ZINC001731538740 1204449851 /nfs/dbraw/zinc/44/98/51/1204449851.db2.gz ULCZQAXHEIDJKR-QGZVFWFLSA-N 0 1 318.486 3.412 20 30 DGEDMN C=CCCC(=O)NC1CCC(NCc2coc(C3CC3)n2)CC1 ZINC001731565652 1204464136 /nfs/dbraw/zinc/46/41/36/1204464136.db2.gz HEJMVXPNTNAFST-UHFFFAOYSA-N 0 1 317.433 3.035 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1coc2ccc(CC)cc21 ZINC001731591466 1204475849 /nfs/dbraw/zinc/47/58/49/1204475849.db2.gz FZJVQMALSLLJIU-GFCCVEGCSA-N 0 1 320.820 3.456 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCc1ccc(Cl)cc1 ZINC001731608916 1204481255 /nfs/dbraw/zinc/48/12/55/1204481255.db2.gz QAIBVNRXULNDRK-LBPRGKRZSA-N 0 1 315.244 3.119 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](C)NCc1ccc(C)cc1Cl ZINC001731609544 1204481407 /nfs/dbraw/zinc/48/14/07/1204481407.db2.gz BARFRZWDSSLCBE-ZDUSSCGKSA-N 0 1 308.853 3.455 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2cc(Cl)ccc2F)C1 ZINC001731617680 1204484493 /nfs/dbraw/zinc/48/44/93/1204484493.db2.gz BJFAAZADMDQVID-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cnc(C)o2)CCCCC1 ZINC001731640463 1204493879 /nfs/dbraw/zinc/49/38/79/1204493879.db2.gz NTHBOZGQOCSVFA-AWEZNQCLSA-N 0 1 319.449 3.104 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@@H](CC)C1CCC(C)CC1 ZINC001731804309 1204571277 /nfs/dbraw/zinc/57/12/77/1204571277.db2.gz IFEBZHZXCWTCFI-ABHNRTSZSA-N 0 1 306.494 3.300 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN(CC)Cc1cccc(F)c1 ZINC001731802688 1204571488 /nfs/dbraw/zinc/57/14/88/1204571488.db2.gz HFRFZUFZORKVFC-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)CCC1CCCCCC1 ZINC001731828855 1204589725 /nfs/dbraw/zinc/58/97/25/1204589725.db2.gz YIGXQEQTYMLURJ-SFHVURJKSA-N 0 1 304.478 3.341 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCN2Cc2csc(C)c2)C1 ZINC001731833138 1204591302 /nfs/dbraw/zinc/59/13/02/1204591302.db2.gz FXKUQRLGPFLZHX-MRXNPFEDSA-N 0 1 318.486 3.493 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN1Cc1scnc1C ZINC001731833811 1204592842 /nfs/dbraw/zinc/59/28/42/1204592842.db2.gz RENKQVDINYAQHL-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC001731853669 1204601971 /nfs/dbraw/zinc/60/19/71/1204601971.db2.gz ZIMRAOXEECUFLH-ZDUSSCGKSA-N 0 1 322.399 3.115 20 30 DGEDMN CC(C)CCC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001731872298 1204614542 /nfs/dbraw/zinc/61/45/42/1204614542.db2.gz KJRGLAAXKMUREH-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)CCNCc1oc(C(C)C)nc1C ZINC001731958100 1204636204 /nfs/dbraw/zinc/63/62/04/1204636204.db2.gz ZKRDFHYZZDPOFR-UHFFFAOYSA-N 0 1 321.465 3.257 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NC[C@H](F)CC)c1ccccc1 ZINC001732024746 1204653070 /nfs/dbraw/zinc/65/30/70/1204653070.db2.gz XYENZTDKTTZWJJ-HZPDHXFCSA-N 0 1 306.425 3.394 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H](NC[C@H](F)CC)c1ccccc1 ZINC001732024743 1204653475 /nfs/dbraw/zinc/65/34/75/1204653475.db2.gz XYENZTDKTTZWJJ-CVEARBPZSA-N 0 1 306.425 3.394 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CCC)C(=O)c2ccccc2F)C1 ZINC001732034145 1204656710 /nfs/dbraw/zinc/65/67/10/1204656710.db2.gz LCIXMKVSCPNRRS-HNNXBMFYSA-N 0 1 304.409 3.328 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2cccc(CC)c2)C1 ZINC001732038396 1204660534 /nfs/dbraw/zinc/66/05/34/1204660534.db2.gz FJHHMXKRIHZLQJ-IBGZPJMESA-N 0 1 314.473 3.290 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C(C)C)nc2)C1 ZINC001732040486 1204661570 /nfs/dbraw/zinc/66/15/70/1204661570.db2.gz ZPCXHGNTPPNOCH-KRWDZBQOSA-N 0 1 315.461 3.318 20 30 DGEDMN C=CCCC(=O)NCC[C@H]1CCN(Cc2ccc(F)cc2F)C1 ZINC001732047360 1204667857 /nfs/dbraw/zinc/66/78/57/1204667857.db2.gz NODYPSOIZNUJFZ-AWEZNQCLSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C[C@@H]2C=CCCC2)C1 ZINC001732073357 1204679559 /nfs/dbraw/zinc/67/95/59/1204679559.db2.gz YTBKJPXUBZSNJC-CVEARBPZSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc[nH]c2CCC)C1 ZINC001732076812 1204681940 /nfs/dbraw/zinc/68/19/40/1204681940.db2.gz SMQFBLAWJNYTTN-AWEZNQCLSA-N 0 1 323.868 3.114 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCC1CN(Cc2ccc(C)cc2)C1 ZINC001732131154 1204706511 /nfs/dbraw/zinc/70/65/11/1204706511.db2.gz JADOQWIAUXEUEP-MRXNPFEDSA-N 0 1 300.446 3.145 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc(C(C)C)n1 ZINC001732150201 1204714539 /nfs/dbraw/zinc/71/45/39/1204714539.db2.gz WUFVRNBMAZTMRZ-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)Cc2coc3ccccc23)C1 ZINC001732185725 1204736649 /nfs/dbraw/zinc/73/66/49/1204736649.db2.gz PDOGYVWLDGIKQD-MRXNPFEDSA-N 0 1 312.413 3.084 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(Cl)ccc1F ZINC001732202879 1204747105 /nfs/dbraw/zinc/74/71/05/1204747105.db2.gz AHQZWOWIZRYAPY-NSHDSACASA-N 0 1 319.207 3.330 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)c1ccsc1 ZINC001732213213 1204752636 /nfs/dbraw/zinc/75/26/36/1204752636.db2.gz WQRRVAOITCNQEB-WCQYABFASA-N 0 1 300.855 3.089 20 30 DGEDMN C#CCC1(C(=O)NC[C@@H](CC)NCC(=C)Cl)CCCCC1 ZINC001732220974 1204757495 /nfs/dbraw/zinc/75/74/95/1204757495.db2.gz HJYDVGIKRRIXIW-OAHLLOKOSA-N 0 1 310.869 3.197 20 30 DGEDMN C=CCCCC(=O)N[C@@]1(CNCc2ccon2)CCCC[C@H]1C ZINC001732257579 1204773249 /nfs/dbraw/zinc/77/32/49/1204773249.db2.gz MKJYEORYBNPQEO-CRAIPNDOSA-N 0 1 319.449 3.186 20 30 DGEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)[C@H](C)C(C)(F)F ZINC001732471329 1204860252 /nfs/dbraw/zinc/86/02/52/1204860252.db2.gz SKPVEPVWSDZEOD-RYUDHWBXSA-N 0 1 310.816 3.151 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@H](C)CCCN1C(=O)CC(C)(F)F ZINC001732542459 1204886493 /nfs/dbraw/zinc/88/64/93/1204886493.db2.gz GAUNYLZJPYYRGQ-ZYHUDNBSSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)CCCC#CC)CC1 ZINC001732550140 1204889620 /nfs/dbraw/zinc/88/96/20/1204889620.db2.gz GUOGDUHQFRJQSG-UHFFFAOYSA-N 0 1 324.896 3.493 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001732576051 1204903664 /nfs/dbraw/zinc/90/36/64/1204903664.db2.gz NGRIDCBZUPVRAT-AWEZNQCLSA-N 0 1 319.424 3.024 20 30 DGEDMN CCC(C)(C)CC(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732582818 1204908207 /nfs/dbraw/zinc/90/82/07/1204908207.db2.gz ALJVRFJRQLMJNX-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN CC[C@@H](C)[C@H](C)C(=O)N(C)CCN(C)Cc1cccc(C#N)c1 ZINC001732594182 1204915349 /nfs/dbraw/zinc/91/53/49/1204915349.db2.gz FRZJRJVIBPRBLS-CVEARBPZSA-N 0 1 315.461 3.131 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCN[C@H](C)c1ncc(C)o1 ZINC001732719136 1204933239 /nfs/dbraw/zinc/93/32/39/1204933239.db2.gz GDFSMIUPJTZLNM-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(Cl)c(C)c1 ZINC001732893057 1204956162 /nfs/dbraw/zinc/95/61/62/1204956162.db2.gz BRZXKKZYIOAWSJ-ARJAWSKDSA-N 0 1 313.228 3.277 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)c1cccc(C)c1 ZINC001732906521 1204958087 /nfs/dbraw/zinc/95/80/87/1204958087.db2.gz HDKWOXISZMCMSL-RGDDUWESSA-N 0 1 306.837 3.113 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001733038189 1204994484 /nfs/dbraw/zinc/99/44/84/1204994484.db2.gz MLJYNPPYQLFSMD-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001733057032 1205008249 /nfs/dbraw/zinc/00/82/49/1205008249.db2.gz NOBANWSIZQWALZ-HKUYNNGSSA-N 0 1 314.473 3.276 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@H]1CNCc1cc(C)on1 ZINC001733076392 1205019046 /nfs/dbraw/zinc/01/90/46/1205019046.db2.gz GXHZTNXWGOVXKF-RDJZCZTQSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCc1cccc(C)c1 ZINC001733345102 1205100882 /nfs/dbraw/zinc/10/08/82/1205100882.db2.gz IRQVQFAOKZHSLZ-KRWDZBQOSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)Cc1ccccc1 ZINC001733354066 1205103527 /nfs/dbraw/zinc/10/35/27/1205103527.db2.gz YFMGRWXKRBDGIT-YOEHRIQHSA-N 0 1 320.864 3.198 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)c2cc(C)oc2C)CC1 ZINC001733447587 1205135868 /nfs/dbraw/zinc/13/58/68/1205135868.db2.gz ZUUCSNNRTIGEQZ-UHFFFAOYSA-N 0 1 324.852 3.481 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001733464027 1205145386 /nfs/dbraw/zinc/14/53/86/1205145386.db2.gz STFXBAROPNHLAT-FPOVZHCZSA-N 0 1 324.468 3.032 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](c2ccccc2)C2CC2)C1 ZINC001733464028 1205145597 /nfs/dbraw/zinc/14/55/97/1205145597.db2.gz STFXBAROPNHLAT-PZJWPPBQSA-N 0 1 324.468 3.032 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H]1CN(CC(=C)C)CCC1(F)F ZINC001733475880 1205149489 /nfs/dbraw/zinc/14/94/89/1205149489.db2.gz DEAJMGYJLSCTOS-AWEZNQCLSA-N 0 1 314.420 3.238 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(CC)CC1)c1ccccc1CC ZINC001733519091 1205152835 /nfs/dbraw/zinc/15/28/35/1205152835.db2.gz UHTMXDHUAWBQSS-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@@H](CNC(=O)[C@@H](CC)CCC)O2 ZINC001733789679 1205219375 /nfs/dbraw/zinc/21/93/75/1205219375.db2.gz GZIZYUGZCPMPJX-IRXDYDNUSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cnc(C)s2)[C@@H](C)C1 ZINC001733900843 1205246839 /nfs/dbraw/zinc/24/68/39/1205246839.db2.gz QBWONRJMXSGDBE-DZGCQCFKSA-N 0 1 321.490 3.277 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H](CC)CC(C)C ZINC001733924348 1205256886 /nfs/dbraw/zinc/25/68/86/1205256886.db2.gz FVQZHHRPDRROPD-ATZDWAIDSA-N 0 1 312.457 3.064 20 30 DGEDMN CC[C@H](C)C(=O)N1CCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001733924506 1205256926 /nfs/dbraw/zinc/25/69/26/1205256926.db2.gz BKPIEQDBQBVRCZ-HKUYNNGSSA-N 0 1 312.457 3.007 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc2ccsc21 ZINC001733938743 1205265891 /nfs/dbraw/zinc/26/58/91/1205265891.db2.gz SDQHSFRZYHKYQP-HNNXBMFYSA-N 0 1 312.438 3.071 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cc2cccc(C)c2o1 ZINC001733943016 1205267695 /nfs/dbraw/zinc/26/76/95/1205267695.db2.gz GCJFPZGYNVFABF-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cc2cccc(C)c2o1 ZINC001733943017 1205267979 /nfs/dbraw/zinc/26/79/79/1205267979.db2.gz GCJFPZGYNVFABF-MRXNPFEDSA-N 0 1 312.413 3.464 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1oc2ccccc2c1CC ZINC001733945104 1205268352 /nfs/dbraw/zinc/26/83/52/1205268352.db2.gz YUVOKIBPMOYBIV-OAHLLOKOSA-N 0 1 324.424 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(F)cc2ccoc21 ZINC001733978120 1205277389 /nfs/dbraw/zinc/27/73/89/1205277389.db2.gz IMCLPDAGCQLCIK-WDEREUQCSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(C)CCC(F)(F)CC1 ZINC001733980875 1205277869 /nfs/dbraw/zinc/27/78/69/1205277869.db2.gz XLNKQIKYIDQEAL-NEPJUHHUSA-N 0 1 322.827 3.437 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)[C@H](C)NCc1nc(C)c(C)s1 ZINC001733985364 1205279377 /nfs/dbraw/zinc/27/93/77/1205279377.db2.gz PHKNJBWAEKBPLP-NWDGAFQWSA-N 0 1 323.506 3.345 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CC(C)(C)CC(F)F ZINC001733987413 1205280012 /nfs/dbraw/zinc/28/00/12/1205280012.db2.gz ZVICVROLRROXIT-QWRGUYRKSA-N 0 1 310.816 3.293 20 30 DGEDMN CCCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NCc1ccccc1C#N ZINC001733989690 1205280724 /nfs/dbraw/zinc/28/07/24/1205280724.db2.gz KWCPTRGZKZEPBO-HUUCEWRRSA-N 0 1 315.461 3.367 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(F)c2occc21 ZINC001734004824 1205285886 /nfs/dbraw/zinc/28/58/86/1205285886.db2.gz RQRPOXFIYFAPBG-WDEREUQCSA-N 0 1 324.783 3.421 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(c2ccccc2C)CC1 ZINC001734007012 1205286892 /nfs/dbraw/zinc/28/68/92/1205286892.db2.gz REFFHSZHGSAVFM-CABCVRRESA-N 0 1 320.864 3.262 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1ccc(Cl)cc1 ZINC001734010224 1205287927 /nfs/dbraw/zinc/28/79/27/1205287927.db2.gz YNPMEISMSOEWJU-VXGBXAGGSA-N 0 1 315.244 3.118 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CN(Cc2sc(C)nc2C)C1 ZINC001734118434 1205328895 /nfs/dbraw/zinc/32/88/95/1205328895.db2.gz XKMDFYVTFNAODP-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)[C@@H](CC)CCC)C2)C1 ZINC001734140371 1205332697 /nfs/dbraw/zinc/33/26/97/1205332697.db2.gz RNZOXAWFJDPKQA-HKUYNNGSSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CN(Cc2cc(C)ccc2F)CC1(C)C ZINC001734144122 1205333410 /nfs/dbraw/zinc/33/34/10/1205333410.db2.gz GUEIICZHAVPSFN-QGZVFWFLSA-N 0 1 318.436 3.427 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2cnccc2c1 ZINC001734349417 1205369097 /nfs/dbraw/zinc/36/90/97/1205369097.db2.gz NAOCONYVHYXSSJ-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2c(F)cccc2F)[C@@H]1C ZINC001734393186 1205371746 /nfs/dbraw/zinc/37/17/46/1205371746.db2.gz FYJAFPRDIADVIG-SUMWQHHRSA-N 0 1 322.399 3.400 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C2(Cc3ccccc3)CC2)[C@H]1C ZINC001734459780 1205381423 /nfs/dbraw/zinc/38/14/23/1205381423.db2.gz OHLDSCIALZNDQP-MJGOQNOKSA-N 0 1 324.468 3.002 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](CC)CCC)CC1(C)C ZINC001734502985 1205388208 /nfs/dbraw/zinc/38/82/08/1205388208.db2.gz RCTXXNVJBRRWAU-ZIAGYGMSSA-N 0 1 300.874 3.392 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](C)CC(C)(C)C)CC1 ZINC001734634188 1205407308 /nfs/dbraw/zinc/40/73/08/1205407308.db2.gz PWUJXJXCJZAQQS-MRXNPFEDSA-N 0 1 304.478 3.220 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC001666720186 1197279935 /nfs/dbraw/zinc/27/99/35/1197279935.db2.gz PCOXSOWMGHLATM-SJORKVTESA-N 0 1 324.509 3.232 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc(Cl)cc(Cl)c1 ZINC001667656852 1197375552 /nfs/dbraw/zinc/37/55/52/1197375552.db2.gz NERPJJPCZYTDMT-UHFFFAOYSA-N 0 1 313.228 3.021 20 30 DGEDMN C[C@H]1CCC[N@@H+](Cc2ccc(C(C)(C)C#N)cc2)[C@@H]1C(=O)[O-] ZINC001589333851 953970696 /nfs/dbraw/zinc/97/06/96/953970696.db2.gz CDOQSPLVYNHUCJ-BBRMVZONSA-N 0 1 300.402 3.173 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC001595704602 982277129 /nfs/dbraw/zinc/27/71/29/982277129.db2.gz CJWNATXBGQXJKM-HUUCEWRRSA-N 0 1 313.438 3.075 20 30 DGEDMN CCCCCCCCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001595705418 982285904 /nfs/dbraw/zinc/28/59/04/982285904.db2.gz ZGBQUCOCINURDN-LSDHHAIUSA-N 0 1 313.438 3.075 20 30 DGEDMN C#CC[N@H+](Cc1cc(C)c(C(=O)[O-])[nH]1)[C@H]1CCc2ccccc21 ZINC001588469718 983502902 /nfs/dbraw/zinc/50/29/02/983502902.db2.gz OOYXBPXEOKADSV-KRWDZBQOSA-N 0 1 308.381 3.144 20 30 DGEDMN C#CC[N@@H+](Cc1ccccc1)Cc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC001588471307 983505619 /nfs/dbraw/zinc/50/56/19/983505619.db2.gz IQQYRHHKBRLPIL-MRXNPFEDSA-N 0 1 323.392 3.174 20 30 DGEDMN CC[N@@H+](Cc1ccc(C#N)cc1C(F)(F)F)C(C)(C)C(=O)[O-] ZINC001596356187 983823319 /nfs/dbraw/zinc/82/33/19/983823319.db2.gz KAJUFIYGPWUELD-UHFFFAOYSA-N 0 1 314.307 3.262 20 30 DGEDMN CC[N@@H+](Cc1cc(C(=O)[O-])n(C)c1)[C@H](C)c1ccc(C#N)cc1 ZINC001596356459 983824104 /nfs/dbraw/zinc/82/41/04/983824104.db2.gz OFEAKGXJHDXQHC-CYBMUJFWSA-N 0 1 311.385 3.178 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](c2nc3ccccc3o2)C1 ZINC001588729072 983985868 /nfs/dbraw/zinc/98/58/68/983985868.db2.gz GYWLCKWQARVYTN-GXTWGEPZSA-N 0 1 300.358 3.037 20 30 DGEDMN C=CC[C@@H]([NH2+][C@@H](C)c1ncc(C(=O)[O-])s1)c1ccncc1 ZINC001588745711 984054192 /nfs/dbraw/zinc/05/41/92/984054192.db2.gz MITHMNNKQIRWSX-CMPLNLGQSA-N 0 1 303.387 3.204 20 30 DGEDMN C=CC[C@H]1[N@@H+](CC(=O)c2cc(C(=O)[O-])[nH]c2C)CCCC1(C)C ZINC001588774003 984150477 /nfs/dbraw/zinc/15/04/77/984150477.db2.gz OKBVSDBHUNKSDQ-MRXNPFEDSA-N 0 1 318.417 3.271 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1ccc(/C=C\C(=O)[O-])o1 ZINC001588829249 984313111 /nfs/dbraw/zinc/31/31/11/984313111.db2.gz LVSONTXWOZATKE-FPLPWBNLSA-N 0 1 301.342 3.201 20 30 DGEDMN C=CC[N@H+](Cc1occc1C(=O)[O-])Cc1ccc(OC)cc1 ZINC001588832312 984321416 /nfs/dbraw/zinc/32/14/16/984321416.db2.gz BTDIHNYIJAFVCK-UHFFFAOYSA-N 0 1 301.342 3.175 20 30 DGEDMN C=CC[N@@H+](Cc1occc1C(=O)[O-])Cc1ccc(OC)cc1 ZINC001588832312 984321421 /nfs/dbraw/zinc/32/14/21/984321421.db2.gz BTDIHNYIJAFVCK-UHFFFAOYSA-N 0 1 301.342 3.175 20 30 DGEDMN C[C@H](C#N)Oc1ccc(C[N@@H+](C)[C@@H](C(=O)[O-])c2ccccc2)cc1 ZINC001589334493 986202172 /nfs/dbraw/zinc/20/21/72/986202172.db2.gz FLDNAVNEOMHGII-RDTXWAMCSA-N 0 1 324.380 3.235 20 30 DGEDMN CC(C)(C#N)CCCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1[C@@H]1CCCO1 ZINC001589554100 987297975 /nfs/dbraw/zinc/29/79/75/987297975.db2.gz UYIXYPSRLRGKKI-JYJNAYRXSA-N 0 1 322.449 3.051 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2CCCCC[C@H]2C(=O)[O-])cc1 ZINC001589558337 987309405 /nfs/dbraw/zinc/30/94/05/987309405.db2.gz OOLYKLOIZDHQCX-INIZCTEOSA-N 0 1 300.402 3.317 20 30 DGEDMN C[N@H+](Cc1cc(C#N)cs1)Cc1ccc(C(=O)[O-])c(F)c1 ZINC001598509468 992551613 /nfs/dbraw/zinc/55/16/13/992551613.db2.gz BOAXRMOMJPHHGF-UHFFFAOYSA-N 0 1 304.346 3.089 20 30 DGEDMN C[N@@H+](Cc1cc(C#N)cs1)Cc1ccc(C(=O)[O-])c(F)c1 ZINC001598509468 992551620 /nfs/dbraw/zinc/55/16/20/992551620.db2.gz BOAXRMOMJPHHGF-UHFFFAOYSA-N 0 1 304.346 3.089 20 30 DGEDMN C[N@@H+](Cc1ccc(C(=O)[O-])c2cccnc12)[C@H]1CCC[C@@H]1C#N ZINC001598509557 992552028 /nfs/dbraw/zinc/55/20/28/992552028.db2.gz CZLFEMXOQYGPLQ-WBMJQRKESA-N 0 1 309.369 3.057 20 30 DGEDMN N#CC1(CNC[C@H](O)c2ccc(Br)cc2)CCCC1 ZINC001338534701 1095329532 /nfs/dbraw/zinc/32/95/32/1095329532.db2.gz ONTWJMMFALTGTQ-AWEZNQCLSA-N 0 1 323.234 3.156 20 30 DGEDMN CN(CCNC(=O)CCC1CCCC1)Cc1cccc(C#N)c1 ZINC001266253227 1081582299 /nfs/dbraw/zinc/58/22/99/1081582299.db2.gz QZDCPECZSRXCCA-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C#CCC(C)(C)C(=O)Nc1nc2cccc(Br)c2[nH]1 ZINC001625789328 1081618032 /nfs/dbraw/zinc/61/80/32/1081618032.db2.gz SMATYYJQCMYOKJ-UHFFFAOYSA-N 0 1 320.190 3.313 20 30 DGEDMN N#Cc1cccc2[nH]cc(C(=O)Nc3ccc4nc[nH]c4c3)c21 ZINC001625807315 1081646410 /nfs/dbraw/zinc/64/64/10/1081646410.db2.gz WLHVODFVROWVPM-UHFFFAOYSA-N 0 1 301.309 3.168 20 30 DGEDMN CCC(C)(C)CC(=O)NCCN(C)Cc1ccc(F)c(C#N)c1 ZINC001480868965 1081658392 /nfs/dbraw/zinc/65/83/92/1081658392.db2.gz ZLYOVUBUVWXKDS-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCC1(C(=O)NCCN(C)Cc2cc(F)ccc2C)CCC1 ZINC001266320333 1081662441 /nfs/dbraw/zinc/66/24/41/1081662441.db2.gz HVEZJSOQVAYBSO-UHFFFAOYSA-N 0 1 318.436 3.429 20 30 DGEDMN C=CCN(CCN(CC=C)C(=O)OCC)Cc1ccccc1 ZINC001209021327 1081674334 /nfs/dbraw/zinc/67/43/34/1081674334.db2.gz XOEPZNYAKHQSKK-UHFFFAOYSA-N 0 1 302.418 3.319 20 30 DGEDMN C=CCN(CCN(C)[C@H](C)c1ccccc1OC)C(=O)OCC ZINC001209021261 1081675295 /nfs/dbraw/zinc/67/52/95/1081675295.db2.gz UPACEUZPZLQGNR-OAHLLOKOSA-N 0 1 320.433 3.333 20 30 DGEDMN C#CCCC[N@H+]1CCc2c(C[NH+](C)Cc3ccco3)cncc2C1 ZINC001209266840 1081704028 /nfs/dbraw/zinc/70/40/28/1081704028.db2.gz DQQHPIJAGJFRQG-UHFFFAOYSA-N 0 1 323.440 3.078 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)c2cc3ccccc3o2)CC1 ZINC001266392407 1081737798 /nfs/dbraw/zinc/73/77/98/1081737798.db2.gz IJZUQEUNUIOYDI-UHFFFAOYSA-N 0 1 324.424 3.383 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](NCc1nc(C)cs1)C1CC1 ZINC001266412614 1081768952 /nfs/dbraw/zinc/76/89/52/1081768952.db2.gz WVALYKUFKNLZKQ-CQSZACIVSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[N@H+](CC)Cc1sc(C)nc1C ZINC001266421729 1081781030 /nfs/dbraw/zinc/78/10/30/1081781030.db2.gz ZTAAWWLXHPGTQX-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCN(CC)Cc1sc(C)nc1C ZINC001266421729 1081781033 /nfs/dbraw/zinc/78/10/33/1081781033.db2.gz ZTAAWWLXHPGTQX-UHFFFAOYSA-N 0 1 323.506 3.300 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1scnc1C ZINC001109351187 1081807935 /nfs/dbraw/zinc/80/79/35/1081807935.db2.gz RAVMAXPPGFQZKX-ILXRZTDVSA-N 0 1 319.474 3.029 20 30 DGEDMN Cc1cc(N)ncc1Nc1c(C#N)cnn1-c1ccc(Cl)cc1 ZINC001209967576 1081848917 /nfs/dbraw/zinc/84/89/17/1081848917.db2.gz PZVUPNRPHJJKHM-UHFFFAOYSA-N 0 1 324.775 3.427 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1CCC[N@@H+]1Cc1ccc(CF)cc1 ZINC001266457018 1081852939 /nfs/dbraw/zinc/85/29/39/1081852939.db2.gz FUKJFASODVVULE-GOSISDBHSA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCN(CCc1ccccc1)[C@@H]1C[C@H]2CCCC[C@@H]2NC1=O ZINC001167273286 1081876827 /nfs/dbraw/zinc/87/68/27/1081876827.db2.gz AQHDADPIUOYNEH-CEXWTWQISA-N 0 1 312.457 3.164 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2Cc1ccc(C)cc1 ZINC001109627170 1081888805 /nfs/dbraw/zinc/88/88/05/1081888805.db2.gz CPOZSXZELPXFRM-AABGKKOBSA-N 0 1 324.468 3.410 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCc1ccc(C)cc1)C2 ZINC001109670162 1081898393 /nfs/dbraw/zinc/89/83/93/1081898393.db2.gz OPACNUICRHWIDF-AABGKKOBSA-N 0 1 324.468 3.063 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)c1cccc(F)c1)C2 ZINC001109700178 1081902582 /nfs/dbraw/zinc/90/25/82/1081902582.db2.gz AFBYHRQHCZNDCA-ZSGPHXLJSA-N 0 1 316.420 3.227 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC/C=C\c1ccccc1)C2 ZINC001109715835 1081902674 /nfs/dbraw/zinc/90/26/74/1081902674.db2.gz FZHXJMWWDMWSBQ-KABKWHCDSA-N 0 1 310.441 3.388 20 30 DGEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)CCC=C(C)C)C2 ZINC001109750146 1081905772 /nfs/dbraw/zinc/90/57/72/1081905772.db2.gz WDVVLXLXOPIGRE-BDXSIMOUSA-N 0 1 302.462 3.114 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)OC1CCCC1)C2 ZINC001109780354 1081914822 /nfs/dbraw/zinc/91/48/22/1081914822.db2.gz QOINPUCERLKGSK-UBDQQSCGSA-N 0 1 320.477 3.022 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@@H](C)c1ccccc1)C2 ZINC001109806122 1081916940 /nfs/dbraw/zinc/91/69/40/1081916940.db2.gz OVHQTOFTLWMURZ-YSHGAJCASA-N 0 1 312.457 3.478 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[C@H](C)c1ccccc1)C2 ZINC001109806121 1081917110 /nfs/dbraw/zinc/91/71/10/1081917110.db2.gz OVHQTOFTLWMURZ-NQYYFHDYSA-N 0 1 312.457 3.478 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](CC)c1ccccc1)C2 ZINC001109969808 1081941025 /nfs/dbraw/zinc/94/10/25/1081941025.db2.gz AYTSMWJRXMXIDA-RNQOJCNYSA-N 0 1 324.468 3.315 20 30 DGEDMN CCCC[C@H](C)C(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001266522170 1081941350 /nfs/dbraw/zinc/94/13/50/1081941350.db2.gz HOPSNLOGGRBENS-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C/C(C)=C/CC(=O)NC[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2)C1 ZINC001266525102 1081945489 /nfs/dbraw/zinc/94/54/89/1081945489.db2.gz CQTPVDMEFDXTGT-VDGBGEPSSA-N 0 1 323.440 3.019 20 30 DGEDMN C=CCCC(=O)N1CCN(CCCc2cccc(C)c2)CC1 ZINC001112687810 1081989015 /nfs/dbraw/zinc/98/90/15/1081989015.db2.gz UACWRVFWDQGEMG-UHFFFAOYSA-N 0 1 300.446 3.038 20 30 DGEDMN CCCN(CC#Cc1ccccc1)CCNC(=O)C(CC)CC ZINC001266556507 1081994421 /nfs/dbraw/zinc/99/44/21/1081994421.db2.gz PMTIIBAKDXJDSV-UHFFFAOYSA-N 0 1 314.473 3.303 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CCC(F)(F)F)CC1 ZINC001112754278 1081997439 /nfs/dbraw/zinc/99/74/39/1081997439.db2.gz WQCCYQAERUCTIN-UHFFFAOYSA-N 0 1 308.388 3.444 20 30 DGEDMN CCCCCCCN1CCN(C(=O)CC2(OC)CCC2)CC1 ZINC001112803053 1082005735 /nfs/dbraw/zinc/00/57/35/1082005735.db2.gz PDCDOZRAGMSAEX-UHFFFAOYSA-N 0 1 310.482 3.060 20 30 DGEDMN C#CCCCC(=O)N1CCN(CC/C=C\c2ccccc2)CC1 ZINC001112809301 1082008422 /nfs/dbraw/zinc/00/84/22/1082008422.db2.gz CYLWMATWUAPZGE-WQLSENKSSA-N 0 1 310.441 3.038 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CCC[C@H](F)C2)CC1 ZINC001112835902 1082019286 /nfs/dbraw/zinc/01/92/86/1082019286.db2.gz TUPPHFPKWWSSBH-SJORKVTESA-N 0 1 310.457 3.405 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2c(C)noc2CCC)CC1 ZINC001112842766 1082021976 /nfs/dbraw/zinc/02/19/76/1082021976.db2.gz APFVIVIFIHZDPY-AWEZNQCLSA-N 0 1 319.449 3.048 20 30 DGEDMN C=CCC(C)(C)C(=O)NCCCNCc1csc(C2CC2)n1 ZINC001167363390 1082027260 /nfs/dbraw/zinc/02/72/60/1082027260.db2.gz WBQHLZGKJGMCRD-UHFFFAOYSA-N 0 1 321.490 3.219 20 30 DGEDMN CCC[C@H](C)CC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001266581403 1082030580 /nfs/dbraw/zinc/03/05/80/1082030580.db2.gz ZQJIRLWSJMYQEJ-WBAXXEDZSA-N 0 1 313.445 3.121 20 30 DGEDMN N#Cc1cnc2ccnn2c1Nc1cc(Cl)c(O)c(Cl)c1 ZINC001210924802 1082047089 /nfs/dbraw/zinc/04/70/89/1082047089.db2.gz RWJILBMRKAFCOU-UHFFFAOYSA-N 0 1 320.139 3.357 20 30 DGEDMN N#CCc1cc(NCC[C@@H]2CCc3ccc4c(c32)CCO4)ccn1 ZINC001170085736 1082048751 /nfs/dbraw/zinc/04/87/51/1082048751.db2.gz OVFXMOCBTZYBHH-HNNXBMFYSA-N 0 1 319.408 3.036 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)C2(COC)CCCC2)CC1 ZINC001112934723 1082055595 /nfs/dbraw/zinc/05/55/95/1082055595.db2.gz LEGOOGHHLCCQGD-UHFFFAOYSA-N 0 1 322.493 3.084 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@H](C)[C@H](C)COC)CC1 ZINC001112954951 1082061489 /nfs/dbraw/zinc/06/14/89/1082061489.db2.gz MQOIABOYUPMDGM-IAGOWNOFSA-N 0 1 312.498 3.020 20 30 DGEDMN CCCCCCCCOCC(=O)N1CCN(CC(C)C)CC1 ZINC001112969645 1082069834 /nfs/dbraw/zinc/06/98/34/1082069834.db2.gz SPVNVTLDIMFRKY-UHFFFAOYSA-N 0 1 312.498 3.164 20 30 DGEDMN C=CCCN1CCN(C(=O)COCCCCCCCC)CC1 ZINC001112969700 1082071144 /nfs/dbraw/zinc/07/11/44/1082071144.db2.gz WQPTXHSVXMWYGA-UHFFFAOYSA-N 0 1 310.482 3.084 20 30 DGEDMN C=CC1CCN([C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CC1 ZINC001167406284 1082072802 /nfs/dbraw/zinc/07/28/02/1082072802.db2.gz OQXPHPHTEWQYKT-INIZCTEOSA-N 0 1 322.811 3.482 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cncc(Cl)c2C)CC1 ZINC001112985464 1082076752 /nfs/dbraw/zinc/07/67/52/1082076752.db2.gz JMHWMQOBGQABJQ-ZDUSSCGKSA-N 0 1 321.852 3.156 20 30 DGEDMN C#CCN(CCNC(=O)[C@@H](c1ccccc1)[C@@H](C)CC)C1CC1 ZINC001266619639 1082084669 /nfs/dbraw/zinc/08/46/69/1082084669.db2.gz VSRSVBDDIREFLC-QFBILLFUSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCN(CCCCC)CC2)cc1 ZINC001113022724 1082092593 /nfs/dbraw/zinc/09/25/93/1082092593.db2.gz PYUPNZNNQADFHV-UHFFFAOYSA-N 0 1 316.445 3.199 20 30 DGEDMN CN(CCNCC#Cc1ccccc1)C(=O)CC1CCCCC1 ZINC001266636680 1082101754 /nfs/dbraw/zinc/10/17/54/1082101754.db2.gz QDFYRHBPEQTTAL-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cccnc2C2CC2)CC1 ZINC001113069126 1082106472 /nfs/dbraw/zinc/10/64/72/1082106472.db2.gz PIIHFBPAHHZTJP-OAHLLOKOSA-N 0 1 313.445 3.072 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(COC)cs2)CC1 ZINC001113071199 1082107340 /nfs/dbraw/zinc/10/73/40/1082107340.db2.gz KXAPEGYOKRJFRT-CQSZACIVSA-N 0 1 322.474 3.007 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2c(C)cncc2C)CC1 ZINC001113099798 1082114627 /nfs/dbraw/zinc/11/46/27/1082114627.db2.gz LLGRQYMICMNAFL-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)CC(F)F)CC1 ZINC001113125637 1082120695 /nfs/dbraw/zinc/12/06/95/1082120695.db2.gz QTPIKOMIKVTWNB-CQSZACIVSA-N 0 1 302.409 3.168 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)c2ncc(C)cc2C)CC1 ZINC001113134018 1082122668 /nfs/dbraw/zinc/12/26/68/1082122668.db2.gz NQDWPZIIDHCCMW-UHFFFAOYSA-N 0 1 315.461 3.203 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cc(F)ccc2C)CC1 ZINC001113169431 1082131335 /nfs/dbraw/zinc/13/13/35/1082131335.db2.gz CUKBSWIKVFMOHX-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccn(C(C)C)c2C)CC1 ZINC001113241384 1082146451 /nfs/dbraw/zinc/14/64/51/1082146451.db2.gz HYMIOOMEIDJFCD-MRXNPFEDSA-N 0 1 317.477 3.490 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001113247824 1082147764 /nfs/dbraw/zinc/14/77/64/1082147764.db2.gz HUTZZURBWHSPJT-MRXNPFEDSA-N 0 1 304.478 3.313 20 30 DGEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CCC[C@H](OC)C2)CC1 ZINC001113270300 1082157693 /nfs/dbraw/zinc/15/76/93/1082157693.db2.gz JEIHKBMFZNIUCZ-MSOLQXFVSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCCCC(=O)N1CCN(Cc2c(C)cccc2C)CC1 ZINC001113280044 1082161664 /nfs/dbraw/zinc/16/16/64/1082161664.db2.gz UWDBWMMWHIBDFI-UHFFFAOYSA-N 0 1 300.446 3.304 20 30 DGEDMN N#Cc1cccc([C@H](O)CN2CCc3c(Cl)cccc3C2)c1 ZINC001167458172 1082165133 /nfs/dbraw/zinc/16/51/33/1082165133.db2.gz RMNXDMNYEXDEGG-GOSISDBHSA-N 0 1 312.800 3.303 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ccc(F)c(C)c2)CC1 ZINC001113277571 1082166393 /nfs/dbraw/zinc/16/63/93/1082166393.db2.gz TYIDRSQAMKJYJR-HNNXBMFYSA-N 0 1 304.409 3.247 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2occc2C)CC1 ZINC001113324925 1082180309 /nfs/dbraw/zinc/18/03/09/1082180309.db2.gz RISKARVRLRQCAO-UHFFFAOYSA-N 0 1 304.434 3.021 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)C2CCC(F)(F)CC2)CC1 ZINC001113380548 1082189931 /nfs/dbraw/zinc/18/99/31/1082189931.db2.gz PBYSBNZRHDIZSZ-AWEZNQCLSA-N 0 1 314.420 3.311 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2C=CC=CC=C2)C1 ZINC001086590706 1082195849 /nfs/dbraw/zinc/19/58/49/1082195849.db2.gz SZACAUXWTXXRGO-VQTJNVASSA-N 0 1 320.436 3.055 20 30 DGEDMN C=CCCCCCN1CCN(C(=O)Cc2ccsc2C)CC1 ZINC001113403758 1082198539 /nfs/dbraw/zinc/19/85/39/1082198539.db2.gz GOJGSBVVONWOJR-UHFFFAOYSA-N 0 1 320.502 3.490 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2ccc(Cl)s2)CC1 ZINC001113411078 1082200411 /nfs/dbraw/zinc/20/04/11/1082200411.db2.gz CQZPBKQQBIIFJT-UHFFFAOYSA-N 0 1 312.866 3.054 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc([C@H](C)CC)no2)CC1 ZINC001113411447 1082200483 /nfs/dbraw/zinc/20/04/83/1082200483.db2.gz YAWVBZIYWCDITO-HUUCEWRRSA-N 0 1 319.449 3.301 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2C[C@@H]2c2ccccc2)CC1 ZINC001113409918 1082205703 /nfs/dbraw/zinc/20/57/03/1082205703.db2.gz GGKJJEYOAPDNMI-QRQLOZEOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)CC1 ZINC001113420944 1082207279 /nfs/dbraw/zinc/20/72/79/1082207279.db2.gz JMIOYYGMCVZUAE-RBUKOAKNSA-N 0 1 312.457 3.209 20 30 DGEDMN C=CC1(CC(=O)N2CCN(C[C@@H](CC)OC)CC2)CCCCC1 ZINC001113428977 1082209657 /nfs/dbraw/zinc/20/96/57/1082209657.db2.gz CINSTPAJANMIRR-QGZVFWFLSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)c2cc(C)oc2C)CC1 ZINC001113432353 1082210229 /nfs/dbraw/zinc/21/02/29/1082210229.db2.gz ZUHNPPXKJNHSOA-GOEBONIOSA-N 0 1 318.461 3.499 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccn2C2CCCC2)CC1 ZINC001113464178 1082222911 /nfs/dbraw/zinc/22/29/11/1082222911.db2.gz RNDURSNTHSIKRT-UHFFFAOYSA-N 0 1 315.461 3.327 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2ccc3scnc3c2)CC1 ZINC001113487475 1082230298 /nfs/dbraw/zinc/23/02/98/1082230298.db2.gz WWOIDJTUGSGYMD-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C2(F)CCCC2)C1 ZINC001086595928 1082237587 /nfs/dbraw/zinc/23/75/87/1082237587.db2.gz BFFFITUMUOSWFX-DLBZAZTESA-N 0 1 316.420 3.039 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@@H](CC)c2ccccc2)CC1 ZINC001113531606 1082242539 /nfs/dbraw/zinc/24/25/39/1082242539.db2.gz UVVYBALXASPJOZ-QGZVFWFLSA-N 0 1 300.446 3.291 20 30 DGEDMN C=CCCCN1CCN(C(=O)c2cccc(C(F)F)c2)CC1 ZINC001113567718 1082251325 /nfs/dbraw/zinc/25/13/25/1082251325.db2.gz BNHIZZVZQPNXRU-UHFFFAOYSA-N 0 1 308.372 3.348 20 30 DGEDMN C=CCCCN1CCN(C(=O)Cc2c(F)cccc2Cl)CC1 ZINC001113577271 1082252970 /nfs/dbraw/zinc/25/29/70/1082252970.db2.gz NPRDJDKFLVDOAZ-UHFFFAOYSA-N 0 1 324.827 3.132 20 30 DGEDMN CCCCCCCCC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001113575678 1082255202 /nfs/dbraw/zinc/25/52/02/1082255202.db2.gz DDIXVJLWRXSCNL-SFHVURJKSA-N 0 1 324.509 3.308 20 30 DGEDMN C=CCCCN1CCN(C(=O)CC(C)(C)CC(F)(F)F)CC1 ZINC001113585196 1082256234 /nfs/dbraw/zinc/25/62/34/1082256234.db2.gz SXRKYXUYHNRQFN-UHFFFAOYSA-N 0 1 320.399 3.466 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ccc(OCC)cc2)CC1 ZINC001113597762 1082258653 /nfs/dbraw/zinc/25/86/53/1082258653.db2.gz KCTBDRNRJJTKIL-MRXNPFEDSA-N 0 1 316.445 3.198 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc3c(s2)CCC3)CC1 ZINC001113606323 1082261342 /nfs/dbraw/zinc/26/13/42/1082261342.db2.gz FFFVGTDIQUHWPP-CQSZACIVSA-N 0 1 318.486 3.349 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](CCC)c1ccccn1 ZINC001266853675 1082268429 /nfs/dbraw/zinc/26/84/29/1082268429.db2.gz QLOMSPBOAOEGFD-IRXDYDNUSA-N 0 1 315.461 3.122 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cc(Cl)cn2CC)CC1 ZINC001113635704 1082268410 /nfs/dbraw/zinc/26/84/10/1082268410.db2.gz JBXWTHWVDSRSCM-CQSZACIVSA-N 0 1 323.868 3.274 20 30 DGEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)Cc2ccccc2)CC1 ZINC001113659981 1082272290 /nfs/dbraw/zinc/27/22/90/1082272290.db2.gz PDRXQLOZABSVOE-QZTJIDSGSA-N 0 1 314.473 3.364 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@H](CC=C)Cc2ccccc2)CC1 ZINC001113662757 1082274442 /nfs/dbraw/zinc/27/44/42/1082274442.db2.gz HHUWSVDYDCHUFK-LJQANCHMSA-N 0 1 312.457 3.142 20 30 DGEDMN C#CCC1(C(=O)N2CCN(CCC(C)C)CC2)CCCCC1 ZINC001113703687 1082284650 /nfs/dbraw/zinc/28/46/50/1082284650.db2.gz HNFPYTQQUJJKRR-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=CCC1(C(=O)N2CCN(CC3CCCC3)CC2)CCCC1 ZINC001113744329 1082293964 /nfs/dbraw/zinc/29/39/64/1082293964.db2.gz AOYDMAICYSEMDR-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001266890899 1082308831 /nfs/dbraw/zinc/30/88/31/1082308831.db2.gz HQUBBJPGMZKNTG-QUCCMNQESA-N 0 1 324.468 3.221 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(Cl)ccc1C ZINC001266901843 1082320507 /nfs/dbraw/zinc/32/05/07/1082320507.db2.gz UQUNENSUGHFOBT-UHFFFAOYSA-N 0 1 301.217 3.063 20 30 DGEDMN CN1CCc2c(C#N)c(Nc3ccc(C#N)c(F)c3)sc2C1 ZINC001204037145 1082359077 /nfs/dbraw/zinc/35/90/77/1082359077.db2.gz SKSAVUXPWYEZQT-UHFFFAOYSA-N 0 1 312.373 3.362 20 30 DGEDMN CCc1ccccc1C(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001266963698 1082382509 /nfs/dbraw/zinc/38/25/09/1082382509.db2.gz CPFHIAKNWKHYTI-QGZVFWFLSA-N 0 1 320.436 3.009 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NCc2oc(C(C)C)nc2C)C1 ZINC001266971586 1082391349 /nfs/dbraw/zinc/39/13/49/1082391349.db2.gz XLIGVQOIVFBCSU-CYBMUJFWSA-N 0 1 319.449 3.057 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccccc1C1CCC1 ZINC001266990707 1082410747 /nfs/dbraw/zinc/41/07/47/1082410747.db2.gz UYAVLZGFRYLMAZ-ZDUSSCGKSA-N 0 1 306.837 3.415 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(-c2cccs2)o1 ZINC001266990914 1082410821 /nfs/dbraw/zinc/41/08/21/1082410821.db2.gz WOKBFGLOUWLTLW-LLVKDONJSA-N 0 1 324.833 3.469 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NCc2coc(C3CC3)n2)C1 ZINC001267079347 1082484552 /nfs/dbraw/zinc/48/45/52/1082484552.db2.gz MJWRWUZDTKMPDQ-HUUCEWRRSA-N 0 1 317.433 3.035 20 30 DGEDMN C[C@]1(O)CCCCN(Cc2ccc(C#N)cc2Br)C1 ZINC001137632716 1082510101 /nfs/dbraw/zinc/51/01/01/1082510101.db2.gz KCYHVQGFJVGKHW-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN C#CCOCCN1CCC[C@H]1c1ccc(Br)cc1 ZINC001167582968 1082556569 /nfs/dbraw/zinc/55/65/69/1082556569.db2.gz YWAYIBQAHZTONR-HNNXBMFYSA-N 0 1 308.219 3.236 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H](C)NC/C(Cl)=C/Cl ZINC001267146464 1082563674 /nfs/dbraw/zinc/56/36/74/1082563674.db2.gz PKCCWTXHVFYGTJ-ZGSOTFDTSA-N 0 1 307.265 3.392 20 30 DGEDMN CCCCCCCCOCC(=O)N1[C@H](C)CN(C)[C@@H](C)[C@H]1C ZINC001130370680 1082574219 /nfs/dbraw/zinc/57/42/19/1082574219.db2.gz RKKVAMMVZYYVBG-IXDOHACOSA-N 0 1 312.498 3.303 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(CC)s1 ZINC001158190638 1082632589 /nfs/dbraw/zinc/63/25/89/1082632589.db2.gz KVPSNWUBIMWPGG-OAHLLOKOSA-N 0 1 318.486 3.260 20 30 DGEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CCCN1C/C=C/c1ccccc1 ZINC001267266486 1082726421 /nfs/dbraw/zinc/72/64/21/1082726421.db2.gz DVOBGJVLUVFFNO-SSVWKNEZSA-N 0 1 324.468 3.330 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1ncccc1CC)C(C)C ZINC001267284681 1082748261 /nfs/dbraw/zinc/74/82/61/1082748261.db2.gz PNBWAZKHMLKURG-UHFFFAOYSA-N 0 1 323.868 3.227 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cc(Cl)cs3)[nH]c2c1 ZINC001131906746 1082759922 /nfs/dbraw/zinc/75/99/22/1082759922.db2.gz UISNYSHYFGIFRG-UHFFFAOYSA-N 0 1 302.746 3.402 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2cccc(OC)c2)C1 ZINC001131895530 1082762823 /nfs/dbraw/zinc/76/28/23/1082762823.db2.gz KDJRPTFQLKOTAC-WBVHZDCISA-N 0 1 316.445 3.131 20 30 DGEDMN C=CCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2csc(C)c2)C1 ZINC001131896840 1082763123 /nfs/dbraw/zinc/76/31/23/1082763123.db2.gz WINSNFSVWLJQNV-CJNGLKHVSA-N 0 1 306.475 3.492 20 30 DGEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1ccsc1C(F)F ZINC001267319759 1082790207 /nfs/dbraw/zinc/79/02/07/1082790207.db2.gz QEWDJOXPDAXYJO-UHFFFAOYSA-N 0 1 314.401 3.151 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CC[C@H](C)N(C/C=C\c2ccccc2)C1 ZINC001132001529 1082791111 /nfs/dbraw/zinc/79/11/11/1082791111.db2.gz ANGUUOVEQYPUJN-GBOJCQPWSA-N 0 1 324.468 3.472 20 30 DGEDMN C[C@@H]1CC[C@@H](NC(=O)C#CC(C)(C)C)CN1Cc1ccsc1 ZINC001132037331 1082799898 /nfs/dbraw/zinc/79/98/98/1082799898.db2.gz AARNOOCYLXFWBH-GDBMZVCRSA-N 0 1 318.486 3.267 20 30 DGEDMN CC#CCN(CCNC(=O)c1ccc(F)c2ccccc12)C1CC1 ZINC001267333312 1082808897 /nfs/dbraw/zinc/80/88/97/1082808897.db2.gz VJXBFDYWSSBOAI-UHFFFAOYSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2scnc2C)C1 ZINC001132065545 1082813465 /nfs/dbraw/zinc/81/34/65/1082813465.db2.gz VRXDCXBZLUEGHD-UKRRQHHQSA-N 0 1 321.490 3.277 20 30 DGEDMN CC#CCN[C@H](CNC(=O)[C@H](F)CC)c1ccc(C(C)C)cc1 ZINC001267346598 1082822980 /nfs/dbraw/zinc/82/29/80/1082822980.db2.gz UBWHYDBDFOXZRK-QZTJIDSGSA-N 0 1 318.436 3.328 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2scnc2C)C1 ZINC001132218317 1082844637 /nfs/dbraw/zinc/84/46/37/1082844637.db2.gz OYBKISRWVJAPCW-GXTWGEPZSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2scnc2C)C1 ZINC001132256534 1082859146 /nfs/dbraw/zinc/85/91/46/1082859146.db2.gz OGTMNIMUODGRPR-UKRRQHHQSA-N 0 1 321.490 3.277 20 30 DGEDMN COC(=O)c1cc(C#N)cnc1-c1cc(C)c(O)c(Cl)c1 ZINC001244538026 1082863270 /nfs/dbraw/zinc/86/32/70/1082863270.db2.gz IKIRZILOUALJRC-UHFFFAOYSA-N 0 1 302.717 3.074 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@H](C)N(CCC(F)(F)F)C1 ZINC001132255787 1082865139 /nfs/dbraw/zinc/86/51/39/1082865139.db2.gz FMHFEDFJBFURMM-STQMWFEESA-N 0 1 306.372 3.264 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001267392634 1082867536 /nfs/dbraw/zinc/86/75/36/1082867536.db2.gz BVSBSWRVUXLGQV-UHFFFAOYSA-N 0 1 319.836 3.199 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cc(Cl)ccc1F ZINC001267414695 1082871681 /nfs/dbraw/zinc/87/16/81/1082871681.db2.gz NKUWQBHDDRLUSR-UHFFFAOYSA-N 0 1 312.816 3.239 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132304641 1082876165 /nfs/dbraw/zinc/87/61/65/1082876165.db2.gz WFCDGCBORCRJMZ-UHFFFAOYSA-N 0 1 324.852 3.157 20 30 DGEDMN CC(C)[C@H](C)C(=O)N(C)CCNCC#Cc1ccccc1Cl ZINC001267435502 1082881677 /nfs/dbraw/zinc/88/16/77/1082881677.db2.gz SBMOWSPYJJCKCP-HNNXBMFYSA-N 0 1 320.864 3.032 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H](C)c1ccccc1F ZINC001267441808 1082883695 /nfs/dbraw/zinc/88/36/95/1082883695.db2.gz VNLIWADTFALFRS-LBPRGKRZSA-N 0 1 312.816 3.120 20 30 DGEDMN CC(C)c1nc2c(ncnc2NCCc2ccc(C#N)cc2)[nH]1 ZINC001167678793 1082896405 /nfs/dbraw/zinc/89/64/05/1082896405.db2.gz XTPOAKANIFTLLI-UHFFFAOYSA-N 0 1 306.373 3.003 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1ccc(Cl)cc1F ZINC001132398851 1082899887 /nfs/dbraw/zinc/89/98/87/1082899887.db2.gz RJWVNDXYAXQSKX-UHFFFAOYSA-N 0 1 324.827 3.269 20 30 DGEDMN C#CCCCCCC(=O)NCCNCc1cccc(F)c1Cl ZINC001132401971 1082900165 /nfs/dbraw/zinc/90/01/65/1082900165.db2.gz HWSYUHHKSYHYKI-UHFFFAOYSA-N 0 1 324.827 3.269 20 30 DGEDMN Cc1ccc(C(=O)NCCN(C)Cc2cccc(C#N)c2)c(C)c1 ZINC001480942311 1082905802 /nfs/dbraw/zinc/90/58/02/1082905802.db2.gz LSZUZJUAILMXCN-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)CCCC2CCCCC2)CC[C@H]1C ZINC001132461530 1082916956 /nfs/dbraw/zinc/91/69/56/1082916956.db2.gz QJOSYFBPHMMXEB-AEFFLSMTSA-N 0 1 304.478 3.339 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cnoc2C)CCCCC1 ZINC001267472250 1082926849 /nfs/dbraw/zinc/92/68/49/1082926849.db2.gz GLYQDKIDHQNVCM-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCC1(C(=O)N[C@H]2CC[C@@H](C)N(CC=C)C2)CCCCC1 ZINC001132530581 1082935664 /nfs/dbraw/zinc/93/56/64/1082935664.db2.gz ZJIWHVWPCTVDLJ-SJORKVTESA-N 0 1 302.462 3.115 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cc(Cl)ccc1F ZINC001132568572 1082947648 /nfs/dbraw/zinc/94/76/48/1082947648.db2.gz AKWIGTCEERDHLR-VXGBXAGGSA-N 0 1 312.816 3.143 20 30 DGEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cc(OC)ccc1Cl ZINC001132573947 1082950390 /nfs/dbraw/zinc/95/03/90/1082950390.db2.gz STQLFAPZPMRVKV-QWHCGFSZSA-N 0 1 324.852 3.013 20 30 DGEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cc(Cl)ccc1OC ZINC001132576249 1082951305 /nfs/dbraw/zinc/95/13/05/1082951305.db2.gz IYFKLRMBRNQMQO-CHWSQXEVSA-N 0 1 324.852 3.013 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cccc(C(C)C)n1 ZINC001132667719 1082975659 /nfs/dbraw/zinc/97/56/59/1082975659.db2.gz QVTWRFZDUZHECN-OAHLLOKOSA-N 0 1 303.450 3.013 20 30 DGEDMN N#Cc1c(F)cc(CN2CCC3(CCCOC3)CC2)cc1F ZINC001140757198 1082976060 /nfs/dbraw/zinc/97/60/60/1082976060.db2.gz WOZWPICQIJBTGV-UHFFFAOYSA-N 0 1 306.356 3.229 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCNCc1cccc(Cl)c1F ZINC001132667354 1082976124 /nfs/dbraw/zinc/97/61/24/1082976124.db2.gz JXFMGEDNXAHZIS-GFCCVEGCSA-N 0 1 312.816 3.287 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cccc3cccnc32)C1 ZINC001267544118 1083012060 /nfs/dbraw/zinc/01/20/60/1083012060.db2.gz NBMVKAHHUYGFDX-QGZVFWFLSA-N 0 1 323.440 3.347 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2ccc(C)c(F)c2)C1 ZINC001267544526 1083012877 /nfs/dbraw/zinc/01/28/77/1083012877.db2.gz QQTQHVZAQNRPOY-QGZVFWFLSA-N 0 1 316.420 3.084 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)C[C@H]2CC=CCC2)C1 ZINC001267547376 1083017650 /nfs/dbraw/zinc/01/76/50/1083017650.db2.gz WYFXIZBVFIGHAI-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001267558496 1083033020 /nfs/dbraw/zinc/03/30/20/1083033020.db2.gz BMFSPIUJZTWEJK-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(Cl)cc1 ZINC001158773329 1083051638 /nfs/dbraw/zinc/05/16/38/1083051638.db2.gz FQGNIWCIDPWKHG-QGZVFWFLSA-N 0 1 318.848 3.290 20 30 DGEDMN CC#CCN(CC)CCNC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001480980000 1083058662 /nfs/dbraw/zinc/05/86/62/1083058662.db2.gz FHLMFASOTPFCHK-IYARVYRRSA-N 0 1 304.478 3.054 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001267571061 1083061902 /nfs/dbraw/zinc/06/19/02/1083061902.db2.gz VNTCKOUNGQHPFB-GJZGRUSLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H](CC)CC(F)F)C1 ZINC001267585027 1083103185 /nfs/dbraw/zinc/10/31/85/1083103185.db2.gz NBLPNYJWXABPJY-CHWSQXEVSA-N 0 1 322.827 3.201 20 30 DGEDMN C=C1CCC(C(=O)NCCNCc2ccccc2Cl)CC1 ZINC001133362571 1083105966 /nfs/dbraw/zinc/10/59/66/1083105966.db2.gz LIMBEWRIQQKGET-UHFFFAOYSA-N 0 1 306.837 3.292 20 30 DGEDMN C=CCCC1(C(=O)NCCNCc2cccc(Cl)c2F)CC1 ZINC001133376049 1083108515 /nfs/dbraw/zinc/10/85/15/1083108515.db2.gz TYUCSDJATXXOQM-UHFFFAOYSA-N 0 1 324.827 3.431 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001267598133 1083131505 /nfs/dbraw/zinc/13/15/05/1083131505.db2.gz URHPJLNAHRIOBL-INIZCTEOSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C1(c2ccccc2F)CCC1 ZINC001481062398 1083145417 /nfs/dbraw/zinc/14/54/17/1083145417.db2.gz BZIXEVJVODUCHT-OAHLLOKOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCNCc1cc(C(C)(C)C)on1 ZINC001158887246 1083153601 /nfs/dbraw/zinc/15/36/01/1083153601.db2.gz TVASHQFLSYWNAO-UHFFFAOYSA-N 0 1 321.465 3.170 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CC[N@@H+](Cc2sc(C)nc2C)C1 ZINC001481094795 1083165549 /nfs/dbraw/zinc/16/55/49/1083165549.db2.gz BEMLKNQQWBUYPG-OAHLLOKOSA-N 0 1 321.490 3.054 20 30 DGEDMN CSc1ccc(CNC(=O)c2cccc(F)c2O)cc1C#N ZINC001133729961 1083184951 /nfs/dbraw/zinc/18/49/51/1083184951.db2.gz OMPXWMMNQSFFQW-UHFFFAOYSA-N 0 1 316.357 3.055 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001267614019 1083202081 /nfs/dbraw/zinc/20/20/81/1083202081.db2.gz ACYHNHHTBBEBCJ-SJLPKXTDSA-N 0 1 322.493 3.271 20 30 DGEDMN C=C[C@H](C(=O)NC[C@@H]1CCN(C/C=C\Cl)C1)c1ccccc1 ZINC001481126981 1083202825 /nfs/dbraw/zinc/20/28/25/1083202825.db2.gz NVLMIZINSYCYSL-NRPYKAQRSA-N 0 1 318.848 3.147 20 30 DGEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1csc(Cl)n1 ZINC001133884020 1083205735 /nfs/dbraw/zinc/20/57/35/1083205735.db2.gz KICOHXURBSXVNZ-MNOVXSKESA-N 0 1 315.870 3.136 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H](C)c1ccccc1Cl ZINC001481132417 1083206889 /nfs/dbraw/zinc/20/68/89/1083206889.db2.gz OFFGKKREKNYKAB-UONOGXRCSA-N 0 1 321.852 3.389 20 30 DGEDMN CCCCCCCN1CCC[C@H](NC(=O)c2snnc2C)C1 ZINC001267620655 1083222057 /nfs/dbraw/zinc/22/20/57/1083222057.db2.gz DTQLCFOUIFVJQR-AWEZNQCLSA-N 0 1 324.494 3.011 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001267624645 1083227373 /nfs/dbraw/zinc/22/73/73/1083227373.db2.gz IQGRLKFFHYQBRW-KRWDZBQOSA-N 0 1 324.424 3.317 20 30 DGEDMN N#Cc1ccnc(CN[C@@H]2c3ccccc3CC23CCOCC3)c1 ZINC001170722375 1083231442 /nfs/dbraw/zinc/23/14/42/1083231442.db2.gz GKFIONASSYRNJW-LJQANCHMSA-N 0 1 319.408 3.137 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cccc3cccnc32)C1 ZINC001267651728 1083246763 /nfs/dbraw/zinc/24/67/63/1083246763.db2.gz SJZUHQCEEXWCHP-CQSZACIVSA-N 0 1 309.413 3.004 20 30 DGEDMN C[C@H](CC(=O)NCCNCc1ccccc1C#N)CC(C)(C)C ZINC001134258499 1083264647 /nfs/dbraw/zinc/26/46/47/1083264647.db2.gz KAGKYFPYAKCSME-OAHLLOKOSA-N 0 1 315.461 3.226 20 30 DGEDMN CC(C)C[C@@H]1CN(C)CCN1C(=O)CC1(C#N)CCCCC1 ZINC001134279634 1083265559 /nfs/dbraw/zinc/26/55/59/1083265559.db2.gz PYNAEHGRRDIUSB-MRXNPFEDSA-N 0 1 305.466 3.039 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C/C=C/c1ccc(C)cc1 ZINC001267716746 1083280127 /nfs/dbraw/zinc/28/01/27/1083280127.db2.gz SBGKPSYSKMYGRL-OJBOGMDESA-N 0 1 310.441 3.260 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@H](C)C[C@H](C)NCc1ncc(C)o1 ZINC001134417902 1083286855 /nfs/dbraw/zinc/28/68/55/1083286855.db2.gz AXEOZQFPXBUMPS-UONOGXRCSA-N 0 1 319.449 3.124 20 30 DGEDMN C=CCC(CC=C)C(=O)N[C@@H](C)C[C@H](C)NCc1cc(C)on1 ZINC001134419627 1083287165 /nfs/dbraw/zinc/28/71/65/1083287165.db2.gz YQMTWICXISAVIW-KBPBESRZSA-N 0 1 319.449 3.124 20 30 DGEDMN C#CCCCCC(=O)N(C)CCNCc1cccc(Cl)c1F ZINC001481223086 1083305349 /nfs/dbraw/zinc/30/53/49/1083305349.db2.gz SQOIFGSYHIDKAZ-UHFFFAOYSA-N 0 1 324.827 3.221 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@@]23C[C@@H]2CCCC3)CC1 ZINC001267746007 1083312709 /nfs/dbraw/zinc/31/27/09/1083312709.db2.gz NOBYKENZPNMXEK-WMZOPIPTSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001267750207 1083317759 /nfs/dbraw/zinc/31/77/59/1083317759.db2.gz ZLBSOGSHRZYHQI-PBHICJAKSA-N 0 1 321.490 3.053 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H]1CCN(Cc2ccccc2F)C1 ZINC001267771119 1083334335 /nfs/dbraw/zinc/33/43/35/1083334335.db2.gz ZCXVLZLJSHKCJL-KRWDZBQOSA-N 0 1 316.420 3.052 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](Cc2ccccc2)C(C)C)C1 ZINC001267772281 1083335592 /nfs/dbraw/zinc/33/55/92/1083335592.db2.gz UGFHZVBWRAZZHI-RBUKOAKNSA-N 0 1 314.473 3.220 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1nsc2ccc(C)cc21 ZINC001481265366 1083342003 /nfs/dbraw/zinc/34/20/03/1083342003.db2.gz JTMLYUPBINKTPR-UHFFFAOYSA-N 0 1 323.849 3.019 20 30 DGEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001267789458 1083348301 /nfs/dbraw/zinc/34/83/01/1083348301.db2.gz FUBDLELLFOXWSN-ZWKOTPCHSA-N 0 1 304.478 3.149 20 30 DGEDMN CC[C@@H](CNC(=O)/C=C\C(C)(C)C)NCc1ccccc1C#N ZINC001267799211 1083354244 /nfs/dbraw/zinc/35/42/44/1083354244.db2.gz JFXCSZCWDRZETB-MQNTZWLQSA-N 0 1 313.445 3.145 20 30 DGEDMN CCCCCCC[C@@H](C)C(=O)N1CCN(C2CCOCC2)CC1 ZINC001181123784 1083413961 /nfs/dbraw/zinc/41/39/61/1083413961.db2.gz XWEFFLUOWRBFAX-QGZVFWFLSA-N 0 1 324.509 3.306 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)c1c(F)cccc1F ZINC001181191174 1083425949 /nfs/dbraw/zinc/42/59/49/1083425949.db2.gz JFSAJOJHOLKDCF-ZDUSSCGKSA-N 0 1 322.399 3.009 20 30 DGEDMN N#Cc1[nH]cnc1NC(=O)CC1c2ccccc2-c2ccccc21 ZINC001181307665 1083447926 /nfs/dbraw/zinc/44/79/26/1083447926.db2.gz ZBRRNXWOGUAEKI-UHFFFAOYSA-N 0 1 314.348 3.422 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@](C)(CNCc1cscn1)C1CC1 ZINC001267852395 1083449471 /nfs/dbraw/zinc/44/94/71/1083449471.db2.gz DEIXIYHYEKKQKY-QGZVFWFLSA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(CNCc2ccon2)CCCC[C@@H]1C ZINC001267865293 1083478992 /nfs/dbraw/zinc/47/89/92/1083478992.db2.gz AHHUJMRVPLLKIL-MAUKXSAKSA-N 0 1 319.449 3.186 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](NCc2cc(OC)ccc2Cl)C1 ZINC001181517439 1083492269 /nfs/dbraw/zinc/49/22/69/1083492269.db2.gz NJKIKRYZFYONLW-CQSZACIVSA-N 0 1 322.836 3.005 20 30 DGEDMN Cc1[nH]nc2cc(NC(=O)Cc3ccccc3C#N)cc(F)c12 ZINC001181799686 1083541825 /nfs/dbraw/zinc/54/18/25/1083541825.db2.gz MURUQAMNYUUVCG-UHFFFAOYSA-N 0 1 308.316 3.063 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC001182109026 1083623046 /nfs/dbraw/zinc/62/30/46/1083623046.db2.gz XUPGIDDIZIAJCU-MRXNPFEDSA-N 0 1 318.848 3.224 20 30 DGEDMN C#CCCCCC(=O)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC001182107895 1083623294 /nfs/dbraw/zinc/62/32/94/1083623294.db2.gz ADWKIIDRENYXJA-CYBMUJFWSA-N 0 1 324.877 3.286 20 30 DGEDMN C#CCCCC(=O)N1CC[C@@H](NCc2ccc(C)cc2Cl)C1 ZINC001182215253 1083652635 /nfs/dbraw/zinc/65/26/35/1083652635.db2.gz PCNITPVKCBJRCZ-MRXNPFEDSA-N 0 1 318.848 3.142 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC001267962207 1083657382 /nfs/dbraw/zinc/65/73/82/1083657382.db2.gz HCJRGKQGGFBWQC-GOSISDBHSA-N 0 1 315.461 3.135 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)c1ccccc1 ZINC001267970768 1083674186 /nfs/dbraw/zinc/67/41/86/1083674186.db2.gz VEQXCUZVJKCDNC-GOEBONIOSA-N 0 1 306.837 3.123 20 30 DGEDMN C=CCCCCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)no1)C2 ZINC001110279002 1083686106 /nfs/dbraw/zinc/68/61/06/1083686106.db2.gz MNKTXBBWZPHPQR-OAGGEKHMSA-N 0 1 317.433 3.065 20 30 DGEDMN CN1CCN(Cc2cccc(F)c2C#N)[C@H](Cc2ccccc2)C1 ZINC001182726295 1083788879 /nfs/dbraw/zinc/78/88/79/1083788879.db2.gz QMMJRXDXDMTUQK-GOSISDBHSA-N 0 1 323.415 3.056 20 30 DGEDMN CC#CCCCCCCCC(=O)NCc1ccc2[nH]nnc2c1 ZINC001182770764 1083799373 /nfs/dbraw/zinc/79/93/73/1083799373.db2.gz YFYMKBXAXLJZRN-UHFFFAOYSA-N 0 1 312.417 3.328 20 30 DGEDMN CC#CCCCCCCCC(=O)NCc1ccc2nn[nH]c2c1 ZINC001182770764 1083799383 /nfs/dbraw/zinc/79/93/83/1083799383.db2.gz YFYMKBXAXLJZRN-UHFFFAOYSA-N 0 1 312.417 3.328 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC001182933940 1083848434 /nfs/dbraw/zinc/84/84/34/1083848434.db2.gz YGYCYUQKHRHEIR-OAHLLOKOSA-N 0 1 306.837 3.387 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)Cc2cccc(C)c2)C1 ZINC001481445372 1083925629 /nfs/dbraw/zinc/92/56/29/1083925629.db2.gz WIZBPSQZYJOCSM-MRXNPFEDSA-N 0 1 320.864 3.118 20 30 DGEDMN CCCCCCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncs1)C2 ZINC001110334265 1083960318 /nfs/dbraw/zinc/96/03/18/1083960318.db2.gz GDZXGAUWTKSJIN-KFWWJZLASA-N 0 1 321.490 3.449 20 30 DGEDMN C=C(C)CN1CC[C@H](c2ccccc2)[C@H](NC(=O)c2cc[nH]c2)C1 ZINC001087428367 1084019102 /nfs/dbraw/zinc/01/91/02/1084019102.db2.gz AYDYNBKKAHTEOJ-RTBURBONSA-N 0 1 323.440 3.179 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cscc1C(F)F ZINC001268065096 1084085413 /nfs/dbraw/zinc/08/54/13/1084085413.db2.gz WIXFAERWQYYSMA-SECBINFHSA-N 0 1 322.808 3.488 20 30 DGEDMN N#CC1(CNCc2cc3c(cccc3O)s2)CCOCC1 ZINC001184279159 1084150379 /nfs/dbraw/zinc/15/03/79/1084150379.db2.gz XZSSSVIIDZNOAS-UHFFFAOYSA-N 0 1 302.399 3.017 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)Cc2cc3ccccc3o2)C1 ZINC001481574090 1084156683 /nfs/dbraw/zinc/15/66/83/1084156683.db2.gz INNNQTUAWFOGDZ-AWEZNQCLSA-N 0 1 312.413 3.130 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c[nH]c2ccc(F)cc12 ZINC001268102366 1084170520 /nfs/dbraw/zinc/17/05/20/1084170520.db2.gz SUQVVSNYSSHIED-NSHDSACASA-N 0 1 323.799 3.110 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)CC1CC(F)(F)C1 ZINC001268108318 1084179104 /nfs/dbraw/zinc/17/91/04/1084179104.db2.gz OPDOHBAGJNWKNJ-CYBMUJFWSA-N 0 1 322.827 3.295 20 30 DGEDMN C[C@@H]1CCC[C@H](C(=O)Nc2nc(-c3cccc(C#N)c3)n[nH]2)C1 ZINC001184469836 1084190954 /nfs/dbraw/zinc/19/09/54/1084190954.db2.gz BTEKGIYTPQITEP-RISCZKNCSA-N 0 1 309.373 3.108 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)C[C@H]3CC=CCC3)n2)c1 ZINC001184482257 1084196482 /nfs/dbraw/zinc/19/64/82/1084196482.db2.gz KDKVTWMWPIDJDC-LBPRGKRZSA-N 0 1 307.357 3.028 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2Cc3c2cccc3Cl)C1 ZINC001481606804 1084249099 /nfs/dbraw/zinc/24/90/99/1084249099.db2.gz ARQIYMFYBQJFLY-WBMJQRKESA-N 0 1 318.848 3.135 20 30 DGEDMN C#CCCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCC(=C)Cl ZINC001268186688 1084299945 /nfs/dbraw/zinc/29/99/45/1084299945.db2.gz QNYZQJZFRAPFHY-GDBMZVCRSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1cccc(Cl)c1 ZINC001282185829 1084358094 /nfs/dbraw/zinc/35/80/94/1084358094.db2.gz PWPATPGMSBIBHY-RYUDHWBXSA-N 0 1 315.244 3.118 20 30 DGEDMN C#Cc1ccccc1NS(=O)(=O)c1ccc(-c2cnco2)cc1 ZINC001185226748 1084385853 /nfs/dbraw/zinc/38/58/53/1084385853.db2.gz DQGUZMBZZMAUNA-UHFFFAOYSA-N 0 1 324.361 3.124 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)Nc2ccc(F)c(F)c2F)c1 ZINC001185330161 1084420226 /nfs/dbraw/zinc/42/02/26/1084420226.db2.gz STGHFYRZGBOARZ-UHFFFAOYSA-N 0 1 307.231 3.325 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2ccc(C#N)cc2O)ccc1Cl ZINC001185529883 1084465549 /nfs/dbraw/zinc/46/55/49/1084465549.db2.gz MNMFWHPZHSKKOP-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C=CCCCN1CC(NC(=O)c2cn(CC)c3ccccc23)C1 ZINC001268343181 1084510417 /nfs/dbraw/zinc/51/04/17/1084510417.db2.gz NXHLWYUDWGDHLD-UHFFFAOYSA-N 0 1 311.429 3.041 20 30 DGEDMN CC/C(C)=C/C(=O)N(C)CCCN(C)Cc1ccccc1C#N ZINC001273508121 1084515261 /nfs/dbraw/zinc/51/52/61/1084515261.db2.gz VBMVVJVKDNHFAD-DTQAZKPQSA-N 0 1 313.445 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccc(F)c1 ZINC001110368952 1084520862 /nfs/dbraw/zinc/52/08/62/1084520862.db2.gz RTASDZMVKJIYQD-ZACQAIPSSA-N 0 1 302.393 3.013 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC(C)(C)CNCc1nc(C)oc1C ZINC001282306990 1084531878 /nfs/dbraw/zinc/53/18/78/1084531878.db2.gz QWLLFTLIXKMALW-UHFFFAOYSA-N 0 1 321.465 3.222 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CC(C)(C)CNCc1cscn1 ZINC001282310102 1084546126 /nfs/dbraw/zinc/54/61/26/1084546126.db2.gz GJHQAPAFZVAIGG-KRWDZBQOSA-N 0 1 323.506 3.320 20 30 DGEDMN CC#CCCCC(=O)N(C)[C@H]1CCN(Cc2csc(C)c2)C1 ZINC001481738241 1084553935 /nfs/dbraw/zinc/55/39/35/1084553935.db2.gz RQSABQUUMADHRW-KRWDZBQOSA-N 0 1 318.486 3.283 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CCNCc2nccs2)CCCC1 ZINC001268431187 1084593124 /nfs/dbraw/zinc/59/31/24/1084593124.db2.gz LHWAXOPWGULFBM-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001481776016 1084628564 /nfs/dbraw/zinc/62/85/64/1084628564.db2.gz UOFNLWVYXSJKNR-MSOLQXFVSA-N 0 1 312.457 3.045 20 30 DGEDMN C=CC1(CC(=O)N2CC[C@@H](NCC(=C)Cl)C2)CCCCC1 ZINC001186295136 1084629512 /nfs/dbraw/zinc/62/95/12/1084629512.db2.gz CDWNHUKHRAHTJC-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](CC)Cc2ccccc2)C1 ZINC001268455995 1084636758 /nfs/dbraw/zinc/63/67/58/1084636758.db2.gz KHYGLOJMZJRRPY-AEFFLSMTSA-N 0 1 314.473 3.410 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C(C)C)C1 ZINC001087452277 1084665600 /nfs/dbraw/zinc/66/56/00/1084665600.db2.gz LASBCNAPYCYZHG-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN C=C(C)CN1CC[C@H](c2cccc(F)c2)[C@H](NC(=O)C(C)C)C1 ZINC001087452277 1084665603 /nfs/dbraw/zinc/66/56/03/1084665603.db2.gz LASBCNAPYCYZHG-QZTJIDSGSA-N 0 1 318.436 3.332 20 30 DGEDMN CC(C)=CC(=O)NC1(CNCc2ccccc2C#N)CCCC1 ZINC001481887074 1084685457 /nfs/dbraw/zinc/68/54/57/1084685457.db2.gz NAHSZFISFGOLIS-UHFFFAOYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C[C@H](C(=O)NC1CN(CC2CC(C)(C)C2)C1)c1ccccc1 ZINC001268483866 1084704781 /nfs/dbraw/zinc/70/47/81/1084704781.db2.gz TXHXFWQMBPEZOZ-SFHVURJKSA-N 0 1 312.457 3.193 20 30 DGEDMN CN(CC#Cc1ccccc1)CCCN(C)C(=O)C1(C2CC2)CC1 ZINC001273529537 1084712086 /nfs/dbraw/zinc/71/20/86/1084712086.db2.gz IUDMWXLPNJGZSC-UHFFFAOYSA-N 0 1 324.468 3.009 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](F)CCCCCC)C1 ZINC001187099248 1084758181 /nfs/dbraw/zinc/75/81/81/1084758181.db2.gz NOOFMFMBKKKPFL-KGLIPLIRSA-N 0 1 304.837 3.238 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2cc(C)co2)cc1 ZINC001482032637 1084783401 /nfs/dbraw/zinc/78/34/01/1084783401.db2.gz FUJWVDQHJPMLNT-UHFFFAOYSA-N 0 1 318.804 3.360 20 30 DGEDMN C=CCCC(=O)NC[C@H](C)CNCc1csc(C(C)(C)C)n1 ZINC001482085634 1084830809 /nfs/dbraw/zinc/83/08/09/1084830809.db2.gz QNUKOXNZWOJCNJ-CYBMUJFWSA-N 0 1 323.506 3.249 20 30 DGEDMN Cc1cc(-c2noc(-c3cnc([C@H](C)N)s3)n2)ccc1C#N ZINC001213205556 1084850026 /nfs/dbraw/zinc/85/00/26/1084850026.db2.gz RYCYPPSMRGTEIG-VIFPVBQESA-N 0 1 311.370 3.060 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(Cl)s1 ZINC001268621705 1084898540 /nfs/dbraw/zinc/89/85/40/1084898540.db2.gz XTXPJKDSUWXNSM-NSCUHMNNSA-N 0 1 305.230 3.030 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CNCc2c(F)cccc2Cl)C1 ZINC001268661207 1084925539 /nfs/dbraw/zinc/92/55/39/1084925539.db2.gz MSQHLFPTGSGAAF-GFCCVEGCSA-N 0 1 324.827 3.430 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H](C)c1ccccc1OC ZINC001268669440 1084931577 /nfs/dbraw/zinc/93/15/77/1084931577.db2.gz KTVAGZLNMBGLBS-TZMCWYRMSA-N 0 1 324.852 3.036 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1csc2c1CCCC2 ZINC001268671706 1084934271 /nfs/dbraw/zinc/93/42/71/1084934271.db2.gz DQEYXOPWEWPKDZ-LLVKDONJSA-N 0 1 312.866 3.087 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2cccc(F)c2o1 ZINC001268675433 1084935741 /nfs/dbraw/zinc/93/57/41/1084935741.db2.gz ZJICWTQBFIIIPC-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnc2ccccc2c1C ZINC001268696764 1084951848 /nfs/dbraw/zinc/95/18/48/1084951848.db2.gz SXSDDZUGVPWDRW-LBPRGKRZSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)CNCc2ccncc2Cl)CCC1 ZINC001268703117 1084958230 /nfs/dbraw/zinc/95/82/30/1084958230.db2.gz JVYJCRRZBBPLPW-ZDUSSCGKSA-N 0 1 321.852 3.076 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)C[N@@H+](C)Cc1ccc(F)c(C#N)c1 ZINC001268721970 1084980607 /nfs/dbraw/zinc/98/06/07/1084980607.db2.gz KPVXFYMNIOIZJT-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001268721970 1084980612 /nfs/dbraw/zinc/98/06/12/1084980612.db2.gz KPVXFYMNIOIZJT-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001268729761 1084990476 /nfs/dbraw/zinc/99/04/76/1084990476.db2.gz PRPUFVGRDDKZTJ-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)C[N@@H+](C)Cc1cccc(Cl)c1 ZINC001268730824 1084994452 /nfs/dbraw/zinc/99/44/52/1084994452.db2.gz WLDDPKOLGZFFLF-AWEZNQCLSA-N 0 1 306.837 3.080 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001268733816 1085000640 /nfs/dbraw/zinc/00/06/40/1085000640.db2.gz LFLJDGJXPWXRPQ-SZMVWBNQSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CN(C)Cc2sc(C)nc2C)C1 ZINC001268748420 1085014236 /nfs/dbraw/zinc/01/42/36/1085014236.db2.gz ZDMLAWXRNQXZPS-LBPRGKRZSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2CCc1ccc(C)cc1 ZINC001268965080 1085087718 /nfs/dbraw/zinc/08/77/18/1085087718.db2.gz JAXFSLFWFJYZBH-OALUTQOASA-N 0 1 312.457 3.179 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccccc1C)C1CC1 ZINC001269120616 1085133709 /nfs/dbraw/zinc/13/37/09/1085133709.db2.gz QFKQZVNIGJKTIM-KRWDZBQOSA-N 0 1 320.864 3.165 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001269193714 1085191944 /nfs/dbraw/zinc/19/19/44/1085191944.db2.gz RGCDCIYGTYZFCF-QGZVFWFLSA-N 0 1 316.445 3.246 20 30 DGEDMN CC[C@H](c1ccccc1)N1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001269235698 1085224936 /nfs/dbraw/zinc/22/49/36/1085224936.db2.gz NMUQDUKLDDAAEE-ZWKOTPCHSA-N 0 1 312.457 3.378 20 30 DGEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001269233649 1085226027 /nfs/dbraw/zinc/22/60/27/1085226027.db2.gz KJSIJKHUKCMLHL-MAUKXSAKSA-N 0 1 316.420 3.223 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cncc(C)c2)C1 ZINC001269274581 1085258190 /nfs/dbraw/zinc/25/81/90/1085258190.db2.gz MLDAZOGPHIUANH-IEBWSBKVSA-N 0 1 315.461 3.025 20 30 DGEDMN C#CCCCCCC(=O)NC[C@@H]1CCN1CC1=CCCCC1 ZINC001269273258 1085258441 /nfs/dbraw/zinc/25/84/41/1085258441.db2.gz ULQMJMKKYYLARC-SFHVURJKSA-N 0 1 302.462 3.261 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1cccc(C)c1 ZINC001269288022 1085270553 /nfs/dbraw/zinc/27/05/53/1085270553.db2.gz YSTBOWATTPYOLE-PKOBYXMFSA-N 0 1 300.446 3.288 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)CCCc2ccccc2)C1 ZINC001269303222 1085284998 /nfs/dbraw/zinc/28/49/98/1085284998.db2.gz ZWLNDZKFIZIYSR-HKUYNNGSSA-N 0 1 314.473 3.364 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1ccc2ccccc2c1 ZINC001269342597 1085319477 /nfs/dbraw/zinc/31/94/77/1085319477.db2.gz AIQXXXQHTLDFIZ-IBGZPJMESA-N 0 1 308.425 3.149 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)c2ccccc2F)C1 ZINC001269349605 1085327117 /nfs/dbraw/zinc/32/71/17/1085327117.db2.gz UHKPNRAGYDTWEE-HUUCEWRRSA-N 0 1 304.409 3.038 20 30 DGEDMN CC/C(C)=C/C(=O)NC[C@@H](CC)NCc1cc(C#N)ccc1F ZINC001269395997 1085359702 /nfs/dbraw/zinc/35/97/02/1085359702.db2.gz AYQVGDLZFSXHNG-WDBUWWRISA-N 0 1 317.408 3.038 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2(N[C@@H](C)c3ccccc3F)CC2)C1 ZINC001269489019 1085417289 /nfs/dbraw/zinc/41/72/89/1085417289.db2.gz DSTZNGRXGNKTBJ-AWEZNQCLSA-N 0 1 316.420 3.481 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(F)c2occc21 ZINC001269509807 1085436546 /nfs/dbraw/zinc/43/65/46/1085436546.db2.gz LZSGCNZCDOUMKZ-NSHDSACASA-N 0 1 324.783 3.422 20 30 DGEDMN C=CCCOCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CC/C=C\CC ZINC001269682100 1085528622 /nfs/dbraw/zinc/52/86/22/1085528622.db2.gz ZTHASSBFXCJHCA-ITQAEIPNSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cc2cc(C)ccc2C)C1 ZINC001269844356 1085582331 /nfs/dbraw/zinc/58/23/31/1085582331.db2.gz ZFBQPXRKHIAKSD-QGZVFWFLSA-N 0 1 314.473 3.343 20 30 DGEDMN CCC(CC)C(=O)N(C)[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001482257048 1085644579 /nfs/dbraw/zinc/64/45/79/1085644579.db2.gz GSWOVXDEKSHZTO-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(F)c(F)cc1Br ZINC001225845831 1085691862 /nfs/dbraw/zinc/69/18/62/1085691862.db2.gz IVUKKNVFZMCGDK-YFKPBYRVSA-N 0 1 319.101 3.325 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001270112602 1085696376 /nfs/dbraw/zinc/69/63/76/1085696376.db2.gz HQHFYNVKNURKTM-LSDHHAIUSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001270137994 1085707119 /nfs/dbraw/zinc/70/71/19/1085707119.db2.gz LNKBWQSRHCRKGV-CABCVRRESA-N 0 1 316.848 3.095 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001270174383 1085721934 /nfs/dbraw/zinc/72/19/34/1085721934.db2.gz MDBKTTRKXLKNJZ-YESZJQIVSA-N 0 1 318.848 3.123 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H]2CNCC(=C)Cl)CCCC1 ZINC001270201637 1085731473 /nfs/dbraw/zinc/73/14/73/1085731473.db2.gz XNWQXPCOIVLRGD-OAHLLOKOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)c1ccccc1 ZINC001270293537 1085763590 /nfs/dbraw/zinc/76/35/90/1085763590.db2.gz VEQXCUZVJKCDNC-GDBMZVCRSA-N 0 1 306.837 3.123 20 30 DGEDMN C#CCN(C(=O)[C@H](CC)CCCCC)C1CCN(CC#C)CC1 ZINC001270363533 1085785588 /nfs/dbraw/zinc/78/55/88/1085785588.db2.gz FWDGVMGZWVLZEL-GOSISDBHSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC1(C)C)C1CCCC1 ZINC001270423663 1085809619 /nfs/dbraw/zinc/80/96/19/1085809619.db2.gz VYNDKFLSGDFXPO-LSDHHAIUSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCCC(=O)N[C@@]1(C)CCN(CCc2c(F)cccc2F)C1 ZINC001270556256 1085861310 /nfs/dbraw/zinc/86/13/10/1085861310.db2.gz CHNYBXMGNXBYIK-SFHVURJKSA-N 0 1 322.399 3.054 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001270575630 1085868920 /nfs/dbraw/zinc/86/89/20/1085868920.db2.gz FUBQSAVDJSAQCK-HNAYVOBHSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001270625555 1085897840 /nfs/dbraw/zinc/89/78/40/1085897840.db2.gz YGXANWYBWQNHDJ-HXUWFJFHSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)CC2(C)CCCC2)C1 ZINC001270648893 1085917109 /nfs/dbraw/zinc/91/71/09/1085917109.db2.gz DYQCOITVDOTBGN-SJORKVTESA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(OCCCC)cc2)C1 ZINC001270658381 1085924920 /nfs/dbraw/zinc/92/49/20/1085924920.db2.gz PXTZCEWKXVKMKM-IBGZPJMESA-N 0 1 316.445 3.246 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)c1ccccc1C ZINC001482368546 1086085355 /nfs/dbraw/zinc/08/53/55/1086085355.db2.gz PRZNLXYXFNFKRW-HUUCEWRRSA-N 0 1 308.853 3.288 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(-c3ccoc3)c1)C2 ZINC001098173722 1086167869 /nfs/dbraw/zinc/16/78/69/1086167869.db2.gz ZTXGDWKGEVKCHZ-QRVBRYPASA-N 0 1 322.408 3.468 20 30 DGEDMN CCC[C@H](C)C(=O)N(C)[C@@H](C)CNCc1cc(F)ccc1C#N ZINC001270922984 1086191732 /nfs/dbraw/zinc/19/17/32/1086191732.db2.gz YIBZIEWFDBKINV-KBPBESRZSA-N 0 1 319.424 3.070 20 30 DGEDMN C=CCCC(=O)NC[C@]1(C)CCCN(CC#Cc2ccccc2)C1 ZINC001270940283 1086206177 /nfs/dbraw/zinc/20/61/77/1086206177.db2.gz GKVCPWBNDYGTDO-NRFANRHFSA-N 0 1 324.468 3.223 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2ccncc2s1 ZINC001270996854 1086235895 /nfs/dbraw/zinc/23/58/95/1086235895.db2.gz XEUNNYGETBINHX-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNCc1cc(F)ccc1F ZINC001271068065 1086272441 /nfs/dbraw/zinc/27/24/41/1086272441.db2.gz LRJLTWBYHKXPGD-GFCCVEGCSA-N 0 1 310.388 3.114 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cncc2sccc21 ZINC001271075469 1086275748 /nfs/dbraw/zinc/27/57/48/1086275748.db2.gz NGSDNDPLCZYQRQ-LLVKDONJSA-N 0 1 323.849 3.099 20 30 DGEDMN C=CCCCC(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001271083464 1086280093 /nfs/dbraw/zinc/28/00/93/1086280093.db2.gz QHLNFASUFUPPLF-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNCc1cc(C2CC2)no1 ZINC001271094021 1086288887 /nfs/dbraw/zinc/28/88/87/1086288887.db2.gz SYAMJSQFIQDAHR-SCLBCKFNSA-N 0 1 319.449 3.091 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2occc2s1 ZINC001271100278 1086290592 /nfs/dbraw/zinc/29/05/92/1086290592.db2.gz GXDQSKOERKKIFW-SNVBAGLBSA-N 0 1 312.822 3.297 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@@H](C)CNCc2cccc(Cl)n2)C1 ZINC001271103524 1086292857 /nfs/dbraw/zinc/29/28/57/1086292857.db2.gz ZPTYIOJIJIDEJS-ZDUSSCGKSA-N 0 1 321.852 3.028 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2cc3ccncc3s2)[C@H]1CC ZINC001087601864 1086322959 /nfs/dbraw/zinc/32/29/59/1086322959.db2.gz OIBBBEIKLMSHCJ-UONOGXRCSA-N 0 1 315.442 3.065 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)[C@H]1CC ZINC001087622518 1086331780 /nfs/dbraw/zinc/33/17/80/1086331780.db2.gz QWDMQQFXOXQCSO-YSTOQKLRSA-N 0 1 324.468 3.173 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2sc(CC)nc2C)[C@H]1CC ZINC001087759207 1086399797 /nfs/dbraw/zinc/39/97/97/1086399797.db2.gz GINRNPCTACNNQW-UONOGXRCSA-N 0 1 321.490 3.173 20 30 DGEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)[C@H]1CC ZINC001087789617 1086409344 /nfs/dbraw/zinc/40/93/44/1086409344.db2.gz ABKZIRPTNZZGJY-BHYGNILZSA-N 0 1 324.424 3.139 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2cc3ccccc3o2)[C@H]1CC ZINC001087789617 1086409349 /nfs/dbraw/zinc/40/93/49/1086409349.db2.gz ABKZIRPTNZZGJY-BHYGNILZSA-N 0 1 324.424 3.139 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2oc3ccccc3c2C)[C@H]1CC ZINC001087819537 1086426917 /nfs/dbraw/zinc/42/69/17/1086426917.db2.gz CWZWSIDPPCCGJF-DLBZAZTESA-N 0 1 324.424 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccoc1C ZINC001482480884 1086536610 /nfs/dbraw/zinc/53/66/10/1086536610.db2.gz HKMLFZNXAAGZRA-XHDPSFHLSA-N 0 1 310.825 3.171 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)Cc1ccccc1 ZINC001271215056 1086546112 /nfs/dbraw/zinc/54/61/12/1086546112.db2.gz BIQYTQDTRKOWIQ-DZGCQCFKSA-N 0 1 308.853 3.054 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCCCC1(C)C ZINC001271228514 1086550004 /nfs/dbraw/zinc/55/00/04/1086550004.db2.gz SEIWKKNOONEAFM-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H](C)[C@H]1CNCc1conc1C ZINC001482487857 1086620313 /nfs/dbraw/zinc/62/03/13/1086620313.db2.gz AQKQESPRQVXLDI-WMLDXEAASA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1ocnc1C ZINC001271728882 1086729308 /nfs/dbraw/zinc/72/93/08/1086729308.db2.gz VLAWJOAJECDSNL-GOEBONIOSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1ocnc1C ZINC001271728880 1086729810 /nfs/dbraw/zinc/72/98/10/1086729810.db2.gz VLAWJOAJECDSNL-GDBMZVCRSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H](F)CC)CC1 ZINC001482506437 1086732932 /nfs/dbraw/zinc/73/29/32/1086732932.db2.gz YTEAXQKWNUGKEG-AWEZNQCLSA-N 0 1 304.837 3.048 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)Cc2ccoc2)CC1 ZINC001482503221 1086733931 /nfs/dbraw/zinc/73/39/31/1086733931.db2.gz HSVFYBAJKGKJOV-UHFFFAOYSA-N 0 1 324.852 3.135 20 30 DGEDMN CC#CCCCCCCCC(=O)N1CCCC2(CN(C)C2)C1 ZINC001271781914 1086764574 /nfs/dbraw/zinc/76/45/74/1086764574.db2.gz MDDLLHLLGXEGMY-UHFFFAOYSA-N 0 1 304.478 3.295 20 30 DGEDMN C#CC[C@@H](CC)Oc1[nH]c(=O)nc2cc(Br)ccc21 ZINC001228067120 1086797612 /nfs/dbraw/zinc/79/76/12/1086797612.db2.gz DOXBDHRRPKTQRY-SNVBAGLBSA-N 0 1 321.174 3.279 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(Cl)c[nH]1 ZINC001280429309 1086798391 /nfs/dbraw/zinc/79/83/91/1086798391.db2.gz DGYYASJRXACKCQ-GFCCVEGCSA-N 0 1 316.232 3.005 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCCCC[C@H](NCc2cc(C)no2)C1 ZINC001088359203 1086859756 /nfs/dbraw/zinc/85/97/56/1086859756.db2.gz UHWHLLAUDNISOQ-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1cccnc1 ZINC001272033711 1087003232 /nfs/dbraw/zinc/00/32/32/1087003232.db2.gz KFYLJXGVMJPUMY-QZTJIDSGSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1cc(C)sc1C ZINC001482592170 1087015310 /nfs/dbraw/zinc/01/53/10/1087015310.db2.gz WDDXEDFBLAOPHY-UHFFFAOYSA-N 0 1 320.502 3.449 20 30 DGEDMN C=C(Br)CNCCN(CC)C(=O)C(C)(CC)CC ZINC001482969078 1087203787 /nfs/dbraw/zinc/20/37/87/1087203787.db2.gz HGAXPCJGVPJHAU-UHFFFAOYSA-N 0 1 319.287 3.159 20 30 DGEDMN CCN(CC)Cc1cccc(NC(=N)c2nccs2)c1F ZINC001168283665 1087273569 /nfs/dbraw/zinc/27/35/69/1087273569.db2.gz HABYFVYISFCSPZ-UHFFFAOYSA-N 0 1 306.410 3.161 20 30 DGEDMN C=C1CCC(C(=O)NC/C=C\CNCC#Cc2ccccc2)CC1 ZINC001483055527 1087306684 /nfs/dbraw/zinc/30/66/84/1087306684.db2.gz ZUKYWUWIPKNCBX-WAYWQWQTSA-N 0 1 322.452 3.047 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC001483070226 1087330080 /nfs/dbraw/zinc/33/00/80/1087330080.db2.gz XGZIJIXIGZDCAE-OPCNFVSTSA-N 0 1 318.848 3.113 20 30 DGEDMN CC[C@H](C)[C@@H](C)C(=O)NC/C=C/CNCc1ccc(C#N)s1 ZINC001483077448 1087332591 /nfs/dbraw/zinc/33/25/91/1087332591.db2.gz SLSLPIWXZFHZMV-BUHQSOCUSA-N 0 1 319.474 3.064 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc(C)n(C(C)C)c1C ZINC001483094460 1087339923 /nfs/dbraw/zinc/33/99/23/1087339923.db2.gz ZPNRIECKOTXTDJ-VOTSOKGWSA-N 0 1 323.868 3.314 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)Cc1cccc(F)c1 ZINC001483100156 1087342997 /nfs/dbraw/zinc/34/29/97/1087342997.db2.gz AQPVEDPFNJAYAJ-SCOBNMCVSA-N 0 1 324.827 3.019 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)C2CC(C)(C)CC(C)(C)C2)[C@H]1C ZINC001088774108 1087348661 /nfs/dbraw/zinc/34/86/61/1087348661.db2.gz WPEPZACJOVJFEI-WBVHZDCISA-N 0 1 318.505 3.441 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2ccccc2cc1F ZINC001483148217 1087404560 /nfs/dbraw/zinc/40/45/60/1087404560.db2.gz WYTOEHQXHHYHCI-GFCCVEGCSA-N 0 1 320.795 3.439 20 30 DGEDMN C=CC1(CC(=O)N[C@H](C)CNCc2cc(C)no2)CCCCC1 ZINC001483174762 1087411140 /nfs/dbraw/zinc/41/11/40/1087411140.db2.gz LVZLNSFRROPZPL-OAHLLOKOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=CCC[C@@H](C)N1CC(OC2CCN(C(=O)[C@@H](C)CC)CC2)C1 ZINC001105688240 1087424703 /nfs/dbraw/zinc/42/47/03/1087424703.db2.gz WOQJWHJNHKQUJO-JKSUJKDBSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCCC(=O)N1CCC(OC2CN(CCCCCC)C2)CC1 ZINC001105696642 1087439452 /nfs/dbraw/zinc/43/94/52/1087439452.db2.gz ASAVXQLJAADXQY-UHFFFAOYSA-N 0 1 322.493 3.225 20 30 DGEDMN Cc1cccc(C)c1C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001483268386 1087454446 /nfs/dbraw/zinc/45/44/46/1087454446.db2.gz YKTVINBCAAYNLE-INIZCTEOSA-N 0 1 321.424 3.083 20 30 DGEDMN C=CCCCN1CC(OC2CCN(C(=O)C[C@@H](C)CC)CC2)C1 ZINC001105706443 1087458206 /nfs/dbraw/zinc/45/82/06/1087458206.db2.gz UYGUFXFLJHSWHR-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCN1CC(OC2CCN(C(=O)C[C@@H](C)CC)CC2)C1 ZINC001105706381 1087458211 /nfs/dbraw/zinc/45/82/11/1087458211.db2.gz SWZOQMJMWPJPRM-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(OC2CN(C[C@@H](C)CC)C2)CC1 ZINC001105709710 1087477241 /nfs/dbraw/zinc/47/72/41/1087477241.db2.gz TXSKLGRDYBEOQP-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)CN(C)Cc2ncccc2C)CC1 ZINC001483380365 1087486837 /nfs/dbraw/zinc/48/68/37/1087486837.db2.gz HQJLVYFOCIJRAN-MRXNPFEDSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNC/C(Cl)=C/Cl)C1CC1 ZINC001483563400 1087526344 /nfs/dbraw/zinc/52/63/44/1087526344.db2.gz QBRQGFQVJHVJRH-OTAKNEKHSA-N 0 1 305.249 3.146 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CCC2(CC2)CC1)C1CC1 ZINC001483574623 1087528070 /nfs/dbraw/zinc/52/80/70/1087528070.db2.gz BAWQIUGDSHMOLD-OAHLLOKOSA-N 0 1 310.869 3.194 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccoc1C1CC1)C1CC1 ZINC001483583383 1087529691 /nfs/dbraw/zinc/52/96/91/1087529691.db2.gz OPPURXLSMNQHHL-CQSZACIVSA-N 0 1 308.809 3.008 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](CNC/C(Cl)=C/Cl)C2CC2)C1 ZINC001483585302 1087530113 /nfs/dbraw/zinc/53/01/13/1087530113.db2.gz IZECIUQSNJIIGA-OTAKNEKHSA-N 0 1 317.260 3.146 20 30 DGEDMN C=Cc1ccc(C(=O)N[C@@H](CNCC(=C)Cl)C2CC2)cc1 ZINC001483589856 1087530941 /nfs/dbraw/zinc/53/09/41/1087530941.db2.gz SZIPCOIBOVXDLE-INIZCTEOSA-N 0 1 304.821 3.180 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(OC2CN(CCCC)C2)CC1 ZINC001105720705 1087543245 /nfs/dbraw/zinc/54/32/45/1087543245.db2.gz BYNMIMHLDIHTBT-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1cc(C)oc1C ZINC001483631908 1087586319 /nfs/dbraw/zinc/58/63/19/1087586319.db2.gz WCRFSJBFMXPZCO-INIZCTEOSA-N 0 1 304.434 3.447 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2scnc2C(C)C)[C@H]1C ZINC001088907359 1087602129 /nfs/dbraw/zinc/60/21/29/1087602129.db2.gz NWJXQMQQOZSSDC-OLZOCXBDSA-N 0 1 307.463 3.035 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001483654061 1087606650 /nfs/dbraw/zinc/60/66/50/1087606650.db2.gz WBSVAJQSXJIQDM-SFHVURJKSA-N 0 1 300.446 3.350 20 30 DGEDMN C=CCN1CC[C@H](NC(=O)c2coc3ccc(Cl)cc23)[C@H]1C ZINC001089008430 1087620681 /nfs/dbraw/zinc/62/06/81/1087620681.db2.gz KBGMTRNIXODYOB-ABAIWWIYSA-N 0 1 318.804 3.465 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)c(CC)s2)[C@H]1C ZINC001089026971 1087624037 /nfs/dbraw/zinc/62/40/37/1087624037.db2.gz PPLOWHAGEKRYEJ-KGLIPLIRSA-N 0 1 306.475 3.388 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C3CCCC3)no2)[C@H]1C ZINC001089048705 1087627164 /nfs/dbraw/zinc/62/71/64/1087627164.db2.gz BBZPIFYWWOOZGW-HIFRSBDPSA-N 0 1 317.433 3.101 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)CC2CCC(C)(C)CC2)[C@H]1C ZINC001089087352 1087634347 /nfs/dbraw/zinc/63/43/47/1087634347.db2.gz AAUZZTVYYZDBSQ-WBVHZDCISA-N 0 1 304.478 3.195 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)C1CCC1 ZINC001099126592 1087662035 /nfs/dbraw/zinc/66/20/35/1087662035.db2.gz VTOMWWLZEDNYSZ-WBVHZDCISA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CC[C@]2(CCCN2Cc2ccc(Cl)c(C)c2)C1=O ZINC001272433239 1087703051 /nfs/dbraw/zinc/70/30/51/1087703051.db2.gz VDRIVVMULPYIGD-GOSISDBHSA-N 0 1 318.848 3.401 20 30 DGEDMN O=C(/C=C/c1cc(O)ccc1[N+](=O)[O-])c1ccc(F)cc1F ZINC000176380109 1087717089 /nfs/dbraw/zinc/71/70/89/1087717089.db2.gz QCATYRCMXZIAMT-LZCJLJQNSA-N 0 1 305.236 3.475 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CSc2ccc(F)c(F)c2)c1 ZINC000176399435 1087724895 /nfs/dbraw/zinc/72/48/95/1087724895.db2.gz WCWGGVPBCCVMSN-UHFFFAOYSA-N 0 1 320.320 3.273 20 30 DGEDMN Cc1cccc2c(CC(=O)Nc3cc(C#N)ccc3O)c[nH]c21 ZINC000176405052 1087725684 /nfs/dbraw/zinc/72/56/84/1087725684.db2.gz APDZMNRUELVNRG-UHFFFAOYSA-N 0 1 305.337 3.235 20 30 DGEDMN CCCCCCCCCN1CC2(C1)COCC(=O)N2[C@@H](C)CC ZINC001272478927 1087727409 /nfs/dbraw/zinc/72/74/09/1087727409.db2.gz SNTDXECFMNVMKS-KRWDZBQOSA-N 0 1 324.509 3.449 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)C(C)(C)F)CC(C)(C)C1 ZINC001089291521 1087741136 /nfs/dbraw/zinc/74/11/36/1087741136.db2.gz AIWALANEYMYOJZ-LBPRGKRZSA-N 0 1 304.837 3.094 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C1CCC2(CC2)CC1 ZINC001158232701 1087743247 /nfs/dbraw/zinc/74/32/47/1087743247.db2.gz DEZJTUOXMBFJQA-GOSISDBHSA-N 0 1 316.489 3.293 20 30 DGEDMN N#Cc1nc2ccc(NC(=O)c3ccn4cncc4c3)cc2s1 ZINC001151902849 1087819458 /nfs/dbraw/zinc/81/94/58/1087819458.db2.gz TUYKJPYXPPDMNY-UHFFFAOYSA-N 0 1 319.349 3.068 20 30 DGEDMN CCC1(C(=O)NCCNCC#Cc2ccccc2)CCCCC1 ZINC001152053642 1087886349 /nfs/dbraw/zinc/88/63/49/1087886349.db2.gz AADOSGFMQUCLMV-UHFFFAOYSA-N 0 1 312.457 3.104 20 30 DGEDMN C[C@H](C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1)C1CC1 ZINC000176534589 1087892048 /nfs/dbraw/zinc/89/20/48/1087892048.db2.gz UQOIVXREVNWOBI-GZMMTYOYSA-N 0 1 308.740 3.173 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc2c(c1)C=CCC2 ZINC001158588279 1087923288 /nfs/dbraw/zinc/92/32/88/1087923288.db2.gz SVZOVJOLIMJKOD-HXUWFJFHSA-N 0 1 322.452 3.206 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(C(F)F)cc1 ZINC001158616163 1087942653 /nfs/dbraw/zinc/94/26/53/1087942653.db2.gz FJFDPECSRLMJKL-MRXNPFEDSA-N 0 1 320.383 3.184 20 30 DGEDMN C=CCCC(=O)NC[C@@H](C)N(CC)Cc1cc(C)ccc1OC ZINC001152313915 1087947186 /nfs/dbraw/zinc/94/71/86/1087947186.db2.gz ZSLKYXIDYQIWDS-MRXNPFEDSA-N 0 1 318.461 3.296 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1ccc(C#N)s1 ZINC001152460021 1087981552 /nfs/dbraw/zinc/98/15/52/1087981552.db2.gz NNEXQZNZNIXWOI-UONOGXRCSA-N 0 1 321.490 3.383 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ccoc1CCC ZINC001158744118 1088002494 /nfs/dbraw/zinc/00/24/94/1088002494.db2.gz BQYJJPQOKCCGFY-MRXNPFEDSA-N 0 1 316.445 3.182 20 30 DGEDMN C=CC(C)(C)NC(=O)c1n[nH]c2ccc(Br)cc21 ZINC001158857882 1088070675 /nfs/dbraw/zinc/07/06/75/1088070675.db2.gz CSYKDVDYENBZMJ-UHFFFAOYSA-N 0 1 308.179 3.020 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C=C)c1ccccc1 ZINC001158900399 1088092046 /nfs/dbraw/zinc/09/20/46/1088092046.db2.gz DEIRESNDCSQCNR-AZUAARDMSA-N 0 1 324.468 3.292 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CC2CCC1CC2 ZINC001158927906 1088105812 /nfs/dbraw/zinc/10/58/12/1088105812.db2.gz IAXSVQVOAHMHHM-GMNCBBECSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1CCC(C)(C)CC1 ZINC001158936085 1088108656 /nfs/dbraw/zinc/10/86/56/1088108656.db2.gz SROOZPYYKQUALT-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccccc1Cl ZINC001272600716 1088110577 /nfs/dbraw/zinc/11/05/77/1088110577.db2.gz KIXYXNGYAJCWKT-HZPDHXFCSA-N 0 1 304.821 3.091 20 30 DGEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1ccccc1Cl ZINC001272600375 1088110810 /nfs/dbraw/zinc/11/08/10/1088110810.db2.gz GKFPTICCJDALGK-SFHVURJKSA-N 0 1 318.848 3.483 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2cnc3[nH]ccc(Cl)c2-3)cc1 ZINC001153109471 1088169833 /nfs/dbraw/zinc/16/98/33/1088169833.db2.gz HRJLZUIIGQLDLF-UHFFFAOYSA-N 0 1 309.756 3.128 20 30 DGEDMN N#Cc1cc(Nc2nc3c(cccc3[O-])s2)cc(C2C[NH2+]C2)c1 ZINC001159113805 1088186871 /nfs/dbraw/zinc/18/68/71/1088186871.db2.gz XTLUCYJZJHASJN-UHFFFAOYSA-N 0 1 322.393 3.304 20 30 DGEDMN C=CC1(CC(=O)Nc2cc(C(=O)OCC)[nH]n2)CCCCC1 ZINC001153263712 1088206114 /nfs/dbraw/zinc/20/61/14/1088206114.db2.gz DPXCCRUDQFEZJT-UHFFFAOYSA-N 0 1 305.378 3.052 20 30 DGEDMN C=CC[C@H](C(=O)NOCCOc1ccccc1)c1ccccc1 ZINC001159228880 1088244272 /nfs/dbraw/zinc/24/42/72/1088244272.db2.gz RBNHAGJNHPYBRE-SFHVURJKSA-N 0 1 311.381 3.473 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001153594778 1088294541 /nfs/dbraw/zinc/29/45/41/1088294541.db2.gz RGCRQBWHULZQPK-CYBMUJFWSA-N 0 1 323.506 3.380 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1scc2c1CCCC2 ZINC001153628267 1088311556 /nfs/dbraw/zinc/31/15/56/1088311556.db2.gz JIUNKFRCQTUVKH-AWEZNQCLSA-N 0 1 304.459 3.007 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](C)N(CC)Cc1c(C)nsc1C ZINC001153642535 1088318759 /nfs/dbraw/zinc/31/87/59/1088318759.db2.gz ZIQYSVSSMRGAIP-CYBMUJFWSA-N 0 1 323.506 3.443 20 30 DGEDMN CCCCCCCCOCC(=O)N(C)C[C@H]1CC[N@H+]1C(C)C ZINC001235391867 1088331214 /nfs/dbraw/zinc/33/12/14/1088331214.db2.gz GYTWYZIUXZRAIV-QGZVFWFLSA-N 0 1 312.498 3.305 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)[C@H](C)C2CC2)CC(C)(C)C1 ZINC001089351228 1088396986 /nfs/dbraw/zinc/39/69/86/1088396986.db2.gz LVPCLYQVSWQIES-UKRRQHHQSA-N 0 1 312.885 3.392 20 30 DGEDMN C/C=C(\C)C(=O)NCCC1=CCN(CC#Cc2ccccc2)CC1 ZINC001159760831 1088474787 /nfs/dbraw/zinc/47/47/87/1088474787.db2.gz XUVOBDJSICUWAI-JFQJCAQQSA-N 0 1 322.452 3.143 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)C1CCCC1 ZINC001099297818 1088498111 /nfs/dbraw/zinc/49/81/11/1088498111.db2.gz JDKWIQDBHFXCOP-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN N=C(Nc1cc(N2CCCC2)ccn1)c1ccc2ccccc2n1 ZINC001159904682 1088542762 /nfs/dbraw/zinc/54/27/62/1088542762.db2.gz XKCLJMGYUTYBDS-UHFFFAOYSA-N 0 1 317.396 3.267 20 30 DGEDMN CN(C)Cc1ccnc(NC(=N)c2ccc3cc(O)ccc3c2)c1 ZINC001160025729 1088606777 /nfs/dbraw/zinc/60/67/77/1088606777.db2.gz LFGVERBHZDGDGA-UHFFFAOYSA-N 0 1 320.396 3.039 20 30 DGEDMN COc1ccnc(NC2(C#N)CCN(Cc3ccccc3)CC2)c1 ZINC001160099799 1088640381 /nfs/dbraw/zinc/64/03/81/1088640381.db2.gz GYIQTDMOMKCLQD-UHFFFAOYSA-N 0 1 322.412 3.060 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)/C=C(\C)CC)[C@H](OC)C1 ZINC001212237501 1088649981 /nfs/dbraw/zinc/64/99/81/1088649981.db2.gz QBEFSGXPJUEWCK-OMYPGEHRSA-N 0 1 310.482 3.129 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Nc1ncc2nc(C)[nH]c2n1 ZINC001160183233 1088689494 /nfs/dbraw/zinc/68/94/94/1088689494.db2.gz YMXKSAPAGBMBDV-NSHDSACASA-N 0 1 309.373 3.351 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)c1cocc1C ZINC001154661398 1088699656 /nfs/dbraw/zinc/69/96/56/1088699656.db2.gz RTEORFUDLZPKGT-QGZVFWFLSA-N 0 1 324.424 3.080 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)N(CC)Cc1cccnc1C ZINC001154743345 1088740952 /nfs/dbraw/zinc/74/09/52/1088740952.db2.gz ZZONFVWHUDFOOV-JKSUJKDBSA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)N(CC)Cc1cncc(C)c1 ZINC001154751430 1088744314 /nfs/dbraw/zinc/74/43/14/1088744314.db2.gz WQLFGQUVFHBQIN-IAGOWNOFSA-N 0 1 317.477 3.319 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1cccc(F)c1 ZINC001089601897 1088797488 /nfs/dbraw/zinc/79/74/88/1088797488.db2.gz RNHXBHMOROQBQI-INIZCTEOSA-N 0 1 304.409 3.232 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001154957687 1088854631 /nfs/dbraw/zinc/85/46/31/1088854631.db2.gz MXFDIQLRHFNAQD-CYBMUJFWSA-N 0 1 309.479 3.134 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)C1(C)CC=CC1 ZINC001155005529 1088890163 /nfs/dbraw/zinc/89/01/63/1088890163.db2.gz PIBFFMSWLJAOFV-GOSISDBHSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)N(CC)Cc2cccnc2)CCC1 ZINC001155061739 1088923358 /nfs/dbraw/zinc/92/33/58/1088923358.db2.gz GBBMWZVVBLYIHS-MRXNPFEDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1ncccc1C ZINC001155066608 1088926168 /nfs/dbraw/zinc/92/61/68/1088926168.db2.gz KZXYWRHDNKJRQE-APWZRJJASA-N 0 1 317.477 3.319 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](C)N(CC)Cc1scnc1C ZINC001155067369 1088928120 /nfs/dbraw/zinc/92/81/20/1088928120.db2.gz XTBRFQVCCOUNBP-CXAGYDPISA-N 0 1 323.506 3.380 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(CC)Cc2cnc(C)s2)C1 ZINC001155119558 1088959090 /nfs/dbraw/zinc/95/90/90/1088959090.db2.gz JVDFVEYBSZKMRW-CYBMUJFWSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CN(CC2CC3(CCC3)C2)C1 ZINC001483875365 1088975578 /nfs/dbraw/zinc/97/55/78/1088975578.db2.gz SGVNIFFNTRINCV-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C2[C@H]3CCCCCC[C@H]23)C1 ZINC001483880284 1088980586 /nfs/dbraw/zinc/98/05/86/1088980586.db2.gz KYYXQWOXHROWNV-IRXDYDNUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@H]1CNC/C(Cl)=C/Cl ZINC001483940849 1089022344 /nfs/dbraw/zinc/02/23/44/1089022344.db2.gz HKTUDTAVBOIHNL-FSBNRTBOSA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCN1CCC[C@H]1CNc1nc(C)cc(Cl)c1[N+](=O)[O-] ZINC001160953102 1089036516 /nfs/dbraw/zinc/03/65/16/1089036516.db2.gz QYROPPQNCYOCSW-NSHDSACASA-N 0 1 310.785 3.014 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@@H](C)c1ccco1 ZINC001483951437 1089040940 /nfs/dbraw/zinc/04/09/40/1089040940.db2.gz FOMZRGTVHZMZAW-OCCSQVGLSA-N 0 1 310.825 3.106 20 30 DGEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1cc(C)cc(C)n1 ZINC001089660909 1089057030 /nfs/dbraw/zinc/05/70/30/1089057030.db2.gz RTAFEEWEFPTBMB-MRXNPFEDSA-N 0 1 315.461 3.105 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2ccccc2Cl)C1 ZINC001484042301 1089128560 /nfs/dbraw/zinc/12/85/60/1089128560.db2.gz PPMMQQCUFCTWLU-GOSISDBHSA-N 0 1 318.848 3.224 20 30 DGEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@@H](C)c2ccccc2F)C1 ZINC001484041350 1089128675 /nfs/dbraw/zinc/12/86/75/1089128675.db2.gz GHDDZJZNISFANK-KXBFYZLASA-N 0 1 316.420 3.271 20 30 DGEDMN C=C1CC(C)(C(=O)NCCC2=CCN(C/C=C\Cl)CC2)C1 ZINC001161172640 1089137110 /nfs/dbraw/zinc/13/71/10/1089137110.db2.gz FHWNEMRKVRISDC-CLTKARDFSA-N 0 1 308.853 3.234 20 30 DGEDMN CCN(CC#Cc1ccccc1)[C@H](C)CNC(=O)[C@H]1CC12CCC2 ZINC001155503283 1089139229 /nfs/dbraw/zinc/13/92/29/1089139229.db2.gz KNTUXQRCNKFYPX-IEBWSBKVSA-N 0 1 324.468 3.055 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](C)CC(C)(C)C)CC1 ZINC001161301442 1089181638 /nfs/dbraw/zinc/18/16/38/1089181638.db2.gz QOVSXOIVZPWHNX-MRXNPFEDSA-N 0 1 304.478 3.220 20 30 DGEDMN CC1(C)CCCc2cc(C#N)c(N[C@H]3CN4CCC3CC4)nc21 ZINC001155667660 1089205277 /nfs/dbraw/zinc/20/52/77/1089205277.db2.gz LZYFGUAJCWYMDF-INIZCTEOSA-N 0 1 310.445 3.073 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)C[C@H](C)C2CCCCC2)CC1 ZINC001161451682 1089250658 /nfs/dbraw/zinc/25/06/58/1089250658.db2.gz GLWFMPWSORZMDR-KRWDZBQOSA-N 0 1 316.489 3.365 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(Cl)c(C)c2)C1 ZINC001484166013 1089259035 /nfs/dbraw/zinc/25/90/35/1089259035.db2.gz AUSLOGPUGPAEAH-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C1CC(C)(C)C1)c1ccccc1CC ZINC001484324511 1089336303 /nfs/dbraw/zinc/33/63/03/1089336303.db2.gz LRDGROJVOXCXNI-SFHVURJKSA-N 0 1 312.457 3.065 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1ccoc1C)c1ccccc1CC ZINC001484325915 1089339007 /nfs/dbraw/zinc/33/90/07/1089339007.db2.gz SDQVXULFMFCJMQ-LJQANCHMSA-N 0 1 324.424 3.234 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC(C)(C)C)c1ccccc1CC ZINC001484326364 1089342901 /nfs/dbraw/zinc/34/29/01/1089342901.db2.gz XOTCHGFSXPYVFK-KRWDZBQOSA-N 0 1 300.446 3.065 20 30 DGEDMN CC(C)(C)c1nc2nc[nH]c2c(N[C@@H](C#N)c2ccccc2)n1 ZINC001161713666 1089362153 /nfs/dbraw/zinc/36/21/53/1089362153.db2.gz AOTFONZNLLNGHN-LBPRGKRZSA-N 0 1 306.373 3.327 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)c2c(C)csc2Cl)CC1 ZINC001161779826 1089398618 /nfs/dbraw/zinc/39/86/18/1089398618.db2.gz VPJBBVUEFLQRFY-UHFFFAOYSA-N 0 1 322.861 3.095 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1ccccn1 ZINC001484462102 1089433179 /nfs/dbraw/zinc/43/31/79/1089433179.db2.gz LAVBSLZTAIKOFQ-ROUUACIJSA-N 0 1 313.445 3.003 20 30 DGEDMN C[C@H]1CCCC[C@@H]1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161913585 1089467463 /nfs/dbraw/zinc/46/74/63/1089467463.db2.gz BNKGIOBEGHQKRO-FZMZJTMJSA-N 0 1 309.373 3.108 20 30 DGEDMN CCCC[C@@](C)(F)C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001161919606 1089471460 /nfs/dbraw/zinc/47/14/60/1089471460.db2.gz ZVDNORQAJKKENA-MRXNPFEDSA-N 0 1 315.352 3.200 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)c2cnco2)cc1 ZINC001484562145 1089496414 /nfs/dbraw/zinc/49/64/14/1089496414.db2.gz WNXGKMJWTARZJC-LBPRGKRZSA-N 0 1 319.792 3.008 20 30 DGEDMN C#CCN1CC=C(CCNC(=O)[C@@]2(C)CCC(C)=C(C)C2)CC1 ZINC001161968223 1089497192 /nfs/dbraw/zinc/49/71/92/1089497192.db2.gz LQVDPYCHGZCNJX-FQEVSTJZSA-N 0 1 314.473 3.285 20 30 DGEDMN C=CCC(C)(C)C(=O)NCc1cccc2c1CCN(CC#CC)C2 ZINC001484612795 1089531246 /nfs/dbraw/zinc/53/12/46/1089531246.db2.gz OXNWBDVLZIZRPB-UHFFFAOYSA-N 0 1 324.468 3.287 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)CCC(C)(F)F)CC1 ZINC001484719188 1089610371 /nfs/dbraw/zinc/61/03/71/1089610371.db2.gz YSUORPSASQBDHO-GFCCVEGCSA-N 0 1 308.800 3.145 20 30 DGEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1cc(C)nc2ccccc21 ZINC001156733756 1089669302 /nfs/dbraw/zinc/66/93/02/1089669302.db2.gz JUVIGRZUZWCORA-MRXNPFEDSA-N 0 1 323.440 3.007 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@@H]1CNC(=O)[C@@]1(C)C=CCC1 ZINC001484822043 1089703084 /nfs/dbraw/zinc/70/30/84/1089703084.db2.gz BOLGKWWYLLWTEN-CTNGQTDRSA-N 0 1 324.468 3.462 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)/C(C)=C/CC ZINC001484821984 1089704147 /nfs/dbraw/zinc/70/41/47/1089704147.db2.gz KPTFXHIZAWWCRT-NXNKFBBFSA-N 0 1 312.457 3.462 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H](C)[C@H](C)CC)cc2C1 ZINC001484876210 1089718841 /nfs/dbraw/zinc/71/88/41/1089718841.db2.gz ZTCVEEWNXIOLPE-CVEARBPZSA-N 0 1 312.457 3.324 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)Cc1ccc(C)nc1C ZINC001485050004 1089885816 /nfs/dbraw/zinc/88/58/16/1089885816.db2.gz JSQUZTGCUHENRS-GOSISDBHSA-N 0 1 315.461 3.087 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1CN(C)Cc1cnc(C)s1 ZINC001485066826 1089896671 /nfs/dbraw/zinc/89/66/71/1089896671.db2.gz BWEMPEUGRGVZNX-OAHLLOKOSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C)c(CC)s1 ZINC001485075440 1089924821 /nfs/dbraw/zinc/92/48/21/1089924821.db2.gz FIMDAIJOLAZDKM-OAHLLOKOSA-N 0 1 318.486 3.179 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C(C)(C)C1CCCC1 ZINC001485159359 1089997985 /nfs/dbraw/zinc/99/79/85/1089997985.db2.gz WXKBSKOVPIQPTQ-QWHCGFSZSA-N 0 1 300.874 3.438 20 30 DGEDMN CCC(CC)C(=O)N[C@@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001485123828 1089978268 /nfs/dbraw/zinc/97/82/68/1089978268.db2.gz HIDTVRZCLJWJIJ-STQMWFEESA-N 0 1 319.424 3.116 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C\Cl)C1 ZINC001485191215 1090022377 /nfs/dbraw/zinc/02/23/77/1090022377.db2.gz UFLWGFGXKUUQGA-FYLRKMKASA-N 0 1 305.249 3.145 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1sc(C)nc1C ZINC001485310198 1090095850 /nfs/dbraw/zinc/09/58/50/1090095850.db2.gz SONFEGIDLQAUJR-LBPRGKRZSA-N 0 1 309.479 3.053 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(F)c2ccccc12 ZINC001485354189 1090123044 /nfs/dbraw/zinc/12/30/44/1090123044.db2.gz RJPHKBTYWORYPJ-AWEZNQCLSA-N 0 1 312.388 3.052 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC)Cc1ccccc1C ZINC001485373750 1090134152 /nfs/dbraw/zinc/13/41/52/1090134152.db2.gz VXVQEGOEUWLGSI-QZTJIDSGSA-N 0 1 314.473 3.024 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001485378570 1090137422 /nfs/dbraw/zinc/13/74/22/1090137422.db2.gz XMNIWOHKNNTZHF-HNNXBMFYSA-N 0 1 312.413 3.123 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1scc(C)c1Cl ZINC001157993855 1090167692 /nfs/dbraw/zinc/16/76/92/1090167692.db2.gz BQGHDXOWOLQCRR-CYBMUJFWSA-N 0 1 324.877 3.270 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1oc(C(F)F)cc1C ZINC001158090133 1090183038 /nfs/dbraw/zinc/18/30/38/1090183038.db2.gz JWVKSQSWFNUVLU-CYBMUJFWSA-N 0 1 324.371 3.085 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCCCN2C/C=C/Cl)C1 ZINC001485481719 1090186698 /nfs/dbraw/zinc/18/66/98/1090186698.db2.gz FMULBTBIHSMJDD-PMGBHYCQSA-N 0 1 310.869 3.456 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H]1C=CCCC1 ZINC001158124731 1090188116 /nfs/dbraw/zinc/18/81/16/1090188116.db2.gz UCQKYABZKXASCS-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC=CCCC1 ZINC001158124859 1090188859 /nfs/dbraw/zinc/18/88/59/1090188859.db2.gz VMBOQPHHJDRZPA-ZWKOTPCHSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001485526178 1090202876 /nfs/dbraw/zinc/20/28/76/1090202876.db2.gz LXDUNIBWCPZVBM-CQSZACIVSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001485526177 1090202932 /nfs/dbraw/zinc/20/29/32/1090202932.db2.gz LXDUNIBWCPZVBM-AWEZNQCLSA-N 0 1 304.478 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(Cc2cccnc2)CC1(C)C ZINC001485571446 1090211982 /nfs/dbraw/zinc/21/19/82/1090211982.db2.gz YRBQVEUUXMNRAZ-MRXNPFEDSA-N 0 1 315.461 3.011 20 30 DGEDMN N=C(Nc1cc2[nH]ccc2nn1)c1ccc(C(F)(F)F)cc1 ZINC001163680397 1090231758 /nfs/dbraw/zinc/23/17/58/1090231758.db2.gz QYIJCVCPZSSBOG-UHFFFAOYSA-N 0 1 305.263 3.014 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](C)CCC=C(C)C)C2)C1 ZINC001485664114 1090232946 /nfs/dbraw/zinc/23/29/46/1090232946.db2.gz PJTCOGMBDKXFSE-GOSISDBHSA-N 0 1 316.489 3.317 20 30 DGEDMN CC(=O)C=C(C)Nc1nc(Cl)nc(Oc2ccccc2)n1 ZINC001163736802 1090246317 /nfs/dbraw/zinc/24/63/17/1090246317.db2.gz GLFJROOLHVFWJD-HJWRWDBZSA-N 0 1 304.737 3.222 20 30 DGEDMN C=CCCCCCCCN1CC2(C1)COCC(=O)N2[C@H](C)CC ZINC001272707472 1090310552 /nfs/dbraw/zinc/31/05/52/1090310552.db2.gz RODOWCINJKJZOM-QGZVFWFLSA-N 0 1 322.493 3.225 20 30 DGEDMN COc1ccc(CCCNc2c[nH]c3c(C#N)cnc-3c2)cc1 ZINC001164194846 1090369517 /nfs/dbraw/zinc/36/95/17/1090369517.db2.gz XAJLUFUOHKBGCV-UHFFFAOYSA-N 0 1 306.369 3.488 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)/C=C\C3CC3)CCC[C@@H]12 ZINC001107350358 1090425350 /nfs/dbraw/zinc/42/53/50/1090425350.db2.gz JWBKPITYVXJEGH-IKJDWHLJSA-N 0 1 308.853 3.066 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CCCC#CC)CCC[C@@H]12 ZINC001107512837 1090452929 /nfs/dbraw/zinc/45/29/29/1090452929.db2.gz KSPQNFZAOYYXNO-SJLPKXTDSA-N 0 1 322.880 3.293 20 30 DGEDMN C=C(C)CCC(=O)NCCC1(CNCc2csc(C)n2)CC1 ZINC001165266199 1090493163 /nfs/dbraw/zinc/49/31/63/1090493163.db2.gz VHGUBHDLOKVLMM-UHFFFAOYSA-N 0 1 321.490 3.184 20 30 DGEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)[C@@H](CC)CCCC)C1 ZINC001107763402 1090500442 /nfs/dbraw/zinc/50/04/42/1090500442.db2.gz GIZLDBMLZYDICA-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)/C(C)=C\CC)C1 ZINC001107782988 1090502492 /nfs/dbraw/zinc/50/24/92/1090502492.db2.gz BYTAPZVXQVCJHN-DPWKNNTCSA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CCC2CC2)C1 ZINC001107830372 1090511204 /nfs/dbraw/zinc/51/12/04/1090511204.db2.gz LTDVCJZKKLIUDD-IBGZPJMESA-N 0 1 322.493 3.130 20 30 DGEDMN C=CCN1C(=O)N=NC1S[C@H]1CCCc2c(Cl)ccnc21 ZINC001165841167 1090552331 /nfs/dbraw/zinc/55/23/31/1090552331.db2.gz LVJJPRVUFXIZBB-NSHDSACASA-N 0 1 322.821 3.388 20 30 DGEDMN CCOC(=O)C1=C(Nc2nc3ncccc3cc2C#N)CCCC1 ZINC001168561516 1090560193 /nfs/dbraw/zinc/56/01/93/1090560193.db2.gz MKXCVWUDJKFLFQ-UHFFFAOYSA-N 0 1 322.368 3.305 20 30 DGEDMN C=CCCC1(C(=O)NCCCNCc2c(F)cccc2F)CC1 ZINC001165913503 1090560874 /nfs/dbraw/zinc/56/08/74/1090560874.db2.gz CVYMWKYXIJSRJQ-UHFFFAOYSA-N 0 1 322.399 3.307 20 30 DGEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCCC[C@@H](C)CC)C1 ZINC001107969955 1090591590 /nfs/dbraw/zinc/59/15/90/1090591590.db2.gz YUKKMZJHDQFLMF-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)C(C)(C)C3CC3)cccc2C1 ZINC001272917205 1090680403 /nfs/dbraw/zinc/68/04/03/1090680403.db2.gz RSDOQCNCNUHSDK-UHFFFAOYSA-N 0 1 324.468 3.120 20 30 DGEDMN COc1cc(C#N)ccc1OC1CCN(Cc2ccco2)CC1 ZINC001203285338 1090711387 /nfs/dbraw/zinc/71/13/87/1090711387.db2.gz HMWMUOMDVYUCLA-UHFFFAOYSA-N 0 1 312.369 3.203 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NCc1ccncc1Cl ZINC001485913207 1090725733 /nfs/dbraw/zinc/72/57/33/1090725733.db2.gz SJNOFOHFQJTXDR-KBPBESRZSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCCCC(=O)N[C@]12CCC[C@@H]1N(C/C=C\Cl)CC2 ZINC001486149766 1090764966 /nfs/dbraw/zinc/76/49/66/1090764966.db2.gz PVHQPDXBPGEHAX-FQGYXVRMSA-N 0 1 308.853 3.046 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2c(C)nsc2C)[C@H]1C ZINC001486169502 1090773016 /nfs/dbraw/zinc/77/30/16/1090773016.db2.gz BQJWEAPMFKENBY-BBRMVZONSA-N 0 1 321.490 3.195 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCN(Cc2ccc(C)nc2C)[C@@H]1C ZINC001486172920 1090774603 /nfs/dbraw/zinc/77/46/03/1090774603.db2.gz ZTTXHQCCMJISBT-SJLPKXTDSA-N 0 1 315.461 3.134 20 30 DGEDMN N#Cc1cccc(CN2CCC(O)(c3ccc(F)cc3)CC2)c1 ZINC001203760532 1090798993 /nfs/dbraw/zinc/79/89/93/1090798993.db2.gz LHIWPXSEBYFSCZ-UHFFFAOYSA-N 0 1 310.372 3.181 20 30 DGEDMN Cc1cccc(O[C@H]2CCCN(Cc3cccc(C#N)c3)C2)n1 ZINC001203765012 1090801022 /nfs/dbraw/zinc/80/10/22/1090801022.db2.gz SCZUCBOPBOUZQS-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CC(C)C#CC(=O)N[C@H](CNC/C(Cl)=C\Cl)C(C)(C)C ZINC001486395402 1090816684 /nfs/dbraw/zinc/81/66/84/1090816684.db2.gz ACEQBSTVNITFJU-YQCJOKCJSA-N 0 1 319.276 3.085 20 30 DGEDMN CC[C@@H](C)CCCCC(=O)NCCNCc1ccccc1C#N ZINC001128168906 1090828311 /nfs/dbraw/zinc/82/83/11/1090828311.db2.gz YDSPYUIADFJKTQ-MRXNPFEDSA-N 0 1 315.461 3.371 20 30 DGEDMN CC(C)(C)OC(=O)O[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001203900305 1090833061 /nfs/dbraw/zinc/83/30/61/1090833061.db2.gz HRMBPIJGWAATNW-OAHLLOKOSA-N 0 1 302.374 3.084 20 30 DGEDMN CCCC(=O)N[C@H](C)C1CCN(Cc2ccccc2C#N)CC1 ZINC001486434079 1090834832 /nfs/dbraw/zinc/83/48/32/1090834832.db2.gz BNEQERRDYUTMNN-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001494158826 1090846766 /nfs/dbraw/zinc/84/67/66/1090846766.db2.gz FEBPKSAWTCNBSG-LSDHHAIUSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001494158823 1090846974 /nfs/dbraw/zinc/84/69/74/1090846974.db2.gz FEBPKSAWTCNBSG-CABCVRRESA-N 0 1 312.885 3.346 20 30 DGEDMN N#C[C@H]1CN(Cc2ccc(OCc3ccccc3)cc2)CCC1=O ZINC001203962704 1090852658 /nfs/dbraw/zinc/85/26/58/1090852658.db2.gz PXXOEAHTWPBAJM-SFHVURJKSA-N 0 1 320.392 3.180 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)C2CN(Cc3ccc(F)cc3)C2)C1 ZINC001276432917 1090857153 /nfs/dbraw/zinc/85/71/53/1090857153.db2.gz QRRGTVZIKSZGSV-CQSZACIVSA-N 0 1 316.420 3.119 20 30 DGEDMN C=CCOCc1ncn2c1CN(Cc1sccc1C)CCC2 ZINC001204018033 1090870178 /nfs/dbraw/zinc/87/01/78/1090870178.db2.gz OPMHIMIVZHDROE-UHFFFAOYSA-N 0 1 317.458 3.362 20 30 DGEDMN COc1cc(C#N)ccc1OC1CCN(Cc2cccnc2)CC1 ZINC001204076447 1090894088 /nfs/dbraw/zinc/89/40/88/1090894088.db2.gz XARJBJUHJKBHCM-UHFFFAOYSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)NCCNCc1cc(C)ccc1F ZINC001128190682 1090894676 /nfs/dbraw/zinc/89/46/76/1090894676.db2.gz WCGAVRWPLNVSMD-UHFFFAOYSA-N 0 1 312.816 3.119 20 30 DGEDMN CCCCCCC[N@H+]1C[C@@H](NC(=O)[C@H](C)C2CCC2)[C@H](OC)C1 ZINC001212448722 1090903574 /nfs/dbraw/zinc/90/35/74/1090903574.db2.gz ZVHWKFVUAQYCFY-KBAYOESNSA-N 0 1 324.509 3.208 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCN[C@H](C)c1cc(F)ccc1F ZINC001128263805 1090927034 /nfs/dbraw/zinc/92/70/34/1090927034.db2.gz ILTIFJKHKVWGRT-GFCCVEGCSA-N 0 1 310.388 3.334 20 30 DGEDMN C=C(C)CCC(=O)NCCN[C@@H]1CCc2c1c(F)ccc2F ZINC001128408813 1090956713 /nfs/dbraw/zinc/95/67/13/1090956713.db2.gz HBMFIGRAADKJAI-OAHLLOKOSA-N 0 1 308.372 3.014 20 30 DGEDMN Cc1ccc(C2(O)CCN(Cc3ccccc3C#N)CC2)cc1 ZINC001204366330 1090956922 /nfs/dbraw/zinc/95/69/22/1090956922.db2.gz DFVSZISEULZDPU-UHFFFAOYSA-N 0 1 306.409 3.350 20 30 DGEDMN C=C(C)CCC(=O)NCCN[C@H](CC)c1ccc(F)cc1F ZINC001128414177 1090958477 /nfs/dbraw/zinc/95/84/77/1090958477.db2.gz VUODRNHJSPHNRY-MRXNPFEDSA-N 0 1 310.388 3.478 20 30 DGEDMN O=C1C=C2CN([C@@H]3Cc4cccc(Cl)c4C3)CCC2S1 ZINC001168717531 1090984556 /nfs/dbraw/zinc/98/45/56/1090984556.db2.gz ACGGBNMSUULYTQ-IUODEOHRSA-N 0 1 305.830 3.081 20 30 DGEDMN C=C(C)CN1C[C@@]2(CC1=O)CCCCN2Cc1cc(C)c(C)o1 ZINC001273014161 1090994940 /nfs/dbraw/zinc/99/49/40/1090994940.db2.gz LKDWLZDEFACEDQ-IBGZPJMESA-N 0 1 316.445 3.430 20 30 DGEDMN CN1CC[NH+](Cc2ccc(Nc3ccccc3C#N)cc2)CC1 ZINC001204746825 1091027788 /nfs/dbraw/zinc/02/77/88/1091027788.db2.gz FLSXMBNQNVVTIK-UHFFFAOYSA-N 0 1 306.413 3.049 20 30 DGEDMN N#Cc1cc(Nc2ccc3c(c2)OC(F)(F)O3)c(O)cc1F ZINC001205092016 1091100073 /nfs/dbraw/zinc/10/00/73/1091100073.db2.gz LQBJDPIRGLAJLT-UHFFFAOYSA-N 0 1 308.215 3.468 20 30 DGEDMN N#Cc1ccc(C2CCN(Cc3cc(O)cc(O)c3)CC2)cc1 ZINC001138333227 1091143308 /nfs/dbraw/zinc/14/33/08/1091143308.db2.gz UOVZSDIIFXUITC-UHFFFAOYSA-N 0 1 308.381 3.349 20 30 DGEDMN N#Cc1cc(CN2CCC3(CCC(=O)CC3)CC2)ccc1F ZINC001205496846 1091234050 /nfs/dbraw/zinc/23/40/50/1091234050.db2.gz VGHSGEUKUZZHID-UHFFFAOYSA-N 0 1 300.377 3.423 20 30 DGEDMN Cc1cccc(N2CCCN(Cc3ccc(F)c(C#N)c3)CC2)n1 ZINC001205496866 1091234879 /nfs/dbraw/zinc/23/48/79/1091234879.db2.gz VWQLTYYBRCYCLY-UHFFFAOYSA-N 0 1 324.403 3.113 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2ccc(F)c(C#N)c2)C[C@H]1C ZINC001205502385 1091237397 /nfs/dbraw/zinc/23/73/97/1091237397.db2.gz SZLWBJJKABXFAH-UKRRQHHQSA-N 0 1 318.392 3.109 20 30 DGEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)CCCC=C)C1 ZINC001108169785 1091259959 /nfs/dbraw/zinc/25/99/59/1091259959.db2.gz XILWUDGXYPUGTO-IBGZPJMESA-N 0 1 322.493 3.296 20 30 DGEDMN C=CCc1cccc(C[N@@H+](C(C)C)C2CCN(C)CC2)c1[O-] ZINC001138631171 1091262511 /nfs/dbraw/zinc/26/25/11/1091262511.db2.gz MJWQGBBUYWEDCA-UHFFFAOYSA-N 0 1 302.462 3.425 20 30 DGEDMN C=CCc1cccc(C[N@H+](C(C)C)C2CCN(C)CC2)c1[O-] ZINC001138631171 1091262520 /nfs/dbraw/zinc/26/25/20/1091262520.db2.gz MJWQGBBUYWEDCA-UHFFFAOYSA-N 0 1 302.462 3.425 20 30 DGEDMN C=C(C)COc1ccccc1CN(C)[C@@H]1CCCN(C(C)=O)C1 ZINC001138665617 1091281527 /nfs/dbraw/zinc/28/15/27/1091281527.db2.gz APONBNVNVYEJBC-GOSISDBHSA-N 0 1 316.445 3.084 20 30 DGEDMN CNc1ncccc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001138696240 1091291577 /nfs/dbraw/zinc/29/15/77/1091291577.db2.gz LZRAZTHCHFHDOY-UHFFFAOYSA-N 0 1 322.412 3.038 20 30 DGEDMN C#CCC1(C(=O)NC2CN(CC3CC(C)(C)C3)C2)CCCCC1 ZINC001494512891 1091308887 /nfs/dbraw/zinc/30/88/87/1091308887.db2.gz AGSAUJXBSRBJIC-UHFFFAOYSA-N 0 1 316.489 3.197 20 30 DGEDMN C=CCCCN1CCO[C@](C)(CNC(=O)C2(CCCC)CC2)C1 ZINC001108185717 1091361202 /nfs/dbraw/zinc/36/12/02/1091361202.db2.gz DFPDURDFGGFZRR-GOSISDBHSA-N 0 1 322.493 3.130 20 30 DGEDMN CCOc1cc(CN2CCC[C@@H](C#N)CC2)ccc1OC(C)=O ZINC001139003235 1091414832 /nfs/dbraw/zinc/41/48/32/1091414832.db2.gz FHTJZVUHWTWKFC-OAHLLOKOSA-N 0 1 316.401 3.136 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2ccc(C)c(C#N)c2)C1=O ZINC001273213584 1091440095 /nfs/dbraw/zinc/44/00/95/1091440095.db2.gz FUGNDUBXWISKHE-FQEVSTJZSA-N 0 1 323.440 3.010 20 30 DGEDMN CCn1ccc2ccc(C[N@@H+]3CCC4SC(=O)C=C4C3)cc21 ZINC001139121175 1091455652 /nfs/dbraw/zinc/45/56/52/1091455652.db2.gz FCLCAEFDRWGPEE-QGZVFWFLSA-N 0 1 312.438 3.435 20 30 DGEDMN CCn1ccc2ccc(C[N@H+]3CCC4SC(=O)C=C4C3)cc21 ZINC001139121175 1091455656 /nfs/dbraw/zinc/45/56/56/1091455656.db2.gz FCLCAEFDRWGPEE-QGZVFWFLSA-N 0 1 312.438 3.435 20 30 DGEDMN CCCC[N@H+](CCC#N)Cc1c([O-])ccc2c(C)cc(=O)oc21 ZINC001139128713 1091458590 /nfs/dbraw/zinc/45/85/90/1091458590.db2.gz XAPDKLRXPBERBH-UHFFFAOYSA-N 0 1 314.385 3.323 20 30 DGEDMN CCCC[N@@H+](CCC#N)Cc1c([O-])ccc2c(C)cc(=O)oc21 ZINC001139128713 1091458598 /nfs/dbraw/zinc/45/85/98/1091458598.db2.gz XAPDKLRXPBERBH-UHFFFAOYSA-N 0 1 314.385 3.323 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(Cl)c3C)[C@@H]2C1 ZINC001084361409 1091480785 /nfs/dbraw/zinc/48/07/85/1091480785.db2.gz JCWPBGFHNQTGAL-RHSMWYFYSA-N 0 1 318.848 3.371 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)CCc3cc4ccccc4o3)[C@@H]2C1 ZINC001084502280 1091497228 /nfs/dbraw/zinc/49/72/28/1091497228.db2.gz LPXBAPMBIIONGB-SJLPKXTDSA-N 0 1 324.424 3.084 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C(C)(C)C3CCCCC3)[C@@H]2C1 ZINC001084710183 1091545936 /nfs/dbraw/zinc/54/59/36/1091545936.db2.gz PPLBUUJDYOATQW-NVXWUHKLSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cccc(C)c3Cl)[C@@H]2C1 ZINC001084727897 1091549247 /nfs/dbraw/zinc/54/92/47/1091549247.db2.gz NSRHYCJIVCXGCK-GDBMZVCRSA-N 0 1 318.848 3.371 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](CC)CCCC ZINC001098914929 1091555333 /nfs/dbraw/zinc/55/53/33/1091555333.db2.gz DSSAOBSHGXBVCG-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccc(C)s3)[C@@H]2C1 ZINC001084772891 1091558290 /nfs/dbraw/zinc/55/82/90/1091558290.db2.gz UCOZYPRXRJVDDP-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H](C)c3ccc(C)s3)[C@@H]2C1 ZINC001084772891 1091558297 /nfs/dbraw/zinc/55/82/97/1091558297.db2.gz UCOZYPRXRJVDDP-ARFHVFGLSA-N 0 1 318.486 3.269 20 30 DGEDMN C=CCCN(Cc1ccccc1)Cc1ccc(C(=O)OC)cn1 ZINC001139493893 1091574159 /nfs/dbraw/zinc/57/41/59/1091574159.db2.gz YTWLQPVZSIARNB-UHFFFAOYSA-N 0 1 310.397 3.447 20 30 DGEDMN COC(=O)c1cccc(CN(C)Cc2ccc(C#N)c(F)c2)c1 ZINC001139530889 1091588042 /nfs/dbraw/zinc/58/80/42/1091588042.db2.gz VKELEFLXKMNGMZ-UHFFFAOYSA-N 0 1 312.344 3.116 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C34CCC(CC3)CC4)[C@@H]2C1 ZINC001084845830 1091588928 /nfs/dbraw/zinc/58/89/28/1091588928.db2.gz IUEGEQZJFOLBOW-VZDIDWBNSA-N 0 1 302.462 3.066 20 30 DGEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc4cccc(C)c4o3)[C@@H]2C1 ZINC001084855915 1091590830 /nfs/dbraw/zinc/59/08/30/1091590830.db2.gz MDLLKFSMPWGTEJ-IAGOWNOFSA-N 0 1 324.424 3.464 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c[nH]c4c3cccc4CC)[C@@H]2C1 ZINC001084852560 1091592131 /nfs/dbraw/zinc/59/21/31/1091592131.db2.gz FJZKPUVDVWMSQQ-CRAIPNDOSA-N 0 1 323.440 3.063 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(CNCc2cscn2)CC1 ZINC001206427960 1091627231 /nfs/dbraw/zinc/62/72/31/1091627231.db2.gz QXOXEGYTNYDCIU-UHFFFAOYSA-N 0 1 321.490 3.074 20 30 DGEDMN CCOC(=O)C[C@H]1CCN(Cc2cc(C#N)ccc2F)C[C@@H]1C ZINC001139650478 1091633883 /nfs/dbraw/zinc/63/38/83/1091633883.db2.gz JJDXHRZBRQBHTJ-DZGCQCFKSA-N 0 1 318.392 3.109 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CC)CC3CCCC3)C[C@@H]21 ZINC001085048267 1091651037 /nfs/dbraw/zinc/65/10/37/1091651037.db2.gz JRFGZLCBTFTSIM-OTWHNJEPSA-N 0 1 316.489 3.149 20 30 DGEDMN Cc1cccc(O[C@H]2CCN(Cc3ccc(C)c(C#N)c3)C2)n1 ZINC001139727611 1091656697 /nfs/dbraw/zinc/65/66/97/1091656697.db2.gz XIPBGHJWYPDVNT-SFHVURJKSA-N 0 1 307.397 3.223 20 30 DGEDMN C=CCn1cc(CN2CCC[C@H](Oc3ccccc3C)C2)cn1 ZINC001139770180 1091667425 /nfs/dbraw/zinc/66/74/25/1091667425.db2.gz GIYMWDMPVUGZNO-SFHVURJKSA-N 0 1 311.429 3.421 20 30 DGEDMN Cc1ccc(CN2CCC[C@@H](Oc3ccc(C#N)cc3)C2)nc1 ZINC001139841398 1091689033 /nfs/dbraw/zinc/68/90/33/1091689033.db2.gz PXPUXFPAFATCND-LJQANCHMSA-N 0 1 307.397 3.305 20 30 DGEDMN CCc1noc([C@H]2CCCN(Cc3cc(F)cc(C#N)c3)C2)n1 ZINC001139876154 1091699286 /nfs/dbraw/zinc/69/92/86/1091699286.db2.gz NAUVWRNELUGPGG-AWEZNQCLSA-N 0 1 314.364 3.022 20 30 DGEDMN CCN(Cc1ccc2c(c1)OCO2)Cc1cc(F)cc(C#N)c1 ZINC001139879475 1091701006 /nfs/dbraw/zinc/70/10/06/1091701006.db2.gz NNNOXKOQMMKGSS-UHFFFAOYSA-N 0 1 312.344 3.448 20 30 DGEDMN Cn1ncc2ccc(CN3CCc4ccc(C#N)cc4C3)cc21 ZINC001139904521 1091708678 /nfs/dbraw/zinc/70/86/78/1091708678.db2.gz RBPGFDQXKRWRRY-UHFFFAOYSA-N 0 1 302.381 3.003 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2nccs2)C12CCC2 ZINC001202985601 1091719694 /nfs/dbraw/zinc/71/96/94/1091719694.db2.gz DPEJLHLQOUJAIR-KGLIPLIRSA-N 0 1 319.474 3.016 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1C[C@H](NCc2cscn2)C12CCC2 ZINC001202989888 1091729096 /nfs/dbraw/zinc/72/90/96/1091729096.db2.gz ZACWGEWLIOUIFF-LSDHHAIUSA-N 0 1 319.474 3.016 20 30 DGEDMN COc1ccc2n[nH]c(CN3CCc4cc(C#N)ccc4C3)c2c1 ZINC001140064693 1091757489 /nfs/dbraw/zinc/75/74/89/1091757489.db2.gz OJHXTGYIGPWSIA-UHFFFAOYSA-N 0 1 318.380 3.001 20 30 DGEDMN CC(C)(C)OC(=O)C[C@@H]1CCCN1Cc1ccc(C#N)cc1F ZINC001140140007 1091780787 /nfs/dbraw/zinc/78/07/87/1091780787.db2.gz IZWDYJMZSLJWJB-HNNXBMFYSA-N 0 1 318.392 3.394 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](C)c2cccc(F)c2)C[C@H]1C ZINC001206912621 1091793020 /nfs/dbraw/zinc/79/30/20/1091793020.db2.gz UVXPFTBYPJLWHK-RVKKMQEKSA-N 0 1 316.420 3.127 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](C)c2cccc(F)c2)C[C@H]1C ZINC001206912620 1091793803 /nfs/dbraw/zinc/79/38/03/1091793803.db2.gz UVXPFTBYPJLWHK-IIDMSEBBSA-N 0 1 316.420 3.127 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC(=Nc2cc(C#N)ccn2)CC1 ZINC001203022741 1091822236 /nfs/dbraw/zinc/82/22/36/1091822236.db2.gz RHTSFONVLXUPDX-UHFFFAOYSA-N 0 1 314.389 3.280 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cccc(Cl)c1C ZINC001085609894 1091850730 /nfs/dbraw/zinc/85/07/30/1091850730.db2.gz CULSIMLFDRMONM-AWEZNQCLSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C(C)(C)C)cn1 ZINC001085600663 1091851187 /nfs/dbraw/zinc/85/11/87/1091851187.db2.gz YXKLAGHYBWCHTF-MRXNPFEDSA-N 0 1 315.461 3.102 20 30 DGEDMN N#CCC1CCN(Cc2cnc(-c3ccccc3F)nc2)CC1 ZINC001140400251 1091851632 /nfs/dbraw/zinc/85/16/32/1091851632.db2.gz SCGVULXWCNZQOV-UHFFFAOYSA-N 0 1 310.376 3.408 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc2nccc(C)c2c1 ZINC001085617029 1091860087 /nfs/dbraw/zinc/86/00/87/1091860087.db2.gz BUQKAPYYBGLIBR-QGZVFWFLSA-N 0 1 323.440 3.266 20 30 DGEDMN Cc1noc(C)c1CN1CCC[C@H](Oc2ccc(C#N)cc2)C1 ZINC001207140630 1091860925 /nfs/dbraw/zinc/86/09/25/1091860925.db2.gz XLVCCHIPBYOXKX-KRWDZBQOSA-N 0 1 311.385 3.207 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(Cc2ccc(F)cc2)C[C@H]1C ZINC001207158561 1091867421 /nfs/dbraw/zinc/86/74/21/1091867421.db2.gz SZJSGSDPMXGWSU-RHSMWYFYSA-N 0 1 318.436 3.365 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2sc(C)nc2C)C[C@H]1C ZINC001207180170 1091876393 /nfs/dbraw/zinc/87/63/93/1091876393.db2.gz VIMLYHAFEFYCPY-IUODEOHRSA-N 0 1 321.490 3.053 20 30 DGEDMN CCN(CCC#N)CCC12CC(NC(=O)OC(C)(C)C)(C1)C2 ZINC001207229358 1091893785 /nfs/dbraw/zinc/89/37/85/1091893785.db2.gz MUJFFBUITGZLRJ-UHFFFAOYSA-N 0 1 307.438 3.059 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc2ccccc2cc1F ZINC001085717009 1091897438 /nfs/dbraw/zinc/89/74/38/1091897438.db2.gz BWLIZVUHNJNKFO-KRWDZBQOSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C)cc(Cl)c1 ZINC001085725425 1091899049 /nfs/dbraw/zinc/89/90/49/1091899049.db2.gz WFGNRXPTVNYEMU-INIZCTEOSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCCC1(F)F ZINC001085741256 1091908607 /nfs/dbraw/zinc/90/86/07/1091908607.db2.gz LAZCLMZPMADJOY-GJZGRUSLSA-N 0 1 314.420 3.311 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1csc2ccccc21 ZINC001085748109 1091911098 /nfs/dbraw/zinc/91/10/98/1091911098.db2.gz YCKJBSBLJWZLAR-CQSZACIVSA-N 0 1 312.438 3.071 20 30 DGEDMN CC(C)(C)OC(=O)N1CCCC[C@H]1CN1CCC(C#N)CC1 ZINC001207307800 1091917910 /nfs/dbraw/zinc/91/79/10/1091917910.db2.gz PQYHYAUGFRQVPV-HNNXBMFYSA-N 0 1 307.438 3.012 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cccc(F)c1Cl ZINC001085840822 1091969386 /nfs/dbraw/zinc/96/93/86/1091969386.db2.gz QQLTVRKRXASEEB-GFCCVEGCSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CCN1CC[C@@H]1CN(C)C(=O)c1cc([C@@H](C)CC)no1 ZINC001085860105 1091983547 /nfs/dbraw/zinc/98/35/47/1091983547.db2.gz QZTPLJLRNAFCEZ-LSDHHAIUSA-N 0 1 319.449 3.301 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(Cl)c(OC)c1 ZINC001085854995 1091983591 /nfs/dbraw/zinc/98/35/91/1091983591.db2.gz MRCOKFYWOCZKBW-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CN(C)C(=O)c1scnc1C(C)C ZINC001085862374 1091985108 /nfs/dbraw/zinc/98/51/08/1091985108.db2.gz WWFYNKJSDRNULR-AWEZNQCLSA-N 0 1 321.490 3.379 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1c(F)cccc1Cl ZINC001085939621 1092027826 /nfs/dbraw/zinc/02/78/26/1092027826.db2.gz MKQHTTWZCWQNFH-LBPRGKRZSA-N 0 1 310.800 3.202 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(OC(C)C)cc1 ZINC001085958033 1092047715 /nfs/dbraw/zinc/04/77/15/1092047715.db2.gz QUJODJLNNZSNTG-QGZVFWFLSA-N 0 1 316.445 3.196 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(Cl)ccc1OC ZINC001085958773 1092049375 /nfs/dbraw/zinc/04/93/75/1092049375.db2.gz KKRYIBNHCDLCOR-CQSZACIVSA-N 0 1 322.836 3.071 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](NCc2c(F)cccc2F)C1 ZINC001207634389 1092053319 /nfs/dbraw/zinc/05/33/19/1092053319.db2.gz BLCKLWWEUSPGEZ-ZDUSSCGKSA-N 0 1 308.372 3.012 20 30 DGEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)c(CC)s1 ZINC001085962432 1092053342 /nfs/dbraw/zinc/05/33/42/1092053342.db2.gz GCCZUCMYHQSVFW-AWEZNQCLSA-N 0 1 306.475 3.341 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1csc2c1CCCC2 ZINC001085961566 1092058673 /nfs/dbraw/zinc/05/86/73/1092058673.db2.gz IZIQNUTXCGSRQU-AWEZNQCLSA-N 0 1 318.486 3.349 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(OC)c(Cl)c1 ZINC001085964336 1092063600 /nfs/dbraw/zinc/06/36/00/1092063600.db2.gz FZKXHSVGKSBDOZ-AWEZNQCLSA-N 0 1 322.836 3.071 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001085995393 1092080481 /nfs/dbraw/zinc/08/04/81/1092080481.db2.gz LMFAHWXYOVPNOK-OKZBNKHCSA-N 0 1 316.420 3.038 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C1CC(c2ccccc2)C1 ZINC001085998200 1092088494 /nfs/dbraw/zinc/08/84/94/1092088494.db2.gz QCDJCBLLEQTUFW-ACBHZAAOSA-N 0 1 312.457 3.289 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001085999741 1092089960 /nfs/dbraw/zinc/08/99/60/1092089960.db2.gz SCAODJNFRXMBCZ-QGZVFWFLSA-N 0 1 323.440 3.404 20 30 DGEDMN C#CC1CCN(Cc2ccncc2NC(=O)OC(C)(C)C)CC1 ZINC001141087648 1092132682 /nfs/dbraw/zinc/13/26/82/1092132682.db2.gz IPPVJUNIBPSVRT-UHFFFAOYSA-N 0 1 315.417 3.274 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@]1(C)CN(CC/C=C\CC)CCO1 ZINC001108246951 1092147701 /nfs/dbraw/zinc/14/77/01/1092147701.db2.gz YNEXAJNUDLYWLL-OLHLWXQYSA-N 0 1 322.493 3.152 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NCc1oc(C(C)C)nc1C ZINC001491593279 1092185185 /nfs/dbraw/zinc/18/51/85/1092185185.db2.gz RFYMCWFGWMWSCU-ZDUSSCGKSA-N 0 1 321.465 3.303 20 30 DGEDMN CCC(CC)(CC)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001491625706 1092192353 /nfs/dbraw/zinc/19/23/53/1092192353.db2.gz VQVCPPIDRYFZNO-HNNXBMFYSA-N 0 1 315.461 3.369 20 30 DGEDMN N#C[C@H]1CC[N@@H+](Cc2ccc(-c3cccc(C(=O)[O-])c3)cc2)C1 ZINC001141360020 1092217033 /nfs/dbraw/zinc/21/70/33/1092217033.db2.gz LIOKHZOPPVQPDG-OAHLLOKOSA-N 0 1 306.365 3.397 20 30 DGEDMN N#C[C@H]1CC[N@H+](Cc2ccc(-c3cccc(C(=O)[O-])c3)cc2)C1 ZINC001141360020 1092217043 /nfs/dbraw/zinc/21/70/43/1092217043.db2.gz LIOKHZOPPVQPDG-OAHLLOKOSA-N 0 1 306.365 3.397 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001491805300 1092221512 /nfs/dbraw/zinc/22/15/12/1092221512.db2.gz CRJUTJXYDDLDFQ-HUUCEWRRSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H](NCc2ccc(F)cc2F)C1 ZINC001208255749 1092293752 /nfs/dbraw/zinc/29/37/52/1092293752.db2.gz QEBRQLSOKZHDQC-MRXNPFEDSA-N 0 1 322.399 3.402 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2ccsc2)C[C@H]1C ZINC001208298666 1092303067 /nfs/dbraw/zinc/30/30/67/1092303067.db2.gz XHXRPGWRZZQOPS-UKRRQHHQSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)[C@@H](CC)CC(C)C)C1 ZINC001108329696 1092336454 /nfs/dbraw/zinc/33/64/54/1092336454.db2.gz UEDPNFSMCGUBQZ-PKOBYXMFSA-N 0 1 324.509 3.232 20 30 DGEDMN C=CCC(C)(C)C(=O)NCC[C@@H](C)NCc1csc(C)n1 ZINC001492089677 1092400643 /nfs/dbraw/zinc/40/06/43/1092400643.db2.gz FHAVQHJBPJFQRX-GFCCVEGCSA-N 0 1 309.479 3.038 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC(C2CCC2)C2CCC2)C1 ZINC001208668780 1092446838 /nfs/dbraw/zinc/44/68/38/1092446838.db2.gz ZOXNZSOUHQMBNV-DNVCBOLYSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cccc2[nH]cnc21 ZINC001142627809 1092514933 /nfs/dbraw/zinc/51/49/33/1092514933.db2.gz ZNBPQTPDRLXGDH-UHFFFAOYSA-N 0 1 316.364 3.263 20 30 DGEDMN CCC(CC)CC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001492475705 1092517991 /nfs/dbraw/zinc/51/79/91/1092517991.db2.gz NHQIRYGIUQSVOA-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001276596355 1092560013 /nfs/dbraw/zinc/56/00/13/1092560013.db2.gz YGMBOGOJBWFMQG-YQCJOKCJSA-N 0 1 319.276 3.392 20 30 DGEDMN C=CCN(CCN1CCC[C@H]1c1ccc(C)nc1)C(=O)OCC ZINC001209020843 1092626831 /nfs/dbraw/zinc/62/68/31/1092626831.db2.gz OZZPBFPUUQXTPN-KRWDZBQOSA-N 0 1 317.433 3.171 20 30 DGEDMN C=C/C(C)=C\CC(=O)N1CCC[C@@H](NCc2ccccc2C#N)C1 ZINC001209100882 1092653476 /nfs/dbraw/zinc/65/34/76/1092653476.db2.gz KSORPUIIDLOKTN-NXIIHZOPSA-N 0 1 323.440 3.161 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001209115360 1092658058 /nfs/dbraw/zinc/65/80/58/1092658058.db2.gz CFQFQSHAURSJTJ-JFIYKMOQSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)CC2CCCCC2)C1 ZINC001209115360 1092658062 /nfs/dbraw/zinc/65/80/62/1092658062.db2.gz CFQFQSHAURSJTJ-JFIYKMOQSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001209115624 1092658584 /nfs/dbraw/zinc/65/85/84/1092658584.db2.gz HFYMLWBAQXVBNO-BPQIPLTHSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)C1 ZINC001209115624 1092658589 /nfs/dbraw/zinc/65/85/89/1092658589.db2.gz HFYMLWBAQXVBNO-BPQIPLTHSA-N 0 1 304.478 3.053 20 30 DGEDMN Cc1nc(N[C@](C)(C#N)c2ccccc2Cl)nc2nc[nH]c21 ZINC001169379309 1092661468 /nfs/dbraw/zinc/66/14/68/1092661468.db2.gz LCCFWBDSYKLADA-OAHLLOKOSA-N 0 1 312.764 3.117 20 30 DGEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)CC2CCCC2)C1 ZINC001209126062 1092663825 /nfs/dbraw/zinc/66/38/25/1092663825.db2.gz WSUWUTMMAQYCHM-BPQIPLTHSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CCC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001209183118 1092684251 /nfs/dbraw/zinc/68/42/51/1092684251.db2.gz LZONKGTZFGYZQK-IBYDIOTISA-N 0 1 319.276 3.488 20 30 DGEDMN C=CCCCCCN1CCc2ncn(C)c2[C@@H]1COCC=C ZINC001209427634 1092771574 /nfs/dbraw/zinc/77/15/74/1092771574.db2.gz WLLVXOVCNFYFMD-KRWDZBQOSA-N 0 1 303.450 3.268 20 30 DGEDMN C=CCCCCCCCN1CCN(c2ncc(C)cn2)CC1 ZINC001209440769 1092775531 /nfs/dbraw/zinc/77/55/31/1092775531.db2.gz CEUFRMOAEILNQM-UHFFFAOYSA-N 0 1 302.466 3.434 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H](NC/C(Cl)=C/Cl)C2)C1 ZINC001209543198 1092815096 /nfs/dbraw/zinc/81/50/96/1092815096.db2.gz JXGGZGKWAODAIR-FSBNRTBOSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@](C)(CC)CCCCC)C1 ZINC001150793169 1092827917 /nfs/dbraw/zinc/82/79/17/1092827917.db2.gz BABMSPWBZFOFIX-HKUYNNGSSA-N 0 1 324.509 3.376 20 30 DGEDMN N#Cc1ccc(NC(=O)c2cc3cc(Cl)c[nH]c-3n2)c(C#N)c1 ZINC001151305280 1092884412 /nfs/dbraw/zinc/88/44/12/1092884412.db2.gz PBBPTXFQYUMPAZ-UHFFFAOYSA-N 0 1 321.727 3.212 20 30 DGEDMN CC(C)n1nccc1Nc1sc2c(c1C#N)CCN(C)C2 ZINC001210511310 1093193350 /nfs/dbraw/zinc/19/33/50/1093193350.db2.gz IESYGNFHCDXCBZ-UHFFFAOYSA-N 0 1 301.419 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](CC)CC(C)C)C1 ZINC001210553763 1093206998 /nfs/dbraw/zinc/20/69/98/1093206998.db2.gz WCEOKXZMLDBVHM-LSDHHAIUSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1cc(Nc2ccccc2N2CCN(C)CC2)ccc1C#N ZINC001210810004 1093288885 /nfs/dbraw/zinc/28/88/85/1093288885.db2.gz NORPIIWKNSAXLT-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](CC)CCCC)C[C@H]1C ZINC001214191451 1093729576 /nfs/dbraw/zinc/72/95/76/1093729576.db2.gz QGQFHYOKCXSGFI-VHDGCEQUSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1nc(Nc2cc(F)c(O)c(Cl)c2)c(C#N)cc1C#N ZINC001214482826 1093784094 /nfs/dbraw/zinc/78/40/94/1093784094.db2.gz QEQRKKPAINXMIC-UHFFFAOYSA-N 0 1 302.696 3.375 20 30 DGEDMN N#Cc1cc(-c2nc(-c3ccc4c(c3)CCC4=O)no2)ccc1O ZINC001216969638 1094285590 /nfs/dbraw/zinc/28/55/90/1094285590.db2.gz KCDKYZORZKUTLZ-UHFFFAOYSA-N 0 1 317.304 3.110 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(-c3n[nH]c4c3cccc4F)n2)c1 ZINC001217322940 1094374372 /nfs/dbraw/zinc/37/43/72/1094374372.db2.gz QCLXYGUWPXVTBC-UHFFFAOYSA-N 0 1 323.262 3.430 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1ncc(CC)o1 ZINC001135185085 1094434698 /nfs/dbraw/zinc/43/46/98/1094434698.db2.gz TWTMKLQDPMXIOR-KGLIPLIRSA-N 0 1 321.465 3.212 20 30 DGEDMN C=CCCC[C@H](C(=O)N1CCCN(C)CC1)c1ccccc1 ZINC001135306586 1094478095 /nfs/dbraw/zinc/47/80/95/1094478095.db2.gz QIVPXNIVIJDRAY-SFHVURJKSA-N 0 1 300.446 3.291 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@@H](C)NCc2cscn2)CC1 ZINC001135384165 1094510320 /nfs/dbraw/zinc/51/03/20/1094510320.db2.gz ZXWVCWGJYBVXJV-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)C[C@H](C)NCc2cscn2)CC1 ZINC001135384164 1094511819 /nfs/dbraw/zinc/51/18/19/1094511819.db2.gz ZXWVCWGJYBVXJV-KBPBESRZSA-N 0 1 321.490 3.262 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)C[C@@H](C)NCc2nocc2C)CCC1 ZINC001135395795 1094514866 /nfs/dbraw/zinc/51/48/66/1094514866.db2.gz UHQYFKXWLGBBFN-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)C[C@@H](C)NCc2nocc2C)CC1 ZINC001135414608 1094527117 /nfs/dbraw/zinc/52/71/17/1094527117.db2.gz BNMJDECYLIKVEK-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)C[C@H](C)NCc2nc(C)c(C)o2)C1 ZINC001135419754 1094531404 /nfs/dbraw/zinc/53/14/04/1094531404.db2.gz QCIXAYUZORQBJQ-STQMWFEESA-N 0 1 319.449 3.021 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)c1n[nH]c(C)c1Br ZINC001120813805 1094531921 /nfs/dbraw/zinc/53/19/21/1094531921.db2.gz NJTVRXUMNLWWJS-JTQLQIEISA-N 0 1 312.211 3.051 20 30 DGEDMN CC(C)CCCCC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001135500896 1094556956 /nfs/dbraw/zinc/55/69/56/1094556956.db2.gz BQEWPKSPKLFOHJ-UHFFFAOYSA-N 0 1 318.436 3.099 20 30 DGEDMN N#C[C@H]1CNC[C@@H]1OC1=CC(=O)C=CC1=NNc1ccccc1 ZINC001218200154 1094655060 /nfs/dbraw/zinc/65/50/60/1094655060.db2.gz FBPYZQHEHFZZAX-FQUKWPTESA-N 0 1 308.341 3.298 20 30 DGEDMN COc1cccc(/C=C\c2ccccc2O[C@@H]2CNC[C@@H]2C#N)c1 ZINC001218200848 1094656884 /nfs/dbraw/zinc/65/68/84/1094656884.db2.gz NXRGWWJVANOKLS-KZLZZQBNSA-N 0 1 320.392 3.356 20 30 DGEDMN Cc1cc(O[C@@H]2CNC[C@@H]2C#N)ccc1OCc1ccccc1 ZINC001218205038 1094658441 /nfs/dbraw/zinc/65/84/41/1094658441.db2.gz XNTHNYFVJPLGGQ-QFBILLFUSA-N 0 1 308.381 3.064 20 30 DGEDMN Cc1cc(C[C@H](C)Nc2ccc(C#N)cc2Br)[nH]n1 ZINC001336549194 1094669243 /nfs/dbraw/zinc/66/92/43/1094669243.db2.gz VXNYHIHUNKWFOT-VIFPVBQESA-N 0 1 319.206 3.395 20 30 DGEDMN CN1CCN(c2cccc(Nc3ccc(OCC#N)cc3)c2)CC1 ZINC001212609037 1094701480 /nfs/dbraw/zinc/70/14/80/1094701480.db2.gz RUBNWISYHPKCBH-UHFFFAOYSA-N 0 1 322.412 3.084 20 30 DGEDMN C[C@H]1CN(c2ncc(C#N)cc2Cl)CCN1C1CCCC1 ZINC001336713502 1094707561 /nfs/dbraw/zinc/70/75/61/1094707561.db2.gz WMILYDZLVXSDBG-LBPRGKRZSA-N 0 1 304.825 3.060 20 30 DGEDMN CC[C@@H](C)[C@@H](C)C(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001135863045 1094721364 /nfs/dbraw/zinc/72/13/64/1094721364.db2.gz BYMGNKDVNOBHHQ-HUUCEWRRSA-N 0 1 320.864 3.080 20 30 DGEDMN N#CC1(CNC[C@@H](O)c2ccc(C(F)(F)F)cc2)CCCC1 ZINC001336818422 1094749749 /nfs/dbraw/zinc/74/97/49/1094749749.db2.gz IUKOMIOSYVXCGM-CQSZACIVSA-N 0 1 312.335 3.412 20 30 DGEDMN C=C[C@@H](Oc1ccc(C(=O)N2CCNCC2)cc1)c1ccccc1 ZINC001218440762 1094775859 /nfs/dbraw/zinc/77/58/59/1094775859.db2.gz ONSBSHDNWJWNLP-LJQANCHMSA-N 0 1 322.408 3.038 20 30 DGEDMN C=CCC(F)(F)C(=O)Nc1nc(-c2cccc(Cl)c2)n[nH]1 ZINC001336943435 1094790090 /nfs/dbraw/zinc/79/00/90/1094790090.db2.gz UKVLPRDYGIBQMR-UHFFFAOYSA-N 0 1 312.707 3.275 20 30 DGEDMN C[C@H](NCc1cccc(OCC#N)c1)c1ccc(Cl)nc1 ZINC001337158290 1094877364 /nfs/dbraw/zinc/87/73/64/1094877364.db2.gz OPIKTGLZBHELGL-LBPRGKRZSA-N 0 1 301.777 3.488 20 30 DGEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc2n1CCN[C@@H]2C ZINC001122136951 1094910088 /nfs/dbraw/zinc/91/00/88/1094910088.db2.gz RWLBRCDMODNGLP-KFWWJZLASA-N 0 1 301.434 3.017 20 30 DGEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)CCCC[C@H](C)CC)C1 ZINC001111761969 1094959745 /nfs/dbraw/zinc/95/97/45/1094959745.db2.gz UUMJUBOJXOLXLK-AOIWGVFYSA-N 0 1 304.478 3.197 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@H]1CCC=CCCC1 ZINC001122448371 1094977493 /nfs/dbraw/zinc/97/74/93/1094977493.db2.gz LKWXZFOWHSUVJZ-HOCLYGCPSA-N 0 1 302.418 3.281 20 30 DGEDMN CCCc1sc(C(=O)C(C#N)c2nccn2C)cc1CC ZINC001122523882 1094998681 /nfs/dbraw/zinc/99/86/81/1094998681.db2.gz OONOQINHMPBHTF-LBPRGKRZSA-N 0 1 301.415 3.487 20 30 DGEDMN C=C(C)Cn1c(-c2[nH]cnc2C)nnc1N(C)C[C@H](C)CC ZINC001122532257 1095000275 /nfs/dbraw/zinc/00/02/75/1095000275.db2.gz WIXQPERENFZOGT-GFCCVEGCSA-N 0 1 302.426 3.035 20 30 DGEDMN Cc1c(C(=O)OC[C@@H](C#N)Cc2ccccc2)ccc2cncn21 ZINC001122641104 1095025515 /nfs/dbraw/zinc/02/55/15/1095025515.db2.gz WNONXCXTOKDCBC-MRXNPFEDSA-N 0 1 319.364 3.182 20 30 DGEDMN N#CC(=C([O-])c1ccc(C[NH+]2CCCC2)cc1)c1cccc(F)n1 ZINC001122726992 1095049515 /nfs/dbraw/zinc/04/95/15/1095049515.db2.gz IGABJVYMYRCGKA-MRXNPFEDSA-N 0 1 323.371 3.307 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCN[C@H](C)c1csnn1 ZINC001171042887 1095181114 /nfs/dbraw/zinc/18/11/14/1095181114.db2.gz HIEIYWWRKNEIRS-ZIAGYGMSSA-N 0 1 324.494 3.220 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CCCCNCc1coc(C)n1 ZINC001171044224 1095183883 /nfs/dbraw/zinc/18/38/83/1095183883.db2.gz WJDUBJQJQRHVQF-CQSZACIVSA-N 0 1 307.438 3.104 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1coc2ccc(C)cc12 ZINC001123233220 1095195455 /nfs/dbraw/zinc/19/54/55/1095195455.db2.gz GQWFJCIEHQYEOW-HNNXBMFYSA-N 0 1 307.353 3.377 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@H]1Cc2ccc(C)cc2S1 ZINC001123234246 1095196893 /nfs/dbraw/zinc/19/68/93/1095196893.db2.gz SKHYYJDBKJJQPL-ZFWWWQNUSA-N 0 1 311.410 3.105 20 30 DGEDMN CCCC(=O)NCC1(NCc2ccccc2C#N)CCCCC1 ZINC001115382009 1095199517 /nfs/dbraw/zinc/19/95/17/1095199517.db2.gz USIBEQOHIDFQRI-UHFFFAOYSA-N 0 1 313.445 3.267 20 30 DGEDMN CC(C)C[C@](C)(C#N)NC(=O)[C@@H](N)Cc1cccc2ccccc21 ZINC001221292332 1095236347 /nfs/dbraw/zinc/23/63/47/1095236347.db2.gz JWWLIWSOKUIHQP-AZUAARDMSA-N 0 1 323.440 3.154 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(c2cc(C)cc(C)c2)CC1 ZINC001123335973 1095244971 /nfs/dbraw/zinc/24/49/71/1095244971.db2.gz AOCKRVSGAFNISK-MRXNPFEDSA-N 0 1 307.397 3.428 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)C1(Cc2ccccc2)CCC1 ZINC001123337869 1095246674 /nfs/dbraw/zinc/24/66/74/1095246674.db2.gz MPIQYHLXIVWPPA-MRXNPFEDSA-N 0 1 307.397 3.492 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CC[N@H+]([C@H](C)c3ccccc3F)C[C@H]21 ZINC001221424409 1095262380 /nfs/dbraw/zinc/26/23/80/1095262380.db2.gz DSOMZMBNRICCCB-IIDMSEBBSA-N 0 1 316.420 3.386 20 30 DGEDMN C=CCCC(=O)N1C[C@H]2CCN([C@H](C)c3ccccc3F)C[C@H]21 ZINC001221424409 1095262392 /nfs/dbraw/zinc/26/23/92/1095262392.db2.gz DSOMZMBNRICCCB-IIDMSEBBSA-N 0 1 316.420 3.386 20 30 DGEDMN CCCCCCCN1CC[C@@H]2CN(C(=O)C(=O)C(C)(C)C)[C@@H]2C1 ZINC001221449178 1095270587 /nfs/dbraw/zinc/27/05/87/1095270587.db2.gz ZFGXUXDLOIVIHF-HZPDHXFCSA-N 0 1 322.493 3.105 20 30 DGEDMN C=C(CC)C(=O)NC[C@@H](c1ccccc1OC)N1CCCCC1 ZINC001123501708 1095321063 /nfs/dbraw/zinc/32/10/63/1095321063.db2.gz OELVPIOHMDCTFK-KRWDZBQOSA-N 0 1 316.445 3.305 20 30 DGEDMN C=CCCCC(=O)N1C[C@H]2CCN(Cc3cccc(F)c3)C[C@H]21 ZINC001221779825 1095352827 /nfs/dbraw/zinc/35/28/27/1095352827.db2.gz ODGMYGWIGMWNKH-SJLPKXTDSA-N 0 1 316.420 3.215 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)CC(C)(C)C)[C@@H]2C1 ZINC001221833670 1095369878 /nfs/dbraw/zinc/36/98/78/1095369878.db2.gz WRPGESAJSAJFCG-BRWVUGGUSA-N 0 1 304.478 3.005 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCCCC(C)C)[C@@H]2C1 ZINC001221853625 1095375761 /nfs/dbraw/zinc/37/57/61/1095375761.db2.gz PTRAAJSXNJLHIC-QZTJIDSGSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(CC(C)C)CCCC3)[C@@H]2C1 ZINC001221875130 1095378844 /nfs/dbraw/zinc/37/88/44/1095378844.db2.gz OVZRVUOYLBNUTL-IAGOWNOFSA-N 0 1 304.478 3.312 20 30 DGEDMN COC(=O)c1cccc([C@@H](C)Nc2c[nH]c3c(C#N)cnc-3c2)c1 ZINC001171110138 1095396581 /nfs/dbraw/zinc/39/65/81/1095396581.db2.gz QFJAAZURZVMRHG-LLVKDONJSA-N 0 1 320.352 3.394 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)C(CC(C)C)CC(C)C)[C@@H]2C1 ZINC001222057843 1095417018 /nfs/dbraw/zinc/41/70/18/1095417018.db2.gz CQAWJFWSQKTUFM-IEBWSBKVSA-N 0 1 318.505 3.251 20 30 DGEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](CCC)CC(C)C)[C@@H]2C1 ZINC001222062812 1095418274 /nfs/dbraw/zinc/41/82/74/1095418274.db2.gz MDYLVTTVRXORLH-RCCFBDPRSA-N 0 1 304.478 3.005 20 30 DGEDMN C=CCN1CC[C@@H]2CN(C(=O)C/C=C\c3ccc(C)cc3)[C@@H]2C1 ZINC001222181209 1095480434 /nfs/dbraw/zinc/48/04/34/1095480434.db2.gz XGFIHTSUYAIQFR-XOIGSVRCSA-N 0 1 310.441 3.117 20 30 DGEDMN C=CC[C@@H](C)C(=O)NCCCc1nc2ccc(Cl)cc2[nH]1 ZINC001144025808 1095480464 /nfs/dbraw/zinc/48/04/64/1095480464.db2.gz BEEPGUBDQDGJQG-LLVKDONJSA-N 0 1 305.809 3.477 20 30 DGEDMN Cc1c(C(=O)O[C@@H](C)c2ccc(C#N)cc2)ccc2cncn21 ZINC001116501397 1095580494 /nfs/dbraw/zinc/58/04/94/1095580494.db2.gz AUJHINIFOLLCGO-ZDUSSCGKSA-N 0 1 305.337 3.432 20 30 DGEDMN Cc1ccc2c(c1)[C@@H](N[C@H](C)Cc1cccc(C#N)c1)C(=O)N2 ZINC001171174488 1095643713 /nfs/dbraw/zinc/64/37/13/1095643713.db2.gz AAYBOCQIJZZTKP-FZKQIMNGSA-N 0 1 305.381 3.081 20 30 DGEDMN COc1ccc(NC(=N)c2ccc3cc(O)ccc3c2)c(C)n1 ZINC001171184524 1095663578 /nfs/dbraw/zinc/66/35/78/1095663578.db2.gz MNSDWQYHPNOIRG-UHFFFAOYSA-N 0 1 307.353 3.294 20 30 DGEDMN C[C@@H](Cc1cccc(C#N)c1)NCC(=O)c1ccc(F)cc1F ZINC001171185983 1095670366 /nfs/dbraw/zinc/67/03/66/1095670366.db2.gz SZLVCJMWXINOHQ-LBPRGKRZSA-N 0 1 314.335 3.240 20 30 DGEDMN C#Cc1ccc(CN2CCN(C/C=C/c3ccccc3)CC2)cc1 ZINC001144567307 1095718127 /nfs/dbraw/zinc/71/81/27/1095718127.db2.gz IVLIEZNKWVGUBS-RMKNXTFCSA-N 0 1 316.448 3.499 20 30 DGEDMN CCOC(=O)[C@@H](OC1=C(C)O[C@H](C)C1=O)c1cccc(Cl)c1 ZINC001222886258 1095779888 /nfs/dbraw/zinc/77/98/88/1095779888.db2.gz GKLRPRQKKQELFI-PSLIRLAXSA-N 0 1 324.760 3.180 20 30 DGEDMN N#Cc1sccc1C(=O)Nc1cccc2c1CN(C1CC1)C2 ZINC001137994342 1095822078 /nfs/dbraw/zinc/82/20/78/1095822078.db2.gz QGHOUYGUNVBQTC-UHFFFAOYSA-N 0 1 309.394 3.350 20 30 DGEDMN CSc1ncc2c(n1)CN([C@H](C)Cc1cccc(C#N)c1)CC2 ZINC001171228393 1095843595 /nfs/dbraw/zinc/84/35/95/1095843595.db2.gz GBKUGPGSBZXCRF-CYBMUJFWSA-N 0 1 324.453 3.059 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(Cl)c(C(F)(F)F)c2)CCC1=O ZINC001138051958 1095853078 /nfs/dbraw/zinc/85/30/78/1095853078.db2.gz SEOBNLYYKFMLCQ-SNVBAGLBSA-N 0 1 316.710 3.273 20 30 DGEDMN Cc1cc(NC(=N)c2ccc(C(N)=O)cc2)ccc1C(F)(F)F ZINC001171256713 1095942578 /nfs/dbraw/zinc/94/25/78/1095942578.db2.gz LQUMUYQOGCFQEV-UHFFFAOYSA-N 0 1 321.302 3.150 20 30 DGEDMN CC#CCCCC(=O)NCCC1=CCN(C/C=C\Cl)CC1 ZINC001160954427 1096031486 /nfs/dbraw/zinc/03/14/86/1096031486.db2.gz SYLYOSRXWKYEOP-WDZFZDKYSA-N 0 1 308.853 3.071 20 30 DGEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CCCCC)C(C)C)C[C@@H]21 ZINC001223865990 1096081871 /nfs/dbraw/zinc/08/18/71/1096081871.db2.gz WPWRKGOEEVNTSR-QYZOEREBSA-N 0 1 318.505 3.395 20 30 DGEDMN C[C@@H](O/C(=N\O)c1ccccc1Br)c1ncccn1 ZINC001223885639 1096085297 /nfs/dbraw/zinc/08/52/97/1096085297.db2.gz YMJCUDIIIYXDHO-FCZKIDGVSA-N 0 1 322.162 3.153 20 30 DGEDMN CC[C@H]1OC(C)=C(O[C@H](C(=O)OC)c2cccc(Cl)c2)C1=O ZINC001223926874 1096097038 /nfs/dbraw/zinc/09/70/38/1096097038.db2.gz XDSPXZQGFFNTDU-DOMZBBRYSA-N 0 1 324.760 3.180 20 30 DGEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)[C@@]1(C)CCCc2ccccc21 ZINC001276941255 1096121709 /nfs/dbraw/zinc/12/17/09/1096121709.db2.gz ODSLBGCDEXREMJ-PXNSSMCTSA-N 0 1 312.457 3.047 20 30 DGEDMN Cc1ncsc1C[N@@H+]1C[C@H]2CC[C@@H](C1)N2c1ccccc1C#N ZINC001276953865 1096156916 /nfs/dbraw/zinc/15/69/16/1096156916.db2.gz RSRYKXOIXGYZOB-IYBDPMFKSA-N 0 1 324.453 3.176 20 30 DGEDMN Cc1ncsc1CN1C[C@H]2CC[C@@H](C1)N2c1ccccc1C#N ZINC001276953865 1096156921 /nfs/dbraw/zinc/15/69/21/1096156921.db2.gz RSRYKXOIXGYZOB-IYBDPMFKSA-N 0 1 324.453 3.176 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)NCC1CCN(Cc2cncs2)CC1 ZINC001224187203 1096157041 /nfs/dbraw/zinc/15/70/41/1096157041.db2.gz NBCPOZMENSUYOF-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN Cc1c(C(=O)N[C@@](C)(C#N)C2CCCCC2)ccc2cncn21 ZINC001147058659 1096160587 /nfs/dbraw/zinc/16/05/87/1096160587.db2.gz JUJIGAOWUULBLK-SFHVURJKSA-N 0 1 310.401 3.235 20 30 DGEDMN C=CCCC[C@@H](COc1ccccc1)NCc1nnc(C)n1C ZINC001119439196 1096198441 /nfs/dbraw/zinc/19/84/41/1096198441.db2.gz RRKWFMRBNDQNLJ-INIZCTEOSA-N 0 1 314.433 3.017 20 30 DGEDMN C#CCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1ccc2cnccc2c1 ZINC001276973025 1096216810 /nfs/dbraw/zinc/21/68/10/1096216810.db2.gz JJTMTLIPUDXDSU-XLIONFOSSA-N 0 1 319.408 3.051 20 30 DGEDMN C=CC[C@H](OCCN1CCN(C)CC1)c1ccc(Cl)cc1 ZINC001224550240 1096237769 /nfs/dbraw/zinc/23/77/69/1096237769.db2.gz SHUBATFACLCCED-KRWDZBQOSA-N 0 1 308.853 3.221 20 30 DGEDMN C=CCC1(C(=O)N2CC3(C2)CCN(C/C=C\Cl)C3)CCC1 ZINC001148248826 1096335312 /nfs/dbraw/zinc/33/53/12/1096335312.db2.gz GNMMDSFYPJCNIW-WTKPLQERSA-N 0 1 308.853 3.020 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1cc(C2CC2)no1 ZINC001225307197 1096390786 /nfs/dbraw/zinc/39/07/86/1096390786.db2.gz CCZWCORTUDANFZ-HZPDHXFCSA-N 0 1 317.433 3.035 20 30 DGEDMN COc1ccc2nc(NC(=O)c3cccc([C@@H](C)C#N)c3)[nH]c2c1 ZINC001148610110 1096405038 /nfs/dbraw/zinc/40/50/38/1096405038.db2.gz MHWFBFNOEGSWAE-NSHDSACASA-N 0 1 320.352 3.451 20 30 DGEDMN C[C@H](C#N)c1cccc(C(=O)Nc2ccccc2-c2nnc[nH]2)c1 ZINC001148616965 1096412579 /nfs/dbraw/zinc/41/25/79/1096412579.db2.gz BXBGFLJFNMWAJG-GFCCVEGCSA-N 0 1 317.352 3.351 20 30 DGEDMN C[C@H](C#N)c1cccc(C(=O)NCc2[nH]nc3ccccc32)c1 ZINC001148624685 1096415393 /nfs/dbraw/zinc/41/53/93/1096415393.db2.gz QCWFOUKAYNIEHT-GFCCVEGCSA-N 0 1 304.353 3.120 20 30 DGEDMN Cc1cc(=O)c(C#N)c(O[C@H]2CCCN(Cc3ccccc3)C2)[nH]1 ZINC001225466977 1096439950 /nfs/dbraw/zinc/43/99/50/1096439950.db2.gz JJTYHEXKYRLQPC-INIZCTEOSA-N 0 1 323.396 3.011 20 30 DGEDMN C=CC[C@@H](C(=O)NCC1CCN(CCF)CC1)c1ccccc1 ZINC001225506694 1096453325 /nfs/dbraw/zinc/45/33/25/1096453325.db2.gz PPRLFRYIBQENJV-GOSISDBHSA-N 0 1 318.436 3.144 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1CCCN(Cc2ccns2)CC1 ZINC001273447813 1096479484 /nfs/dbraw/zinc/47/94/84/1096479484.db2.gz ZQJGEPNQOZWDRG-YOEHRIQHSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CCCN(Cc2ccns2)CC1 ZINC001273447804 1096479738 /nfs/dbraw/zinc/47/97/38/1096479738.db2.gz ZQJGEPNQOZWDRG-PBHICJAKSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1c(F)cccc1Br ZINC001225620908 1096486594 /nfs/dbraw/zinc/48/65/94/1096486594.db2.gz NFCOMDHLYPDFIZ-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)c3ccc[nH]3)C2)CC1 ZINC001086902835 1096501188 /nfs/dbraw/zinc/50/11/88/1096501188.db2.gz PZGYXCZLDOLEBA-CQSZACIVSA-N 0 1 321.852 3.084 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C3CC=CC3)C2)CC1 ZINC001086911835 1096505334 /nfs/dbraw/zinc/50/53/34/1096505334.db2.gz AZVURYFIKIUHTI-OAHLLOKOSA-N 0 1 322.880 3.408 20 30 DGEDMN CCCCCCC[C@H](C)Oc1nc(NC(C)=O)nc2nc[nH]c21 ZINC001225828922 1096540839 /nfs/dbraw/zinc/54/08/39/1096540839.db2.gz GNNFEFINLXELRU-NSHDSACASA-N 0 1 319.409 3.439 20 30 DGEDMN C[Si](C)(C)C#C[C@@H](Oc1[nH]c(=O)ncc1F)c1ccccc1 ZINC001225882663 1096552874 /nfs/dbraw/zinc/55/28/74/1096552874.db2.gz VYHGPIKOPCKXCB-CQSZACIVSA-N 0 1 316.408 3.322 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN(C/C=C\Cl)C[C@@]2(C)C1 ZINC001091981055 1096566378 /nfs/dbraw/zinc/56/63/78/1096566378.db2.gz KHZNDCYITALVSH-YKOVKFNRSA-N 0 1 310.869 3.122 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(OC)cc1Br ZINC001225954961 1096572499 /nfs/dbraw/zinc/57/24/99/1096572499.db2.gz HVHOBVFXUSPBHO-ZETCQYMHSA-N 0 1 313.147 3.056 20 30 DGEDMN N#Cc1ccc(O[C@H]2CCN(Cc3ccccc3)C2)c(F)c1F ZINC001226015353 1096589826 /nfs/dbraw/zinc/58/98/26/1096589826.db2.gz FRTJOBCBKAVLSL-HNNXBMFYSA-N 0 1 314.335 3.490 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(Br)c(F)c1F ZINC001226049779 1096597607 /nfs/dbraw/zinc/59/76/07/1096597607.db2.gz HVEQKFWPYWCBFH-RXMQYKEDSA-N 0 1 319.101 3.325 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)CCCCC)C2 ZINC001110133645 1096617974 /nfs/dbraw/zinc/61/79/74/1096617974.db2.gz ANKYKSJGLHBZRK-BDXSIMOUSA-N 0 1 304.478 3.338 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)[C@H](C)C1 ZINC001092623447 1096623517 /nfs/dbraw/zinc/62/35/17/1096623517.db2.gz VSLMXZZJZOCDFQ-USACIQFYSA-N 0 1 322.880 3.025 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C23CCC(CC2)C3)[C@H](C)C1 ZINC001092731208 1096629446 /nfs/dbraw/zinc/62/94/46/1096629446.db2.gz KAGDBVOIZGRYIA-ZCYLDUCGSA-N 0 1 310.869 3.003 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(CC=C)CCCCC1)C2 ZINC001110135561 1096630110 /nfs/dbraw/zinc/63/01/10/1096630110.db2.gz JFRDVIUATMPEAN-IKGGRYGDSA-N 0 1 302.462 3.421 20 30 DGEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2c(C)cccc2C)[C@H](C)C1 ZINC001092991108 1096645933 /nfs/dbraw/zinc/64/59/33/1096645933.db2.gz RMDPYYMAUFPFPC-GDBMZVCRSA-N 0 1 320.864 3.354 20 30 DGEDMN C=C(Cl)CN1CC2(CCC2)[C@@H]1C1CCN(C(=O)CC)CC1 ZINC001093347161 1096677420 /nfs/dbraw/zinc/67/74/20/1096677420.db2.gz JDMRQTZEELIUKP-INIZCTEOSA-N 0 1 310.869 3.242 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC001226481445 1096699986 /nfs/dbraw/zinc/69/99/86/1096699986.db2.gz ITMCYRYQGAPSDZ-HUUCEWRRSA-N 0 1 321.490 3.262 20 30 DGEDMN C[C@H](C#N)C(=O)NC1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC001226649858 1096741991 /nfs/dbraw/zinc/74/19/91/1096741991.db2.gz XSMMWSNUTYLADJ-CHWSQXEVSA-N 0 1 319.836 3.141 20 30 DGEDMN C=CC[C@@H](Oc1ncnc2[nH]nc(Br)c21)C(C)C ZINC001226669268 1096748422 /nfs/dbraw/zinc/74/84/22/1096748422.db2.gz MWEZVUQRPVOXDH-MRVPVSSYSA-N 0 1 311.183 3.095 20 30 DGEDMN Cc1ccc(C#N)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001226757492 1096768722 /nfs/dbraw/zinc/76/87/22/1096768722.db2.gz XFUDNNJYUPVRCH-DOTOQJQBSA-N 0 1 307.397 3.476 20 30 DGEDMN C[C@@H](CC(C)(C)S)Oc1[nH]c(=O)nc2ccc([N+](=O)[O-])cc21 ZINC001227095524 1096867745 /nfs/dbraw/zinc/86/77/45/1096867745.db2.gz KHVXJHQPZORAIY-QMMMGPOBSA-N 0 1 323.374 3.109 20 30 DGEDMN N#Cc1cc(Cl)ccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001227207900 1096894231 /nfs/dbraw/zinc/89/42/31/1096894231.db2.gz FHECQZVGSOAGMY-IYBDPMFKSA-N 0 1 320.820 3.234 20 30 DGEDMN CCOC(=O)[C@@H](Oc1nc2ccc(C#N)cc2[nH]1)c1ccccc1 ZINC001227215384 1096896789 /nfs/dbraw/zinc/89/67/89/1096896789.db2.gz BCTVXXBBZGERCW-INIZCTEOSA-N 0 1 321.336 3.118 20 30 DGEDMN CC(C)C[C@@H](Oc1nc2ccc(C#N)cc2[nH]1)C(=O)OC(C)C ZINC001227217051 1096898018 /nfs/dbraw/zinc/89/80/18/1096898018.db2.gz ZQMOIGNRAZLDAH-OAHLLOKOSA-N 0 1 315.373 3.180 20 30 DGEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(F)cc1Br ZINC001227371466 1096922180 /nfs/dbraw/zinc/92/21/80/1096922180.db2.gz HDZDFATYYHRLFV-ZCFIWIBFSA-N 0 1 301.111 3.186 20 30 DGEDMN Cc1cc(O)ccc1O[C@@H]1CCN(Cc2ccccc2C#N)C1 ZINC001227449740 1096935295 /nfs/dbraw/zinc/93/52/95/1096935295.db2.gz FLHPIXZAOFVQDI-GOSISDBHSA-N 0 1 308.381 3.226 20 30 DGEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ccc(CCC(C)=O)cc1OC ZINC001227527875 1096944822 /nfs/dbraw/zinc/94/48/22/1096944822.db2.gz KOGWTURLUNCYKU-AWEZNQCLSA-N 0 1 318.369 3.205 20 30 DGEDMN C=C(C)CCC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC001227588764 1096956889 /nfs/dbraw/zinc/95/68/89/1096956889.db2.gz VMKCETSKWUPHLN-UHFFFAOYSA-N 0 1 304.409 3.263 20 30 DGEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)[C@@H](Cc2ccccc2)C1 ZINC001171735754 1096970674 /nfs/dbraw/zinc/97/06/74/1096970674.db2.gz XUEOPYCWYOTFIE-IBGZPJMESA-N 0 1 323.415 3.056 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(OC)cc(C(F)(F)F)c1 ZINC001227858229 1097001434 /nfs/dbraw/zinc/00/14/34/1097001434.db2.gz NRPQPHOOZPWNAO-ZETCQYMHSA-N 0 1 302.248 3.312 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2cc3cccc(F)c3o2)CC1 ZINC001277253158 1097013581 /nfs/dbraw/zinc/01/35/81/1097013581.db2.gz YEFXQKQJRYWNOZ-UHFFFAOYSA-N 0 1 322.767 3.176 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C23CC(C(C)(C)C)(C2)C3)CC1 ZINC001277259417 1097026737 /nfs/dbraw/zinc/02/67/37/1097026737.db2.gz MVLPFMVKKVLNGH-UHFFFAOYSA-N 0 1 310.869 3.194 20 30 DGEDMN C=CC[C@@H](C)Oc1[nH]c(=O)nc2c(Br)cccc21 ZINC001228062581 1097042282 /nfs/dbraw/zinc/04/22/82/1097042282.db2.gz PUFYIIUJYNJTRU-MRVPVSSYSA-N 0 1 309.163 3.441 20 30 DGEDMN N#Cc1ccc(Cl)cc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001228084294 1097048509 /nfs/dbraw/zinc/04/85/09/1097048509.db2.gz HWMNBSSVTIKUPC-IYBDPMFKSA-N 0 1 320.820 3.234 20 30 DGEDMN C=CC[C@@H](Oc1[nH]c(=O)nc2cc([N+](=O)[O-])ccc21)C(C)C ZINC001228245931 1097080859 /nfs/dbraw/zinc/08/08/59/1097080859.db2.gz YNFDGWFOBITHRD-CYBMUJFWSA-N 0 1 303.318 3.223 20 30 DGEDMN Cc1ccnc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1C#N ZINC001228308615 1097094412 /nfs/dbraw/zinc/09/44/12/1097094412.db2.gz LBPMWVFLYYTTNX-JKSUJKDBSA-N 0 1 322.412 3.261 20 30 DGEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCN(c2ccccc2F)CC1 ZINC001172308204 1097096060 /nfs/dbraw/zinc/09/60/60/1097096060.db2.gz VDVIPXLEHDKSDE-INIZCTEOSA-N 0 1 323.415 3.451 20 30 DGEDMN Cc1ccc(C#N)c(NC(=O)[C@]23C[C@H]2CCN3CC2CCC2)c1 ZINC001277296346 1097112718 /nfs/dbraw/zinc/11/27/18/1097112718.db2.gz CQQJZZXYFCEFGE-APWZRJJASA-N 0 1 309.413 3.070 20 30 DGEDMN C=C[C@@H](CCCCC)Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-] ZINC001228604846 1097151286 /nfs/dbraw/zinc/15/12/86/1097151286.db2.gz WYFJWVPQOUOCMA-NSHDSACASA-N 0 1 307.350 3.482 20 30 DGEDMN C[C@@H](CCCC#N)N1CCc2c(Br)ccc(N)c2C1 ZINC001172499034 1097171999 /nfs/dbraw/zinc/17/19/99/1097171999.db2.gz UMYXNMVCXCYGPA-NSHDSACASA-N 0 1 322.250 3.472 20 30 DGEDMN CC(C)OCc1ncn2c1CN([C@H](C)CCCC#N)CCC2 ZINC001172500147 1097172399 /nfs/dbraw/zinc/17/23/99/1097172399.db2.gz XGDXOIVTXCZCJK-OAHLLOKOSA-N 0 1 304.438 3.096 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1noc2ccc(Br)cc21 ZINC001228792449 1097191136 /nfs/dbraw/zinc/19/11/36/1097191136.db2.gz HZRDQEQNBPIEDO-LURJTMIESA-N 0 1 324.130 3.188 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1CCN(Cc2scnc2C)CC1 ZINC001228810403 1097195532 /nfs/dbraw/zinc/19/55/32/1097195532.db2.gz VGBNYXYEDPYMMM-AWEZNQCLSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1C[C@@H](NCc2cscn2)C12CCC2 ZINC001203340495 1097196962 /nfs/dbraw/zinc/19/69/62/1097196962.db2.gz LUQJONTZEMOKTL-HUUCEWRRSA-N 0 1 319.474 3.016 20 30 DGEDMN C=CCOCc1nn(C2CC(C)(C)OC(C)(C)C2)c2c1CNC2 ZINC001172656997 1097204068 /nfs/dbraw/zinc/20/40/68/1097204068.db2.gz MXABYGWWQRCECY-UHFFFAOYSA-N 0 1 319.449 3.098 20 30 DGEDMN CC#CC[C@@H](CC)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228858136 1097205220 /nfs/dbraw/zinc/20/52/20/1097205220.db2.gz DATNZYCLKHXQQP-MRVPVSSYSA-N 0 1 318.251 3.285 20 30 DGEDMN C#C[C@H](CCCC)Oc1nc(C(F)(F)F)cc(O)c1[N+](=O)[O-] ZINC001228859100 1097205680 /nfs/dbraw/zinc/20/56/80/1097205680.db2.gz IQCMUUHLNCGXJE-MRVPVSSYSA-N 0 1 318.251 3.285 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(O[C@H]2CCCCO2)cc1 ZINC001229047168 1097244526 /nfs/dbraw/zinc/24/45/26/1097244526.db2.gz OPEXSTGFDZJPCS-NHYWBVRUSA-N 0 1 304.342 3.190 20 30 DGEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1cc([O-])c(Cl)cc1Cl ZINC001229411083 1097316401 /nfs/dbraw/zinc/31/64/01/1097316401.db2.gz ORNNSHRUUUXQKR-SECBINFHSA-O 0 1 304.197 3.066 20 30 DGEDMN C=CCOc1ccccc1[C@H](C)Oc1nc(C)nc2[nH]cnc21 ZINC001229438688 1097320882 /nfs/dbraw/zinc/32/08/82/1097320882.db2.gz STCRTFJZXZZVSC-NSHDSACASA-N 0 1 310.357 3.366 20 30 DGEDMN Cc1cccc(Nc2cccc(N3CCN(C)CC3)c2)c1C#N ZINC001212713708 1097370166 /nfs/dbraw/zinc/37/01/66/1097370166.db2.gz CMWJPAGCSRZDRF-UHFFFAOYSA-N 0 1 306.413 3.362 20 30 DGEDMN C=CCOCc1nn(C)c2c1CN([C@@H]1CC[C@@H](CC)C1)CC2 ZINC001173274785 1097391580 /nfs/dbraw/zinc/39/15/80/1097391580.db2.gz FVGFSOFOJASHGC-HUUCEWRRSA-N 0 1 303.450 3.060 20 30 DGEDMN C=CCOC1CCC(N(C)CC(=O)OCc2ccccc2)CC1 ZINC001173323393 1097416946 /nfs/dbraw/zinc/41/69/46/1097416946.db2.gz FIRLFIQKNJLNCB-UHFFFAOYSA-N 0 1 317.429 3.175 20 30 DGEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc([N+](=O)[O-])c(Cl)c1Cl ZINC001230039832 1097434297 /nfs/dbraw/zinc/43/42/97/1097434297.db2.gz IAOCQUXLSCXTKQ-YFKPBYRVSA-N 0 1 318.112 3.500 20 30 DGEDMN CSc1ccc(C(=O)c2[nH]c(O[C@@H](C)CS)nc2C)cc1 ZINC001230136557 1097449944 /nfs/dbraw/zinc/44/99/44/1097449944.db2.gz ILGNGVPMGXWNER-VIFPVBQESA-N 0 1 322.455 3.368 20 30 DGEDMN C[C@H]1C[N@H+](C2CCC(C)(C#N)CC2)CCN1C(=O)OC(C)(C)C ZINC001173593362 1097466487 /nfs/dbraw/zinc/46/64/87/1097466487.db2.gz HIGDBWYTAXPSMB-SYJJWHGVSA-N 0 1 321.465 3.400 20 30 DGEDMN C=C1O[C@H](CC)C(=O)C1Oc1cccc(Br)c1C#N ZINC001230323907 1097475751 /nfs/dbraw/zinc/47/57/51/1097475751.db2.gz YRPFYTJMFMOVOZ-LLVKDONJSA-N 0 1 322.158 3.309 20 30 DGEDMN C=C[C@H](C(=O)NC1CCN(C[C@H](F)CC)CC1)c1ccccc1 ZINC001230331912 1097477627 /nfs/dbraw/zinc/47/76/27/1097477627.db2.gz JOZFMTJHPMDONH-AEFFLSMTSA-N 0 1 318.436 3.285 20 30 DGEDMN CC(=O)Oc1ccc(C2CCC(N(C)CCC#N)CC2)cc1 ZINC001173793423 1097514815 /nfs/dbraw/zinc/51/48/15/1097514815.db2.gz YDRHWGKTIJNGFT-UHFFFAOYSA-N 0 1 300.402 3.484 20 30 DGEDMN C=CCN(CCCNC(=O)C[C@@H](C=C)CC)Cc1cccnc1 ZINC001230819932 1097597653 /nfs/dbraw/zinc/59/76/53/1097597653.db2.gz LVVXANHIWOFSAH-KRWDZBQOSA-N 0 1 315.461 3.178 20 30 DGEDMN C=CCN(CCCNC(=O)[C@H]1CC[C@@H](C)C1)Cc1cccnc1 ZINC001230861609 1097608341 /nfs/dbraw/zinc/60/83/41/1097608341.db2.gz NWIOVOMBQJXYLL-AEFFLSMTSA-N 0 1 315.461 3.012 20 30 DGEDMN COc1ccc2nc(=O)[nH]c(O[C@@H](C)CC(C)(C)S)c2c1 ZINC001230861479 1097608349 /nfs/dbraw/zinc/60/83/49/1097608349.db2.gz BHRZGVXMGRBYTD-VIFPVBQESA-N 0 1 308.403 3.210 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CC[N@H+]1CC1=CCCCC1 ZINC001231094536 1097666867 /nfs/dbraw/zinc/66/68/67/1097666867.db2.gz HOAPPMDADVAGET-AEFFLSMTSA-N 0 1 320.477 3.001 20 30 DGEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CC1=CCCCC1 ZINC001231094536 1097666870 /nfs/dbraw/zinc/66/68/70/1097666870.db2.gz HOAPPMDADVAGET-AEFFLSMTSA-N 0 1 320.477 3.001 20 30 DGEDMN COC(=O)c1sc(Nc2cccc3[nH]ncc32)c(C#N)c1C ZINC001174343513 1097684324 /nfs/dbraw/zinc/68/43/24/1097684324.db2.gz VMVAAELVAAZMPX-UHFFFAOYSA-N 0 1 312.354 3.335 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1[C@@H]1CCc2ccc(F)cc21 ZINC001231178025 1097689111 /nfs/dbraw/zinc/68/91/11/1097689111.db2.gz IJGFALLAVKTVFN-FUHWJXTLSA-N 0 1 316.420 3.312 20 30 DGEDMN Cc1ccnc(C#N)c1NC1=CCCN(Cc2ccccc2)C1 ZINC001174394134 1097689598 /nfs/dbraw/zinc/68/95/98/1097689598.db2.gz BTAMWGJQTFRMQU-UHFFFAOYSA-N 0 1 304.397 3.463 20 30 DGEDMN CC(C)c1ccccc1CN1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231293807 1097715221 /nfs/dbraw/zinc/71/52/21/1097715221.db2.gz RJOQTZDOWYLLLL-NVXWUHKLSA-N 0 1 313.445 3.002 20 30 DGEDMN CN(CCCNC(=O)C1CCCCC1)Cc1ccc(C#N)s1 ZINC001231345110 1097725866 /nfs/dbraw/zinc/72/58/66/1097725866.db2.gz LPXGOMHSIOFRME-UHFFFAOYSA-N 0 1 319.474 3.138 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398891 1097739750 /nfs/dbraw/zinc/73/97/50/1097739750.db2.gz RYZUSZCEWFPIOW-MRXNPFEDSA-N 0 1 321.465 3.408 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)c1oc(C)nc1C ZINC001231398174 1097739815 /nfs/dbraw/zinc/73/98/15/1097739815.db2.gz AABJGKDAGKGAHE-MRXNPFEDSA-N 0 1 319.449 3.184 20 30 DGEDMN N#C[C@H](NC(=O)c1cc2c(Cl)[nH]ccc-2n1)c1ccccc1 ZINC001174554937 1097775899 /nfs/dbraw/zinc/77/58/99/1097775899.db2.gz FFTLJUTWQUNAAZ-AWEZNQCLSA-N 0 1 310.744 3.211 20 30 DGEDMN C#Cc1ccc(CNC(=O)c2cc3c(Cl)[nH]ccc-3n2)cc1 ZINC001174599569 1097789448 /nfs/dbraw/zinc/78/94/48/1097789448.db2.gz CZJPSLRYTRBIFA-UHFFFAOYSA-N 0 1 309.756 3.128 20 30 DGEDMN CN1CCN(c2ccc(Nc3cc(F)cc(C#N)c3)cc2)CC1 ZINC001174815308 1097845594 /nfs/dbraw/zinc/84/55/94/1097845594.db2.gz MVNIRYIKHPLBBO-UHFFFAOYSA-N 0 1 310.376 3.193 20 30 DGEDMN N#C[C@H]1CN(Cc2ccccc2-c2ccc(F)cc2)CCC1=O ZINC001231822609 1097854987 /nfs/dbraw/zinc/85/49/87/1097854987.db2.gz FPPHURQKJCMMGO-INIZCTEOSA-N 0 1 308.356 3.407 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001174849594 1097867732 /nfs/dbraw/zinc/86/77/32/1097867732.db2.gz OQKHVZZWKDWBHF-HUUCEWRRSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H](C)CCCCNCc1ccon1 ZINC001174850120 1097868116 /nfs/dbraw/zinc/86/81/16/1097868116.db2.gz UGYVFLNDRIFMLH-CABCVRRESA-N 0 1 307.438 3.042 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)CCCCNCc1nocc1C ZINC001174979039 1097913392 /nfs/dbraw/zinc/91/33/92/1097913392.db2.gz SXJIMFMZDBYVRU-HNNXBMFYSA-N 0 1 307.438 3.104 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCCCNCc1ncc(C)o1 ZINC001175081247 1097941186 /nfs/dbraw/zinc/94/11/86/1097941186.db2.gz CUBSUGKGNJDRKZ-KDOFPFPSSA-N 0 1 321.465 3.350 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCCCNCc1cc(C)on1 ZINC001175083184 1097941578 /nfs/dbraw/zinc/94/15/78/1097941578.db2.gz XBPDAIQQSXOBOA-KBXCAEBGSA-N 0 1 321.465 3.350 20 30 DGEDMN N#Cc1ccc(CN2CCC3(C[C@@H](F)CO3)CC2)cc1Cl ZINC001232116726 1097943309 /nfs/dbraw/zinc/94/33/09/1097943309.db2.gz PAPCTBSIVHFIPD-CQSZACIVSA-N 0 1 308.784 3.305 20 30 DGEDMN CCOC(=O)[C@H]1CCCCN(Cc2ccc(C#N)c(Cl)c2)C1 ZINC001232117284 1097943603 /nfs/dbraw/zinc/94/36/03/1097943603.db2.gz XVUWCCHLOFRQMC-HNNXBMFYSA-N 0 1 320.820 3.377 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCCCNCc2cscn2)C1 ZINC001175169404 1097967823 /nfs/dbraw/zinc/96/78/23/1097967823.db2.gz XRCCQRFMBWRPAW-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN(C/C=C\Cl)CC1(C)C ZINC001281546455 1097988297 /nfs/dbraw/zinc/98/82/97/1097988297.db2.gz JTZAUKXBLHESGL-QIENPNARSA-N 0 1 310.869 3.149 20 30 DGEDMN COC[C@@]12CCC[C@@H]1CN(Cc1ccc(Cl)cc1C#N)C2 ZINC001232607212 1098093116 /nfs/dbraw/zinc/09/31/16/1098093116.db2.gz GEXIZJOGGZGQQO-WBVHZDCISA-N 0 1 304.821 3.460 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)C1(C(C)(F)F)CC1 ZINC001277693364 1098105208 /nfs/dbraw/zinc/10/52/08/1098105208.db2.gz LHYHWSXJLHTACK-GFCCVEGCSA-N 0 1 320.811 3.001 20 30 DGEDMN N#Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](OCc3ccccc3)C2)c1 ZINC001232677197 1098111772 /nfs/dbraw/zinc/11/17/72/1098111772.db2.gz CDOSPCURJVKUCX-LJQANCHMSA-N 0 1 322.408 3.445 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)Cc2cccc(F)c2)C1 ZINC001277706899 1098122358 /nfs/dbraw/zinc/12/23/58/1098122358.db2.gz MHFXNHMAMNYOKB-HNNXBMFYSA-N 0 1 318.436 3.113 20 30 DGEDMN CNC(=O)c1cc(C#N)cc(C)c1Nc1ccc2c(C)[nH]nc2c1 ZINC001175665391 1098130144 /nfs/dbraw/zinc/13/01/44/1098130144.db2.gz MFYGOLLAGNQFFL-UHFFFAOYSA-N 0 1 319.368 3.155 20 30 DGEDMN CN(CCc1cccc(C(F)(F)F)n1)Cc1cccc(C#N)n1 ZINC001232922880 1098173239 /nfs/dbraw/zinc/17/32/39/1098173239.db2.gz WJPCIDNMVQPHKJ-UHFFFAOYSA-N 0 1 320.318 3.042 20 30 DGEDMN Cc1ccccc1O[C@H]1CCCN(Cc2cccc(C#N)n2)C1 ZINC001232924535 1098173663 /nfs/dbraw/zinc/17/36/63/1098173663.db2.gz IKVWIEDROWWZSM-SFHVURJKSA-N 0 1 307.397 3.305 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3cc(C#N)ccn3)C2)[nH]n1 ZINC001175935603 1098211125 /nfs/dbraw/zinc/21/11/25/1098211125.db2.gz OSWZHWAUCLUMBA-HNNXBMFYSA-N 0 1 309.417 3.179 20 30 DGEDMN CC(C)c1cc([C@H]2CCCN(Cc3cc(C#N)ccn3)C2)n[nH]1 ZINC001175935603 1098211131 /nfs/dbraw/zinc/21/11/31/1098211131.db2.gz OSWZHWAUCLUMBA-HNNXBMFYSA-N 0 1 309.417 3.179 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CC[C@](O)(C(F)(F)F)[C@@H](C)C1 ZINC001233080056 1098221472 /nfs/dbraw/zinc/22/14/72/1098221472.db2.gz CBFBCXVVAWTZRD-SWLSCSKDSA-N 0 1 312.335 3.002 20 30 DGEDMN Cc1ccnc(OC2CCN(Cc3ccc(C#N)cc3C)CC2)n1 ZINC001233083347 1098222957 /nfs/dbraw/zinc/22/29/57/1098222957.db2.gz GXJWLEVTWJGUNZ-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN N#Cc1ccc2c(c1)CCN(Cc1n[nH]c3cc(F)ccc31)C2 ZINC001233120071 1098231238 /nfs/dbraw/zinc/23/12/38/1098231238.db2.gz UVGIUKQJMBXSGH-UHFFFAOYSA-N 0 1 306.344 3.132 20 30 DGEDMN N#CC[C@@H]1CCCN(Cc2ccc(O)c(OCC3CC3)c2)C1 ZINC001233228288 1098256437 /nfs/dbraw/zinc/25/64/37/1098256437.db2.gz MVRGUKSOGPOYHH-AWEZNQCLSA-N 0 1 300.402 3.307 20 30 DGEDMN Cc1cnsc1CN1CCC(Oc2ccccc2C#N)CC1 ZINC001233321419 1098287094 /nfs/dbraw/zinc/28/70/94/1098287094.db2.gz NUSLGJAGQRPBAO-UHFFFAOYSA-N 0 1 313.426 3.367 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@@H](Oc3ccccc3)C2)c([O-])c1 ZINC001233386244 1098310710 /nfs/dbraw/zinc/31/07/10/1098310710.db2.gz LPSQWPVWIMBFLY-GOSISDBHSA-N 0 1 308.381 3.307 20 30 DGEDMN N#Cc1cccc(Nc2ccc(CCN3CCOCC3)cc2)c1 ZINC001176382883 1098324620 /nfs/dbraw/zinc/32/46/20/1098324620.db2.gz VDFBUGXKXATYKT-UHFFFAOYSA-N 0 1 307.397 3.177 20 30 DGEDMN Cc1cc(F)ccc1[C@H](O)CN(C)Cc1cc(C#N)cs1 ZINC001176556579 1098386348 /nfs/dbraw/zinc/38/63/48/1098386348.db2.gz ZNGNVXFVBVRYAH-MRXNPFEDSA-N 0 1 304.390 3.233 20 30 DGEDMN COC(=O)c1cc(C#N)ccc1Nc1c(F)cc(F)c(O)c1F ZINC001176671010 1098402457 /nfs/dbraw/zinc/40/24/57/1098402457.db2.gz QOXIXVUGJFLCFX-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN COC(=O)c1cc(Nc2c(F)cc(F)c(O)c2F)ccc1C#N ZINC001176673420 1098403203 /nfs/dbraw/zinc/40/32/03/1098403203.db2.gz GFPSTSBQLSYUMR-UHFFFAOYSA-N 0 1 322.242 3.211 20 30 DGEDMN COCOc1ccc(F)cc1Nc1cc(C#N)c(F)cc1O ZINC001176722336 1098412542 /nfs/dbraw/zinc/41/25/42/1098412542.db2.gz UCROPEQWMLIGOG-UHFFFAOYSA-N 0 1 306.268 3.268 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1cc(C)sc1C ZINC001233915781 1098456406 /nfs/dbraw/zinc/45/64/06/1098456406.db2.gz QJYJQYBMGDNEFY-KRWDZBQOSA-N 0 1 318.486 3.201 20 30 DGEDMN Cc1cc2cn[nH]c2c(C(=O)Nc2cc(C#N)c(F)cc2C)c1 ZINC001177036317 1098459871 /nfs/dbraw/zinc/45/98/71/1098459871.db2.gz IXDNOYREQMGPQF-UHFFFAOYSA-N 0 1 308.316 3.443 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC001233948226 1098464787 /nfs/dbraw/zinc/46/47/87/1098464787.db2.gz STOZHHVFBVRSRG-LJQANCHMSA-N 0 1 312.457 3.140 20 30 DGEDMN CCCCCC(=O)NCCCN(C)CC#Cc1ccc(F)cc1 ZINC001233977627 1098470100 /nfs/dbraw/zinc/47/01/00/1098470100.db2.gz GHOBLFKRKZAYEF-UHFFFAOYSA-N 0 1 318.436 3.196 20 30 DGEDMN CN(C[C@H]1CC[N@H+]1CCC1=CCCCC1)C(=O)C#CC(C)(C)C ZINC001234214986 1098515399 /nfs/dbraw/zinc/51/53/99/1098515399.db2.gz GWYABSMAWYQSGU-GOSISDBHSA-N 0 1 316.489 3.459 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)OCCCCCC ZINC001234276791 1098525932 /nfs/dbraw/zinc/52/59/32/1098525932.db2.gz SURRJFJMFXTQRT-DLBZAZTESA-N 0 1 310.482 3.081 20 30 DGEDMN N#Cc1ccc(F)c(F)c1NC(=O)[C@H](C#N)Cc1cccs1 ZINC001177918005 1098648092 /nfs/dbraw/zinc/64/80/92/1098648092.db2.gz ZAJFYRKNSJIUGS-JTQLQIEISA-N 0 1 317.320 3.219 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCc1ccc(C)cc1 ZINC001277892286 1098665745 /nfs/dbraw/zinc/66/57/45/1098665745.db2.gz MXUGUPOKFQFTRE-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C#CCOc1ccc(CN[C@@H]2CCOc3ccc(OC)cc32)cc1 ZINC001178439199 1098816545 /nfs/dbraw/zinc/81/65/45/1098816545.db2.gz AQYOSZUTAXMOCO-LJQANCHMSA-N 0 1 323.392 3.321 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@H](C)NCC=C(Cl)Cl)CCC1 ZINC001277927959 1098847101 /nfs/dbraw/zinc/84/71/01/1098847101.db2.gz AFPIBNDIZLQYAY-LBPRGKRZSA-N 0 1 319.276 3.488 20 30 DGEDMN Cc1ccc(CCC(=O)Nc2nc[nH]c2C#N)c2ccccc12 ZINC001178593571 1098867810 /nfs/dbraw/zinc/86/78/10/1098867810.db2.gz BENJURRPMWPVKA-UHFFFAOYSA-N 0 1 304.353 3.314 20 30 DGEDMN CCC[N@H+](CCC#N)Cc1cc(F)cc(Br)c1[O-] ZINC001235302790 1098950991 /nfs/dbraw/zinc/95/09/91/1098950991.db2.gz TZEFXUQVOYVIHT-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN CCC[N@@H+](CCC#N)Cc1cc(F)cc(Br)c1[O-] ZINC001235302790 1098950997 /nfs/dbraw/zinc/95/09/97/1098950997.db2.gz TZEFXUQVOYVIHT-UHFFFAOYSA-N 0 1 315.186 3.419 20 30 DGEDMN C=CCCC(=O)NCCCN(C)CC#Cc1ccc(Cl)cc1 ZINC001235481086 1099061238 /nfs/dbraw/zinc/06/12/38/1099061238.db2.gz ULBGYWKMZMVUSS-UHFFFAOYSA-N 0 1 318.848 3.096 20 30 DGEDMN C=C[C@H](CC)CC(=O)NCCCCCCNCc1coc(C)n1 ZINC001179339875 1099077196 /nfs/dbraw/zinc/07/71/96/1099077196.db2.gz NXGAWRZIOGGLMW-MRXNPFEDSA-N 0 1 321.465 3.352 20 30 DGEDMN C=CCCCC(=O)N1CC[C@H](NCc2cccc(F)c2F)[C@@H]1C ZINC001179455350 1099105587 /nfs/dbraw/zinc/10/55/87/1099105587.db2.gz ZUQHGCDOWMHZHS-BBRMVZONSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C1CCC(C(=O)NCCCCCCNCc2ccon2)CC1 ZINC001179474693 1099110583 /nfs/dbraw/zinc/11/05/83/1099110583.db2.gz JOFAPTVDXRAKIF-UHFFFAOYSA-N 0 1 319.449 3.187 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CCC)C(C)C)[C@H]1C ZINC001179632748 1099160077 /nfs/dbraw/zinc/16/00/77/1099160077.db2.gz FADGLURZQLVRGG-KKUMJFAQSA-N 0 1 300.874 3.390 20 30 DGEDMN CC1CCC2(CC1)OC[C@H](CNCc1cccc(F)c1C#N)O2 ZINC001180340746 1099377386 /nfs/dbraw/zinc/37/73/86/1099377386.db2.gz ZKTDNZILFUSXPL-DBQDNQAGSA-N 0 1 318.392 3.109 20 30 DGEDMN Cc1[nH]nc(CNc2nccc(Oc3cccc(C#N)c3)n2)c1C ZINC001187502726 1099534007 /nfs/dbraw/zinc/53/40/07/1099534007.db2.gz GREUUTFBSAJSLF-UHFFFAOYSA-N 0 1 320.356 3.093 20 30 DGEDMN N#Cc1cncnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236471398 1099632092 /nfs/dbraw/zinc/63/20/92/1099632092.db2.gz CMBMLXOYPDYELX-MSOLQXFVSA-N 0 1 302.381 3.169 20 30 DGEDMN Cc1ccccc1-c1cc(C(=O)Nc2cc(C#N)cnc2C)[nH]n1 ZINC001187970320 1099646349 /nfs/dbraw/zinc/64/63/49/1099646349.db2.gz LCYWEWOYSYCYCU-UHFFFAOYSA-N 0 1 317.352 3.213 20 30 DGEDMN CCOC(=O)c1cc2ncc(-c3ccc(C#N)cc3F)cc2[nH]1 ZINC001236530659 1099666408 /nfs/dbraw/zinc/66/64/08/1099666408.db2.gz OCNUTWBWKFDIFT-UHFFFAOYSA-N 0 1 309.300 3.417 20 30 DGEDMN C=CCC(CC=C)C(=O)N1CC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001188033997 1099672505 /nfs/dbraw/zinc/67/25/05/1099672505.db2.gz VYEFIBARTKZDSQ-ZIDUMWFYSA-N 0 1 308.853 3.040 20 30 DGEDMN N#Cc1c[nH]c2cc(NC(=O)c3ncc(C(F)(F)F)[n-]3)ccc12 ZINC001188289168 1099725092 /nfs/dbraw/zinc/72/50/92/1099725092.db2.gz ROQPQCAFGKKXMM-UHFFFAOYSA-N 0 1 319.246 3.034 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188288697 1099726050 /nfs/dbraw/zinc/72/60/50/1099726050.db2.gz KTTWVKGCQYDGIY-UHFFFAOYSA-N 0 1 312.226 3.000 20 30 DGEDMN CCCCCC(=O)N1CC[C@@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001188906062 1099897842 /nfs/dbraw/zinc/89/78/42/1099897842.db2.gz LADGEKRRYUVJKY-CQSZACIVSA-N 0 1 319.474 3.233 20 30 DGEDMN N#CCC1CN(Cc2cccc3cc(Br)cnc32)C1 ZINC001237140421 1099990173 /nfs/dbraw/zinc/99/01/73/1099990173.db2.gz NRXLLXKFMPSGRI-UHFFFAOYSA-N 0 1 316.202 3.343 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1cccc(Cl)c1F ZINC001273582076 1100075514 /nfs/dbraw/zinc/07/55/14/1100075514.db2.gz PTDSNBJWHISUIJ-UHFFFAOYSA-N 0 1 319.207 3.283 20 30 DGEDMN N#Cc1sccc1NC(=O)c1ccc(CN2CCCCC2)o1 ZINC001189737810 1100128356 /nfs/dbraw/zinc/12/83/56/1100128356.db2.gz SSNPXKAMSYLVIP-UHFFFAOYSA-N 0 1 315.398 3.451 20 30 DGEDMN Cn1cc(C[N@H+]2CC[C@H]3OCCC[C@H]3C2)c2cc(C#N)ccc21 ZINC001237464213 1100132687 /nfs/dbraw/zinc/13/26/87/1100132687.db2.gz CWKMDTKKEAWLRT-HNAYVOBHSA-N 0 1 309.413 3.051 20 30 DGEDMN Cc1ncccc1CN(C)Cc1cn(C)c2ccc(C#N)cc12 ZINC001237473351 1100136201 /nfs/dbraw/zinc/13/62/01/1100136201.db2.gz YDWAWNWIJBQRHP-UHFFFAOYSA-N 0 1 304.397 3.385 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(CC)CCNCc1cccc(Cl)n1 ZINC001273588170 1100137309 /nfs/dbraw/zinc/13/73/09/1100137309.db2.gz AGEWKFKHSHUZQN-KRWDZBQOSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C1CCC(C(=O)N(CC)CCNCC=C(Cl)Cl)CC1 ZINC001273588982 1100146681 /nfs/dbraw/zinc/14/66/81/1100146681.db2.gz VQKOJUJIMKSXBN-UHFFFAOYSA-N 0 1 319.276 3.490 20 30 DGEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(Cl)nc(Cl)c1[O-] ZINC001237539522 1100164048 /nfs/dbraw/zinc/16/40/48/1100164048.db2.gz QKTRIQUGZLAPCZ-UIKWRGBMSA-N 0 1 312.200 3.361 20 30 DGEDMN CCOC(=O)[C@]1(C)CCCN(Cc2cc(Cl)cc(C#N)c2)C1 ZINC001237753746 1100239315 /nfs/dbraw/zinc/23/93/15/1100239315.db2.gz OBCMQRXFKQEAAK-QGZVFWFLSA-N 0 1 320.820 3.377 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)C(CC(C)C)CC(C)C)[C@@H]2C1 ZINC001190377944 1100264930 /nfs/dbraw/zinc/26/49/30/1100264930.db2.gz OWEPNEGDDHVNDJ-PKOBYXMFSA-N 0 1 318.505 3.251 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)Cc2cccc(Cl)n2)C1 ZINC001190424570 1100272568 /nfs/dbraw/zinc/27/25/68/1100272568.db2.gz YNONBZBNXNDWQA-OAHLLOKOSA-N 0 1 321.852 3.124 20 30 DGEDMN CC(C)C1=NCCc2ccc(CN(CCC#N)CCC#N)cc21 ZINC001237884627 1100282010 /nfs/dbraw/zinc/28/20/10/1100282010.db2.gz CSTQSXSGUFAALN-UHFFFAOYSA-N 0 1 308.429 3.317 20 30 DGEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C(C)(C)F ZINC001325677807 1100284250 /nfs/dbraw/zinc/28/42/50/1100284250.db2.gz RWSNJOHVAOOBIY-UHFFFAOYSA-N 0 1 312.816 3.105 20 30 DGEDMN N#CCCN(Cc1cccnc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237984377 1100316107 /nfs/dbraw/zinc/31/61/07/1100316107.db2.gz OPKGSJJUKFNQDF-UHFFFAOYSA-N 0 1 319.767 3.496 20 30 DGEDMN C=CCC1(S(=O)(=O)Nc2cc(OC)cc3cccnc32)CC1 ZINC001190806785 1100341929 /nfs/dbraw/zinc/34/19/29/1100341929.db2.gz RZSNOLSCPACKMJ-UHFFFAOYSA-N 0 1 318.398 3.094 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001190889067 1100359576 /nfs/dbraw/zinc/35/95/76/1100359576.db2.gz HUVXSAIOUDQXTG-VAMGGRTRSA-N 0 1 324.468 3.126 20 30 DGEDMN N#Cc1cc(CN2CCC3(C[C@H](F)CO3)CC2)ccc1Cl ZINC001238199391 1100391022 /nfs/dbraw/zinc/39/10/22/1100391022.db2.gz SFGFOBSWVNWHMU-AWEZNQCLSA-N 0 1 308.784 3.305 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H](C)SC)C1CCCC1 ZINC001278233058 1100444001 /nfs/dbraw/zinc/44/40/01/1100444001.db2.gz PHBHWZHCGWKKDO-JSGCOSHPSA-N 0 1 318.914 3.145 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ncccc1C)C1CCCC1 ZINC001278234153 1100446746 /nfs/dbraw/zinc/44/67/46/1100446746.db2.gz WJAWUVZRLUCBLF-HNNXBMFYSA-N 0 1 321.852 3.021 20 30 DGEDMN CCCCN(CC#N)C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001191365317 1100459066 /nfs/dbraw/zinc/45/90/66/1100459066.db2.gz BSQNSDLKWHCQTD-UHFFFAOYSA-N 0 1 316.792 3.496 20 30 DGEDMN N#C[C@@H]1CN(Cc2ccc(F)c(-c3ccccc3)c2)CCC1=O ZINC001238551326 1100469086 /nfs/dbraw/zinc/46/90/86/1100469086.db2.gz KJGJYMCAQCJQLB-MRXNPFEDSA-N 0 1 308.356 3.407 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCC1(F)F)C1CCCC1 ZINC001278249465 1100472755 /nfs/dbraw/zinc/47/27/55/1100472755.db2.gz FFMTZFKXHGIKTE-OLZOCXBDSA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCN(C)Cc1cc(F)c(I)c(F)c1 ZINC001238574795 1100475963 /nfs/dbraw/zinc/47/59/63/1100475963.db2.gz CIJUEJHHSTXXOP-UHFFFAOYSA-N 0 1 323.124 3.187 20 30 DGEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001191868612 1100536293 /nfs/dbraw/zinc/53/62/93/1100536293.db2.gz WUMYKOGCLIWUIK-DLBZAZTESA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2cncc(C)c2)C1 ZINC001191911678 1100537067 /nfs/dbraw/zinc/53/70/67/1100537067.db2.gz ILPFZGVAWCVBMJ-FUHWJXTLSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2scnc2C)C1 ZINC001191868670 1100537255 /nfs/dbraw/zinc/53/72/55/1100537255.db2.gz XULFWEXDPLEQCE-UKRRQHHQSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](N(C)Cc2ncccc2C)C1 ZINC001191913625 1100548913 /nfs/dbraw/zinc/54/89/13/1100548913.db2.gz ZSRBDRIHNLIXQE-IAGOWNOFSA-N 0 1 315.461 3.025 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](N(C)CCC(F)(F)F)C1 ZINC001192162742 1100580276 /nfs/dbraw/zinc/58/02/76/1100580276.db2.gz RGSLVHLYTLGXEG-OCCSQVGLSA-N 0 1 306.372 3.074 20 30 DGEDMN CCCCCCCNC(=O)N1CCNC[C@@H]1c1ccc(F)cc1 ZINC001239293477 1100623731 /nfs/dbraw/zinc/62/37/31/1100623731.db2.gz OJPRHXVMGSMWTQ-QGZVFWFLSA-N 0 1 321.440 3.452 20 30 DGEDMN N#Cc1c(F)ccc(NC(=O)c2c(F)ccc(O)c2F)c1F ZINC001192540324 1100637021 /nfs/dbraw/zinc/63/70/21/1100637021.db2.gz LPWOESDSULQMCZ-UHFFFAOYSA-N 0 1 310.206 3.073 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2ccc(F)cc2)C1 ZINC001192586413 1100638752 /nfs/dbraw/zinc/63/87/52/1100638752.db2.gz OGHRKUOFRKGBMI-QGZVFWFLSA-N 0 1 318.436 3.461 20 30 DGEDMN CCCCCSc1ncccc1C(=O)Nc1nc[nH]c1C#N ZINC001192581447 1100639194 /nfs/dbraw/zinc/63/91/94/1100639194.db2.gz LIAIIXSGQQGAKB-UHFFFAOYSA-N 0 1 315.402 3.211 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)CC2CCCC2)C1 ZINC001192655650 1100644485 /nfs/dbraw/zinc/64/44/85/1100644485.db2.gz NNOWTEJPTYBOMG-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)CC2CCCCC2)C1 ZINC001192640647 1100647707 /nfs/dbraw/zinc/64/77/07/1100647707.db2.gz DPSIBTHSRONLKM-FUHWJXTLSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)c2ccccc2C)C1 ZINC001192642391 1100648236 /nfs/dbraw/zinc/64/82/36/1100648236.db2.gz SNLXDTSJNQLQDJ-QZTJIDSGSA-N 0 1 312.457 3.045 20 30 DGEDMN N#Cc1ccc(C(=O)N2CCC(c3n[nH]c4ccccc43)CC2)o1 ZINC001192686309 1100651198 /nfs/dbraw/zinc/65/11/98/1100651198.db2.gz XWGBZAIPZJEYSW-UHFFFAOYSA-N 0 1 320.352 3.047 20 30 DGEDMN C=CCCCCCN1CC2(C1)CC[C@@H](CNC(=O)C=C(C)C)O2 ZINC001273646509 1100659624 /nfs/dbraw/zinc/65/96/24/1100659624.db2.gz MZBWMZOZNGVBLX-KRWDZBQOSA-N 0 1 320.477 3.049 20 30 DGEDMN C[C@H](NC(=O)c1c(O)ccc(F)c1F)c1cccc(C#N)c1 ZINC001192768913 1100659875 /nfs/dbraw/zinc/65/98/75/1100659875.db2.gz GHNOHDCJKQGKCG-VIFPVBQESA-N 0 1 302.280 3.033 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2(CC(C)C)CCCC2)C1 ZINC001192895407 1100674407 /nfs/dbraw/zinc/67/44/07/1100674407.db2.gz AQKZPLLAQFMUAJ-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC001193038286 1100690262 /nfs/dbraw/zinc/69/02/62/1100690262.db2.gz LJOZNNYPQLTLHL-BZSNNMDCSA-N 0 1 304.478 3.005 20 30 DGEDMN C[C@@H](C#N)c1ccc(NC(=O)c2cc(Br)n[nH]2)cc1 ZINC001193294869 1100724559 /nfs/dbraw/zinc/72/45/59/1100724559.db2.gz NBQTXLYRPMIDJK-QMMMGPOBSA-N 0 1 319.162 3.052 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc(-c3ccoc3)c2)C1 ZINC001193311411 1100726907 /nfs/dbraw/zinc/72/69/07/1100726907.db2.gz QIUZLHYUDYEOCD-LJQANCHMSA-N 0 1 322.408 3.116 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(F)cc(C)c1Cl ZINC001193321038 1100727145 /nfs/dbraw/zinc/72/71/45/1100727145.db2.gz CDOFNKMCVUIJIR-ZDUSSCGKSA-N 0 1 310.800 3.168 20 30 DGEDMN CC(C)c1nc(CN(C)C(=O)c2ccc(O)c(C#N)c2)cs1 ZINC001193503784 1100757240 /nfs/dbraw/zinc/75/72/40/1100757240.db2.gz GQWBPCZIQKUIST-UHFFFAOYSA-N 0 1 315.398 3.116 20 30 DGEDMN N#CCc1cccc(NC(=O)c2cc(O)c(O)c(Cl)c2)c1 ZINC001193624401 1100774011 /nfs/dbraw/zinc/77/40/11/1100774011.db2.gz CMWSSFBMHNCGOJ-UHFFFAOYSA-N 0 1 302.717 3.070 20 30 DGEDMN C[C@H](NC(=O)c1cc(O)c(O)c(Cl)c1)c1cccc(C#N)c1 ZINC001193625353 1100774418 /nfs/dbraw/zinc/77/44/18/1100774418.db2.gz HJCPQQSSXFXOKC-VIFPVBQESA-N 0 1 316.744 3.114 20 30 DGEDMN Cc1cc(C#N)c(F)cc1NC(=O)c1cc(O)c(O)c(Cl)c1 ZINC001193626154 1100774728 /nfs/dbraw/zinc/77/47/28/1100774728.db2.gz WBSYEOWGAYQJSW-UHFFFAOYSA-N 0 1 320.707 3.323 20 30 DGEDMN C#Cc1ccc(CN2CCC[C@@]23CCN(C2CCCC2)C3=O)cc1 ZINC001273672041 1100883869 /nfs/dbraw/zinc/88/38/69/1100883869.db2.gz WTRMKVBSYSPZPH-NRFANRHFSA-N 0 1 322.452 3.177 20 30 DGEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001194192389 1100890339 /nfs/dbraw/zinc/89/03/39/1100890339.db2.gz URKYADJECGTTLA-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)c2c3ccccc3oc2CC)C1 ZINC001194305295 1100908566 /nfs/dbraw/zinc/90/85/66/1100908566.db2.gz IBNUPXPABTYIIE-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c3ccccc3oc2CC)C1 ZINC001194305295 1100908572 /nfs/dbraw/zinc/90/85/72/1100908572.db2.gz IBNUPXPABTYIIE-HNNXBMFYSA-N 0 1 324.424 3.165 20 30 DGEDMN C#CCC1(C(=O)N2CC[C@H](N(C)C/C=C\Cl)C2)CCCCC1 ZINC001194367960 1100920870 /nfs/dbraw/zinc/92/08/70/1100920870.db2.gz NNLQXOZLFLPKRU-FGLWMGOFSA-N 0 1 322.880 3.245 20 30 DGEDMN Cc1cccc(C)c1S(=O)(=O)Nc1cnc(C#N)c(Cl)c1 ZINC001194609828 1100966723 /nfs/dbraw/zinc/96/67/23/1100966723.db2.gz LRPWLLMOIZZMMT-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN Cc1cccc(C)c1S(=O)(=O)Nc1c(F)cccc1C#N ZINC001194634026 1100977780 /nfs/dbraw/zinc/97/77/80/1100977780.db2.gz KTXBBKMFCMLMCD-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001110463071 1101035317 /nfs/dbraw/zinc/03/53/17/1101035317.db2.gz QEANREACBFBAPP-ZACQAIPSSA-N 0 1 316.470 3.163 20 30 DGEDMN CC[C@@H](C)OC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC001195150207 1101086374 /nfs/dbraw/zinc/08/63/74/1101086374.db2.gz HEMSYOPDFWBIER-CQSZACIVSA-N 0 1 315.417 3.047 20 30 DGEDMN CC[C@H](C)OC(=O)NC1CCN(Cc2cccc(C#N)c2)CC1 ZINC001195150206 1101086626 /nfs/dbraw/zinc/08/66/26/1101086626.db2.gz HEMSYOPDFWBIER-AWEZNQCLSA-N 0 1 315.417 3.047 20 30 DGEDMN C=CCCC(=O)N1CCCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC001195231435 1101094984 /nfs/dbraw/zinc/09/49/84/1101094984.db2.gz AXVJXJPENWRJDK-SFHVURJKSA-N 0 1 316.420 3.314 20 30 DGEDMN Cc1cccc(Cl)c1S(=O)(=O)Nc1ccc(C#N)cc1 ZINC001195267804 1101109299 /nfs/dbraw/zinc/10/92/99/1101109299.db2.gz KFPHGLQIRGZYNT-UHFFFAOYSA-N 0 1 306.774 3.321 20 30 DGEDMN Cc1cccc(Cl)c1S(=O)(=O)Nc1ccc(O)c(C#N)c1 ZINC001195308006 1101118475 /nfs/dbraw/zinc/11/84/75/1101118475.db2.gz BKXNUQFNWHREFV-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN C#CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC001195464695 1101134761 /nfs/dbraw/zinc/13/47/61/1101134761.db2.gz YXQPULRYDCELBV-HUUCEWRRSA-N 0 1 302.393 3.196 20 30 DGEDMN CCC#C[C@H](C)N1CC[C@H](Oc2cncc(Br)c2)C1 ZINC001195577611 1101157202 /nfs/dbraw/zinc/15/72/02/1101157202.db2.gz OOCNPCSYSAAFOT-JSGCOSHPSA-N 0 1 323.234 3.099 20 30 DGEDMN COc1ccc(CC#N)cc1-c1ccc(N2CCN(C)CC2)cc1 ZINC001240851868 1101157481 /nfs/dbraw/zinc/15/74/81/1101157481.db2.gz BJJINYGQLRJUEU-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc4[nH]c(C#N)cc43)cc2)CC1 ZINC001240851925 1101157617 /nfs/dbraw/zinc/15/76/17/1101157617.db2.gz FFQHSQRQOIGEAJ-UHFFFAOYSA-N 0 1 316.408 3.458 20 30 DGEDMN C#CCCCC(=O)N1CCCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001195674630 1101173127 /nfs/dbraw/zinc/17/31/27/1101173127.db2.gz IUZZSOWHINUGAA-UHFFFAOYSA-N 0 1 324.468 3.402 20 30 DGEDMN N#Cc1ccc(C(=O)N[C@@H](c2ccccc2)C(F)(F)F)c(O)c1 ZINC001195764896 1101193249 /nfs/dbraw/zinc/19/32/49/1101193249.db2.gz SVGSLCWXSPCZJV-AWEZNQCLSA-N 0 1 320.270 3.297 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cnnc3ccc(Cl)cc32)c(O)c1 ZINC001195741746 1101196298 /nfs/dbraw/zinc/19/62/98/1101196298.db2.gz YCOIFZUDDIZBDH-UHFFFAOYSA-N 0 1 324.727 3.113 20 30 DGEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001195839706 1101211550 /nfs/dbraw/zinc/21/15/50/1101211550.db2.gz VDSRFTLBOQDFOW-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)OCCCCCC)CC1 ZINC001195839706 1101211554 /nfs/dbraw/zinc/21/15/54/1101211554.db2.gz VDSRFTLBOQDFOW-QGZVFWFLSA-N 0 1 310.482 3.082 20 30 DGEDMN N#Cc1ccc(O)c(NC(=S)NCc2cccc(Cl)c2)c1 ZINC001195978903 1101228390 /nfs/dbraw/zinc/22/83/90/1101228390.db2.gz BUJMHQFQLCBFCR-UHFFFAOYSA-N 0 1 317.801 3.404 20 30 DGEDMN C=CCOCCN1CCCN(C(=O)CCC[C@H](C)CCC)CC1 ZINC001195989697 1101238242 /nfs/dbraw/zinc/23/82/42/1101238242.db2.gz FOCAZNUMOQSVFC-GOSISDBHSA-N 0 1 324.509 3.330 20 30 DGEDMN CC(C)OC(=O)c1ccc(-c2cc3[nH]cnc3c(C#N)n2)cc1 ZINC001241283607 1101347460 /nfs/dbraw/zinc/34/74/60/1101347460.db2.gz YULFSHIIARKAIS-UHFFFAOYSA-N 0 1 306.325 3.062 20 30 DGEDMN CC(C)(C)c1nc(C(=O)Nc2sc3c(c2C#N)CCC3)no1 ZINC001196978585 1101450463 /nfs/dbraw/zinc/45/04/63/1101450463.db2.gz DWPCOQUVDXCRSW-UHFFFAOYSA-N 0 1 316.386 3.041 20 30 DGEDMN C=CCCCCC[N@@H+]1CCCN(C(=O)c2conc2CC)CC1 ZINC001197068747 1101470279 /nfs/dbraw/zinc/47/02/79/1101470279.db2.gz NEVYDGIKYVDVED-UHFFFAOYSA-N 0 1 319.449 3.131 20 30 DGEDMN CCOc1ncc(-c2cc(/C=N/O)cc(C(F)(F)F)c2)cn1 ZINC001241553397 1101546053 /nfs/dbraw/zinc/54/60/53/1101546053.db2.gz QFGOUSBAEWRBEQ-CGOBSMCZSA-N 0 1 311.263 3.369 20 30 DGEDMN Cc1ccc([C@@H](C#N)c2ccc(C3=CCCN(C)C3)nn2)cc1 ZINC001241564942 1101549506 /nfs/dbraw/zinc/54/95/06/1101549506.db2.gz DUOAPLBZOIUBNB-QGZVFWFLSA-N 0 1 304.397 3.159 20 30 DGEDMN C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C\c1ccccc1 ZINC001110500626 1101738433 /nfs/dbraw/zinc/73/84/33/1101738433.db2.gz HNTXLEUJBZLSKF-BEGRCNQLSA-N 0 1 322.452 3.225 20 30 DGEDMN C=CCN[C@@H](CNC(=O)c1cccc(C)c1)c1ccccc1OC ZINC001198508744 1101899316 /nfs/dbraw/zinc/89/93/16/1101899316.db2.gz PYCQRHYNECQSCM-SFHVURJKSA-N 0 1 324.424 3.250 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)CCCC)c1ccccc1OC ZINC001198544905 1101914947 /nfs/dbraw/zinc/91/49/47/1101914947.db2.gz WNTSHMFZCVFTCH-MRXNPFEDSA-N 0 1 304.434 3.208 20 30 DGEDMN C=CCN[C@@H](CNC(=O)[C@@H](C)CCCC)c1ccccc1OC ZINC001198705991 1101968178 /nfs/dbraw/zinc/96/81/78/1101968178.db2.gz UVENAORKYMOFBS-RDJZCZTQSA-N 0 1 318.461 3.454 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C(C)=C1CCC1)c1ccccc1OC ZINC001198713084 1101972003 /nfs/dbraw/zinc/97/20/03/1101972003.db2.gz LWTDMYMZWCIKAL-KRWDZBQOSA-N 0 1 314.429 3.129 20 30 DGEDMN C=C(C)CN[C@H](CNC(=O)/C=C(\C)CC)c1ccccc1OC ZINC001198714370 1101972243 /nfs/dbraw/zinc/97/22/43/1101972243.db2.gz XWLAWKMOZSIGDE-GSPCDJLXSA-N 0 1 316.445 3.375 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@H](C)CC(=C)C)c1ccccc1OC ZINC001198854908 1102000467 /nfs/dbraw/zinc/00/04/67/1102000467.db2.gz ASGWSLUXJQWOET-DOTOQJQBSA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C1(CC)CCC1)c1ccccc1OC ZINC001198843464 1102011666 /nfs/dbraw/zinc/01/16/66/1102011666.db2.gz RWSMZNWXYSWEAC-INIZCTEOSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@H](CNC(=O)C1(CCC)CC1)c1ccccc1OC ZINC001198885598 1102022415 /nfs/dbraw/zinc/02/24/15/1102022415.db2.gz MJMSRHUPRRHLEE-MRXNPFEDSA-N 0 1 316.445 3.208 20 30 DGEDMN C=CCN[C@H](CNC(=O)[C@@](C)(C=C)CC)c1ccccc1OC ZINC001198885699 1102022725 /nfs/dbraw/zinc/02/27/25/1102022725.db2.gz PTHVTKRUZJIVAF-APWZRJJASA-N 0 1 316.445 3.230 20 30 DGEDMN C=CCN[C@@H](CNC(=O)C[C@H](C)C1CC1)c1ccccc1OC ZINC001198992935 1102046601 /nfs/dbraw/zinc/04/66/01/1102046601.db2.gz HVXWFNYIUNFQDD-YOEHRIQHSA-N 0 1 316.445 3.064 20 30 DGEDMN CC/C(C)=C/C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccc(C#N)cc1 ZINC001110535142 1102143612 /nfs/dbraw/zinc/14/36/12/1102143612.db2.gz JHFPHPODJDISMD-IPDPYYILSA-N 0 1 323.440 3.136 20 30 DGEDMN CCc1cccc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC(C)C)C3)c1 ZINC001110533780 1102147764 /nfs/dbraw/zinc/14/77/64/1102147764.db2.gz GJKAYOAFXAYYOS-AQNXPRMDSA-N 0 1 324.468 3.130 20 30 DGEDMN C=CCCC(=O)N1CCC(NCc2csc(C3CC3)n2)CC1 ZINC001199725957 1102193708 /nfs/dbraw/zinc/19/37/08/1102193708.db2.gz ISBNANKMZGOOON-UHFFFAOYSA-N 0 1 319.474 3.067 20 30 DGEDMN CCN1CCN(Cc2ccc(-c3cc(C#N)ccc3C)nc2)CC1 ZINC001242543158 1102199569 /nfs/dbraw/zinc/19/95/69/1102199569.db2.gz UOPOGFFQFKDYJL-UHFFFAOYSA-N 0 1 320.440 3.066 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C[C@H](C)CC)C2 ZINC001273833167 1102361602 /nfs/dbraw/zinc/36/16/02/1102361602.db2.gz MANSSGRZFUDCEI-RHSMWYFYSA-N 0 1 312.885 3.490 20 30 DGEDMN CC#Cc1cncc(-c2cc(/C=C/N(C)C)c(OCOC)cn2)c1 ZINC001242940448 1102431324 /nfs/dbraw/zinc/43/13/24/1102431324.db2.gz HTNKAALALNTBBZ-BQYQJAHWSA-N 0 1 323.396 3.030 20 30 DGEDMN Cc1ccc(S(=O)(=O)Nc2cc(Cl)cnc2C)cc1C#N ZINC001201294840 1102546675 /nfs/dbraw/zinc/54/66/75/1102546675.db2.gz HMSPEBUHSYLCGA-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(NCc2csc(C)n2)CC1 ZINC001202123500 1102841863 /nfs/dbraw/zinc/84/18/63/1102841863.db2.gz RVIRQAJLFYICND-CQSZACIVSA-N 0 1 321.490 3.134 20 30 DGEDMN COc1ccc(CC#N)cc1-c1cccc(N2CCN(C)CC2)c1 ZINC001243669982 1102903661 /nfs/dbraw/zinc/90/36/61/1102903661.db2.gz ZKRDPBHRCZBWMD-UHFFFAOYSA-N 0 1 321.424 3.180 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)c1ccccc1C)C2 ZINC001111101224 1103045674 /nfs/dbraw/zinc/04/56/74/1103045674.db2.gz PXBSRLZHMGJFKN-CUDHKJQZSA-N 0 1 324.468 3.233 20 30 DGEDMN N#Cc1nc(-c2cc(F)nc(C(F)(F)F)c2)cc2[nH]cnc21 ZINC001243908394 1103045673 /nfs/dbraw/zinc/04/56/73/1103045673.db2.gz FWRALBKWZSVISV-UHFFFAOYSA-N 0 1 307.210 3.049 20 30 DGEDMN CSc1cc(F)c(-c2cc3[nH]cnc3c(C#N)n2)cc1F ZINC001243932678 1103060344 /nfs/dbraw/zinc/06/03/44/1103060344.db2.gz LEHRUOIQGXOZHG-UHFFFAOYSA-N 0 1 302.309 3.497 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)Cc1cccnc1N(C)C ZINC001325601268 1103080609 /nfs/dbraw/zinc/08/06/09/1103080609.db2.gz UUNQWHVPZIYRDG-UHFFFAOYSA-N 0 1 308.429 3.431 20 30 DGEDMN C=CCCCC(=O)N(C)C[C@H]1CCN1Cc1cccc(C)c1 ZINC001488652436 1103084529 /nfs/dbraw/zinc/08/45/29/1103084529.db2.gz AJWKDUXWKAERAE-GOSISDBHSA-N 0 1 300.446 3.384 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC[C@@H](C)c1ccccc1 ZINC001488670643 1103099671 /nfs/dbraw/zinc/09/96/71/1103099671.db2.gz IGLBZGDOYOGYQP-IEBWSBKVSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H](C)CCC=C(C)C ZINC001488663295 1103120286 /nfs/dbraw/zinc/12/02/86/1103120286.db2.gz OHBDUBSONVIAGP-MSOLQXFVSA-N 0 1 304.478 3.315 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001325943184 1103168673 /nfs/dbraw/zinc/16/86/73/1103168673.db2.gz RKHYASRJKKYUEY-NEFCLYRVSA-N 0 1 310.869 3.264 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)/C=C(/C)C2CC2)C1 ZINC001488885809 1103206550 /nfs/dbraw/zinc/20/65/50/1103206550.db2.gz DTAWUMLDRYPACS-SZADQMHOSA-N 0 1 310.441 3.113 20 30 DGEDMN C/C(=N\C#N)N(C)Cc1ccc(-c2cc(F)c(O)c(F)c2)nc1 ZINC001244094658 1103208772 /nfs/dbraw/zinc/20/87/72/1103208772.db2.gz PZEKZGRYXNULIU-UFFVCSGVSA-N 0 1 316.311 3.064 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](NCc2cccc(F)c2F)C[C@H]1C ZINC001278377371 1103235439 /nfs/dbraw/zinc/23/54/39/1103235439.db2.gz FEDGTJJVXPPPLO-HIFRSBDPSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)c1occc1C ZINC001489024771 1103250194 /nfs/dbraw/zinc/25/01/94/1103250194.db2.gz QZBWIARWZBQUEI-RISCZKNCSA-N 0 1 310.825 3.171 20 30 DGEDMN Cc1cc(C)c(NS(=O)(=O)c2ccccc2C#N)cc1C ZINC001258278870 1103282859 /nfs/dbraw/zinc/28/28/59/1103282859.db2.gz IOUVOLGKOYEFDN-UHFFFAOYSA-N 0 1 300.383 3.284 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)C1(F)CCCCC1 ZINC001326490759 1103338352 /nfs/dbraw/zinc/33/83/52/1103338352.db2.gz DLAZBOQCXCRTCP-UHFFFAOYSA-N 0 1 316.420 3.040 20 30 DGEDMN C=CCCC(=O)NCc1cccc2c1CCN(C[C@H](F)CC)C2 ZINC001326669572 1103402157 /nfs/dbraw/zinc/40/21/57/1103402157.db2.gz APTJTXNBHFJLLB-QGZVFWFLSA-N 0 1 318.436 3.375 20 30 DGEDMN COc1cc(-c2cc(OC(F)(F)F)ccc2O)ncc1C#N ZINC001244455779 1103450947 /nfs/dbraw/zinc/45/09/47/1103450947.db2.gz GPGUXTPDLBXINQ-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN COc1nc(-c2cc(OC(F)(F)F)ccc2O)ccc1C#N ZINC001244457796 1103452016 /nfs/dbraw/zinc/45/20/16/1103452016.db2.gz SJGZMYKKXLQWPJ-UHFFFAOYSA-N 0 1 310.231 3.233 20 30 DGEDMN COCOc1ccc(Cl)cc1-c1cc2[nH]cnc2c(C#N)n1 ZINC001244470669 1103464207 /nfs/dbraw/zinc/46/42/07/1103464207.db2.gz AASDVBKKRCVVIM-UHFFFAOYSA-N 0 1 314.732 3.133 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2ccncc2Cl)CCC1 ZINC001326878322 1103467845 /nfs/dbraw/zinc/46/78/45/1103467845.db2.gz TUQFHWQGVYKSKD-UHFFFAOYSA-N 0 1 321.852 3.220 20 30 DGEDMN CCOC(=O)c1cnc(-c2c(Cl)ccc(O)c2F)c(C#N)c1 ZINC001244540034 1103509438 /nfs/dbraw/zinc/50/94/38/1103509438.db2.gz NZLOFXNDVMRCEL-UHFFFAOYSA-N 0 1 320.707 3.295 20 30 DGEDMN CC[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001327097558 1103518854 /nfs/dbraw/zinc/51/88/54/1103518854.db2.gz GGNSVOGVXLQBPX-CRAIPNDOSA-N 0 1 313.445 3.075 20 30 DGEDMN CC[C@H](C)C(=O)N[C@@H]1CCCN(Cc2ccccc2C#N)CC1 ZINC001327097560 1103519059 /nfs/dbraw/zinc/51/90/59/1103519059.db2.gz GGNSVOGVXLQBPX-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(C)Cc2cnc(C)s2)CC1 ZINC001490244419 1103521135 /nfs/dbraw/zinc/52/11/35/1103521135.db2.gz RHUPPPCFJCKGFM-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1cccc(Cl)n1 ZINC001490244877 1103523855 /nfs/dbraw/zinc/52/38/55/1103523855.db2.gz YTQVRMFRASIQNX-QGZVFWFLSA-N 0 1 323.868 3.275 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCCN(Cc2ccc(F)c(F)c2)CC1 ZINC001327111068 1103528295 /nfs/dbraw/zinc/52/82/95/1103528295.db2.gz NUILOFXAILHRLT-HNNXBMFYSA-N 0 1 322.399 3.402 20 30 DGEDMN COC(=O)c1c(F)cc(-c2ccc(/C=N/O)c(F)c2)cc1F ZINC001244605785 1103557859 /nfs/dbraw/zinc/55/78/59/1103557859.db2.gz UYLZMHQLTIGNEG-FBCYGCLPSA-N 0 1 309.243 3.366 20 30 DGEDMN CCN(CCCNC(=O)CC1CCC1)Cc1ccccc1C#N ZINC001490317587 1103567140 /nfs/dbraw/zinc/56/71/40/1103567140.db2.gz FPBZROCJIUHIJG-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCC[N@@H+](C)Cc1ccc(C#N)s1 ZINC001327201712 1103583321 /nfs/dbraw/zinc/58/33/21/1103583321.db2.gz UHEZFDLUOJNWOM-CQSZACIVSA-N 0 1 321.490 3.336 20 30 DGEDMN CC[C@@H](C)CC(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001327201712 1103583332 /nfs/dbraw/zinc/58/33/32/1103583332.db2.gz UHEZFDLUOJNWOM-CQSZACIVSA-N 0 1 321.490 3.336 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1ccc(Cl)nc1 ZINC001327246336 1103600226 /nfs/dbraw/zinc/60/02/26/1103600226.db2.gz VWWGDWJGCKZVCK-UHFFFAOYSA-N 0 1 323.868 3.228 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)CCCc2ccsc2)CC1 ZINC001490409072 1103614157 /nfs/dbraw/zinc/61/41/57/1103614157.db2.gz HASUFJOUYOZRDJ-UHFFFAOYSA-N 0 1 318.486 3.017 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)C(CC(C)C)CC(C)C)CC1 ZINC001490424985 1103620206 /nfs/dbraw/zinc/62/02/06/1103620206.db2.gz KWZDYIRGQGALTJ-UHFFFAOYSA-N 0 1 306.494 3.251 20 30 DGEDMN C=C(C)CCN1CC2(C1)CC[C@H](CNC(=O)CCCC(C)C)O2 ZINC001327366020 1103630828 /nfs/dbraw/zinc/63/08/28/1103630828.db2.gz WBNNOXTVOACICX-QGZVFWFLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CC)Cc2ccccc2C)C1 ZINC001490454772 1103631548 /nfs/dbraw/zinc/63/15/48/1103631548.db2.gz KWLBMFIGNKRCCL-PKOBYXMFSA-N 0 1 314.473 3.330 20 30 DGEDMN C#Cc1ccc(CNCc2cccc(OCC(F)(F)F)n2)cc1 ZINC001327383564 1103634597 /nfs/dbraw/zinc/63/45/97/1103634597.db2.gz IQEYTVOWDZVGAU-UHFFFAOYSA-N 0 1 320.314 3.294 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC001490554038 1103652934 /nfs/dbraw/zinc/65/29/34/1103652934.db2.gz FSWILGCXBDVARA-UONOGXRCSA-N 0 1 304.409 3.289 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc(Cl)c3C#N)cn2)CC1 ZINC001244724986 1103655631 /nfs/dbraw/zinc/65/56/31/1103655631.db2.gz SMSGQSZDIIMNDG-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN CN1CCN(c2ccc(-c3cccc(Cl)c3C#N)nc2)CC1 ZINC001244735952 1103657214 /nfs/dbraw/zinc/65/72/14/1103657214.db2.gz ZKVZOARNMBAANT-UHFFFAOYSA-N 0 1 312.804 3.025 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(Cc2ccc(CF)cc2)C1 ZINC001490559705 1103658317 /nfs/dbraw/zinc/65/83/17/1103658317.db2.gz WVFLWEVHNXWGSP-KRWDZBQOSA-N 0 1 304.409 3.203 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@]2(C)C(C)C)CC1 ZINC001490622017 1103684383 /nfs/dbraw/zinc/68/43/83/1103684383.db2.gz KNXYCZGOQHBQFK-PKOBYXMFSA-N 0 1 304.478 3.005 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1cc(F)ccc1F)C1CC1 ZINC001490672308 1103690741 /nfs/dbraw/zinc/69/07/41/1103690741.db2.gz MNQDMCVEKACYCM-KRWDZBQOSA-N 0 1 322.399 3.306 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)CCC(F)F)cc2C1 ZINC001327686093 1103706244 /nfs/dbraw/zinc/70/62/44/1103706244.db2.gz WBLNRWQKXUYXPZ-UHFFFAOYSA-N 0 1 308.372 3.240 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C)c(Cl)c1)C2 ZINC001095961422 1103716467 /nfs/dbraw/zinc/71/64/67/1103716467.db2.gz HVPPYKBEAFNYFC-KBMXLJTQSA-N 0 1 304.821 3.170 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@@H](C)CC)C1 ZINC001490758790 1103729273 /nfs/dbraw/zinc/72/92/73/1103729273.db2.gz HILDKELEKSZXQZ-DZGCQCFKSA-N 0 1 300.874 3.346 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(C)(C)C)oc1C)C2 ZINC001096074073 1103750149 /nfs/dbraw/zinc/75/01/49/1103750149.db2.gz OUGPRSIXVJAQCC-KBMXLJTQSA-N 0 1 316.445 3.407 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H](NC/C(Cl)=C/Cl)[C@@H](C)C2)C1 ZINC001327906696 1103763313 /nfs/dbraw/zinc/76/33/13/1103763313.db2.gz ZAUGGLOIPZJPCZ-XTRMEEPQSA-N 0 1 317.260 3.098 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)c1ccc(CC)cc1)C2 ZINC001096180100 1103778796 /nfs/dbraw/zinc/77/87/96/1103778796.db2.gz WITSCEROQYXGHY-OAOYMFHYSA-N 0 1 312.457 3.260 20 30 DGEDMN C=C[C@@](C)(O)CN1Cc2ccc(Br)cc2C[C@H]1C ZINC001252562821 1103842981 /nfs/dbraw/zinc/84/29/81/1103842981.db2.gz YPLFTQAOVNFTGJ-IAQYHMDHSA-N 0 1 310.235 3.133 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN(Cc2cnoc2C)CC1(C)C ZINC001328081268 1103835689 /nfs/dbraw/zinc/83/56/89/1103835689.db2.gz JTJPKKPQZFVRFC-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)c1cccc(Cl)c1)C2 ZINC001096580925 1103872455 /nfs/dbraw/zinc/87/24/55/1103872455.db2.gz XIBMLFCXBVWFQM-IEAZIUSSSA-N 0 1 318.848 3.351 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccoc1C(C)C)C2 ZINC001096640638 1103892381 /nfs/dbraw/zinc/89/23/81/1103892381.db2.gz APFNRQYIYKSYJG-KBMXLJTQSA-N 0 1 302.418 3.314 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCCc1ccccc1 ZINC001490799554 1103897084 /nfs/dbraw/zinc/89/70/84/1103897084.db2.gz GJVGSNBWUWCTIF-BGYRXZFFSA-N 0 1 324.468 3.098 20 30 DGEDMN C=CCN1CCC[C@@H]1c1ccc(C2=CCS(=O)(=O)CC2)cc1 ZINC001245214648 1103914658 /nfs/dbraw/zinc/91/46/58/1103914658.db2.gz ZJSHFVGAWQJYQC-GOSISDBHSA-N 0 1 317.454 3.211 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C)c1ccccc1 ZINC001111436669 1103922684 /nfs/dbraw/zinc/92/26/84/1103922684.db2.gz SEUHNYMQXICBCG-HCXYKTFWSA-N 0 1 310.441 3.254 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)C1(C)CCC1 ZINC001328469448 1103958896 /nfs/dbraw/zinc/95/88/96/1103958896.db2.gz QWKAVZWPUMUJDJ-GOSISDBHSA-N 0 1 312.457 3.468 20 30 DGEDMN N#CCOc1ccc(CN2CCOC[C@H]2C2CCCCC2)cc1 ZINC001137122824 1103996570 /nfs/dbraw/zinc/99/65/70/1103996570.db2.gz UKHNXVLQAYAADH-IBGZPJMESA-N 0 1 314.429 3.370 20 30 DGEDMN COc1ccc(Nc2cc(C)nn2-c2ccncc2)c(C#N)c1 ZINC001212781943 1103999304 /nfs/dbraw/zinc/99/93/04/1103999304.db2.gz SRWDODRXKSMLKO-UHFFFAOYSA-N 0 1 305.341 3.200 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ccsc1C ZINC001328686674 1104021256 /nfs/dbraw/zinc/02/12/56/1104021256.db2.gz LVNNASJRIGCCCS-QZTJIDSGSA-N 0 1 324.449 3.065 20 30 DGEDMN Cc1nc(C2=CC[C@H](N3CCOCC3)CC2)c(C#N)c(C)c1C ZINC001245421748 1104040987 /nfs/dbraw/zinc/04/09/87/1104040987.db2.gz FCLWJAVRNCVOAG-KRWDZBQOSA-N 0 1 311.429 3.147 20 30 DGEDMN N#CCc1cccc(C2=CC[C@H](N3CCOCC3)CC2)c1F ZINC001245424061 1104045545 /nfs/dbraw/zinc/04/55/45/1104045545.db2.gz LDQPFLVHUGZQEL-INIZCTEOSA-N 0 1 300.377 3.160 20 30 DGEDMN Cc1cc(C2=CC[C@@H](N3CCOCC3)CC2)cc(F)c1C#N ZINC001245426029 1104047344 /nfs/dbraw/zinc/04/73/44/1104047344.db2.gz LWNBLZDMCUOEOI-MRXNPFEDSA-N 0 1 300.377 3.274 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCCC1CCCCC1 ZINC001328785457 1104071079 /nfs/dbraw/zinc/07/10/79/1104071079.db2.gz HZKNHTNDLUXOMG-SFHVURJKSA-N 0 1 304.478 3.293 20 30 DGEDMN Cc1cccc(C(=O)N[C@H](C)[C@H](C)NCc2ccccc2C#N)c1 ZINC001328979002 1104113347 /nfs/dbraw/zinc/11/33/47/1104113347.db2.gz XPIUUOXVWBNXNC-JKSUJKDBSA-N 0 1 321.424 3.163 20 30 DGEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NCc1nsc2ccccc12 ZINC001328996764 1104119350 /nfs/dbraw/zinc/11/93/50/1104119350.db2.gz QMFLNXANAOKTNM-CHWSQXEVSA-N 0 1 317.458 3.245 20 30 DGEDMN C=CCC(C)(C)C(=O)N1CCC(N[C@@H](C)c2ncc(C)o2)CC1 ZINC001202694896 1104121956 /nfs/dbraw/zinc/12/19/56/1104121956.db2.gz VHKCVVMQDNYUHS-AWEZNQCLSA-N 0 1 319.449 3.227 20 30 DGEDMN C/C=C(\C)C(=O)N[C@@H]1C[C@H](NCc2ccccc2C#N)C12CCC2 ZINC001202723773 1104140884 /nfs/dbraw/zinc/14/08/84/1104140884.db2.gz FIAJEEKETHRDRO-WVDVUYEJSA-N 0 1 323.440 3.041 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1ccc(F)cc1F ZINC001329105444 1104155020 /nfs/dbraw/zinc/15/50/20/1104155020.db2.gz SVGDSGAEQPVDBZ-KGLIPLIRSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c[nH]c2ccc(C)cc21 ZINC001329201802 1104187218 /nfs/dbraw/zinc/18/72/18/1104187218.db2.gz FYOQTWMMRGGKHA-CHWSQXEVSA-N 0 1 319.836 3.325 20 30 DGEDMN C=C/C(C)=C/CC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001278413341 1104188738 /nfs/dbraw/zinc/18/87/38/1104188738.db2.gz BLXYLSHNTJGGNO-CIOHFMIVSA-N 0 1 323.440 3.161 20 30 DGEDMN N#Cc1cccc(-c2noc(-c3cc(C(F)(F)F)n[nH]3)n2)c1 ZINC001212815669 1104220387 /nfs/dbraw/zinc/22/03/87/1104220387.db2.gz USYHTJQDSKJXLC-UHFFFAOYSA-N 0 1 305.219 3.017 20 30 DGEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2ccc(C#N)cc2C)C1=O ZINC001274039660 1104231180 /nfs/dbraw/zinc/23/11/80/1104231180.db2.gz JKWAPIFFMBONCM-FQEVSTJZSA-N 0 1 323.440 3.010 20 30 DGEDMN C=CCN1CC2(CCC1=O)CCN(Cc1ccc(Cl)o1)CC2 ZINC001274138570 1104260437 /nfs/dbraw/zinc/26/04/37/1104260437.db2.gz PELHTMAARHNFTC-UHFFFAOYSA-N 0 1 322.836 3.324 20 30 DGEDMN COc1ccc(C2=NN(Cc3cccc(C#N)c3)C(=O)C2)cc1 ZINC001245919294 1104307689 /nfs/dbraw/zinc/30/76/89/1104307689.db2.gz DZLWTZLAHPFNFK-UHFFFAOYSA-N 0 1 305.337 3.184 20 30 DGEDMN CN1CCc2ccc(NC(=S)Nc3ccc(C#N)cc3)cc2C1 ZINC001245934134 1104321049 /nfs/dbraw/zinc/32/10/49/1104321049.db2.gz OTPKVYNDYYFNTL-UHFFFAOYSA-N 0 1 322.437 3.355 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC(C)C)c1ccccc1 ZINC001329575523 1104325844 /nfs/dbraw/zinc/32/58/44/1104325844.db2.gz UYJXTLRFGHBXTM-MJGOQNOKSA-N 0 1 314.473 3.276 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1cc(F)cc(F)c1 ZINC001274253049 1104335838 /nfs/dbraw/zinc/33/58/38/1104335838.db2.gz IFKMRZXVQOHJME-WAYWQWQTSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC(C)(NCc2ncc(C)o2)CC1 ZINC001274362818 1104362547 /nfs/dbraw/zinc/36/25/47/1104362547.db2.gz HNVHATXBLTXOFI-HNNXBMFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H](CCCC)NC(=O)[C@H]1CCC1(C)C ZINC001329887518 1104409140 /nfs/dbraw/zinc/40/91/40/1104409140.db2.gz NHPGRJSXINXANT-UONOGXRCSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H](C)C1 ZINC001274596998 1104414403 /nfs/dbraw/zinc/41/44/03/1104414403.db2.gz MFVMKYUQFARTTO-HOCLYGCPSA-N 0 1 304.409 3.261 20 30 DGEDMN CC1(C(=O)NC[C@@H]2CCCCCN2CC#Cc2ccccc2)CC1 ZINC001329923065 1104424070 /nfs/dbraw/zinc/42/40/70/1104424070.db2.gz HRTBMBZRRADUKS-IBGZPJMESA-N 0 1 324.468 3.199 20 30 DGEDMN CC(C)c1ccc(CN2CC([C@H](C)NC(=O)C#CC3CC3)C2)cc1 ZINC001329997953 1104449687 /nfs/dbraw/zinc/44/96/87/1104449687.db2.gz PMUVQBBEJVYJJC-INIZCTEOSA-N 0 1 324.468 3.160 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CCCCc2ccccc2)C1 ZINC001330037869 1104459435 /nfs/dbraw/zinc/45/94/35/1104459435.db2.gz GMYTYEOMCWIFLC-INIZCTEOSA-N 0 1 300.446 3.022 20 30 DGEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)C1CCCCCC1 ZINC001274700736 1104463327 /nfs/dbraw/zinc/46/33/27/1104463327.db2.gz FNWBUDSEXXTUFH-OAHLLOKOSA-N 0 1 313.445 3.123 20 30 DGEDMN C[C@H](CNCc1ccc(F)cc1Cl)NC(=O)C#CC(C)(C)C ZINC001274747716 1104478511 /nfs/dbraw/zinc/47/85/11/1104478511.db2.gz RBTFNBOXLIJQMX-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@H](CC)OC2CCCCC2)C(C)(C)C1 ZINC001330203409 1104507939 /nfs/dbraw/zinc/50/79/39/1104507939.db2.gz OMRCAXJYTOKOIG-IRXDYDNUSA-N 0 1 322.493 3.127 20 30 DGEDMN N#Cc1ccc(OC2CN(c3ccncc3C(F)(F)F)C2)cc1 ZINC001330344701 1104542708 /nfs/dbraw/zinc/54/27/08/1104542708.db2.gz ZBPUCHDUTXENSE-UHFFFAOYSA-N 0 1 319.286 3.240 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2cc(F)ccc2o1 ZINC001274944129 1104550177 /nfs/dbraw/zinc/55/01/77/1104550177.db2.gz QIVWZTVTBXEMHL-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)C(C)(C)CC(C)C)C2)C1 ZINC001330512463 1104565164 /nfs/dbraw/zinc/56/51/64/1104565164.db2.gz VDLJINCIWPUUJS-UHFFFAOYSA-N 0 1 304.478 3.006 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(Cl)c1Cl ZINC001274995875 1104568658 /nfs/dbraw/zinc/56/86/58/1104568658.db2.gz JHGHERKCZUQYJE-SECBINFHSA-N 0 1 321.635 3.454 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]1C[N@@H+](C)C[C@@H]1CC(C)(C)CO1 ZINC001275135742 1104643946 /nfs/dbraw/zinc/64/39/46/1104643946.db2.gz NNCPBKCBIPIBAX-SJORKVTESA-N 0 1 322.493 3.081 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C(F)F)ccc1F ZINC001275157267 1104655363 /nfs/dbraw/zinc/65/53/63/1104655363.db2.gz PLCAJQLNTILNOT-VIFPVBQESA-N 0 1 320.742 3.224 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C)c(C)c1Cl ZINC001275159902 1104656585 /nfs/dbraw/zinc/65/65/85/1104656585.db2.gz HQZQJTLEHUDDKC-OAHLLOKOSA-N 0 1 318.848 3.126 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc2c(c1)CCCC2 ZINC001275166694 1104661009 /nfs/dbraw/zinc/66/10/09/1104661009.db2.gz DCBXNEKTGLSUIZ-FQEVSTJZSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)NCc1cc(C#N)ccc1F ZINC001275481887 1104773515 /nfs/dbraw/zinc/77/35/15/1104773515.db2.gz NOKSYSKOHDCIGU-KGLIPLIRSA-N 0 1 317.408 3.036 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001275535450 1104797245 /nfs/dbraw/zinc/79/72/45/1104797245.db2.gz QSGAZNIAOGAXDI-AWEZNQCLSA-N 0 1 322.399 3.095 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCC[N@@H+](Cc2ccccn2)CC1 ZINC001280742737 1104898234 /nfs/dbraw/zinc/89/82/34/1104898234.db2.gz BRPOHOSZVXHDCT-INIZCTEOSA-N 0 1 315.461 3.155 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCC[C@H]1CNCc1nocc1C ZINC001275768722 1104900829 /nfs/dbraw/zinc/90/08/29/1104900829.db2.gz QBRLCFHDYNUOHR-HOTGVXAUSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC001280754774 1104932275 /nfs/dbraw/zinc/93/22/75/1104932275.db2.gz AFIIKPXXEYUJBT-HOTGVXAUSA-N 0 1 319.449 3.056 20 30 DGEDMN N#Cc1ccc(F)c(-c2noc(C3(N)CCCCCC3)n2)c1 ZINC001247634995 1104945612 /nfs/dbraw/zinc/94/56/12/1104945612.db2.gz CXUKCKBDXMCDAG-UHFFFAOYSA-N 0 1 300.337 3.256 20 30 DGEDMN C#Cc1cccc(CN2CC3(CN(CCCC(F)(F)F)C3)C2)c1 ZINC001276096906 1105016813 /nfs/dbraw/zinc/01/68/13/1105016813.db2.gz LWLYGYKOJMEMEG-UHFFFAOYSA-N 0 1 322.374 3.128 20 30 DGEDMN CCCC(=O)NC[C@@H]1CCCCCN1Cc1ccc(C#N)s1 ZINC001276278622 1105073985 /nfs/dbraw/zinc/07/39/85/1105073985.db2.gz UAKWQRWBNUVSHS-AWEZNQCLSA-N 0 1 319.474 3.281 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)CC1=CCCCC1 ZINC001276290452 1105079365 /nfs/dbraw/zinc/07/93/65/1105079365.db2.gz QXGJXVVGZYEDPW-GOSISDBHSA-N 0 1 302.462 3.261 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(C)cc1Cl)C2 ZINC001095637450 1105092727 /nfs/dbraw/zinc/09/27/27/1105092727.db2.gz TWTVAPOJMYTPTL-PVAVHDDUSA-N 0 1 318.848 3.098 20 30 DGEDMN CCN1CCC[C@@H]1CNc1c(Br)cccc1C#N ZINC001649174315 1105116984 /nfs/dbraw/zinc/11/69/84/1105116984.db2.gz NNYYCSFIOCSBIY-GFCCVEGCSA-N 0 1 308.223 3.217 20 30 DGEDMN CC#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccsc1 ZINC001110928702 1105121940 /nfs/dbraw/zinc/12/19/40/1105121940.db2.gz ATTLCMUQHBXRPU-ZACQAIPSSA-N 0 1 316.470 3.163 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCc1ccc(C)cc1)C2 ZINC001110978159 1105132817 /nfs/dbraw/zinc/13/28/17/1105132817.db2.gz UTARNSBRDCGULY-QRVBRYPASA-N 0 1 312.457 3.225 20 30 DGEDMN C=CCOC(=O)N1CCC2(CN(Cc3csc(C)c3)C2)CC1 ZINC001248801471 1105164744 /nfs/dbraw/zinc/16/47/44/1105164744.db2.gz RMQDPVRJWYNVEA-UHFFFAOYSA-N 0 1 320.458 3.277 20 30 DGEDMN N#Cc1ccccc1O[C@H]1CCCN(Cc2ccc3n2CCC3)C1 ZINC001248824652 1105172001 /nfs/dbraw/zinc/17/20/01/1105172001.db2.gz WGJFCYAIMVSJBA-IBGZPJMESA-N 0 1 321.424 3.349 20 30 DGEDMN N#C[C@@H]1CCCCN1Cc1n[nH]c2cc(C(F)(F)F)ccc21 ZINC001248846583 1105178459 /nfs/dbraw/zinc/17/84/59/1105178459.db2.gz BOOWBLNNMQNMNS-NSHDSACASA-N 0 1 308.307 3.460 20 30 DGEDMN CC1(C)CN(Cc2cnn3ccc(C#N)cc23)CCC1(F)F ZINC001249086321 1105223524 /nfs/dbraw/zinc/22/35/24/1105223524.db2.gz CHYZBTKNFZOTHC-UHFFFAOYSA-N 0 1 304.344 3.073 20 30 DGEDMN Cc1nc(C2CCN(Cc3cc4ccc(C#N)cc4[nH]3)CC2)no1 ZINC001249429026 1105285756 /nfs/dbraw/zinc/28/57/56/1105285756.db2.gz KEFZSLNYOJKGCC-UHFFFAOYSA-N 0 1 321.384 3.111 20 30 DGEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C3CCC3)cc1)C2 ZINC001097179556 1105360570 /nfs/dbraw/zinc/36/05/70/1105360570.db2.gz VNVHSHWZDGHBSR-AQNXPRMDSA-N 0 1 322.452 3.313 20 30 DGEDMN CSc1ccccc1NC(=S)NCc1cc(C#N)co1 ZINC001249717487 1105365057 /nfs/dbraw/zinc/36/50/57/1105365057.db2.gz SJKWNJUQGXDXIQ-UHFFFAOYSA-N 0 1 303.412 3.360 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(C)c(Cl)c1)C2 ZINC001097319582 1105381059 /nfs/dbraw/zinc/38/10/59/1105381059.db2.gz KSWYVURBJKWWKZ-OIISXLGYSA-N 0 1 318.848 3.098 20 30 DGEDMN Cc1ccc(C#N)c(Nc2ccccc2CN2CCN(C)CC2)c1 ZINC001249901440 1105403079 /nfs/dbraw/zinc/40/30/79/1105403079.db2.gz VFVLGKUKKWTMLX-UHFFFAOYSA-N 0 1 320.440 3.358 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C)c(Cl)c1)C2 ZINC001097777593 1105442199 /nfs/dbraw/zinc/44/21/99/1105442199.db2.gz HVPPYKBEAFNYFC-BMFZPTHFSA-N 0 1 304.821 3.170 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(-c3ccccc3)o1)C2 ZINC001097898706 1105459568 /nfs/dbraw/zinc/45/95/68/1105459568.db2.gz QVSOZRGFERNYDL-ZACQAIPSSA-N 0 1 322.408 3.468 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(F)ccc1Cl)C2 ZINC001097914662 1105462553 /nfs/dbraw/zinc/46/25/53/1105462553.db2.gz MUZPNOPYCZJWFS-DFBGVHRSSA-N 0 1 308.784 3.000 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc(C)s1)C2 ZINC001098005781 1105498394 /nfs/dbraw/zinc/49/83/94/1105498394.db2.gz CILSQWXBTQROQT-QCODTGAPSA-N 0 1 316.470 3.068 20 30 DGEDMN N#Cc1ccc(Nc2ccc3cncn3c2)c(Br)n1 ZINC001250323473 1105498919 /nfs/dbraw/zinc/49/89/19/1105498919.db2.gz GHLGXKMRQSBFIR-UHFFFAOYSA-N 0 1 314.146 3.107 20 30 DGEDMN N#Cc1ccc(Cc2nc3cc(F)c(-n4cccn4)cc3[nH]2)cc1 ZINC001250587861 1105541554 /nfs/dbraw/zinc/54/15/54/1105541554.db2.gz NISQNKOYXORXKT-UHFFFAOYSA-N 0 1 317.327 3.350 20 30 DGEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]c3ccc(C)cc3c1C)C2 ZINC001098133165 1105553433 /nfs/dbraw/zinc/55/34/33/1105553433.db2.gz QNAQABHXQKFWBJ-OLMNPRSZSA-N 0 1 323.440 3.306 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C)c(CC)o1)C2 ZINC001098203391 1105560717 /nfs/dbraw/zinc/56/07/17/1105560717.db2.gz YWLCXOZTZSNGTH-KFWWJZLASA-N 0 1 302.418 3.062 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2ccc3ccccc3c2)cn1 ZINC001250693163 1105561235 /nfs/dbraw/zinc/56/12/35/1105561235.db2.gz KPTYWUCEHKCRMK-UHFFFAOYSA-N 0 1 308.362 3.017 20 30 DGEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3[nH]ccc3s1)C2 ZINC001098289323 1105567341 /nfs/dbraw/zinc/56/73/41/1105567341.db2.gz XKBPXGZRKCNFDH-BZPMIXESSA-N 0 1 315.442 3.141 20 30 DGEDMN CC(C)c1ccc(OC[C@H](O)CNC2(CC#N)CCCC2)cc1 ZINC001250787182 1105582966 /nfs/dbraw/zinc/58/29/66/1105582966.db2.gz QFCDZFBJQYGGSN-QGZVFWFLSA-N 0 1 316.445 3.366 20 30 DGEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc3ccccc32)C1 ZINC001099143664 1105649035 /nfs/dbraw/zinc/64/90/35/1105649035.db2.gz OOAWJLAUVFNDSM-UWJYYQICSA-N 0 1 318.420 3.057 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C2CCC2)CCC1 ZINC001099157938 1105651685 /nfs/dbraw/zinc/65/16/85/1105651685.db2.gz BLZZQKHHCZQBJU-QGZVFWFLSA-N 0 1 316.489 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCCC1CC1 ZINC001099189207 1105655557 /nfs/dbraw/zinc/65/55/57/1105655557.db2.gz OVSOUKGMJGNAOA-KRWDZBQOSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)c1ccco1 ZINC001099228822 1105659589 /nfs/dbraw/zinc/65/95/89/1105659589.db2.gz AQLZXJZXWDAAHY-HZPDHXFCSA-N 0 1 316.445 3.013 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)c1cccs1 ZINC001099234377 1105660552 /nfs/dbraw/zinc/66/05/52/1105660552.db2.gz IXPKBHWVILPTKQ-CABCVRRESA-N 0 1 318.486 3.092 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)CC1CCCC1 ZINC001099257428 1105662339 /nfs/dbraw/zinc/66/23/39/1105662339.db2.gz FMLXONRJLXPGAG-SJLPKXTDSA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCCCC(C)C ZINC001099275935 1105663895 /nfs/dbraw/zinc/66/38/95/1105663895.db2.gz LUNYVBOYWWNIKK-KRWDZBQOSA-N 0 1 306.494 3.443 20 30 DGEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)c1ccccc1 ZINC001099316684 1105667749 /nfs/dbraw/zinc/66/77/49/1105667749.db2.gz ZUWWAFZDHIHRCZ-SJLPKXTDSA-N 0 1 312.457 3.030 20 30 DGEDMN C=CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)CCCCCOCC ZINC001099359030 1105673368 /nfs/dbraw/zinc/67/33/68/1105673368.db2.gz LXRIWQWIYIXANI-KRWDZBQOSA-N 0 1 324.509 3.376 20 30 DGEDMN COCOc1ccc2nc(-c3ccc(C#N)c(OC)c3)[nH]c2c1 ZINC001251740406 1105690115 /nfs/dbraw/zinc/69/01/15/1105690115.db2.gz KJSAIHLEORHRSQ-UHFFFAOYSA-N 0 1 309.325 3.093 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC/C(Cl)=C\Cl)C1 ZINC001491046835 1105741005 /nfs/dbraw/zinc/74/10/05/1105741005.db2.gz DCWWMWYYKMVQFX-UKUYSXBHSA-N 0 1 305.249 3.145 20 30 DGEDMN CCCCCCCC[C@H](O)CN1CCN(c2cccnc2)CC1 ZINC001252125304 1105745075 /nfs/dbraw/zinc/74/50/75/1105745075.db2.gz XHFLAYLGJBSTNA-IBGZPJMESA-N 0 1 319.493 3.315 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN(Cc2ccns2)C1 ZINC001491119353 1105757865 /nfs/dbraw/zinc/75/78/65/1105757865.db2.gz DBYSEROFJOUHCC-YOEHRIQHSA-N 0 1 321.490 3.074 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(C1CCC1)C1CCC1 ZINC001491223798 1105779467 /nfs/dbraw/zinc/77/94/67/1105779467.db2.gz OWYPCVHWHJDWOW-QGZVFWFLSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCOC[C@H](O)CNC1c2ccccc2Oc2ccccc21 ZINC001252489979 1105784421 /nfs/dbraw/zinc/78/44/21/1105784421.db2.gz BQDKEEUOIJNEHE-CQSZACIVSA-N 0 1 311.381 3.035 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1(c2ccccc2C)CC1 ZINC001491237552 1105785493 /nfs/dbraw/zinc/78/54/93/1105785493.db2.gz NSCBBYZYPGQXQC-GOSISDBHSA-N 0 1 324.468 3.021 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC001491284483 1105797811 /nfs/dbraw/zinc/79/78/11/1105797811.db2.gz CRZIYRYKADANEC-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C#CCC1(C(=O)N[C@@H](C)[C@@H]2CCCN(CCF)C2)CCCCC1 ZINC001491300609 1105802476 /nfs/dbraw/zinc/80/24/76/1105802476.db2.gz OOCRENMCUPIZQV-DLBZAZTESA-N 0 1 322.468 3.146 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)C(C)(C)C(F)F)C1 ZINC001491302102 1105804291 /nfs/dbraw/zinc/80/42/91/1105804291.db2.gz ZASMGPCVXKULIC-NEPJUHHUSA-N 0 1 322.827 3.247 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C1 ZINC001278681148 1105825777 /nfs/dbraw/zinc/82/57/77/1105825777.db2.gz BMLOMCQWOMFHMO-DOADOZAASA-N 0 1 316.489 3.054 20 30 DGEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc(C(C)C)oc2C)C1 ZINC001278682020 1105828152 /nfs/dbraw/zinc/82/81/52/1105828152.db2.gz QAHXWKYDOUXMNH-IBGZPJMESA-N 0 1 316.445 3.177 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1occc1-c1ccccc1 ZINC001491520378 1105838838 /nfs/dbraw/zinc/83/88/38/1105838838.db2.gz IZVRQRLFJOSALS-ZDUSSCGKSA-N 0 1 318.804 3.407 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[nH]1 ZINC001252876074 1105849482 /nfs/dbraw/zinc/84/94/82/1105849482.db2.gz IHGLHPICPUWKIU-RDJZCZTQSA-N 0 1 320.396 3.199 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1C[C@@H](F)C[C@H]1CO ZINC001252978618 1105864850 /nfs/dbraw/zinc/86/48/50/1105864850.db2.gz CTURGKCGGAKBEA-ULQDDVLXSA-N 0 1 303.462 3.283 20 30 DGEDMN CCCCCCCCCC[C@H](O)CN1CCN(CCC#N)CC1 ZINC001252985410 1105865239 /nfs/dbraw/zinc/86/52/39/1105865239.db2.gz NJZFJQWSOCSHOS-IBGZPJMESA-N 0 1 323.525 3.409 20 30 DGEDMN N#Cc1cccc(F)c1NS(=O)(=O)/C=C/c1ccccc1 ZINC001253032643 1105881031 /nfs/dbraw/zinc/88/10/31/1105881031.db2.gz QAVJTTVMAHCJQW-MDZDMXLPSA-N 0 1 302.330 3.110 20 30 DGEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC001101252859 1105904706 /nfs/dbraw/zinc/90/47/06/1105904706.db2.gz YAKBJPNOFBEYEO-PALPZSCYSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)c1ccc(F)cc1 ZINC001253246600 1105910172 /nfs/dbraw/zinc/91/01/72/1105910172.db2.gz BMEMPIIJXMEEJF-KRWDZBQOSA-N 0 1 307.409 3.486 20 30 DGEDMN C=CCCCCCC[C@@H](O)CNCC(=O)c1ccc(F)cc1 ZINC001253246602 1105910366 /nfs/dbraw/zinc/91/03/66/1105910366.db2.gz BMEMPIIJXMEEJF-QGZVFWFLSA-N 0 1 307.409 3.486 20 30 DGEDMN C=CCCCCCC[C@H](O)CNCC(=O)Cc1ccc(F)cc1 ZINC001253256387 1105913899 /nfs/dbraw/zinc/91/38/99/1105913899.db2.gz XAVUDSITBWKFBT-SFHVURJKSA-N 0 1 321.436 3.414 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2ccccc2)CCC1(F)F ZINC001278740551 1105987018 /nfs/dbraw/zinc/98/70/18/1105987018.db2.gz GMSKZTWIPPBMHX-MRXNPFEDSA-N 0 1 322.399 3.226 20 30 DGEDMN N#CC[C@@H](Cc1nc([C@H]2CN3CCC2CC3)no1)c1ccccc1 ZINC000350079991 1106011553 /nfs/dbraw/zinc/01/15/53/1106011553.db2.gz UMWMEVLFWGBPDH-IRXDYDNUSA-N 0 1 322.412 3.119 20 30 DGEDMN C[C@@H]1COCCN1C1CCC(C#N)(c2ccc(F)cc2)CC1 ZINC001254352835 1106057805 /nfs/dbraw/zinc/05/78/05/1106057805.db2.gz FZYBNLLKGFOHQU-RWBZWWBESA-N 0 1 302.393 3.250 20 30 DGEDMN N#C[C@@H]1CC[C@H](NCc2cn(Cc3cccc(Cl)c3)cn2)C1 ZINC001254658661 1106125590 /nfs/dbraw/zinc/12/55/90/1106125590.db2.gz WTAODYPRFPBNKV-CJNGLKHVSA-N 0 1 314.820 3.367 20 30 DGEDMN COc1ccc(Cl)cc1N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001254671864 1106130043 /nfs/dbraw/zinc/13/00/43/1106130043.db2.gz VKQPTTLKBQEABV-ZFWWWQNUSA-N 0 1 319.836 3.163 20 30 DGEDMN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1[C@@H]1CC[C@H](C#N)C1 ZINC001254673877 1106130919 /nfs/dbraw/zinc/13/09/19/1106130919.db2.gz QMCVBORTMPGKLY-ARFHVFGLSA-N 0 1 321.465 3.400 20 30 DGEDMN COc1cccc([C@@H]2CSCCN2[C@H]2CC[C@H](C#N)C2)c1 ZINC001254676584 1106131868 /nfs/dbraw/zinc/13/18/68/1106131868.db2.gz MHYOSENSRZMWTL-QRTARXTBSA-N 0 1 302.443 3.477 20 30 DGEDMN CC[C@@H](CC#N)NCCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001255158655 1106193856 /nfs/dbraw/zinc/19/38/56/1106193856.db2.gz LPEDYCIWKFJUKF-INIZCTEOSA-N 0 1 302.418 3.466 20 30 DGEDMN C=CCOC(=O)N1CCC2(CCCN([C@H](CC)CC#N)C2)CC1 ZINC001255164218 1106196554 /nfs/dbraw/zinc/19/65/54/1106196554.db2.gz IKEJCWRUKKGCAF-MRXNPFEDSA-N 0 1 319.449 3.179 20 30 DGEDMN CC[C@H](CC#N)N(C)[C@@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC001255178356 1106200511 /nfs/dbraw/zinc/20/05/11/1106200511.db2.gz FOGSDVWXPYMWJB-PBHICJAKSA-N 0 1 309.454 3.400 20 30 DGEDMN C=C[C@@H](COC)N[C@@H](C)c1ccc(Br)cc1F ZINC001255454470 1106252262 /nfs/dbraw/zinc/25/22/62/1106252262.db2.gz PWCULPKPDMIWHX-ONGXEEELSA-N 0 1 302.187 3.440 20 30 DGEDMN N#CCCN(Cc1ccccc1)C1CCC2(CC1)OCCO2 ZINC001255620709 1106278936 /nfs/dbraw/zinc/27/89/36/1106278936.db2.gz QPNBSPWBYWZJPJ-UHFFFAOYSA-N 0 1 300.402 3.088 20 30 DGEDMN C[C@H](CC(F)(F)F)N1CC[C@H](F)[C@](O)(C(F)(F)F)CC1 ZINC001255645577 1106283917 /nfs/dbraw/zinc/28/39/17/1106283917.db2.gz GZRVLEJRMKAYQC-VGMNWLOBSA-N 0 1 311.241 3.055 20 30 DGEDMN COc1ccc2nc(NN=C(C)c3ccnc(C)n3)c(C)cc2c1 ZINC001255780072 1106314948 /nfs/dbraw/zinc/31/49/48/1106314948.db2.gz ZANZSKNPPIFJNC-UHFFFAOYSA-N 0 1 321.384 3.486 20 30 DGEDMN Cc1cc(S(=O)(=O)Nc2cc(C#N)ccn2)c(C)cc1Cl ZINC001256125701 1106373141 /nfs/dbraw/zinc/37/31/41/1106373141.db2.gz PDNYWZRCNVGDPP-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#Cc1ccc(NS(=O)(=O)c2ccccc2Cl)cc1F ZINC001256323018 1106406115 /nfs/dbraw/zinc/40/61/15/1106406115.db2.gz QGQIXVONKDKSGJ-UHFFFAOYSA-N 0 1 309.749 3.261 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1csc2c1CCCC2)C(C)C ZINC001278929672 1106406404 /nfs/dbraw/zinc/40/64/04/1106406404.db2.gz INGHFUIEXUZIGU-UHFFFAOYSA-N 0 1 318.486 3.043 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CSC(C)C)C(C)(C)C ZINC001278938508 1106426004 /nfs/dbraw/zinc/42/60/04/1106426004.db2.gz ADDYXQBOYQVMDK-LBPRGKRZSA-N 0 1 306.903 3.001 20 30 DGEDMN C=CC[C@H](CC)NC(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)cc1 ZINC001256504375 1106431423 /nfs/dbraw/zinc/43/14/23/1106431423.db2.gz JVKDDTABWLFOKJ-ULQDDVLXSA-N 0 1 319.424 3.225 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)CC)c1ccccc1CC ZINC001278970327 1106499820 /nfs/dbraw/zinc/49/98/20/1106499820.db2.gz ZDWRZBXZOMHDRK-GOSISDBHSA-N 0 1 314.473 3.455 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C(C)(C)C)c1ccccc1CC ZINC001278977882 1106514079 /nfs/dbraw/zinc/51/40/79/1106514079.db2.gz XJGJYXVTBPBOEE-QGZVFWFLSA-N 0 1 300.446 3.065 20 30 DGEDMN CC[N@@H+](CC(=O)OC)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257021800 1106525456 /nfs/dbraw/zinc/52/54/56/1106525456.db2.gz BLNCTXHYAQMUMG-UHFFFAOYSA-N 0 1 300.402 3.079 20 30 DGEDMN CCN(CC(=O)OC)C1CCC(c2ccc(C#N)cc2)CC1 ZINC001257021800 1106525460 /nfs/dbraw/zinc/52/54/60/1106525460.db2.gz BLNCTXHYAQMUMG-UHFFFAOYSA-N 0 1 300.402 3.079 20 30 DGEDMN CC[C@@H]1C[C@H](N2CC[C@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC001257139718 1106543602 /nfs/dbraw/zinc/54/36/02/1106543602.db2.gz LNMYFTGCGQVLGW-RBSFLKMASA-N 0 1 307.438 3.010 20 30 DGEDMN CCCCOC(=O)CC[C@H](C)N1CCc2cc(C#N)ccc2C1 ZINC001257392909 1106580250 /nfs/dbraw/zinc/58/02/50/1106580250.db2.gz GTKUCVJXQLLRHT-HNNXBMFYSA-N 0 1 314.429 3.428 20 30 DGEDMN CCCCCCCCCC[C@H](C)N1CC(N2CCNC(=O)C2)C1 ZINC001257550957 1106604068 /nfs/dbraw/zinc/60/40/68/1106604068.db2.gz YWNIRUBKBQUBMH-KRWDZBQOSA-N 0 1 323.525 3.022 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cccc(Cl)c2C)cnc1C#N ZINC001257561983 1106606034 /nfs/dbraw/zinc/60/60/34/1106606034.db2.gz JZOFQSMGONCTIH-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN N#Cc1cc(-c2nnc(CCCc3ccccc3)o2)ccc1O ZINC001257826214 1106632217 /nfs/dbraw/zinc/63/22/17/1106632217.db2.gz WQFFPOIRNJEISW-UHFFFAOYSA-N 0 1 305.337 3.489 20 30 DGEDMN CCCCCCC[C@H](C)N1[C@H]2COC[C@@H]1C[C@H](C(=O)OC)C2 ZINC001258049245 1106664710 /nfs/dbraw/zinc/66/47/10/1106664710.db2.gz CEVCFHDADVONNT-LUKYLMHMSA-N 0 1 311.466 3.388 20 30 DGEDMN C#CCN(Cc1ccc(F)cc1)C(=O)c1ccc2cncn2c1C ZINC001319984875 1106760313 /nfs/dbraw/zinc/76/03/13/1106760313.db2.gz JVODBCQMUPXONW-UHFFFAOYSA-N 0 1 321.355 3.057 20 30 DGEDMN C#CCCCCCC(=O)N(C)C1CCN(C/C=C\Cl)CC1 ZINC001316936337 1106782731 /nfs/dbraw/zinc/78/27/31/1106782731.db2.gz UUAIVJSDIZQSHX-WQLSENKSSA-N 0 1 310.869 3.245 20 30 DGEDMN Cc1c(C(=O)Nc2ccccc2O[C@H](C)C#N)ccc2cncn21 ZINC001320027058 1106795213 /nfs/dbraw/zinc/79/52/13/1106795213.db2.gz QKEDFYRBILQYOE-GFCCVEGCSA-N 0 1 320.352 3.186 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCN(C)Cc1ccc(F)cc1C ZINC001320034087 1106801907 /nfs/dbraw/zinc/80/19/07/1106801907.db2.gz PPYJHIWBSWTJOZ-UHFFFAOYSA-N 0 1 306.425 3.237 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](c1ccccc1)[C@H](C)CC ZINC001320080277 1106847691 /nfs/dbraw/zinc/84/76/91/1106847691.db2.gz KOVYKFFLUBBIEC-IEBWSBKVSA-N 0 1 314.473 3.230 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC001316985614 1106849915 /nfs/dbraw/zinc/84/99/15/1106849915.db2.gz LGJQEGUQYLSEFV-CABCVRRESA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCC[C@@H]1CNCc1nc(C)c(C)o1 ZINC001320151900 1106902852 /nfs/dbraw/zinc/90/28/52/1106902852.db2.gz JIZDWBGHJFPQBC-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN COc1ccc(C(=O)/C=C\c2ccc(F)c(OC)c2)c(O)c1 ZINC001320164291 1106908986 /nfs/dbraw/zinc/90/89/86/1106908986.db2.gz HUANQZBWCVOEST-YWEYNIOJSA-N 0 1 302.301 3.445 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cc2c(s1)CCC2)C1CC1 ZINC001317028770 1106920281 /nfs/dbraw/zinc/92/02/81/1106920281.db2.gz RLIQKTGPQXSDQH-ZDUSSCGKSA-N 0 1 324.877 3.087 20 30 DGEDMN CCCCCCC[C@@H](CC(C)C)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001258714023 1106924104 /nfs/dbraw/zinc/92/41/04/1106924104.db2.gz GIRYKPVFFALRRV-IRXDYDNUSA-N 0 1 322.497 3.186 20 30 DGEDMN C=CCC[C@H](C)n1cc(C(=O)N2CCNCC2)c2ccccc21 ZINC001258735645 1106940727 /nfs/dbraw/zinc/94/07/27/1106940727.db2.gz YCFCGRPFBRGGJU-HNNXBMFYSA-N 0 1 311.429 3.214 20 30 DGEDMN C=CCS(=O)(=O)CCNCc1ccc(-c2ccccc2)s1 ZINC001320281959 1106949635 /nfs/dbraw/zinc/94/96/35/1106949635.db2.gz IKWZUFGMWGPKDO-UHFFFAOYSA-N 0 1 321.467 3.106 20 30 DGEDMN C=C[C@@H](COC)[NH2+][C@H](C)c1cc(Br)ccc1[O-] ZINC001320427708 1107005140 /nfs/dbraw/zinc/00/51/40/1107005140.db2.gz HRRIHDCUGXIGBQ-KOLCDFICSA-N 0 1 300.196 3.006 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCCN1C/C=C\c1ccccc1 ZINC001317171858 1107081007 /nfs/dbraw/zinc/08/10/07/1107081007.db2.gz XHKIDMUQGWFKEG-IMTHGLKYSA-N 0 1 324.468 3.474 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1cncc(C)c1 ZINC001317174279 1107088221 /nfs/dbraw/zinc/08/82/21/1107088221.db2.gz RCXJXMYVHUBQCP-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN CCN(CCNCC#Cc1ccc(Cl)cc1)C(=O)CC(C)C ZINC001320673130 1107099495 /nfs/dbraw/zinc/09/94/95/1107099495.db2.gz WRLGVCTZNNTGAV-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN CC(C)c1cccnc1NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC001259413672 1107132870 /nfs/dbraw/zinc/13/28/70/1107132870.db2.gz VERHHJKRMGCAGX-UHFFFAOYSA-N 0 1 319.361 3.017 20 30 DGEDMN C=CC(C)(C)C(=O)NC1CCC(N[C@H](C)c2ncc(C)o2)CC1 ZINC001317219208 1107140916 /nfs/dbraw/zinc/14/09/16/1107140916.db2.gz UKHGYHSCUQMDTM-WLYUNCDWSA-N 0 1 319.449 3.273 20 30 DGEDMN CCN(CCNCc1ccccc1C#N)C(=O)C1(C)CCCC1 ZINC001320809864 1107144310 /nfs/dbraw/zinc/14/43/10/1107144310.db2.gz KCEATSVXXJWHTJ-UHFFFAOYSA-N 0 1 313.445 3.077 20 30 DGEDMN C[C@@H](CNC(=O)CC1=CCCCC1)NCc1ccccc1C#N ZINC001317227645 1107152321 /nfs/dbraw/zinc/15/23/21/1107152321.db2.gz BGVLLWNVDNCKJN-HNNXBMFYSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C1CCC(C(=O)N(CC)CCNCc2nc(C)cs2)CC1 ZINC001320831314 1107154306 /nfs/dbraw/zinc/15/43/06/1107154306.db2.gz WXJLVTXECIDUDW-UHFFFAOYSA-N 0 1 321.490 3.136 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H](C)NCc2cccc(Cl)n2)CC1 ZINC001317264366 1107185287 /nfs/dbraw/zinc/18/52/87/1107185287.db2.gz HPPOFNXLLPKSJQ-CYBMUJFWSA-N 0 1 321.852 3.076 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NCc1cc(O)ccc1Cl ZINC001317263683 1107185923 /nfs/dbraw/zinc/18/59/23/1107185923.db2.gz HANVHMWBAFYGFX-QWHCGFSZSA-N 0 1 324.852 3.242 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc(Cl)c(F)c1)C(=O)NC1(C#N)CCC1 ZINC001320951115 1107201218 /nfs/dbraw/zinc/20/12/18/1107201218.db2.gz JIRHLXUHRHZLEM-WDEREUQCSA-N 0 1 323.799 3.081 20 30 DGEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCC=C(Cl)Cl ZINC001321078493 1107243431 /nfs/dbraw/zinc/24/34/31/1107243431.db2.gz WKRWMHVXBSJCTJ-WAYWQWQTSA-N 0 1 305.249 3.170 20 30 DGEDMN Cc1ccsc1S(=O)(=O)Nc1cc(C#N)cn1C(C)(C)C ZINC001260062803 1107243585 /nfs/dbraw/zinc/24/35/85/1107243585.db2.gz XPAUPFKFUJGQDZ-UHFFFAOYSA-N 0 1 323.443 3.286 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(Cl)cc1F ZINC001321145211 1107263820 /nfs/dbraw/zinc/26/38/20/1107263820.db2.gz LNMCOYXDISWZKV-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=CCC1(C(=O)N2CCC[C@@H](N(C)C[C@@H](F)CC)C2)CCC1 ZINC001317383628 1107294939 /nfs/dbraw/zinc/29/49/39/1107294939.db2.gz NOLKFDFCMYMQFA-JKSUJKDBSA-N 0 1 310.457 3.404 20 30 DGEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1cc(F)ccc1C#N ZINC001317394093 1107308739 /nfs/dbraw/zinc/30/87/39/1107308739.db2.gz FODSPUZTBGDTJQ-CQSZACIVSA-N 0 1 317.408 3.038 20 30 DGEDMN CC(=O)NCCC[C@@H]1CCCN1CC#Cc1ccccc1Cl ZINC001317417993 1107341414 /nfs/dbraw/zinc/34/14/14/1107341414.db2.gz ROWRCZUAGOVGAJ-QGZVFWFLSA-N 0 1 318.848 3.072 20 30 DGEDMN C=CC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1cc(C)on1 ZINC001317427354 1107354546 /nfs/dbraw/zinc/35/45/46/1107354546.db2.gz MZUHGOATHZTEBP-MRXNPFEDSA-N 0 1 319.449 3.056 20 30 DGEDMN C[C@H](CNCc1cccc(F)c1Cl)NC(=O)C#CC(C)(C)C ZINC001321514420 1107371191 /nfs/dbraw/zinc/37/11/91/1107371191.db2.gz UJUHDWGKUWEJFJ-GFCCVEGCSA-N 0 1 324.827 3.123 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC001321640955 1107401123 /nfs/dbraw/zinc/40/11/23/1107401123.db2.gz KDBBMZQFQMWHPM-NEPJUHHUSA-N 0 1 315.244 3.290 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)Cc1cccc(C(F)F)c1 ZINC001317456777 1107403934 /nfs/dbraw/zinc/40/39/34/1107403934.db2.gz GXTXQCLDEHYCJF-UHFFFAOYSA-N 0 1 322.399 3.366 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2ccns2)CCCCC1 ZINC001321749395 1107425489 /nfs/dbraw/zinc/42/54/89/1107425489.db2.gz DTFPKMPKTPKMGN-CQSZACIVSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1ccoc1C ZINC001261414657 1107428050 /nfs/dbraw/zinc/42/80/50/1107428050.db2.gz JRSKDIZZCNHBDV-IBGZPJMESA-N 0 1 324.424 3.493 20 30 DGEDMN C=C1CCC(C(=O)NCCN(CC)Cc2ncccc2C)CC1 ZINC001317486160 1107442357 /nfs/dbraw/zinc/44/23/57/1107442357.db2.gz MBUZOMPUZQLJDF-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cccnc1C ZINC001317508776 1107470214 /nfs/dbraw/zinc/47/02/14/1107470214.db2.gz KPPSDUHGMFRAET-HKUYNNGSSA-N 0 1 315.461 3.073 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)Cc1ccccc1 ZINC001322003871 1107482927 /nfs/dbraw/zinc/48/29/27/1107482927.db2.gz FNDODJDJIQYJBK-HOCLYGCPSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C)c(C)c1Cl ZINC001322041910 1107491069 /nfs/dbraw/zinc/49/10/69/1107491069.db2.gz KZCIMFSEIJMILQ-NSHDSACASA-N 0 1 315.244 3.417 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H]1CCc2ccccc2C1 ZINC001322060649 1107496374 /nfs/dbraw/zinc/49/63/74/1107496374.db2.gz USANOJRZIOXNIC-GJZGRUSLSA-N 0 1 320.864 3.028 20 30 DGEDMN C[C@H](NC[C@H](O)CC(C)(C)C#N)c1nc(C(C)(C)C)cs1 ZINC001261762230 1107520413 /nfs/dbraw/zinc/52/04/13/1107520413.db2.gz LBFVDMWLZWCPJN-NWDGAFQWSA-N 0 1 309.479 3.392 20 30 DGEDMN C[C@H](NCCN(C)C(=O)C#CC(C)(C)C)c1ccc(F)cc1F ZINC001317584766 1107554801 /nfs/dbraw/zinc/55/48/01/1107554801.db2.gz HVJOUMFGKYBBJN-ZDUSSCGKSA-N 0 1 322.399 3.123 20 30 DGEDMN CC#CCCCC(=O)N(C)CCNCc1ccccc1Cl ZINC001317620963 1107566596 /nfs/dbraw/zinc/56/65/96/1107566596.db2.gz BGBMYCLSIPMTAP-UHFFFAOYSA-N 0 1 306.837 3.082 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2cccc(Cl)n2)CCC1 ZINC001317628835 1107572162 /nfs/dbraw/zinc/57/21/62/1107572162.db2.gz WDGFWNQHICGADO-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(-c2ccco2)s1 ZINC001317646865 1107578913 /nfs/dbraw/zinc/57/89/13/1107578913.db2.gz NZAHBIZXFNQNSB-UHFFFAOYSA-N 0 1 324.833 3.422 20 30 DGEDMN CC1=CC[C@@](C)(C(=O)N(C)CCNCC#Cc2ccccc2)CC1 ZINC001317665023 1107585147 /nfs/dbraw/zinc/58/51/47/1107585147.db2.gz WZTBGMQVNVSLKS-OAQYLSRUSA-N 0 1 324.468 3.223 20 30 DGEDMN C=CCC1(C(=O)N(C)CCNCc2ncccc2C)CCCC1 ZINC001317672335 1107590339 /nfs/dbraw/zinc/59/03/39/1107590339.db2.gz PQYRCOOCETZQEN-UHFFFAOYSA-N 0 1 315.461 3.075 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CC)CC1CCCCC1 ZINC001322259574 1107597658 /nfs/dbraw/zinc/59/76/58/1107597658.db2.gz YMRRTFHINNIUJB-WMZOPIPTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)nc1 ZINC001322259023 1107597715 /nfs/dbraw/zinc/59/77/15/1107597715.db2.gz SYPKKYQMEMOYAI-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC)CC1CCCCC1 ZINC001322259571 1107598800 /nfs/dbraw/zinc/59/88/00/1107598800.db2.gz YMRRTFHINNIUJB-AEFFLSMTSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C(C)C ZINC001317683349 1107602489 /nfs/dbraw/zinc/60/24/89/1107602489.db2.gz LGGDQNRBKSNLRS-ZSHCYNCHSA-N 0 1 312.885 3.392 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CCC(F)F)c1ccc(C(C)C)cc1 ZINC001317711700 1107614913 /nfs/dbraw/zinc/61/49/13/1107614913.db2.gz RYPXEAQXURGLFY-INIZCTEOSA-N 0 1 322.399 3.235 20 30 DGEDMN C#CCN[C@@H](CNC(=O)CC1(C)CCCCC1)c1ccccc1 ZINC001317721205 1107621248 /nfs/dbraw/zinc/62/12/48/1107621248.db2.gz SBIUSSGUZGOBFB-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN CC#CCN[C@H](CNC(=O)c1cc[nH]c1CCC)c1ccccc1 ZINC001317721335 1107622120 /nfs/dbraw/zinc/62/21/20/1107622120.db2.gz DOCIXBMPJVFATP-LJQANCHMSA-N 0 1 323.440 3.051 20 30 DGEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001322306983 1107624225 /nfs/dbraw/zinc/62/42/25/1107624225.db2.gz MTSYKZOLWYLIBZ-CYBMUJFWSA-N 0 1 306.837 3.147 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C)CCC(C)CC1)c1ccccc1 ZINC001317728183 1107626295 /nfs/dbraw/zinc/62/62/95/1107626295.db2.gz FOJKSFBSXDQHJD-KGXSXCIVSA-N 0 1 312.457 3.283 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cc2ccc(C)cc2)C1 ZINC001317761902 1107645836 /nfs/dbraw/zinc/64/58/36/1107645836.db2.gz QYPILHFCKPDSOO-SFHVURJKSA-N 0 1 300.446 3.036 20 30 DGEDMN N#CCOc1cccc(CN[C@@H]2CCc3c2nccc3Cl)c1 ZINC001262202111 1107650091 /nfs/dbraw/zinc/65/00/91/1107650091.db2.gz NQLVVRXIXCVHOF-MRXNPFEDSA-N 0 1 313.788 3.414 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001317766269 1107650099 /nfs/dbraw/zinc/65/00/99/1107650099.db2.gz CROCZTHISRIXIJ-DLBZAZTESA-N 0 1 306.494 3.395 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@@H]2CCc3ccccc32)C1 ZINC001317768165 1107651921 /nfs/dbraw/zinc/65/19/21/1107651921.db2.gz ZOMGBCQJKQVZGJ-OALUTQOASA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C2C(C)(C)C2(C)C)C1 ZINC001317783251 1107663652 /nfs/dbraw/zinc/66/36/52/1107663652.db2.gz FHGFEWWYJDDRJR-ZDUSSCGKSA-N 0 1 312.885 3.249 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001317795056 1107671990 /nfs/dbraw/zinc/67/19/90/1107671990.db2.gz HGBIOHNPKWVSDB-LUKYLMHMSA-N 0 1 324.896 3.393 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CC23CCCC3)C1 ZINC001317804279 1107681399 /nfs/dbraw/zinc/68/13/99/1107681399.db2.gz ARRXADUKYBJYEW-HUUCEWRRSA-N 0 1 310.869 3.147 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CN(C)Cc2cncs2)C1 ZINC001317838769 1107698336 /nfs/dbraw/zinc/69/83/36/1107698336.db2.gz ASSGEBDUMOSONI-CQSZACIVSA-N 0 1 321.490 3.026 20 30 DGEDMN COc1cccc(F)c1CN[C@H](C)CC1(C#N)CCOCC1 ZINC001262377096 1107706208 /nfs/dbraw/zinc/70/62/08/1107706208.db2.gz LTXNAEYTPVIMTM-CYBMUJFWSA-N 0 1 306.381 3.023 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001318031414 1107801844 /nfs/dbraw/zinc/80/18/44/1107801844.db2.gz KGVZKOKATKQKDM-OAHLLOKOSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1CCCC1(F)F)C1CC1 ZINC001323065350 1107821366 /nfs/dbraw/zinc/82/13/66/1107821366.db2.gz KUYZYYROEYFDHX-STQMWFEESA-N 0 1 320.811 3.049 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)CC(F)(F)F)C1 ZINC001318076845 1107826973 /nfs/dbraw/zinc/82/69/73/1107826973.db2.gz FIKSPHCJSKGZQG-LLVKDONJSA-N 0 1 306.372 3.120 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC001323080821 1107827499 /nfs/dbraw/zinc/82/74/99/1107827499.db2.gz JKMZFFBYYGTKRF-IKGGRYGDSA-N 0 1 318.848 3.027 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@H](CC)Cc2ccccc2C)C1 ZINC001318168523 1107874453 /nfs/dbraw/zinc/87/44/53/1107874453.db2.gz FYXGXAUEAMYYMP-GOSISDBHSA-N 0 1 314.473 3.188 20 30 DGEDMN Cc1ccccc1C(F)(F)C(=O)Nc1cc(C#N)ccc1O ZINC001323192077 1107877761 /nfs/dbraw/zinc/87/77/61/1107877761.db2.gz RPCSAPAMWRIMCF-UHFFFAOYSA-N 0 1 302.280 3.303 20 30 DGEDMN C=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)cs1 ZINC001318209863 1107897937 /nfs/dbraw/zinc/89/79/37/1107897937.db2.gz RLFQAQCXKRDDFM-RDBSUJKOSA-N 0 1 320.458 3.010 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(F)ccc1F ZINC001323246499 1107901525 /nfs/dbraw/zinc/90/15/25/1107901525.db2.gz NSYKMAPMCWYPDC-TZMCWYRMSA-N 0 1 308.372 3.124 20 30 DGEDMN C=CCCC[N@H+]1CC[C@H]1CNC(=O)c1nccc2ccsc21 ZINC001323246522 1107902433 /nfs/dbraw/zinc/90/24/33/1107902433.db2.gz NXIUCSXRMVSQJQ-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C(C)C)s1 ZINC001318232922 1107910349 /nfs/dbraw/zinc/91/03/49/1107910349.db2.gz OAPZEPZJGCTYDH-KBPBESRZSA-N 0 1 319.474 3.131 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1ccc(Cl)cc1 ZINC001323275926 1107912798 /nfs/dbraw/zinc/91/27/98/1107912798.db2.gz AZJMVEZSKXAMFC-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1cc(C)sc1C ZINC001323286604 1107917671 /nfs/dbraw/zinc/91/76/71/1107917671.db2.gz GTSDEYLNNCIELM-KRWDZBQOSA-N 0 1 318.486 3.249 20 30 DGEDMN C=CCCC[N@H+]1CC[C@@H]1CNC(=O)c1nccc2ccccc21 ZINC001323292788 1107920095 /nfs/dbraw/zinc/92/00/95/1107920095.db2.gz IKKGEWVPUCVJBI-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCN2CCc2cccs2)CCC1 ZINC001323305186 1107926812 /nfs/dbraw/zinc/92/68/12/1107926812.db2.gz ZQGFRXJNLTZPAL-HNNXBMFYSA-N 0 1 318.486 3.228 20 30 DGEDMN C=CCN(CCc1ccccc1)C(=O)c1ccc2n1CCN[C@H]2C ZINC001263078384 1107933812 /nfs/dbraw/zinc/93/38/12/1107933812.db2.gz HZYSCTSSAIGWNA-INIZCTEOSA-N 0 1 323.440 3.023 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCc1cc(C)sc1C ZINC001323372477 1107956576 /nfs/dbraw/zinc/95/65/76/1107956576.db2.gz XZXNUYZSUZICLB-KRWDZBQOSA-N 0 1 320.502 3.454 20 30 DGEDMN C=CCCCN(CC)C(=O)C[C@H](N)c1ccccc1OCC ZINC001263256423 1107990598 /nfs/dbraw/zinc/99/05/98/1107990598.db2.gz XAIAJQZWBCZFGU-INIZCTEOSA-N 0 1 304.434 3.290 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001318422369 1107994089 /nfs/dbraw/zinc/99/40/89/1107994089.db2.gz IBUWJDUUSATRIO-MRXNPFEDSA-N 0 1 304.409 3.071 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2ccccn2)s1)c1cccc(F)n1 ZINC001263378840 1108031223 /nfs/dbraw/zinc/03/12/23/1108031223.db2.gz UBALCIYXOFPSAQ-SNVBAGLBSA-N 0 1 324.340 3.229 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)Cc2ccc(C)cc2)CC1 ZINC001323617006 1108031846 /nfs/dbraw/zinc/03/18/46/1108031846.db2.gz QIOKWIMANVXETC-CQSZACIVSA-N 0 1 320.864 3.165 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)Cc2ccccc2C)C1 ZINC001318490580 1108051200 /nfs/dbraw/zinc/05/12/00/1108051200.db2.gz CJUBLQHHUSMSHU-IEBWSBKVSA-N 0 1 314.473 3.282 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H](CC)NCc1nc(C)c(C)s1 ZINC001318529612 1108082944 /nfs/dbraw/zinc/08/29/44/1108082944.db2.gz WIIRJINRDKZXMO-CQSZACIVSA-N 0 1 323.506 3.347 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=Cc1ccc2c(c1)CCC2 ZINC001318835772 1108212751 /nfs/dbraw/zinc/21/27/51/1108212751.db2.gz JDUXMBHQHPARPG-UHFFFAOYSA-N 0 1 321.405 3.056 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(Cc2oc(C)nc2C)CC1 ZINC001324328646 1108251024 /nfs/dbraw/zinc/25/10/24/1108251024.db2.gz BKASNSDKYZWRFG-UHFFFAOYSA-N 0 1 319.449 3.118 20 30 DGEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1O)c1ccc(F)c(F)c1 ZINC001318980381 1108262441 /nfs/dbraw/zinc/26/24/41/1108262441.db2.gz SBSAYXHIWRSRJW-SECBINFHSA-N 0 1 302.280 3.284 20 30 DGEDMN C#CCN(C(=O)/C=C(/C)CC)C1CCN(C[C@H](F)CC)CC1 ZINC001324387317 1108271805 /nfs/dbraw/zinc/27/18/05/1108271805.db2.gz SHEKNTMKFLRAEN-UGEDRFTOSA-N 0 1 308.441 3.017 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001319019324 1108281429 /nfs/dbraw/zinc/28/14/29/1108281429.db2.gz IXEWTIHYARCZRQ-ZSHCYNCHSA-N 0 1 310.869 3.003 20 30 DGEDMN CC(C)(C)CC(=O)N[C@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001324571909 1108348479 /nfs/dbraw/zinc/34/84/79/1108348479.db2.gz CUIGFXQKPPJEFR-LJQANCHMSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCCCCCC(=O)N[C@H]1CCN(C/C=C/Cl)[C@@H]1CC ZINC001264060547 1108360359 /nfs/dbraw/zinc/36/03/59/1108360359.db2.gz FFTSVMAOQOXQPP-HUXQLNKYSA-N 0 1 310.869 3.292 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)C[C@H](C)c2ccccc2)C1 ZINC001324668766 1108414441 /nfs/dbraw/zinc/41/44/41/1108414441.db2.gz IMLXGMCNEHNIIZ-QFBILLFUSA-N 0 1 300.446 3.337 20 30 DGEDMN CC(C)N(CCc1ccccc1)CC(=O)Nc1ccc(C#N)cc1 ZINC001319403800 1108447335 /nfs/dbraw/zinc/44/73/35/1108447335.db2.gz PALCSILBZGGVIE-UHFFFAOYSA-N 0 1 321.424 3.450 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)[C@H](C)C(C)(C)C)CC2 ZINC001319407033 1108449003 /nfs/dbraw/zinc/44/90/03/1108449003.db2.gz AIBGXSNYNNBSRP-IRXDYDNUSA-N 0 1 318.505 3.252 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)CCCc1cccs1)CC2 ZINC001319413251 1108450756 /nfs/dbraw/zinc/45/07/56/1108450756.db2.gz XRPOSHGUEQRGJO-UHFFFAOYSA-N 0 1 318.486 3.181 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCCc2ccccc21 ZINC001319477807 1108486543 /nfs/dbraw/zinc/48/65/43/1108486543.db2.gz PZTODCHBYGBICF-WMLDXEAASA-N 0 1 320.864 3.296 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)[C@H](C)CNCc2nc(C)c(C)s2)C1 ZINC001319529738 1108510899 /nfs/dbraw/zinc/51/08/99/1108510899.db2.gz XBGHPXUNMSGPBD-GFCCVEGCSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001264445819 1108535382 /nfs/dbraw/zinc/53/53/82/1108535382.db2.gz WQOULNUFYOJUSK-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C[C@@H](C)C2CC2)C1 ZINC001264447877 1108538916 /nfs/dbraw/zinc/53/89/16/1108538916.db2.gz MUOKCWOUERAOCT-AYOQOUSVSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN(CCc1ccco1)C(=O)c1ccc2cncn2c1C ZINC001325027376 1108561483 /nfs/dbraw/zinc/56/14/83/1108561483.db2.gz KIGHRLVHQPTUGX-UHFFFAOYSA-N 0 1 309.369 3.107 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2cccnc2)[C@@H]1CC ZINC001264619659 1108594913 /nfs/dbraw/zinc/59/49/13/1108594913.db2.gz CFJVKKUVEBCOJU-DLBZAZTESA-N 0 1 315.461 3.153 20 30 DGEDMN CC#CCN[C@H](CNC(=O)/C=C(\C)CC)c1ccccc1CC ZINC001325362673 1108633841 /nfs/dbraw/zinc/63/38/41/1108633841.db2.gz OIFHUJHVORWJAH-JRJUITNWSA-N 0 1 312.457 3.376 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)Cc1ccnc(Cl)c1 ZINC001264989844 1108691389 /nfs/dbraw/zinc/69/13/89/1108691389.db2.gz BTHFKSFCEPSFRG-UHFFFAOYSA-N 0 1 323.868 3.275 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NC/C(Cl)=C/Cl)C(C)C ZINC001319918978 1108695637 /nfs/dbraw/zinc/69/56/37/1108695637.db2.gz YCAPLISPYINJFW-OTAKNEKHSA-N 0 1 307.265 3.392 20 30 DGEDMN CC(C)c1ccc(CN2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)cc1 ZINC001265207780 1108776896 /nfs/dbraw/zinc/77/68/96/1108776896.db2.gz KZADAKWDQKZQCC-MAUKXSAKSA-N 0 1 313.445 3.050 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2ccc(Cl)cc2)C1 ZINC001265220624 1108784414 /nfs/dbraw/zinc/78/44/14/1108784414.db2.gz NPRDVIHIWYAMMX-INIZCTEOSA-N 0 1 306.837 3.039 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H](C)C2CCCCC2)C1 ZINC001265226426 1108789955 /nfs/dbraw/zinc/78/99/55/1108789955.db2.gz BNKWHXSIPOURMP-WMZOPIPTSA-N 0 1 304.478 3.197 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C(C)C)nc2C)C1 ZINC001265275345 1108801506 /nfs/dbraw/zinc/80/15/06/1108801506.db2.gz NTOUXKPIBGNKLD-KRWDZBQOSA-N 0 1 315.461 3.170 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2ccoc2CC)CC1 ZINC001265338774 1108833485 /nfs/dbraw/zinc/83/34/85/1108833485.db2.gz KKDPLJFUGRBFGZ-UHFFFAOYSA-N 0 1 316.445 3.182 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)CCCc1ccccc1 ZINC001265650499 1108949080 /nfs/dbraw/zinc/94/90/80/1108949080.db2.gz BBOZTQBXFSHVKK-IBGZPJMESA-N 0 1 312.457 3.003 20 30 DGEDMN C=CCCCC(=O)NC[C@@H](C)N[C@H](C)c1cc(F)ccc1F ZINC001265816569 1109013403 /nfs/dbraw/zinc/01/34/03/1109013403.db2.gz XZVYLJYLRMPDQJ-CHWSQXEVSA-N 0 1 310.388 3.476 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](C)NCc1oc(C(C)C)nc1C ZINC001265820532 1109015351 /nfs/dbraw/zinc/01/53/51/1109015351.db2.gz FKFWGRWKZHVSAU-UGSOOPFHSA-N 0 1 321.465 3.303 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@]1(C)CCCc2ccccc21 ZINC001265841702 1109022611 /nfs/dbraw/zinc/02/26/11/1109022611.db2.gz UFOXHJSMXBDUOT-KBXCAEBGSA-N 0 1 320.864 3.127 20 30 DGEDMN CCC[C@H](C)CC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001265895003 1109036794 /nfs/dbraw/zinc/03/67/94/1109036794.db2.gz BNMFVLAZSMZUPV-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC001266054807 1109075081 /nfs/dbraw/zinc/07/50/81/1109075081.db2.gz AZNXSYODVUQITL-KGLIPLIRSA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(C)CCC(=O)NCC1=CCN(Cc2ccsc2)CC1 ZINC001279573358 1109156399 /nfs/dbraw/zinc/15/63/99/1109156399.db2.gz CXZJBLGHWLLBOO-UHFFFAOYSA-N 0 1 304.459 3.353 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@@H](CCC=C)c2ccccc2)CC1 ZINC001279703925 1109160863 /nfs/dbraw/zinc/16/08/63/1109160863.db2.gz MUOXEFKOFMPMBH-FQEVSTJZSA-N 0 1 322.452 3.118 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001316600669 1109172709 /nfs/dbraw/zinc/17/27/09/1109172709.db2.gz AVGPUZVPEBFAPL-APWZRJJASA-N 0 1 314.473 3.461 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@@]1(C)CCC[C@@H]1C ZINC001280334550 1109186747 /nfs/dbraw/zinc/18/67/47/1109186747.db2.gz ZUMSVGLKSRUXLP-JXFKEZNVSA-N 0 1 312.457 3.194 20 30 DGEDMN C=CCCCN1CC2(C1)CC[C@@H](CNC(=O)CC(C)(C)CC)O2 ZINC001280929559 1109275519 /nfs/dbraw/zinc/27/55/19/1109275519.db2.gz FPNAHQCMNDVASI-INIZCTEOSA-N 0 1 322.493 3.129 20 30 DGEDMN C[C@H]1C[C@H](NC(=O)CC2(C)CC2)CCN1CC#Cc1ccccc1 ZINC001281668901 1109391624 /nfs/dbraw/zinc/39/16/24/1109391624.db2.gz FWCNVFOJSIQJOO-PKOBYXMFSA-N 0 1 324.468 3.197 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCCC[C@H]1CNCc1nccs1 ZINC001281878028 1109412961 /nfs/dbraw/zinc/41/29/61/1109412961.db2.gz QBAYOYYYVTUYAI-CABCVRRESA-N 0 1 321.490 3.216 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001281939397 1109418342 /nfs/dbraw/zinc/41/83/42/1109418342.db2.gz FLRCDGRFVLZXEC-ZDUSSCGKSA-N 0 1 320.399 3.464 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CCC)c1ccccc1 ZINC001281970209 1109425541 /nfs/dbraw/zinc/42/55/41/1109425541.db2.gz SQKVFIUUMUCERB-OALUTQOASA-N 0 1 312.457 3.126 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cc1ccc(C)c(C)c1 ZINC001282226777 1109471536 /nfs/dbraw/zinc/47/15/36/1109471536.db2.gz FCXNKDWQDNLBND-CABCVRRESA-N 0 1 308.853 3.081 20 30 DGEDMN C=C(C)CCC(=O)N(C)CC(C)(C)CN[C@@H](C)c1ncc(C)o1 ZINC001282306178 1109481501 /nfs/dbraw/zinc/48/15/01/1109481501.db2.gz FIQOKLINQYFJSP-HNNXBMFYSA-N 0 1 321.465 3.475 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(C)(C)C)s1 ZINC001282498628 1109527123 /nfs/dbraw/zinc/52/71/23/1109527123.db2.gz YZTDZHIMWRXSRY-ZDUSSCGKSA-N 0 1 306.475 3.119 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)C1(F)CCCC1 ZINC001282643502 1109541725 /nfs/dbraw/zinc/54/17/25/1109541725.db2.gz NQEWVUKUBGVJAF-CYBMUJFWSA-N 0 1 304.837 3.286 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCCCCN1Cc1cncs1 ZINC001282675146 1109549355 /nfs/dbraw/zinc/54/93/55/1109549355.db2.gz KNIFHJHVORZZIM-OAHLLOKOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)Cc2cccc(Cl)c2)C1 ZINC001282741991 1109560981 /nfs/dbraw/zinc/56/09/81/1109560981.db2.gz WIEVLGLPCRRBCW-KBPBESRZSA-N 0 1 320.864 3.141 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2ncccc2C)CC1(C)C ZINC001282808976 1109568085 /nfs/dbraw/zinc/56/80/85/1109568085.db2.gz AVFXHEIELUMRFM-QGZVFWFLSA-N 0 1 315.461 3.073 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)[C@H](CCC)CC2CCCC2)C(C)(C)C1 ZINC001282821555 1109570076 /nfs/dbraw/zinc/57/00/76/1109570076.db2.gz PDDNTYNAGLNSFR-QZTJIDSGSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)[C@H](C)C(C)(C)C ZINC001283633058 1109664085 /nfs/dbraw/zinc/66/40/85/1109664085.db2.gz VUOFOMCQFNISFK-NEPJUHHUSA-N 0 1 319.287 3.014 20 30 DGEDMN C[C@@H](CN(C)C(=O)CCCC1CC1)NCc1ccccc1C#N ZINC001283665092 1109669397 /nfs/dbraw/zinc/66/93/97/1109669397.db2.gz IOPXPGQNVMNVBX-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCCCC(=O)N1CC[C@H](NC/C(Cl)=C/Cl)[C@H]1C ZINC001283901123 1109696953 /nfs/dbraw/zinc/69/69/53/1109696953.db2.gz ZGCOXZRZFKUCHD-QOUCBHDVSA-N 0 1 317.260 3.078 20 30 DGEDMN CCCCC(=O)N[C@@H]1CCCN(Cc2cccc(C#N)c2)[C@@H]1C ZINC001284122225 1109741142 /nfs/dbraw/zinc/74/11/42/1109741142.db2.gz SBXHGXPRPFKFQL-CRAIPNDOSA-N 0 1 313.445 3.218 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@H]1CC[C@H](C(C)C)CC1)C(C)C ZINC001284552968 1109810415 /nfs/dbraw/zinc/81/04/15/1109810415.db2.gz BMBKUZUJTKZMAG-IYARVYRRSA-N 0 1 306.494 3.251 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1cc(C)ccc1C)C(C)C ZINC001284559040 1109811753 /nfs/dbraw/zinc/81/17/53/1109811753.db2.gz SRSJURGWRANSML-UHFFFAOYSA-N 0 1 300.446 3.109 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H](CNCC(=C)Cl)C(C)(C)C ZINC001284590054 1109820533 /nfs/dbraw/zinc/82/05/33/1109820533.db2.gz MUQYCQVQAMKSJY-CYBMUJFWSA-N 0 1 300.874 3.462 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)c1ccco1)C(C)(C)C ZINC001284625921 1109827509 /nfs/dbraw/zinc/82/75/09/1109827509.db2.gz UPHATQYEVZHDTA-TZMCWYRMSA-N 0 1 312.841 3.256 20 30 DGEDMN C#CC[C@H]1CCC[N@@H+](Cc2ccc(Br)cc2[O-])C1 ZINC001333944489 1110248140 /nfs/dbraw/zinc/24/81/40/1110248140.db2.gz GXBVGTVADCHIBO-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](Cc2ccc(Br)cc2[O-])C1 ZINC001333944489 1110248145 /nfs/dbraw/zinc/24/81/45/1110248145.db2.gz GXBVGTVADCHIBO-LBPRGKRZSA-N 0 1 308.219 3.390 20 30 DGEDMN O=C(Nc1cccc(C#Cc2ccccc2)c1)c1ncccc1O ZINC001290517087 1110248279 /nfs/dbraw/zinc/24/82/79/1110248279.db2.gz NEMPETQZGDVYQW-UHFFFAOYSA-N 0 1 314.344 3.439 20 30 DGEDMN N#Cc1c(F)cccc1C(=O)Nc1nc2ccc(Cl)cc2[nH]1 ZINC001303337877 1111140535 /nfs/dbraw/zinc/14/05/35/1111140535.db2.gz FIRCNFKSKSWBDF-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN Cc1cc(F)c(C#N)c(NCCCC[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC001307069160 1111261884 /nfs/dbraw/zinc/26/18/84/1111261884.db2.gz QZBMLNLBEPDUQN-GASCZTMLSA-N 0 1 319.424 3.307 20 30 DGEDMN N#Cc1ccc2c(c1)CC[C@H]2Nc1ccncc1C(F)(F)F ZINC001307112658 1111267126 /nfs/dbraw/zinc/26/71/26/1111267126.db2.gz IMTPNQNOWBCHBY-CQSZACIVSA-N 0 1 303.287 3.493 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CC[C@](C)(CCc2ccccc2)C1 ZINC001307520920 1111310652 /nfs/dbraw/zinc/31/06/52/1111310652.db2.gz QUMXBJABQQZIEV-MJGOQNOKSA-N 0 1 301.430 3.449 20 30 DGEDMN CCC#C[C@H](C)N(C)C[C@@H](O)c1ccccc1Br ZINC001307841599 1111345445 /nfs/dbraw/zinc/34/54/45/1111345445.db2.gz DNVADZMOSXDLEE-SWLSCSKDSA-N 0 1 310.235 3.216 20 30 DGEDMN C#CCN(CC(OCC)OCC)[C@@H]1CCCc2ccccc21 ZINC001308103478 1111378180 /nfs/dbraw/zinc/37/81/80/1111378180.db2.gz HVPGPKAWCIAXCB-GOSISDBHSA-N 0 1 301.430 3.398 20 30 DGEDMN C=CCCCC(=O)N1CCCCC[C@@H](NCc2cc(C)no2)C1 ZINC001316775007 1111736565 /nfs/dbraw/zinc/73/65/65/1111736565.db2.gz OVTFJWZHXVITIF-MRXNPFEDSA-N 0 1 319.449 3.200 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1csc(C)c1C ZINC001329051524 1111742357 /nfs/dbraw/zinc/74/23/57/1111742357.db2.gz XSHSZOZNKACOHN-GHMZBOCLSA-N 0 1 300.855 3.214 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CCC)c2ccccc2)[C@H]1C ZINC001316798390 1111769633 /nfs/dbraw/zinc/76/96/33/1111769633.db2.gz GUUHUFMHPYHXBM-QRQLOZEOSA-N 0 1 312.457 3.173 20 30 DGEDMN C=CCS(=O)(=O)CCN[C@@H]1C[C@H](C(C)C)c2ccccc21 ZINC000278626775 214287285 /nfs/dbraw/zinc/28/72/85/214287285.db2.gz GPXJJSUUYXYABN-IAGOWNOFSA-N 0 1 307.459 3.061 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1C#N)N1CCSC(C)(C)CC1 ZINC000318134253 231166822 /nfs/dbraw/zinc/16/68/22/231166822.db2.gz NVDMKMHKCOHYFV-CYBMUJFWSA-N 0 1 317.458 3.103 20 30 DGEDMN CC[C@@H](CNCc1ccc(C#N)cc1F)NC(=O)OC(C)(C)C ZINC000294970561 529490121 /nfs/dbraw/zinc/49/01/21/529490121.db2.gz FSVPLAREWABJCP-AWEZNQCLSA-N 0 1 321.396 3.090 20 30 DGEDMN CCc1cccc(NS(=O)(=O)c2cccc(C)c2C#N)c1 ZINC000441378597 529542381 /nfs/dbraw/zinc/54/23/81/529542381.db2.gz DPZCUHBYYJUEGE-UHFFFAOYSA-N 0 1 300.383 3.230 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(COC)cc1 ZINC000092567757 185326294 /nfs/dbraw/zinc/32/62/94/185326294.db2.gz OIEAGIPBXPWQLS-UHFFFAOYSA-N 0 1 324.424 3.353 20 30 DGEDMN CN(C)c1ccc(C(=O)C(C#N)c2nccc3ccccc32)nc1 ZINC000342390107 529778497 /nfs/dbraw/zinc/77/84/97/529778497.db2.gz RXVFLBAIPIJBHJ-MRXNPFEDSA-N 0 1 316.364 3.186 20 30 DGEDMN CNC(=O)c1ccc(CN[C@H](CCC#N)c2ccccc2)cc1 ZINC000130450526 529939202 /nfs/dbraw/zinc/93/92/02/529939202.db2.gz GHUWUGATTAXPQQ-GOSISDBHSA-N 0 1 307.397 3.181 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)Nc1ccc(C#N)cc1 ZINC000444833556 529976574 /nfs/dbraw/zinc/97/65/74/529976574.db2.gz FKZYSVUBPPXIHX-UHFFFAOYSA-N 0 1 311.389 3.243 20 30 DGEDMN N#Cc1c(CN2CC[C@@H](Nc3ccccc3)C2)cn2ccccc12 ZINC000103480974 432011175 /nfs/dbraw/zinc/01/11/75/432011175.db2.gz LVOQLQHIDKIKCY-GOSISDBHSA-N 0 1 316.408 3.497 20 30 DGEDMN CN1CCC[C@@H]1C(=O)Nc1ccc(Oc2ccc(C#N)cc2)cc1 ZINC000299490234 530056524 /nfs/dbraw/zinc/05/65/24/530056524.db2.gz ICLSPNHTFNSVBD-GOSISDBHSA-N 0 1 321.380 3.383 20 30 DGEDMN COC(=O)CSCC/N=C/c1cc(Cl)cc(Cl)c1O ZINC000105570383 432018653 /nfs/dbraw/zinc/01/86/53/432018653.db2.gz CFAYXULOIBQWDT-GIDUJCDVSA-N 0 1 322.213 3.024 20 30 DGEDMN COCC[C@@H](NCc1ccc(OC)c(C#N)c1)c1ccco1 ZINC000272627508 210028788 /nfs/dbraw/zinc/02/87/88/210028788.db2.gz XHVGDCDNFQVYQF-OAHLLOKOSA-N 0 1 300.358 3.027 20 30 DGEDMN CC[C@@](C)(NCCOc1ccc(C#N)cc1)c1nccs1 ZINC000072684717 191213907 /nfs/dbraw/zinc/21/39/07/191213907.db2.gz CIDOPBHAKAFVJV-MRXNPFEDSA-N 0 1 301.415 3.309 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1cccc(C#N)c1 ZINC000174358424 198324690 /nfs/dbraw/zinc/32/46/90/198324690.db2.gz SDCSXMVWGMKUNB-RDTXWAMCSA-N 0 1 305.381 3.014 20 30 DGEDMN Cc1csc([C@H](NC[C@@H](O)c2ccc(C#N)cc2)C2CC2)n1 ZINC000183511677 199606407 /nfs/dbraw/zinc/60/64/07/199606407.db2.gz JJXJWQJZRTWEBZ-HZPDHXFCSA-N 0 1 313.426 3.098 20 30 DGEDMN N#CC1(CCCN2CCCC[C@H]2c2cc[nH]n2)CCOCC1 ZINC000375637008 283043835 /nfs/dbraw/zinc/04/38/35/283043835.db2.gz RKAWQCYJNDWVES-INIZCTEOSA-N 0 1 302.422 3.037 20 30 DGEDMN N#Cc1ccsc1NC(=O)CCN1CCc2ccsc2C1 ZINC000132561916 432051078 /nfs/dbraw/zinc/05/10/78/432051078.db2.gz XQQFGQRQWVTDJO-UHFFFAOYSA-N 0 1 317.439 3.068 20 30 DGEDMN N#Cc1c(F)cccc1N1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179600413 199062016 /nfs/dbraw/zinc/06/20/16/199062016.db2.gz WMTCLEKHKDUEFR-LBPRGKRZSA-N 0 1 311.364 3.077 20 30 DGEDMN N#Cc1c(F)cccc1N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000179600413 199062018 /nfs/dbraw/zinc/06/20/18/199062018.db2.gz WMTCLEKHKDUEFR-LBPRGKRZSA-N 0 1 311.364 3.077 20 30 DGEDMN C=CCCO[C@@H](C)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000179837029 199086720 /nfs/dbraw/zinc/08/67/20/199086720.db2.gz UMKNEIYXAKYYMB-VIFPVBQESA-N 0 1 309.316 3.420 20 30 DGEDMN C=CCNC(=O)CN[C@H](C)c1ccc(-c2ccccc2OC)cc1 ZINC000179884147 199091489 /nfs/dbraw/zinc/09/14/89/199091489.db2.gz JNSLGPFGFBBMEX-OAHLLOKOSA-N 0 1 324.424 3.315 20 30 DGEDMN N#Cc1ccc(N2CCN(Cc3coc4ccccc34)CC2)nc1 ZINC000179986292 432075377 /nfs/dbraw/zinc/07/53/77/432075377.db2.gz HHQFJCIKMIWHCF-UHFFFAOYSA-N 0 1 318.380 3.022 20 30 DGEDMN Cn1cc(CNCc2ccccc2F)c(-c2ccc(C#N)cc2)n1 ZINC000180029235 432076654 /nfs/dbraw/zinc/07/66/54/432076654.db2.gz ZGSQTFSLGRDIBY-UHFFFAOYSA-N 0 1 320.371 3.388 20 30 DGEDMN CN(Cc1ccc(C#N)cc1Br)C[C@@H]1CCCOC1 ZINC000181104902 199252873 /nfs/dbraw/zinc/25/28/73/199252873.db2.gz IMQRRSQOIIQEAC-ZDUSSCGKSA-N 0 1 323.234 3.179 20 30 DGEDMN CCn1cc([C@@H](C)NCc2cccc(OCCCC#N)c2)cn1 ZINC000449671473 240358028 /nfs/dbraw/zinc/35/80/28/240358028.db2.gz RUOYPBADUCZSRJ-OAHLLOKOSA-N 0 1 312.417 3.436 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3ccc(C#N)s3)[nH]c21 ZINC000429605296 238056675 /nfs/dbraw/zinc/05/66/75/238056675.db2.gz PRTVYUXFFNEPAC-NSHDSACASA-N 0 1 306.350 3.295 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000134940910 432091105 /nfs/dbraw/zinc/09/11/05/432091105.db2.gz KJXONZOLSSCPFD-INIZCTEOSA-N 0 1 300.362 3.021 20 30 DGEDMN CC(=Cc1ccc(OCC2CC2)cc1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000193615552 201088796 /nfs/dbraw/zinc/08/87/96/201088796.db2.gz SLLYWNMMHYETRM-XFXZXTDPSA-N 0 1 321.380 3.299 20 30 DGEDMN Cc1cc(Cl)cc(NS(=O)(=O)c2cccc(C#N)c2)c1O ZINC000135092006 432098516 /nfs/dbraw/zinc/09/85/16/432098516.db2.gz YXBVSCXNKULISD-UHFFFAOYSA-N 0 1 322.773 3.027 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2cccc3ccc(O)cc32)cc1O ZINC000442672524 239108957 /nfs/dbraw/zinc/10/89/57/239108957.db2.gz QQGVTKRWGAKNDC-UHFFFAOYSA-N 0 1 304.305 3.375 20 30 DGEDMN N#Cc1ccc(NC(=O)CN2CCC[C@@H]3CCC[C@H]32)cc1Cl ZINC000444191716 239268806 /nfs/dbraw/zinc/26/88/06/239268806.db2.gz YICLJCUMAGIYQS-BLLLJJGKSA-N 0 1 317.820 3.415 20 30 DGEDMN C=CC[C@H](C(=O)N[C@H](C)c1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000275358546 212290984 /nfs/dbraw/zinc/29/09/84/212290984.db2.gz SENOLMYTAKRFMW-HIFRSBDPSA-N 0 1 312.417 3.465 20 30 DGEDMN Cc1ccc(CN(C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)cc1C ZINC000452037942 241303419 /nfs/dbraw/zinc/30/34/19/241303419.db2.gz CSFPRCOYBGAOFR-QFBILLFUSA-N 0 1 315.461 3.178 20 30 DGEDMN COCC[C@H](NCc1c(C#N)cccc1[N+](=O)[O-])C(C)(C)C ZINC000459442496 243373320 /nfs/dbraw/zinc/37/33/20/243373320.db2.gz PDHPRMICHWDWLW-HNNXBMFYSA-N 0 1 305.378 3.007 20 30 DGEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)CCc1ccc(C#N)cc1 ZINC000470336994 244164299 /nfs/dbraw/zinc/16/42/99/244164299.db2.gz JVVDWQRXNZMHQK-UHFFFAOYSA-N 0 1 324.428 3.170 20 30 DGEDMN CCC(CC)[C@@H](c1ccc(Cl)cc1)N(C)CC(=O)NCC#N ZINC000470947758 244197781 /nfs/dbraw/zinc/19/77/81/244197781.db2.gz JYWPWAIIAGVJEP-KRWDZBQOSA-N 0 1 321.852 3.389 20 30 DGEDMN C=CCC[C@H](O)CN(CCC)CC(=O)Nc1ccc(Cl)cc1 ZINC000472727418 244313242 /nfs/dbraw/zinc/31/32/42/244313242.db2.gz GJPFMHJYUVVXSP-INIZCTEOSA-N 0 1 324.852 3.318 20 30 DGEDMN C=CCC[C@@H](O)CN(CCC)CC(=O)Nc1ccc(Cl)cc1 ZINC000472727419 244313989 /nfs/dbraw/zinc/31/39/89/244313989.db2.gz GJPFMHJYUVVXSP-MRXNPFEDSA-N 0 1 324.852 3.318 20 30 DGEDMN Cc1cc(CNC(C)(C)C(=O)NCC2CCCC2)ccc1C#N ZINC000488162176 245154469 /nfs/dbraw/zinc/15/44/69/245154469.db2.gz CJFLJGJUHQPONK-UHFFFAOYSA-N 0 1 313.445 3.041 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(CC)Cc1cccc(F)c1 ZINC000488488304 245200161 /nfs/dbraw/zinc/20/01/61/245200161.db2.gz BHDYRWBOIXLJLH-OAHLLOKOSA-N 0 1 306.425 3.461 20 30 DGEDMN CCCCC[C@@H](C)NC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271692156 209130150 /nfs/dbraw/zinc/13/01/50/209130150.db2.gz RTAJBSCYJCKDAZ-CABCVRRESA-N 0 1 301.434 3.294 20 30 DGEDMN C=C(Cl)COc1ccc([C@@H](C)NC[C@@H](O)C(F)(F)F)cc1 ZINC000268806386 432138753 /nfs/dbraw/zinc/13/87/53/432138753.db2.gz COSNJRLQFHZMNZ-ZWNOBZJWSA-N 0 1 323.742 3.392 20 30 DGEDMN Cc1cc([C@@H](C)NCCSCc2ccccc2C#N)no1 ZINC000284856044 218208728 /nfs/dbraw/zinc/20/87/28/218208728.db2.gz AHPWETMFHXWOJH-CYBMUJFWSA-N 0 1 301.415 3.439 20 30 DGEDMN C=CCCOCCN[C@@H](C)c1cc(Br)ncc1F ZINC000273829037 211094114 /nfs/dbraw/zinc/09/41/14/211094114.db2.gz FLWGCHYTMYCOOI-JTQLQIEISA-N 0 1 317.202 3.227 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)s1)c1ccc([S@@](C)=O)cc1 ZINC000270161943 208225689 /nfs/dbraw/zinc/22/56/89/208225689.db2.gz UGKSGFSNAOGZKJ-BIBXISHDSA-N 0 1 304.440 3.208 20 30 DGEDMN CSc1ccccc1NC(=O)CCN(C)CC(C)(C)C#N ZINC000433321585 533045535 /nfs/dbraw/zinc/04/55/35/533045535.db2.gz YGNIKMXIXRXJBK-UHFFFAOYSA-N 0 1 305.447 3.219 20 30 DGEDMN CN(CCc1cccc([N+](=O)[O-])c1)Cc1cc(C#N)ccc1F ZINC000338381949 253277965 /nfs/dbraw/zinc/27/79/65/253277965.db2.gz SWFHRIJHPDTTLV-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN Cc1cccc(C)c1NC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000338347595 253270124 /nfs/dbraw/zinc/27/01/24/253270124.db2.gz BVDPYMHHRRATAL-OAHLLOKOSA-N 0 1 307.397 3.464 20 30 DGEDMN CN(CCc1cccc([N+](=O)[O-])c1)Cc1ccc(C#N)cc1F ZINC000338349335 253271142 /nfs/dbraw/zinc/27/11/42/253271142.db2.gz CIGGWGOAYQOWQM-UHFFFAOYSA-N 0 1 313.332 3.280 20 30 DGEDMN Cc1nc2cccc(C(=O)NC3(c4cccc(C#N)c4)CC3)c2[nH]1 ZINC000338552733 253309247 /nfs/dbraw/zinc/30/92/47/253309247.db2.gz UKZFMVGHLVANGP-UHFFFAOYSA-N 0 1 316.364 3.162 20 30 DGEDMN COc1ccc(C#N)cc1NC(=O)c1cccc2nc(C)[nH]c21 ZINC000338581505 253315116 /nfs/dbraw/zinc/31/51/16/253315116.db2.gz MOWUSYHWIHSVND-UHFFFAOYSA-N 0 1 306.325 3.004 20 30 DGEDMN CC[C@@H](CC#N)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000158578584 197284793 /nfs/dbraw/zinc/28/47/93/197284793.db2.gz ILICDSHTQRSMHH-QMMMGPOBSA-N 0 1 307.304 3.314 20 30 DGEDMN Cc1nc2ccc(Cn3cc(C#N)c(=O)c4ccccc43)cc2[nH]1 ZINC000342650878 254026008 /nfs/dbraw/zinc/02/60/08/254026008.db2.gz HZEBELFSSIEVSM-UHFFFAOYSA-N 0 1 314.348 3.106 20 30 DGEDMN N#Cc1ccc(F)cc1CN1CCN(CCc2ccccc2)CC1 ZINC000345768807 254395975 /nfs/dbraw/zinc/39/59/75/254395975.db2.gz JKMNMWOWZJSQAQ-UHFFFAOYSA-N 0 1 323.415 3.058 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289908088 221307940 /nfs/dbraw/zinc/30/79/40/221307940.db2.gz NVKMZKYXNUBFRF-MLGOLLRUSA-N 0 1 300.793 3.416 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2C[C@@H]3CCC[C@@H]3C2)c1C#N ZINC000091072036 400091752 /nfs/dbraw/zinc/09/17/52/400091752.db2.gz XOVPPVPEEWLPPP-IYBDPMFKSA-N 0 1 306.413 3.284 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(CC(F)(F)F)CC1 ZINC000584830180 400112279 /nfs/dbraw/zinc/11/22/79/400112279.db2.gz MYNYTWVEQPTSAQ-CYBMUJFWSA-N 0 1 320.399 3.464 20 30 DGEDMN N#CC1(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)CCCCC1 ZINC000609532709 400174190 /nfs/dbraw/zinc/17/41/90/400174190.db2.gz LVNPIQABVKIUGE-UHFFFAOYSA-N 0 1 316.792 3.307 20 30 DGEDMN Cc1cccc(N2CCN(Cc3ccc(C#N)cc3C)CC2)c1 ZINC000323103821 400177104 /nfs/dbraw/zinc/17/71/04/400177104.db2.gz CBODTVGBMAJDGN-UHFFFAOYSA-N 0 1 305.425 3.497 20 30 DGEDMN N#CC(C(=O)Nc1ccccn1)c1nc2cc(F)ccc2s1 ZINC000609627448 400182393 /nfs/dbraw/zinc/18/23/93/400182393.db2.gz YQHRXCRAHFIIPE-JTQLQIEISA-N 0 1 312.329 3.076 20 30 DGEDMN Cc1cc(CN2CCC(Oc3ccc(C#N)cc3)CC2)nc(C)n1 ZINC000595302864 400123608 /nfs/dbraw/zinc/12/36/08/400123608.db2.gz MNPKGJYAMBKANO-UHFFFAOYSA-N 0 1 322.412 3.009 20 30 DGEDMN Cc1ccccc1[C@@H]1CN(CCc2ccc(C#N)cc2)CCO1 ZINC000595323846 400127033 /nfs/dbraw/zinc/12/70/33/400127033.db2.gz AVTLNBUPCQGDNS-FQEVSTJZSA-N 0 1 306.409 3.483 20 30 DGEDMN Cc1cc(C#N)ccc1C[N@H+]1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000585756174 400138575 /nfs/dbraw/zinc/13/85/75/400138575.db2.gz WUVMPKHVSUQPNA-NRFANRHFSA-N 0 1 319.452 3.225 20 30 DGEDMN N#CC1(c2ccccn2)CCN(CCOCc2ccccc2)CC1 ZINC000595471466 400161451 /nfs/dbraw/zinc/16/14/51/400161451.db2.gz BCRGMAADVIFLCY-UHFFFAOYSA-N 0 1 321.424 3.156 20 30 DGEDMN C[C@@H](c1ccccc1)N(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000015523751 400166109 /nfs/dbraw/zinc/16/61/09/400166109.db2.gz KEXXGBBGZDVRGR-INIZCTEOSA-N 0 1 313.445 3.364 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2cc([N+](=O)[O-])cc3c2OCOC3)C1 ZINC000595659421 400220747 /nfs/dbraw/zinc/22/07/47/400220747.db2.gz MAVQBNWVZLIHBE-CYBMUJFWSA-N 0 1 318.373 3.249 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(C#N)c(F)c2)C1 ZINC000616769359 400221505 /nfs/dbraw/zinc/22/15/05/400221505.db2.gz HLYWMKOCYXVVTC-HNNXBMFYSA-N 0 1 318.392 3.109 20 30 DGEDMN COC(=O)C(C)(C)[C@H]1CCCN(Cc2cc(C#N)ccc2F)C1 ZINC000093282230 400235382 /nfs/dbraw/zinc/23/53/82/400235382.db2.gz AVFAXKYWVQRTDF-HNNXBMFYSA-N 0 1 318.392 3.109 20 30 DGEDMN C[C@@H](CCC#N)N1CCN(c2ccc(Br)cc2)CC1 ZINC000595722524 400242970 /nfs/dbraw/zinc/24/29/70/400242970.db2.gz AWSLJVCZFVWUNM-ZDUSSCGKSA-N 0 1 322.250 3.263 20 30 DGEDMN COc1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000610344015 400244443 /nfs/dbraw/zinc/24/44/43/400244443.db2.gz YJXHDRQDPDIGLM-UONOGXRCSA-N 0 1 323.396 3.245 20 30 DGEDMN COC(=O)[C@@H]1CCN(Cc2ccccc2C#N)[C@@H]2CCCC[C@@H]12 ZINC000595732957 400246207 /nfs/dbraw/zinc/24/62/07/400246207.db2.gz KPXJWODFLSRKPU-RCCFBDPRSA-N 0 1 312.413 3.112 20 30 DGEDMN CCN(C(=O)[C@@H](C)N1CCC[C@H](CC#N)C1)C1CCCCC1 ZINC000595609473 400203405 /nfs/dbraw/zinc/20/34/05/400203405.db2.gz KKWGDZKVSSPCPS-HZPDHXFCSA-N 0 1 305.466 3.182 20 30 DGEDMN Cc1cnc2c(cccc2S(=O)(=O)Nc2ccc(C#N)cc2)c1 ZINC000023371235 400208788 /nfs/dbraw/zinc/20/87/88/400208788.db2.gz YIHSVPGFCWVJNA-UHFFFAOYSA-N 0 1 323.377 3.216 20 30 DGEDMN Cc1ccc([C@H](CNC(=O)CCCCCC#N)N2CCCC2)o1 ZINC000588338079 400212016 /nfs/dbraw/zinc/21/20/16/400212016.db2.gz VCGJCLJIDBTIMP-INIZCTEOSA-N 0 1 317.433 3.315 20 30 DGEDMN C=CCN(Cc1ccc(C(=O)OC)c(Cl)c1)[C@H](C)COC ZINC000595638084 400213748 /nfs/dbraw/zinc/21/37/48/400213748.db2.gz BRODPYPLZVFISV-GFCCVEGCSA-N 0 1 311.809 3.150 20 30 DGEDMN CCOC(=O)c1csc(C(C#N)C(=O)c2ccc(C)cc2)n1 ZINC000067898178 400214250 /nfs/dbraw/zinc/21/42/50/400214250.db2.gz LAKAWPGFQQGKIC-LBPRGKRZSA-N 0 1 314.366 3.118 20 30 DGEDMN N#Cc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)s1 ZINC000610512312 400267779 /nfs/dbraw/zinc/26/77/79/400267779.db2.gz VOGWERIZAAGUSR-QGZVFWFLSA-N 0 1 308.410 3.347 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H]3COC[C@H]3C2)c(C(F)(F)F)c1 ZINC000595828227 400272601 /nfs/dbraw/zinc/27/26/01/400272601.db2.gz WAWYFSLOFSMPGD-UONOGXRCSA-N 0 1 310.319 3.045 20 30 DGEDMN C[C@@H](C(=O)Nc1cccc(OCc2cccc(C#N)c2)c1)N(C)C ZINC000610541580 400272703 /nfs/dbraw/zinc/27/27/03/400272703.db2.gz UTZMOJLTEAERNA-AWEZNQCLSA-N 0 1 323.396 3.026 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(=O)Nc1ccccc1[N+](=O)[O-] ZINC000595844415 400279022 /nfs/dbraw/zinc/27/90/22/400279022.db2.gz DPKMFLRPFXNJDC-MCIONIFRSA-N 0 1 315.373 3.105 20 30 DGEDMN CN(CC1=CCSC1)Cc1ccc(C#N)cc1OC(F)F ZINC000595889830 400287409 /nfs/dbraw/zinc/28/74/09/400287409.db2.gz XIFBJMSCOKVUKM-UHFFFAOYSA-N 0 1 310.369 3.265 20 30 DGEDMN CC[C@H](C#N)C(=O)N1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC000404355218 400295099 /nfs/dbraw/zinc/29/50/99/400295099.db2.gz LEALGWYITZFNGL-NBOOPKSLSA-N 0 1 313.445 3.046 20 30 DGEDMN COCCN(Cc1ccc(C#N)cc1)Cc1ccc(C#N)cc1 ZINC000028963539 400295652 /nfs/dbraw/zinc/29/56/52/400295652.db2.gz OZZVMIHFTHLILR-UHFFFAOYSA-N 0 1 305.381 3.079 20 30 DGEDMN CC(C)c1ccc(CN2CCN(c3cc(C#N)ccn3)CC2)cc1 ZINC000029445556 400307361 /nfs/dbraw/zinc/30/73/61/400307361.db2.gz ITLQPNUSIWTKJL-UHFFFAOYSA-N 0 1 320.440 3.399 20 30 DGEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](C)c1ccc(C#N)cc1 ZINC000610436177 400258480 /nfs/dbraw/zinc/25/84/80/400258480.db2.gz SYFAGDNSMYCZIM-MRVPVSSYSA-N 0 1 322.290 3.100 20 30 DGEDMN Cc1[nH]n(-c2ccc(Br)c(C)c2)c(=O)c1CCC#N ZINC000601937806 400381300 /nfs/dbraw/zinc/38/13/00/400381300.db2.gz IBNGFPADUIPCME-LBPRGKRZSA-N 0 1 320.190 3.400 20 30 DGEDMN N#Cc1c(CN2CCC[C@H](C[C@@H]3CCOC3)C2)cn2ccccc12 ZINC000611350225 400383001 /nfs/dbraw/zinc/38/30/01/400383001.db2.gz FPTOVRGGPDYMDC-SJORKVTESA-N 0 1 323.440 3.450 20 30 DGEDMN Cc1nn(-c2ccccc2)c(CN2CCC[C@@H]2C2CC2)c1C#N ZINC000611346661 400383107 /nfs/dbraw/zinc/38/31/07/400383107.db2.gz AYFYWOWQIMFTBK-GOSISDBHSA-N 0 1 306.413 3.427 20 30 DGEDMN N#Cc1cccc(C[N@@H+]2C[C@H]3CN(c4ccccc4)C[C@H]3C2)c1F ZINC000611371232 400385171 /nfs/dbraw/zinc/38/51/71/400385171.db2.gz MLFRLXMOAKBIAT-HDICACEKSA-N 0 1 321.399 3.266 20 30 DGEDMN N#Cc1cccc(CN2C[C@@H]3CN(c4ccccc4)C[C@@H]3C2)c1F ZINC000611371232 400385176 /nfs/dbraw/zinc/38/51/76/400385176.db2.gz MLFRLXMOAKBIAT-HDICACEKSA-N 0 1 321.399 3.266 20 30 DGEDMN C[C@@H]1CCc2c1[nH]n(-c1ccc(C#N)cc1C(F)(F)F)c2=O ZINC000601948906 400385212 /nfs/dbraw/zinc/38/52/12/400385212.db2.gz NJXSLMGAFQKJSF-SCZZXKLOSA-N 0 1 307.275 3.326 20 30 DGEDMN C[N@@H+](Cc1ccccc1)[C@@H]1CCN(Cc2cccc(C#N)c2F)C1 ZINC000611372057 400385768 /nfs/dbraw/zinc/38/57/68/400385768.db2.gz RHBVBRKCWZLJCP-LJQANCHMSA-N 0 1 323.415 3.404 20 30 DGEDMN CC(C)CN(CC(C)C)C(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611386932 400389054 /nfs/dbraw/zinc/38/90/54/400389054.db2.gz CNAZSHKSBDYWGO-MRXNPFEDSA-N 0 1 315.461 3.349 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000611387837 400390195 /nfs/dbraw/zinc/39/01/95/400390195.db2.gz UITKSPSKMRFUKV-QKPAOTATSA-N 0 1 313.445 3.102 20 30 DGEDMN C[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@H]1C)c1cccc(C#N)c1 ZINC000611387210 400390483 /nfs/dbraw/zinc/39/04/83/400390483.db2.gz HJOYKJCFRJWPNN-RVKKMQEKSA-N 0 1 313.445 3.246 20 30 DGEDMN C=CC[N@H+](Cc1cc(C(=O)[O-])oc1C)Cc1ccc(OC)cc1 ZINC000579962204 400343475 /nfs/dbraw/zinc/34/34/75/400343475.db2.gz XSMMNBMHGLACHB-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN C=CC[N@@H+](Cc1cc(C(=O)[O-])oc1C)Cc1ccc(OC)cc1 ZINC000579962204 400343482 /nfs/dbraw/zinc/34/34/82/400343482.db2.gz XSMMNBMHGLACHB-UHFFFAOYSA-N 0 1 315.369 3.483 20 30 DGEDMN COc1ccc(CNC2(CF)CCC2)cc1OCCCC#N ZINC000581070915 400350296 /nfs/dbraw/zinc/35/02/96/400350296.db2.gz FWGDQWXEWMLVMD-UHFFFAOYSA-N 0 1 306.381 3.360 20 30 DGEDMN CC1(C)CCC[N@@H+](CCNc2nc3ncccc3cc2C#N)C1 ZINC000596213152 400352295 /nfs/dbraw/zinc/35/22/95/400352295.db2.gz UGCBZSQJUGAMIU-UHFFFAOYSA-N 0 1 309.417 3.035 20 30 DGEDMN COc1ccccc1[C@H](CNc1nc(C)cc(C)c1C#N)N(C)C ZINC000072672762 400397657 /nfs/dbraw/zinc/39/76/57/400397657.db2.gz OTFMNGCFMQAHNM-KRWDZBQOSA-N 0 1 324.428 3.294 20 30 DGEDMN N#Cc1cccc([N+](=O)[O-])c1NC[C@@H]1CCN1Cc1ccccc1 ZINC000596557480 400443870 /nfs/dbraw/zinc/44/38/70/400443870.db2.gz IBERSBNFYTVTKI-INIZCTEOSA-N 0 1 322.368 3.153 20 30 DGEDMN N#Cc1c2c(cnc1NC[C@@H]1CCN1Cc1ccccc1)CCC2 ZINC000596558545 400444239 /nfs/dbraw/zinc/44/42/39/400444239.db2.gz QLAGTEJGJVXTKY-KRWDZBQOSA-N 0 1 318.424 3.128 20 30 DGEDMN C=CCC[C@@H](NCc1cc(C(=O)OC)no1)c1ccccc1 ZINC000602583682 400505430 /nfs/dbraw/zinc/50/54/30/400505430.db2.gz TXXOCRCEAUWORI-OAHLLOKOSA-N 0 1 300.358 3.258 20 30 DGEDMN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1cccc(C#N)n1 ZINC000602607554 400511187 /nfs/dbraw/zinc/51/11/87/400511187.db2.gz YLIWCGOBZLAVJG-YVEFUNNKSA-N 0 1 315.804 3.472 20 30 DGEDMN N#Cc1cccc(CN2CCC(COc3ccccc3)CC2)n1 ZINC000602449944 400467188 /nfs/dbraw/zinc/46/71/88/400467188.db2.gz UPBFCXHDOMLQCY-UHFFFAOYSA-N 0 1 307.397 3.244 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccc(-c2nc(C)c(C)o2)cc1 ZINC000591338867 400511424 /nfs/dbraw/zinc/51/14/24/400511424.db2.gz VOIFDSXSWFKAJF-AWEZNQCLSA-N 0 1 319.386 3.002 20 30 DGEDMN N#CCCCCCC(=O)Nc1ccc(F)c(-c2nc[nH]n2)c1 ZINC000590991501 400474611 /nfs/dbraw/zinc/47/46/11/400474611.db2.gz DLXBSQWFFDJJSJ-UHFFFAOYSA-N 0 1 301.325 3.023 20 30 DGEDMN COc1cc(Br)ccc1CCCN[C@H](C)CC#N ZINC000612036889 400476660 /nfs/dbraw/zinc/47/66/60/400476660.db2.gz XARUXBZSFMJLMV-LLVKDONJSA-N 0 1 311.223 3.282 20 30 DGEDMN Cc1oc(NC(=O)[C@@H](C)N2CC[C@H](C3CCC3)C2)c(C#N)c1C ZINC000591063255 400481012 /nfs/dbraw/zinc/48/10/12/400481012.db2.gz MHBJAAQVPGOULL-DOMZBBRYSA-N 0 1 315.417 3.217 20 30 DGEDMN Cc1ccc2[nH]c(CN[C@H](C)CC3(C#N)CCOCC3)nc2c1 ZINC000602558515 400496489 /nfs/dbraw/zinc/49/64/89/400496489.db2.gz CAJBSRQUYOXLNB-CQSZACIVSA-N 0 1 312.417 3.060 20 30 DGEDMN Cc1ccc2nc(CN[C@H](C)CC3(C#N)CCOCC3)[nH]c2c1 ZINC000602558515 400496496 /nfs/dbraw/zinc/49/64/96/400496496.db2.gz CAJBSRQUYOXLNB-CQSZACIVSA-N 0 1 312.417 3.060 20 30 DGEDMN N#C[C@H](c1ccccc1)[C@H]1CCCN1C(=O)c1cc(C2CC2)[nH]n1 ZINC000075300088 400572229 /nfs/dbraw/zinc/57/22/29/400572229.db2.gz FGWFROIQEDIJHI-CRAIPNDOSA-N 0 1 320.396 3.199 20 30 DGEDMN COc1cc2c(c(CN3CCC[C@H](CC#N)C3)c1)O[C@@H](C)C2 ZINC000597172536 400576011 /nfs/dbraw/zinc/57/60/11/400576011.db2.gz CEIILZJWAVIETM-UONOGXRCSA-N 0 1 300.402 3.144 20 30 DGEDMN CC[C@@H](NCc1cccc(C#N)n1)c1ccc(OC)c(OC)c1 ZINC000602664771 400529846 /nfs/dbraw/zinc/52/98/46/400529846.db2.gz VFFOJDCCQASWBO-MRXNPFEDSA-N 0 1 311.385 3.211 20 30 DGEDMN C[C@H](CC#N)C(=O)Nc1nc(-c2ccc(C(C)(C)C)cc2)n[nH]1 ZINC000596974233 400531845 /nfs/dbraw/zinc/53/18/45/400531845.db2.gz VVEWCELZCJRFRB-LLVKDONJSA-N 0 1 311.389 3.257 20 30 DGEDMN C[C@]1(C#N)CCCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000612370382 400533295 /nfs/dbraw/zinc/53/32/95/400533295.db2.gz RKJUJRYBDCPSNL-LJQANCHMSA-N 0 1 320.396 3.013 20 30 DGEDMN N#Cc1cccc(CN[C@@H](c2cccs2)C2(CO)CCC2)n1 ZINC000602686432 400539639 /nfs/dbraw/zinc/53/96/39/400539639.db2.gz RSEYGYTVZHWCBT-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN COc1ccc(CN2CCC[C@@H](CC#N)C2)cc1Cn1cccn1 ZINC000602704688 400545850 /nfs/dbraw/zinc/54/58/50/400545850.db2.gz CHOLAYFQAQBTND-INIZCTEOSA-N 0 1 324.428 3.066 20 30 DGEDMN COC[C@H](C)Oc1ccc(CN2CCC(C)(C#N)CC2)cc1 ZINC000597085576 400555855 /nfs/dbraw/zinc/55/58/55/400555855.db2.gz WMYIWPZXGCVDOA-HNNXBMFYSA-N 0 1 302.418 3.226 20 30 DGEDMN CC1(NCc2cccc(OCc3ccccc3C#N)c2)COC1 ZINC000602742457 400561369 /nfs/dbraw/zinc/56/13/69/400561369.db2.gz ZBYQIOHLDOQVHI-UHFFFAOYSA-N 0 1 308.381 3.016 20 30 DGEDMN Cc1ccccc1C1(NCC(=O)Nc2ccc(C#N)cc2)CC1 ZINC000592139856 400613024 /nfs/dbraw/zinc/61/30/24/400613024.db2.gz KQAFXJSNUQEEBU-UHFFFAOYSA-N 0 1 305.381 3.084 20 30 DGEDMN Cc1cc(CN(C)Cc2ccc3c(c2)OCCO3)ccc1C#N ZINC000618590270 400634683 /nfs/dbraw/zinc/63/46/83/400634683.db2.gz LGNPRUFYPJFATN-UHFFFAOYSA-N 0 1 308.381 3.270 20 30 DGEDMN CCC[C@@H]1CN(C(=O)[C@H](C)CC#N)CCN1Cc1ccccc1 ZINC000597481900 400636841 /nfs/dbraw/zinc/63/68/41/400636841.db2.gz WIZUPUOFFBVTLX-SJLPKXTDSA-N 0 1 313.445 3.049 20 30 DGEDMN Cn1cc(CCCN2CCC[C@H]2[C@@H](C#N)c2ccccc2)cn1 ZINC000076153769 400639268 /nfs/dbraw/zinc/63/92/68/400639268.db2.gz ZVEOCTUVJFFSSD-OALUTQOASA-N 0 1 308.429 3.124 20 30 DGEDMN C[C@@](CO)(NCC1(CC#N)CC1)c1cc(Cl)cc(Cl)c1 ZINC000602840416 400587883 /nfs/dbraw/zinc/58/78/83/400587883.db2.gz OCSORPYHFADFMC-AWEZNQCLSA-N 0 1 313.228 3.484 20 30 DGEDMN CO[C@@]1(C(F)(F)F)CCCN(Cc2ccc(C#N)c(C)c2)C1 ZINC000618610159 400641656 /nfs/dbraw/zinc/64/16/56/400641656.db2.gz UIIIRVZUQBPNSD-HNNXBMFYSA-N 0 1 312.335 3.410 20 30 DGEDMN N#Cc1ccc2c(c1)[C@H](N[C@H](CCO)c1ccccc1F)CC2 ZINC000592402758 400646993 /nfs/dbraw/zinc/64/69/93/400646993.db2.gz IRXPQQZEHCHXOM-RTBURBONSA-N 0 1 310.372 3.398 20 30 DGEDMN CN(Cc1cn(CCCC(C)(C)C#N)nn1)C1CCCCC1 ZINC000603258458 400661610 /nfs/dbraw/zinc/66/16/10/400661610.db2.gz AAVLFOWROUURHR-UHFFFAOYSA-N 0 1 303.454 3.373 20 30 DGEDMN CC(=O)c1ccc(O)c(NC(=O)CC2(C#N)CCCCC2)c1 ZINC000613283804 400689011 /nfs/dbraw/zinc/68/90/11/400689011.db2.gz DISWQAHOBFMYOL-UHFFFAOYSA-N 0 1 300.358 3.397 20 30 DGEDMN C[C@H](NCc1cnc2c(C#N)cnn2c1)c1cc2ccccc2o1 ZINC000603892963 400731260 /nfs/dbraw/zinc/73/12/60/400731260.db2.gz MEKHDUACVFPZDF-LBPRGKRZSA-N 0 1 317.352 3.198 20 30 DGEDMN CCCN(CC(=O)NCCC(C)(C)C)Cc1ccc(C#N)cc1 ZINC000052825986 400749006 /nfs/dbraw/zinc/74/90/06/400749006.db2.gz LPWGEEASZKQZPH-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C[C@@H](CCC#N)CN1CCc2c(ncn2CCc2ccccc2)C1 ZINC000618888164 400709778 /nfs/dbraw/zinc/70/97/78/400709778.db2.gz SLGBPWAJUQVFHE-KRWDZBQOSA-N 0 1 322.456 3.424 20 30 DGEDMN N#CCc1ccc(NC(=O)c2cc(-c3cccs3)n[nH]2)cc1 ZINC000078576167 400811928 /nfs/dbraw/zinc/81/19/28/400811928.db2.gz YHYXUNIMHNZBCF-UHFFFAOYSA-N 0 1 308.366 3.457 20 30 DGEDMN CCCOc1ccc(C=C(C)c2nc(C)c(C#N)c(=O)[nH]2)cc1 ZINC000619095346 400764521 /nfs/dbraw/zinc/76/45/21/400764521.db2.gz ZBWOKZPLLLSGQO-BENRWUELSA-N 0 1 309.369 3.299 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)Nc3ccc(F)c(C#N)c3)[nH]n2)o1 ZINC000170854646 400777690 /nfs/dbraw/zinc/77/76/90/400777690.db2.gz DCWIJNRRSPSKLM-UHFFFAOYSA-N 0 1 310.288 3.241 20 30 DGEDMN N#Cc1ccc(CN(C[C@@H]2COc3ccccc3O2)C2CC2)cc1 ZINC000604501269 400781155 /nfs/dbraw/zinc/78/11/55/400781155.db2.gz WLFHBHWVIWEQDL-GOSISDBHSA-N 0 1 320.392 3.363 20 30 DGEDMN C[C@@H]1[C@@H](C)N(C(=O)c2ccc(C(C)(C)C#N)cc2)[C@@H](C)CN1C ZINC000593189435 400786181 /nfs/dbraw/zinc/78/61/81/400786181.db2.gz OXIQOPKKCQBQGU-RRFJBIMHSA-N 0 1 313.445 3.041 20 30 DGEDMN Cc1cccc(O[C@H](C)C(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000053524177 400787904 /nfs/dbraw/zinc/78/79/04/400787904.db2.gz JTKYWXQCRSBXGO-GFCCVEGCSA-N 0 1 323.356 3.231 20 30 DGEDMN CC[C@H](C#N)C(=O)N1CCC[C@H](c2nc3ccc(C)cc3[nH]2)C1 ZINC000593546739 400873670 /nfs/dbraw/zinc/87/36/70/400873670.db2.gz NESUSHKBWDHLRN-KGLIPLIRSA-N 0 1 310.401 3.127 20 30 DGEDMN CC[C@@H](C#N)C(=O)Nc1cc(Br)cc(Cl)c1O ZINC000593564992 400876195 /nfs/dbraw/zinc/87/61/95/400876195.db2.gz FHPUALJAILZYRL-LURJTMIESA-N 0 1 317.570 3.296 20 30 DGEDMN C=CCNC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC000180255737 400882666 /nfs/dbraw/zinc/88/26/66/400882666.db2.gz IPYZNARQJGJZKI-IAQYHMDHSA-N 0 1 312.816 3.457 20 30 DGEDMN C=C[C@@H](Sc1nc(Cc2ccccc2)c(C)[nH]1)C(=O)OC ZINC000619687121 400884277 /nfs/dbraw/zinc/88/42/77/400884277.db2.gz VZMNCTSIXMYUQC-CQSZACIVSA-N 0 1 302.399 3.129 20 30 DGEDMN CC(C)(C#N)C[C@H](O)CN1C[C@H](c2ccccc2)OCC1(C)C ZINC000598591318 400839064 /nfs/dbraw/zinc/83/90/64/400839064.db2.gz ZGRGPXIMJCMQIF-DLBZAZTESA-N 0 1 316.445 3.139 20 30 DGEDMN C[C@@H](c1ccccc1)[C@@H](CO)NCc1ccc(Cl)cc1C#N ZINC000614186030 400850861 /nfs/dbraw/zinc/85/08/61/400850861.db2.gz MCVAGISSLYZLIL-SCLBCKFNSA-N 0 1 314.816 3.466 20 30 DGEDMN Cc1cnc(OC2CCN([C@H](C)c3cccc(C#N)c3)CC2)nc1 ZINC000594020435 400950790 /nfs/dbraw/zinc/95/07/90/400950790.db2.gz IKICBETYNNRIDT-OAHLLOKOSA-N 0 1 322.412 3.261 20 30 DGEDMN Cc1nn(C(C)(C)C)cc1C(=O)C(C#N)c1nc2ccccc2[nH]1 ZINC000181463664 400889001 /nfs/dbraw/zinc/88/90/01/400889001.db2.gz DCMPHTHNECWBRI-LBPRGKRZSA-N 0 1 321.384 3.313 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000181813335 400889749 /nfs/dbraw/zinc/88/97/49/400889749.db2.gz AQMROTGEVGNKMC-HNNXBMFYSA-N 0 1 310.397 3.432 20 30 DGEDMN Cc1cc(C#N)ccc1CN1CCOC[C@H]1Cc1ccccc1 ZINC000614402790 400893832 /nfs/dbraw/zinc/89/38/32/400893832.db2.gz RCOGNMBBKGKPHR-HXUWFJFHSA-N 0 1 306.409 3.310 20 30 DGEDMN C=CCOc1cccc(NS(=O)(=O)c2cc(C)oc2C)c1 ZINC000182786450 400896989 /nfs/dbraw/zinc/89/69/89/400896989.db2.gz OUGJBEITZYDWCK-UHFFFAOYSA-N 0 1 307.371 3.262 20 30 DGEDMN C[C@](CO)(NCc1cccc(OCCCC#N)c1)c1ccccc1 ZINC000237634559 400993148 /nfs/dbraw/zinc/99/31/48/400993148.db2.gz IBYSRXNGMLGHNH-HXUWFJFHSA-N 0 1 324.424 3.366 20 30 DGEDMN CCC(C#N)(CC)C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000599258168 401002490 /nfs/dbraw/zinc/00/24/90/401002490.db2.gz SFHGANZQDJYAHS-OAHLLOKOSA-N 0 1 317.433 3.171 20 30 DGEDMN CCN(CC(=O)NCC(C)(C)C)[C@H](C)c1ccc(C#N)cc1 ZINC000599271499 401007537 /nfs/dbraw/zinc/00/75/37/401007537.db2.gz YNNVTENZWILLNB-CQSZACIVSA-N 0 1 301.434 3.103 20 30 DGEDMN CCOc1cccc(CN(CCO)Cc2ccc(C)c(C#N)c2)c1 ZINC000599435032 401049676 /nfs/dbraw/zinc/04/96/76/401049676.db2.gz PGCWTJPTHNWHHV-UHFFFAOYSA-N 0 1 324.424 3.260 20 30 DGEDMN N#Cc1ccccc1CSCCN[C@H]1CCCn2ccnc21 ZINC000594503359 401084274 /nfs/dbraw/zinc/08/42/74/401084274.db2.gz JZKQKGPCKRSBTM-INIZCTEOSA-N 0 1 312.442 3.113 20 30 DGEDMN C=CC[C@@H]1CCN(Cc2csc(CC(=O)OC(C)C)n2)C1 ZINC000599526988 401068554 /nfs/dbraw/zinc/06/85/54/401068554.db2.gz ZPLDNWLRAYZWBN-CYBMUJFWSA-N 0 1 308.447 3.035 20 30 DGEDMN C=CCOc1ccc(C[N@H+]2CC[C@H](C(=O)[O-])[C@H](C)C2)cc1Cl ZINC000629772727 401085381 /nfs/dbraw/zinc/08/53/81/401085381.db2.gz VYDOVSPSDZJHKG-OCCSQVGLSA-N 0 1 323.820 3.447 20 30 DGEDMN CC(C)C[C@@H](C#N)NC(=O)c1cccc(C(F)(F)F)c1O ZINC000594285604 401040179 /nfs/dbraw/zinc/04/01/79/401040179.db2.gz LDFMXVRDNDRAFG-VIFPVBQESA-N 0 1 300.280 3.079 20 30 DGEDMN Cc1ccccc1CN1CCN(c2ccc(C#N)cc2F)CC1 ZINC000060355762 401109019 /nfs/dbraw/zinc/10/90/19/401109019.db2.gz LOGQMQNWZPGGOI-UHFFFAOYSA-N 0 1 309.388 3.328 20 30 DGEDMN COC(=O)[C@@H]1CN(Cc2ccc(CC#N)cc2)Cc2ccccc21 ZINC000599685121 401114753 /nfs/dbraw/zinc/11/47/53/401114753.db2.gz WNMDXVFZISNBGS-LJQANCHMSA-N 0 1 320.392 3.025 20 30 DGEDMN Cn1c2ccccc2nc1[C@H]1CCCN1CCCSCC#N ZINC000084116506 401129397 /nfs/dbraw/zinc/12/93/97/401129397.db2.gz LOWRNDGOEMDYCT-MRXNPFEDSA-N 0 1 314.458 3.357 20 30 DGEDMN N#CCCCOc1cccc(CN[C@H]2c3ccccc3C[C@@H]2O)c1 ZINC000085333450 401166427 /nfs/dbraw/zinc/16/64/27/401166427.db2.gz QOQQLLXWHGYBPF-PMACEKPBSA-N 0 1 322.408 3.117 20 30 DGEDMN C=C[C@](C)(O)CC(=O)N(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000615822153 401156886 /nfs/dbraw/zinc/15/68/86/401156886.db2.gz UNHFIWUQIZIBOI-HWPZZCPQSA-N 0 1 321.808 3.063 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N(C)[C@@H]1CCCc2ccccc21 ZINC000061758561 401161348 /nfs/dbraw/zinc/16/13/48/401161348.db2.gz MNIPJUUKSMGUJO-GOSISDBHSA-N 0 1 300.446 3.420 20 30 DGEDMN N#C[C@@H]1CC[C@H](N2CCC[C@]3(CC(=O)c4ccccc4O3)C2)C1 ZINC000625804085 401224593 /nfs/dbraw/zinc/22/45/93/401224593.db2.gz WCKBXNSPRITDBN-VCBZYWHSSA-N 0 1 310.397 3.179 20 30 DGEDMN CC(C)N(CC(=O)N(C(C)C)C(C)C)Cc1ccc(C#N)cc1 ZINC000063751129 401214698 /nfs/dbraw/zinc/21/46/98/401214698.db2.gz JCARVHLLMBTNEW-UHFFFAOYSA-N 0 1 315.461 3.414 20 30 DGEDMN C=C(C)Cn1c(Cc2cc(C)n[nH]2)nnc1N(C)[C@H](C)CCC ZINC000634387823 401277908 /nfs/dbraw/zinc/27/79/08/401277908.db2.gz JAJTUBHDOTWEJW-CQSZACIVSA-N 0 1 316.453 3.101 20 30 DGEDMN COc1ccc(C#N)cc1NS(=O)(=O)c1cccc(Cl)c1 ZINC000064479158 401240279 /nfs/dbraw/zinc/24/02/79/401240279.db2.gz QREWJSCPZAJPFO-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN C=CCN(CC=C)C(=O)CN(C1CC1)[C@H]1CCc2ccccc21 ZINC000065951668 401287162 /nfs/dbraw/zinc/28/71/62/401287162.db2.gz GTTIBXDWYWVRFI-IBGZPJMESA-N 0 1 310.441 3.339 20 30 DGEDMN C=CCCO[C@H](C)C(=O)Nc1nc2cc(Cl)c(F)cc2[nH]1 ZINC000622212975 401363663 /nfs/dbraw/zinc/36/36/63/401363663.db2.gz NHEGYEHFSZEKNN-MRVPVSSYSA-N 0 1 311.744 3.275 20 30 DGEDMN C=CCOc1cccc(NC(=O)[C@@H]2CCN2C2CCCC2)c1 ZINC000635417500 401439056 /nfs/dbraw/zinc/43/90/56/401439056.db2.gz TZVVVNKSCDKFFT-KRWDZBQOSA-N 0 1 300.402 3.207 20 30 DGEDMN C=C(C)[C@@H](CO)N[C@H](C)c1ccc(Br)cc1OC ZINC000682540402 549337082 /nfs/dbraw/zinc/33/70/82/549337082.db2.gz SJSLJHRMYVJEOW-ZWNOBZJWSA-N 0 1 314.223 3.045 20 30 DGEDMN C=CC[C@H]1CC[N@H+](CCOc2ccc(C(=O)[O-])cc2Cl)C1 ZINC000629366023 401680448 /nfs/dbraw/zinc/68/04/48/401680448.db2.gz FWCJKVBQCRRYOA-LBPRGKRZSA-N 0 1 309.793 3.315 20 30 DGEDMN N#Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)cc1F ZINC000192070627 165279993 /nfs/dbraw/zinc/27/99/93/165279993.db2.gz ZLALVWMFIGDUBV-NVXWUHKLSA-N 0 1 314.360 3.378 20 30 DGEDMN COc1ccc(C#N)cc1CN[C@@H]1CCCc2c(O)cccc21 ZINC000192361015 165291096 /nfs/dbraw/zinc/29/10/96/165291096.db2.gz QHNLRZSCWBWBJV-QGZVFWFLSA-N 0 1 308.381 3.440 20 30 DGEDMN COCCOc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000269622100 432190407 /nfs/dbraw/zinc/19/04/07/432190407.db2.gz QMYABOAEYUPLDW-UHFFFAOYSA-N 0 1 322.408 3.362 20 30 DGEDMN COc1ccc(CNC2(c3cccc(C#N)c3)CC2)c(OC)c1 ZINC000269644077 432192036 /nfs/dbraw/zinc/19/20/36/432192036.db2.gz YMZRDJHLYOOPDX-UHFFFAOYSA-N 0 1 308.381 3.354 20 30 DGEDMN Cn1cnc2cc(CNC3(c4cccc(C#N)c4)CC3)ccc21 ZINC000271636320 209081360 /nfs/dbraw/zinc/08/13/60/209081360.db2.gz GMERWMBNJUNRJS-UHFFFAOYSA-N 0 1 302.381 3.224 20 30 DGEDMN N#CC1CCN(Cc2ccc(OCc3ccccn3)cc2)CC1 ZINC000057872456 226806769 /nfs/dbraw/zinc/80/67/69/226806769.db2.gz SSIMHQWTZCNGEX-UHFFFAOYSA-N 0 1 307.397 3.396 20 30 DGEDMN CCn1c2ccc(C)cc2nc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000189138188 228477869 /nfs/dbraw/zinc/47/78/69/228477869.db2.gz JAXWDPOMLNSKQG-UHFFFAOYSA-N 0 1 320.352 3.194 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CC[C@H](C)C[C@H]3C)C2)c1 ZINC000329702265 228955911 /nfs/dbraw/zinc/95/59/11/228955911.db2.gz HTJXREBNKAVVQL-LCGIIJARSA-N 0 1 304.438 3.177 20 30 DGEDMN Cc1ccc(Cl)c(OC[C@H](O)CN2CCC(C)(C#N)CC2)c1 ZINC000330841034 229005745 /nfs/dbraw/zinc/00/57/45/229005745.db2.gz NGWSTXUJXWEELX-CQSZACIVSA-N 0 1 322.836 3.014 20 30 DGEDMN N#CCCOCCN1CCC[C@@H](Oc2ccccc2Cl)C1 ZINC000339051617 229072949 /nfs/dbraw/zinc/07/29/49/229072949.db2.gz RRIIOISSHBIFEQ-CQSZACIVSA-N 0 1 308.809 3.113 20 30 DGEDMN OC[C@H](CC(F)(F)F)NCc1ccc(F)c(C(F)(F)F)c1 ZINC000339798111 229082511 /nfs/dbraw/zinc/08/25/11/229082511.db2.gz IRRMLMJSYPFZED-QMMMGPOBSA-N 0 1 319.220 3.247 20 30 DGEDMN N#CCCC[C@@H](NC(=O)[C@@H]1CCCc2[nH]cnc21)c1ccccc1 ZINC000352225174 229248706 /nfs/dbraw/zinc/24/87/06/229248706.db2.gz JCHBOHOEPLDXME-HZPDHXFCSA-N 0 1 322.412 3.381 20 30 DGEDMN COc1cc(Cl)c(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000354459199 229296651 /nfs/dbraw/zinc/29/66/51/229296651.db2.gz CUXDRKDDVGHIPK-VIFPVBQESA-N 0 1 322.151 3.282 20 30 DGEDMN N#CC(C(=O)c1ccc2nccnc2c1)c1nc2ccccc2o1 ZINC000355314208 229308687 /nfs/dbraw/zinc/30/86/87/229308687.db2.gz VCIOYINOPPGYMT-GFCCVEGCSA-N 0 1 314.304 3.261 20 30 DGEDMN CSC[C@@H]1CCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000362958840 269806616 /nfs/dbraw/zinc/80/66/16/269806616.db2.gz SDWCLGZAOWUCSC-ZDUSSCGKSA-N 0 1 312.385 3.487 20 30 DGEDMN CN(Cc1ccc(Br)cc1C#N)[C@H]1CCCC[C@H]1O ZINC000347203416 264175522 /nfs/dbraw/zinc/17/55/22/264175522.db2.gz PMYLANUVRTYZCM-LSDHHAIUSA-N 0 1 323.234 3.056 20 30 DGEDMN CC(C)(CO)[C@@H](NCc1ccc(C#N)s1)c1ccccc1 ZINC000348382839 264399488 /nfs/dbraw/zinc/39/94/88/264399488.db2.gz LTKLMSNBIQACBG-INIZCTEOSA-N 0 1 300.427 3.469 20 30 DGEDMN CCCc1cccc(CN2CCN(c3cc(C#N)ccn3)CC2)c1 ZINC000360821889 266085651 /nfs/dbraw/zinc/08/56/51/266085651.db2.gz NIJMXUCZVQFSRZ-UHFFFAOYSA-N 0 1 320.440 3.228 20 30 DGEDMN CCN(CCC1CC1)CC(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000355060175 266122789 /nfs/dbraw/zinc/12/27/89/266122789.db2.gz NUSRKYGABIOPSZ-UHFFFAOYSA-N 0 1 305.809 3.272 20 30 DGEDMN CC[C@@H]1CN(c2cnccc2C#N)CCN1Cc1ccccc1 ZINC000353290928 266383971 /nfs/dbraw/zinc/38/39/71/266383971.db2.gz ILUGGQRYKSIBHS-GOSISDBHSA-N 0 1 306.413 3.054 20 30 DGEDMN CC[C@H](CC(F)(F)F)N[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000354537220 266395385 /nfs/dbraw/zinc/39/53/85/266395385.db2.gz NYVIFWCVZJSCHU-CMPLNLGQSA-N 0 1 313.323 3.206 20 30 DGEDMN CCCCCNC(=O)CN(Cc1ccc(C#N)cc1)CC(C)C ZINC000519908511 267002257 /nfs/dbraw/zinc/00/22/57/267002257.db2.gz BEKRQMCXKQPYTD-UHFFFAOYSA-N 0 1 315.461 3.323 20 30 DGEDMN C[C@H](NCCNC(=O)CC1CCCC1)c1ccc(C#N)cc1F ZINC000358597772 267182213 /nfs/dbraw/zinc/18/22/13/267182213.db2.gz XOKGADIHCQLWJK-ZDUSSCGKSA-N 0 1 317.408 3.044 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCCN(c2ncccc2F)CC1 ZINC000363903457 267206576 /nfs/dbraw/zinc/20/65/76/267206576.db2.gz LMEJMKMEBPCNCU-OAHLLOKOSA-N 0 1 324.403 3.366 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CC(C)(C)O[C@@]2(CCCOC2)C1 ZINC000361609207 267207169 /nfs/dbraw/zinc/20/71/69/267207169.db2.gz ITDZFJIHJQSPJV-BEFAXECRSA-N 0 1 314.429 3.279 20 30 DGEDMN C[C@H](c1ccc(F)cc1)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000366427315 267207762 /nfs/dbraw/zinc/20/77/62/267207762.db2.gz CILWNVVKHSDEGM-CXAGYDPISA-N 0 1 311.360 3.307 20 30 DGEDMN C[C@H]1CCSCCN1Cc1ccc(C#N)cc1OC(F)F ZINC000348153133 267220539 /nfs/dbraw/zinc/22/05/39/267220539.db2.gz RPUYRTPDGCBTQV-NSHDSACASA-N 0 1 312.385 3.487 20 30 DGEDMN N#Cc1ccc(OCC(=O)Nc2cc(F)cc(Cl)c2O)cc1 ZINC000353888372 268171272 /nfs/dbraw/zinc/17/12/72/268171272.db2.gz KSEHOEXPECIZRR-UHFFFAOYSA-N 0 1 320.707 3.074 20 30 DGEDMN C#CCCN1CCN(c2ccc3ccc(Cl)c(C)c3n2)CC1 ZINC000301986562 273477706 /nfs/dbraw/zinc/47/77/06/273477706.db2.gz KDRSJOPIROLJOY-UHFFFAOYSA-N 0 1 313.832 3.342 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2c2ccccc2)CC1 ZINC000273884874 275381588 /nfs/dbraw/zinc/38/15/88/275381588.db2.gz MBRDDWMMVMGQRE-LJQANCHMSA-N 0 1 310.441 3.085 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000328926399 277228549 /nfs/dbraw/zinc/22/85/49/277228549.db2.gz FFXFYZMBMVEQKX-XQQFMLRXSA-N 0 1 304.438 3.471 20 30 DGEDMN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000328926399 277228550 /nfs/dbraw/zinc/22/85/50/277228550.db2.gz FFXFYZMBMVEQKX-XQQFMLRXSA-N 0 1 304.438 3.471 20 30 DGEDMN COc1cc(C(=O)Nc2scc(C(C)(C)C)c2C#N)on1 ZINC000410925752 277371664 /nfs/dbraw/zinc/37/16/64/277371664.db2.gz HDODNDCKNVIPTK-UHFFFAOYSA-N 0 1 305.359 3.166 20 30 DGEDMN CC(C)CN(C(=O)CN1[C@H](C)CC[C@H]1C)c1ccc(C#N)cc1 ZINC000342039786 278813765 /nfs/dbraw/zinc/81/37/65/278813765.db2.gz LDBLKWBELYWPMY-HZPDHXFCSA-N 0 1 313.445 3.420 20 30 DGEDMN CC(C)C[C@@H]1CCCN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000330142440 279021944 /nfs/dbraw/zinc/02/19/44/279021944.db2.gz QNEUSSHPYVIDOB-ZFWWWQNUSA-N 0 1 304.438 3.459 20 30 DGEDMN C[C@@H](C#N)CSc1ccccc1NC(=O)CN(C)CC1CC1 ZINC000108359935 431136789 /nfs/dbraw/zinc/13/67/89/431136789.db2.gz LTUBIIWESISTPM-ZDUSSCGKSA-N 0 1 317.458 3.219 20 30 DGEDMN C=CCN(Cc1cccc(C#N)c1)C(=O)c1cccc2c[nH]nc21 ZINC000068793016 431140579 /nfs/dbraw/zinc/14/05/79/431140579.db2.gz DECGKFYDASBINS-UHFFFAOYSA-N 0 1 316.364 3.263 20 30 DGEDMN C[C@H](C(=O)Nc1nccs1)N1CCC2(CCOCC2)[C@@H]1C ZINC000329467728 279240981 /nfs/dbraw/zinc/24/09/81/279240981.db2.gz WJWQUQRGNCKPFK-NEPJUHHUSA-N 0 1 309.435 3.011 20 30 DGEDMN CC(C)N(CC(=O)N1CCCC[C@H]1C)Cc1ccc(C#N)cc1 ZINC000069520405 431194279 /nfs/dbraw/zinc/19/42/79/431194279.db2.gz WYKVIDAYQSJMGE-MRXNPFEDSA-N 0 1 313.445 3.170 20 30 DGEDMN C=CCc1ccccc1OC[C@@H](O)CN(C)[C@H](C)c1ccco1 ZINC000069519389 431196817 /nfs/dbraw/zinc/19/68/17/431196817.db2.gz OGWPZLKZKAVPSD-WBVHZDCISA-N 0 1 315.413 3.441 20 30 DGEDMN C[C@@H]1CN(CC(=O)N(C)C2(C#N)CCCCC2)CC(C)(C)C1 ZINC000343995217 288136157 /nfs/dbraw/zinc/13/61/57/288136157.db2.gz PLZWGFCDVBYBRT-HNNXBMFYSA-N 0 1 305.466 3.039 20 30 DGEDMN C=CCN(CC1CCCCC1)C(=O)NCCCc1cn[nH]c1C ZINC000070057183 431238231 /nfs/dbraw/zinc/23/82/31/431238231.db2.gz FZRCOQDESGNIMD-UHFFFAOYSA-N 0 1 318.465 3.429 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccccc1)N1CCCC(C)(C)C1 ZINC000112701927 431298499 /nfs/dbraw/zinc/29/84/99/431298499.db2.gz FXGLCIBIPHSGQW-MRXNPFEDSA-N 0 1 313.445 3.444 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCCC(C)(C)C1 ZINC000112701925 431298845 /nfs/dbraw/zinc/29/88/45/431298845.db2.gz FXGLCIBIPHSGQW-INIZCTEOSA-N 0 1 313.445 3.444 20 30 DGEDMN C[C@H](C#N)Sc1nc(-c2ccccc2Br)n[nH]1 ZINC000007252123 431338087 /nfs/dbraw/zinc/33/80/87/431338087.db2.gz PPYAEIXJOPKRGN-SSDOTTSWSA-N 0 1 309.192 3.238 20 30 DGEDMN C#CCCOc1ccc(CNCc2cccc3c2OCO3)cc1 ZINC000113326293 431341204 /nfs/dbraw/zinc/34/12/04/431341204.db2.gz DHBFRXIVVSOQIT-UHFFFAOYSA-N 0 1 309.365 3.107 20 30 DGEDMN C[C@H](C(=O)N(CCC#N)c1ccc(F)cc1)N1CCC(C)(C)C1 ZINC000076013591 431515789 /nfs/dbraw/zinc/51/57/89/431515789.db2.gz FUBQVKJBPPHMTA-CQSZACIVSA-N 0 1 317.408 3.193 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCCCC[C@H]2c2ccco2)CC1 ZINC000076509649 431554923 /nfs/dbraw/zinc/55/49/23/431554923.db2.gz UUYDBDHZQCILNT-KRWDZBQOSA-N 0 1 314.429 3.069 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC(C)(C)CC(C)(C)C1 ZINC000466940939 280102454 /nfs/dbraw/zinc/10/24/54/280102454.db2.gz SCZINIVBBNRYAW-RDTXWAMCSA-N 0 1 307.482 3.187 20 30 DGEDMN Cc1ccccc1CN1CCN(Cc2cc(C#N)ccc2F)CC1 ZINC000022515733 431634118 /nfs/dbraw/zinc/63/41/18/431634118.db2.gz MKTLENCIEJJZGT-UHFFFAOYSA-N 0 1 323.415 3.324 20 30 DGEDMN C[C@H]1CN(Cc2cccc(OCCCC#N)c2)CC(C)(C)O1 ZINC000119515132 431701961 /nfs/dbraw/zinc/70/19/61/431701961.db2.gz FUCSSUJZFQXKLL-HNNXBMFYSA-N 0 1 302.418 3.368 20 30 DGEDMN C=C[C@H](CC(=O)Nc1cc(CN(C)C)ccn1)c1ccccc1 ZINC000120327626 431727635 /nfs/dbraw/zinc/72/76/35/431727635.db2.gz GOZQHIPHOZCROO-MRXNPFEDSA-N 0 1 309.413 3.442 20 30 DGEDMN N#Cc1ccc(CNCCNc2ncc(Cl)cc2Cl)o1 ZINC000084047069 431814271 /nfs/dbraw/zinc/81/42/71/431814271.db2.gz BWCHZZVWWOMMMT-UHFFFAOYSA-N 0 1 311.172 3.055 20 30 DGEDMN N#CCSCCCSc1nc(-c2ccccc2F)n[nH]1 ZINC000080708679 431776862 /nfs/dbraw/zinc/77/68/62/431776862.db2.gz NIOVQNWVKSQMKN-UHFFFAOYSA-N 0 1 308.407 3.350 20 30 DGEDMN Cc1cc(C)cc(N(CCC#N)C(=O)CN2[C@H](C)CC[C@H]2C)c1 ZINC000123037917 431853089 /nfs/dbraw/zinc/85/30/89/431853089.db2.gz HIWVJISUCCLHQN-IAGOWNOFSA-N 0 1 313.445 3.423 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cncc(Br)c2)n1 ZINC000041520096 431859388 /nfs/dbraw/zinc/85/93/88/431859388.db2.gz AKBCJHZZVSIAEW-JTQLQIEISA-N 0 1 322.187 3.099 20 30 DGEDMN Cc1csc([C@@H](C#N)C(=O)c2cncc(Br)c2)n1 ZINC000041520096 431859389 /nfs/dbraw/zinc/85/93/89/431859389.db2.gz AKBCJHZZVSIAEW-JTQLQIEISA-N 0 1 322.187 3.099 20 30 DGEDMN N#Cc1cccc(C(=O)C(C#N)c2ccc(C(F)(F)F)cn2)c1 ZINC000041556295 431860899 /nfs/dbraw/zinc/86/08/99/431860899.db2.gz ZIAISEMROLPIAJ-ZDUSSCGKSA-N 0 1 315.254 3.462 20 30 DGEDMN C=CCOc1ccc(CN(CCC)CC(=O)N(C)C)cc1Cl ZINC000092382080 431908310 /nfs/dbraw/zinc/90/83/10/431908310.db2.gz ZGGOXBNQSWPVSD-UHFFFAOYSA-N 0 1 324.852 3.205 20 30 DGEDMN C=CCOc1ccc(CN(CC)Cc2cnn(C)c2)cc1OC ZINC000092437941 431909074 /nfs/dbraw/zinc/90/90/74/431909074.db2.gz NNPKPOXMKCEVEO-UHFFFAOYSA-N 0 1 315.417 3.016 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(-c3ccccc3)c2C)n1 ZINC000047358056 431909216 /nfs/dbraw/zinc/90/92/16/431909216.db2.gz USYPKIVLRNTHIY-CQSZACIVSA-N 0 1 322.393 3.436 20 30 DGEDMN N#CCCCCNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093352202 431914548 /nfs/dbraw/zinc/91/45/48/431914548.db2.gz BWZNYGRZGZJAEK-UHFFFAOYSA-N 0 1 307.304 3.316 20 30 DGEDMN C=CCc1cc(CN(C)Cc2cccn2C)cc(OC)c1O ZINC000093584505 431915639 /nfs/dbraw/zinc/91/56/39/431915639.db2.gz NJIPTXRKWKLKFI-UHFFFAOYSA-N 0 1 300.402 3.100 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCC[C@@H]1c1c(C)n[nH]c1C ZINC000091081656 431901104 /nfs/dbraw/zinc/90/11/04/431901104.db2.gz HQHOYSCMBGKRGR-MRXNPFEDSA-N 0 1 310.401 3.244 20 30 DGEDMN C=CCCOCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091406706 431904309 /nfs/dbraw/zinc/90/43/09/431904309.db2.gz BFMDFUWJWKXDBS-GFCCVEGCSA-N 0 1 307.825 3.470 20 30 DGEDMN C=C(Br)CN1CCCC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000124847060 431906196 /nfs/dbraw/zinc/90/61/96/431906196.db2.gz AUPGIQCKALLSRM-MJBXVCDLSA-N 0 1 302.256 3.301 20 30 DGEDMN CC(=O)Nc1cc(C(=O)C(C#N)c2nc(C)cs2)ccc1C ZINC000048788292 431932365 /nfs/dbraw/zinc/93/23/65/431932365.db2.gz PAUUFMGTHQSSOY-CYBMUJFWSA-N 0 1 313.382 3.208 20 30 DGEDMN CC(=O)Nc1cc(C(=O)[C@@H](C#N)c2nc(C)cs2)ccc1C ZINC000048788292 431932367 /nfs/dbraw/zinc/93/23/67/431932367.db2.gz PAUUFMGTHQSSOY-CYBMUJFWSA-N 0 1 313.382 3.208 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@H](C)C(=O)N[C@@H](C)c1ccccc1OC ZINC000125937295 431938726 /nfs/dbraw/zinc/93/87/26/431938726.db2.gz XKOAVNYIIHYADI-LSDHHAIUSA-N 0 1 304.434 3.159 20 30 DGEDMN C=C(C)CN(CC)[C@H](C)C(=O)N[C@@H](C)c1ccccc1OC ZINC000125937295 431938727 /nfs/dbraw/zinc/93/87/27/431938727.db2.gz XKOAVNYIIHYADI-LSDHHAIUSA-N 0 1 304.434 3.159 20 30 DGEDMN C[C@H](CC#N)Sc1ccccc1NC(=O)c1ncccc1O ZINC000126965534 431961849 /nfs/dbraw/zinc/96/18/49/431961849.db2.gz ARGYZOCAKAQERG-LLVKDONJSA-N 0 1 313.382 3.434 20 30 DGEDMN COc1cc(C#N)ccc1OCCN(C)[C@@H](C)c1ccccn1 ZINC000128098557 431987314 /nfs/dbraw/zinc/98/73/14/431987314.db2.gz VVUZKTXVMWERQC-AWEZNQCLSA-N 0 1 311.385 3.034 20 30 DGEDMN C=CCOc1cccc(NC(=O)N2CCN(CC(C)C)CC2)c1 ZINC000056772203 431988488 /nfs/dbraw/zinc/98/84/88/431988488.db2.gz RCROBKRYEWVBLL-UHFFFAOYSA-N 0 1 317.433 3.057 20 30 DGEDMN CC(C)c1ccc(CN(C)[C@@H](C)C(=O)N(C)CCC#N)cc1 ZINC000057597518 431993022 /nfs/dbraw/zinc/99/30/22/431993022.db2.gz NWHZHLRIDAKTTF-HNNXBMFYSA-N 0 1 301.434 3.002 20 30 DGEDMN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1sccc1C#N ZINC000173707625 432295481 /nfs/dbraw/zinc/29/54/81/432295481.db2.gz LNTLNGITJLKMFI-TZMCWYRMSA-N 0 1 305.447 3.459 20 30 DGEDMN C#CCCCN1CCO[C@H](c2ccc(Br)cc2)C1 ZINC000271025408 432317090 /nfs/dbraw/zinc/31/70/90/432317090.db2.gz JXOKZOZSIINZIQ-HNNXBMFYSA-N 0 1 308.219 3.236 20 30 DGEDMN C[C@H](NCc1ccc(C#N)o1)c1ccc(NC(=O)NC2CC2)cc1 ZINC000174274046 432333364 /nfs/dbraw/zinc/33/33/64/432333364.db2.gz AJZYOJXSQKRHQG-LBPRGKRZSA-N 0 1 324.384 3.286 20 30 DGEDMN CSCc1ccccc1NS(=O)(=O)c1ccccc1C#N ZINC000184035111 432347697 /nfs/dbraw/zinc/34/76/97/432347697.db2.gz VQQXAWWWQVWVSS-UHFFFAOYSA-N 0 1 318.423 3.222 20 30 DGEDMN O=C(Nc1ccccc1)[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC000191898812 432310972 /nfs/dbraw/zinc/31/09/72/432310972.db2.gz HMLDPLBJPRCGRJ-IBGZPJMESA-N 0 1 318.420 3.389 20 30 DGEDMN CC[C@@]1(CO)CCCN1Cc1cc(C#N)ccc1Br ZINC000191895073 432311120 /nfs/dbraw/zinc/31/11/20/432311120.db2.gz BGJBKANTQSGCEF-HNNXBMFYSA-N 0 1 323.234 3.058 20 30 DGEDMN C#CCCCN(CC)CC(=O)Nc1ccccc1C(F)(F)F ZINC000271018224 432315629 /nfs/dbraw/zinc/31/56/29/432315629.db2.gz ISOITVZWSCPQHR-UHFFFAOYSA-N 0 1 312.335 3.379 20 30 DGEDMN C#CCOc1ccccc1CN[C@H](C)c1ccc2c(c1)OCO2 ZINC000271490553 432407044 /nfs/dbraw/zinc/40/70/44/432407044.db2.gz OTYRDPAPJOUPGO-CQSZACIVSA-N 0 1 309.365 3.278 20 30 DGEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N1CC[C@@H](C)C2(CCC2)C1 ZINC000271382155 432384063 /nfs/dbraw/zinc/38/40/63/432384063.db2.gz TWZPRISGXZCNDT-CABCVRRESA-N 0 1 311.429 3.397 20 30 DGEDMN CC[C@H](NCCOc1ccc(C#N)cc1)c1nc(C)cs1 ZINC000184376228 432385375 /nfs/dbraw/zinc/38/53/75/432385375.db2.gz KHIJBDCNMJKKFB-HNNXBMFYSA-N 0 1 301.415 3.443 20 30 DGEDMN CC(C)OC(=O)C[C@H]1CCC[N@@H+](Cc2cccc(C#N)c2)C1 ZINC000184467165 432395027 /nfs/dbraw/zinc/39/50/27/432395027.db2.gz TYUKLEOSLHWJSL-QGZVFWFLSA-N 0 1 300.402 3.112 20 30 DGEDMN CC(C)OC(=O)C[C@H]1CCCN(Cc2cccc(C#N)c2)C1 ZINC000184467165 432395030 /nfs/dbraw/zinc/39/50/30/432395030.db2.gz TYUKLEOSLHWJSL-QGZVFWFLSA-N 0 1 300.402 3.112 20 30 DGEDMN COc1ccccc1S(=O)(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000192704684 432403488 /nfs/dbraw/zinc/40/34/88/432403488.db2.gz UCVGALSSEJFSPG-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN N#Cc1c[nH]c(C(=O)Nc2ccc3nc(-c4ccco4)[nH]c3c2)c1 ZINC000175373977 432429666 /nfs/dbraw/zinc/42/96/66/432429666.db2.gz ARPHYYKVWDKDSG-UHFFFAOYSA-N 0 1 317.308 3.275 20 30 DGEDMN CCCCc1nc2ccc(NC(=O)c3cc(C#N)c[nH]3)cc2[nH]1 ZINC000175448495 432436968 /nfs/dbraw/zinc/43/69/68/432436968.db2.gz YUZKIVANBLMEEM-UHFFFAOYSA-N 0 1 307.357 3.358 20 30 DGEDMN C=C(C)[C@@H](NC(=O)CN(CC)C1CC1)c1ccc(F)c(F)c1 ZINC000184857537 432439171 /nfs/dbraw/zinc/43/91/71/432439171.db2.gz FDCQRADCLKMUIO-QGZVFWFLSA-N 0 1 308.372 3.183 20 30 DGEDMN C[C@@H](CC#N)Sc1ccccc1NC(=O)CCc1cnc[nH]1 ZINC000193206569 432457192 /nfs/dbraw/zinc/45/71/92/432457192.db2.gz IMUITEKAOCIKSG-LBPRGKRZSA-N 0 1 314.414 3.375 20 30 DGEDMN C[C@H](CC#N)Sc1ccccc1NC(=O)CCc1cnc[nH]1 ZINC000193206579 432457682 /nfs/dbraw/zinc/45/76/82/432457682.db2.gz IMUITEKAOCIKSG-GFCCVEGCSA-N 0 1 314.414 3.375 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCN(C)C[C@H]1c1ccccc1 ZINC000153626027 432426548 /nfs/dbraw/zinc/42/65/48/432426548.db2.gz QYHVJORSCBVCQS-IBGZPJMESA-N 0 1 321.424 3.056 20 30 DGEDMN N#CCOc1ccc(CNC2(c3cccc(C#N)c3)CC2)cc1 ZINC000271828686 432427020 /nfs/dbraw/zinc/42/70/20/432427020.db2.gz UBWLYAMETJFSCJ-UHFFFAOYSA-N 0 1 303.365 3.240 20 30 DGEDMN COc1cc(NS(=O)(=O)c2ccccc2C#N)ccc1Cl ZINC000193486895 432475479 /nfs/dbraw/zinc/47/54/79/432475479.db2.gz TYDLSEIBSPNGNL-UHFFFAOYSA-N 0 1 322.773 3.021 20 30 DGEDMN Cn1ccnc1COc1ccc(CN2CCC(C)(C#N)CC2)cc1 ZINC000272492297 432511056 /nfs/dbraw/zinc/51/10/56/432511056.db2.gz CHSUOTKONQCFIM-UHFFFAOYSA-N 0 1 324.428 3.125 20 30 DGEDMN Cc1nc2c(cnn2C(C)C)cc1C(=O)C(C#N)c1ccccn1 ZINC000193253734 432461395 /nfs/dbraw/zinc/46/13/95/432461395.db2.gz CBLGIJGZGASNOS-OAHLLOKOSA-N 0 1 319.368 3.206 20 30 DGEDMN C=CC[N@H+](CCc1ccco1)Cc1nc(=O)c2sccc2[n-]1 ZINC000272236124 432466899 /nfs/dbraw/zinc/46/68/99/432466899.db2.gz FQTLUOVRQSVKGM-UHFFFAOYSA-N 0 1 315.398 3.221 20 30 DGEDMN C=CC[N@@H+](CCc1ccco1)Cc1nc(=O)c2sccc2[n-]1 ZINC000272236124 432466903 /nfs/dbraw/zinc/46/69/03/432466903.db2.gz FQTLUOVRQSVKGM-UHFFFAOYSA-N 0 1 315.398 3.221 20 30 DGEDMN C#C[C@H](C)NC(=O)c1cccc(CN(C)Cc2ccccc2)c1 ZINC000186038173 432554608 /nfs/dbraw/zinc/55/46/08/432554608.db2.gz DDCXMTICLFKUTQ-INIZCTEOSA-N 0 1 306.409 3.070 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cnn(CC(C)C)c2C)n1 ZINC000155507154 432557331 /nfs/dbraw/zinc/55/73/31/432557331.db2.gz DSPBVZSNGSUXSX-GFCCVEGCSA-N 0 1 302.403 3.103 20 30 DGEDMN C[C@@H](CNC(=O)OC(C)(C)C)N(C)Cc1ccc(F)c(C#N)c1 ZINC000176881796 432560420 /nfs/dbraw/zinc/56/04/20/432560420.db2.gz IGJCWBOSNSKIEY-LBPRGKRZSA-N 0 1 321.396 3.042 20 30 DGEDMN Cn1c2ccccc2nc1C(C)(C)NCc1cccc(C#N)c1 ZINC000185642168 432518216 /nfs/dbraw/zinc/51/82/16/432518216.db2.gz OPVQPIZWKAYXRK-UHFFFAOYSA-N 0 1 304.397 3.470 20 30 DGEDMN COc1ccccc1[C@H](CNCc1ccc(C#N)o1)OC(C)C ZINC000185721777 432527806 /nfs/dbraw/zinc/52/78/06/432527806.db2.gz QAONTJFGUQBWMU-SFHVURJKSA-N 0 1 314.385 3.416 20 30 DGEDMN CC(=O)c1ccc(C#N)c(NC[C@H](c2ccccc2)N(C)C)c1 ZINC000272592945 432529498 /nfs/dbraw/zinc/52/94/98/432529498.db2.gz WPWLXIQVAGNFHY-LJQANCHMSA-N 0 1 307.397 3.476 20 30 DGEDMN C[C@H](NCc1cccc2c1OCO2)c1ccc(OCC#N)cc1 ZINC000194401603 432548382 /nfs/dbraw/zinc/54/83/82/432548382.db2.gz GVWXTTAMCMXKSU-ZDUSSCGKSA-N 0 1 310.353 3.168 20 30 DGEDMN Cc1ccc(N2CCN(Cc3ccc(OCC#N)cc3)CC2)cc1 ZINC000273550594 432611742 /nfs/dbraw/zinc/61/17/42/432611742.db2.gz MGWWWDOEAQWBPS-UHFFFAOYSA-N 0 1 321.424 3.220 20 30 DGEDMN CCOc1ccc(CNCc2ccc(C#N)s2)cc1OC ZINC000176947097 432568596 /nfs/dbraw/zinc/56/85/96/432568596.db2.gz QGHGDVHDDYTTOV-UHFFFAOYSA-N 0 1 302.399 3.317 20 30 DGEDMN CCOC(=O)[C@]1(C(C)C)CCN(Cc2ccc(F)cc2C#N)C1 ZINC000194874262 432582769 /nfs/dbraw/zinc/58/27/69/432582769.db2.gz DGQTXTWWUKVPTB-GOSISDBHSA-N 0 1 318.392 3.109 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccccc2)[C@@H](CO)C2CC2)c(F)c1 ZINC000155966975 432584212 /nfs/dbraw/zinc/58/42/12/432584212.db2.gz PJKBVDNCDIVQQV-FQEVSTJZSA-N 0 1 324.399 3.470 20 30 DGEDMN N#Cc1ccc(CN(Cc2ccccc2)[C@@H](CO)C2CC2)c(F)c1 ZINC000155966975 432584215 /nfs/dbraw/zinc/58/42/15/432584215.db2.gz PJKBVDNCDIVQQV-FQEVSTJZSA-N 0 1 324.399 3.470 20 30 DGEDMN N#C[C@@H](C(=O)c1csc(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000194987628 432593095 /nfs/dbraw/zinc/59/30/95/432593095.db2.gz HFJVOXHNTZXKCH-JTQLQIEISA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1csc(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000194987628 432593100 /nfs/dbraw/zinc/59/31/00/432593100.db2.gz HFJVOXHNTZXKCH-JTQLQIEISA-N 0 1 308.366 3.387 20 30 DGEDMN CC(C)(C)CN(CCC#N)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000264210915 432626943 /nfs/dbraw/zinc/62/69/43/432626943.db2.gz FUTRQYGVPFYFFO-UHFFFAOYSA-N 0 1 310.401 3.479 20 30 DGEDMN COc1ccc(-c2ccncc2)cc1CN1CCC(C#N)CC1 ZINC000186921761 432638048 /nfs/dbraw/zinc/63/80/48/432638048.db2.gz NQDSGNLCZQFCTB-UHFFFAOYSA-N 0 1 307.397 3.493 20 30 DGEDMN C[C@@H](C(=O)N(CCC#N)c1ccccc1)N1CCCC2(CC2)C1 ZINC000186983832 432640209 /nfs/dbraw/zinc/64/02/09/432640209.db2.gz DIZVSSQZTIPPJT-INIZCTEOSA-N 0 1 311.429 3.198 20 30 DGEDMN C[C@H](c1cccc(C#N)c1)N1CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000187556743 432669533 /nfs/dbraw/zinc/66/95/33/432669533.db2.gz ZGMSCJDGXRZRGE-CZUORRHYSA-N 0 1 315.417 3.218 20 30 DGEDMN CN(C)[C@@H](CNc1cc(C#N)ccc1[N+](=O)[O-])c1ccsc1 ZINC000274326105 432652386 /nfs/dbraw/zinc/65/23/86/432652386.db2.gz LQQUVFLELMAHAK-HNNXBMFYSA-N 0 1 316.386 3.243 20 30 DGEDMN C=C(C)[C@H](CC(=O)Nc1cc(F)cc(Cl)c1O)OCC ZINC000274659605 432695073 /nfs/dbraw/zinc/69/50/73/432695073.db2.gz GGDPDLHBIFFPCR-LBPRGKRZSA-N 0 1 301.745 3.495 20 30 DGEDMN CC1(C#N)CCN(CCOc2ccc(Br)cc2)CC1 ZINC000227353040 432711208 /nfs/dbraw/zinc/71/12/08/432711208.db2.gz RGEPCACTIAFEMF-UHFFFAOYSA-N 0 1 323.234 3.454 20 30 DGEDMN CCc1nc2cc(NC(=O)c3ccc(C#N)c(O)c3)ccc2o1 ZINC000188337590 432719952 /nfs/dbraw/zinc/71/99/52/432719952.db2.gz XIBRWXITSGPLJQ-UHFFFAOYSA-N 0 1 307.309 3.220 20 30 DGEDMN N#CCCCOc1cccc(NC(=O)c2ccc(C#N)c(O)c2)c1 ZINC000188336913 432720913 /nfs/dbraw/zinc/72/09/13/432720913.db2.gz GTBANFKNVMAKMG-UHFFFAOYSA-N 0 1 321.336 3.199 20 30 DGEDMN C=C(C)COc1cc(CN2CC=C(COC)CC2)ccc1OC ZINC000187618324 432672246 /nfs/dbraw/zinc/67/22/46/432672246.db2.gz SQBOHUGZXFQMKK-UHFFFAOYSA-N 0 1 317.429 3.429 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1CCCN(c2nccs2)CC1 ZINC000187731605 432680172 /nfs/dbraw/zinc/68/01/72/432680172.db2.gz HJCAARHWDBAFIG-AWEZNQCLSA-N 0 1 312.442 3.288 20 30 DGEDMN Cn1nc(C2CCCCC2)cc1NC(=O)c1ccc(C#N)c(O)c1 ZINC000188830036 432747209 /nfs/dbraw/zinc/74/72/09/432747209.db2.gz UYQPDWHGSQFYED-UHFFFAOYSA-N 0 1 324.384 3.297 20 30 DGEDMN N#C[C@@H](NC(=O)CCc1cnc[nH]1)c1ccc(Cl)c(Cl)c1 ZINC000188970617 432754967 /nfs/dbraw/zinc/75/49/67/432754967.db2.gz KGLLVZINFIZJQK-CYBMUJFWSA-N 0 1 323.183 3.030 20 30 DGEDMN C[N@@H+](CCOc1ccccc1F)Cc1ccc(F)c(C#N)c1 ZINC000160591310 432730829 /nfs/dbraw/zinc/73/08/29/432730829.db2.gz LXARVLCSMOJUNT-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN CN(CCOc1ccccc1F)Cc1ccc(F)c(C#N)c1 ZINC000160591310 432730833 /nfs/dbraw/zinc/73/08/33/432730833.db2.gz LXARVLCSMOJUNT-UHFFFAOYSA-N 0 1 302.324 3.347 20 30 DGEDMN CC[C@@H](C#N)S(=O)(=O)Nc1cc(Cl)c(F)c(Cl)c1 ZINC000232039741 432735114 /nfs/dbraw/zinc/73/51/14/432735114.db2.gz JKWONZKEKWEODV-ZETCQYMHSA-N 0 1 311.165 3.176 20 30 DGEDMN C=C(C)COc1cc(CN2CC[C@H](O)C(C)(C)C2)ccc1OC ZINC000275684275 432740718 /nfs/dbraw/zinc/74/07/18/432740718.db2.gz ACEJQFCPIZITLI-SFHVURJKSA-N 0 1 319.445 3.243 20 30 DGEDMN COc1cccc([C@H](C)N2CCN([C@H](C)CCC#N)CC2)c1 ZINC000276303328 432794513 /nfs/dbraw/zinc/79/45/13/432794513.db2.gz KKBDHRSJULUXDY-CVEARBPZSA-N 0 1 301.434 3.066 20 30 DGEDMN C=CCCN(C)[C@@H](C)C(=O)Nc1ccccc1Br ZINC000276384786 432801981 /nfs/dbraw/zinc/80/19/81/432801981.db2.gz IODZFEKDWVKTCG-NSHDSACASA-N 0 1 311.223 3.284 20 30 DGEDMN C[C@H](NC(=O)c1[nH]nc2c1CCCCC2)c1ccc(C#N)cc1 ZINC000276429060 432806440 /nfs/dbraw/zinc/80/64/40/432806440.db2.gz ILWKOAMFRMUVKS-LBPRGKRZSA-N 0 1 308.385 3.041 20 30 DGEDMN COCCN(Cc1ccc(C)o1)Cc1ccc(OCC#N)cc1 ZINC000268447380 432872870 /nfs/dbraw/zinc/87/28/70/432872870.db2.gz LRTZHVKDGWNBRL-UHFFFAOYSA-N 0 1 314.385 3.139 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)n[nH]1 ZINC000330187746 432895997 /nfs/dbraw/zinc/89/59/97/432895997.db2.gz UADOCKKRKYWCAH-SPWCGHHHSA-N 0 1 304.438 3.122 20 30 DGEDMN COc1c([O-])c(C[N@H+](CCC#N)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000278272186 432890719 /nfs/dbraw/zinc/89/07/19/432890719.db2.gz RSSLECQCAQBLCF-UHFFFAOYSA-N 0 1 321.377 3.071 20 30 DGEDMN COc1c([O-])c(C[N@@H+](CCC#N)CC(C)(C)C)ccc1[N+](=O)[O-] ZINC000278272186 432890720 /nfs/dbraw/zinc/89/07/20/432890720.db2.gz RSSLECQCAQBLCF-UHFFFAOYSA-N 0 1 321.377 3.071 20 30 DGEDMN N#CC1CCC(CNC(=O)c2n[nH]c3ccc(Cl)cc32)CC1 ZINC000288309499 432890930 /nfs/dbraw/zinc/89/09/30/432890930.db2.gz WVRKFDLXPWRFRD-UHFFFAOYSA-N 0 1 316.792 3.276 20 30 DGEDMN C[C@@H]1CCN(C([O-])=[NH+][C@@H]2CCc3nc(C(C)(C)C)cn3C2)[C@@H]1C ZINC000330092153 432891682 /nfs/dbraw/zinc/89/16/82/432891682.db2.gz YJOQGOZBHGNUBO-MGPQQGTHSA-N 0 1 318.465 3.140 20 30 DGEDMN C[C@H](NC(=O)C1CCCCC1)C(=O)NCCN1CC=CCC1 ZINC000330095027 432891914 /nfs/dbraw/zinc/89/19/14/432891914.db2.gz UTRLRTOOKRJZJX-AWEZNQCLSA-N 0 1 307.438 3.130 20 30 DGEDMN Cc1nnccc1NC(=O)N1CCC(c2ccsc2)CC1 ZINC000330115535 432892925 /nfs/dbraw/zinc/89/29/25/432892925.db2.gz FSHOIIXCSJRVLM-UHFFFAOYSA-N 0 1 302.403 3.272 20 30 DGEDMN CC(C)[C@@H]1CCCN(C(=O)N[C@H]2CCCc3cn[nH]c32)CC1 ZINC000330110703 432893042 /nfs/dbraw/zinc/89/30/42/432893042.db2.gz AKJOQCYEXACFIK-HIFRSBDPSA-N 0 1 304.438 3.459 20 30 DGEDMN O=C(N[C@@H]1CSc2ccccc21)N[C@@H]1CCCc2cn[nH]c21 ZINC000330135459 432894175 /nfs/dbraw/zinc/89/41/75/432894175.db2.gz MVTCBFUYSDWQKI-CHWSQXEVSA-N 0 1 314.414 3.138 20 30 DGEDMN C#Cc1ccc(CNCc2cccc(C(=O)N[C@@H](C)CC)c2)cc1 ZINC000289293032 432941405 /nfs/dbraw/zinc/94/14/05/432941405.db2.gz PWDFUQJDXRDXAO-INIZCTEOSA-N 0 1 320.436 3.486 20 30 DGEDMN COc1cccc([C@H](C)N2CCN([C@H]3CC[C@H](C#N)C3)CC2)c1 ZINC000278585818 432896866 /nfs/dbraw/zinc/89/68/66/432896866.db2.gz KQGFDSAHMISCCK-BQFCYCMXSA-N 0 1 313.445 3.066 20 30 DGEDMN CC(C)N(C([O-])=[NH+][C@H]1CCc2nc(C(C)(C)C)cn2C1)C1CC1 ZINC000330196920 432897875 /nfs/dbraw/zinc/89/78/75/432897875.db2.gz RBWKDUXLWJVCCN-ZDUSSCGKSA-N 0 1 318.465 3.282 20 30 DGEDMN N#Cc1ccccc1CN1CC[C@@](CO)(Cc2ccc(F)cc2)C1 ZINC000288794782 432898687 /nfs/dbraw/zinc/89/86/87/432898687.db2.gz LLCNLUGHNPAJTF-HXUWFJFHSA-N 0 1 324.399 3.124 20 30 DGEDMN O=C(N[C@@H]1Cc2cccc(O)c2C1)[C@H]1CCC[N@H+]1C1CCCC1 ZINC000330276555 432902329 /nfs/dbraw/zinc/90/23/29/432902329.db2.gz NBLCXRHEOKKIPP-RHSMWYFYSA-N 0 1 314.429 3.223 20 30 DGEDMN Cc1cc(C(C#N)C(=O)c2csc(C(C)(C)C)n2)nc(C)n1 ZINC000330413100 432907550 /nfs/dbraw/zinc/90/75/50/432907550.db2.gz BPRVNFGMVGJQEP-LLVKDONJSA-N 0 1 314.414 3.338 20 30 DGEDMN C[C@H](C(=O)N[C@@]1(C#N)CCC[C@@H](C)C1)N(C)Cc1ccccc1 ZINC000330481251 432912714 /nfs/dbraw/zinc/91/27/14/432912714.db2.gz PAYJCWNMOKPLHZ-MDZRGWNJSA-N 0 1 313.445 3.096 20 30 DGEDMN Cn1cc([C@@H]2CSCCN2CCCCC(C)(C)C#N)cn1 ZINC000278910931 432916472 /nfs/dbraw/zinc/91/64/72/432916472.db2.gz VXKDKNPPXPOTAI-HNNXBMFYSA-N 0 1 306.479 3.230 20 30 DGEDMN CCN(C)c1ccc(CNC2(c3cccc(C#N)c3)CC2)cn1 ZINC000341228843 432999454 /nfs/dbraw/zinc/99/94/54/432999454.db2.gz UJXASWBLCFJOLJ-UHFFFAOYSA-N 0 1 306.413 3.188 20 30 DGEDMN Cc1cc(CNCc2ccnc(OC(F)F)c2)ccc1C#N ZINC000341253466 433005843 /nfs/dbraw/zinc/00/58/43/433005843.db2.gz LWGFNBGMAVBHET-UHFFFAOYSA-N 0 1 303.312 3.153 20 30 DGEDMN C#C[C@H](NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1)C(C)C ZINC000297705385 433008216 /nfs/dbraw/zinc/00/82/16/433008216.db2.gz IPHRCVVAMLSYHU-JTQLQIEISA-N 0 1 306.316 3.280 20 30 DGEDMN CN(C)C[C@H](NCc1ccc(C#N)cc1F)c1ccc(F)cc1 ZINC000290194144 433010960 /nfs/dbraw/zinc/01/09/60/433010960.db2.gz TYRIDVNBMFZQJO-SFHVURJKSA-N 0 1 315.367 3.229 20 30 DGEDMN CCOc1cc(CNC2(c3cccc(C#N)c3)CC2)ccc1O ZINC000297759815 433014838 /nfs/dbraw/zinc/01/48/38/433014838.db2.gz UWCLTMPHTNZVJL-UHFFFAOYSA-N 0 1 308.381 3.441 20 30 DGEDMN C=CCC(C)(C)C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000297884129 433026620 /nfs/dbraw/zinc/02/66/20/433026620.db2.gz QCSUGQVLTNVIPM-UHFFFAOYSA-N 0 1 315.461 3.288 20 30 DGEDMN C[C@@H]1CN(Cc2ccc(N(C)CCC#N)cc2)C[C@H](C2CC2)O1 ZINC000280562386 433083873 /nfs/dbraw/zinc/08/38/73/433083873.db2.gz KZKZJMUZJJPKBU-DNVCBOLYSA-N 0 1 313.445 3.036 20 30 DGEDMN C[C@@H](N[C@@H](C)C(=O)N1[C@@H](C)CCC[C@@H]1C)c1ccc(C#N)cc1 ZINC000298428216 433132279 /nfs/dbraw/zinc/13/22/79/433132279.db2.gz VCPNBKSWMNITOR-JONQDZQNSA-N 0 1 313.445 3.387 20 30 DGEDMN Cc1cc(NC[C@H](c2ccccc2Cl)N(C)C)c(C#N)cn1 ZINC000425204076 433120948 /nfs/dbraw/zinc/12/09/48/433120948.db2.gz SUJQFOVJEDZCIO-QGZVFWFLSA-N 0 1 314.820 3.052 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)Cc1cnc(N(C)C)s1 ZINC000425368905 433206782 /nfs/dbraw/zinc/20/67/82/433206782.db2.gz YGRGWAZIRGYXDW-LBPRGKRZSA-N 0 1 300.431 3.274 20 30 DGEDMN C=CCN1CC[C@H](N2CC=C(c3cc(C)cc(C)c3)CC2)C1=O ZINC000337188152 433207595 /nfs/dbraw/zinc/20/75/95/433207595.db2.gz QNHQDXMLECZVIH-IBGZPJMESA-N 0 1 310.441 3.179 20 30 DGEDMN COCC[N@@H+](CCCC1(C#N)CCOCC1)Cc1cccs1 ZINC000337134589 433208779 /nfs/dbraw/zinc/20/87/79/433208779.db2.gz NFSKYOHMKRTABM-UHFFFAOYSA-N 0 1 322.474 3.297 20 30 DGEDMN COc1cc(CN(C)[C@H](C)c2cccc(C#N)c2)cc2c1OCO2 ZINC000425368133 433209186 /nfs/dbraw/zinc/20/91/86/433209186.db2.gz WBEHGMLMNULBHI-CYBMUJFWSA-N 0 1 324.380 3.489 20 30 DGEDMN Cc1cc(CSc2nc(-c3cccnc3)n[nH]2)ccc1C#N ZINC000447300921 433181794 /nfs/dbraw/zinc/18/17/94/433181794.db2.gz FPCHUIRTPWYRMI-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN Cc1nsc(NC[C@H](C)N2CCc3ccccc3C2)c1C#N ZINC000341741744 433244634 /nfs/dbraw/zinc/24/46/34/433244634.db2.gz BDAFTCXFHPOLEV-LBPRGKRZSA-N 0 1 312.442 3.182 20 30 DGEDMN CCS(=O)(=O)CCCCCN(C)[C@H](C)c1cccc(C#N)c1 ZINC000425543979 433292376 /nfs/dbraw/zinc/29/23/76/433292376.db2.gz ZYOJZIUEYPIIRD-OAHLLOKOSA-N 0 1 322.474 3.156 20 30 DGEDMN Cc1cnc([C@H](C)NCCC(=O)Nc2ccccc2C#N)s1 ZINC000299186027 433345506 /nfs/dbraw/zinc/34/55/06/433345506.db2.gz BUUSQSZTAJKLBM-LBPRGKRZSA-N 0 1 314.414 3.003 20 30 DGEDMN CC(C)CN(C)CC(=O)N(CCC#N)c1cccc(Cl)c1 ZINC000299367344 433363383 /nfs/dbraw/zinc/36/33/83/433363383.db2.gz LRYXPTKHSIORSN-UHFFFAOYSA-N 0 1 307.825 3.174 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)CN([C@@H](C)c1ccccc1)C1CC1 ZINC000299347652 433364509 /nfs/dbraw/zinc/36/45/09/433364509.db2.gz GFUZNYVQPPBCHR-HNAYVOBHSA-N 0 1 313.445 3.266 20 30 DGEDMN C#CCN1CCC(C(=O)N(Cc2ccccc2)[C@H](C)C2CC2)CC1 ZINC000299460593 433366536 /nfs/dbraw/zinc/36/65/36/433366536.db2.gz ZUVVKBCGDVYVEH-QGZVFWFLSA-N 0 1 324.468 3.159 20 30 DGEDMN C#CCN1CCC(C(=O)N(CCC)[C@H]2CCc3ccccc32)CC1 ZINC000299443227 433369125 /nfs/dbraw/zinc/36/91/25/433369125.db2.gz PVLSKBKQHNWRRY-FQEVSTJZSA-N 0 1 324.468 3.258 20 30 DGEDMN Cc1nc2ccccn2c1CNC1(c2cccc(C#N)c2)CC1 ZINC000341944556 433371381 /nfs/dbraw/zinc/37/13/81/433371381.db2.gz FVUYSVGDKVMMCM-UHFFFAOYSA-N 0 1 302.381 3.293 20 30 DGEDMN Cc1cc(CN2CCSCC2)ccc1NC(=O)C(C)(C)C#N ZINC000299668165 433375078 /nfs/dbraw/zinc/37/50/78/433375078.db2.gz MURDDHBAKFSHPC-UHFFFAOYSA-N 0 1 317.458 3.032 20 30 DGEDMN N#Cc1ccc(C[N@H+]2CCC[C@@H](C[C@H]3CCOC3)C2)c(F)c1 ZINC000375016121 433331227 /nfs/dbraw/zinc/33/12/27/433331227.db2.gz XOIYZHWMWWULQY-JKSUJKDBSA-N 0 1 302.393 3.336 20 30 DGEDMN C=CCCCS(=O)(=O)Nc1ccc(CN(C)C)c(Cl)c1 ZINC000291932404 433397566 /nfs/dbraw/zinc/39/75/66/433397566.db2.gz WRYKUIAYCYIFFH-UHFFFAOYSA-N 0 1 316.854 3.110 20 30 DGEDMN C[C@@H](c1cccc(F)c1)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000292042922 433439028 /nfs/dbraw/zinc/43/90/28/433439028.db2.gz ASEMMSRLXJXYOK-SUMWQHHRSA-N 0 1 311.360 3.307 20 30 DGEDMN C=CCN1CC[C@H](NC2(c3ccc(Cl)cc3)CCC2)C1=O ZINC000282144887 433512439 /nfs/dbraw/zinc/51/24/39/433512439.db2.gz ZVJGZXTXKYSJRO-HNNXBMFYSA-N 0 1 304.821 3.096 20 30 DGEDMN C[C@@H]1CN(CCCNc2c(C#N)cnc3ccccc32)C[C@H](C)O1 ZINC000301371268 433526463 /nfs/dbraw/zinc/52/64/63/433526463.db2.gz VLJYIMPDFFESBH-GASCZTMLSA-N 0 1 324.428 3.018 20 30 DGEDMN C=CCC[C@H](O)CN(C)[C@]1(c2ccccc2)CCCCC1=O ZINC000351490412 433528337 /nfs/dbraw/zinc/52/83/37/433528337.db2.gz DWLIPVJWCUWIAQ-HKUYNNGSSA-N 0 1 301.430 3.284 20 30 DGEDMN CC[C@H](C(=O)N(C[C@H](C)C#N)C1CC1)N(C)Cc1ccccc1 ZINC000355351947 433539582 /nfs/dbraw/zinc/53/95/82/433539582.db2.gz UYQSWNDYFKBPCA-CRAIPNDOSA-N 0 1 313.445 3.048 20 30 DGEDMN CCCCN(CC(=O)Nc1sc(C)c(C)c1C#N)C1CC1 ZINC000426406413 433488186 /nfs/dbraw/zinc/48/81/86/433488186.db2.gz VBVQGGJGLYTCHV-UHFFFAOYSA-N 0 1 305.447 3.440 20 30 DGEDMN CCCCn1cc(CNCc2ccc(C#N)c(F)c2)c(C)n1 ZINC000421342486 433489949 /nfs/dbraw/zinc/48/99/49/433489949.db2.gz NSKYORDGHGNCIE-UHFFFAOYSA-N 0 1 300.381 3.292 20 30 DGEDMN C[C@@H](c1ccccc1F)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000292321406 433551433 /nfs/dbraw/zinc/55/14/33/433551433.db2.gz VVFSOCWJOQCWID-ZFWWWQNUSA-N 0 1 311.360 3.307 20 30 DGEDMN N#Cc1ccc(F)c(CN2CCC[C@H](C[C@@H]3CCOC3)C2)c1 ZINC000375826853 433580287 /nfs/dbraw/zinc/58/02/87/433580287.db2.gz XVGWWJNWLNFCHD-CVEARBPZSA-N 0 1 302.393 3.336 20 30 DGEDMN C=CCC(C)(C)CNCc1c(Cl)cccc1S(C)(=O)=O ZINC000293077604 433613954 /nfs/dbraw/zinc/61/39/54/433613954.db2.gz VZUMTVDLZBMCLW-UHFFFAOYSA-N 0 1 315.866 3.435 20 30 DGEDMN CC(=Cc1ccc2c(c1)CCCC2)c1nc(C)c(C#N)c(=O)[nH]1 ZINC000426899563 433625334 /nfs/dbraw/zinc/62/53/34/433625334.db2.gz VUABFIHEOCWLND-FMIVXFBMSA-N 0 1 305.381 3.389 20 30 DGEDMN C=C[C@@H](C)NC(=O)NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000362379059 433671093 /nfs/dbraw/zinc/67/10/93/433671093.db2.gz IGGCEXAWZOBGHN-CYBMUJFWSA-N 0 1 321.852 3.178 20 30 DGEDMN C=CCC1(C(=O)NCCN(CC)CCC(F)(F)F)CCC1 ZINC000427215552 433677621 /nfs/dbraw/zinc/67/76/21/433677621.db2.gz BPVVPAXJIIRPQY-UHFFFAOYSA-N 0 1 306.372 3.123 20 30 DGEDMN N#CCCCN1CCCC[C@@H]1COC(=O)NC1CCCCC1 ZINC000376550210 433773526 /nfs/dbraw/zinc/77/35/26/433773526.db2.gz CWAZMMMQBWJHLN-MRXNPFEDSA-N 0 1 307.438 3.204 20 30 DGEDMN Clc1cccc(C#CCN2CCOC[C@H](C3CCC3)C2)c1 ZINC000429251476 433774479 /nfs/dbraw/zinc/77/44/79/433774479.db2.gz CYHKOQLAVQOVFC-QGZVFWFLSA-N 0 1 303.833 3.440 20 30 DGEDMN CC[C@H](C)[N@@H+](CC(=O)[O-])Cc1cccc(OCCCC#N)c1 ZINC000414674509 433784742 /nfs/dbraw/zinc/78/47/42/433784742.db2.gz FDOLTHALMFCAAX-AWEZNQCLSA-N 0 1 304.390 3.054 20 30 DGEDMN CCN(C(=O)c1ccc2cncn2c1)[C@H](C)c1ccc(C#N)cc1 ZINC000339815243 433799181 /nfs/dbraw/zinc/79/91/81/433799181.db2.gz QPOWRDAWOSOUOJ-CQSZACIVSA-N 0 1 318.380 3.429 20 30 DGEDMN CN(Cc1ccccc1)C1CN(c2c(F)cc(C#N)cc2F)C1 ZINC000343628265 433821346 /nfs/dbraw/zinc/82/13/46/433821346.db2.gz KEZXVFCLRLUKSA-UHFFFAOYSA-N 0 1 313.351 3.157 20 30 DGEDMN CC1(C)CN(c2cccnc2C#N)CCN1Cc1ccccc1 ZINC000357260135 433932830 /nfs/dbraw/zinc/93/28/30/433932830.db2.gz HUVCRIIMHGSSGE-UHFFFAOYSA-N 0 1 306.413 3.054 20 30 DGEDMN Cc1ccc(-c2n[nH]c(NC(=O)[C@@]3(C#N)CC34CCCC4)c2C)o1 ZINC000450174220 434012155 /nfs/dbraw/zinc/01/21/55/434012155.db2.gz CBYYPGVCDQPMKM-SFHVURJKSA-N 0 1 324.384 3.699 20 30 DGEDMN C#CCN1CCC(C(=O)N2CC3(CCC3)[C@H]2c2ccccc2)CC1 ZINC000294151746 434014410 /nfs/dbraw/zinc/01/44/10/434014410.db2.gz MDTKPJYORAUSTG-LJQANCHMSA-N 0 1 322.452 3.085 20 30 DGEDMN C[C@H]1C[C@@]1(C#N)C(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000450189681 434018847 /nfs/dbraw/zinc/01/88/47/434018847.db2.gz ALVZPQLAAXFJCB-CPFSXVBKSA-N 0 1 308.263 3.070 20 30 DGEDMN CC[C@](C)(C#N)C(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000285673735 434034766 /nfs/dbraw/zinc/03/47/66/434034766.db2.gz FHTPEQLYNODETE-CYBMUJFWSA-N 0 1 310.279 3.460 20 30 DGEDMN CNc1ccc(C#N)cc1NC(=O)c1cc(C)cc2cn[nH]c21 ZINC000352467412 434043154 /nfs/dbraw/zinc/04/31/54/434043154.db2.gz KETKSLOTPUGYGE-UHFFFAOYSA-N 0 1 305.341 3.037 20 30 DGEDMN C#CCn1ccc(CN(CC)Cc2cc(Cl)c(F)cc2O)n1 ZINC000294813381 434145172 /nfs/dbraw/zinc/14/51/72/434145172.db2.gz WYRRUGYJJZPKNF-UHFFFAOYSA-N 0 1 321.783 3.037 20 30 DGEDMN CCc1nnc(SCc2ccc(Br)cc2C#N)[nH]1 ZINC000286364196 434248420 /nfs/dbraw/zinc/24/84/20/434248420.db2.gz AKWSZWJKKIEYNE-UHFFFAOYSA-N 0 1 323.219 3.294 20 30 DGEDMN CCc1n[nH]c(SCc2ccc(Br)cc2C#N)n1 ZINC000286364196 434248429 /nfs/dbraw/zinc/24/84/29/434248429.db2.gz AKWSZWJKKIEYNE-UHFFFAOYSA-N 0 1 323.219 3.294 20 30 DGEDMN C[C@@H]1CCc2c(C(=O)N[C@H]3CCc4nc[nH]c4C3)csc2C1 ZINC000328694989 434299360 /nfs/dbraw/zinc/29/93/60/434299360.db2.gz GGKSJNUWALNTRW-MNOVXSKESA-N 0 1 315.442 3.458 20 30 DGEDMN CCc1ccc(NS(=O)(=O)c2ccccc2C#N)cc1C ZINC000385039341 434299920 /nfs/dbraw/zinc/29/99/20/434299920.db2.gz FJWODDGOCYQSTH-UHFFFAOYSA-N 0 1 300.383 3.230 20 30 DGEDMN Cn1ccnc1[C@H]1CCCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000365632358 434302019 /nfs/dbraw/zinc/30/20/19/434302019.db2.gz SKJLLQIRRHAXNQ-INIZCTEOSA-N 0 1 313.832 3.305 20 30 DGEDMN Cn1ccnc1[C@@H]1CCCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000365632359 434303980 /nfs/dbraw/zinc/30/39/80/434303980.db2.gz SKJLLQIRRHAXNQ-MRXNPFEDSA-N 0 1 313.832 3.305 20 30 DGEDMN CCC1(CC)[C@H](NC(=O)c2ccc3cncn3c2)C[C@H]1OC ZINC000328795564 434313109 /nfs/dbraw/zinc/31/31/09/434313109.db2.gz CJSLMSJONAHPGM-HUUCEWRRSA-N 0 1 301.390 3.233 20 30 DGEDMN C[C@@H]1CCCCN1CCNC(=O)c1cnc([C@H]2CCCO2)s1 ZINC000328899970 434323474 /nfs/dbraw/zinc/32/34/74/434323474.db2.gz ZHWKSQAXGUGYDU-CHWSQXEVSA-N 0 1 323.462 3.174 20 30 DGEDMN CC1(C)C(=O)Nc2ccc(C(=O)N[C@H]3CCc4nc[nH]c4C3)cc21 ZINC000328945504 434331760 /nfs/dbraw/zinc/33/17/60/434331760.db2.gz MCWKWXLYSHSKFR-NSHDSACASA-N 0 1 324.384 3.151 20 30 DGEDMN Cc1cnn(CC2CCN([C@H](C)C(=O)NC3CCCC3)CC2)c1 ZINC000329144306 434367480 /nfs/dbraw/zinc/36/74/80/434367480.db2.gz MWCYPGMBINQEKG-OAHLLOKOSA-N 0 1 318.465 3.191 20 30 DGEDMN CC(C)[C@@H]1C[C@H](CC(=O)N[C@H]2CCc3nc[nH]c3C2)CCO1 ZINC000329287338 434386053 /nfs/dbraw/zinc/38/60/53/434386053.db2.gz HFWPFTPJYZCPGI-WWGRRREGSA-N 0 1 305.422 3.065 20 30 DGEDMN CC(C)CC1(C)CCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)CC1 ZINC000329318377 434388704 /nfs/dbraw/zinc/38/87/04/434388704.db2.gz FRTFWVWXGGQPTO-HNNXBMFYSA-N 0 1 318.465 3.260 20 30 DGEDMN C=CCCOCCN[C@@H](COC)c1cccc(Cl)c1F ZINC000287553563 434390302 /nfs/dbraw/zinc/39/03/02/434390302.db2.gz FUCAZQIWFLNKHK-AWEZNQCLSA-N 0 1 301.789 3.349 20 30 DGEDMN Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](C)C3(CCC3)C2)n[nH]1 ZINC000329106171 434358457 /nfs/dbraw/zinc/35/84/57/434358457.db2.gz HJBFSUVZJAXDPY-OLZOCXBDSA-N 0 1 304.438 3.075 20 30 DGEDMN COC[C@@H](NCc1ccc(Br)cc1C#N)C(C)C ZINC000394119862 434400296 /nfs/dbraw/zinc/40/02/96/434400296.db2.gz ADXHVGABQBRTGN-CQSZACIVSA-N 0 1 311.223 3.081 20 30 DGEDMN CCO[C@@H]1C[C@@H](N(C)Cc2cccc(C#N)c2F)C12CCC2 ZINC000340877483 434404695 /nfs/dbraw/zinc/40/46/95/434404695.db2.gz BRFXNTMREJZYER-HZPDHXFCSA-N 0 1 302.393 3.477 20 30 DGEDMN [O-]C(=[NH+][C@@H]1CC[C@@H]2CCCC[C@@H]2C1)N1CC[C@@H](n2cccn2)C1 ZINC000329643693 434448078 /nfs/dbraw/zinc/44/80/78/434448078.db2.gz YWQMHXQDVKURIV-YLFCFFPRSA-N 0 1 316.449 3.403 20 30 DGEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H]1C1CCCCC1 ZINC000329502418 434419830 /nfs/dbraw/zinc/41/98/30/434419830.db2.gz AJGAZPLCQYTIOF-RHSMWYFYSA-N 0 1 316.449 3.226 20 30 DGEDMN CC(C)(CC#N)CNC(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000457990220 434432026 /nfs/dbraw/zinc/43/20/26/434432026.db2.gz GRHDDYKFCORRGP-UHFFFAOYSA-N 0 1 322.821 3.461 20 30 DGEDMN Cc1cc([C@H]2CCCN2C(=O)NC2Cc3ccccc3C2)on1 ZINC000329604962 434439866 /nfs/dbraw/zinc/43/98/66/434439866.db2.gz LXMJPLIHDLJEHW-MRXNPFEDSA-N 0 1 311.385 3.201 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@H]3CCCC(C)(C)C3)C2)c1 ZINC000329606386 434440589 /nfs/dbraw/zinc/44/05/89/434440589.db2.gz MKTNIIAFPKIDRR-LSDHHAIUSA-N 0 1 304.438 3.321 20 30 DGEDMN N#CC1(CN[C@]2(Cc3ccc(Cl)cc3)CCOC2)CCC1 ZINC000450920836 434482398 /nfs/dbraw/zinc/48/23/98/434482398.db2.gz BHELXURPHTYNCY-KRWDZBQOSA-N 0 1 304.821 3.325 20 30 DGEDMN Cc1nc(CCNC([O-])=[NH+][C@@H]2CC[C@@H]3CCC[C@@H]3C2)cs1 ZINC000329805451 434484258 /nfs/dbraw/zinc/48/42/58/434484258.db2.gz KUAHXLGVEIUUPT-BFHYXJOUSA-N 0 1 307.463 3.466 20 30 DGEDMN CC[C@@H]1Cc2ccccc2CN1C([O-])=[NH+][C@H]1CCc2c[nH]nc2C1 ZINC000329816686 434484526 /nfs/dbraw/zinc/48/45/26/434484526.db2.gz FAZYDCBATUZBEM-DLBZAZTESA-N 0 1 324.428 3.018 20 30 DGEDMN C[C@@H](Cn1cncn1)NC([O-])=[NH+]C1CCC2(CCCCC2)CC1 ZINC000329887823 434500712 /nfs/dbraw/zinc/50/07/12/434500712.db2.gz XYILLUWSXIAOIZ-AWEZNQCLSA-N 0 1 319.453 3.063 20 30 DGEDMN Cc1cnn([C@@H]2CCN(C([O-])=[NH+][C@@H]3CCC[C@@H](C)CC3)C2)c1 ZINC000329656483 434453353 /nfs/dbraw/zinc/45/33/53/434453353.db2.gz MOCKUILFTGOPLE-FVQBIDKESA-N 0 1 304.438 3.321 20 30 DGEDMN CCc1ccc([C@@H]([NH2+]Cc2cc(C#N)cs2)C(=O)[O-])cc1 ZINC000417581432 434454461 /nfs/dbraw/zinc/45/44/61/434454461.db2.gz HOFGUDNGWHOOPP-OAHLLOKOSA-N 0 1 300.383 3.098 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)[C@@H](C)N1CC[C@@H](SC)C1 ZINC000296111360 434512559 /nfs/dbraw/zinc/51/25/59/434512559.db2.gz DYKVBHIOEPKNGT-ZIAGYGMSSA-N 0 1 324.515 3.089 20 30 DGEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CCC[C@@H]1C1CCCC1 ZINC000330135480 295380447 /nfs/dbraw/zinc/38/04/47/295380447.db2.gz MWGBXBGBQLPNPT-HUUCEWRRSA-N 0 1 302.422 3.356 20 30 DGEDMN C=CCN1CC[C@H](N(C)Cc2ccc(C(C)(C)C)cc2)C1=O ZINC000410313309 434639999 /nfs/dbraw/zinc/63/99/99/434639999.db2.gz MJADHGQPDCJTKK-KRWDZBQOSA-N 0 1 300.446 3.203 20 30 DGEDMN CN(CC(=O)Nc1ccccc1SCC#N)C1CC(C)(C)C1 ZINC000459745279 434692549 /nfs/dbraw/zinc/69/25/49/434692549.db2.gz SIQSZVMNZMRWOH-UHFFFAOYSA-N 0 1 317.458 3.361 20 30 DGEDMN CC(C)(C)Oc1ccc(NC(=O)CCc2cnc[nH]2)cc1C#N ZINC000452672988 434675484 /nfs/dbraw/zinc/67/54/84/434675484.db2.gz CNFXOHWWHKBNGC-UHFFFAOYSA-N 0 1 312.373 3.030 20 30 DGEDMN Cc1cc(NS(=O)(=O)c2cccc(C)c2C#N)ccc1F ZINC000440837066 434748788 /nfs/dbraw/zinc/74/87/88/434748788.db2.gz WSDVXUAPHRARKV-UHFFFAOYSA-N 0 1 304.346 3.115 20 30 DGEDMN COC[C@@H](NCc1ccc(C#N)c(OC)c1)c1ccc(F)cc1 ZINC000440824475 434749912 /nfs/dbraw/zinc/74/99/12/434749912.db2.gz YGPPRMSRQHRJDW-QGZVFWFLSA-N 0 1 314.360 3.183 20 30 DGEDMN N#Cc1ccc(C2(c3nc(C4(N)CCCCC4)no3)CC2)cc1 ZINC000424340347 434703595 /nfs/dbraw/zinc/70/35/95/434703595.db2.gz GHRIRWGOMWKZSI-UHFFFAOYSA-N 0 1 308.385 3.139 20 30 DGEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N[C@H](C)c1ccc(Cl)cc1 ZINC000459785768 434719655 /nfs/dbraw/zinc/71/96/55/434719655.db2.gz KIWYLHDFOXQIEY-PBFPGSCMSA-N 0 1 321.852 3.434 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@H](C)C(=O)NC(C)(C)C ZINC000411166718 434736274 /nfs/dbraw/zinc/73/62/74/434736274.db2.gz MNACHLINQHMRON-CQSZACIVSA-N 0 1 301.434 3.073 20 30 DGEDMN C[C@@H]1[C@H](C)CN(CC(=O)Nc2cc(Cl)ccc2C#N)[C@H]1C ZINC000419339028 434775695 /nfs/dbraw/zinc/77/56/95/434775695.db2.gz CQZUXYLTHKLTOZ-UTUOFQBUSA-N 0 1 305.809 3.126 20 30 DGEDMN Cc1sc(NC(=O)CN2C[C@H](C)[C@H](C)[C@@H]2C)c(C#N)c1C ZINC000419369216 434778798 /nfs/dbraw/zinc/77/87/98/434778798.db2.gz HGUGKDHQVBWAMF-NHCYSSNCSA-N 0 1 305.447 3.151 20 30 DGEDMN N#CC1(CCN2CCN(Cc3ccncc3)CC2)CCCCC1 ZINC000411422114 434779735 /nfs/dbraw/zinc/77/97/35/434779735.db2.gz SKRBXDYHKXNQBY-UHFFFAOYSA-N 0 1 312.461 3.063 20 30 DGEDMN C=CC[C@H]1N([C@@H]2CCN(CC(F)(F)F)C2=O)CCCC1(C)C ZINC000444778946 434789601 /nfs/dbraw/zinc/78/96/01/434789601.db2.gz KCENCGNDSQEYJN-CHWSQXEVSA-N 0 1 318.383 3.216 20 30 DGEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000491963334 434891184 /nfs/dbraw/zinc/89/11/84/434891184.db2.gz YCUCEDBQZFIUKJ-KGLIPLIRSA-N 0 1 307.463 3.101 20 30 DGEDMN COc1ccc([C@@H](NCc2cccc(C#N)c2F)C2CC2)cn1 ZINC000528854518 435029811 /nfs/dbraw/zinc/02/98/11/435029811.db2.gz WJYGNQDZAGCTCV-SFHVURJKSA-N 0 1 311.360 3.342 20 30 DGEDMN Cc1n[nH]c(C(F)F)c1NC(=O)C=Cc1ccc(C#N)cc1 ZINC000492528881 435004011 /nfs/dbraw/zinc/00/40/11/435004011.db2.gz GAFQTUWMWWQCGZ-SREVYHEPSA-N 0 1 302.284 3.179 20 30 DGEDMN CCC[C@@]1(C)CCCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000464835552 435006894 /nfs/dbraw/zinc/00/68/94/435006894.db2.gz IULIDKXVEVRRRV-KRWDZBQOSA-N 0 1 305.466 3.231 20 30 DGEDMN COc1ccc2nc(CN3CCC(CC#N)CC3)sc2c1 ZINC000530441764 435044416 /nfs/dbraw/zinc/04/44/16/435044416.db2.gz VOIKIVQPMPNPGT-UHFFFAOYSA-N 0 1 301.415 3.431 20 30 DGEDMN C=CC[C@@H](C)NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000530841526 435054560 /nfs/dbraw/zinc/05/45/60/435054560.db2.gz UHDCZLBDEWSQEK-IUODEOHRSA-N 0 1 309.841 3.207 20 30 DGEDMN CC[C@@H](CC#N)NCCC(=O)Nc1cccc(Br)c1 ZINC000576119117 435054682 /nfs/dbraw/zinc/05/46/82/435054682.db2.gz DDKQIXWZGODHBQ-LBPRGKRZSA-N 0 1 324.222 3.060 20 30 DGEDMN CN(Cc1cnc2c(C#N)cnn2c1)[C@@H]1CCCc2ccccc21 ZINC000578749779 435107334 /nfs/dbraw/zinc/10/73/34/435107334.db2.gz GYTQJMIYZNOZSQ-GOSISDBHSA-N 0 1 317.396 3.110 20 30 DGEDMN C=CCCN(C)CC(=O)Nc1ccc(Br)c(C)c1 ZINC000467295033 435115315 /nfs/dbraw/zinc/11/53/15/435115315.db2.gz HLNSMHVVSZWFNC-UHFFFAOYSA-N 0 1 311.223 3.204 20 30 DGEDMN C=CCN(CCc1ccco1)Cc1cnc(CCOC)s1 ZINC000638917495 435116796 /nfs/dbraw/zinc/11/67/96/435116796.db2.gz FYZLMLPWAFNLEI-UHFFFAOYSA-N 0 1 306.431 3.156 20 30 DGEDMN CCCCN(CC(=O)Nc1cc(Cl)ccc1C#N)C1CC1 ZINC000532921507 435198535 /nfs/dbraw/zinc/19/85/35/435198535.db2.gz UVHSTUFCYFIAOU-UHFFFAOYSA-N 0 1 305.809 3.415 20 30 DGEDMN N#Cc1ccc(OCCN2Cc3ccc(O)cc3C3(CC3)C2)cc1 ZINC000579421662 435152433 /nfs/dbraw/zinc/15/24/33/435152433.db2.gz AVXFBBKOLKILJL-UHFFFAOYSA-N 0 1 320.392 3.190 20 30 DGEDMN C[C@@H]1CCN(CC(=O)Nc2sccc2C#N)[C@@H]2CCCC[C@@H]12 ZINC000532471722 435167452 /nfs/dbraw/zinc/16/74/52/435167452.db2.gz ULNHETVOCPILSH-VHDGCEQUSA-N 0 1 317.458 3.459 20 30 DGEDMN C[C@@H]1CC[C@H](NCC2(C#N)CCC2)CN1C(=O)OC(C)(C)C ZINC000496480709 435215483 /nfs/dbraw/zinc/21/54/83/435215483.db2.gz NGELDLAYDCHHLD-KGLIPLIRSA-N 0 1 307.438 3.058 20 30 DGEDMN CN(CC[C@H]1CCN(C(=O)OC(C)(C)C)C1)CC(C)(C)C#N ZINC000496716933 435243568 /nfs/dbraw/zinc/24/35/68/435243568.db2.gz OBUPJDHHSQLIOF-AWEZNQCLSA-N 0 1 309.454 3.115 20 30 DGEDMN C=C(C)COCCN[C@@H](COC)c1cccc(Cl)c1F ZINC000562052765 435248472 /nfs/dbraw/zinc/24/84/72/435248472.db2.gz LKIRBDZCGRHWIE-AWEZNQCLSA-N 0 1 301.789 3.349 20 30 DGEDMN CCc1oc(CN2CCC(CCC#N)CC2)cc1C(=O)OC ZINC000563158102 435264988 /nfs/dbraw/zinc/26/49/88/435264988.db2.gz MKEAWEHOBOCCRR-UHFFFAOYSA-N 0 1 304.390 3.144 20 30 DGEDMN COc1cc(C)ccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC000564823506 435273283 /nfs/dbraw/zinc/27/32/83/435273283.db2.gz YIXNJZZJSOOHCC-CQSZACIVSA-N 0 1 317.348 3.437 20 30 DGEDMN CC(C)N(Cc1cnc(N(C)C)s1)Cc1ccc(C#N)cc1 ZINC000507174905 435309457 /nfs/dbraw/zinc/30/94/57/435309457.db2.gz TURQBFPGMRFWTC-UHFFFAOYSA-N 0 1 314.458 3.491 20 30 DGEDMN CC(C)c1nc2c([nH]1)CC[C@@H](C(=O)Nc1ccccc1CC#N)C2 ZINC000566805019 435289686 /nfs/dbraw/zinc/28/96/86/435289686.db2.gz CIOYZUNCBXHVQB-CQSZACIVSA-N 0 1 322.412 3.343 20 30 DGEDMN C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CCC(CCC#N)CC1 ZINC000566852674 435290051 /nfs/dbraw/zinc/29/00/51/435290051.db2.gz LJIXCZTZVHCOIV-INMHGKMJSA-N 0 1 305.466 3.086 20 30 DGEDMN C=CCOc1ccc(CN2CC[C@H](c3nnc[nH]3)C2)cc1Cl ZINC000567722888 435347346 /nfs/dbraw/zinc/34/73/46/435347346.db2.gz ORWFIDINCHYRAE-ZDUSSCGKSA-N 0 1 318.808 3.012 20 30 DGEDMN C=C(C)[C@@H](NC(=O)CN1CCC(C)CC1)c1ccc(F)c(F)c1 ZINC000478910726 435314749 /nfs/dbraw/zinc/31/47/49/435314749.db2.gz MUJVLOBQWLACAO-GOSISDBHSA-N 0 1 322.399 3.430 20 30 DGEDMN Cn1cncc1CCCN1CCC[C@@H]1[C@@H](C#N)c1ccccc1 ZINC000514869940 435446164 /nfs/dbraw/zinc/44/61/64/435446164.db2.gz DWNYDULDKLYHKF-RBUKOAKNSA-N 0 1 308.429 3.124 20 30 DGEDMN COC(=O)[C@@H]1CCCCCC[C@H]1NCc1ccc(F)cc1C#N ZINC000481438040 435427610 /nfs/dbraw/zinc/42/76/10/435427610.db2.gz REZHGKYQVLPZJL-IAGOWNOFSA-N 0 1 318.392 3.299 20 30 DGEDMN N#Cc1cc(N2CCC[C@@H]2c2nc3ccc(Cl)cc3[nH]2)ncn1 ZINC000569428004 435532644 /nfs/dbraw/zinc/53/26/44/435532644.db2.gz OJGVPFBRECOBEI-CQSZACIVSA-N 0 1 324.775 3.219 20 30 DGEDMN N#Cc1cc(N2CCC[C@H]2c2nc3ccc(Cl)cc3[nH]2)ncn1 ZINC000569428003 435533129 /nfs/dbraw/zinc/53/31/29/435533129.db2.gz OJGVPFBRECOBEI-AWEZNQCLSA-N 0 1 324.775 3.219 20 30 DGEDMN C=C(C)[C@H](CO)N(C)Cc1c(C)nn(-c2ccccc2C)c1C ZINC000649255341 435550246 /nfs/dbraw/zinc/55/02/46/435550246.db2.gz SKHHNPKHISRJAZ-IBGZPJMESA-N 0 1 313.445 3.166 20 30 DGEDMN CN1CCN(CC#Cc2ccc(F)cc2)[C@H](c2ccccc2)C1 ZINC000539482624 435553909 /nfs/dbraw/zinc/55/39/09/435553909.db2.gz SAZFITMNNUOVJV-FQEVSTJZSA-N 0 1 308.400 3.166 20 30 DGEDMN C=C(C)[C@H](CO)N(CC)Cc1cc(Br)ccc1F ZINC000649273625 435555455 /nfs/dbraw/zinc/55/54/55/435555455.db2.gz YSKLEOWYMGPAQD-AWEZNQCLSA-N 0 1 316.214 3.347 20 30 DGEDMN C=CCCCCN(CC)CC(=O)Nc1ccc(NC(C)=O)cc1 ZINC000649242466 435546406 /nfs/dbraw/zinc/54/64/06/435546406.db2.gz VQJFOEHHOINKCI-UHFFFAOYSA-N 0 1 317.433 3.262 20 30 DGEDMN C=C(C)C[N@H+](CC)[C@@H](C(=O)[O-])c1cccc(Br)c1 ZINC000570403240 435616551 /nfs/dbraw/zinc/61/65/51/435616551.db2.gz LLQPNLJJNLGKGS-CYBMUJFWSA-N 0 1 312.207 3.473 20 30 DGEDMN C[C@H](C(=O)Nc1ccccc1-c1nnc[nH]1)c1cccc(C#N)c1 ZINC000486909379 435620944 /nfs/dbraw/zinc/62/09/44/435620944.db2.gz FQQHIWRQNSDIGH-LBPRGKRZSA-N 0 1 317.352 3.086 20 30 DGEDMN N#CC1(CN2CCC[C@H]2c2n[nH]c(-c3ccccc3)n2)CCC1 ZINC000517682421 435606474 /nfs/dbraw/zinc/60/64/74/435606474.db2.gz JMMXJCXVPCKPEE-HNNXBMFYSA-N 0 1 307.401 3.302 20 30 DGEDMN N#CC1(CN2CCC[C@H]2c2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000517682421 435606479 /nfs/dbraw/zinc/60/64/79/435606479.db2.gz JMMXJCXVPCKPEE-HNNXBMFYSA-N 0 1 307.401 3.302 20 30 DGEDMN CC[C@](C)(C#N)C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000570824408 435654056 /nfs/dbraw/zinc/65/40/56/435654056.db2.gz YLQJLZDYHMPLRL-MAUKXSAKSA-N 0 1 310.401 3.475 20 30 DGEDMN C=CCCCn1cc([C@H](N)c2ccc(Br)cc2)nn1 ZINC000640936466 435654814 /nfs/dbraw/zinc/65/48/14/435654814.db2.gz YRJIGKVWLXUAQT-CQSZACIVSA-N 0 1 321.222 3.055 20 30 DGEDMN C#CCN(C(=O)c1cccc(Br)c1O)C1CCCC1 ZINC000490897725 435687955 /nfs/dbraw/zinc/68/79/55/435687955.db2.gz FCBZJBUQOLGKQB-UHFFFAOYSA-N 0 1 322.202 3.173 20 30 DGEDMN C#CCN(CC)C(=O)c1cccc2[nH]c(-c3cccs3)nc21 ZINC000490971734 435693841 /nfs/dbraw/zinc/69/38/41/435693841.db2.gz DBXVUHBRZFOAAI-UHFFFAOYSA-N 0 1 309.394 3.387 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)C2CCC(C(C)C)CC2)CC1 ZINC000491266208 435724064 /nfs/dbraw/zinc/72/40/64/435724064.db2.gz OJKZCDZYZCDEGW-UHFFFAOYSA-N 0 1 304.478 3.005 20 30 DGEDMN CC(C)CN(CC(=O)N[C@@H](C)C(C)C)Cc1ccc(C#N)cc1 ZINC000520173717 435726822 /nfs/dbraw/zinc/72/68/22/435726822.db2.gz XZBCKERIQZQYAH-INIZCTEOSA-N 0 1 315.461 3.177 20 30 DGEDMN N#CCOc1ccc(C=CC(=O)Nc2ccc3nc[nH]c3c2)cc1 ZINC000491302908 435728611 /nfs/dbraw/zinc/72/86/11/435728611.db2.gz FHRXNHMNVFRZLI-BAQGIRSFSA-N 0 1 318.336 3.117 20 30 DGEDMN C=CCN1CC[C@H](NC2(c3ccc(C(F)(F)F)cc3)CC2)C1=O ZINC000649886311 435731925 /nfs/dbraw/zinc/73/19/25/435731925.db2.gz WMEGLYYBRQNSLS-AWEZNQCLSA-N 0 1 324.346 3.071 20 30 DGEDMN COc1cc(OC)c(CN2CCC(CCC#N)CC2)c(OC)c1 ZINC000519770267 435708330 /nfs/dbraw/zinc/70/83/30/435708330.db2.gz VJVPJWIMCIVSGQ-UHFFFAOYSA-N 0 1 318.417 3.228 20 30 DGEDMN N#Cc1ccc(CN2CCC(OCCc3ccccc3)CC2)nc1 ZINC000571460484 435708571 /nfs/dbraw/zinc/70/85/71/435708571.db2.gz UDCJFWDXHNJFIX-UHFFFAOYSA-N 0 1 321.424 3.177 20 30 DGEDMN CC#CC[C@@H](CO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000641767639 435815195 /nfs/dbraw/zinc/81/51/95/435815195.db2.gz NDZIZUYVASHQSP-LBPRGKRZSA-N 0 1 305.324 3.089 20 30 DGEDMN C=C[C@H](CO)NCc1cnn(C2CCCC2)c1-c1ccccc1 ZINC000641775022 435819349 /nfs/dbraw/zinc/81/93/49/435819349.db2.gz SOJFHRFFVJACTK-QGZVFWFLSA-N 0 1 311.429 3.302 20 30 DGEDMN C#C[C@@H](NCc1cnc(-c2ccccc2OC)nc1)C(C)(C)C ZINC000491633144 435783193 /nfs/dbraw/zinc/78/31/93/435783193.db2.gz INEQIVLMSLLLQN-QGZVFWFLSA-N 0 1 309.413 3.290 20 30 DGEDMN CC(C)c1ccc(CNCc2cnc3c(C#N)cnn3c2)cc1 ZINC000551195705 435824197 /nfs/dbraw/zinc/82/41/97/435824197.db2.gz FEJNTLMJRXUPBD-UHFFFAOYSA-N 0 1 305.385 3.014 20 30 DGEDMN C[C@H](CCO)N(Cc1ccc(C#N)s1)Cc1ccccc1 ZINC000572972174 435886554 /nfs/dbraw/zinc/88/65/54/435886554.db2.gz QVNWJAJXVSEXIO-CQSZACIVSA-N 0 1 300.427 3.393 20 30 DGEDMN CN(CC#Cc1ccc(Cl)cc1)[C@@H](CO)Cc1ccccc1 ZINC000661092881 435962807 /nfs/dbraw/zinc/96/28/07/435962807.db2.gz GGIMONCUACEZHY-LJQANCHMSA-N 0 1 313.828 3.227 20 30 DGEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)NCCCc1cn[nH]c1C ZINC000656536662 435969727 /nfs/dbraw/zinc/96/97/27/435969727.db2.gz QCEZEGGZZBQZET-GOEBONIOSA-N 0 1 304.438 3.085 20 30 DGEDMN C=CC[C@H]1CCC[N@H+]([C@H](C(=O)[O-])c2cc(OC)cc(OC)c2)C1 ZINC000656760714 436028203 /nfs/dbraw/zinc/02/82/03/436028203.db2.gz YBONLPZKHVSGKH-GUYCJALGSA-N 0 1 319.401 3.118 20 30 DGEDMN N#CC(C(=O)c1cccc2c1OCO2)c1nc(C2CC2)cs1 ZINC000647377591 436036197 /nfs/dbraw/zinc/03/61/97/436036197.db2.gz XHWRZKACMAFYHK-LLVKDONJSA-N 0 1 312.350 3.239 20 30 DGEDMN N#C[C@H](C(=O)c1cccc2c1OCO2)c1nc(C2CC2)cs1 ZINC000647377591 436036200 /nfs/dbraw/zinc/03/62/00/436036200.db2.gz XHWRZKACMAFYHK-LLVKDONJSA-N 0 1 312.350 3.239 20 30 DGEDMN C=CCCn1cc(CNCC2(Sc3ccccc3)CC2)nn1 ZINC000657356039 436154073 /nfs/dbraw/zinc/15/40/73/436154073.db2.gz FTFPIRSHEUAMAV-UHFFFAOYSA-N 0 1 314.458 3.269 20 30 DGEDMN C=CCCn1cc(CNCC2(c3ccc(Cl)cc3)CC2)nn1 ZINC000657345637 436148851 /nfs/dbraw/zinc/14/88/51/436148851.db2.gz WHUNBJCVDHTXRE-UHFFFAOYSA-N 0 1 316.836 3.329 20 30 DGEDMN C=CCCn1cc(CNC[C@H](C)Oc2cccc(Cl)c2)nn1 ZINC000657378016 436166342 /nfs/dbraw/zinc/16/63/42/436166342.db2.gz TVHHQYLITMEZCV-ZDUSSCGKSA-N 0 1 320.824 3.065 20 30 DGEDMN Fc1ccc(C#CCN2CCC([C@H]3CCCCO3)CC2)cc1 ZINC000661866391 436215373 /nfs/dbraw/zinc/21/53/73/436215373.db2.gz YTVOQOKYKYOIAW-LJQANCHMSA-N 0 1 301.405 3.458 20 30 DGEDMN C=CCCn1cc(CNCC2(c3ccccc3F)CCC2)nn1 ZINC000657500171 436229692 /nfs/dbraw/zinc/22/96/92/436229692.db2.gz IJTLZLYKIBVRQY-UHFFFAOYSA-N 0 1 314.408 3.205 20 30 DGEDMN C=CCCn1cc(CN2CC[C@H](c3ccc(CC)cc3)C2)nn1 ZINC000653700088 436247352 /nfs/dbraw/zinc/24/73/52/436247352.db2.gz RFGOVCHABMSOAL-SFHVURJKSA-N 0 1 310.445 3.406 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)Nc1cc(C)ccc1OC ZINC000662078219 436265847 /nfs/dbraw/zinc/26/58/47/436265847.db2.gz CVRYNRWEBUXQFI-GASCZTMLSA-N 0 1 300.402 3.125 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1cc(C)ccc1OC ZINC000662078219 436265848 /nfs/dbraw/zinc/26/58/48/436265848.db2.gz CVRYNRWEBUXQFI-GASCZTMLSA-N 0 1 300.402 3.125 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CCCS(=O)(=O)c1cccc(C)c1 ZINC000662089515 436271541 /nfs/dbraw/zinc/27/15/41/436271541.db2.gz BIEFOSBLJOCLKK-CALCHBBNSA-N 0 1 319.470 3.342 20 30 DGEDMN FC(F)(F)c1ccc(C#CCN[C@@H]2CCCn3nccc32)cc1 ZINC000657922368 436341305 /nfs/dbraw/zinc/34/13/05/436341305.db2.gz JPGGZWXXBQPGBE-OAHLLOKOSA-N 0 1 319.330 3.378 20 30 DGEDMN C=CCCC[N@H+](C)[C@@H](C(=O)[O-])c1ccccc1Br ZINC000659787266 436525181 /nfs/dbraw/zinc/52/51/81/436525181.db2.gz CQANDHJVMAZYMT-CYBMUJFWSA-N 0 1 312.207 3.473 20 30 DGEDMN C=CC[C@H]1CCCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000664253504 436579693 /nfs/dbraw/zinc/57/96/93/436579693.db2.gz OJYRKPRUJXMLPG-VIFPVBQESA-N 0 1 311.311 3.164 20 30 DGEDMN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1=O)c1cccc(C#N)c1 ZINC000174358914 312763041 /nfs/dbraw/zinc/76/30/41/312763041.db2.gz XFKUVTHVDKEONH-CXAGYDPISA-N 0 1 323.371 3.153 20 30 DGEDMN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(C#N)cn2)C1(C)C ZINC000565158583 315235415 /nfs/dbraw/zinc/23/54/15/315235415.db2.gz TWBBRTPJBZSYAM-IAGOWNOFSA-N 0 1 301.434 3.369 20 30 DGEDMN N#Cc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)nc1 ZINC000565172193 315238207 /nfs/dbraw/zinc/23/82/07/315238207.db2.gz POROILPYCSHJRB-INIZCTEOSA-N 0 1 313.426 3.008 20 30 DGEDMN CC[C@H](N[C@H](CO)c1ccccc1OC)c1ccc(C#N)cc1 ZINC000572469011 315794929 /nfs/dbraw/zinc/79/49/29/315794929.db2.gz HDUOIORXVIECBS-ZWKOTPCHSA-N 0 1 310.397 3.341 20 30 DGEDMN N#Cc1ccc(C(=O)Nc2ccc3nc(C(F)F)[nH]c3c2)nc1 ZINC000179837351 323215443 /nfs/dbraw/zinc/21/54/43/323215443.db2.gz MXCINHDPSHPWAP-UHFFFAOYSA-N 0 1 313.267 3.019 20 30 DGEDMN Cc1cnc([C@H](C)NCCC(=O)Nc2sccc2C#N)s1 ZINC000185007670 323378211 /nfs/dbraw/zinc/37/82/11/323378211.db2.gz WJDPBSJAUYRVSQ-JTQLQIEISA-N 0 1 320.443 3.064 20 30 DGEDMN Cc1cnc([C@@H](NCCOc2cccc(C#N)c2)C2CC2)s1 ZINC000185274719 323385794 /nfs/dbraw/zinc/38/57/94/323385794.db2.gz SIJBVOWKYLSIRP-INIZCTEOSA-N 0 1 313.426 3.443 20 30 DGEDMN N#Cc1ccc(CN(C[C@@H]2CCCO2)[C@@H]2CCSC2)s1 ZINC000580960215 324058223 /nfs/dbraw/zinc/05/82/23/324058223.db2.gz HKQPZLKSRVMUTP-OLZOCXBDSA-N 0 1 308.472 3.106 20 30 DGEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)c2ccc(C)cc2C)CC1 ZINC000299565662 331695761 /nfs/dbraw/zinc/69/57/61/331695761.db2.gz SWXWEVUUVNYLKB-QGZVFWFLSA-N 0 1 312.457 3.168 20 30 DGEDMN N#CCCC1CCN(CC(=O)NCCC2CCCCC2)CC1 ZINC000569599571 332192593 /nfs/dbraw/zinc/19/25/93/332192593.db2.gz UWHVIOURYWALQF-UHFFFAOYSA-N 0 1 305.466 3.089 20 30 DGEDMN C=CCCCCCN(C)[C@H](C)C(=O)Nc1c(C)nn(C)c1C ZINC000150898847 332196120 /nfs/dbraw/zinc/19/61/20/332196120.db2.gz XLHUGGNGOKKSRH-OAHLLOKOSA-N 0 1 306.454 3.042 20 30 DGEDMN C=CCC(F)(F)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000292913342 335097897 /nfs/dbraw/zinc/09/78/97/335097897.db2.gz YNSPLMARFQMEDX-OAHLLOKOSA-N 0 1 308.372 3.151 20 30 DGEDMN C=CCN(CCc1ccccc1)Cc1cccc(C(=O)OC)n1 ZINC000559959084 332297072 /nfs/dbraw/zinc/29/70/72/332297072.db2.gz LCMSWQHUILNIRE-UHFFFAOYSA-N 0 1 310.397 3.099 20 30 DGEDMN CCN(C[C@@H](C)C#N)C(=O)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000188389741 333365086 /nfs/dbraw/zinc/36/50/86/333365086.db2.gz ADDFHZNACYUWFY-VIFPVBQESA-N 0 1 322.821 3.413 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)COc1ccc(C(C)(C)C)cc1C ZINC000563366414 333597179 /nfs/dbraw/zinc/59/71/79/333597179.db2.gz ILIGQAOIXHMVSC-IAGOWNOFSA-N 0 1 318.461 3.314 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)c3cnn(C(C)(C)C)c3)[nH]c21 ZINC000345388395 335322393 /nfs/dbraw/zinc/32/23/93/335322393.db2.gz ZVYZVFJEKIYDJU-CYBMUJFWSA-N 0 1 321.384 3.313 20 30 DGEDMN C=CCSCCN[C@@H](C(=O)N(CC)CC)c1ccccc1 ZINC000357732488 336596389 /nfs/dbraw/zinc/59/63/89/336596389.db2.gz CFYQLVAXSNNCFY-MRXNPFEDSA-N 0 1 306.475 3.105 20 30 DGEDMN CC#CCN(C)C[C@@H](O)COc1ccc(-c2ccccc2)cc1 ZINC000583825767 337337260 /nfs/dbraw/zinc/33/72/60/337337260.db2.gz CDEQNYXXBLECDG-LJQANCHMSA-N 0 1 309.409 3.048 20 30 DGEDMN CN(CC(C)(C)C#N)C(=O)c1cccc(C(F)(F)F)c1O ZINC000515310476 337984583 /nfs/dbraw/zinc/98/45/83/337984583.db2.gz UUMYRHPPZAKDKV-UHFFFAOYSA-N 0 1 300.280 3.033 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)Nc3ccc(F)cc3)[nH]c21 ZINC000505432109 340168328 /nfs/dbraw/zinc/16/83/28/340168328.db2.gz FEIPZCMFTAFADP-CYBMUJFWSA-N 0 1 308.316 3.256 20 30 DGEDMN C=C(C)CN(CC)CC(=O)N1CCC(Cc2ccccc2)CC1 ZINC000302734351 340280190 /nfs/dbraw/zinc/28/01/90/340280190.db2.gz BBTQBYPOKMKEBS-UHFFFAOYSA-N 0 1 314.473 3.366 20 30 DGEDMN C=CCOc1cc(OC)ccc1C(=O)Nc1ccc2nc[nH]c2c1 ZINC000511318292 340325355 /nfs/dbraw/zinc/32/53/55/340325355.db2.gz BNPPYVPCDPCGLK-UHFFFAOYSA-N 0 1 323.352 3.389 20 30 DGEDMN C=C(C)CN(CCOc1ccccc1F)Cc1ccc(CO)o1 ZINC000512183346 340351430 /nfs/dbraw/zinc/35/14/30/340351430.db2.gz GRIGDHJPRZLSPJ-UHFFFAOYSA-N 0 1 319.376 3.368 20 30 DGEDMN COC[C@H](CNC[C@@H](C#N)CCC#N)c1ccc(Cl)cc1 ZINC000517340521 340468104 /nfs/dbraw/zinc/46/81/04/340468104.db2.gz ZIOXSQJQSPYTSC-HIFRSBDPSA-N 0 1 305.809 3.103 20 30 DGEDMN C[C@@H](NC(=O)[C@H](C)N1CCC(CC#N)CC1)c1ccc(F)cc1 ZINC000543810625 341060236 /nfs/dbraw/zinc/06/02/36/341060236.db2.gz IYKROFJHCAQSAO-KGLIPLIRSA-N 0 1 317.408 3.017 20 30 DGEDMN CCOC(=O)C1(N(C)Cc2ccc(CC#N)cc2)CCCCC1 ZINC000544067397 341068897 /nfs/dbraw/zinc/06/88/97/341068897.db2.gz QSNKBLXXSHCRSF-UHFFFAOYSA-N 0 1 314.429 3.450 20 30 DGEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N1CC[C@@H](C)C(C)(C)C1 ZINC000545419191 341124599 /nfs/dbraw/zinc/12/45/99/341124599.db2.gz GQOZSXYKYAZMLG-HUUCEWRRSA-N 0 1 305.466 3.086 20 30 DGEDMN C=C(C)CNC(=O)NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000547997982 341242672 /nfs/dbraw/zinc/24/26/72/341242672.db2.gz FHKBBJQQMRPSCJ-MRXNPFEDSA-N 0 1 321.852 3.352 20 30 DGEDMN C[C@](C#N)(NC(=O)c1cccc(-c2nnc[nH]2)c1)C1CCCCC1 ZINC000551900472 341354300 /nfs/dbraw/zinc/35/43/00/341354300.db2.gz ZFFNIYRCWVPWGC-GOSISDBHSA-N 0 1 323.400 3.064 20 30 DGEDMN CCN(C[C@H](C)C#N)C[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000248666523 341383703 /nfs/dbraw/zinc/38/37/03/341383703.db2.gz ODIGNMFCZMHZDU-RHSMWYFYSA-N 0 1 309.454 3.115 20 30 DGEDMN CC[C@H](CC#N)NC[C@@H](O)CO[C@@H](C)c1ccc(Cl)cc1 ZINC000566428268 341557776 /nfs/dbraw/zinc/55/77/76/341557776.db2.gz CXOOQOJAGBUUNH-APHBMKBZSA-N 0 1 310.825 3.060 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNC/C(Cl)=C\Cl)C1CC1 ZINC001754448105 1176575651 /nfs/dbraw/zinc/57/56/51/1176575651.db2.gz RZZSHBNLGVFQFF-VNKGSWCUSA-N 0 1 305.249 3.002 20 30 DGEDMN Cc1c(F)cccc1NS(=O)(=O)c1cc(C#N)ccc1Cl ZINC000160799132 533891984 /nfs/dbraw/zinc/89/19/84/533891984.db2.gz GATUUJKDTPNPNE-UHFFFAOYSA-N 0 1 324.764 3.460 20 30 DGEDMN C[C@H]1CN(c2cccc(F)c2)CCN1Cc1ccc(C#N)cc1 ZINC000429240529 533979963 /nfs/dbraw/zinc/97/99/63/533979963.db2.gz JGPBQVNPBQMBLY-HNNXBMFYSA-N 0 1 309.388 3.408 20 30 DGEDMN Cc1cc(CC(=O)Nc2ccccc2S[C@@H](C)CC#N)n[nH]1 ZINC000153456305 534152121 /nfs/dbraw/zinc/15/21/21/534152121.db2.gz JDXZALMURBMYRL-LBPRGKRZSA-N 0 1 314.414 3.294 20 30 DGEDMN CC#CCCCC(=O)N1CCC[C@@H]1CNC/C(Cl)=C\Cl ZINC001495572602 1185074644 /nfs/dbraw/zinc/07/46/44/1185074644.db2.gz WCFYWLHIRANEMI-JWAFFJSPSA-N 0 1 317.260 3.080 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)N3CCC(C)(C#N)CC3)n[nH]2)cc1 ZINC000272496238 534168599 /nfs/dbraw/zinc/16/85/99/534168599.db2.gz GAIYLQZKIZYPND-UHFFFAOYSA-N 0 1 308.385 3.151 20 30 DGEDMN COC(=O)c1csc([C@H](C)NCc2ccc(C#N)s2)c1 ZINC000421895436 534338287 /nfs/dbraw/zinc/33/82/87/534338287.db2.gz YNLKVJLLNQLIAH-VIFPVBQESA-N 0 1 306.412 3.319 20 30 DGEDMN Cc1sc(NC(=O)CN(C)[C@H]2CC[C@@H](C)C2)c(C#N)c1C ZINC000429575872 534608883 /nfs/dbraw/zinc/60/88/83/534608883.db2.gz AXGWJPNVZMLWDX-MFKMUULPSA-N 0 1 305.447 3.296 20 30 DGEDMN Cc1cccc(CN2CCN(Cc3ccc(CC#N)cc3)CC2)c1 ZINC000342724850 534709575 /nfs/dbraw/zinc/70/95/75/534709575.db2.gz IJTQWCOLSGKHSC-UHFFFAOYSA-N 0 1 319.452 3.379 20 30 DGEDMN N#CCc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000343780127 534720312 /nfs/dbraw/zinc/72/03/12/534720312.db2.gz DFGNRIPVYWRROA-UHFFFAOYSA-N 0 1 306.365 3.260 20 30 DGEDMN N#CC(C(=O)c1occ2c1CCOC2)c1nccc2ccccc21 ZINC000354174320 534741647 /nfs/dbraw/zinc/74/16/47/534741647.db2.gz BYBFYPPIKHBYQF-INIZCTEOSA-N 0 1 318.332 3.391 20 30 DGEDMN N#C[C@H](C(=O)c1occ2c1CCOC2)c1nccc2ccccc21 ZINC000354174320 534741650 /nfs/dbraw/zinc/74/16/50/534741650.db2.gz BYBFYPPIKHBYQF-INIZCTEOSA-N 0 1 318.332 3.391 20 30 DGEDMN C=C(C)CCSc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC000349817465 526463922 /nfs/dbraw/zinc/46/39/22/526463922.db2.gz WSBPCMXGBKSOTC-UHFFFAOYSA-N 0 1 303.387 3.301 20 30 DGEDMN C=CCN1CC[C@@H](N[C@H](CC)c2ccccc2OC(F)F)C1=O ZINC000337167611 526485645 /nfs/dbraw/zinc/48/56/45/526485645.db2.gz AVGQRNFAEAWIOL-ZIAGYGMSSA-N 0 1 324.371 3.116 20 30 DGEDMN C=CCN1CC[C@H](N(C)CCc2ccc3ccccc3c2)C1=O ZINC000337226087 526500649 /nfs/dbraw/zinc/50/06/49/526500649.db2.gz OYJCIXQFFAQXAJ-IBGZPJMESA-N 0 1 308.425 3.101 20 30 DGEDMN C=CCN1CC[C@H](N2CCCC[C@@H]2c2cc3ccccc3[nH]2)C1=O ZINC000337147669 526507048 /nfs/dbraw/zinc/50/70/48/526507048.db2.gz GQDVLQNKJOJEET-MOPGFXCFSA-N 0 1 323.440 3.482 20 30 DGEDMN C=CCN1CC[C@H](N2CCC[C@H]2c2ccc(OCC)cc2)C1=O ZINC000337129583 526508283 /nfs/dbraw/zinc/50/82/83/526508283.db2.gz JDCNEPSFRTVGKV-ROUUACIJSA-N 0 1 314.429 3.009 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)Cc1n[nH]c(C(C)C)n1 ZINC000428984341 526574085 /nfs/dbraw/zinc/57/40/85/526574085.db2.gz HRVKKVAFKRROFG-UHFFFAOYSA-N 0 1 316.453 3.284 20 30 DGEDMN C=C(C)CN(Cc1cnn(C(C)C)c1)Cc1n[nH]c(C2CC2)n1 ZINC000352911828 526574149 /nfs/dbraw/zinc/57/41/49/526574149.db2.gz BPDXPAHSQLLZOL-UHFFFAOYSA-N 0 1 314.437 3.038 20 30 DGEDMN C=CCOCCCN1CCc2c(Br)cccc2C1 ZINC000347971775 526759089 /nfs/dbraw/zinc/75/90/89/526759089.db2.gz YLDUSYVZNNQBLW-UHFFFAOYSA-N 0 1 310.235 3.400 20 30 DGEDMN C=C(C)[C@@H]1OCC[C@H]1c1nc(Cc2nc3cccc(C)c3[nH]2)no1 ZINC000346624532 526804399 /nfs/dbraw/zinc/80/43/99/526804399.db2.gz BECVLUUJOQJODL-PXAZEXFGSA-N 0 1 324.384 3.294 20 30 DGEDMN CC(C)(C)c1cnc(C(C#N)C(=O)c2cnn(CCF)c2)s1 ZINC000344920743 526827052 /nfs/dbraw/zinc/82/70/52/526827052.db2.gz RTCBMTUSGLHXEC-NSHDSACASA-N 0 1 320.393 3.097 20 30 DGEDMN C=CCOc1ccc(CNC(=O)c2cccc3nc[nH]c32)cc1 ZINC000359106030 526884834 /nfs/dbraw/zinc/88/48/34/526884834.db2.gz ZDZYXOXGZVWBED-UHFFFAOYSA-N 0 1 307.353 3.058 20 30 DGEDMN C=CCOc1ccc(CN[C@@H](c2nnc[nH]2)c2ccccc2)cc1 ZINC000343924909 526889692 /nfs/dbraw/zinc/88/96/92/526889692.db2.gz IDFDQMPHKGYIGW-GOSISDBHSA-N 0 1 320.396 3.249 20 30 DGEDMN C=CCOc1cccc(CN2CCc3ccccc3[C@@H]2CO)c1 ZINC000353691831 526902586 /nfs/dbraw/zinc/90/25/86/526902586.db2.gz USOYWMILTSRUSC-FQEVSTJZSA-N 0 1 309.409 3.343 20 30 DGEDMN C=C1CCN(C[C@H](O)COc2cccc(C(F)(F)F)c2)CC1 ZINC000341997742 526905066 /nfs/dbraw/zinc/90/50/66/526905066.db2.gz IFGXUEWIAALZHL-AWEZNQCLSA-N 0 1 315.335 3.097 20 30 DGEDMN C=CCOc1ccccc1CN1CCC(C#N)(COC)CC1 ZINC000342844880 526930999 /nfs/dbraw/zinc/93/09/99/526930999.db2.gz VQFGPLCPHLSFJG-UHFFFAOYSA-N 0 1 300.402 3.004 20 30 DGEDMN C=CCOc1ccccc1CN1CC[C@H](Oc2ccccn2)C1 ZINC000353995517 526934023 /nfs/dbraw/zinc/93/40/23/526934023.db2.gz JHHFSFLIAPBTMC-KRWDZBQOSA-N 0 1 310.397 3.300 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000432111674 527006720 /nfs/dbraw/zinc/00/67/20/527006720.db2.gz ASNLFUPPLMXZKX-INIZCTEOSA-N 0 1 321.440 3.330 20 30 DGEDMN C=CCC(C)(C)CNC(=O)NC[C@H](c1ccsc1)N(C)C ZINC000432074473 527008539 /nfs/dbraw/zinc/00/85/39/527008539.db2.gz GJHZPVGHRFBVFI-CQSZACIVSA-N 0 1 309.479 3.252 20 30 DGEDMN C=CC[C@@H](CO)CNCc1c(F)ccc(Br)c1F ZINC000337236443 527078440 /nfs/dbraw/zinc/07/84/40/527078440.db2.gz CFNWDYHZWZUZAZ-SECBINFHSA-N 0 1 320.177 3.002 20 30 DGEDMN C=CC[C@@H](CO)CN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000346630355 527082315 /nfs/dbraw/zinc/08/23/15/527082315.db2.gz VKICVOGKLJLRAW-KDOFPFPSSA-N 0 1 300.377 3.084 20 30 DGEDMN C=CCCC1(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)CC1 ZINC000353789572 527089172 /nfs/dbraw/zinc/08/91/72/527089172.db2.gz GHHDXFDFVFSTLF-INIZCTEOSA-N 0 1 304.409 3.291 20 30 DGEDMN C=CCCC1(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CC1 ZINC000356266099 527089664 /nfs/dbraw/zinc/08/96/64/527089664.db2.gz OZBNRXZJPKNNMU-UHFFFAOYSA-N 0 1 301.365 3.107 20 30 DGEDMN C=CCCCCNC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000351676884 527157019 /nfs/dbraw/zinc/15/70/19/527157019.db2.gz CHHAJECHONIAOW-INIZCTEOSA-N 0 1 319.449 3.380 20 30 DGEDMN CC(=O)c1ccc(C#N)c(N2CCN(CCC(C)(C)C)CC2)c1 ZINC000302130237 527223028 /nfs/dbraw/zinc/22/30/28/527223028.db2.gz XWYVIFGYZIOLFT-UHFFFAOYSA-N 0 1 313.445 3.319 20 30 DGEDMN C=CCc1cc(CN2CCC(C)(C#N)CC2)cc(OC)c1O ZINC000272493745 527237119 /nfs/dbraw/zinc/23/71/19/527237119.db2.gz FJCXCYOFFRJDHF-UHFFFAOYSA-N 0 1 300.402 3.255 20 30 DGEDMN C=CCCOCCCCC(=O)N1CCN(C)CC12CCCCC2 ZINC000342867627 527331244 /nfs/dbraw/zinc/33/12/44/527331244.db2.gz SCWGRTFQNLRFJJ-UHFFFAOYSA-N 0 1 322.493 3.226 20 30 DGEDMN C=CCN1C(=O)N=NC1SCc1nc2ccccc2s1 ZINC000348145778 527333642 /nfs/dbraw/zinc/33/36/42/527333642.db2.gz UVEBXGIVGXMBBV-UHFFFAOYSA-N 0 1 304.400 3.072 20 30 DGEDMN C=CCn1c(S[C@@H](C(=O)c2ccccc2)C(C)C)n[nH]c1=O ZINC000348140668 527333853 /nfs/dbraw/zinc/33/38/53/527333853.db2.gz BNAAWBWQQYCJON-CQSZACIVSA-N 0 1 317.414 3.169 20 30 DGEDMN C=CCC[C@H](NC(=O)N1CCN(C(C)C)CC1)c1ccccc1 ZINC000435758899 527476109 /nfs/dbraw/zinc/47/61/09/527476109.db2.gz IAWKINKTQXQIKD-SFHVURJKSA-N 0 1 315.461 3.430 20 30 DGEDMN CC(C)(C#N)c1ccccc1CN[C@H](CO)c1cccc(F)c1 ZINC000359529353 527499613 /nfs/dbraw/zinc/49/96/13/527499613.db2.gz BYEQUHNPMUSZAE-GOSISDBHSA-N 0 1 312.388 3.450 20 30 DGEDMN C=CCCc1ccccc1NC(=O)c1cnc2c(cnn2C)c1O ZINC000337522124 527511181 /nfs/dbraw/zinc/51/11/81/527511181.db2.gz VUEKQRSGYVTIGL-UHFFFAOYSA-N 0 1 322.368 3.045 20 30 DGEDMN C=CCCc1ccccc1NC(=O)c1cnc2n(C)[nH]cc-2c1=O ZINC000337522124 527511191 /nfs/dbraw/zinc/51/11/91/527511191.db2.gz VUEKQRSGYVTIGL-UHFFFAOYSA-N 0 1 322.368 3.045 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000135487715 527610857 /nfs/dbraw/zinc/61/08/57/527610857.db2.gz WJXXEZGABIOSMZ-FUHWJXTLSA-N 0 1 304.434 3.016 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(CC(F)(F)F)CC1 ZINC000341996047 527625679 /nfs/dbraw/zinc/62/56/79/527625679.db2.gz UUSWZHXVTXFZKT-CYBMUJFWSA-N 0 1 318.383 3.240 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1ccc(OCC)cc1 ZINC000346589800 527631581 /nfs/dbraw/zinc/63/15/81/527631581.db2.gz ZKUCWJRIKUNAEK-INIZCTEOSA-N 0 1 316.445 3.106 20 30 DGEDMN CC(C)CC[C@@H]1CCCCN1C([O-])=[NH+][C@H](C)Cn1cccn1 ZINC000331007686 527813279 /nfs/dbraw/zinc/81/32/79/527813279.db2.gz IBRAWZMGSXHPHY-CVEARBPZSA-N 0 1 306.454 3.476 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2ccnc(N(C)C)c2)n1 ZINC000156456365 528242371 /nfs/dbraw/zinc/24/23/71/528242371.db2.gz KMCJVKYZXPOHGK-GFCCVEGCSA-N 0 1 314.414 3.218 20 30 DGEDMN CC(C)c1nc(CN2CCC[C@@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000428259357 528246542 /nfs/dbraw/zinc/24/65/42/528246542.db2.gz ZURVAZCBARDALN-JKSUJKDBSA-N 0 1 309.417 3.200 20 30 DGEDMN CC(C)c1nc(CN2CCC[C@H]2[C@@H](C#N)c2ccccc2)n[nH]1 ZINC000428259355 528247166 /nfs/dbraw/zinc/24/71/66/528247166.db2.gz ZURVAZCBARDALN-HOTGVXAUSA-N 0 1 309.417 3.200 20 30 DGEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)Nc1ccc(C#N)c(Cl)c1 ZINC000180608598 528740372 /nfs/dbraw/zinc/74/03/72/528740372.db2.gz DLWCXHYRIDHZOY-HNNXBMFYSA-N 0 1 320.824 3.016 20 30 DGEDMN CC[N@@H+](Cc1ccc(C([O-])=C(C#N)c2ccncn2)cc1)C(C)C ZINC000347870777 528778246 /nfs/dbraw/zinc/77/82/46/528778246.db2.gz WKXWGRWGFDOTMR-QGZVFWFLSA-N 0 1 322.412 3.197 20 30 DGEDMN CCNC(=O)c1ccc(C)c(NC(=O)CN2[C@H](C)CC[C@H]2C)c1 ZINC000330917827 528828386 /nfs/dbraw/zinc/82/83/86/528828386.db2.gz WQZMTZWYFNJUOP-ZIAGYGMSSA-N 0 1 317.433 3.131 20 30 DGEDMN CCCn1nc(C)c(/C=C/C(=O)c2ccc(O)cc2O)c1C ZINC000160724195 528870691 /nfs/dbraw/zinc/87/06/91/528870691.db2.gz YTMFZEHNKJRGNE-BQYQJAHWSA-N 0 1 300.358 3.217 20 30 DGEDMN CC1(C)CCCN([C@@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000177129556 528939469 /nfs/dbraw/zinc/93/94/69/528939469.db2.gz BDVMZUBBEKQLNJ-CQSZACIVSA-N 0 1 317.458 3.237 20 30 DGEDMN CC1(C)CCCN([C@H]2CCN(c3sccc3C#N)C2=O)CC1 ZINC000177129575 528939553 /nfs/dbraw/zinc/93/95/53/528939553.db2.gz BDVMZUBBEKQLNJ-AWEZNQCLSA-N 0 1 317.458 3.237 20 30 DGEDMN CC[C@@H]1CCCN1CC(=O)Nc1ccccc1SCC#N ZINC000436094203 529301403 /nfs/dbraw/zinc/30/14/03/529301403.db2.gz YFZBNWFLZTVEHY-CYBMUJFWSA-N 0 1 303.431 3.115 20 30 DGEDMN CC[C@H]1CN(c2ncccc2C#N)CCN1Cc1ccccc1 ZINC000302145307 529302662 /nfs/dbraw/zinc/30/26/62/529302662.db2.gz HKTXJDDSVMGZFT-SFHVURJKSA-N 0 1 306.413 3.054 20 30 DGEDMN Cc1cccc(O[C@H](C)C(=O)Nc2nc(-c3ccco3)n[nH]2)c1 ZINC000078868602 545754275 /nfs/dbraw/zinc/75/42/75/545754275.db2.gz BCUUMEDAHZGKKC-LLVKDONJSA-N 0 1 312.329 3.429 20 30 DGEDMN C=CCN(CC(F)(F)F)C(=O)c1cc(CCCCC)[nH]n1 ZINC000667547271 547220036 /nfs/dbraw/zinc/22/00/36/547220036.db2.gz GDVPYXNMINOZAZ-UHFFFAOYSA-N 0 1 303.328 3.333 20 30 DGEDMN N#CC(C(=O)c1cn2c(n1)CCCC2)c1nccc2ccccc21 ZINC000669603738 547659312 /nfs/dbraw/zinc/65/93/12/547659312.db2.gz QNSGAICGVGKINU-HNNXBMFYSA-N 0 1 316.364 3.258 20 30 DGEDMN Oc1ccc2c(c1)CCN(CC#Cc1cccc(Cl)c1)CC2 ZINC000676682414 548394151 /nfs/dbraw/zinc/39/41/51/548394151.db2.gz NLWVIANGTWBCIX-UHFFFAOYSA-N 0 1 311.812 3.498 20 30 DGEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)c1c[nH]c2ncccc12 ZINC000678764338 548758686 /nfs/dbraw/zinc/75/86/86/548758686.db2.gz PXRKZFIWTFRSLZ-CHWSQXEVSA-N 0 1 318.380 3.357 20 30 DGEDMN O=C(CCc1cnc[nH]1)Nc1cccc(C#Cc2cccs2)c1 ZINC000684371425 549621077 /nfs/dbraw/zinc/62/10/77/549621077.db2.gz IAIFIPJVSBISPW-UHFFFAOYSA-N 0 1 321.405 3.442 20 30 DGEDMN COCCOc1ccc(CN=Nc2nc3ccccc3n2C)cc1 ZINC000730462175 574529858 /nfs/dbraw/zinc/52/98/58/574529858.db2.gz BTZDRTVVURZTNA-UHFFFAOYSA-N 0 1 324.384 3.045 20 30 DGEDMN C#CCOc1cccc(NC(=O)c2cc(-c3cccs3)n[nH]2)c1 ZINC000731011637 574541527 /nfs/dbraw/zinc/54/15/27/574541527.db2.gz WVMHYJWRDZAYFW-UHFFFAOYSA-N 0 1 323.377 3.403 20 30 DGEDMN COc1ccc(-c2n[nH]cc2CN=Nc2ccc(F)cn2)cc1 ZINC000731318652 574546900 /nfs/dbraw/zinc/54/69/00/574546900.db2.gz MRCHMYQIJWMZAZ-UHFFFAOYSA-N 0 1 311.320 3.065 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccnc2ccccc12 ZINC000731315105 574546909 /nfs/dbraw/zinc/54/69/09/574546909.db2.gz WKLDNBKXZHOITH-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN C=CCc1cc(CN=Nc2ccc(F)cn2)cc(OC)c1O ZINC000731318999 574546941 /nfs/dbraw/zinc/54/69/41/574546941.db2.gz QIPLQPONXUMKNV-UHFFFAOYSA-N 0 1 301.321 3.109 20 30 DGEDMN Fc1ccc(N=NCc2cc(Cl)c3c(c2)OCCO3)nc1 ZINC000731318627 574546960 /nfs/dbraw/zinc/54/69/60/574546960.db2.gz JOZVLNSNTCAETE-UHFFFAOYSA-N 0 1 307.712 3.091 20 30 DGEDMN C[C@@H]1C[C@@H]1c1ccc(C=Nn2c(=S)[nH]nc2C(F)(F)F)o1 ZINC000732764641 574581751 /nfs/dbraw/zinc/58/17/51/574581751.db2.gz UHBXXMQMLPSXFC-SVRRBLITSA-N 0 1 316.308 3.184 20 30 DGEDMN Oc1ccc2ccccc2c1/C=N/CCN1CCSCC1 ZINC000732714331 574580004 /nfs/dbraw/zinc/58/00/04/574580004.db2.gz XTDZTEHJDGKYDI-QGOAFFKASA-N 0 1 300.427 3.013 20 30 DGEDMN C=CCOC(=O)[C@@]1(C(F)(F)F)CCN(Cc2ccccc2)C1 ZINC000733531239 574627990 /nfs/dbraw/zinc/62/79/90/574627990.db2.gz ODXVSYMLRMFIJM-OAHLLOKOSA-N 0 1 313.319 3.170 20 30 DGEDMN Cc1nc(Cl)cc(NN=C2CCOc3c(F)cccc32)n1 ZINC000741764320 574632665 /nfs/dbraw/zinc/63/26/65/574632665.db2.gz ZMUBXOSXMZHUGA-UHFFFAOYSA-N 0 1 306.728 3.176 20 30 DGEDMN C#CCOc1ccccc1CN=Nc1cnnc2ccccc12 ZINC000733750045 574636920 /nfs/dbraw/zinc/63/69/20/574636920.db2.gz KNESQDCRBNDFAO-UHFFFAOYSA-N 0 1 302.337 3.088 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NOCc1ccccc1 ZINC000734365830 574657793 /nfs/dbraw/zinc/65/77/93/574657793.db2.gz UVQYPPIOTRWPTP-MRXNPFEDSA-N 0 1 309.413 3.496 20 30 DGEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NNCc1ccccc1 ZINC000734362631 574657825 /nfs/dbraw/zinc/65/78/25/574657825.db2.gz AMAIRVNFEGJJPT-MRXNPFEDSA-N 0 1 308.429 3.069 20 30 DGEDMN Fc1cccc(F)c1N=NCc1ccc(N2CCOCC2)s1 ZINC000735615714 574709730 /nfs/dbraw/zinc/70/97/30/574709730.db2.gz WSWRVACCJGSMDH-UHFFFAOYSA-N 0 1 323.368 3.309 20 30 DGEDMN CCN(C(C)=O)c1nc(C=NNc2c(F)cccc2F)cs1 ZINC000735614836 574709797 /nfs/dbraw/zinc/70/97/97/574709797.db2.gz CMDFFYCRNRECOS-UHFFFAOYSA-N 0 1 324.356 3.240 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CCc3nccs3)[nH]c21 ZINC000735789432 574715072 /nfs/dbraw/zinc/71/50/72/574715072.db2.gz LRFHMNDIAMUTQO-NSHDSACASA-N 0 1 310.382 3.137 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)CC[C@@H]3CCCCO3)[nH]c21 ZINC000735792145 574715443 /nfs/dbraw/zinc/71/54/43/574715443.db2.gz INGFLLVHMHPSSE-KBPBESRZSA-N 0 1 311.385 3.397 20 30 DGEDMN Cc1nc(C)c(C=NNc2nnc(-c3ccccc3)n2C)s1 ZINC000736430342 574750888 /nfs/dbraw/zinc/75/08/88/574750888.db2.gz WZQNHZWJMPONTK-UHFFFAOYSA-N 0 1 312.402 3.001 20 30 DGEDMN CC(C#N)C(=O)N=c1sccn1Cc1cccc2ccccc21 ZINC000736669077 574802482 /nfs/dbraw/zinc/80/24/82/574802482.db2.gz UBAVQEFYPFTYDZ-ZDUSSCGKSA-N 0 1 321.405 3.338 20 30 DGEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](c3ccccc3F)C2)cc1 ZINC000739106282 574892284 /nfs/dbraw/zinc/89/22/84/574892284.db2.gz RHPACZXDABUVSE-MAUKXSAKSA-N 0 1 324.355 3.313 20 30 DGEDMN Cc1nc(Cl)cc(N=NCc2cc(F)c(F)c(F)c2)n1 ZINC000741766477 574969600 /nfs/dbraw/zinc/96/96/00/574969600.db2.gz MDKRPYQLWMVXMS-UHFFFAOYSA-N 0 1 300.671 3.302 20 30 DGEDMN O=c1c2ccccc2[nH]c(=S)n1N=CC1CCCCCC1 ZINC000741847448 574973230 /nfs/dbraw/zinc/97/32/30/574973230.db2.gz LHHNPYGQRGFYOZ-UHFFFAOYSA-N 0 1 301.415 3.490 20 30 DGEDMN CCN(C)c1ccccc1CNCc1ccc(OC)c(C#N)c1 ZINC000742370053 574992815 /nfs/dbraw/zinc/99/28/15/574992815.db2.gz ONHXXRRMWPIGTO-UHFFFAOYSA-N 0 1 309.413 3.313 20 30 DGEDMN Fc1cccc(N=NCc2ccc(-n3cncn3)c(F)c2)c1F ZINC000743442236 575051842 /nfs/dbraw/zinc/05/18/42/575051842.db2.gz WTLDIXDSJXKGAK-UHFFFAOYSA-N 0 1 317.274 3.131 20 30 DGEDMN C#CCOc1cc(F)ccc1NC(=O)c1ccc(O)c(Cl)c1 ZINC000743640493 575059978 /nfs/dbraw/zinc/05/99/78/575059978.db2.gz MPGUGAWJDLLNCC-UHFFFAOYSA-N 0 1 319.719 3.449 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H]2C[C@@]23CCc2ccccc23)nc(C)n1 ZINC000744026254 575069209 /nfs/dbraw/zinc/06/92/09/575069209.db2.gz LRHQEPHSUWBTSD-XAUMDUMWSA-N 0 1 317.392 3.174 20 30 DGEDMN CCCCCCCC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000729424970 575248708 /nfs/dbraw/zinc/24/87/08/575248708.db2.gz RTDUAGPRMURYOC-UHFFFAOYSA-N 0 1 319.449 3.099 20 30 DGEDMN CC[C@H](CC(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)C(C)C ZINC000729761583 575262595 /nfs/dbraw/zinc/26/25/95/575262595.db2.gz LPPJNOHZNYURHA-CHWSQXEVSA-N 0 1 322.430 3.243 20 30 DGEDMN CC[C@H](CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)C ZINC000729761583 575262596 /nfs/dbraw/zinc/26/25/96/575262596.db2.gz LPPJNOHZNYURHA-CHWSQXEVSA-N 0 1 322.430 3.243 20 30 DGEDMN C[C@H](NCc1ccc(C#N)o1)[C@@H](O)c1c(F)cccc1Cl ZINC000744448358 575343621 /nfs/dbraw/zinc/34/36/21/575343621.db2.gz RUOJPYGQJLATIM-BJOHPYRUSA-N 0 1 308.740 3.155 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)o1)[C@H](O)c1c(F)cccc1Cl ZINC000744448360 575344023 /nfs/dbraw/zinc/34/40/23/575344023.db2.gz RUOJPYGQJLATIM-PSLIRLAXSA-N 0 1 308.740 3.155 20 30 DGEDMN C/C(=C\C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596455 575404118 /nfs/dbraw/zinc/40/41/18/575404118.db2.gz BIJHTNCSYAWUGZ-GCZGVDRJSA-N 0 1 320.414 3.163 20 30 DGEDMN C/C(=C\C(=O)OCC(=O)C(C#N)c1nc(C)cs1)C(C)(C)C ZINC000745596455 575404121 /nfs/dbraw/zinc/40/41/21/575404121.db2.gz BIJHTNCSYAWUGZ-GCZGVDRJSA-N 0 1 320.414 3.163 20 30 DGEDMN C#Cc1cccc(NC(=O)NCc2nc3ccc(C)cc3[nH]2)c1 ZINC000745933954 575422414 /nfs/dbraw/zinc/42/24/14/575422414.db2.gz WMJYAXKYIWDPPC-UHFFFAOYSA-N 0 1 304.353 3.174 20 30 DGEDMN CCC(C)(C)CC(=O)C(C#N)C(=O)Nc1ccccc1OC ZINC000745947825 575422772 /nfs/dbraw/zinc/42/27/72/575422772.db2.gz OLMNEOISAIDDNR-GFCCVEGCSA-N 0 1 302.374 3.169 20 30 DGEDMN COc1ccc2c(c1)C(=NN=c1[nH]c3ccccc3n1C)CC2 ZINC000747633437 575528036 /nfs/dbraw/zinc/52/80/36/575528036.db2.gz QNHBRTJIIXQLSD-UHFFFAOYSA-N 0 1 306.369 3.344 20 30 DGEDMN COc1ccc([C@@H](C)C(C)=NNCCN2CCCCC2)cc1 ZINC000747633922 575528068 /nfs/dbraw/zinc/52/80/68/575528068.db2.gz ZRCMROPUNAJDGN-HNNXBMFYSA-N 0 1 303.450 3.250 20 30 DGEDMN Fc1ccc(C=CC=Nn2c(=S)[nH]nc2C(F)(F)F)cc1 ZINC000747740723 575537508 /nfs/dbraw/zinc/53/75/08/575537508.db2.gz MVFJYPFOWHNCFV-YNXSOUFHSA-N 0 1 316.283 3.272 20 30 DGEDMN C[C@@H](CC(=O)Nc1cc(C#N)ccc1O)C(=O)c1ccccc1 ZINC000748410867 575585059 /nfs/dbraw/zinc/58/50/59/575585059.db2.gz RVKAHOHFCXFNRE-LBPRGKRZSA-N 0 1 308.337 3.111 20 30 DGEDMN COc1ccc(C(=O)Nc2cc(C#N)ccc2O)c(Cl)c1 ZINC000748410904 575585069 /nfs/dbraw/zinc/58/50/69/575585069.db2.gz TZVDOEUZXQEFIW-UHFFFAOYSA-N 0 1 302.717 3.178 20 30 DGEDMN C#CCOc1ccccc1CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000749840725 575682588 /nfs/dbraw/zinc/68/25/88/575682588.db2.gz PSMZEWRPQWSZSI-AWEZNQCLSA-N 0 1 310.353 3.458 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@H](C)C1CCCC1 ZINC000750686444 575746121 /nfs/dbraw/zinc/74/61/21/575746121.db2.gz FECQKGHHAYSISV-TZMCWYRMSA-N 0 1 314.385 3.169 20 30 DGEDMN COc1cccc(C=NNc2cccc(C(F)(F)F)n2)c1O ZINC000739421192 575914754 /nfs/dbraw/zinc/91/47/54/575914754.db2.gz GPVZKRDQMJHEPR-UHFFFAOYSA-N 0 1 311.263 3.261 20 30 DGEDMN CC(=NNc1cnc2ccccc2n1)c1ccccc1[N+](=O)[O-] ZINC000727258509 576116249 /nfs/dbraw/zinc/11/62/49/576116249.db2.gz NGTLHPAYNHHSMX-UHFFFAOYSA-N 0 1 307.313 3.374 20 30 DGEDMN C(=NNCCN1CCCCC1)c1csc(-c2cccs2)n1 ZINC000728429984 576181272 /nfs/dbraw/zinc/18/12/72/576181272.db2.gz VAVNDKYVVCSBGB-UHFFFAOYSA-N 0 1 320.487 3.281 20 30 DGEDMN C=C(Br)COC(=O)c1[nH]c(-c2ccccc2)nc1C ZINC000728725548 576197424 /nfs/dbraw/zinc/19/74/24/576197424.db2.gz NDZCFPBGMOIQQK-UHFFFAOYSA-N 0 1 321.174 3.451 20 30 DGEDMN C[C@H](CC(=O)C(C#N)C(=O)NCc1ccccc1)CC(C)(C)C ZINC000916889536 620649256 /nfs/dbraw/zinc/64/92/56/620649256.db2.gz TYFNVSABWJWKGF-ZBFHGGJFSA-N 0 1 314.429 3.474 20 30 DGEDMN C=CCN(CC(=O)N(Cc1ccc(OC)cc1)C1CC1)C(C)C ZINC000917071361 620655281 /nfs/dbraw/zinc/65/52/81/620655281.db2.gz RRPDMKSFMQDQMX-UHFFFAOYSA-N 0 1 316.445 3.083 20 30 DGEDMN CCOc1ccc(O)c(/C=N\C[C@@H]2CCN2Cc2ccccc2)c1 ZINC000852998307 620658629 /nfs/dbraw/zinc/65/86/29/620658629.db2.gz KHMNEKSCVZRUPQ-PKIWBCANSA-N 0 1 324.424 3.484 20 30 DGEDMN CCOc1ccc(O)c(/C=N/C[C@H]2CCN2Cc2ccccc2)c1 ZINC000852998304 620658640 /nfs/dbraw/zinc/65/86/40/620658640.db2.gz KHMNEKSCVZRUPQ-KOMLCTQHSA-N 0 1 324.424 3.484 20 30 DGEDMN N#Cc1ccc([C@H](O)CN2CC3(CCC3)[C@H]2c2ccncc2)cc1 ZINC000853067139 620665807 /nfs/dbraw/zinc/66/58/07/620665807.db2.gz PLPHDMIWUAZKMF-RTBURBONSA-N 0 1 319.408 3.214 20 30 DGEDMN CC(C)(C#N)CCOC(=O)c1ccc(CN2CCCCC2)o1 ZINC000923831316 620669910 /nfs/dbraw/zinc/66/99/10/620669910.db2.gz FOLSLQPMKNIVQR-UHFFFAOYSA-N 0 1 304.390 3.362 20 30 DGEDMN Cc1cc(=O)[nH]c(N=NC[C@@H]2CCC[C@H](C(F)(F)F)C2)n1 ZINC000853254228 620682129 /nfs/dbraw/zinc/68/21/29/620682129.db2.gz NGUOXGNGAVOYFU-ZJUUUORDSA-N 0 1 302.300 3.257 20 30 DGEDMN O=[N+]([O-])c1ccc(NN=Cc2cn(C3CCC3)nn2)c(Cl)c1 ZINC000853301456 620684895 /nfs/dbraw/zinc/68/48/95/620684895.db2.gz CZWFCDMUPHVXGF-UHFFFAOYSA-N 0 1 320.740 3.011 20 30 DGEDMN Cn1ncc(C2CCC2)c1CN=Nc1cnnc2ccccc12 ZINC000853307814 620685895 /nfs/dbraw/zinc/68/58/95/620685895.db2.gz ROACHHVLASUYJH-UHFFFAOYSA-N 0 1 306.373 3.077 20 30 DGEDMN C[C@H]1C(N=Nc2ccncc2F)CCCN1Cc1ccccc1 ZINC000853402703 620693293 /nfs/dbraw/zinc/69/32/93/620693293.db2.gz HTHUUKGUDSHHFH-AWEZNQCLSA-N 0 1 312.392 3.095 20 30 DGEDMN CC(=NNc1nnc(C)n1C)c1cc(F)c(Cl)cc1Cl ZINC000853434005 620694242 /nfs/dbraw/zinc/69/42/42/620694242.db2.gz ZJGCMRXOTZQKSD-UHFFFAOYSA-N 0 1 316.167 3.406 20 30 DGEDMN C=CCN(C(=O)NC[C@@H](c1cccc(F)c1)N(C)C)C(C)C ZINC000918057745 620695734 /nfs/dbraw/zinc/69/57/34/620695734.db2.gz VVQNUIKDLYSEAA-INIZCTEOSA-N 0 1 307.413 3.034 20 30 DGEDMN C=CCN(C(=O)NC[C@H](c1ccc(F)cc1)N(C)C)C(C)C ZINC000918088937 620696322 /nfs/dbraw/zinc/69/63/22/620696322.db2.gz PXXWERSEWUNRSH-MRXNPFEDSA-N 0 1 307.413 3.034 20 30 DGEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C[C@@H](Cc2ccccc2)OC1=O ZINC000853597834 620714307 /nfs/dbraw/zinc/71/43/07/620714307.db2.gz GPXDLYJUEOVDGQ-FGTMMUONSA-N 0 1 312.413 3.190 20 30 DGEDMN COc1ncc(CN=Nc2ncnc(Cl)c2C(C)C)s1 ZINC000812229809 617635896 /nfs/dbraw/zinc/63/58/96/617635896.db2.gz IKSOOJWNSOICSA-UHFFFAOYSA-N 0 1 311.798 3.165 20 30 DGEDMN C#CCN(Cc1ccccc1)C(=O)c1cc(C)cc2c[nH]nc21 ZINC000804833878 617681628 /nfs/dbraw/zinc/68/16/28/617681628.db2.gz ODBSQGULXADFIE-UHFFFAOYSA-N 0 1 303.365 3.147 20 30 DGEDMN C=CCN(Cc1cccs1)[C@H]1CCC2(C[C@@H]1F)OCCO2 ZINC000891509898 617819895 /nfs/dbraw/zinc/81/98/95/617819895.db2.gz QSSZBXMVAYQQPC-GJZGRUSLSA-N 0 1 311.422 3.370 20 30 DGEDMN N#Cc1cc(CN(CCO)[C@H]2CCCc3ccccc32)cs1 ZINC000892043756 617951037 /nfs/dbraw/zinc/95/10/37/617951037.db2.gz ZRXULJUZBNMZHV-SFHVURJKSA-N 0 1 312.438 3.492 20 30 DGEDMN CCSCCON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000155093748 620766839 /nfs/dbraw/zinc/76/68/39/620766839.db2.gz BPZJCZBBWBNYFG-UHFFFAOYSA-N 0 1 307.463 3.067 20 30 DGEDMN C#C[C@@H](CC)NC(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000854068345 620767162 /nfs/dbraw/zinc/76/71/62/620767162.db2.gz ABIBGHXTXNGJJH-XJKSGUPXSA-N 0 1 310.401 3.130 20 30 DGEDMN Cn1ncc(CN2CC=C(c3ccc(C#N)cc3)CC2)c1C1CC1 ZINC000892245499 617994735 /nfs/dbraw/zinc/99/47/35/617994735.db2.gz AFTANQWKINKIMI-UHFFFAOYSA-N 0 1 318.424 3.458 20 30 DGEDMN Cc1nc(C(C)C)ncc1C(=O)C(C#N)c1nc2ccccc2[nH]1 ZINC000892419806 618062081 /nfs/dbraw/zinc/06/20/81/618062081.db2.gz HVKBDEKXDLUWLN-LBPRGKRZSA-N 0 1 319.368 3.275 20 30 DGEDMN N#CC(=C([O-])c1cnc(CC2CC2)s1)c1nc2ccccc2[nH]1 ZINC000892420835 618062288 /nfs/dbraw/zinc/06/22/88/618062288.db2.gz YGYQPXRNTRKTAU-LLVKDONJSA-N 0 1 322.393 3.462 20 30 DGEDMN N#CC(C(=O)c1cnc(CC2CC2)s1)c1nc2ccccc2[nH]1 ZINC000892420835 618062291 /nfs/dbraw/zinc/06/22/91/618062291.db2.gz YGYQPXRNTRKTAU-LLVKDONJSA-N 0 1 322.393 3.462 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@H]1CCC2(CCC2)O1 ZINC000892420620 618062301 /nfs/dbraw/zinc/06/23/01/618062301.db2.gz UMBOTPOTUHQUCV-ZIAGYGMSSA-N 0 1 323.396 3.241 20 30 DGEDMN C[C@@H]1CO[C@@H](C(=O)C(C#N)c2nc(-c3ccccc3)cs2)C1 ZINC000892523191 618098686 /nfs/dbraw/zinc/09/86/86/618098686.db2.gz XZHPFFOPZISJRR-CORIIIEPSA-N 0 1 312.394 3.411 20 30 DGEDMN C#Cc1cccc(NC(=O)NC[C@@H]2CCN2Cc2ccccc2)c1 ZINC000854263111 620789869 /nfs/dbraw/zinc/78/98/69/620789869.db2.gz VEVNOWCBDOPEKF-IBGZPJMESA-N 0 1 319.408 3.064 20 30 DGEDMN C=CCC[C@H](CC)Nc1ccc2c(c1)nc(C)n2C(CO)CO ZINC000894920542 618499482 /nfs/dbraw/zinc/49/94/82/618499482.db2.gz DHOOERUJBQNWEB-AWEZNQCLSA-N 0 1 317.433 3.027 20 30 DGEDMN FC(F)(F)CCON=CC1(CN2CCOCC2)CCCCC1 ZINC000777459224 618540898 /nfs/dbraw/zinc/54/08/98/618540898.db2.gz HXAAYHHXAJXVLV-UHFFFAOYSA-N 0 1 322.371 3.224 20 30 DGEDMN O=C1[C@@H](NCc2ccc(-c3ccccc3F)s2)CCCN1O ZINC000895165366 618562010 /nfs/dbraw/zinc/56/20/10/618562010.db2.gz JPIYTBKADQVWHT-AWEZNQCLSA-N 0 1 320.389 3.024 20 30 DGEDMN C=CCOc1c(Cl)cc(CNC[C@H]2CC(C)=NO2)cc1OC ZINC000895302724 618591887 /nfs/dbraw/zinc/59/18/87/618591887.db2.gz VTXJKUVINCQJLT-CYBMUJFWSA-N 0 1 324.808 3.168 20 30 DGEDMN C[C@]1(C#N)CCN(Cc2cc(Br)cc(F)c2O)C1 ZINC000895334396 618596377 /nfs/dbraw/zinc/59/63/77/618596377.db2.gz HZGINTRJANGHRO-CYBMUJFWSA-N 0 1 313.170 3.029 20 30 DGEDMN C=CCN(Cc1n[nH]c(C)c1C)Cc1cccc([N+](=O)[O-])c1 ZINC000893981937 618687228 /nfs/dbraw/zinc/68/72/28/618687228.db2.gz YGVWJNXGVGABPW-UHFFFAOYSA-N 0 1 300.362 3.123 20 30 DGEDMN C=C(C)[C@H]1OCC[C@@H]1CNCc1csc(C(F)(F)F)n1 ZINC000894218755 618732389 /nfs/dbraw/zinc/73/23/89/618732389.db2.gz IDJIGOVWTVLULX-MWLCHTKSSA-N 0 1 306.353 3.233 20 30 DGEDMN N#C[C@@H]1CCCN(C(=O)c2cc(-c3ccc(Cl)cc3)[nH]n2)C1 ZINC000928227813 618760485 /nfs/dbraw/zinc/76/04/85/618760485.db2.gz MMDSBGMLTJWDLB-NSHDSACASA-N 0 1 314.776 3.106 20 30 DGEDMN Cc1noc2ncc(CNC3(c4cccc(C#N)c4)CC3)cc12 ZINC000894359197 618771720 /nfs/dbraw/zinc/77/17/20/618771720.db2.gz OEDSXGNEWBIMBT-UHFFFAOYSA-N 0 1 304.353 3.182 20 30 DGEDMN C#CC[C@@H](NCc1cc(C(=O)OC)c(CC)[nH]1)c1ccccc1 ZINC000894362273 618772238 /nfs/dbraw/zinc/77/22/38/618772238.db2.gz RIOIAXRLDOEBMH-GOSISDBHSA-N 0 1 310.397 3.218 20 30 DGEDMN C#CC1(O)CCN(Cc2ccc(SC(F)(F)F)cc2)CC1 ZINC000895380457 618776170 /nfs/dbraw/zinc/77/61/70/618776170.db2.gz QZXVHUZFEFTUPN-UHFFFAOYSA-N 0 1 315.360 3.259 20 30 DGEDMN C#CC1(O)CCN(C[C@H](C)c2cccc(Br)c2)CC1 ZINC000895384350 618776975 /nfs/dbraw/zinc/77/69/75/618776975.db2.gz KUSSEDINASBMQJ-ZDUSSCGKSA-N 0 1 322.246 3.013 20 30 DGEDMN N#CC1(CNCc2ncc(Br)cc2O)CCCCC1 ZINC000895405291 618779775 /nfs/dbraw/zinc/77/97/75/618779775.db2.gz XYTKGEAEAIHNIF-UHFFFAOYSA-N 0 1 324.222 3.113 20 30 DGEDMN N#Cc1c(N[C@H]2CCCN3CCSC[C@H]23)cccc1C(F)F ZINC000895571116 618800246 /nfs/dbraw/zinc/80/02/46/618800246.db2.gz ZOZBUOOOWRKQQL-LSDHHAIUSA-N 0 1 323.412 3.488 20 30 DGEDMN CC(C)(NCc1cc(C#N)cnc1Cl)[C@H](O)c1ccccc1 ZINC000895662081 618813950 /nfs/dbraw/zinc/81/39/50/618813950.db2.gz LTEYDHKDBNRAHC-OAHLLOKOSA-N 0 1 315.804 3.208 20 30 DGEDMN C#CCC1(O)CCN(Cc2ccc(C(C)C)c([N+](=O)[O-])c2)CC1 ZINC000895674852 618814701 /nfs/dbraw/zinc/81/47/01/618814701.db2.gz GSJHEZUMSXLYCY-UHFFFAOYSA-N 0 1 316.401 3.068 20 30 DGEDMN C#CCN1CCC(Nc2ccc(C(F)F)cc2[N+](=O)[O-])CC1 ZINC000895807892 618834220 /nfs/dbraw/zinc/83/42/20/618834220.db2.gz DQSMXIDZYHAACF-UHFFFAOYSA-N 0 1 309.316 3.042 20 30 DGEDMN C=CCC1(O)CCN(Cc2cnn(-c3ccccc3C)c2)CC1 ZINC000895835066 618839576 /nfs/dbraw/zinc/83/95/76/618839576.db2.gz XVCQUMFBQBFUJG-UHFFFAOYSA-N 0 1 311.429 3.084 20 30 DGEDMN C[C@@H]1C[C@@H](CN2CCC[C@@H](C#N)C2)CCN1C(=O)OC(C)(C)C ZINC000896502769 618921856 /nfs/dbraw/zinc/92/18/56/618921856.db2.gz GXQXBGTYHITKDT-PMPSAXMXSA-N 0 1 321.465 3.258 20 30 DGEDMN Ic1cccc(C=NNc2ccccn2)c1 ZINC000788963688 625305250 /nfs/dbraw/zinc/30/52/50/625305250.db2.gz JWRPQPGZRHSHQY-UHFFFAOYSA-N 0 1 323.137 3.132 20 30 DGEDMN C=C1CCC(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CC1 ZINC000896763646 618955185 /nfs/dbraw/zinc/95/51/85/618955185.db2.gz TUZQEPFJEDMXFF-UHFFFAOYSA-N 0 1 301.365 3.107 20 30 DGEDMN C#CCN1CCC(NC(=O)CCCc2ccc(C3CC3)cc2)CC1 ZINC000928660482 619030475 /nfs/dbraw/zinc/03/04/75/619030475.db2.gz OIEQNJDJSCJAPG-UHFFFAOYSA-N 0 1 324.468 3.101 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CNCc2cnn(CC3CCC3)c2)cc1 ZINC000897433318 619118990 /nfs/dbraw/zinc/11/89/90/619118990.db2.gz VDDPVOJNXACRPB-OAHLLOKOSA-N 0 1 324.428 3.264 20 30 DGEDMN Cc1ccc(O)c(CN2CCO[C@H](c3ccc(C#N)cc3)C2)c1 ZINC000897590755 619163816 /nfs/dbraw/zinc/16/38/16/619163816.db2.gz AOXYUAIOSRFEFL-IBGZPJMESA-N 0 1 308.381 3.146 20 30 DGEDMN CSC1(CN2CCO[C@H](c3ccc(C#N)cc3)C2)CCC1 ZINC000897596876 619164562 /nfs/dbraw/zinc/16/45/62/619164562.db2.gz VYVDIBYUPXVGDZ-INIZCTEOSA-N 0 1 302.443 3.217 20 30 DGEDMN Cc1cccc(C)c1CN(C)Cc1cnc2ccc(C#N)cn12 ZINC000897624258 619168020 /nfs/dbraw/zinc/16/80/20/619168020.db2.gz FQZOSAYNARZIBI-UHFFFAOYSA-N 0 1 304.397 3.455 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CC[C@@H](c2nc[nH]n2)C1)c1ccccc1 ZINC000898042935 619208937 /nfs/dbraw/zinc/20/89/37/619208937.db2.gz YZFGGLORYIEIES-IAGOWNOFSA-N 0 1 324.428 3.261 20 30 DGEDMN C#CCOc1ccccc1-c1noc([C@H]2CCCN2C(C)C)n1 ZINC000923883573 619246980 /nfs/dbraw/zinc/24/69/80/619246980.db2.gz YMGCCKKLKYYIFB-OAHLLOKOSA-N 0 1 311.385 3.294 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)cc1 ZINC000900418958 619428084 /nfs/dbraw/zinc/42/80/84/619428084.db2.gz STFKYSCEOHHMTJ-RDTXWAMCSA-N 0 1 322.412 3.331 20 30 DGEDMN C#Cc1cccc(N(CC)C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)c1 ZINC000900562022 619436558 /nfs/dbraw/zinc/43/65/58/619436558.db2.gz KJTCNWLZFYGCEZ-UGSOOPFHSA-N 0 1 307.397 3.110 20 30 DGEDMN COc1ccc(Cl)c2c1CCCC2=N[N-]c1[nH+]ccn1C ZINC000901235855 619479385 /nfs/dbraw/zinc/47/93/85/619479385.db2.gz LIKBJMRNDIJYQI-UHFFFAOYSA-N 0 1 304.781 3.235 20 30 DGEDMN COc1ccc(Cl)c2c1CCCC2=NNc1nccn1C ZINC000901235855 619479386 /nfs/dbraw/zinc/47/93/86/619479386.db2.gz LIKBJMRNDIJYQI-UHFFFAOYSA-N 0 1 304.781 3.235 20 30 DGEDMN Cn1cc(C=NNc2cc(C(F)(F)F)ccn2)c(C2CC2)n1 ZINC000901250362 619480278 /nfs/dbraw/zinc/48/02/78/619480278.db2.gz SFZGSZJSJUIMOL-UHFFFAOYSA-N 0 1 309.295 3.157 20 30 DGEDMN FC(F)(F)c1ccnc(NN=Cc2ccnn2C2CCC2)c1 ZINC000901250220 619480532 /nfs/dbraw/zinc/48/05/32/619480532.db2.gz NKSGLYVIZNOAAZ-UHFFFAOYSA-N 0 1 309.295 3.468 20 30 DGEDMN O=C(/C=C\c1cc(F)c(O)c(F)c1)c1cc2n(n1)CCCC2 ZINC000901560572 619516397 /nfs/dbraw/zinc/51/63/97/619516397.db2.gz HHENVPUOUCCBGA-PLNGDYQASA-N 0 1 304.296 3.099 20 30 DGEDMN C=CCOc1cccc(C[N@H+]2C[C@H]3CCCC[C@@]3(C(=O)[O-])C2)c1 ZINC000901914738 619560228 /nfs/dbraw/zinc/56/02/28/619560228.db2.gz UNHJZLPBVKYLTB-VQIMIIECSA-N 0 1 315.413 3.328 20 30 DGEDMN C=CC[C@H]1CC[N@H+](Cc2cc(C)c(OCC(=O)[O-])c(C)c2)C1 ZINC000902489668 619646233 /nfs/dbraw/zinc/64/62/33/619646233.db2.gz NIGFNEAFHXAWDE-HNNXBMFYSA-N 0 1 303.402 3.165 20 30 DGEDMN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cc(C#N)ccn1 ZINC000902727475 619666485 /nfs/dbraw/zinc/66/64/85/619666485.db2.gz ZKVNJUXRVQANOO-QWHCGFSZSA-N 0 1 309.369 3.136 20 30 DGEDMN C[C@H]1[C@@H](NCC2(C#N)CCC2)CCCN1C(=O)OC(C)(C)C ZINC000903035435 619705218 /nfs/dbraw/zinc/70/52/18/619705218.db2.gz PGZAAFIVSVTBML-KBPBESRZSA-N 0 1 307.438 3.058 20 30 DGEDMN CC(C)(C)OC(=O)N[C@@H]1CCCCC[C@H]1NCC1(C#N)CC1 ZINC000903105859 619717560 /nfs/dbraw/zinc/71/75/60/619717560.db2.gz ICGZMCYUAZGQIG-ZIAGYGMSSA-N 0 1 307.438 3.106 20 30 DGEDMN CC[C@@H]([NH2+]CC1(C#N)CC1)c1cc(Br)ccc1[O-] ZINC000903105250 619717583 /nfs/dbraw/zinc/71/75/83/619717583.db2.gz BEQXMDTWDOBPDS-GFCCVEGCSA-N 0 1 309.207 3.499 20 30 DGEDMN Cc1cc(C#N)cc(C)c1C[N@@H+]1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)[O-] ZINC000903402212 619773877 /nfs/dbraw/zinc/77/38/77/619773877.db2.gz NVQYMZYRIKIXGD-NXHRZFHOSA-N 0 1 312.413 3.393 20 30 DGEDMN COC(=O)[C@@H]1CC[C@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1 ZINC000905001333 619964185 /nfs/dbraw/zinc/96/41/85/619964185.db2.gz GQLDVAVDMAJFGZ-ZNMOMWQKSA-N 0 1 316.184 3.460 20 30 DGEDMN CCN1CCC[C@@H](/N=C/c2cc(Cl)cc(Cl)c2O)C1=O ZINC000905003830 619964573 /nfs/dbraw/zinc/96/45/73/619964573.db2.gz WPRLPGNNWWOBDE-VQGSWFTOSA-N 0 1 315.200 3.129 20 30 DGEDMN C[C@](O)(CNCc1cccc(F)c1F)C(F)(F)C(F)(F)F ZINC000905403333 619994404 /nfs/dbraw/zinc/99/44/04/619994404.db2.gz GGQIURSCADIYSI-JTQLQIEISA-N 0 1 319.220 3.003 20 30 DGEDMN Cn1cc(CNc2nc3ccc(C#N)cc3[nH]2)c(C2CCCC2)n1 ZINC000905418775 619996139 /nfs/dbraw/zinc/99/61/39/619996139.db2.gz JBQAQTWWXGYYED-UHFFFAOYSA-N 0 1 320.400 3.438 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC1C[C@H]2CCC[N@H+](C1)C2 ZINC000905468022 620001905 /nfs/dbraw/zinc/00/19/05/620001905.db2.gz QUPYXQVLOUQFNT-LLVKDONJSA-N 0 1 307.829 3.137 20 30 DGEDMN CC(C)c1c(Cl)ncnc1N=NC1C[C@H]2CCCN(C1)C2 ZINC000905468022 620001909 /nfs/dbraw/zinc/00/19/09/620001909.db2.gz QUPYXQVLOUQFNT-LLVKDONJSA-N 0 1 307.829 3.137 20 30 DGEDMN CCOCc1nc(C)cc(NN=Cc2cc(C)nc(Cl)c2)n1 ZINC000905498467 620004470 /nfs/dbraw/zinc/00/44/70/620004470.db2.gz GSBOFLMFXAIHHA-UHFFFAOYSA-N 0 1 319.796 3.124 20 30 DGEDMN CN(Cc1cn(Cc2cc(Cl)cc(C#N)c2)nn1)C(C)(C)C ZINC000905835544 620032928 /nfs/dbraw/zinc/03/29/28/620032928.db2.gz FOBLYRVIVXTGMC-UHFFFAOYSA-N 0 1 317.824 3.082 20 30 DGEDMN C[N@H+]1CCCC[C@@H]1CCn1[n-]c(C(C)(C)C)c(CCC#N)c1=O ZINC000906498412 620100910 /nfs/dbraw/zinc/10/09/10/620100910.db2.gz AMRKOHOOJLRVST-CQSZACIVSA-N 0 1 318.465 3.217 20 30 DGEDMN Cc1[nH]ncc1C1CCN(C(=O)c2cc(C)c(C#N)c(C)c2)CC1 ZINC000908074312 620189059 /nfs/dbraw/zinc/18/90/59/620189059.db2.gz AGOBPODNJCQGFL-UHFFFAOYSA-N 0 1 322.412 3.226 20 30 DGEDMN C=CCN(Cc1cccs1)C(=O)c1ccc2n1CCN[C@H]2C ZINC000912081575 620364731 /nfs/dbraw/zinc/36/47/31/620364731.db2.gz IRCLLZXYQWFXIX-ZDUSSCGKSA-N 0 1 315.442 3.042 20 30 DGEDMN CCC(C#N)(CC)C(=O)NCc1ccc(Nc2ccncc2)cc1 ZINC000912774050 620405528 /nfs/dbraw/zinc/40/55/28/620405528.db2.gz JOXLENBJJGJEHI-UHFFFAOYSA-N 0 1 322.412 3.193 20 30 DGEDMN Cc1c(C=NNc2ccc(C)cc2)c(=O)n(-c2ccccc2)n1C ZINC000912775533 620406041 /nfs/dbraw/zinc/40/60/41/620406041.db2.gz NVTMIESZUZJYMG-UHFFFAOYSA-N 0 1 320.396 3.239 20 30 DGEDMN CC(=NN=c1[nH]c2cc(F)c(F)cc2[nH]1)c1csc(C)n1 ZINC000912823178 620408283 /nfs/dbraw/zinc/40/82/83/620408283.db2.gz OGBBLITTWAGZBY-UHFFFAOYSA-N 0 1 307.329 3.442 20 30 DGEDMN C=CCCC[C@@H](C(=O)N1CC[C@H](N2CCC2)C1)c1ccccc1 ZINC000912903646 620413823 /nfs/dbraw/zinc/41/38/23/620413823.db2.gz OJXYILZRVXHNNB-RBUKOAKNSA-N 0 1 312.457 3.433 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2cccnc2OCC)cc1 ZINC000151188311 620428796 /nfs/dbraw/zinc/42/87/96/620428796.db2.gz RUCRXSAKMLBLMR-OAHLLOKOSA-N 0 1 310.397 3.343 20 30 DGEDMN CC(=NNC(=N)N)c1ccc(-c2ccc(Cl)cc2F)s1 ZINC000758102512 620459971 /nfs/dbraw/zinc/45/99/71/620459971.db2.gz AARJYJWMESNMMP-UHFFFAOYSA-N 0 1 310.785 3.415 20 30 DGEDMN C=CCc1cc(CN2CCO[C@H](CCC)C2)c(O)c(OC)c1 ZINC000152500604 620510263 /nfs/dbraw/zinc/51/02/63/620510263.db2.gz JSMAUVKJBYVRNE-MRXNPFEDSA-N 0 1 305.418 3.130 20 30 DGEDMN C=CCc1cc(OC)cc(CN2CCO[C@@H](CCC)C2)c1O ZINC000152501270 620510386 /nfs/dbraw/zinc/51/03/86/620510386.db2.gz OQYBYJLDWURXPE-INIZCTEOSA-N 0 1 305.418 3.130 20 30 DGEDMN C#CCSCCNCc1c(C)nn(-c2ccccc2)c1Cl ZINC000152933936 620528886 /nfs/dbraw/zinc/52/88/86/620528886.db2.gz QOPOCWXRDMYZQB-UHFFFAOYSA-N 0 1 319.861 3.290 20 30 DGEDMN Cc1ccc(C#N)cc1S(=O)(=O)Nc1cnc(Cl)cc1C ZINC000153017727 620531066 /nfs/dbraw/zinc/53/10/66/620531066.db2.gz TWLBPXLJHMEIQP-UHFFFAOYSA-N 0 1 321.789 3.024 20 30 DGEDMN C#CC[C@H](OC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1)C1CC1 ZINC000153023108 620531444 /nfs/dbraw/zinc/53/14/44/620531444.db2.gz CLODNNMDRIFPDH-INIZCTEOSA-N 0 1 321.380 3.308 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)Nc1ccccc1OC)C(C)C ZINC000153323552 620550599 /nfs/dbraw/zinc/55/05/99/620550599.db2.gz FMRJEAZIMRSACJ-KBPBESRZSA-N 0 1 316.401 3.415 20 30 DGEDMN CC[NH+](CC)Cc1cc(C(C)=NOC[C@H]2CCOC2)ccc1[O-] ZINC000788034830 620565042 /nfs/dbraw/zinc/56/50/42/620565042.db2.gz VWXNWIPTIZVCSS-HNNXBMFYSA-N 0 1 320.433 3.011 20 30 DGEDMN CC(C)(C)n1cc(C=NN=c2[nH]c3cc(F)c(F)cc3[nH]2)cn1 ZINC000915958882 620608251 /nfs/dbraw/zinc/60/82/51/620608251.db2.gz BHICTYUZYUZFEF-UHFFFAOYSA-N 0 1 318.331 3.239 20 30 DGEDMN CCCCNN=C(C)c1ccc([O-])c(C[NH+]2CCCCC2)c1 ZINC000915970087 620609594 /nfs/dbraw/zinc/60/95/94/620609594.db2.gz XDZVWZYSPQMCEV-UHFFFAOYSA-N 0 1 303.450 3.492 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccc(Cl)c([N+](=O)[O-])c1 ZINC000915973457 620610502 /nfs/dbraw/zinc/61/05/02/620610502.db2.gz JHCUOHTWPDIJFD-UHFFFAOYSA-N 0 1 324.812 3.048 20 30 DGEDMN CC(=NNCCN1CCCCC1)c1ccccc1OC(F)F ZINC000915975005 620610518 /nfs/dbraw/zinc/61/05/18/620610518.db2.gz ZDMKPZJCEOKAEB-UHFFFAOYSA-N 0 1 311.376 3.088 20 30 DGEDMN CN(C)c1nc(Cl)c(C=NNc2ccc(C#N)cc2)s1 ZINC000915962406 620611468 /nfs/dbraw/zinc/61/14/68/620611468.db2.gz LAHSJIPGWKAEOL-UHFFFAOYSA-N 0 1 305.794 3.180 20 30 DGEDMN FC(F)(F)c1n[nH]c(=S)n1N=Cc1ccc2ncccc2c1 ZINC000916304802 620625299 /nfs/dbraw/zinc/62/52/99/620625299.db2.gz BYAJMWPHWSXVCR-UHFFFAOYSA-N 0 1 323.303 3.016 20 30 DGEDMN N#Cc1cc(F)ccc1CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000852596863 620633338 /nfs/dbraw/zinc/63/33/38/620633338.db2.gz OYVBDQFHUFLNEN-CRAIPNDOSA-N 0 1 302.393 3.478 20 30 DGEDMN CC(N=Nc1ccc(F)cc1F)c1ccc(S(C)(=O)=O)cc1 ZINC000916540378 620633549 /nfs/dbraw/zinc/63/35/49/620633549.db2.gz TTZXDSYAJNFRBJ-UHFFFAOYSA-N 0 1 324.352 3.204 20 30 DGEDMN CN(CCOCC1CC1)Cc1cc(Cl)ccc1OCC#N ZINC000756049645 620915934 /nfs/dbraw/zinc/91/59/34/620915934.db2.gz XIYMJNKZTBXBFX-UHFFFAOYSA-N 0 1 308.809 3.101 20 30 DGEDMN CC(C)(C)Oc1ccc(CNCc2csc(C#N)c2)cn1 ZINC000921977037 620975318 /nfs/dbraw/zinc/97/53/18/620975318.db2.gz LRFHPHDCFWYVRK-UHFFFAOYSA-N 0 1 301.415 3.482 20 30 DGEDMN COCCCOc1ccc(CNCc2csc(C#N)c2)cc1 ZINC000922121401 620990980 /nfs/dbraw/zinc/99/09/80/620990980.db2.gz GLGNSQNENXWTAX-UHFFFAOYSA-N 0 1 316.426 3.325 20 30 DGEDMN COc1ccc(F)c(CNCc2ccc(O[C@@H](C)C#N)cc2)c1 ZINC000856801072 621023668 /nfs/dbraw/zinc/02/36/68/621023668.db2.gz JVIUOXLQXGNRMZ-ZDUSSCGKSA-N 0 1 314.360 3.415 20 30 DGEDMN C[C@@H]1C(N=Nc2ncc(Cl)cn2)CCN1Cc1ccccc1 ZINC000857220505 621069695 /nfs/dbraw/zinc/06/96/95/621069695.db2.gz JHVRJIPGBQISAK-GFCCVEGCSA-N 0 1 315.808 3.192 20 30 DGEDMN C[C@H]1C(N=Nc2ncc(Cl)cn2)CCN1Cc1ccccc1 ZINC000857220506 621069778 /nfs/dbraw/zinc/06/97/78/621069778.db2.gz JHVRJIPGBQISAK-LBPRGKRZSA-N 0 1 315.808 3.192 20 30 DGEDMN Cc1ccc(CNCc2cnc([C@@H]3CCCO3)s2)cc1C#N ZINC000922758630 621095534 /nfs/dbraw/zinc/09/55/34/621095534.db2.gz FFTHHWDNUIGBDR-INIZCTEOSA-N 0 1 313.426 3.465 20 30 DGEDMN COc1ccc(CNCc2csc(C#N)c2)c(C)c1OC ZINC000922966507 621138551 /nfs/dbraw/zinc/13/85/51/621138551.db2.gz OXMSDTPOFVPLFU-UHFFFAOYSA-N 0 1 302.399 3.235 20 30 DGEDMN C#CCCOC(=O)NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000858900575 621230116 /nfs/dbraw/zinc/23/01/16/621230116.db2.gz UFBBDVVDYSZZGX-INIZCTEOSA-N 0 1 320.820 3.226 20 30 DGEDMN C[C@@H](C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1)C1CCC1 ZINC000838785510 621280379 /nfs/dbraw/zinc/28/03/79/621280379.db2.gz QLWDAKFWIPCWKL-YGRLFVJLSA-N 0 1 304.777 3.424 20 30 DGEDMN C#CCn1ccc(CN(CC)CCc2cccc(Cl)c2)n1 ZINC000839065753 621321463 /nfs/dbraw/zinc/32/14/63/621321463.db2.gz SIPCVEWQKMXRAO-UHFFFAOYSA-N 0 1 301.821 3.234 20 30 DGEDMN O=[N+]([O-])c1ccc(N=NC2CCN(Cc3ccccc3)C2)cc1 ZINC000839381533 621365496 /nfs/dbraw/zinc/36/54/96/621365496.db2.gz JZAQEIZLPCFVCK-UHFFFAOYSA-N 0 1 310.357 3.269 20 30 DGEDMN Cn1c2ccccc2nc1C(C#N)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC000860450553 621406204 /nfs/dbraw/zinc/40/62/04/621406204.db2.gz TVRJNINHJOWSRN-UPJWGTAASA-N 0 1 305.337 3.146 20 30 DGEDMN CCCC[C@@H](CC)CC(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000117532756 621411391 /nfs/dbraw/zinc/41/13/91/621411391.db2.gz YCJJOFCMMCNGTK-ZIAGYGMSSA-N 0 1 301.390 3.335 20 30 DGEDMN CC[C@H](C)c1nc([C@@H](C)NCc2ccc(OC)c(C#N)c2)n[nH]1 ZINC000752939534 621416606 /nfs/dbraw/zinc/41/66/06/621416606.db2.gz ILZPUZOTWPLZQT-NWDGAFQWSA-N 0 1 313.405 3.049 20 30 DGEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1sc(C(C)C)nc1C ZINC000753934195 621472057 /nfs/dbraw/zinc/47/20/57/621472057.db2.gz GHJCEELHLJNCEZ-STQMWFEESA-N 0 1 319.478 3.159 20 30 DGEDMN CCCOc1ccc(C(C)=NNC2=N[C@@H]3CCCC[C@@H]3N2)cc1 ZINC000753931342 621472302 /nfs/dbraw/zinc/47/23/02/621472302.db2.gz YQKKOFRXQCMCHY-CALCHBBNSA-N 0 1 314.433 3.059 20 30 DGEDMN C[C@H]1COC(C)(C)CN1Cc1ccc(N(C)CCC#N)cc1 ZINC000754156813 621492439 /nfs/dbraw/zinc/49/24/39/621492439.db2.gz VJYFNDVAVYXQNX-HNNXBMFYSA-N 0 1 301.434 3.036 20 30 DGEDMN COc1cccc(OC)c1C=NNc1nc2ccccc2n1C ZINC000755649808 621583412 /nfs/dbraw/zinc/58/34/12/621583412.db2.gz YVDAGSIUAHWOID-UHFFFAOYSA-N 0 1 310.357 3.037 20 30 DGEDMN C(=NNCCN1CCCCC1)c1nc(-c2ccccc2)cs1 ZINC000755658495 621583840 /nfs/dbraw/zinc/58/38/40/621583840.db2.gz WBKMVHGKUHSUGB-UHFFFAOYSA-N 0 1 314.458 3.220 20 30 DGEDMN O=c1[nH]c(N=NC[C@H]2CCc3ccccc32)nc2ccccc21 ZINC000755766865 621592033 /nfs/dbraw/zinc/59/20/33/621592033.db2.gz UFTYNRFAJBQTSL-CYBMUJFWSA-N 0 1 304.353 3.463 20 30 DGEDMN CCSc1ccc(C(C)=NNC2=N[C@H]3CCCC[C@@H]3N2)cc1 ZINC000755815267 621595387 /nfs/dbraw/zinc/59/53/87/621595387.db2.gz RWWQORHHHUZNNV-HOTGVXAUSA-N 0 1 316.474 3.383 20 30 DGEDMN CCSc1ccc(C(C)=NNC2=N[C@@H]3CCCC[C@H]3N2)cc1 ZINC000755815268 621595447 /nfs/dbraw/zinc/59/54/47/621595447.db2.gz RWWQORHHHUZNNV-HZPDHXFCSA-N 0 1 316.474 3.383 20 30 DGEDMN N#Cc1ccc(CN2CCC(=NO[C@@H]3CCCCO3)CC2)cc1 ZINC000755822709 621596315 /nfs/dbraw/zinc/59/63/15/621596315.db2.gz IFHLPVDNAHOTQC-GOSISDBHSA-N 0 1 313.401 3.053 20 30 DGEDMN C=CCC[C@@H]([NH2+]Cc1ncc(-c2ccccc2)s1)C(=O)[O-] ZINC000756386311 621633891 /nfs/dbraw/zinc/63/38/91/621633891.db2.gz HQVZSPZVTOTBJU-CYBMUJFWSA-N 0 1 302.399 3.319 20 30 DGEDMN Cc1cnccc1NN=C1CCCOc2cc(F)cc(F)c21 ZINC000863157844 621642922 /nfs/dbraw/zinc/64/29/22/621642922.db2.gz HZXHYCWRQCRJBA-UHFFFAOYSA-N 0 1 303.312 3.079 20 30 DGEDMN C#CCN1CCC[C@H]1COC(=O)c1cc(C)c(F)cc1Cl ZINC000756550542 621647207 /nfs/dbraw/zinc/64/72/07/621647207.db2.gz LFAZAPUKOARMAW-LBPRGKRZSA-N 0 1 309.768 3.042 20 30 DGEDMN CCCCCCCCCN(C)CN1C[C@@]2(CCOC2)CC1=O ZINC000756844900 621667541 /nfs/dbraw/zinc/66/75/41/621667541.db2.gz DBLPHELWLOWXAT-GOSISDBHSA-N 0 1 310.482 3.265 20 30 DGEDMN COc1ccc(C(C)N=Nc2ccncc2Cl)c(OC)c1 ZINC000756840409 621667548 /nfs/dbraw/zinc/66/75/48/621667548.db2.gz WXGOTPCZSMVWOL-UHFFFAOYSA-N 0 1 305.765 3.010 20 30 DGEDMN CC(=NNc1ccncc1Cl)c1ccc(-n2ccnc2)cc1 ZINC000756839731 621667561 /nfs/dbraw/zinc/66/75/61/621667561.db2.gz TXOAERPDINMRKA-UHFFFAOYSA-N 0 1 311.776 3.179 20 30 DGEDMN COCCOc1ccc(C(C)=NNc2ccncc2Cl)cc1 ZINC000756839693 621667705 /nfs/dbraw/zinc/66/77/05/621667705.db2.gz SESFPGGJNFHPDP-UHFFFAOYSA-N 0 1 319.792 3.018 20 30 DGEDMN CCCCCCCCN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000756909360 621672424 /nfs/dbraw/zinc/67/24/24/621672424.db2.gz OAIRZGVDJXJUAE-INIZCTEOSA-N 0 1 315.465 3.219 20 30 DGEDMN O=C(NCCC(F)(F)F)C(F)(F)c1c(F)cccc1F ZINC000757032104 621680556 /nfs/dbraw/zinc/68/05/56/621680556.db2.gz IREZDIJPLCIUDL-UHFFFAOYSA-N 0 1 303.177 3.125 20 30 DGEDMN CCOc1ccc(C[C@H](C)C(=O)Nc2cc(C#N)ccc2O)cc1 ZINC000839696118 621709076 /nfs/dbraw/zinc/70/90/76/621709076.db2.gz HSKQHXGKXHNLMO-ZDUSSCGKSA-N 0 1 324.380 3.480 20 30 DGEDMN CCOc1ccc(/C=C\C(=O)c2ccc(O)cc2O)cc1OC ZINC000757472680 621715500 /nfs/dbraw/zinc/71/55/00/621715500.db2.gz RQBICODDQBPLMR-YWEYNIOJSA-N 0 1 314.337 3.401 20 30 DGEDMN Cc1cc(C)nc(N=NC2C[C@H](C)N(Cc3ccccc3)C2)n1 ZINC000757562238 621724574 /nfs/dbraw/zinc/72/45/74/621724574.db2.gz WCCDFTGMLXAQBO-HNNXBMFYSA-N 0 1 309.417 3.156 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NNC1CCOCC1 ZINC000757586347 621726727 /nfs/dbraw/zinc/72/67/27/621726727.db2.gz VMUDDBLRWRBZLV-AWEZNQCLSA-N 0 1 321.440 3.411 20 30 DGEDMN CC(C)(C)NC(=S)NN=Cc1cc(Cl)c(O)cc1Cl ZINC000758095416 621757766 /nfs/dbraw/zinc/75/77/66/621757766.db2.gz KGLOAALGJWZNLH-UHFFFAOYSA-N 0 1 320.245 3.296 20 30 DGEDMN Cc1ccn(CN(Cc2ccccc2)CC(C)C)c(=O)c1C#N ZINC000758210226 621766483 /nfs/dbraw/zinc/76/64/83/621766483.db2.gz OGZNBHPKSHDXIM-UHFFFAOYSA-N 0 1 309.413 3.144 20 30 DGEDMN N#CC(C(=O)Nc1ccc(Cl)cc1)C(=O)[C@H]1CC12CCC2 ZINC000759836595 621894609 /nfs/dbraw/zinc/89/46/09/621894609.db2.gz ZAPJXBVJVHJXGI-QWHCGFSZSA-N 0 1 302.761 3.178 20 30 DGEDMN C#CCCCNC(=O)c1cccc2nc(-c3cccs3)[nH]c21 ZINC000759903149 621900711 /nfs/dbraw/zinc/90/07/11/621900711.db2.gz SJPFWYRMIPEAEJ-UHFFFAOYSA-N 0 1 309.394 3.435 20 30 DGEDMN COc1ccc(C(=O)/C=C/c2cccc(-n3cccn3)c2)c(O)c1 ZINC000760264649 621926091 /nfs/dbraw/zinc/92/60/91/621926091.db2.gz KUAYUZIXCLQXLZ-RMKNXTFCSA-N 0 1 320.348 3.483 20 30 DGEDMN O=C(c1ccc(CNCC#Cc2ccccc2)cc1)N1CCCC1 ZINC000865468280 621928050 /nfs/dbraw/zinc/92/80/50/621928050.db2.gz FYIPMUJTFWDPCD-UHFFFAOYSA-N 0 1 318.420 3.064 20 30 DGEDMN CCCN(CC)c1ccc(C(O)=C(C#N)c2ccncn2)cc1 ZINC000760356494 621934923 /nfs/dbraw/zinc/93/49/23/621934923.db2.gz HOYQUYFQVYHODE-MRXNPFEDSA-N 0 1 308.385 3.203 20 30 DGEDMN Cc1ccc(Cl)c(O[C@@H](C)C(=O)C(C#N)c2ccncn2)c1 ZINC000760357082 621935381 /nfs/dbraw/zinc/93/53/81/621935381.db2.gz JZGVJGOGWOMTJG-RYUDHWBXSA-N 0 1 315.760 3.082 20 30 DGEDMN Cc1cc(C[C@@H](C)Nc2c(Br)cccc2C#N)[nH]n1 ZINC000865622196 621964616 /nfs/dbraw/zinc/96/46/16/621964616.db2.gz OWMJKNLUFILYOK-SECBINFHSA-N 0 1 319.206 3.395 20 30 DGEDMN C=C(Br)CN(CC)[C@H]1CCN(Cc2ccccc2)C1 ZINC000760865764 621969495 /nfs/dbraw/zinc/96/94/95/621969495.db2.gz HGOXZCMEQFJQBY-INIZCTEOSA-N 0 1 323.278 3.491 20 30 DGEDMN CCO[C@@H](CC(=O)[C@@H](C#N)c1nc2cccc(C)c2[nH]1)C(C)C ZINC000761193174 621993131 /nfs/dbraw/zinc/99/31/31/621993131.db2.gz CCSNMVVLGVJFDA-CJNGLKHVSA-N 0 1 313.401 3.499 20 30 DGEDMN CCC(CC)(CC)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000761474033 622011015 /nfs/dbraw/zinc/01/10/15/622011015.db2.gz HVSKRDVQHJLJSZ-GFCCVEGCSA-N 0 1 322.430 3.387 20 30 DGEDMN CCC(CC)(CC)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000761474033 622011019 /nfs/dbraw/zinc/01/10/19/622011019.db2.gz HVSKRDVQHJLJSZ-GFCCVEGCSA-N 0 1 322.430 3.387 20 30 DGEDMN CN(C[C@H](O)c1cccc(C#N)c1)c1ccncc1C(F)(F)F ZINC000865907510 622018733 /nfs/dbraw/zinc/01/87/33/622018733.db2.gz SKYKWEVECSAMLL-HNNXBMFYSA-N 0 1 321.302 3.142 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCSC1)c1nc2ccccc2n1C(F)F ZINC000762068517 622050717 /nfs/dbraw/zinc/05/07/17/622050717.db2.gz KRVJKMRIBAWYEQ-ZJUUUORDSA-N 0 1 321.352 3.361 20 30 DGEDMN C[C@H]1c2c(F)cccc2CCN1C[C@H](O)c1ccc(C#N)cc1 ZINC000763411672 622143691 /nfs/dbraw/zinc/14/36/91/622143691.db2.gz SYZAZQUJJXUPOB-UGSOOPFHSA-N 0 1 310.372 3.350 20 30 DGEDMN CC(C)(C)CC(C)(C)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867953530 622197076 /nfs/dbraw/zinc/19/70/76/622197076.db2.gz ZBBAABCKZRNQRS-INIZCTEOSA-N 0 1 313.445 3.076 20 30 DGEDMN C#CCN(CC1=Cc2cc(Br)ccc2OC1)C1CC1 ZINC000764676191 622212502 /nfs/dbraw/zinc/21/25/02/622212502.db2.gz GFBNBAAXLYABIH-UHFFFAOYSA-N 0 1 318.214 3.323 20 30 DGEDMN C#CCN(Cc1ccc(OCC(F)(F)F)c(F)c1)C1CC1 ZINC000764676176 622212869 /nfs/dbraw/zinc/21/28/69/622212869.db2.gz GAMICGKIZNFMCJ-UHFFFAOYSA-N 0 1 301.283 3.364 20 30 DGEDMN CC[C@H](C(=O)C(C#N)C(=O)N1CCCC1)C1CCC(C)CC1 ZINC000764760670 622218032 /nfs/dbraw/zinc/21/80/32/622218032.db2.gz NIEQDKVITYCLSM-SSHXOBKSSA-N 0 1 304.434 3.170 20 30 DGEDMN C[C@H]1CC(=NC(=O)c2cc(CCc3ccccc3)ccc2O)NO1 ZINC000765369269 622261376 /nfs/dbraw/zinc/26/13/76/622261376.db2.gz PRVWOEXCQASGDA-ZDUSSCGKSA-N 0 1 324.380 3.030 20 30 DGEDMN CC(C)C[C@@H]1CCCCN1Cn1cc2c(c(C#N)c1=O)CCC2 ZINC000766631251 622343738 /nfs/dbraw/zinc/34/37/38/622343738.db2.gz DWROAXWPMSEQOS-INIZCTEOSA-N 0 1 313.445 3.067 20 30 DGEDMN Brc1cncc(C=NN=c2[nH]c3ccccc3[nH]2)c1 ZINC000767356928 622392591 /nfs/dbraw/zinc/39/25/91/622392591.db2.gz DSXPUCCSMMMXJG-UHFFFAOYSA-N 0 1 316.162 3.166 20 30 DGEDMN Cc1cc(N=NC2C[C@H](C)C[N@H+](Cc3ccccc3)C2)ncn1 ZINC000767376818 622394623 /nfs/dbraw/zinc/39/46/23/622394623.db2.gz FLZTVBWICUSPIO-AWEZNQCLSA-N 0 1 309.417 3.095 20 30 DGEDMN Cc1cc(N=NC2C[C@H](C)CN(Cc3ccccc3)C2)ncn1 ZINC000767376818 622394626 /nfs/dbraw/zinc/39/46/26/622394626.db2.gz FLZTVBWICUSPIO-AWEZNQCLSA-N 0 1 309.417 3.095 20 30 DGEDMN C=C(Cl)COC(=O)CSc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000767423593 622397748 /nfs/dbraw/zinc/39/77/48/622397748.db2.gz NTFLSWQAQIGJBK-UHFFFAOYSA-N 0 1 323.805 3.168 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1)CC ZINC000767658651 622412069 /nfs/dbraw/zinc/41/20/69/622412069.db2.gz IPJFZXJQURIWHB-DDCNWMFHSA-N 0 1 318.398 3.084 20 30 DGEDMN CC=C(C=CC(=O)OCC(=O)C(C#N)c1nc(C)cs1)CC ZINC000767658651 622412070 /nfs/dbraw/zinc/41/20/70/622412070.db2.gz IPJFZXJQURIWHB-DDCNWMFHSA-N 0 1 318.398 3.084 20 30 DGEDMN CCc1cc(N=NCc2cncs2)nc(-c2ccccn2)n1 ZINC000767783453 622421804 /nfs/dbraw/zinc/42/18/04/622421804.db2.gz VSKOQOLHUSCXLV-UHFFFAOYSA-N 0 1 310.386 3.004 20 30 DGEDMN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000870140092 622437050 /nfs/dbraw/zinc/43/70/50/622437050.db2.gz VSEQNPJFTDABKK-SJHCENCUSA-N 0 1 316.788 3.279 20 30 DGEDMN C#C[C@@H](C)NCc1cc(Br)ccc1OC(F)F ZINC000123000525 622440797 /nfs/dbraw/zinc/44/07/97/622440797.db2.gz BVOFPQXTGUMEJH-MRVPVSSYSA-N 0 1 304.134 3.162 20 30 DGEDMN N#CC(C(=O)CCc1ccc(C(F)F)cc1)c1ccncn1 ZINC000870286530 622451952 /nfs/dbraw/zinc/45/19/52/622451952.db2.gz AVNWPIMMOGEPBF-CYBMUJFWSA-N 0 1 301.296 3.223 20 30 DGEDMN CCOc1ccc(O)c(C=NNc2cc(C#N)cc(Cl)n2)c1 ZINC000768377360 622453198 /nfs/dbraw/zinc/45/31/98/622453198.db2.gz ZWRFWKLLDNBRBX-UHFFFAOYSA-N 0 1 316.748 3.157 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=Cc2c[nH]nc2-c2ccccc2)c1 ZINC000768380703 622453675 /nfs/dbraw/zinc/45/36/75/622453675.db2.gz CVXDJZSGZMMIKA-UHFFFAOYSA-N 0 1 322.759 3.443 20 30 DGEDMN N#Cc1cc(Cl)nc(NN=C2CCOc3ccc(F)cc32)c1 ZINC000768382741 622453964 /nfs/dbraw/zinc/45/39/64/622453964.db2.gz YUOWFSNQGZDRTN-UHFFFAOYSA-N 0 1 316.723 3.344 20 30 DGEDMN C#CCCN(CC(F)(F)F)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000768614959 622467297 /nfs/dbraw/zinc/46/72/97/622467297.db2.gz NKGABTPGFXGRED-UHFFFAOYSA-N 0 1 321.302 3.105 20 30 DGEDMN CN(CCC#N)c1ccc(CN2CCOc3ccccc3C2)cc1 ZINC000768939898 622487186 /nfs/dbraw/zinc/48/71/86/622487186.db2.gz FGYPZJFIWPADAG-UHFFFAOYSA-N 0 1 321.424 3.431 20 30 DGEDMN N#Cc1cccc(ONC(=O)C23CC(c4ccccc4)(C2)C3)c1 ZINC000870690651 622496593 /nfs/dbraw/zinc/49/65/93/622496593.db2.gz BQCZKAJATFRQDJ-UHFFFAOYSA-N 0 1 304.349 3.090 20 30 DGEDMN C#CCN(CC)Cc1cn(-c2ccccc2)nc1-c1cccnc1 ZINC000769111514 622499096 /nfs/dbraw/zinc/49/90/96/622499096.db2.gz QCJKDZLDKUUFHS-UHFFFAOYSA-N 0 1 316.408 3.389 20 30 DGEDMN CC[C@H](C)n1nc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1C ZINC000871072350 622543431 /nfs/dbraw/zinc/54/34/31/622543431.db2.gz JVSMHIVDMDSWTN-JTQLQIEISA-N 0 1 322.372 3.163 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCCCC3(F)F)[nH]c2c1 ZINC000871072421 622543502 /nfs/dbraw/zinc/54/35/02/622543502.db2.gz LMOXVJAZMBPSNU-SNVBAGLBSA-N 0 1 304.300 3.199 20 30 DGEDMN CCS[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)C(C)C ZINC000871071059 622543530 /nfs/dbraw/zinc/54/35/30/622543530.db2.gz QNJNEMYMWQYREP-CYBMUJFWSA-N 0 1 302.403 3.151 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)C3(C(F)(F)F)CCCC3)[nH]c2c1 ZINC000871072731 622543896 /nfs/dbraw/zinc/54/38/96/622543896.db2.gz OUFVGULWDHTLGS-UHFFFAOYSA-N 0 1 322.290 3.496 20 30 DGEDMN COc1cc(C=NNc2c(C)cccc2F)cc([N+](=O)[O-])c1O ZINC000769842156 622566889 /nfs/dbraw/zinc/56/68/89/622566889.db2.gz ZFIQCRFFURAXME-UHFFFAOYSA-N 0 1 319.292 3.203 20 30 DGEDMN CN1CCN(c2ccc(/C=C\C(=O)c3cccc(F)c3)cc2)CC1 ZINC000771513485 622770882 /nfs/dbraw/zinc/77/08/82/622770882.db2.gz MDWZUUZTMOCQQA-YFHOEESVSA-N 0 1 324.399 3.474 20 30 DGEDMN CN1CCN(c2ccc(/C=C3\Oc4ccccc4C3=O)cc2)CC1 ZINC000771523606 622772114 /nfs/dbraw/zinc/77/21/14/622772114.db2.gz CCLPADGEXRKAPN-RGEXLXHISA-N 0 1 320.392 3.055 20 30 DGEDMN N#Cc1cc(C(=O)Nc2nc(Cl)ccc2O)ccc1Cl ZINC000790047284 625596859 /nfs/dbraw/zinc/59/68/59/625596859.db2.gz JCQXXJMJFLAARX-UHFFFAOYSA-N 0 1 308.124 3.218 20 30 DGEDMN C=CCC(C)(C)c1noc([C@H](N)CN(C)Cc2ccccc2)n1 ZINC000872183051 622854751 /nfs/dbraw/zinc/85/47/51/622854751.db2.gz YRPKJMYDBUHPFE-OAHLLOKOSA-N 0 1 314.433 3.055 20 30 DGEDMN N#Cc1ccc2ncc(CN3CCC[C@@H](OC4CCC4)CC3)n2c1 ZINC000872262340 622871219 /nfs/dbraw/zinc/87/12/19/622871219.db2.gz YUCCDFTVJIRNRU-GOSISDBHSA-N 0 1 324.428 3.130 20 30 DGEDMN c1cc2cnccc2c(N=NC2CCCN3CCSC[C@@H]23)c1 ZINC000872351227 622893723 /nfs/dbraw/zinc/89/37/23/622893723.db2.gz SMZLMEQSYRLHRE-KRWDZBQOSA-N 0 1 312.442 3.214 20 30 DGEDMN Cn1nc2c(c1C=NNc1cccc(C(F)(F)F)n1)CCCC2 ZINC000872353827 622895294 /nfs/dbraw/zinc/89/52/94/622895294.db2.gz FRYYJDGHPYELKU-UHFFFAOYSA-N 0 1 323.322 3.159 20 30 DGEDMN CN(CCC#N)c1ccc(C=NNC[C@@H]2CCCC2(F)F)cc1 ZINC000872409941 622906964 /nfs/dbraw/zinc/90/69/64/622906964.db2.gz HXYADDOUWOWNND-HNNXBMFYSA-N 0 1 320.387 3.395 20 30 DGEDMN N#CC(C(=O)Cc1ccco1)c1nc2ccccc2n1C(F)F ZINC000772642531 622922577 /nfs/dbraw/zinc/92/25/77/622922577.db2.gz YHPWOIGAEFNBCO-NSHDSACASA-N 0 1 315.279 3.443 20 30 DGEDMN N#Cc1ccc2c(c1)CC/C(=C\c1cc(O)ccc1[N+](=O)[O-])C2=O ZINC000772803941 622942158 /nfs/dbraw/zinc/94/21/58/622942158.db2.gz AGNNJGURHATNPV-MDWZMJQESA-N 0 1 320.304 3.385 20 30 DGEDMN CC(C)c1nccnc1N=NC1CCOc2c(F)ccc(F)c21 ZINC000773077193 622978578 /nfs/dbraw/zinc/97/85/78/622978578.db2.gz DECIETZCBZSNRM-UHFFFAOYSA-N 0 1 318.327 3.477 20 30 DGEDMN Cc1nn(-c2ccncc2)cc1C=NN[C@H]1CCCCC1(C)C ZINC000773125461 622988278 /nfs/dbraw/zinc/98/82/78/622988278.db2.gz OXSCVSVXSCWUKF-KRWDZBQOSA-N 0 1 311.433 3.468 20 30 DGEDMN CC1(C)CCCC[C@H]1NN=Cc1ccc(N2CCOCC2)s1 ZINC000773127205 622988856 /nfs/dbraw/zinc/98/88/56/622988856.db2.gz YVIZFBYGMHRZFL-OAHLLOKOSA-N 0 1 321.490 3.477 20 30 DGEDMN Cc1cccnc1NN=Cc1ccc(Oc2cnccn2)cc1 ZINC000790186988 625614264 /nfs/dbraw/zinc/61/42/64/625614264.db2.gz RSBJKILDFJJTLP-UHFFFAOYSA-N 0 1 305.341 3.418 20 30 DGEDMN N#Cc1c(F)cccc1CN[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000873097738 623035938 /nfs/dbraw/zinc/03/59/38/623035938.db2.gz VTGCTRNWSPYQPK-RBSFLKMASA-N 0 1 308.447 3.167 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)[C@@H](C)CC(C)(C)C ZINC000773980189 623095658 /nfs/dbraw/zinc/09/56/58/623095658.db2.gz OEJQJYCRXYLFDS-STQMWFEESA-N 0 1 316.401 3.415 20 30 DGEDMN Cc1cc(C(=O)[C@H](C#N)C(=O)Nc2ccc(Cl)cc2)ns1 ZINC000774042313 623101286 /nfs/dbraw/zinc/10/12/86/623101286.db2.gz GTLNXXWIHHAROV-NSHDSACASA-N 0 1 319.773 3.066 20 30 DGEDMN Cc1cc(C(=O)C(C#N)C(=O)Nc2ccc(Cl)cc2)ns1 ZINC000774042313 623101289 /nfs/dbraw/zinc/10/12/89/623101289.db2.gz GTLNXXWIHHAROV-NSHDSACASA-N 0 1 319.773 3.066 20 30 DGEDMN C=CCc1cc(CN[C@@H](C)c2ccnn2C)cc(OC)c1OC ZINC000774239455 623124257 /nfs/dbraw/zinc/12/42/57/623124257.db2.gz LFTKOGOVAGESNV-ZDUSSCGKSA-N 0 1 315.417 3.017 20 30 DGEDMN CN1C(=O)CCc2cc(CN=Nc3cccc(F)c3F)ccc21 ZINC000774395254 623139763 /nfs/dbraw/zinc/13/97/63/623139763.db2.gz XNCGAZCVGGCCFK-UHFFFAOYSA-N 0 1 315.323 3.320 20 30 DGEDMN CCC[C@H](CC)C(=O)C(C#N)C(=O)Nc1cccc(OC)c1 ZINC000873496957 623159548 /nfs/dbraw/zinc/15/95/48/623159548.db2.gz FSRFDRKINLJTRV-WFASDCNBSA-N 0 1 302.374 3.169 20 30 DGEDMN CC(C)OC1(C(=O)C(C#N)c2cnc3ccccc3n2)CCC1 ZINC000873524318 623167544 /nfs/dbraw/zinc/16/75/44/623167544.db2.gz UMJSGIAGEFRVMU-ZDUSSCGKSA-N 0 1 309.369 3.154 20 30 DGEDMN C=C(C)CN(CC)C(=O)CN[C@H](CC)c1ccccc1OC ZINC000775079450 623228504 /nfs/dbraw/zinc/22/85/04/623228504.db2.gz NNEUFIJVYNYDMD-MRXNPFEDSA-N 0 1 304.434 3.161 20 30 DGEDMN C[C@H](C(=O)Nc1ccc([C@H](C#N)c2ccccc2)cc1)N(C)C ZINC000874007177 623229039 /nfs/dbraw/zinc/22/90/39/623229039.db2.gz XMYUFBCZBNLQJX-RDTXWAMCSA-N 0 1 307.397 3.231 20 30 DGEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H](CC)c1ccccc1OC ZINC000775088042 623230012 /nfs/dbraw/zinc/23/00/12/623230012.db2.gz PQOXNYMEQATSJP-DOTOQJQBSA-N 0 1 316.445 3.325 20 30 DGEDMN Cn1ccnc1NN=C1CCOc2c(Cl)cc(Cl)cc21 ZINC000790305251 625632137 /nfs/dbraw/zinc/63/21/37/625632137.db2.gz GSNJAIKXNOAHMH-UHFFFAOYSA-N 0 1 311.172 3.326 20 30 DGEDMN C#C[C@@H](C)N(C)Cc1ccc(-c2ccc(OC)cc2[N+](=O)[O-])o1 ZINC000775184012 623239453 /nfs/dbraw/zinc/23/94/53/623239453.db2.gz SCAKCVHBPKRNPD-GFCCVEGCSA-N 0 1 314.341 3.317 20 30 DGEDMN Cc1cc(Cl)c2c(c1)C(=N[N-]c1[nH+]ccn1C)CCCO2 ZINC000790305258 625632538 /nfs/dbraw/zinc/63/25/38/625632538.db2.gz HCCOTBGPFMUKJJ-UHFFFAOYSA-N 0 1 304.781 3.371 20 30 DGEDMN Cc1cc(Cl)c2c(c1)C(=NNc1nccn1C)CCCO2 ZINC000790305258 625632541 /nfs/dbraw/zinc/63/25/41/625632541.db2.gz HCCOTBGPFMUKJJ-UHFFFAOYSA-N 0 1 304.781 3.371 20 30 DGEDMN CC(=NNc1nccn1C)c1c(C)nn(-c2ccccc2)c1C ZINC000790302626 625632753 /nfs/dbraw/zinc/63/27/53/625632753.db2.gz WFDGKHLVYCURCT-UHFFFAOYSA-N 0 1 308.389 3.059 20 30 DGEDMN CN(C)c1ccc(C(N)=NOCCSc2ccccc2)cc1 ZINC000775325186 623254671 /nfs/dbraw/zinc/25/46/71/623254671.db2.gz UQDBTXAKOVLRDW-UHFFFAOYSA-N 0 1 315.442 3.182 20 30 DGEDMN C#C[C@H](NCc1cc(Cl)c(OC)c(OCC)c1)[C@H]1CCCO1 ZINC000775340530 623255989 /nfs/dbraw/zinc/25/59/89/623255989.db2.gz HBMRVJBEUAFBRQ-LSDHHAIUSA-N 0 1 323.820 3.018 20 30 DGEDMN C#C[C@H](NCc1ccc(OC)c(O[C@H](C)CC)c1)[C@H]1CCCO1 ZINC000775343665 623257437 /nfs/dbraw/zinc/25/74/37/623257437.db2.gz XFVFDTXLTLEUBV-HYVNUMGLSA-N 0 1 317.429 3.143 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCCCC)c(OC)c1)[C@H]1CCCO1 ZINC000775346646 623258035 /nfs/dbraw/zinc/25/80/35/623258035.db2.gz WRVVPCJHTVQQTG-IAGOWNOFSA-N 0 1 317.429 3.145 20 30 DGEDMN C#CC[C@H](NCC(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C)c1ccccc1 ZINC000775398815 623267658 /nfs/dbraw/zinc/26/76/58/623267658.db2.gz MESBPGUXKIFRDL-RWQQGDIJSA-N 0 1 312.457 3.282 20 30 DGEDMN C#CC[C@@H](NCC(=O)N1CCCc2ccccc21)c1ccccc1 ZINC000775399607 623269111 /nfs/dbraw/zinc/26/91/11/623269111.db2.gz DQHIDYOLJNFOSM-LJQANCHMSA-N 0 1 318.420 3.320 20 30 DGEDMN C#CC[C@H](NCC(=O)Nc1cc(C)ccc1OC)c1ccccc1 ZINC000775403808 623269464 /nfs/dbraw/zinc/26/94/64/623269464.db2.gz JIELKEYVXWRXBO-KRWDZBQOSA-N 0 1 322.408 3.296 20 30 DGEDMN CC[C@@H]([NH2+]C1CCN(CC#N)CC1)c1cc(Cl)ccc1[O-] ZINC000775501783 623281455 /nfs/dbraw/zinc/28/14/55/623281455.db2.gz LHMDPFQHRZTZMP-OAHLLOKOSA-N 0 1 307.825 3.074 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1ccc(OCC)c(OCC)c1)[C@H]1CCCO1 ZINC000775575789 623292599 /nfs/dbraw/zinc/29/25/99/623292599.db2.gz YSZOOTBAXBWHBC-BHYGNILZSA-N 0 1 317.429 3.315 20 30 DGEDMN C#C[C@H](N[C@H](C)c1ccc(SC)c(OC)c1)[C@@H]1CCCO1 ZINC000775575224 623292964 /nfs/dbraw/zinc/29/29/64/623292964.db2.gz VAPWJBWPZKKACE-SNPRPXQTSA-N 0 1 305.443 3.249 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000874900764 623387618 /nfs/dbraw/zinc/38/76/18/623387618.db2.gz UIOHNJBPTPOJOX-AVGNSLFASA-N 0 1 303.381 3.206 20 30 DGEDMN C=CCNC(=S)N1CCN(Cc2ccccc2)[C@@H](CCC)C1 ZINC000776206668 623396949 /nfs/dbraw/zinc/39/69/49/623396949.db2.gz ZHLPPTHDJIUEQG-KRWDZBQOSA-N 0 1 317.502 3.033 20 30 DGEDMN CCC(N=Nc1cccc(S(C)(=O)=O)c1)c1ccccc1 ZINC000776260488 623402542 /nfs/dbraw/zinc/40/25/42/623402542.db2.gz HCSFUJREPZOGKQ-UHFFFAOYSA-N 0 1 302.399 3.316 20 30 DGEDMN CCCCCCCNC(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000875764993 623489875 /nfs/dbraw/zinc/48/98/75/623489875.db2.gz XVAFNUBIULTOJD-OAHLLOKOSA-N 0 1 315.421 3.164 20 30 DGEDMN CC(CN1CCCCCC1)=NNC(=S)Nc1ccccc1F ZINC000777419808 623522360 /nfs/dbraw/zinc/52/23/60/623522360.db2.gz CNJKPIYXJGSWCL-UHFFFAOYSA-N 0 1 322.453 3.364 20 30 DGEDMN Cc1sc2ncnc(NN=Cc3ccc(F)nc3)c2c1C ZINC000777424216 623523538 /nfs/dbraw/zinc/52/35/38/623523538.db2.gz USFBPHQFJFUJDK-UHFFFAOYSA-N 0 1 301.350 3.288 20 30 DGEDMN O=C(/C=C\c1ccc([N+](=O)[O-])cc1F)c1ccc(O)c(F)c1 ZINC000777916267 623584413 /nfs/dbraw/zinc/58/44/13/623584413.db2.gz HAHIIFTWQOYLNA-DJWKRKHSSA-N 0 1 305.236 3.475 20 30 DGEDMN CC(C)=C(C)C(=O)NC1(C#N)CCN(Cc2ccccc2)CC1 ZINC000778110516 623612312 /nfs/dbraw/zinc/61/23/12/623612312.db2.gz MBBZMZLPPYUUEF-UHFFFAOYSA-N 0 1 311.429 3.017 20 30 DGEDMN C#C[C@@H](NCc1ccc(OC(C)C)c(Cl)c1)[C@H]1CCCO1 ZINC000778149330 623616371 /nfs/dbraw/zinc/61/63/71/623616371.db2.gz DHCFJUFBJAFVAH-NVXWUHKLSA-N 0 1 307.821 3.398 20 30 DGEDMN C#C[C@H](N[C@H]1CCCc2ncc(C(F)(F)F)cc21)[C@@H]1CCCO1 ZINC000779053323 623725766 /nfs/dbraw/zinc/72/57/66/623725766.db2.gz ZZDPQLBIWUGYIZ-BPUTZDHNSA-N 0 1 324.346 3.248 20 30 DGEDMN C#C[C@H](N[C@@H]1CCCc2ncc(C(F)(F)F)cc21)[C@@H]1CCCO1 ZINC000779053325 623725930 /nfs/dbraw/zinc/72/59/30/623725930.db2.gz ZZDPQLBIWUGYIZ-IMJJTQAJSA-N 0 1 324.346 3.248 20 30 DGEDMN N#Cc1ccccc1CSCCNCc1ccc(Cl)nn1 ZINC000876900022 623740494 /nfs/dbraw/zinc/74/04/94/623740494.db2.gz UJBMOBVKJPEELV-UHFFFAOYSA-N 0 1 318.833 3.025 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CCC[C@@](CC)(CO)C1 ZINC000779217029 623742707 /nfs/dbraw/zinc/74/27/07/623742707.db2.gz KVYGTSMLKQFNSX-GOSISDBHSA-N 0 1 321.848 3.337 20 30 DGEDMN CCCCCCCNC(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000876987594 623760499 /nfs/dbraw/zinc/76/04/99/623760499.db2.gz BWUCFGLGEAXCTM-INIZCTEOSA-N 0 1 301.434 3.124 20 30 DGEDMN Fc1cccc(CNN=C2CCN(CCC(F)(F)F)CC2)c1 ZINC000779774018 623799996 /nfs/dbraw/zinc/79/99/96/623799996.db2.gz XJUXKRZNXLBOPW-UHFFFAOYSA-N 0 1 317.330 3.320 20 30 DGEDMN COc1cccc(N=NCc2ccc(OC)c(OC)c2Cl)n1 ZINC000779816721 623812204 /nfs/dbraw/zinc/81/22/04/623812204.db2.gz JKYMMARSCCBGPX-UHFFFAOYSA-N 0 1 321.764 3.207 20 30 DGEDMN COc1cccc(NN=Cc2cccc(OC)c2OC(F)F)n1 ZINC000779816658 623812290 /nfs/dbraw/zinc/81/22/90/623812290.db2.gz FFVLNSFGTGYDJG-UHFFFAOYSA-N 0 1 323.299 3.146 20 30 DGEDMN CCC(=NNc1cccc(OC)n1)c1cccc([N+](=O)[O-])c1 ZINC000779818402 623813517 /nfs/dbraw/zinc/81/35/17/623813517.db2.gz YLSCUDVIVAYAHH-UHFFFAOYSA-N 0 1 300.318 3.225 20 30 DGEDMN COc1cccc(NN=C2CCOc3c(OC)cc(C)cc32)n1 ZINC000779818980 623813620 /nfs/dbraw/zinc/81/36/20/623813620.db2.gz DZHVHVBROXXMNN-UHFFFAOYSA-N 0 1 313.357 3.006 20 30 DGEDMN COc1cccc(N=NC(C)c2cccc([N+](=O)[O-])c2C)n1 ZINC000779821376 623815670 /nfs/dbraw/zinc/81/56/70/623815670.db2.gz ZGGUJFUFRHINLA-UHFFFAOYSA-N 0 1 300.318 3.143 20 30 DGEDMN C=CCOCCNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC000779995112 623846886 /nfs/dbraw/zinc/84/68/86/623846886.db2.gz PSWXQDNIYCDPEY-UHFFFAOYSA-N 0 1 319.836 3.185 20 30 DGEDMN C#CCCCCCC[N@H+](C)Cc1nc(=O)c2sccc2[n-]1 ZINC000877386401 623865169 /nfs/dbraw/zinc/86/51/69/623865169.db2.gz UOURVEPGAKGDCA-UHFFFAOYSA-N 0 1 303.431 3.412 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCC[C@H](OCC2CC2)C1 ZINC000877425693 623876725 /nfs/dbraw/zinc/87/67/25/623876725.db2.gz DQRCPVLDFCUQLV-SFHVURJKSA-N 0 1 313.445 3.015 20 30 DGEDMN CCCCc1nc(C=NNc2ccc(OC)cn2)c(Cl)[nH]1 ZINC000780275789 623902297 /nfs/dbraw/zinc/90/22/97/623902297.db2.gz FQFAEOZAKMEGNM-UHFFFAOYSA-N 0 1 307.785 3.255 20 30 DGEDMN C[C@@H]1CN(C)CC1=NOCc1ccc(F)cc1Br ZINC000780288009 623905491 /nfs/dbraw/zinc/90/54/91/623905491.db2.gz RQIGFHIVVNWYSA-SECBINFHSA-N 0 1 315.186 3.042 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC000780304020 623908589 /nfs/dbraw/zinc/90/85/89/623908589.db2.gz KVWRHYXJRUHBDG-OFQRWUPVSA-N 0 1 306.450 3.464 20 30 DGEDMN CCCCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000780304018 623908613 /nfs/dbraw/zinc/90/86/13/623908613.db2.gz KVWRHYXJRUHBDG-JJXSEGSLSA-N 0 1 306.450 3.464 20 30 DGEDMN CCc1ccc2c(CN3CCC(C)(C#N)CC3)cc(=O)oc2c1 ZINC000780332143 623912040 /nfs/dbraw/zinc/91/20/40/623912040.db2.gz AAZOUWGCDGPETO-UHFFFAOYSA-N 0 1 310.397 3.481 20 30 DGEDMN N#CCOc1cccc(NC(=O)c2c[nH]nc2-c2ccsc2)c1 ZINC000780378130 623919246 /nfs/dbraw/zinc/91/92/46/623919246.db2.gz XUFSLNZJZPHOFE-UHFFFAOYSA-N 0 1 324.365 3.293 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000877538597 623931422 /nfs/dbraw/zinc/93/14/22/623931422.db2.gz FKDQSSJCSGEXCG-ROUUACIJSA-N 0 1 313.445 3.014 20 30 DGEDMN Cc1cccc2c1OC[C@@H](NCc1cc(C#N)cnc1Cl)C2 ZINC000877541944 623933671 /nfs/dbraw/zinc/93/36/71/623933671.db2.gz KNFUVCNJQJRJOX-HNNXBMFYSA-N 0 1 313.788 3.008 20 30 DGEDMN CN(CC(C)(C)C#N)CC1(CNC(=O)OC(C)(C)C)CCC1 ZINC000877550197 623938200 /nfs/dbraw/zinc/93/82/00/623938200.db2.gz VBIMFRYIZJDUAQ-UHFFFAOYSA-N 0 1 309.454 3.163 20 30 DGEDMN C#CCCCCCCN1CCc2nnn(C3CC(C)C3)c2C1 ZINC000877692456 623993407 /nfs/dbraw/zinc/99/34/07/623993407.db2.gz BZZGRAFRDMVEDO-UHFFFAOYSA-N 0 1 300.450 3.191 20 30 DGEDMN Fc1cc(N=NC2CCN(CCC(F)(F)F)CC2)ccn1 ZINC000781132909 624027298 /nfs/dbraw/zinc/02/72/98/624027298.db2.gz QWJJYKMWCAUCIQ-UHFFFAOYSA-N 0 1 304.291 3.037 20 30 DGEDMN C[C@H](C#N)Oc1ccc(CN2CCC[C@H](OC(F)F)C2)cc1 ZINC000781533083 624088738 /nfs/dbraw/zinc/08/87/38/624088738.db2.gz YFBSKYAHGJADEB-DOMZBBRYSA-N 0 1 310.344 3.181 20 30 DGEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)Nc1ccc(C2CC2)c(C#N)c1 ZINC000882315530 625697249 /nfs/dbraw/zinc/69/72/49/625697249.db2.gz VTQLQYNIIOHQIE-VOJFVSQTSA-N 0 1 320.396 3.463 20 30 DGEDMN C=CC1CCN(Cc2nnc(-c3ccccc3[N+](=O)[O-])o2)CC1 ZINC000878094155 624165012 /nfs/dbraw/zinc/16/50/12/624165012.db2.gz SAFQECYZXUWRTO-UHFFFAOYSA-N 0 1 314.345 3.043 20 30 DGEDMN COc1cccc(N=NC(C)c2ccc([N+](=O)[O-])cc2Cl)n1 ZINC000782226904 624223802 /nfs/dbraw/zinc/22/38/02/624223802.db2.gz ANINEIQIUGJQGA-UHFFFAOYSA-N 0 1 320.736 3.488 20 30 DGEDMN Cc1cc(Br)cc2c1C(=NNc1cnn(C)c1)CC2 ZINC000782285041 624233019 /nfs/dbraw/zinc/23/30/19/624233019.db2.gz NELHLQVIQOEIPY-UHFFFAOYSA-N 0 1 319.206 3.253 20 30 DGEDMN COC(=O)c1ccc(OC)c(CN[C@H](C)c2cccc(C#N)c2)c1 ZINC000878360922 624256064 /nfs/dbraw/zinc/25/60/64/624256064.db2.gz JIERNMHLXRJSQL-CYBMUJFWSA-N 0 1 324.380 3.204 20 30 DGEDMN C[C@H](NCc1cc(Cl)ccc1OCC#N)c1cccnc1 ZINC000782981860 624298027 /nfs/dbraw/zinc/29/80/27/624298027.db2.gz FLKXTVPFFDQIEH-LBPRGKRZSA-N 0 1 301.777 3.488 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000878579460 624314412 /nfs/dbraw/zinc/31/44/12/624314412.db2.gz LQNOMXPHIQTOOW-KSSFIOAISA-N 0 1 309.413 3.290 20 30 DGEDMN C#CCCN1CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC000127257196 624331812 /nfs/dbraw/zinc/33/18/12/624331812.db2.gz JJRFFFPUOUQUOU-UHFFFAOYSA-N 0 1 313.832 3.029 20 30 DGEDMN Cc1ccc(CN(C)C[C@H](O)c2cccc(C#N)c2)nc1Cl ZINC000878800832 624362408 /nfs/dbraw/zinc/36/24/08/624362408.db2.gz HHRVEAWVOLQLJD-INIZCTEOSA-N 0 1 315.804 3.081 20 30 DGEDMN C=CCc1cc(OC)cc(CN2C[C@H](C)O[C@@H](C)[C@H]2C)c1O ZINC000783540626 624363484 /nfs/dbraw/zinc/36/34/84/624363484.db2.gz FLJMHBJAWKPDNL-MJBXVCDLSA-N 0 1 305.418 3.127 20 30 DGEDMN C#CCOc1ccc(CN2CCc3c(cccc3OC)C2)cc1 ZINC000783594744 624372734 /nfs/dbraw/zinc/37/27/34/624372734.db2.gz JBXKNDMSCPNJTE-UHFFFAOYSA-N 0 1 307.393 3.266 20 30 DGEDMN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@H](CC#N)C1 ZINC000878886213 624380575 /nfs/dbraw/zinc/38/05/75/624380575.db2.gz CSROZORNYFRVEK-SJLPKXTDSA-N 0 1 313.445 3.362 20 30 DGEDMN C[C@H](OC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)c1cccc(C#N)c1 ZINC000783776056 624395216 /nfs/dbraw/zinc/39/52/16/624395216.db2.gz KGMXGMOXLLOKLW-AJNGGQMLSA-N 0 1 300.402 3.424 20 30 DGEDMN C=CCCN(OCc1ccccc1)C(=O)[C@H]1CCCCN1C ZINC000783874138 624403332 /nfs/dbraw/zinc/40/33/32/624403332.db2.gz WJGHEMDOKONZKI-QGZVFWFLSA-N 0 1 302.418 3.007 20 30 DGEDMN CCC(CC)CN(CCC#N)C(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879110350 624429186 /nfs/dbraw/zinc/42/91/86/624429186.db2.gz VDRXHPGYHXZUJB-GUYCJALGSA-N 0 1 316.449 3.254 20 30 DGEDMN C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CN1Cc1cccc(C#N)c1 ZINC000879223704 624454107 /nfs/dbraw/zinc/45/41/07/624454107.db2.gz VXOFNQPCQYWDSZ-CJNGLKHVSA-N 0 1 315.417 3.046 20 30 DGEDMN CC1(C2CCN(Cc3ccc(C#N)cc3Cl)CC2)OCCO1 ZINC000784338743 624506081 /nfs/dbraw/zinc/50/60/81/624506081.db2.gz FVWUHWMCWJSNMB-UHFFFAOYSA-N 0 1 320.820 3.187 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CCC[C@@H](NC(=O)OC(C)(C)C)[C@@H]2C1 ZINC000784522990 624540316 /nfs/dbraw/zinc/54/03/16/624540316.db2.gz JUIIMHUQUCFDQO-BFHYXJOUSA-N 0 1 314.857 3.364 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+]1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879499911 624568575 /nfs/dbraw/zinc/56/85/75/624568575.db2.gz HEPMGKUVUJEPOL-HNAYVOBHSA-N 0 1 318.424 3.499 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879499911 624568580 /nfs/dbraw/zinc/56/85/80/624568580.db2.gz HEPMGKUVUJEPOL-HNAYVOBHSA-N 0 1 318.424 3.499 20 30 DGEDMN C=CCCC[C@H](C(=O)NOC1CCOCC1)c1ccccc1 ZINC000879533026 624579927 /nfs/dbraw/zinc/57/99/27/624579927.db2.gz INFLBGTYTHJPJI-KRWDZBQOSA-N 0 1 303.402 3.353 20 30 DGEDMN CC(C)[C@H](C(=O)OCc1ccc(CC#N)cc1)N1CCCCC1 ZINC000785334547 624654580 /nfs/dbraw/zinc/65/45/80/624654580.db2.gz RDNGODUYXMJTSW-GOSISDBHSA-N 0 1 314.429 3.306 20 30 DGEDMN Cc1cc(C(F)(F)F)ccc1C=NNCCN1CCCCC1 ZINC000785452689 624668324 /nfs/dbraw/zinc/66/83/24/624668324.db2.gz HJFLFMSRXRZLLA-UHFFFAOYSA-N 0 1 313.367 3.423 20 30 DGEDMN O=C(OCC#Cc1ccccc1Cl)c1cccc2[nH]ncc21 ZINC000785589634 624693106 /nfs/dbraw/zinc/69/31/06/624693106.db2.gz IZLWCCJQWSXFAN-UHFFFAOYSA-N 0 1 310.740 3.425 20 30 DGEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](c2nc(C)cs2)C1 ZINC000880007070 624751430 /nfs/dbraw/zinc/75/14/30/624751430.db2.gz AGONEELKACEYNG-LSDHHAIUSA-N 0 1 321.490 3.054 20 30 DGEDMN CC(=O)Nc1ccc2c(c1)C[N@H+](Cc1ccc(CC#N)cc1)CC2 ZINC000880032230 624761844 /nfs/dbraw/zinc/76/18/44/624761844.db2.gz ZYNWGRCUBPRQHE-UHFFFAOYSA-N 0 1 319.408 3.269 20 30 DGEDMN CC(=O)Nc1ccc2c(c1)CN(Cc1ccc(CC#N)cc1)CC2 ZINC000880032230 624761847 /nfs/dbraw/zinc/76/18/47/624761847.db2.gz ZYNWGRCUBPRQHE-UHFFFAOYSA-N 0 1 319.408 3.269 20 30 DGEDMN CC(=O)[C@@](C#N)(CCN1CCC[C@H](CF)C1)c1ccccc1 ZINC000880238428 624861816 /nfs/dbraw/zinc/86/18/16/624861816.db2.gz GHBOIUNWCHFKKW-AEFFLSMTSA-N 0 1 302.393 3.109 20 30 DGEDMN CCC[C@@H](C(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786543969 624916519 /nfs/dbraw/zinc/91/65/19/624916519.db2.gz UGKLPGWKGGKVHS-SJORKVTESA-N 0 1 314.429 3.392 20 30 DGEDMN CCC[C@H](C(=O)C(C#N)C(=O)NCc1cccc(C)c1)C(C)C ZINC000786543950 624916632 /nfs/dbraw/zinc/91/66/32/624916632.db2.gz UGKLPGWKGGKVHS-DLBZAZTESA-N 0 1 314.429 3.392 20 30 DGEDMN C#C[C@H](N[C@@H]1CCSc2ccc(OC)cc21)[C@H]1CCCO1 ZINC000786580592 624925517 /nfs/dbraw/zinc/92/55/17/624925517.db2.gz BWBIVMCOWUTAHX-ARFHVFGLSA-N 0 1 303.427 3.003 20 30 DGEDMN C[C@@H](c1cccc(C#N)c1)N(C)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880349288 624926527 /nfs/dbraw/zinc/92/65/27/624926527.db2.gz CBLUOVRTRDHSJF-VBHSOAQHSA-N 0 1 322.412 3.167 20 30 DGEDMN CC(C)C(N)=NOCc1ccc(Br)c2cccnc12 ZINC000128434163 624956987 /nfs/dbraw/zinc/95/69/87/624956987.db2.gz ZYFAIJJRXKVDIT-UHFFFAOYSA-N 0 1 322.206 3.442 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C)C1)c1ccccc1 ZINC000787040241 624973298 /nfs/dbraw/zinc/97/32/98/624973298.db2.gz UWIRGTVUBJFSOC-LLLHUVSDSA-N 0 1 312.413 3.399 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)CCCc2ccc(O)c(F)c2)c1 ZINC000787156042 624983618 /nfs/dbraw/zinc/98/36/18/624983618.db2.gz VSYKPYGHYUSPOK-UHFFFAOYSA-N 0 1 314.316 3.070 20 30 DGEDMN C#CCN1CCC(C(=O)OC/C=C/c2ccc(Cl)cc2)CC1 ZINC000787704375 625037291 /nfs/dbraw/zinc/03/72/91/625037291.db2.gz HHGBLWMUSZHQKM-ONEGZZNKSA-N 0 1 317.816 3.242 20 30 DGEDMN N#CCCCCCC(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000805855383 625061108 /nfs/dbraw/zinc/06/11/08/625061108.db2.gz HXGFMWLNEUYOHO-GFCCVEGCSA-N 0 1 317.776 3.461 20 30 DGEDMN Cc1ccc([N+](=O)[O-])cc1N=NC(C)c1cn2ccccc2n1 ZINC000788424173 625166074 /nfs/dbraw/zinc/16/60/74/625166074.db2.gz DFJHBHIIVFWRSD-UHFFFAOYSA-N 0 1 309.329 3.387 20 30 DGEDMN COc1cccc(NN=Cc2ncc(C(F)(F)F)s2)n1 ZINC000788439547 625171744 /nfs/dbraw/zinc/17/17/44/625171744.db2.gz VAZYWVDFGSXRGN-UHFFFAOYSA-N 0 1 302.281 3.012 20 30 DGEDMN CC[C@H](C)CN(C)c1ccc(F)cc1C=NN[C@H]1CCOC1 ZINC000788439828 625171757 /nfs/dbraw/zinc/17/17/57/625171757.db2.gz NTWWMCMLYKEKSM-BBRMVZONSA-N 0 1 307.413 3.020 20 30 DGEDMN N#CCOc1ccc(CN[C@H]2CCc3ccc(Cl)nc32)cc1 ZINC000788649941 625235766 /nfs/dbraw/zinc/23/57/66/625235766.db2.gz VCODSWNGYOOWRZ-HNNXBMFYSA-N 0 1 313.788 3.414 20 30 DGEDMN Cc1cc(N2CCN([C@@H](C)c3ccccc3)CC2)c(C#N)cn1 ZINC000882810458 625823205 /nfs/dbraw/zinc/82/32/05/625823205.db2.gz NCTIOFNEXFONMZ-INIZCTEOSA-N 0 1 306.413 3.145 20 30 DGEDMN Cc1cc(N2CCN([C@H](C)c3ccccc3)CC2)c(C#N)cn1 ZINC000882810459 625823465 /nfs/dbraw/zinc/82/34/65/625823465.db2.gz NCTIOFNEXFONMZ-MRXNPFEDSA-N 0 1 306.413 3.145 20 30 DGEDMN C#Cc1ccc(CNCc2c(C(C)C)nc3sc(C)nn32)cc1 ZINC000883132616 625938018 /nfs/dbraw/zinc/93/80/18/625938018.db2.gz XYMYUMHJNWAIAR-UHFFFAOYSA-N 0 1 324.453 3.494 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)c1ccccc1F ZINC000796385998 626045493 /nfs/dbraw/zinc/04/54/93/626045493.db2.gz ZDMCAEKSXUTMGF-SFHVURJKSA-N 0 1 319.376 3.023 20 30 DGEDMN CC[C@H](C)[C@@H](OC)C(=O)C(C#N)C(=O)Nc1ccccc1Cl ZINC000796636800 626068299 /nfs/dbraw/zinc/06/82/99/626068299.db2.gz RBFRXPLOBXFTIO-ZIBATOQPSA-N 0 1 322.792 3.048 20 30 DGEDMN C#CCN(CCOCc1ccc(OC)cc1)Cc1ccccc1 ZINC000796640314 626069029 /nfs/dbraw/zinc/06/90/29/626069029.db2.gz XCOQPRHHIKUACF-UHFFFAOYSA-N 0 1 309.409 3.347 20 30 DGEDMN C=CCC[C@@H](NCc1ccc(-n2cncn2)nc1)c1ccco1 ZINC000796694414 626073894 /nfs/dbraw/zinc/07/38/94/626073894.db2.gz NEQIWIAFTBYDBF-OAHLLOKOSA-N 0 1 309.373 3.052 20 30 DGEDMN N#CC(C(=O)Nc1cccc2ccccc21)C(=O)c1cncs1 ZINC000796749249 626078227 /nfs/dbraw/zinc/07/82/27/626078227.db2.gz YIZAGNVCIMLSHQ-CYBMUJFWSA-N 0 1 321.361 3.257 20 30 DGEDMN N#C[C@H](C(=O)NC1CCCC1)C(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC000796805457 626085031 /nfs/dbraw/zinc/08/50/31/626085031.db2.gz ZKMNJHCSCFDMRT-XBVQOTNRSA-N 0 1 316.445 3.361 20 30 DGEDMN C#C[C@H](N[C@@H](C)c1cc(C)c(OC)c(Cl)c1)[C@H]1CCCO1 ZINC000796950752 626099152 /nfs/dbraw/zinc/09/91/52/626099152.db2.gz UAODNYYBBBDOHJ-VBNZEHGJSA-N 0 1 307.821 3.488 20 30 DGEDMN Cc1cccc2nc([C@@H](C#N)C(=O)c3occ4c3CCOC4)[nH]c21 ZINC000797047185 626107978 /nfs/dbraw/zinc/10/79/78/626107978.db2.gz ZRGFIGDBNKUYCP-ZDUSSCGKSA-N 0 1 321.336 3.027 20 30 DGEDMN COc1ccccc1-n1nccc1/C=C\C(=O)c1ccccc1O ZINC000797405721 626140776 /nfs/dbraw/zinc/14/07/76/626140776.db2.gz FXPCOBKYWKXKLZ-KHPPLWFESA-N 0 1 320.348 3.483 20 30 DGEDMN Cn1c(Cl)cnc1C=NNc1ccc([N+](=O)[O-])cc1Cl ZINC000799478327 626304025 /nfs/dbraw/zinc/30/40/25/626304025.db2.gz BLHHOAGPXRRVAE-UHFFFAOYSA-N 0 1 314.132 3.081 20 30 DGEDMN FC(F)(F)c1cc(C=NNCc2cnc3ccccc3c2)[nH]n1 ZINC000799483522 626304312 /nfs/dbraw/zinc/30/43/12/626304312.db2.gz JHWZRZGNLORTEZ-UHFFFAOYSA-N 0 1 319.290 3.100 20 30 DGEDMN N#C[C@H]1CC[C@H](NCC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000799719059 626323929 /nfs/dbraw/zinc/32/39/29/626323929.db2.gz FBTVWNIOFAAIJW-ONGXEEELSA-N 0 1 312.200 3.214 20 30 DGEDMN COCCC[C@H](NCc1ccc(C#N)cn1)c1ccc(F)cc1 ZINC000799726811 626324947 /nfs/dbraw/zinc/32/49/47/626324947.db2.gz UDGMOBGMWIJJNQ-SFHVURJKSA-N 0 1 313.376 3.350 20 30 DGEDMN CC(=CN=NC1=NC[C@@H](C)N1)Cc1ccccc1C(F)(F)F ZINC000799884145 626333529 /nfs/dbraw/zinc/33/35/29/626333529.db2.gz FTXHDSJMZZNGFS-OGXLNPSESA-N 0 1 310.323 3.032 20 30 DGEDMN CC(C)(C)CN(CCC#N)CC1CN(C(=O)OC(C)(C)C)C1 ZINC000800346540 626353150 /nfs/dbraw/zinc/35/31/50/626353150.db2.gz XJAJDRDNTHZVTR-UHFFFAOYSA-N 0 1 309.454 3.115 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCC(F)(F)C1)c1nnc2n1CCCCC2 ZINC000800387930 626355423 /nfs/dbraw/zinc/35/54/23/626355423.db2.gz XIBCMTFUGKJENH-NWDGAFQWSA-N 0 1 322.359 3.006 20 30 DGEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)c2ncc(C(C)(C)C)s2)O1 ZINC000800411957 626357619 /nfs/dbraw/zinc/35/76/19/626357619.db2.gz QUMPJICKXXUONM-MIJXAVMKSA-N 0 1 306.431 3.430 20 30 DGEDMN COCc1ccc(C=NNc2cccc(F)c2C(=O)OC)cc1 ZINC000800817312 626376618 /nfs/dbraw/zinc/37/66/18/626376618.db2.gz KSMMDQVGFCSLIE-UHFFFAOYSA-N 0 1 316.332 3.205 20 30 DGEDMN C#C[C@H](N[C@@H]1CCc2ccc(Br)cc21)[C@H]1CCCO1 ZINC000800982087 626388155 /nfs/dbraw/zinc/38/81/55/626388155.db2.gz CLDQYZWCMKYEHQ-ARFHVFGLSA-N 0 1 320.230 3.207 20 30 DGEDMN Cc1ccc(C(=O)Nc2ccc3nc(N(C)C)[nH]c3c2)cc1C#N ZINC000802619421 626563630 /nfs/dbraw/zinc/56/36/30/626563630.db2.gz IPTRGDXVOLABBC-UHFFFAOYSA-N 0 1 319.368 3.061 20 30 DGEDMN CC(C)(C(=O)NOC1CCCCC1)c1cc(F)cc(C#N)c1 ZINC000803129153 626595594 /nfs/dbraw/zinc/59/55/94/626595594.db2.gz UCDDRHFHXZSFCR-UHFFFAOYSA-N 0 1 304.365 3.355 20 30 DGEDMN C=C(C)C[C@@H](CO)NCc1ccc(F)cc1Br ZINC000883400905 626673753 /nfs/dbraw/zinc/67/37/53/626673753.db2.gz XXCHJPRBRKZZAL-LBPRGKRZSA-N 0 1 302.187 3.005 20 30 DGEDMN N#Cc1sc(NCc2n[nH]c(-c3ccccc3)n2)nc1Cl ZINC000804402316 626676635 /nfs/dbraw/zinc/67/66/35/626676635.db2.gz HGAKTSRYVWDABF-UHFFFAOYSA-N 0 1 316.777 3.065 20 30 DGEDMN N#Cc1sc(NCc2nc(-c3ccccc3)n[nH]2)nc1Cl ZINC000804402316 626676637 /nfs/dbraw/zinc/67/66/37/626676637.db2.gz HGAKTSRYVWDABF-UHFFFAOYSA-N 0 1 316.777 3.065 20 30 DGEDMN C#C[C@H](NC(=O)[C@H]1CCCCN1CC)c1ccc(Cl)cc1F ZINC000804415211 626677486 /nfs/dbraw/zinc/67/74/86/626677486.db2.gz DPKLAQBIIAKXJU-JKSUJKDBSA-N 0 1 322.811 3.144 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000804459439 626682726 /nfs/dbraw/zinc/68/27/26/626682726.db2.gz VSNWECRPNILFTH-OAHLLOKOSA-N 0 1 321.355 3.066 20 30 DGEDMN C#CC[C@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000804460122 626682777 /nfs/dbraw/zinc/68/27/77/626682777.db2.gz TVXACDLUZADBNP-MRXNPFEDSA-N 0 1 321.424 3.043 20 30 DGEDMN CC(C)(C)C1CCC(CC(=O)[C@@H](C#N)C(=O)NC2CC2)CC1 ZINC000132589347 626728275 /nfs/dbraw/zinc/72/82/75/626728275.db2.gz VQAXIAPSIUEPOK-SSDMNJCBSA-N 0 1 304.434 3.216 20 30 DGEDMN Cc1cc([N+](=O)[O-])ccc1NN=Cc1cc(F)c(O)c(F)c1 ZINC000807990147 626783155 /nfs/dbraw/zinc/78/31/55/626783155.db2.gz VJEZMVTUVITVTB-UHFFFAOYSA-N 0 1 307.256 3.333 20 30 DGEDMN O=[N+]([O-])c1ccc(C=NNc2nccnc2C2CCC2)s1 ZINC000807991641 626783639 /nfs/dbraw/zinc/78/36/39/626783639.db2.gz OFFJXSPNTMHQEI-UHFFFAOYSA-N 0 1 303.347 3.160 20 30 DGEDMN C=CCC1(NC(=O)[C@H](N)Cc2cc3ccccc3o2)CCCC1 ZINC000884410990 626876756 /nfs/dbraw/zinc/87/67/56/626876756.db2.gz ZLOXLGZDNLXTCN-MRXNPFEDSA-N 0 1 312.413 3.308 20 30 DGEDMN C[C@H](CC#N)NN=Cc1cc(Cl)cc(Br)c1O ZINC000884441748 626880628 /nfs/dbraw/zinc/88/06/28/626880628.db2.gz OFXSGXFEFXKGRZ-SSDOTTSWSA-N 0 1 316.586 3.034 20 30 DGEDMN C[C@@H](ON=C(N)CN1[C@H](C)CCC[C@@H]1C)c1cccc(C#N)c1 ZINC000809374842 626907703 /nfs/dbraw/zinc/90/77/03/626907703.db2.gz QKUQFHRLUAOEPA-QLFBSQMISA-N 0 1 314.433 3.381 20 30 DGEDMN C#Cc1ccc(CN(C)Cc2cc(Br)cn2C)cc1 ZINC000809592373 626923279 /nfs/dbraw/zinc/92/32/79/626923279.db2.gz FUQYWCFIXAOYQX-UHFFFAOYSA-N 0 1 317.230 3.401 20 30 DGEDMN C#Cc1ccc(CN2CCC([C@@](C)(O)C(F)(F)F)CC2)cc1 ZINC000809641304 626931691 /nfs/dbraw/zinc/93/16/91/626931691.db2.gz FHWWTRLVUVPYNY-MRXNPFEDSA-N 0 1 311.347 3.193 20 30 DGEDMN C#Cc1ccc(CN2CCC([C@](C)(O)C(F)(F)F)CC2)cc1 ZINC000809641303 626932019 /nfs/dbraw/zinc/93/20/19/626932019.db2.gz FHWWTRLVUVPYNY-INIZCTEOSA-N 0 1 311.347 3.193 20 30 DGEDMN C#C[C@@H](C)NCc1ccc(N(C)c2ccccc2)c([N+](=O)[O-])c1 ZINC000809683333 626935610 /nfs/dbraw/zinc/93/56/10/626935610.db2.gz ZHDZBUNIMDNUJC-CQSZACIVSA-N 0 1 309.369 3.474 20 30 DGEDMN C#C[C@@H](C)NCc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC000809684151 626936089 /nfs/dbraw/zinc/93/60/89/626936089.db2.gz PMFKCZRSLHKVSA-CQSZACIVSA-N 0 1 308.381 3.059 20 30 DGEDMN C#C[C@H](NC1CCC(C(=O)OC)CC1)c1ccc(Cl)cc1F ZINC000809699297 626938105 /nfs/dbraw/zinc/93/81/05/626938105.db2.gz NQZICBAKQVDTMD-TZDAIYRESA-N 0 1 323.795 3.475 20 30 DGEDMN C=CCn1ncc2ccc(NC(=O)[C@@H]3CCCc4[nH]ncc43)cc21 ZINC000885573417 627027028 /nfs/dbraw/zinc/02/70/28/627027028.db2.gz DQSZRIQRVURXJE-CQSZACIVSA-N 0 1 321.384 3.004 20 30 DGEDMN N#CCC1(OC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)CCC1 ZINC000810854440 627056545 /nfs/dbraw/zinc/05/65/45/627056545.db2.gz ZLMHFROLFYVCQL-UHFFFAOYSA-N 0 1 322.368 3.342 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CC[C@H](C2CC2)O1 ZINC000886203345 627120326 /nfs/dbraw/zinc/12/03/26/627120326.db2.gz SUMNQNPQPBGDLN-FUHWJXTLSA-N 0 1 305.418 3.108 20 30 DGEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC000886203347 627120787 /nfs/dbraw/zinc/12/07/87/627120787.db2.gz SUMNQNPQPBGDLN-WMZOPIPTSA-N 0 1 305.418 3.108 20 30 DGEDMN C#CCN1CCC[C@@H](NCc2csc(-c3cccs3)n2)C1 ZINC000886213730 627121860 /nfs/dbraw/zinc/12/18/60/627121860.db2.gz ZMVFCVAWTCGBPJ-CYBMUJFWSA-N 0 1 317.483 3.059 20 30 DGEDMN C#CC[C@H]1CCN(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000886353727 627141278 /nfs/dbraw/zinc/14/12/78/627141278.db2.gz ODQJUJZKONTFBW-LBPRGKRZSA-N 0 1 301.777 3.235 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)C(=O)Nc2ccccc2C(F)(F)F)C1 ZINC000886355346 627141791 /nfs/dbraw/zinc/14/17/91/627141791.db2.gz HCLZLOMQAQUZAN-OLZOCXBDSA-N 0 1 324.346 3.378 20 30 DGEDMN C#CC[C@H]1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2OC)C1 ZINC000886360104 627143152 /nfs/dbraw/zinc/14/31/52/627143152.db2.gz PMKAQYQZGOVARE-OLZOCXBDSA-N 0 1 320.820 3.021 20 30 DGEDMN C#CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)C1 ZINC000886361365 627143193 /nfs/dbraw/zinc/14/31/93/627143193.db2.gz DNTQGYHWNCURPR-UONOGXRCSA-N 0 1 304.821 3.321 20 30 DGEDMN COc1cc(C#N)ccc1CN(C)[C@@H](CO)c1ccccc1C ZINC000886438641 627148907 /nfs/dbraw/zinc/14/89/07/627148907.db2.gz DWZMLIRVYUKRJB-SFHVURJKSA-N 0 1 310.397 3.041 20 30 DGEDMN C#CC[C@H](N[C@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886557181 627162732 /nfs/dbraw/zinc/16/27/32/627162732.db2.gz VTEYHXDXAYOEEZ-KDOFPFPSSA-N 0 1 308.381 3.283 20 30 DGEDMN C#CCC1(NCc2csc(-c3ccoc3)n2)CCOCC1 ZINC000887124876 627227126 /nfs/dbraw/zinc/22/71/26/627227126.db2.gz YUNQLWBFWQSHMZ-UHFFFAOYSA-N 0 1 302.399 3.065 20 30 DGEDMN C#CCOc1ccccc1CN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000811519579 627287061 /nfs/dbraw/zinc/28/70/61/627287061.db2.gz YQHQVBDTWHWFPS-MOPGFXCFSA-N 0 1 311.425 3.232 20 30 DGEDMN CCN(CC)c1ccc(C=NNC[C@@H]2CCSC2)cc1F ZINC000811657634 627300498 /nfs/dbraw/zinc/30/04/98/627300498.db2.gz CGBGATXKKFOSKY-AWEZNQCLSA-N 0 1 309.454 3.349 20 30 DGEDMN Cn1cc(CN=Nc2ccc(C(F)(F)F)cn2)c(C(F)F)n1 ZINC000812221754 627361548 /nfs/dbraw/zinc/36/15/48/627361548.db2.gz HAPNMIMAUMADRE-UHFFFAOYSA-N 0 1 319.237 3.218 20 30 DGEDMN CCOC(=O)c1ccc(NN=C(CC)c2nccn2C)cc1C ZINC000812228053 627361724 /nfs/dbraw/zinc/36/17/24/627361724.db2.gz AQDGFYDOUFMCHA-UHFFFAOYSA-N 0 1 314.389 3.131 20 30 DGEDMN C(=NNc1nc2ccccc2[nH]1)c1c[nH]nc1-c1cccs1 ZINC000812382948 627376968 /nfs/dbraw/zinc/37/69/68/627376968.db2.gz VTNUXRSLSXWXQR-UHFFFAOYSA-N 0 1 308.370 3.461 20 30 DGEDMN COc1cccc(O)c1/C=N/C[C@@H](c1cccs1)N(C)C ZINC000812394015 627377657 /nfs/dbraw/zinc/37/76/57/627377657.db2.gz MYJDMLHPZUCXLF-VZWMTCDZSA-N 0 1 304.415 3.184 20 30 DGEDMN C#C[C@H](Nc1c([N+](=O)[O-])cccc1[N+](=O)[O-])c1ccc(F)cc1 ZINC000812486619 627391454 /nfs/dbraw/zinc/39/14/54/627391454.db2.gz YCJNXZJMMVTNAI-LBPRGKRZSA-N 0 1 315.260 3.429 20 30 DGEDMN CO[C@](C)(C(=O)[C@@H](C#N)c1nc2ccccc2s1)C1CC1 ZINC000813057745 627444289 /nfs/dbraw/zinc/44/42/89/627444289.db2.gz QMEKRMJVEYKRBH-BZNIZROVSA-N 0 1 300.383 3.288 20 30 DGEDMN C=CCCC[C@@H](NC(=O)N[C@@H](C)c1nnc[nH]1)c1ccccc1 ZINC000889934293 627485538 /nfs/dbraw/zinc/48/55/38/627485538.db2.gz PPJDCOWOHYVOBK-DZGCQCFKSA-N 0 1 313.405 3.263 20 30 DGEDMN C=CCCCS(=O)(=O)NCc1cc(Cl)cc(Cl)c1O ZINC000813706674 627508090 /nfs/dbraw/zinc/50/80/90/627508090.db2.gz QTSIRNPWPHQTLO-UHFFFAOYSA-N 0 1 324.229 3.085 20 30 DGEDMN COCc1ccccc1/C=C(/C)CNCc1ccc(C#N)cn1 ZINC000814296305 627557509 /nfs/dbraw/zinc/55/75/09/627557509.db2.gz UADWVAWQLLAZEX-DHDCSXOGSA-N 0 1 307.397 3.293 20 30 DGEDMN CC(N=Nc1ccccc1[N+](=O)[O-])c1cc(F)c(N)c(F)c1 ZINC000814685128 627583576 /nfs/dbraw/zinc/58/35/76/627583576.db2.gz CHXSMWMALXWMGX-UHFFFAOYSA-N 0 1 306.272 3.291 20 30 DGEDMN Fc1cc2c(cc1Br)OCC2=N[N-]c1cccc[nH+]1 ZINC000814731901 627587546 /nfs/dbraw/zinc/58/75/46/627587546.db2.gz OEOWSSLUVVESSV-UHFFFAOYSA-N 0 1 322.137 3.192 20 30 DGEDMN COCC(=NNc1cc(C)nc(C)n1)c1ccc(Cl)cc1 ZINC000814807245 627593411 /nfs/dbraw/zinc/59/34/11/627593411.db2.gz MMLKWVRVOVPIKP-UHFFFAOYSA-N 0 1 304.781 3.209 20 30 DGEDMN Cc1nc(C#N)c(N=NC2CCCN(Cc3ccccc3)[C@H]2C)o1 ZINC000814813822 627594464 /nfs/dbraw/zinc/59/44/64/627594464.db2.gz JUPIXESPIXQZHB-ZDUSSCGKSA-N 0 1 323.400 3.307 20 30 DGEDMN COc1cccc(-c2n[nH]cc2CN=Nc2cc(C)ccn2)c1 ZINC000814868352 627600147 /nfs/dbraw/zinc/60/01/47/627600147.db2.gz OTSRMNZDOBSUNQ-UHFFFAOYSA-N 0 1 307.357 3.235 20 30 DGEDMN Brc1cnn(N=C2CCCC[C@@H]2[C@H]2CCOC2)c1 ZINC000814884173 627602676 /nfs/dbraw/zinc/60/26/76/627602676.db2.gz FENLNFLMRRYTMB-CMPLNLGQSA-N 0 1 312.211 3.076 20 30 DGEDMN CC1(C)C[C@@H](NN=C2CC(C)(C)Oc3ccc(N)cc32)CCO1 ZINC000814908656 627606284 /nfs/dbraw/zinc/60/62/84/627606284.db2.gz AINAYMDVXZXUGF-ZDUSSCGKSA-N 0 1 317.433 3.081 20 30 DGEDMN CC1(C)CC(N=Nc2cccc(F)n2)c2cc(N)ccc2O1 ZINC000814928165 627609517 /nfs/dbraw/zinc/60/95/17/627609517.db2.gz ZDYGAJQBBLAVAL-UHFFFAOYSA-N 0 1 300.337 3.180 20 30 DGEDMN CC(N=Nc1nccnc1C1CCC1)c1cc(F)c(N)c(F)c1 ZINC000814931964 627609997 /nfs/dbraw/zinc/60/99/97/627609997.db2.gz INUQGJUORSSERE-UHFFFAOYSA-N 0 1 317.343 3.441 20 30 DGEDMN Cn1c(C=NNc2ccc(F)c(F)c2F)nc2ccccc21 ZINC000814945804 627612080 /nfs/dbraw/zinc/61/20/80/627612080.db2.gz QOHMOSVSLMXTBW-UHFFFAOYSA-N 0 1 304.275 3.437 20 30 DGEDMN N#CCOc1ccc(CN=Nc2ccc(F)c(F)c2F)cc1 ZINC000814944190 627612192 /nfs/dbraw/zinc/61/21/92/627612192.db2.gz VEMIRSFHAPUPRB-UHFFFAOYSA-N 0 1 305.259 3.452 20 30 DGEDMN COc1ccc(Cl)cc1C(CC(C)C)=NNc1cnnn1C ZINC000814979340 627615200 /nfs/dbraw/zinc/61/52/00/627615200.db2.gz GQAHHYXZCXEVCO-UHFFFAOYSA-N 0 1 321.812 3.339 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)CC(C)(C)C2CC2)c1 ZINC000816037421 627729622 /nfs/dbraw/zinc/72/96/22/627729622.db2.gz NFFFVKVUQAUIPM-INIZCTEOSA-N 0 1 312.413 3.146 20 30 DGEDMN COc1ccc(CN[C@H](C)c2cnn(C3CCC3)c2)cc1C#N ZINC000925597325 627760413 /nfs/dbraw/zinc/76/04/13/627760413.db2.gz YDCLWZUUIFBHOW-CYBMUJFWSA-N 0 1 310.401 3.339 20 30 DGEDMN C=CCNC(=O)CN[C@@H](C)c1ccccc1SC(F)(F)F ZINC000925979517 627824165 /nfs/dbraw/zinc/82/41/65/627824165.db2.gz NYBOCDPBVZEUTB-JTQLQIEISA-N 0 1 318.364 3.251 20 30 DGEDMN N#CC(C(=O)[C@@H]1CC12CC2)c1nc2ccccc2n1C(F)F ZINC000817712407 627937183 /nfs/dbraw/zinc/93/71/83/627937183.db2.gz LHTKZDOJCUWYPP-UWVGGRQHSA-N 0 1 301.296 3.408 20 30 DGEDMN C#CCN1CCC[C@H](N[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000926868100 627966280 /nfs/dbraw/zinc/96/62/80/627966280.db2.gz MRFSXXSQHQKKMH-PZJWPPBQSA-N 0 1 319.452 3.167 20 30 DGEDMN Cn1nc(C(F)(F)F)cc1CN[C@H]1CCc2ccc(C#N)cc21 ZINC000926926995 627983357 /nfs/dbraw/zinc/98/33/57/627983357.db2.gz DALONKFBCPYGLC-AWEZNQCLSA-N 0 1 320.318 3.088 20 30 DGEDMN CC(C)n1cc2c(n1)[C@@H](NC[C@H](O)c1ccc(C#N)cc1)CCC2 ZINC000819218575 628114753 /nfs/dbraw/zinc/11/47/53/628114753.db2.gz QBMWEGOJUTYZSI-ROUUACIJSA-N 0 1 324.428 3.036 20 30 DGEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2[C@H](C)c2ccccc2)CC1 ZINC000928388105 628146392 /nfs/dbraw/zinc/14/63/92/628146392.db2.gz WHSIYIPFGHWNNN-YLJYHZDGSA-N 0 1 324.468 3.126 20 30 DGEDMN C#CCN1CCC(NC(=O)c2ccc(C3=CCCC3)cc2)CC1 ZINC000928650615 628173280 /nfs/dbraw/zinc/17/32/80/628173280.db2.gz DSYHJSKQQWHWJL-UHFFFAOYSA-N 0 1 308.425 3.081 20 30 DGEDMN COc1ccc(NC(=O)C(C#N)C(=O)[C@@H]2CCCC[C@@H]2C)cc1 ZINC000819942926 628202461 /nfs/dbraw/zinc/20/24/61/628202461.db2.gz MVSCCXFCQMUQDQ-APHBMKBZSA-N 0 1 314.385 3.169 20 30 DGEDMN C=CCN(C(=O)c1cc(-c2ccc([N+](=O)[O-])cc2)[nH]n1)C(C)C ZINC000823097144 628526086 /nfs/dbraw/zinc/52/60/86/628526086.db2.gz QWNDRYIDIBTDCC-UHFFFAOYSA-N 0 1 314.345 3.022 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC[C@H]2CCCC[C@H]2C)o1 ZINC000824352494 628653802 /nfs/dbraw/zinc/65/38/02/628653802.db2.gz FYNVTGZNMGTSQK-GHMZBOCLSA-N 0 1 317.397 3.202 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCC[C@@H](C)[C@H]2C)o1 ZINC000824352755 628653857 /nfs/dbraw/zinc/65/38/57/628653857.db2.gz LTFTVXJBDYZHTI-NXEZZACHSA-N 0 1 317.397 3.202 20 30 DGEDMN C[C@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](CC#Cc2ccc(Cl)cc2)C1 ZINC000825256683 628743606 /nfs/dbraw/zinc/74/36/06/628743606.db2.gz ZIJQAOIKKRTHQT-DZGCQCFKSA-N 0 1 320.820 3.060 20 30 DGEDMN CC(C)(C#N)CCC[N@@H+](CC(=O)[O-])Cc1cc(Cl)ccc1O ZINC000826072269 628825463 /nfs/dbraw/zinc/82/54/63/628825463.db2.gz UEALMJGHZDUMJD-UHFFFAOYSA-N 0 1 324.808 3.262 20 30 DGEDMN CC(C)[C@H]1CCC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000826735265 628880444 /nfs/dbraw/zinc/88/04/44/628880444.db2.gz CQUXBMUKIMWQLI-DOTOQJQBSA-N 0 1 300.402 3.442 20 30 DGEDMN CN(C)Cc1ccc(NC(=O)[C@]2(C#N)CC23CCCC3)cc1F ZINC000826848177 628894931 /nfs/dbraw/zinc/89/49/31/628894931.db2.gz NNODPSXZWJVYFE-GOSISDBHSA-N 0 1 315.392 3.300 20 30 DGEDMN C[C@@H](C[N@@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1)c1ccccc1 ZINC000827442460 628959338 /nfs/dbraw/zinc/95/93/38/628959338.db2.gz LCFXBWATPAZPND-KSSFIOAISA-N 0 1 308.381 3.419 20 30 DGEDMN C#Cc1cnc(N2CCC[C@H](c3nc4ccc(C)cc4[nH]3)C2)nc1 ZINC000827883953 629000324 /nfs/dbraw/zinc/00/03/24/629000324.db2.gz UWKFRHAIXAQPFI-HNNXBMFYSA-N 0 1 317.396 3.027 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2ccc(-c3ccccc3C#N)cc2)C[C@H]1C(=O)[O-] ZINC000828455454 629055846 /nfs/dbraw/zinc/05/58/46/629055846.db2.gz BWKMQOOVHNXMRR-AUUYWEPGSA-N 0 1 320.392 3.378 20 30 DGEDMN C#CC[C@@H]1CCCN([C@@H](C)c2nc(Cc3ccccc3)no2)C1 ZINC000829783751 629192121 /nfs/dbraw/zinc/19/21/21/629192121.db2.gz BRWJMDGMBWNMGV-DOTOQJQBSA-N 0 1 309.413 3.457 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2cc(C)ccc2OC)C1 ZINC000829793969 629193645 /nfs/dbraw/zinc/19/36/45/629193645.db2.gz PHRLYXYXCCHJOD-HOTGVXAUSA-N 0 1 314.429 3.066 20 30 DGEDMN C#CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(OCC)cc2)C1 ZINC000829794381 629194172 /nfs/dbraw/zinc/19/41/72/629194172.db2.gz XDCWHVWKODGLNX-HOTGVXAUSA-N 0 1 314.429 3.148 20 30 DGEDMN C#CC1CCN([C@H](C)c2nc(-c3ccc(OC)cc3)no2)CC1 ZINC000830330172 629253931 /nfs/dbraw/zinc/25/39/31/629253931.db2.gz SCIUCDHCZDGYEB-CYBMUJFWSA-N 0 1 311.385 3.151 20 30 DGEDMN C#CC1CCN([C@H](C)C(=O)N2CCC[C@@H]2c2cccs2)CC1 ZINC000830338134 629255343 /nfs/dbraw/zinc/25/53/43/629255343.db2.gz SXYOERPWKJKSEN-GDBMZVCRSA-N 0 1 316.470 3.145 20 30 DGEDMN CC(C)C#CC(=O)Nc1cc(Cl)cc(Br)c1O ZINC000831298036 629358691 /nfs/dbraw/zinc/35/86/91/629358691.db2.gz AYJDZUVTGXQBSM-UHFFFAOYSA-N 0 1 316.582 3.406 20 30 DGEDMN CC1(C)C[C@@H](O)CCN(Cc2cc(Cl)ccc2OCC#N)C1 ZINC000832135695 629444838 /nfs/dbraw/zinc/44/48/38/629444838.db2.gz FXWYJIQLRZJLKY-HNNXBMFYSA-N 0 1 322.836 3.225 20 30 DGEDMN C#CCCN(CC(F)(F)F)C(=O)c1cc(CCCCC)[nH]n1 ZINC000832275784 629458557 /nfs/dbraw/zinc/45/85/57/629458557.db2.gz DJARFVXGHOJQPL-UHFFFAOYSA-N 0 1 315.339 3.170 20 30 DGEDMN N#CCOc1ccc(Cl)cc1CN1CCC[C@]2(CCOC2)C1 ZINC000832344089 629469867 /nfs/dbraw/zinc/46/98/67/629469867.db2.gz SHACPPACPGCISP-KRWDZBQOSA-N 0 1 320.820 3.245 20 30 DGEDMN COC[C@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)CC1 ZINC000832744415 629510221 /nfs/dbraw/zinc/51/02/21/629510221.db2.gz DHYOGVBAYGKUOD-AWEZNQCLSA-N 0 1 322.836 3.491 20 30 DGEDMN Cc1[nH+]ccn1-c1ccc(C(=O)/C=C/c2ccc(C(=O)[O-])[nH]2)cc1 ZINC000833009345 629548098 /nfs/dbraw/zinc/54/80/98/629548098.db2.gz RASOTSMSELDUEP-WEVVVXLNSA-N 0 1 321.336 3.103 20 30 DGEDMN C[C@@]1(C#N)CCC[N@@H+](Cc2c(O)c(C(=O)[O-])cc3ccccc32)C1 ZINC000833551139 629619545 /nfs/dbraw/zinc/61/95/45/629619545.db2.gz GXUKRMMXMGFFAM-IBGZPJMESA-N 0 1 324.380 3.369 20 30 DGEDMN C[C@]1(c2ccccc2)CC[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC000833577549 629624404 /nfs/dbraw/zinc/62/44/04/629624404.db2.gz KJZDBOLUVZNDMM-FQEVSTJZSA-N 0 1 320.392 3.420 20 30 DGEDMN C[C@@H]1C[N@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@@H]1c1ccccc1 ZINC000833630371 629632843 /nfs/dbraw/zinc/63/28/43/629632843.db2.gz SASWJXQHOSLZAE-ACJLOTCBSA-N 0 1 306.365 3.449 20 30 DGEDMN C[C@@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)[C@@H]1c1ccccc1 ZINC000833630371 629632845 /nfs/dbraw/zinc/63/28/45/629632845.db2.gz SASWJXQHOSLZAE-ACJLOTCBSA-N 0 1 306.365 3.449 20 30 DGEDMN CC[N@@H+](C[C@H]1CC(C(=O)[O-])=C(C)O1)[C@@H](C)c1ccc(C#N)cc1 ZINC000833654111 629636377 /nfs/dbraw/zinc/63/63/77/629636377.db2.gz BNUQNCQNEFKWKP-BLLLJJGKSA-N 0 1 314.385 3.089 20 30 DGEDMN C#CCNC(=O)[C@@H](C)N[C@H](C)c1ccccc1Oc1ccccc1 ZINC000101583424 629742895 /nfs/dbraw/zinc/74/28/95/629742895.db2.gz AWUAXFFPBMYRGW-HZPDHXFCSA-N 0 1 322.408 3.267 20 30 DGEDMN C#Cc1cccc(CN[C@H](C)c2cnc3cc(C)nn3c2C)c1 ZINC000834904167 629803892 /nfs/dbraw/zinc/80/38/92/629803892.db2.gz VWYFGXITTUDLRB-CQSZACIVSA-N 0 1 304.397 3.178 20 30 DGEDMN COc1ccc2c(c1)OC(C)(C)CC2=NNc1cc(C)ncn1 ZINC000834958719 629812645 /nfs/dbraw/zinc/81/26/45/629812645.db2.gz XSRFOXBRAABXJY-UHFFFAOYSA-N 0 1 312.373 3.171 20 30 DGEDMN COCCN(C)N=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000834976858 629816315 /nfs/dbraw/zinc/81/63/15/629816315.db2.gz BHNUOASMXZNKMM-UHFFFAOYSA-N 0 1 317.458 3.297 20 30 DGEDMN Cn1cc(CN=Nc2cc(C(F)(F)F)ccn2)c(C(F)F)n1 ZINC000834984284 629818032 /nfs/dbraw/zinc/81/80/32/629818032.db2.gz BSFYSCZJKPAHHJ-UHFFFAOYSA-N 0 1 319.237 3.218 20 30 DGEDMN CC(=NN[C@H]1CCOC1(C)C)c1[nH]c(-c2ccccc2)nc1C ZINC000835013755 629823296 /nfs/dbraw/zinc/82/32/96/629823296.db2.gz HSFXECIOFLWBAQ-HNNXBMFYSA-N 0 1 312.417 3.266 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CCCCC2)C[C@@H]1C ZINC000835063737 629841696 /nfs/dbraw/zinc/84/16/96/629841696.db2.gz QDFSXNJYCGJQEQ-HOSILWTGSA-N 0 1 304.434 3.216 20 30 DGEDMN CC[C@H](NC(=O)C(C#N)C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)c1ccccc1 ZINC000835338789 629909794 /nfs/dbraw/zinc/90/97/94/629909794.db2.gz AYQPDYZOCFZARY-ICUGJSFKSA-N 0 1 324.424 3.399 20 30 DGEDMN CCC(CC)C(=O)C(C#N)C(=O)N[C@H](CC)c1ccccc1 ZINC000835340406 629909949 /nfs/dbraw/zinc/90/99/49/629909949.db2.gz NFCDIFJKIWBKKX-JKSUJKDBSA-N 0 1 300.402 3.399 20 30 DGEDMN N#C[C@H](C(=O)[C@@H]1C[C@H]1C(F)(F)F)c1cc(C(F)(F)F)ccn1 ZINC000835456735 629936246 /nfs/dbraw/zinc/93/62/46/629936246.db2.gz WMUHNIIXEBQERH-HRDYMLBCSA-N 0 1 322.208 3.475 20 30 DGEDMN C#CCN1CCC(C(=O)N(CC2CC2)c2ccc(CC)cc2)CC1 ZINC000836836923 630171477 /nfs/dbraw/zinc/17/14/77/630171477.db2.gz WSRQZAIIGBJVBV-UHFFFAOYSA-N 0 1 324.468 3.337 20 30 DGEDMN C[C@H]1CCN(Cc2ccccc2)CCN1C(=O)C#CC(C)(C)C ZINC000837450029 630259265 /nfs/dbraw/zinc/25/92/65/630259265.db2.gz YFUKITCNKNEROU-KRWDZBQOSA-N 0 1 312.457 3.159 20 30 DGEDMN CCc1ncc(CNCc2cccc(O[C@@H](C#N)CC)c2)cn1 ZINC000840896824 630374347 /nfs/dbraw/zinc/37/43/47/630374347.db2.gz HNLKRTZXRDYYQI-MRXNPFEDSA-N 0 1 310.401 3.010 20 30 DGEDMN FC1(F)CC[C@@H](CON=Cc2ccc(N3CCOCC3)cc2)C1 ZINC000842016611 630478317 /nfs/dbraw/zinc/47/83/17/630478317.db2.gz ACYHQLJIDHJVGO-OAHLLOKOSA-N 0 1 324.371 3.309 20 30 DGEDMN N#CC(C(=O)[C@@H]1COCC[C@@H]1c1ccccc1)c1ccccn1 ZINC000842289835 630511992 /nfs/dbraw/zinc/51/19/92/630511992.db2.gz UGLFVZOWTNKGPX-IXDOHACOSA-N 0 1 306.365 3.078 20 30 DGEDMN C[C@@H](CO)N(C)N=Cc1ccc(N(C)Cc2cccs2)cc1 ZINC000842537629 630545460 /nfs/dbraw/zinc/54/54/60/630545460.db2.gz CVSUJNWYTFHMCY-AWEZNQCLSA-N 0 1 317.458 3.031 20 30 DGEDMN C#CC[C@H]1CCC[N@H+](CN2C[C@@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628358 630554854 /nfs/dbraw/zinc/55/48/54/630554854.db2.gz BIMYSHQTJLQDSF-HKUYNNGSSA-N 0 1 310.441 3.004 20 30 DGEDMN C#CC[C@H]1CCCN(CN2C[C@@H](c3ccc(C)cc3)CC2=O)C1 ZINC000842628358 630554859 /nfs/dbraw/zinc/55/48/59/630554859.db2.gz BIMYSHQTJLQDSF-HKUYNNGSSA-N 0 1 310.441 3.004 20 30 DGEDMN CC[C@@H](C/N=C\c1cc(Cl)cc(Cl)c1O)C(=O)OC ZINC000843262583 630638623 /nfs/dbraw/zinc/63/86/23/630638623.db2.gz CEILGTUIOYAXSU-RBYRDDOMSA-N 0 1 304.173 3.317 20 30 DGEDMN C#C[C@H](NC(=O)c1ccc(CN(CC)CC)o1)c1ccccc1 ZINC000843640009 630682654 /nfs/dbraw/zinc/68/26/54/630682654.db2.gz WPQPDMMNAZFSKV-KRWDZBQOSA-N 0 1 310.397 3.226 20 30 DGEDMN Oc1c(Cl)cc(Cl)cc1/C=N/CC[C@]1(O)CCCOC1 ZINC000844269258 630714781 /nfs/dbraw/zinc/71/47/81/630714781.db2.gz BEKSBQOBEGBHEZ-CNGOAVBNSA-N 0 1 318.200 3.050 20 30 DGEDMN C[C@@H](NCc1ccc(C#N)cc1[N+](=O)[O-])c1ccc(N(C)C)cc1 ZINC000844322199 630718952 /nfs/dbraw/zinc/71/89/52/630718952.db2.gz LMRPPUCQXLCEBT-CYBMUJFWSA-N 0 1 324.384 3.383 20 30 DGEDMN C[C@H]1CCCN(CC2(C#N)CC2)[C@@H]1CCNC(=O)OC(C)(C)C ZINC000844403078 630724801 /nfs/dbraw/zinc/72/48/01/630724801.db2.gz URRFRMJPNXKWPS-LSDHHAIUSA-N 0 1 321.465 3.305 20 30 DGEDMN CC[C@H](CC(=O)C(C#N)C(=O)Nc1cccc(OC)c1)C(C)C ZINC000105756622 631057547 /nfs/dbraw/zinc/05/75/47/631057547.db2.gz AYKODMDXFIZHGI-CJNGLKHVSA-N 0 1 316.401 3.415 20 30 DGEDMN CC(C)CO[C@@H](C)C(O)=C(C#N)C(=O)C1(c2ccccc2)CC1 ZINC000845838539 631198306 /nfs/dbraw/zinc/19/83/06/631198306.db2.gz NZENMZNPHWHPMT-HOCLYGCPSA-N 0 1 313.397 3.057 20 30 DGEDMN C[C@H](CC(=O)C(C#N)=C(O)C1(c2ccccc2)CC1)n1cccc1 ZINC000845839445 631198344 /nfs/dbraw/zinc/19/83/44/631198344.db2.gz UMEZXWMMSPZBNF-WBVHZDCISA-N 0 1 320.392 3.449 20 30 DGEDMN N#CC(C(=O)CCc1cccnc1)=C(O)C1(c2ccccc2)CC1 ZINC000845842111 631198523 /nfs/dbraw/zinc/19/85/23/631198523.db2.gz RGUBPNUGMKYYNA-QGZVFWFLSA-N 0 1 318.376 3.024 20 30 DGEDMN Cc1nc(-c2ccccc2F)sc1C(=O)NC1=NO[C@H](C)C1 ZINC000845900681 631201767 /nfs/dbraw/zinc/20/17/67/631201767.db2.gz UJLWCLFFOIZZHZ-MRVPVSSYSA-N 0 1 319.361 3.110 20 30 DGEDMN Cc1cc(C[N@@H+](C)C[C@@H](O)c2cccc(C#N)c2)cnc1Cl ZINC000846007991 631205421 /nfs/dbraw/zinc/20/54/21/631205421.db2.gz RTEXQORHESSAJG-MRXNPFEDSA-N 0 1 315.804 3.081 20 30 DGEDMN Cc1cc(CN(C)C[C@@H](O)c2cccc(C#N)c2)cnc1Cl ZINC000846007991 631205422 /nfs/dbraw/zinc/20/54/22/631205422.db2.gz RTEXQORHESSAJG-MRXNPFEDSA-N 0 1 315.804 3.081 20 30 DGEDMN CC[C@@H]1CN(Cc2ccc(O[C@@H](C)C#N)cc2)[C@@H](CC)CO1 ZINC000846027358 631206887 /nfs/dbraw/zinc/20/68/87/631206887.db2.gz LXBKPPFGFINXPC-BHYGNILZSA-N 0 1 302.418 3.367 20 30 DGEDMN C#CCSCCN[C@H](c1ccc(OC)cc1)c1ccccn1 ZINC000846154860 631212645 /nfs/dbraw/zinc/21/26/45/631212645.db2.gz NZQAZDHWPLUCSN-GOSISDBHSA-N 0 1 312.438 3.136 20 30 DGEDMN C=CC[N@H+](CCCCCn1c(C)nc2ccccc21)CC(=O)[O-] ZINC000846263352 631217284 /nfs/dbraw/zinc/21/72/84/631217284.db2.gz JKWZZZUICADYPO-UHFFFAOYSA-N 0 1 315.417 3.088 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1csc(-c2cccc(C)c2)n1 ZINC000846542905 631257907 /nfs/dbraw/zinc/25/79/07/631257907.db2.gz IZIKWVJMNBXQQE-LBPRGKRZSA-N 0 1 302.399 3.283 20 30 DGEDMN N#Cc1ccc(CN(CC2(Br)CC2)C2CC2)cc1 ZINC000846781904 631303058 /nfs/dbraw/zinc/30/30/58/631303058.db2.gz MZOKUDGAQREIQD-UHFFFAOYSA-N 0 1 305.219 3.450 20 30 DGEDMN C#C[C@@H]1CCCN(CC(=O)N(CC)[C@H](C)c2ccc(F)cc2)C1 ZINC000847026270 631384419 /nfs/dbraw/zinc/38/44/19/631384419.db2.gz PHUYIZMOVSPUCL-HZPDHXFCSA-N 0 1 316.420 3.080 20 30 DGEDMN CCCCCCNC(=O)CNC1(c2ccc(C#N)cc2)CCC1 ZINC000847053875 631392546 /nfs/dbraw/zinc/39/25/46/631392546.db2.gz PMKHKUAZYPPFKJ-UHFFFAOYSA-N 0 1 313.445 3.224 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@@H](C)Cc2ccc(C#N)cc2)nc(C)n1 ZINC000847622064 631498265 /nfs/dbraw/zinc/49/82/65/631498265.db2.gz AGNFXBCMSKGKLV-SJCJKPOMSA-N 0 1 318.380 3.020 20 30 DGEDMN C#C[C@H]1CCCN(C(=O)c2cc(-c3ccc(C)c(C)c3)[nH]n2)C1 ZINC000848279194 631638579 /nfs/dbraw/zinc/63/85/79/631638579.db2.gz QYWYJALLBPJUMP-HNNXBMFYSA-N 0 1 307.397 3.179 20 30 DGEDMN C[C@H]1CC(N=Nc2ccc(-c3ccc(Cl)s3)nn2)CN1C ZINC000848414976 631657367 /nfs/dbraw/zinc/65/73/67/631657367.db2.gz QDVZKSKFNCUVEN-VIFPVBQESA-N 0 1 321.837 3.350 20 30 DGEDMN CCC[N@@H+](Cc1cnc(Cl)n1C)Cc1ccc(C#N)cc1 ZINC000848880414 631767905 /nfs/dbraw/zinc/76/79/05/631767905.db2.gz CZHQCRILGXHSEQ-UHFFFAOYSA-N 0 1 302.809 3.357 20 30 DGEDMN CCCN(Cc1cnc(Cl)n1C)Cc1ccc(C#N)cc1 ZINC000848880414 631767909 /nfs/dbraw/zinc/76/79/09/631767909.db2.gz CZHQCRILGXHSEQ-UHFFFAOYSA-N 0 1 302.809 3.357 20 30 DGEDMN C#C[C@H]1CCCN(Cc2c(Cl)cccc2N2CCOCC2)C1 ZINC000848924518 631789636 /nfs/dbraw/zinc/78/96/36/631789636.db2.gz UPJJQEUTOHGPFR-HNNXBMFYSA-N 0 1 318.848 3.022 20 30 DGEDMN C[C@@H]1C[C@H](/N=C/c2cc(Cl)cc(Cl)c2O)c2ncnn21 ZINC000849170344 631852743 /nfs/dbraw/zinc/85/27/43/631852743.db2.gz OGKXWCTVNVRCJC-WHOZIDRNSA-N 0 1 311.172 3.415 20 30 DGEDMN C=C(Br)CNCc1ccc(O[C@H](C)COC)cc1 ZINC000850119282 632060133 /nfs/dbraw/zinc/06/01/33/632060133.db2.gz MVQLADDOGXGDSF-GFCCVEGCSA-N 0 1 314.223 3.099 20 30 DGEDMN C#CCOc1ccccc1CNCc1cc(COC)cs1 ZINC000850136831 632064368 /nfs/dbraw/zinc/06/43/68/632064368.db2.gz FPTKJDQIPYAILN-UHFFFAOYSA-N 0 1 301.411 3.196 20 30 DGEDMN CC1(C)CC[C@H]1C(=O)C(C#N)C(=O)Nc1ccc(Cl)cc1 ZINC000850757313 632216708 /nfs/dbraw/zinc/21/67/08/632216708.db2.gz YGLZUNGFUWUVIE-OLZOCXBDSA-N 0 1 304.777 3.424 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)C1CCC(C2CC2)CC1 ZINC000850758001 632216834 /nfs/dbraw/zinc/21/68/34/632216834.db2.gz WLDUMZFLZJSYSI-DAWZGUTISA-N 0 1 324.424 3.228 20 30 DGEDMN N#CC(C(=O)NC1CCCCC1)C(=O)[C@H]1CCCC2(CC2)C1 ZINC000850758127 632216998 /nfs/dbraw/zinc/21/69/98/632216998.db2.gz YSPRMSDHQCJOKR-DZGCQCFKSA-N 0 1 302.418 3.115 20 30 DGEDMN N#CC(C(=O)NCc1ccccc1)C(=O)C1CCC(C2CC2)CC1 ZINC000850758000 632217042 /nfs/dbraw/zinc/21/70/42/632217042.db2.gz WLDUMZFLZJSYSI-ABHNRTSZSA-N 0 1 324.424 3.228 20 30 DGEDMN N#CC(C(=O)[C@@H]1CCCC2(CC2)C1)c1nnc2n1CCCCC2 ZINC000850782589 632223753 /nfs/dbraw/zinc/22/37/53/632223753.db2.gz ODIJPGMWUHDRFW-ZIAGYGMSSA-N 0 1 312.417 3.151 20 30 DGEDMN N#CC(C(=O)[C@H]1CCCC2(CC2)C1)c1nnc2n1CCCCC2 ZINC000850782586 632224034 /nfs/dbraw/zinc/22/40/34/632224034.db2.gz ODIJPGMWUHDRFW-KBPBESRZSA-N 0 1 312.417 3.151 20 30 DGEDMN Cc1cccc(CNC(=O)C(C#N)C(=O)C2CCC3(CC3)CC2)c1 ZINC000850977008 632275455 /nfs/dbraw/zinc/27/54/55/632275455.db2.gz LAPPDAAEHIOHCS-QGZVFWFLSA-N 0 1 324.424 3.291 20 30 DGEDMN CO[C@H](C(=O)C(C#N)c1cnc2ccccc2n1)C1CCCC1 ZINC000850985777 632277885 /nfs/dbraw/zinc/27/78/85/632277885.db2.gz MACHJLSJILVJJW-ACJLOTCBSA-N 0 1 309.369 3.011 20 30 DGEDMN Cc1ccc(-c2cc(C(=O)O[C@H](C)CC(C)(C)C#N)[nH]n2)o1 ZINC000851212362 632344291 /nfs/dbraw/zinc/34/42/91/632344291.db2.gz RYCOFCDOADAQCQ-LLVKDONJSA-N 0 1 301.346 3.463 20 30 DGEDMN C=C(Br)C[N@@H+]1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000851707994 632470949 /nfs/dbraw/zinc/47/09/49/632470949.db2.gz OTGPRPFXVCWLGP-CHWSQXEVSA-N 0 1 316.239 3.250 20 30 DGEDMN CC(C)CN(CCC#N)CC[C@@H]1Sc2ccccc2NC1=O ZINC000851777720 632490674 /nfs/dbraw/zinc/49/06/74/632490674.db2.gz ADVHQJSZRASROF-INIZCTEOSA-N 0 1 317.458 3.361 20 30 DGEDMN N#Cc1ccc(CCN2CCc3c(cccc3[N+](=O)[O-])C2)cc1 ZINC000851869388 632512657 /nfs/dbraw/zinc/51/26/57/632512657.db2.gz GDVKMDFBRVDLJV-UHFFFAOYSA-N 0 1 307.353 3.067 20 30 DGEDMN C=CCCN(OCc1ccccc1)[C@@H](C)c1n[nH]c(CC)n1 ZINC000851970213 632531548 /nfs/dbraw/zinc/53/15/48/632531548.db2.gz CHHJZSCWIJXDDI-AWEZNQCLSA-N 0 1 300.406 3.438 20 30 DGEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)Nc1nc2ccc(F)cc2s1 ZINC000852099725 632554551 /nfs/dbraw/zinc/55/45/51/632554551.db2.gz DDBBKRDDMARLIR-HWPZZCPQSA-N 0 1 319.405 3.154 20 30 DGEDMN C=CCN(Cc1cc(=O)oc2c(C)c(C)ccc12)[C@H](C)COC ZINC000852356692 632594814 /nfs/dbraw/zinc/59/48/14/632594814.db2.gz LKFRYLRYKRYXHA-CQSZACIVSA-N 0 1 315.413 3.433 20 30 DGEDMN C[C@H]1CCCN(Cc2cc(Cl)ccc2OCC#N)[C@@H]1CCO ZINC000852457709 632605947 /nfs/dbraw/zinc/60/59/47/632605947.db2.gz VCPUJMUZSXFHJY-XJKSGUPXSA-N 0 1 322.836 3.225 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)[C@H](C)C1 ZINC000944192397 660215093 /nfs/dbraw/zinc/21/50/93/660215093.db2.gz LFDFTFHCRFNRBW-WBVHZDCISA-N 0 1 324.424 3.123 20 30 DGEDMN CC[C@@H](C#N)Oc1cccc(CNCc2c(C)nn(C)c2C)c1 ZINC000073012027 660235986 /nfs/dbraw/zinc/23/59/86/660235986.db2.gz UHUNHEGNWJZJHL-INIZCTEOSA-N 0 1 312.417 3.008 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C(F)F)CCC2)CC1(C)C ZINC000996186458 660276934 /nfs/dbraw/zinc/27/69/34/660276934.db2.gz YFIVHQLWOMDTAX-NSHDSACASA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C)CC(F)(F)C2)CC1(C)C ZINC000996504287 660283812 /nfs/dbraw/zinc/28/38/12/660283812.db2.gz ZYTRWFUQKBRTKT-NSHDSACASA-N 0 1 320.811 3.001 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(C)cc2C)CC1(C)C ZINC000996573180 660286869 /nfs/dbraw/zinc/28/68/69/660286869.db2.gz SFQLAGAGEPDTQZ-MRXNPFEDSA-N 0 1 320.864 3.496 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001032454148 660322415 /nfs/dbraw/zinc/32/24/15/660322415.db2.gz FZYABSXQMPPUFN-VJANTYMQSA-N 0 1 316.489 3.454 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(Cl)ccc1F ZINC001032508320 660333664 /nfs/dbraw/zinc/33/36/64/660333664.db2.gz NCUYCPAXSDOQJU-KBPBESRZSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccc(C)c1Cl ZINC001032516463 660336166 /nfs/dbraw/zinc/33/61/66/660336166.db2.gz ZPZMXHGEVWOLKC-HOTGVXAUSA-N 0 1 318.848 3.052 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)c2cccnc12 ZINC001032526384 660337406 /nfs/dbraw/zinc/33/74/06/660337406.db2.gz VZXMDMBRVQISCL-HOTGVXAUSA-N 0 1 321.424 3.018 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](N(CC)C(=O)c2cccc(F)c2)CC1 ZINC000997927245 660356877 /nfs/dbraw/zinc/35/68/77/660356877.db2.gz LZTUOKSJYQGIKK-GOSISDBHSA-N 0 1 316.420 3.166 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2CC1=CCCCC1 ZINC001032605504 660374139 /nfs/dbraw/zinc/37/41/39/660374139.db2.gz BSWJNAFUGVPWJG-LNLFQRSKSA-N 0 1 302.462 3.374 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2cc(C)cc(C)c2)C1 ZINC001032613925 660378550 /nfs/dbraw/zinc/37/85/50/660378550.db2.gz BOCYZEKGOUFPAN-OALUTQOASA-N 0 1 324.468 3.445 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2ccc(C)c(C)c2)C1 ZINC001032614655 660378894 /nfs/dbraw/zinc/37/88/94/660378894.db2.gz VXLDCPGTWAFGPP-OALUTQOASA-N 0 1 324.468 3.445 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(F)=C2CCCC2)C1 ZINC000998910490 660402079 /nfs/dbraw/zinc/40/20/79/660402079.db2.gz QUHPYPNZWPYBOV-CYBMUJFWSA-N 0 1 300.805 3.117 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC000999157137 660406177 /nfs/dbraw/zinc/40/61/77/660406177.db2.gz SECNKUDWTZVTOG-GJZGRUSLSA-N 0 1 310.869 3.290 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C)cc1Cl ZINC001032642565 660402946 /nfs/dbraw/zinc/40/29/46/660402946.db2.gz RJPNCTFITBCDDG-KBPBESRZSA-N 0 1 304.821 3.123 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cccc(F)c2C)C1 ZINC000999216555 660409783 /nfs/dbraw/zinc/40/97/83/660409783.db2.gz QHAJSOKVQUGYRR-ZDUSSCGKSA-N 0 1 310.800 3.081 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCCCC2(F)F)C1 ZINC000999801181 660427487 /nfs/dbraw/zinc/42/74/87/660427487.db2.gz RBIIGEHVQMATSO-STQMWFEESA-N 0 1 320.811 3.145 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(F)cccc1Cl ZINC001032744322 660437004 /nfs/dbraw/zinc/43/70/04/660437004.db2.gz FHNVUADRHWQDQI-STQMWFEESA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C1CCC(C2CC2)CC1 ZINC001032760133 660439950 /nfs/dbraw/zinc/43/99/50/660439950.db2.gz OUVXJQUVSNIGTA-FOIPXRHGSA-N 0 1 302.462 3.064 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C2CC2)s1 ZINC001032732634 660435467 /nfs/dbraw/zinc/43/54/67/660435467.db2.gz LCXYIZLPSIFTPX-GJZGRUSLSA-N 0 1 316.470 3.490 20 30 DGEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)c(CC)o1 ZINC001032733698 660435528 /nfs/dbraw/zinc/43/55/28/660435528.db2.gz HEUMIFDSCYDOSA-HOTGVXAUSA-N 0 1 316.445 3.269 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CCC)c(C)s1 ZINC001032771474 660442252 /nfs/dbraw/zinc/44/22/52/660442252.db2.gz SOSLYIBCLNZWKW-HOTGVXAUSA-N 0 1 318.486 3.484 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(Cl)cs2)C1 ZINC001000364156 660445255 /nfs/dbraw/zinc/44/52/55/660445255.db2.gz NHGPTUJVURPXQS-NSHDSACASA-N 0 1 319.257 3.348 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1ccccc1C ZINC001032808138 660452319 /nfs/dbraw/zinc/45/23/19/660452319.db2.gz KYNAJZMNGRPWMA-NEWSRXKRSA-N 0 1 312.457 3.035 20 30 DGEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(C)C)s1 ZINC001032808851 660452756 /nfs/dbraw/zinc/45/27/56/660452756.db2.gz TXEAJTDWVUICEC-KBPBESRZSA-N 0 1 304.459 3.346 20 30 DGEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCCC1(C)C ZINC001032821385 660458434 /nfs/dbraw/zinc/45/84/34/660458434.db2.gz KMOKZOZBSDSUMM-ULQDDVLXSA-N 0 1 304.478 3.454 20 30 DGEDMN C#CCN1CCC(NC(=O)C2CCC(C(C)(C)C)CC2)CC1 ZINC001004091249 660528133 /nfs/dbraw/zinc/52/81/33/660528133.db2.gz UMSCLWXWVNBKSW-UHFFFAOYSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc3c2CCCCC3)C1 ZINC001033175346 660572119 /nfs/dbraw/zinc/57/21/19/660572119.db2.gz BXIDNQORYAHLJC-GOSISDBHSA-N 0 1 324.468 3.125 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001033180783 660581316 /nfs/dbraw/zinc/58/13/16/660581316.db2.gz CLFPQBIVIVHHFC-GUDVDZBRSA-N 0 1 312.457 3.289 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2occc2-c2ccccc2)C1 ZINC001033253746 660600002 /nfs/dbraw/zinc/60/00/02/660600002.db2.gz NMHRNPUSJKQALD-INIZCTEOSA-N 0 1 310.397 3.279 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001033292722 660604447 /nfs/dbraw/zinc/60/44/47/660604447.db2.gz GDQSAWZOUGUSNE-QGZVFWFLSA-N 0 1 318.505 3.395 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccccc2CC)C1 ZINC001033372778 660616043 /nfs/dbraw/zinc/61/60/43/660616043.db2.gz JEHSXOPWULFJST-YTQUADARSA-N 0 1 312.457 3.071 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)C1 ZINC001033365519 660614300 /nfs/dbraw/zinc/61/43/00/660614300.db2.gz OHTUISCYEZCNIY-JHJVBQTASA-N 0 1 324.877 3.224 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001033391223 660618713 /nfs/dbraw/zinc/61/87/13/660618713.db2.gz PDTFCSSMVZMPTQ-BBRMVZONSA-N 0 1 306.837 3.162 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)C1 ZINC001033486832 660626693 /nfs/dbraw/zinc/62/66/93/660626693.db2.gz OXTKXMUBXAQGEM-GBESFXJTSA-N 0 1 312.457 3.126 20 30 DGEDMN C#CCCN1CC[C@H](N(C)C(=O)c2ccc(-c3ccccc3)o2)C1 ZINC001033453057 660623869 /nfs/dbraw/zinc/62/38/69/660623869.db2.gz MSGUFMYRFDRYRK-KRWDZBQOSA-N 0 1 322.408 3.116 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C)c3ccccc23)C1 ZINC001033457676 660624608 /nfs/dbraw/zinc/62/46/08/660624608.db2.gz LHSMRBMNPPFSSU-KRWDZBQOSA-N 0 1 320.436 3.318 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C)c3ccccc23)C1 ZINC001033457677 660624650 /nfs/dbraw/zinc/62/46/50/660624650.db2.gz LHSMRBMNPPFSSU-QGZVFWFLSA-N 0 1 320.436 3.318 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(c3ccccc3)CC2(C)C)C1 ZINC001033530028 660630546 /nfs/dbraw/zinc/63/05/46/660630546.db2.gz NKGWEYVCMVCNTF-PXNSSMCTSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001033530027 660630569 /nfs/dbraw/zinc/63/05/69/660630569.db2.gz NKGWEYVCMVCNTF-FXAWDEMLSA-N 0 1 312.457 3.073 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001033564969 660632996 /nfs/dbraw/zinc/63/29/96/660632996.db2.gz ILGXNPIZESCNCE-CHWSQXEVSA-N 0 1 324.877 3.061 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)c2ccc(Cl)s2)C1 ZINC001033564971 660633035 /nfs/dbraw/zinc/63/30/35/660633035.db2.gz ILGXNPIZESCNCE-OLZOCXBDSA-N 0 1 324.877 3.061 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCC(C)(C)C2)C1 ZINC001033585287 660636095 /nfs/dbraw/zinc/63/60/95/660636095.db2.gz JTEFWVOQZNHYMC-IRXDYDNUSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(C)(C)C)cc2)C1 ZINC001033604186 660637658 /nfs/dbraw/zinc/63/76/58/660637658.db2.gz CHBDLNRGJGOUGM-KRWDZBQOSA-N 0 1 300.446 3.316 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(Cl)cc(Cl)c2)C1 ZINC001033612434 660639682 /nfs/dbraw/zinc/63/96/82/660639682.db2.gz DQXRPTASJOTWPF-CQSZACIVSA-N 0 1 313.228 3.326 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ccsc2C(F)F)C1 ZINC001033620497 660640435 /nfs/dbraw/zinc/64/04/35/660640435.db2.gz SASLRLSHDZIWLL-LLVKDONJSA-N 0 1 314.401 3.408 20 30 DGEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(c3cc(C)cc(C)c3)CC2)C1 ZINC001033674345 660647639 /nfs/dbraw/zinc/64/76/39/660647639.db2.gz UNAPNFMRXXNYJI-GOSISDBHSA-N 0 1 312.457 3.054 20 30 DGEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001033693258 660650482 /nfs/dbraw/zinc/65/04/82/660650482.db2.gz QZCCLLJKFRDMFK-QUCCMNQESA-N 0 1 324.468 3.053 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2c(C)oc3ccccc32)C1 ZINC001033849482 660670652 /nfs/dbraw/zinc/67/06/52/660670652.db2.gz GBXURKRTVYREFE-HNNXBMFYSA-N 0 1 312.413 3.464 20 30 DGEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001033871060 660673727 /nfs/dbraw/zinc/67/37/27/660673727.db2.gz BHJRFQLSRVIQGM-AQNXPRMDSA-N 0 1 324.468 3.126 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@]2(C)c2ccccc2)C1 ZINC001033900024 660677550 /nfs/dbraw/zinc/67/75/50/660677550.db2.gz LQLCKYQHPGVJAK-CMKODMSKSA-N 0 1 312.457 3.073 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C)c3cccnc23)C1 ZINC001033907606 660677885 /nfs/dbraw/zinc/67/78/85/660677885.db2.gz VJIGVABNILEGKF-MRXNPFEDSA-N 0 1 323.440 3.266 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cc(F)c3ccccc3c2)CC1 ZINC001005476716 660682300 /nfs/dbraw/zinc/68/23/00/660682300.db2.gz KXUNZSBIWIOYML-UHFFFAOYSA-N 0 1 324.399 3.149 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ccc(C)c(F)c2F)C1 ZINC001033962554 660688608 /nfs/dbraw/zinc/68/86/08/660688608.db2.gz XUJLGXNDRYODFG-CQSZACIVSA-N 0 1 322.399 3.386 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001033982562 660690386 /nfs/dbraw/zinc/69/03/86/660690386.db2.gz DIBLGPZKPIYUAG-CEXWTWQISA-N 0 1 312.457 3.207 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C(F)F)cc2)C1 ZINC001033991975 660690896 /nfs/dbraw/zinc/69/08/96/660690896.db2.gz OGEPLCIVNUGNNN-HNNXBMFYSA-N 0 1 308.372 3.347 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001034016778 660694103 /nfs/dbraw/zinc/69/41/03/660694103.db2.gz NTYKJQSEBGFTFG-HXUWFJFHSA-N 0 1 322.452 3.206 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc3c(c2)CCC=C3)C1 ZINC001034018082 660694396 /nfs/dbraw/zinc/69/43/96/660694396.db2.gz WCCDTFKIMMCKIZ-LJQANCHMSA-N 0 1 310.441 3.368 20 30 DGEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034023461 660698666 /nfs/dbraw/zinc/69/86/66/660698666.db2.gz RGFYMEKCWBIOBR-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034023461 660698668 /nfs/dbraw/zinc/69/86/68/660698668.db2.gz RGFYMEKCWBIOBR-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2cccc(C(F)F)c2)C1 ZINC001034022277 660698761 /nfs/dbraw/zinc/69/87/61/660698761.db2.gz GOZQXTBDZDDCJY-INIZCTEOSA-N 0 1 320.383 3.184 20 30 DGEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2occ3c2CCCC3)C1 ZINC001034024878 660699175 /nfs/dbraw/zinc/69/91/75/660699175.db2.gz CEZSPJRYUZYRBX-INIZCTEOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C)c(F)c(C)c2)C1 ZINC001034045621 660701227 /nfs/dbraw/zinc/70/12/27/660701227.db2.gz UQIYZEMIYIFUMW-MRXNPFEDSA-N 0 1 304.409 3.165 20 30 DGEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3cccc(C)c3o2)C1 ZINC001034049667 660701748 /nfs/dbraw/zinc/70/17/48/660701748.db2.gz TVPXFDPVRWUBMN-INIZCTEOSA-N 0 1 312.413 3.464 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2CCC(C)(C)CC2)C1 ZINC001034050182 660702063 /nfs/dbraw/zinc/70/20/63/660702063.db2.gz GKWGAYLSNOFKPV-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2ccc(C(C)C)s2)C1 ZINC001034050302 660702556 /nfs/dbraw/zinc/70/25/56/660702556.db2.gz JCSQWSWFRWZSMA-HNNXBMFYSA-N 0 1 318.486 3.431 20 30 DGEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)C1 ZINC001034050973 660702653 /nfs/dbraw/zinc/70/26/53/660702653.db2.gz KIYKFCWWLKXNPR-FGTMMUONSA-N 0 1 316.420 3.038 20 30 DGEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cccc3ccccc32)C1 ZINC001034032638 660696971 /nfs/dbraw/zinc/69/69/71/660696971.db2.gz UQCOAUGBQXPZFX-GOSISDBHSA-N 0 1 320.436 3.400 20 30 DGEDMN O=C(C=C1CCC1)N[C@@H]1CCCCN(CC#Cc2ccccc2)C1 ZINC001034160348 660718275 /nfs/dbraw/zinc/71/82/75/660718275.db2.gz OXXORUZVPWPTDM-HXUWFJFHSA-N 0 1 322.452 3.119 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2scc(C)c2Cl)C1 ZINC001034224618 660726635 /nfs/dbraw/zinc/72/66/35/660726635.db2.gz ZVVAIEDSXINXHK-GFCCVEGCSA-N 0 1 312.866 3.480 20 30 DGEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCCN(C/C=C\Cl)C1 ZINC001034248227 660727913 /nfs/dbraw/zinc/72/79/13/660727913.db2.gz XGAIMBNWJCHKQK-WUKHSURWSA-N 0 1 321.852 3.011 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C3CCC3)cc2)C1 ZINC001034239710 660728140 /nfs/dbraw/zinc/72/81/40/660728140.db2.gz LQIDMLWEFZOLCZ-IBGZPJMESA-N 0 1 310.441 3.172 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)C2(c3cccc(C)c3)CCC2)C1 ZINC001034337477 660738726 /nfs/dbraw/zinc/73/87/26/660738726.db2.gz XVXTYPNBRHVRGB-LJQANCHMSA-N 0 1 324.468 3.021 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001034346177 660740772 /nfs/dbraw/zinc/74/07/72/660740772.db2.gz XWSPICDHOFSWIB-KRWDZBQOSA-N 0 1 316.420 3.050 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001034375344 660743231 /nfs/dbraw/zinc/74/32/31/660743231.db2.gz AHDZVDNLCDEECU-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2ccccc2-n2cccc2)C1 ZINC001034378540 660744915 /nfs/dbraw/zinc/74/49/15/660744915.db2.gz UVSPTVNXMVWJJQ-KRWDZBQOSA-N 0 1 323.440 3.248 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H](NC(=O)c2c(F)ccc(C)c2F)C1 ZINC001034377337 660745178 /nfs/dbraw/zinc/74/51/78/660745178.db2.gz SIPNSSXUGOWNMT-CQSZACIVSA-N 0 1 322.399 3.434 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2ccc(C)c(F)c2F)C1 ZINC001034410456 660749382 /nfs/dbraw/zinc/74/93/82/660749382.db2.gz JGKAKPZDLRHQCR-ZDUSSCGKSA-N 0 1 308.372 3.044 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(C)cc2Cl)C1 ZINC001034413472 660750031 /nfs/dbraw/zinc/75/00/31/660750031.db2.gz IWEARLJRGUSPLH-OAHLLOKOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(F)c3ccccc23)C1 ZINC001034422006 660752209 /nfs/dbraw/zinc/75/22/09/660752209.db2.gz ZPIBJIWFDIFMOZ-OAHLLOKOSA-N 0 1 324.399 3.196 20 30 DGEDMN C=C(C)CN1CCCC[C@H](NC(=O)c2oc(C(C)C)nc2C)C1 ZINC001034433857 660753238 /nfs/dbraw/zinc/75/32/38/660753238.db2.gz TXSXLFKISNQESG-HNNXBMFYSA-N 0 1 319.449 3.267 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C1 ZINC001034442080 660754331 /nfs/dbraw/zinc/75/43/31/660754331.db2.gz MHZSFQOEMQSYMS-SLFFLAALSA-N 0 1 324.468 3.093 20 30 DGEDMN C=CCN1CCCC[C@@H](NC(=O)c2coc(C3CCCC3)n2)C1 ZINC001034467920 660755678 /nfs/dbraw/zinc/75/56/78/660755678.db2.gz ODJVYCKBYLUURT-OAHLLOKOSA-N 0 1 317.433 3.103 20 30 DGEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001034474170 660756463 /nfs/dbraw/zinc/75/64/63/660756463.db2.gz PEEDWZXXWLSSDN-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCN1CCCC[C@H](NC(=O)c2cc3cccnc3s2)C1 ZINC001034474170 660756464 /nfs/dbraw/zinc/75/64/64/660756464.db2.gz PEEDWZXXWLSSDN-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C)c(C)c2Cl)C1 ZINC001034485004 660761768 /nfs/dbraw/zinc/76/17/68/660761768.db2.gz CZBGOCXDSODXNS-HNNXBMFYSA-N 0 1 318.848 3.174 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CC=C(C)CC2)C1 ZINC001034535653 660769546 /nfs/dbraw/zinc/76/95/46/660769546.db2.gz STLWLBAVORAJPV-MJGOQNOKSA-N 0 1 302.462 3.117 20 30 DGEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc([C@@H](C)CC)cc2)C1 ZINC001034543478 660771275 /nfs/dbraw/zinc/77/12/75/660771275.db2.gz ISRKIAXCNOKLRO-QFBILLFUSA-N 0 1 312.457 3.418 20 30 DGEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001034548046 660772699 /nfs/dbraw/zinc/77/26/99/660772699.db2.gz ZZKCCWALVIGQGR-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCCC2(C)C)C1 ZINC001034548045 660772732 /nfs/dbraw/zinc/77/27/32/660772732.db2.gz ZZKCCWALVIGQGR-IRXDYDNUSA-N 0 1 304.478 3.197 20 30 DGEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001034553908 660773853 /nfs/dbraw/zinc/77/38/53/660773853.db2.gz PTUXUZMROBUUJQ-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC=CCCC2)CC1 ZINC001005584428 660781457 /nfs/dbraw/zinc/78/14/57/660781457.db2.gz ZSVGCKLGHFJAPJ-KRWDZBQOSA-N 0 1 302.462 3.069 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNCc1nc(C)c(C)s1 ZINC001035013428 660809013 /nfs/dbraw/zinc/80/90/13/660809013.db2.gz CNADJZGYJLTSCH-CQSZACIVSA-N 0 1 321.490 3.053 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1ncc(C)s1 ZINC001035037297 660811158 /nfs/dbraw/zinc/81/11/58/660811158.db2.gz PMBLLGTZJPRBKX-PBHICJAKSA-N 0 1 321.490 3.134 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)[C@H]1CC1(C)C)CC2 ZINC001035176818 660822641 /nfs/dbraw/zinc/82/26/41/660822641.db2.gz QQSFRSQBXCPZID-CQSZACIVSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C[C@H]1C[C@@H]1C)CC2 ZINC001035222167 660828044 /nfs/dbraw/zinc/82/80/44/660828044.db2.gz ZYEKSSKBNIMHTG-DZGCQCFKSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(Cl)cc1C)CC2 ZINC001035785765 660851841 /nfs/dbraw/zinc/85/18/41/660851841.db2.gz MAGTYFKZWNYNLU-UHFFFAOYSA-N 0 1 318.848 3.372 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC[C@@H]1CC)CC2 ZINC001035826570 660854416 /nfs/dbraw/zinc/85/44/16/660854416.db2.gz HGTSJVYYIULBRN-ROUUACIJSA-N 0 1 316.489 3.151 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)Cc1cccs1)CC2 ZINC001035809101 660855222 /nfs/dbraw/zinc/85/52/22/660855222.db2.gz JXKGTNVSRUKIPG-OAHLLOKOSA-N 0 1 318.486 3.037 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCC[C@H]1CC)CC2 ZINC001035829202 660856555 /nfs/dbraw/zinc/85/65/55/660856555.db2.gz WXJGCVHYXOMUAN-IAGOWNOFSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CC2(C1)CCN(C(=O)C1C[C@H](C)C[C@@H](C)C1)CC2 ZINC001035858598 660860505 /nfs/dbraw/zinc/86/05/05/660860505.db2.gz IETWLPFTWYIMLN-HZPDHXFCSA-N 0 1 304.478 3.169 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCCC[C@@H]1C)CC2 ZINC001035860147 660860752 /nfs/dbraw/zinc/86/07/52/660860752.db2.gz TYWWPONSTUVYFJ-ROUUACIJSA-N 0 1 316.489 3.151 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCC(C)=C(C)C1)CC2 ZINC001035862096 660861200 /nfs/dbraw/zinc/86/12/00/660861200.db2.gz XASNGLIAGCXCDW-IBGZPJMESA-N 0 1 314.473 3.071 20 30 DGEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC(C)=C(C)C1)CC2 ZINC001035862097 660861237 /nfs/dbraw/zinc/86/12/37/660861237.db2.gz XASNGLIAGCXCDW-LJQANCHMSA-N 0 1 314.473 3.071 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccc(F)cc3)CC[C@@H]21 ZINC001036623821 660927888 /nfs/dbraw/zinc/92/78/88/660927888.db2.gz HIAWIDMYDJWMBV-HOCLYGCPSA-N 0 1 322.811 3.115 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3ccccc3C)CC[C@@H]21 ZINC001036631117 660933125 /nfs/dbraw/zinc/93/31/25/660933125.db2.gz QTSHNOBWIJFDLD-RDJZCZTQSA-N 0 1 318.848 3.284 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)/C=C(/C)C3CC3)CC[C@H]21 ZINC001036659242 660939282 /nfs/dbraw/zinc/93/92/82/660939282.db2.gz UYCCRLMFDBWPQO-ORVLFJDJSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)c3cscc3C)CC[C@H]21 ZINC001036679079 660944721 /nfs/dbraw/zinc/94/47/21/660944721.db2.gz AZVLGJJUYVCOKN-DZGCQCFKSA-N 0 1 324.877 3.345 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)c2cc3ccccc3o2)CC1 ZINC001006266000 660971548 /nfs/dbraw/zinc/97/15/48/660971548.db2.gz LFXFFOLEUVNAMK-OAHLLOKOSA-N 0 1 324.424 3.092 20 30 DGEDMN C#CCOc1cccc([C@@H](C)OC(=O)c2ccc3cncn3c2)c1 ZINC000793146110 661007699 /nfs/dbraw/zinc/00/76/99/661007699.db2.gz FNSMZHWTTNHQGG-CQSZACIVSA-N 0 1 320.348 3.264 20 30 DGEDMN CCNc1ccc(Cl)cc1C(=O)C(C#N)c1ccncn1 ZINC000793210973 661013240 /nfs/dbraw/zinc/01/32/40/661013240.db2.gz ZZQOWLMVLMAFIY-LBPRGKRZSA-N 0 1 300.749 3.052 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN1CC[C@@]2(C1)CCCOC2 ZINC000793620056 661050584 /nfs/dbraw/zinc/05/05/84/661050584.db2.gz KCZKOVZJYVJVBM-GOSISDBHSA-N 0 1 319.832 3.355 20 30 DGEDMN Cc1cc(NN=Cc2ccnn2-c2ccccc2C)nc(C)n1 ZINC000794495693 661087592 /nfs/dbraw/zinc/08/75/92/661087592.db2.gz UEALDUMXCYYTDH-UHFFFAOYSA-N 0 1 306.373 3.034 20 30 DGEDMN CN(C)c1ccc(C=[NH+][N-]c2cccnn2)c(C(F)(F)F)c1 ZINC000794514022 661088456 /nfs/dbraw/zinc/08/84/56/661088456.db2.gz GPTFUZSHVPFAGC-UHFFFAOYSA-N 0 1 309.295 3.007 20 30 DGEDMN COc1cccc(N=NC2COCc3cccc(Cl)c32)n1 ZINC000794530148 661089166 /nfs/dbraw/zinc/08/91/66/661089166.db2.gz KBIMHFIQCYRZBH-UHFFFAOYSA-N 0 1 303.749 3.090 20 30 DGEDMN Cc1cc(C(C)N=Nc2cnn(C)c2)sc1Br ZINC000794940143 661115019 /nfs/dbraw/zinc/11/50/19/661115019.db2.gz QGXTTZSIFGMPEM-UHFFFAOYSA-N 0 1 313.224 3.389 20 30 DGEDMN CC(N=Nc1ccc(Br)cn1)c1ccnc(F)c1 ZINC000794901799 661112770 /nfs/dbraw/zinc/11/27/70/661112770.db2.gz HTRIETJOQALPCD-UHFFFAOYSA-N 0 1 309.142 3.214 20 30 DGEDMN COc1ccc(CN=Nc2ccc(F)cn2)c(Br)c1 ZINC000794908427 661112818 /nfs/dbraw/zinc/11/28/18/661112818.db2.gz IQNZWSZSEGJXQX-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN CC(=NNc1cccc(F)c1F)c1ccccc1-n1ccnn1 ZINC000794914208 661113343 /nfs/dbraw/zinc/11/33/43/661113343.db2.gz IJJIDHJKXKIVLY-UHFFFAOYSA-N 0 1 313.311 3.382 20 30 DGEDMN CN(C)c1ccccc1CNN=Cc1cc(-c2ccccc2)[nH]n1 ZINC000794955898 661116254 /nfs/dbraw/zinc/11/62/54/661116254.db2.gz AGYGLTRXAPMYEO-UHFFFAOYSA-N 0 1 319.412 3.266 20 30 DGEDMN Cc1cc2c(c(C)c1Cl)C(N=Nc1cncnc1)CCO2 ZINC000794977244 661118371 /nfs/dbraw/zinc/11/83/71/661118371.db2.gz YYAKCNRAKGIYEP-UHFFFAOYSA-N 0 1 302.765 3.346 20 30 DGEDMN Cc1nn(-c2ccc(Cl)cc2)cc1CN=Nc1cncnc1 ZINC000794978239 661118421 /nfs/dbraw/zinc/11/84/21/661118421.db2.gz CUFMILORFRDUNE-UHFFFAOYSA-N 0 1 312.764 3.070 20 30 DGEDMN Oc1ccc(Br)c(C=NNc2cccc(F)n2)c1 ZINC000795006414 661120846 /nfs/dbraw/zinc/12/08/46/661120846.db2.gz HKIAJUBIVSIUSR-UHFFFAOYSA-N 0 1 310.126 3.135 20 30 DGEDMN COc1ccc(Br)c(C=NNc2cccc(F)n2)c1 ZINC000795007520 661121415 /nfs/dbraw/zinc/12/14/15/661121415.db2.gz IYXGKTNPAZODRS-UHFFFAOYSA-N 0 1 324.153 3.438 20 30 DGEDMN Cc1onc(-c2cccc(Cl)c2)c1C(=O)NC1=NO[C@@H](C)C1 ZINC000795217399 661133907 /nfs/dbraw/zinc/13/39/07/661133907.db2.gz RNMHRHJEBIQMRX-QMMMGPOBSA-N 0 1 319.748 3.156 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cccc(Cl)c1 ZINC000969126427 655525974 /nfs/dbraw/zinc/52/59/74/655525974.db2.gz BSGGNGOJPLMXAJ-KRWDZBQOSA-N 0 1 318.848 3.338 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)c(C)s1 ZINC000969139107 655527322 /nfs/dbraw/zinc/52/73/22/655527322.db2.gz ITGWDPQUFBOCMV-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(CC)c(C)s1 ZINC000969140167 655528008 /nfs/dbraw/zinc/52/80/08/655528008.db2.gz ZRWUDHFDBSMWOO-MRXNPFEDSA-N 0 1 318.486 3.227 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1sccc1C(C)C ZINC000969153995 655528707 /nfs/dbraw/zinc/52/87/07/655528707.db2.gz USUFWSVKUKPWSK-OAHLLOKOSA-N 0 1 318.486 3.479 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCCCC1(C)C ZINC000969158179 655528853 /nfs/dbraw/zinc/52/88/53/655528853.db2.gz DGJCIUWBAOEADT-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN C=C1CCC(C(=O)N[C@H]2C[C@H](NCc3ccccc3C#N)C2)CC1 ZINC001020550416 655549348 /nfs/dbraw/zinc/54/93/48/655549348.db2.gz WIKLSNINZRXORP-WGSAOQKQSA-N 0 1 323.440 3.041 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2ccc(C)cc2C)C1 ZINC000969511421 655549704 /nfs/dbraw/zinc/54/97/04/655549704.db2.gz MWYZVYWDURHVKY-QGZVFWFLSA-N 0 1 320.864 3.179 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC000969584967 655551602 /nfs/dbraw/zinc/55/16/02/655551602.db2.gz PKRMWCGBRDQVCB-TZMCWYRMSA-N 0 1 322.399 3.429 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2cccc(Cl)n2)C1 ZINC000969830960 655559240 /nfs/dbraw/zinc/55/92/40/655559240.db2.gz XGBNQHRMQNJBEB-PBHICJAKSA-N 0 1 321.852 3.028 20 30 DGEDMN COc1ccc2c(c1)C(=O)/C(=C/c1cc(F)c(O)c(F)c1)CO2 ZINC000255012567 655560971 /nfs/dbraw/zinc/56/09/71/655560971.db2.gz KBXIAAKOGSQGFR-ONNFQVAWSA-N 0 1 318.275 3.338 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NCc3cc(F)ccc3F)C2)C1 ZINC000969879484 655562031 /nfs/dbraw/zinc/56/20/31/655562031.db2.gz YIVUUYBIUNZSFI-OAHLLOKOSA-N 0 1 320.383 3.012 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC000969957385 655565424 /nfs/dbraw/zinc/56/54/24/655565424.db2.gz FSYPBMKCMATAKL-BHIYHBOVSA-N 0 1 324.468 3.030 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)c1ccc(C)c2c1CCC2 ZINC001039064183 655565568 /nfs/dbraw/zinc/56/55/68/655565568.db2.gz FOBRYHBOWPRROH-INIZCTEOSA-N 0 1 312.457 3.254 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(OC)c(C(C)C)c2)C1 ZINC000970067603 655571702 /nfs/dbraw/zinc/57/17/02/655571702.db2.gz NVYXXWFSKRSNQO-CQSZACIVSA-N 0 1 316.445 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(F)cc(Cl)c2)C1 ZINC000970073211 655572051 /nfs/dbraw/zinc/57/20/51/655572051.db2.gz NLKXZSABNXEUMD-CYBMUJFWSA-N 0 1 317.191 3.036 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C3CCCC3)cc2)C1 ZINC000970162309 655582844 /nfs/dbraw/zinc/58/28/44/655582844.db2.gz JZOVGODVQDCGBG-MRXNPFEDSA-N 0 1 324.468 3.418 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3cccc(C)c3o2)C1 ZINC000968683052 655585392 /nfs/dbraw/zinc/58/53/92/655585392.db2.gz XSTRHLCZXXJOCV-CJNGLKHVSA-N 0 1 312.413 3.367 20 30 DGEDMN COc1cccc(C2CC(=O)C(C=Nc3ccccn3)C(=O)C2)c1 ZINC000121041446 655601779 /nfs/dbraw/zinc/60/17/79/655601779.db2.gz XIRSGAGPMJJNHB-UHFFFAOYSA-N 0 1 322.364 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C3CC3)s2)C1 ZINC000970479751 655602002 /nfs/dbraw/zinc/60/20/02/655602002.db2.gz YHFFHDUBZBVLGK-GFCCVEGCSA-N 0 1 310.850 3.182 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)/C=C/C2CC2)C1 ZINC001039196251 655610910 /nfs/dbraw/zinc/61/09/10/655610910.db2.gz UNNFQNZQSDGWTL-UAEOLWBESA-N 0 1 322.880 3.408 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(-c3ccco3)s2)C1 ZINC000970672870 655612095 /nfs/dbraw/zinc/61/20/95/655612095.db2.gz ONZJZRGWVYSLBW-GFCCVEGCSA-N 0 1 316.426 3.244 20 30 DGEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(C3CCC3)c2)C1 ZINC000970741759 655615578 /nfs/dbraw/zinc/61/55/78/655615578.db2.gz SSWWZTUUEXMKSR-HNNXBMFYSA-N 0 1 310.441 3.028 20 30 DGEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2sccc2C(F)F)C1 ZINC000970814426 655624661 /nfs/dbraw/zinc/62/46/61/655624661.db2.gz QFFKYJGTDYWZEG-JTQLQIEISA-N 0 1 314.401 3.312 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)/C=C/C2CC2)C1 ZINC001021539475 655626348 /nfs/dbraw/zinc/62/63/48/655626348.db2.gz BCZDPILOKRMVKB-BUWFCSEKSA-N 0 1 308.853 3.018 20 30 DGEDMN C[C@@]1(c2ccccc2)CCCN(Cn2cccc(C#N)c2=O)CC1 ZINC000795671152 661166843 /nfs/dbraw/zinc/16/68/43/661166843.db2.gz KFPKLVOQNWMLAP-HXUWFJFHSA-N 0 1 321.424 3.121 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3cc(F)ccc3s2)C1 ZINC000970857911 655630538 /nfs/dbraw/zinc/63/05/38/655630538.db2.gz JXXIRIVOOVHFQS-NSHDSACASA-N 0 1 318.417 3.277 20 30 DGEDMN COc1cc(/C=C\C(=O)c2ccc(O)cc2O)cc(OC)c1 ZINC000255180577 655632190 /nfs/dbraw/zinc/63/21/90/655632190.db2.gz DDHAAXXTCRXURX-UTCJRWHESA-N 0 1 300.310 3.011 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(CC)c(CC)c2)C1 ZINC000970994326 655648067 /nfs/dbraw/zinc/64/80/67/655648067.db2.gz XAVULGKFSBBZPA-CQSZACIVSA-N 0 1 300.446 3.048 20 30 DGEDMN C#CCC1(NCc2cn(Cc3ccccc3)nn2)CCCCC1 ZINC000933265013 655693278 /nfs/dbraw/zinc/69/32/78/655693278.db2.gz OUZZEOPEVIDIAO-UHFFFAOYSA-N 0 1 308.429 3.142 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC=CCCC1 ZINC001039393070 655694205 /nfs/dbraw/zinc/69/42/05/655694205.db2.gz PSSVWGZEHLNCJF-KURKYZTESA-N 0 1 302.462 3.374 20 30 DGEDMN Cc1ccc(C(=O)/C=C\c2ccc(N3CCOCC3)cc2)c(O)c1 ZINC000255345895 655704126 /nfs/dbraw/zinc/70/41/26/655704126.db2.gz DKHMKZPDIHHTBD-UITAMQMPSA-N 0 1 323.392 3.433 20 30 DGEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2cncc(-c3ccccc3)c2)C1 ZINC000971640206 655715036 /nfs/dbraw/zinc/71/50/36/655715036.db2.gz CXBOSPKMRONWNW-LJQANCHMSA-N 0 1 321.424 3.081 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1occc1CC ZINC001039430537 655720216 /nfs/dbraw/zinc/72/02/16/655720216.db2.gz IJEKYGRKVVHRCO-CVEARBPZSA-N 0 1 302.418 3.097 20 30 DGEDMN CSc1cccc(CN2CCC3(CC2)CC(=O)C=CO3)c1 ZINC000933645464 655728556 /nfs/dbraw/zinc/72/85/56/655728556.db2.gz QRLZHKCZBHQNLD-UHFFFAOYSA-N 0 1 303.427 3.246 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)C1CCCCC1 ZINC001039451146 655731240 /nfs/dbraw/zinc/73/12/40/655731240.db2.gz KQPAIPRCFFKILK-QRQLOZEOSA-N 0 1 316.489 3.291 20 30 DGEDMN COc1cccc(OCCN(C)Cc2cc(C#N)cs2)c1 ZINC000933773889 655732757 /nfs/dbraw/zinc/73/27/57/655732757.db2.gz GZGMWOMDWGRSAY-UHFFFAOYSA-N 0 1 302.399 3.139 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCC1CCCCC1 ZINC001039465219 655740783 /nfs/dbraw/zinc/74/07/83/655740783.db2.gz VBCPWEOVSXZZGA-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001022549707 655749278 /nfs/dbraw/zinc/74/92/78/655749278.db2.gz GFZJYRCMCAYYGQ-LZQZEXGQSA-N 0 1 323.440 3.041 20 30 DGEDMN COc1ccc([C@@H]2CCCN2Cc2ccc(CC#N)cc2)nc1 ZINC000934341218 655769255 /nfs/dbraw/zinc/76/92/55/655769255.db2.gz IYWJPQSDILOOBZ-IBGZPJMESA-N 0 1 307.397 3.493 20 30 DGEDMN COc1ccc(CN(CCCO)Cc2ccc(C#N)s2)cc1 ZINC000934503552 655781410 /nfs/dbraw/zinc/78/14/10/655781410.db2.gz LJUHAGXKIBSNHR-UHFFFAOYSA-N 0 1 316.426 3.013 20 30 DGEDMN C=C(Br)CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000178745349 655802189 /nfs/dbraw/zinc/80/21/89/655802189.db2.gz OYJWOAHQGPOFJO-LBPRGKRZSA-N 0 1 306.207 3.432 20 30 DGEDMN O=C(NC[C@@H]1CCCN(CC#Cc2ccccc2)C1)C1CCCC1 ZINC001023195946 655818231 /nfs/dbraw/zinc/81/82/31/655818231.db2.gz LCHHCKJDRNYBNB-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2cn3ccccc3n2)cc1 ZINC000159413780 655847211 /nfs/dbraw/zinc/84/72/11/655847211.db2.gz YWUYEWATLVEGHV-OAHLLOKOSA-N 0 1 305.381 3.197 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc([N+](=O)[O-])ccc2Cl)c1 ZINC000176830484 655849916 /nfs/dbraw/zinc/84/99/16/655849916.db2.gz QPUQSGBMPHEMSN-UHFFFAOYSA-N 0 1 317.688 3.078 20 30 DGEDMN CC#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cc(C)c(CC)s2)C1 ZINC001023738563 655860376 /nfs/dbraw/zinc/86/03/76/655860376.db2.gz CPJVXGXJCMWSHD-HNNXBMFYSA-N 0 1 318.486 3.084 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001024440082 655905988 /nfs/dbraw/zinc/90/59/88/655905988.db2.gz KHCXJFBSDUFBMT-QRVBRYPASA-N 0 1 324.468 3.030 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001024448535 655906151 /nfs/dbraw/zinc/90/61/51/655906151.db2.gz QCYQFSPWWRZXOJ-YESZJQIVSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001024453305 655906512 /nfs/dbraw/zinc/90/65/12/655906512.db2.gz HOPBVBKFUAIBNA-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccoc1C(F)(F)F ZINC001024461911 655907116 /nfs/dbraw/zinc/90/71/16/655907116.db2.gz MXQIYOXHAQAELV-LLVKDONJSA-N 0 1 316.323 3.069 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc2c(C)c(C)[nH]c21 ZINC001024513592 655912120 /nfs/dbraw/zinc/91/21/20/655912120.db2.gz RFKWVMWIAOKJBE-MRXNPFEDSA-N 0 1 323.440 3.002 20 30 DGEDMN Cc1nc(CC(C)C)c(C(=O)Nc2cc(C#N)ccc2O)s1 ZINC000179741082 655912677 /nfs/dbraw/zinc/91/26/77/655912677.db2.gz DHUSRFXLUOKKPQ-UHFFFAOYSA-N 0 1 315.398 3.480 20 30 DGEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccccc1CCC ZINC001024545109 655915538 /nfs/dbraw/zinc/91/55/38/655915538.db2.gz FKDYOPJWXNMMOY-QGZVFWFLSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccccc1CCC ZINC001024545109 655915539 /nfs/dbraw/zinc/91/55/39/655915539.db2.gz FKDYOPJWXNMMOY-QGZVFWFLSA-N 0 1 300.446 3.409 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c(C)cc(F)cc1C ZINC001024593350 655921634 /nfs/dbraw/zinc/92/16/34/655921634.db2.gz LBPKVTWHNMBKKF-QGZVFWFLSA-N 0 1 316.420 3.050 20 30 DGEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cc2c(ccc(C)c2C)[nH]1 ZINC001024593321 655921722 /nfs/dbraw/zinc/92/17/22/655921722.db2.gz KEQRHEYGRADTSQ-INIZCTEOSA-N 0 1 323.440 3.002 20 30 DGEDMN C=C(C)C[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001024620950 655925004 /nfs/dbraw/zinc/92/50/04/655925004.db2.gz GMVSLVGDLPGPSB-AEFFLSMTSA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1nccc2ccccc21 ZINC001024624706 655925131 /nfs/dbraw/zinc/92/51/31/655925131.db2.gz XUTGNUKEZHDUSC-MRXNPFEDSA-N 0 1 309.413 3.005 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(Cl)cc1OC ZINC001024622333 655925224 /nfs/dbraw/zinc/92/52/24/655925224.db2.gz VEZMVKBHJPZFOY-AWEZNQCLSA-N 0 1 322.836 3.119 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(CCC)cc1 ZINC001024624937 655925491 /nfs/dbraw/zinc/92/54/91/655925491.db2.gz DMXCTXPTGUGITI-GOSISDBHSA-N 0 1 300.446 3.409 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C2CC2)nc1C ZINC001024656715 655927833 /nfs/dbraw/zinc/92/78/33/655927833.db2.gz QBWVMFOHJHJURZ-MRXNPFEDSA-N 0 1 313.445 3.038 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(F)cc(Cl)c1 ZINC001024675186 655929148 /nfs/dbraw/zinc/92/91/48/655929148.db2.gz IQHPVBXEPVLTQY-OAHLLOKOSA-N 0 1 310.800 3.249 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)C=CCC2 ZINC001024701918 655932085 /nfs/dbraw/zinc/93/20/85/655932085.db2.gz KZRNMPQVSDPIMP-LJQANCHMSA-N 0 1 310.441 3.416 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001024707846 655932342 /nfs/dbraw/zinc/93/23/42/655932342.db2.gz RNXQZQCYNPRLLD-NZSAHSFTSA-N 0 1 312.457 3.255 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc(Cl)ccc1C ZINC001024716457 655932866 /nfs/dbraw/zinc/93/28/66/655932866.db2.gz VSJCEIYRUKWZRT-INIZCTEOSA-N 0 1 318.848 3.256 20 30 DGEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001024730298 655933684 /nfs/dbraw/zinc/93/36/84/655933684.db2.gz YQPKHOJHWNYMDC-KZNAEPCWSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cccn1C1CCCC1 ZINC001024731274 655933923 /nfs/dbraw/zinc/93/39/23/655933923.db2.gz GLFCCXUDLYKNLD-KRWDZBQOSA-N 0 1 315.461 3.374 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1CCC(C(F)F)CC1 ZINC001024783862 655938284 /nfs/dbraw/zinc/93/82/84/655938284.db2.gz VVIXBPGGXJLOQC-YMAMQOFZSA-N 0 1 314.420 3.215 20 30 DGEDMN C=CCN1CCCC[C@@H]1CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001024776441 655938487 /nfs/dbraw/zinc/93/84/87/655938487.db2.gz ZARWBCYTLTYYQM-ZCYBBYNQSA-N 0 1 316.489 3.360 20 30 DGEDMN C=C(C)CC[N@H+]1CCCC[C@H]1CNC(=O)c1nc(C)c(C)s1 ZINC001024806151 655940939 /nfs/dbraw/zinc/94/09/39/655940939.db2.gz GIGSEQHNOZUZMB-HNNXBMFYSA-N 0 1 321.490 3.310 20 30 DGEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c2ccc(C)cc12 ZINC001024818028 655942661 /nfs/dbraw/zinc/94/26/61/655942661.db2.gz KOHBECGJQDKDBX-MRXNPFEDSA-N 0 1 323.440 3.084 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CCCC[C@@H]1CNCc1nccs1 ZINC001025069361 655963791 /nfs/dbraw/zinc/96/37/91/655963791.db2.gz IVPUCOPRVMRENU-PBHICJAKSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1sccc1C ZINC001025184496 655973487 /nfs/dbraw/zinc/97/34/87/655973487.db2.gz POCRZDFTIRWLAX-HZPDHXFCSA-N 0 1 316.470 3.149 20 30 DGEDMN C#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ccc(C)s1 ZINC001025185790 655973996 /nfs/dbraw/zinc/97/39/96/655973996.db2.gz UGWBWRYYVKVGLN-CVEARBPZSA-N 0 1 316.470 3.149 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)c2cc(C)oc2C)C1 ZINC001025339546 655996572 /nfs/dbraw/zinc/99/65/72/655996572.db2.gz IHEIDRPNULMWNO-HIFRSBDPSA-N 0 1 324.852 3.479 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3sccc3s2)C1 ZINC001007638144 656011897 /nfs/dbraw/zinc/01/18/97/656011897.db2.gz SVRNZQIZLOYWOD-GFCCVEGCSA-N 0 1 318.467 3.180 20 30 DGEDMN CC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2ccc(C(F)F)o2)C1 ZINC001025606497 656045331 /nfs/dbraw/zinc/04/53/31/656045331.db2.gz OJLXNOAHQOVHRG-STQMWFEESA-N 0 1 324.371 3.071 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001008145568 656123485 /nfs/dbraw/zinc/12/34/85/656123485.db2.gz AABORDVNLKAMBS-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c(C)cc(F)cc2C)C1 ZINC001008145568 656123486 /nfs/dbraw/zinc/12/34/86/656123486.db2.gz AABORDVNLKAMBS-INIZCTEOSA-N 0 1 304.409 3.213 20 30 DGEDMN C=CCN(Cc1cnc(Br)c(C)c1)[C@@H](C)COC ZINC000930933766 656156336 /nfs/dbraw/zinc/15/63/36/656156336.db2.gz ZLPAIRQDNYWGIR-LBPRGKRZSA-N 0 1 313.239 3.175 20 30 DGEDMN C[C@@H]1CN(CC2CCC(C#N)CC2)C[C@@H](CC(F)(F)F)O1 ZINC000931073281 656169187 /nfs/dbraw/zinc/16/91/87/656169187.db2.gz NLZLTPWNHFJUNC-BLYZHGLHSA-N 0 1 304.356 3.358 20 30 DGEDMN C[C@H]1CN(CC2CCC(C#N)CC2)C[C@H](CC(F)(F)F)O1 ZINC000931073280 656169363 /nfs/dbraw/zinc/16/93/63/656169363.db2.gz NLZLTPWNHFJUNC-ABXWRUBCSA-N 0 1 304.356 3.358 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(Cl)s3)C[C@@H]21 ZINC001042334847 656203363 /nfs/dbraw/zinc/20/33/63/656203363.db2.gz WQZIMAKXMIDTGR-RYUDHWBXSA-N 0 1 310.850 3.124 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cn2)C(C)(C)C1 ZINC000974599313 656216189 /nfs/dbraw/zinc/21/61/89/656216189.db2.gz XODUFDHYMNPHPH-MRXNPFEDSA-N 0 1 315.461 3.005 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3nccc(C)c3c2)C(C)(C)C1 ZINC000974656178 656219901 /nfs/dbraw/zinc/21/99/01/656219901.db2.gz KIPNGSUBJFDHHQ-SFHVURJKSA-N 0 1 323.440 3.169 20 30 DGEDMN COc1ccc(/C=C\C(=O)c2ccc(O)c(F)c2)cc1OC ZINC000299735221 656222044 /nfs/dbraw/zinc/22/20/44/656222044.db2.gz YKSMHBWDIOEXRG-UTCJRWHESA-N 0 1 302.301 3.445 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2C3CC4CC(C3)CC2C4)C(C)(C)C1 ZINC000974688811 656222199 /nfs/dbraw/zinc/22/21/99/656222199.db2.gz IYIBBFZWYUOPNO-NFSPANSCSA-N 0 1 316.489 3.071 20 30 DGEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)C(C)(C)C1 ZINC000974692647 656222934 /nfs/dbraw/zinc/22/29/34/656222934.db2.gz ORTBEOVRXSOQCL-BZSNNMDCSA-N 0 1 316.489 3.053 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2oc(C(F)F)cc2C)C(C)(C)C1 ZINC000974694687 656223244 /nfs/dbraw/zinc/22/32/44/656223244.db2.gz SCUQWELEPAWXQV-LBPRGKRZSA-N 0 1 312.360 3.152 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(F)cc3ccoc32)C(C)(C)C1 ZINC000974746992 656226429 /nfs/dbraw/zinc/22/64/29/656226429.db2.gz RKDDNDTXKSDXFD-OAHLLOKOSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(Cl)cc2F)C(C)(C)C1 ZINC000974787061 656230138 /nfs/dbraw/zinc/23/01/38/656230138.db2.gz DAOPJSDODWWPHA-OAHLLOKOSA-N 0 1 324.827 3.495 20 30 DGEDMN CC#CC[N@H+]1C[C@@H](NC(=O)C2CCCCCCC2)C(C)(C)C1 ZINC000974804832 656230822 /nfs/dbraw/zinc/23/08/22/656230822.db2.gz XBZOEDDIEZLSIA-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCCCCCC2)C(C)(C)C1 ZINC000974804832 656230823 /nfs/dbraw/zinc/23/08/23/656230823.db2.gz XBZOEDDIEZLSIA-QGZVFWFLSA-N 0 1 304.478 3.197 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cc(Cl)ccc2F)C(C)(C)C1 ZINC000974810418 656231598 /nfs/dbraw/zinc/23/15/98/656231598.db2.gz KHBZIZLORRHGCX-HNNXBMFYSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001026989009 656235190 /nfs/dbraw/zinc/23/51/90/656235190.db2.gz VKTLOJWDNCUUKE-HUUCEWRRSA-N 0 1 319.449 3.084 20 30 DGEDMN C=C(C)C[N@H+]1C[C@H](NC(=O)C(C)(C)c2cccs2)C(C)(C)C1 ZINC000974916179 656240831 /nfs/dbraw/zinc/24/08/31/656240831.db2.gz XIWHQPMPYGZBAY-AWEZNQCLSA-N 0 1 320.502 3.428 20 30 DGEDMN C=CC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987953 656248505 /nfs/dbraw/zinc/24/85/05/656248505.db2.gz NTTMHCFOVYZGQB-XJKSGUPXSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(Cl)cc2)C(C)(C)C1 ZINC000974987953 656248509 /nfs/dbraw/zinc/24/85/09/656248509.db2.gz NTTMHCFOVYZGQB-XJKSGUPXSA-N 0 1 320.864 3.456 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)C(C)(C)C1 ZINC000975067216 656253408 /nfs/dbraw/zinc/25/34/08/656253408.db2.gz SLDNXZZJEKRWNG-TWMKSMIVSA-N 0 1 304.478 3.215 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C)c(F)c2F)C(C)(C)C1 ZINC000975079354 656254297 /nfs/dbraw/zinc/25/42/97/656254297.db2.gz MVZODPZNWWWAFO-CQSZACIVSA-N 0 1 322.399 3.290 20 30 DGEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C)cc2F)C(C)(C)C1 ZINC000975102760 656256467 /nfs/dbraw/zinc/25/64/67/656256467.db2.gz DTUAKXVQIVTMQD-MRXNPFEDSA-N 0 1 304.409 3.150 20 30 DGEDMN CC(C)=CC(=O)N1CCC[C@H](N(C)Cc2ccc(C#N)s2)C1 ZINC001027280442 656279218 /nfs/dbraw/zinc/27/92/18/656279218.db2.gz VNAPQAZJPXPFQX-AWEZNQCLSA-N 0 1 317.458 3.009 20 30 DGEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2cc3ccccc3cc2F)C1 ZINC001027486528 656316288 /nfs/dbraw/zinc/31/62/88/656316288.db2.gz FZOFKVUWXHBCCF-QGZVFWFLSA-N 0 1 324.399 3.149 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H]2C[C@H]2c2cccc(C)c2)C(C)(C)C1 ZINC000977326380 656322794 /nfs/dbraw/zinc/32/27/94/656322794.db2.gz LKNXZSKVMOZFCM-KSZLIROESA-N 0 1 312.457 3.111 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C(C)(C)C1 ZINC000977389436 656325960 /nfs/dbraw/zinc/32/59/60/656325960.db2.gz LOFRQVIXFJPTLV-BRWVUGGUSA-N 0 1 306.494 3.461 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C(C)C)c2)C(C)(C)C1 ZINC000977421889 656328739 /nfs/dbraw/zinc/32/87/39/656328739.db2.gz QCYVNJQUAGLJOY-SFHVURJKSA-N 0 1 312.457 3.274 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCCC(C)(C)C2)C(C)(C)C1 ZINC000977455169 656331450 /nfs/dbraw/zinc/33/14/50/656331450.db2.gz RKJPNXMPLPZOAF-HZPDHXFCSA-N 0 1 304.478 3.053 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)C1 ZINC001009749606 656337921 /nfs/dbraw/zinc/33/79/21/656337921.db2.gz NNEWVBAKSUHPGX-IAGOWNOFSA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2cccc(C(F)F)c2)C(C)(C)C1 ZINC000977521396 656341179 /nfs/dbraw/zinc/34/11/79/656341179.db2.gz FJNKRTVXOKYZPQ-OAHLLOKOSA-N 0 1 320.383 3.088 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)nc3ccccc32)C(C)(C)C1 ZINC000977555723 656341855 /nfs/dbraw/zinc/34/18/55/656341855.db2.gz HDBKLUFDOZBOGW-GOSISDBHSA-N 0 1 323.440 3.169 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc(F)cc2Cl)C(C)(C)C1 ZINC000977581299 656346467 /nfs/dbraw/zinc/34/64/67/656346467.db2.gz CPJSFXNTFLZICS-CQSZACIVSA-N 0 1 310.800 3.105 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)c2ccc(F)c(F)c2)C(C)(C)C1 ZINC000977604421 656346995 /nfs/dbraw/zinc/34/69/95/656346995.db2.gz NOUVHTOCVVHKKQ-LRDDRELGSA-N 0 1 322.399 3.081 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc3cccc(C)c3o2)C(C)(C)C1 ZINC000977635472 656349542 /nfs/dbraw/zinc/34/95/42/656349542.db2.gz LXKUHBZKCNROGQ-KRWDZBQOSA-N 0 1 324.424 3.205 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)C2(C)CCC(C)CC2)C(C)(C)C1 ZINC000977643648 656351745 /nfs/dbraw/zinc/35/17/45/656351745.db2.gz NOXFIJMJLWRIPR-WUJZJPHMSA-N 0 1 304.478 3.053 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2cccc3ccccc32)CC1 ZINC001006356209 661257605 /nfs/dbraw/zinc/25/76/05/661257605.db2.gz MLXIANRIWQVKAE-UHFFFAOYSA-N 0 1 306.409 3.009 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)c2sccc2C2CC2)CC1 ZINC001006370883 661258384 /nfs/dbraw/zinc/25/83/84/661258384.db2.gz SZZABUIVNVDEIA-UHFFFAOYSA-N 0 1 316.470 3.185 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ccccc2C)CC1 ZINC001052166964 656419230 /nfs/dbraw/zinc/41/92/30/656419230.db2.gz NLAAXRIWCGQQIW-HNNXBMFYSA-N 0 1 306.837 3.332 20 30 DGEDMN C[C@@H](C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1)C1CC1 ZINC001052282528 656425205 /nfs/dbraw/zinc/42/52/05/656425205.db2.gz OEMGQQDQKZLLOB-YLJYHZDGSA-N 0 1 324.468 3.055 20 30 DGEDMN CC1CC(C(=O)N[C@H]2CCCN(CC#Cc3ccccc3)CC2)C1 ZINC001052295379 656425843 /nfs/dbraw/zinc/42/58/43/656425843.db2.gz JBWWUBYJDSBKCE-UUKMXZOPSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@]2(C)CC=CCC2)CC1 ZINC001052412188 656434008 /nfs/dbraw/zinc/43/40/08/656434008.db2.gz RESYLGDNJYDUGS-RDJZCZTQSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@]2(C)CC=CCC2)CC1 ZINC001052412187 656434135 /nfs/dbraw/zinc/43/41/35/656434135.db2.gz RESYLGDNJYDUGS-NVXWUHKLSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2[nH]ccc2C2CC2)CC1 ZINC001052436680 656436249 /nfs/dbraw/zinc/43/62/49/656436249.db2.gz BJKABJNHILGCIL-AWEZNQCLSA-N 0 1 321.852 3.229 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2ccc(C)cc2)CC1 ZINC001052536666 656442267 /nfs/dbraw/zinc/44/22/67/656442267.db2.gz ICSGYDKETCHDJK-QGZVFWFLSA-N 0 1 320.864 3.261 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ccc(C)c(F)c2)CC1 ZINC001052540470 656442479 /nfs/dbraw/zinc/44/24/79/656442479.db2.gz FVPIIIMKEJPEHE-OAHLLOKOSA-N 0 1 324.827 3.471 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cscc1C(F)F ZINC001027887272 656461832 /nfs/dbraw/zinc/46/18/32/656461832.db2.gz LYTNHPKUUNFEKO-SNVBAGLBSA-N 0 1 300.374 3.066 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1ccoc1C(F)(F)F ZINC001027907798 656478860 /nfs/dbraw/zinc/47/88/60/656478860.db2.gz FBIALYURSYDMCJ-LLVKDONJSA-N 0 1 316.323 3.069 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C2CCC2)cc1 ZINC001039381937 656491462 /nfs/dbraw/zinc/49/14/62/656491462.db2.gz OMAOSHMCHIZCGF-UXHICEINSA-N 0 1 322.452 3.266 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC=CCCC1 ZINC001039393106 656492439 /nfs/dbraw/zinc/49/24/39/656492439.db2.gz ROAXTVLFIHRGGY-OTWHNJEPSA-N 0 1 314.473 3.212 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1occ2c1CCC2 ZINC001039410779 656494968 /nfs/dbraw/zinc/49/49/68/656494968.db2.gz YVDJPLRPWIYDCL-CVEARBPZSA-N 0 1 314.429 3.023 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1csc2ccccc12 ZINC001039412310 656495780 /nfs/dbraw/zinc/49/57/80/656495780.db2.gz LFNNKVNNAYJAPD-CABCVRRESA-N 0 1 324.449 3.213 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1CCCCCC1 ZINC001039444490 656503282 /nfs/dbraw/zinc/50/32/82/656503282.db2.gz GXIWIOXSHVBTJY-MOPGFXCFSA-N 0 1 316.489 3.436 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)c1ccc(CC)cc1 ZINC001039452458 656505673 /nfs/dbraw/zinc/50/56/73/656505673.db2.gz XIXYLRZPGUFOPX-AHRSYUTCSA-N 0 1 324.468 3.051 20 30 DGEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(F)CCCCC1 ZINC001039457838 656506196 /nfs/dbraw/zinc/50/61/96/656506196.db2.gz QCBOZJRVSYCMKI-CVEARBPZSA-N 0 1 308.441 3.300 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(C)s1 ZINC001039467919 656509015 /nfs/dbraw/zinc/50/90/15/656509015.db2.gz JYHDSLYSFRUYCF-CABCVRRESA-N 0 1 304.459 3.230 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(CC)CCCCC1 ZINC001039473620 656510601 /nfs/dbraw/zinc/51/06/01/656510601.db2.gz VHALVRRHWSDBMS-SJORKVTESA-N 0 1 302.462 3.045 20 30 DGEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1coc2ccccc12 ZINC001039478588 656512758 /nfs/dbraw/zinc/51/27/58/656512758.db2.gz MYSYBBLDMUUSRY-CABCVRRESA-N 0 1 310.397 3.298 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1CCC(C)(C)CC1 ZINC001039479636 656512870 /nfs/dbraw/zinc/51/28/70/656512870.db2.gz IGFFQCRPXLVJNZ-MSOLQXFVSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@H]1C[C@@H]1C(C)C)C2 ZINC001048578550 656517948 /nfs/dbraw/zinc/51/79/48/656517948.db2.gz RBPJEWZZDPZBSJ-JJTUDDRGSA-N 0 1 324.896 3.390 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1nc(C(C)(C)C)cs1 ZINC001027946233 656520209 /nfs/dbraw/zinc/52/02/09/656520209.db2.gz BLGFNGZYISURIZ-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=Cc1ccc(C(=O)N2C[C@H]3CN(CC(=C)Cl)C[C@H]3C2)cc1 ZINC001049076738 656558372 /nfs/dbraw/zinc/55/83/72/656558372.db2.gz MZPNECYWEATFJE-CALCHBBNSA-N 0 1 316.832 3.086 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC3CCCCCC3)C[C@@H]2C1 ZINC001049083202 656559941 /nfs/dbraw/zinc/55/99/41/656559941.db2.gz VJRFGIQFAGYRAL-CALCHBBNSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)C(C)(C)C(=O)N1CC[C@]2(C1)CCCN(CCF)C2 ZINC001040224325 656571563 /nfs/dbraw/zinc/57/15/63/656571563.db2.gz JALPPZYCLLXICI-MRXNPFEDSA-N 0 1 316.848 3.049 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCCCC3(C)C)C[C@@H]2C1 ZINC001049238272 656575730 /nfs/dbraw/zinc/57/57/30/656575730.db2.gz JJNSQYOUTGYGQV-OWCLPIDISA-N 0 1 324.896 3.346 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@]3(C)C=CCC3)C2)CC1 ZINC001040808467 656607257 /nfs/dbraw/zinc/60/72/57/656607257.db2.gz JICVABSZTFFRRQ-QGZVFWFLSA-N 0 1 322.880 3.410 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@H]3CCC3(C)C)C2)CC1 ZINC001040813765 656608287 /nfs/dbraw/zinc/60/82/87/656608287.db2.gz SMWFEEKAWKTKIO-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)[C@@H](C)C(C)C)C2)CC1 ZINC001040870897 656613043 /nfs/dbraw/zinc/61/30/43/656613043.db2.gz LRAXPHLABKAPJV-HNNXBMFYSA-N 0 1 312.885 3.346 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#Cc1ccccc1 ZINC001049408926 656615997 /nfs/dbraw/zinc/61/59/97/656615997.db2.gz XQXLHBIHJCHYNQ-KFKGKEPQSA-N 0 1 322.452 3.070 20 30 DGEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C[C@H](C)C3CC3)C2)CC1 ZINC001040953898 656617037 /nfs/dbraw/zinc/61/70/37/656617037.db2.gz SWIDPIGCXHJZRK-AWEZNQCLSA-N 0 1 324.896 3.490 20 30 DGEDMN C/C=C(/C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1ccccc1C#N ZINC001049411825 656617211 /nfs/dbraw/zinc/61/72/11/656617211.db2.gz RBKOFLIRELADIR-IUBOQSRQSA-N 0 1 323.440 3.090 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)CO[C@@H]2CCCC[C@@H]2CC)CC1 ZINC000981137539 656629313 /nfs/dbraw/zinc/62/93/13/656629313.db2.gz VGGQAVDJIGLGGL-ZWKOTPCHSA-N 0 1 322.493 3.082 20 30 DGEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1sc(C)cc1C ZINC001049496766 656630558 /nfs/dbraw/zinc/63/05/58/656630558.db2.gz DUTYAJUQPPXUIN-LSDHHAIUSA-N 0 1 304.459 3.230 20 30 DGEDMN CC(C)c1ccc(CN2CCCN(C(=O)C#CC3CC3)CC2)cc1 ZINC000981126124 656630767 /nfs/dbraw/zinc/63/07/67/656630767.db2.gz PQAOHJRWHZYFGB-UHFFFAOYSA-N 0 1 324.468 3.258 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](CC)OC2CCCCC2)CC1 ZINC000981153776 656635046 /nfs/dbraw/zinc/63/50/46/656635046.db2.gz DGAIGTCQGGPLBA-SFHVURJKSA-N 0 1 322.493 3.225 20 30 DGEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)C1CCCC1 ZINC001049523615 656635373 /nfs/dbraw/zinc/63/53/73/656635373.db2.gz LYRLRCUSEVXQBS-ROUUACIJSA-N 0 1 316.489 3.291 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1oc(C(F)F)cc1C ZINC001049528867 656636124 /nfs/dbraw/zinc/63/61/24/656636124.db2.gz BQMROASFUMDAAS-OLZOCXBDSA-N 0 1 324.371 3.391 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001028037143 656639139 /nfs/dbraw/zinc/63/91/39/656639139.db2.gz PJVSCTGSROVNHP-OAHLLOKOSA-N 0 1 314.429 3.415 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043473 656641801 /nfs/dbraw/zinc/64/18/01/656641801.db2.gz PGNADKNECUWCLY-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C)c(Cl)c1F ZINC001028043473 656641802 /nfs/dbraw/zinc/64/18/02/656641802.db2.gz PGNADKNECUWCLY-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCN1CCC(NC(=O)Nc2c(CC)cccc2CC)CC1 ZINC000929214401 661288438 /nfs/dbraw/zinc/28/84/38/661288438.db2.gz AVRXGARUHBHEIK-UHFFFAOYSA-N 0 1 313.445 3.031 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(CC)s1 ZINC001049581030 656644841 /nfs/dbraw/zinc/64/48/41/656644841.db2.gz XPFZDWSVLLLFSW-GJZGRUSLSA-N 0 1 304.459 3.175 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1csc2ccccc12 ZINC001049619862 656650343 /nfs/dbraw/zinc/65/03/43/656650343.db2.gz HFRMUWYXJRPDJL-DLBZAZTESA-N 0 1 324.449 3.213 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)c1csc2ccccc12 ZINC001028054364 656653249 /nfs/dbraw/zinc/65/32/49/656653249.db2.gz WMAVAJSTIPPMGO-AWEZNQCLSA-N 0 1 312.438 3.119 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)C(C)(C)C ZINC001049638271 656654913 /nfs/dbraw/zinc/65/49/13/656654913.db2.gz AHMAURDQSBXVOJ-HZPDHXFCSA-N 0 1 304.478 3.147 20 30 DGEDMN C=Cc1ccc(C(=O)N2CCC[C@H]3[C@H]2CCN3CC#CC)cc1 ZINC001049738873 656675256 /nfs/dbraw/zinc/67/52/56/656675256.db2.gz AJDVPDRTMSOSEA-RBUKOAKNSA-N 0 1 308.425 3.032 20 30 DGEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CC)CC1CCCC1 ZINC001049767434 656684101 /nfs/dbraw/zinc/68/41/01/656684101.db2.gz GGNYWVQDXKSBBE-GUDVDZBRSA-N 0 1 316.489 3.291 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2nccc3ccsc32)CC1 ZINC000981375370 656687921 /nfs/dbraw/zinc/68/79/21/656687921.db2.gz BPHBRORREMRLKH-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCCc3ccccc32)CC1 ZINC000981457406 656699983 /nfs/dbraw/zinc/69/99/83/656699983.db2.gz NDDVVMIWUCHTOR-LJQANCHMSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc3c(C)c(C)[nH]c32)CC1 ZINC000981457597 656700285 /nfs/dbraw/zinc/70/02/85/656700285.db2.gz PZTTVIMAKZZNJE-UHFFFAOYSA-N 0 1 311.429 3.119 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccccc1Cl ZINC001049855528 656700528 /nfs/dbraw/zinc/70/05/28/656700528.db2.gz VOXYTQKWHVGYRF-IAGOWNOFSA-N 0 1 316.832 3.042 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(C(C)C)cc1 ZINC001049866131 656705384 /nfs/dbraw/zinc/70/53/84/656705384.db2.gz ZATDHXJYEVXCNR-RBUKOAKNSA-N 0 1 310.441 3.122 20 30 DGEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc2[nH]ccc2s1 ZINC001049868781 656705887 /nfs/dbraw/zinc/70/58/87/656705887.db2.gz XPILNXWOCZVQIX-KGLIPLIRSA-N 0 1 315.442 3.094 20 30 DGEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1sccc1C1CC1 ZINC001049876145 656708731 /nfs/dbraw/zinc/70/87/31/656708731.db2.gz IFSXQFUAXJXCQW-HOTGVXAUSA-N 0 1 316.470 3.490 20 30 DGEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1oc2ccccc2c1C ZINC001049876092 656709247 /nfs/dbraw/zinc/70/92/47/656709247.db2.gz IEHCLVAABCXZQW-DLBZAZTESA-N 0 1 322.408 3.053 20 30 DGEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(C)c(C)s1 ZINC001049882757 656713341 /nfs/dbraw/zinc/71/33/41/656713341.db2.gz DVWDITNJYOLBDM-CVEARBPZSA-N 0 1 316.470 3.067 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)c1ccccc1 ZINC001049885713 656713951 /nfs/dbraw/zinc/71/39/51/656713951.db2.gz OXWHUMLKLCEPFJ-RTBURBONSA-N 0 1 324.468 3.053 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2coc3cc(F)ccc32)CC1 ZINC000981512852 656715137 /nfs/dbraw/zinc/71/51/37/656715137.db2.gz AETUIWNWAQICEZ-UHFFFAOYSA-N 0 1 316.376 3.296 20 30 DGEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccoc1C(C)C ZINC001049919108 656722936 /nfs/dbraw/zinc/72/29/36/656722936.db2.gz UFZQPBFXBKSNPD-IAGOWNOFSA-N 0 1 314.429 3.105 20 30 DGEDMN C=CCN1CC[C@H]2CCN(C(=O)c3ccc(CC)s3)C[C@@H]21 ZINC001042138130 656725606 /nfs/dbraw/zinc/72/56/06/656725606.db2.gz LFDQFLLLTBPLNX-ZFWWWQNUSA-N 0 1 304.459 3.033 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3cc(C)ccc3n2)CC1 ZINC000981592505 656730644 /nfs/dbraw/zinc/73/06/44/656730644.db2.gz FNMDCKTVCFOCKS-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN CCCN(Cc1cncc(C#N)c1)C[C@H]1COc2ccccc2O1 ZINC000929250972 661291068 /nfs/dbraw/zinc/29/10/68/661291068.db2.gz DZUPQIASGIKXJS-KRWDZBQOSA-N 0 1 323.396 3.005 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@@H]3CCN(C/C=C/Cl)[C@H]3C2)C1 ZINC001042224258 656740457 /nfs/dbraw/zinc/74/04/57/656740457.db2.gz KQLJSRMGHNSUSS-CFBOXQHNSA-N 0 1 308.853 3.018 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2cnc3ccccc3c2C)CC1 ZINC000981631841 656740928 /nfs/dbraw/zinc/74/09/28/656740928.db2.gz CGWAUTNSKBDCHN-UHFFFAOYSA-N 0 1 323.440 3.267 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)[C@H]3CC[C@H](C(C)C)CC3)C[C@@H]21 ZINC001042288378 656747555 /nfs/dbraw/zinc/74/75/55/656747555.db2.gz CNAMRHUEIPQAMQ-VJANTYMQSA-N 0 1 316.489 3.005 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccc(C4CCC4)c3)C[C@@H]21 ZINC001042314526 656750544 /nfs/dbraw/zinc/75/05/44/656750544.db2.gz RQAUVHRDSWFJDT-PXNSSMCTSA-N 0 1 322.452 3.124 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C(C)(C)C)cc3)C[C@H]21 ZINC001042325606 656753489 /nfs/dbraw/zinc/75/34/89/656753489.db2.gz DTSMKEUDVDBMAZ-QFBILLFUSA-N 0 1 324.468 3.154 20 30 DGEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccccc3C3CCC3)C[C@H]21 ZINC001042335181 656756405 /nfs/dbraw/zinc/75/64/05/656756405.db2.gz JKIPYLYSCHCBRQ-FXAWDEMLSA-N 0 1 322.452 3.124 20 30 DGEDMN CC#CC[N@@H+]1CCCC2(CN(C(=O)[C@]3(C)CCC[C@@H]3CC)C2)C1 ZINC000981846865 656784983 /nfs/dbraw/zinc/78/49/83/656784983.db2.gz PKTXFKBKSZMGLM-PKOBYXMFSA-N 0 1 316.489 3.151 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@]3(C)CCC[C@@H]3CC)C2)C1 ZINC000981846865 656784987 /nfs/dbraw/zinc/78/49/87/656784987.db2.gz PKTXFKBKSZMGLM-PKOBYXMFSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C2CCC=CCC2)CC1 ZINC001052944646 656793977 /nfs/dbraw/zinc/79/39/77/656793977.db2.gz WXOKUHVRGBCDKF-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC2(C1)CCCN(C/C=C\Cl)C2 ZINC000981929987 656807419 /nfs/dbraw/zinc/80/74/19/656807419.db2.gz FNLPLPICXACNHV-VURMDHGXSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N1CC2(C1)CCCN(C[C@H](F)CC)C2 ZINC000981931163 656810826 /nfs/dbraw/zinc/81/08/26/656810826.db2.gz WQMNGSSYUDHPEV-OAHLLOKOSA-N 0 1 310.457 3.261 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@H]2CCCN(C(=O)C3CCC3)C2)C1 ZINC001053000493 656810851 /nfs/dbraw/zinc/81/08/51/656810851.db2.gz SLGYSYQCDJVMMH-IRXDYDNUSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)C2(C)CCC(F)(F)CC2)C1 ZINC001043121574 656815047 /nfs/dbraw/zinc/81/50/47/656815047.db2.gz AMLLSDAJLXEDFN-UHFFFAOYSA-N 0 1 314.420 3.311 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)Cc2ccccc2F)CC1 ZINC000981941422 656816931 /nfs/dbraw/zinc/81/69/31/656816931.db2.gz PGBHHBZAQYZTMZ-INIZCTEOSA-N 0 1 318.436 3.115 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)Cc2ccc(CCCC)cc2)C1 ZINC001043237780 656818753 /nfs/dbraw/zinc/81/87/53/656818753.db2.gz ZOVJDJYYCNQFSI-UHFFFAOYSA-N 0 1 314.473 3.290 20 30 DGEDMN C=CC[N@@H+]1CCCN(C(=O)C[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000981944551 656820064 /nfs/dbraw/zinc/82/00/64/656820064.db2.gz QTZCVYMHERBUBA-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN C=CCN1CCCN(C(=O)C[C@@H](C)c2ccc(CC)cc2)CC1 ZINC000981944551 656820070 /nfs/dbraw/zinc/82/00/70/656820070.db2.gz QTZCVYMHERBUBA-QGZVFWFLSA-N 0 1 314.473 3.463 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)c2c(F)cccc2F)C1 ZINC001043347700 656826639 /nfs/dbraw/zinc/82/66/39/656826639.db2.gz MVGNCPDHBTUXOV-ZDUSSCGKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cc3ccccc3o2)C1 ZINC001043501283 656834715 /nfs/dbraw/zinc/83/47/15/656834715.db2.gz SULCRIJEZVFGOD-UHFFFAOYSA-N 0 1 312.413 3.084 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(C)c(Cl)c2)C1 ZINC001043733943 656850887 /nfs/dbraw/zinc/85/08/87/656850887.db2.gz XUILGJNSTHBYPZ-UHFFFAOYSA-N 0 1 306.837 3.371 20 30 DGEDMN C=C1CC(C)(C(=O)N(C)C2CN(CC3CC4(CCC4)C3)C2)C1 ZINC001043812292 656859324 /nfs/dbraw/zinc/85/93/24/656859324.db2.gz YMFKILQCTKVESM-UHFFFAOYSA-N 0 1 302.462 3.066 20 30 DGEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001028201606 656862803 /nfs/dbraw/zinc/86/28/03/656862803.db2.gz ITVPCJIQMOMFNL-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)[C@H](C)c3cccc(C)c3)C2)C1 ZINC000982040247 656873995 /nfs/dbraw/zinc/87/39/95/656873995.db2.gz NHSDNQOJFRMRBU-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)c3cccc(C)c3)C2)C1 ZINC000982040247 656874003 /nfs/dbraw/zinc/87/40/03/656874003.db2.gz NHSDNQOJFRMRBU-GOSISDBHSA-N 0 1 324.468 3.046 20 30 DGEDMN C=C1CCC(C(=O)NC[C@@H]2Cc3ccccc3CN2CC)CC1 ZINC001054050551 656891586 /nfs/dbraw/zinc/89/15/86/656891586.db2.gz FWFRVBOTYSVWNC-IBGZPJMESA-N 0 1 312.457 3.296 20 30 DGEDMN C=C(C)CN1Cc2ccccc2C[C@H]1CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001054050996 656892437 /nfs/dbraw/zinc/89/24/37/656892437.db2.gz QSZQSLNJARKTKM-NMLBUPMWSA-N 0 1 324.468 3.152 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CC(C)(C)C)C2 ZINC001054081500 656905527 /nfs/dbraw/zinc/90/55/27/656905527.db2.gz UBOYGWDGYXJJAN-KRWDZBQOSA-N 0 1 312.885 3.490 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C(F)=C(C)C)C2 ZINC001054114071 656913332 /nfs/dbraw/zinc/91/33/32/656913332.db2.gz UAFGYKKMUOMSSU-MRXNPFEDSA-N 0 1 314.832 3.317 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC000982226914 656920686 /nfs/dbraw/zinc/92/06/86/656920686.db2.gz DJMPYCXSIHFWSA-MSOLQXFVSA-N 0 1 318.505 3.397 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@]2(CCN(CC(=C)Cl)C2)C1 ZINC001054177360 656925935 /nfs/dbraw/zinc/92/59/35/656925935.db2.gz KEDLYVZPIZKFMF-QGZVFWFLSA-N 0 1 310.869 3.266 20 30 DGEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H]1C[C@H]1C1CC1)C2 ZINC001054212694 656940010 /nfs/dbraw/zinc/94/00/10/656940010.db2.gz LTVQAQJKMUUMCM-LZLYRXPVSA-N 0 1 322.880 3.100 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C[C@@H](C)C1CC1)C2 ZINC001054246854 656948487 /nfs/dbraw/zinc/94/84/87/656948487.db2.gz KUPXIVCHQWLKJQ-KDOFPFPSSA-N 0 1 324.896 3.490 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)c3ccc(C)nc3)cc2C1 ZINC001054255052 656951632 /nfs/dbraw/zinc/95/16/32/656951632.db2.gz VNSPZHMXCDTDMI-UHFFFAOYSA-N 0 1 321.424 3.212 20 30 DGEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cc(CC)c(CC)o1 ZINC001028265545 656953306 /nfs/dbraw/zinc/95/33/06/656953306.db2.gz GHGSQNOQPOBFPD-OAHLLOKOSA-N 0 1 304.434 3.175 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)C3C=CC=CC=C3)cc2C1 ZINC001054267469 656956194 /nfs/dbraw/zinc/95/61/94/656956194.db2.gz VRAHKGDYNCJLOZ-UHFFFAOYSA-N 0 1 320.436 3.493 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)cc2C1 ZINC001054271696 656957385 /nfs/dbraw/zinc/95/73/85/656957385.db2.gz VYONMZXRSZTWMN-AHRSYUTCSA-N 0 1 322.452 3.078 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@@H]3CCCC3(C)C)cc2C1 ZINC001054277991 656957957 /nfs/dbraw/zinc/95/79/57/656957957.db2.gz HMFQCDFZIMZSCB-IBGZPJMESA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)[C@H]3CCCC[C@H]3C)cc2C1 ZINC001054279149 656958832 /nfs/dbraw/zinc/95/88/32/656958832.db2.gz VOCGMSSOXQYHOZ-UZLBHIALSA-N 0 1 324.468 3.468 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)c3csc(C)c3)cc2C1 ZINC001054285588 656962381 /nfs/dbraw/zinc/96/23/81/656962381.db2.gz POCJQAQCZFJZPL-UHFFFAOYSA-N 0 1 324.449 3.325 20 30 DGEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(C)(C)C)cc1 ZINC001028281616 656969174 /nfs/dbraw/zinc/96/91/74/656969174.db2.gz MGRODJPBBZNHAA-GOSISDBHSA-N 0 1 312.457 3.202 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001028283293 656969799 /nfs/dbraw/zinc/96/97/99/656969799.db2.gz BWNSYOHOISCYKL-AWEZNQCLSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CC(C)(C)C(=O)N1C[C@@]2(C)CN(C/C=C/Cl)C[C@@]2(C)C1 ZINC000982433667 656971460 /nfs/dbraw/zinc/97/14/60/656971460.db2.gz WYPFPYGYWXQFRM-COCHJFRFSA-N 0 1 310.869 3.122 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C)c(F)cc1Cl ZINC001028285590 656971519 /nfs/dbraw/zinc/97/15/19/656971519.db2.gz AUAZIIIPRFABIR-LBPRGKRZSA-N 0 1 310.800 3.168 20 30 DGEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CCC(C(C)(C)C)CC1 ZINC001028299503 656984583 /nfs/dbraw/zinc/98/45/83/656984583.db2.gz GVXSUMLQHYWVIP-OFLPRAFFSA-N 0 1 304.478 3.053 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2c(cccc2F)s1 ZINC001028307727 656989016 /nfs/dbraw/zinc/98/90/16/656989016.db2.gz NSEDDUYYAGRXAW-GFCCVEGCSA-N 0 1 318.417 3.421 20 30 DGEDMN C=CCN1CCC[C@H]1CNC(=O)c1coc(C2CCCCC2)n1 ZINC001028344838 657000930 /nfs/dbraw/zinc/00/09/30/657000930.db2.gz PKCVKLONMJTNTE-HNNXBMFYSA-N 0 1 317.433 3.103 20 30 DGEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cnc(C(C)C)s1 ZINC001028357724 657005428 /nfs/dbraw/zinc/00/54/28/657005428.db2.gz JTHLOXWROMPZOR-ZDUSSCGKSA-N 0 1 307.463 3.037 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cscc2Cl)C[C@@H]1C ZINC001054767086 657006173 /nfs/dbraw/zinc/00/61/73/657006173.db2.gz PCKLDEVTENESMI-UFBFGSQYSA-N 0 1 319.257 3.204 20 30 DGEDMN CC1CC(C(=O)NC2(C)CCN(CC#Cc3ccccc3)CC2)C1 ZINC001045405569 657019740 /nfs/dbraw/zinc/01/97/40/657019740.db2.gz SBBPERIFVFQNIK-UHFFFAOYSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c(C)cc(F)cc2C)C[C@H]1C ZINC001054965393 657025805 /nfs/dbraw/zinc/02/58/05/657025805.db2.gz PIQGNABIXRSFON-IUODEOHRSA-N 0 1 324.827 3.245 20 30 DGEDMN C#CCN1CCC(C)(NC(=O)C2CC(C)(C)CC(C)(C)C2)CC1 ZINC001045513100 657031284 /nfs/dbraw/zinc/03/12/84/657031284.db2.gz FPFOTSOTKDPVGQ-UHFFFAOYSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2coc(C(F)F)c2)C[C@H]1C ZINC001055013654 657032406 /nfs/dbraw/zinc/03/24/06/657032406.db2.gz VYHXHGNFSQKQJA-KCJUWKMLSA-N 0 1 318.751 3.020 20 30 DGEDMN C#Cc1ccc(C(=O)NC2(C)CCN(C/C=C/Cl)CC2)cc1 ZINC001045518631 657032469 /nfs/dbraw/zinc/03/24/69/657032469.db2.gz IHSYQQXPOMZQKS-NYYWCZLTSA-N 0 1 316.832 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccccc2CC)C[C@H]1C ZINC001055020969 657032859 /nfs/dbraw/zinc/03/28/59/657032859.db2.gz VYBOBTZWBAWBQT-MLGOLLRUSA-N 0 1 306.837 3.052 20 30 DGEDMN CC#CCN1CCC(C)(NC(=O)c2cccc(Cl)c2F)CC1 ZINC001045541712 657034880 /nfs/dbraw/zinc/03/48/80/657034880.db2.gz RWQXDFDAUJFFJS-UHFFFAOYSA-N 0 1 322.811 3.087 20 30 DGEDMN CCOc1ncccc1CNCc1ccc(O[C@@H](C)C#N)cc1 ZINC000237011282 657045248 /nfs/dbraw/zinc/04/52/48/657045248.db2.gz HASHAECQRXFZGP-AWEZNQCLSA-N 0 1 311.385 3.061 20 30 DGEDMN CC(C)=CC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001045701997 657056031 /nfs/dbraw/zinc/05/60/31/657056031.db2.gz DZSFHKXUFBRGRT-UXHICEINSA-N 0 1 322.452 3.070 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2csc3cc(C)ccc23)CC1 ZINC001000730320 657147714 /nfs/dbraw/zinc/14/77/14/657147714.db2.gz OLNXZNHDRQQDKK-UHFFFAOYSA-N 0 1 324.449 3.205 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(F)c3ccccc3c2)C1 ZINC001046361479 657153260 /nfs/dbraw/zinc/15/32/60/657153260.db2.gz DZPQFNQFQKBIFP-IBGZPJMESA-N 0 1 312.388 3.359 20 30 DGEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2occ3c2CCCC3)C1 ZINC001000791504 657153398 /nfs/dbraw/zinc/15/33/98/657153398.db2.gz VZHWAYVKYZANIV-AWEZNQCLSA-N 0 1 322.836 3.105 20 30 DGEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(C)(C)CC)cc2)CC1 ZINC001000818792 657155129 /nfs/dbraw/zinc/15/51/29/657155129.db2.gz MNMDNRHTFHBULL-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)c2ccccc2C(C)(C)C)CC1 ZINC001000818890 657155835 /nfs/dbraw/zinc/15/58/35/657155835.db2.gz OMYCQZPDQSMNRQ-UHFFFAOYSA-N 0 1 324.468 3.369 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001046420589 657159658 /nfs/dbraw/zinc/15/96/58/657159658.db2.gz GULCUXSHHIANOF-SFHLNBCPSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C[C@H](C(=O)N1CCC[C@@H](NCC(=C)Cl)C1)c1ccccc1 ZINC001000971659 657170217 /nfs/dbraw/zinc/17/02/17/657170217.db2.gz KQXKXKPSCMVOOI-SJORKVTESA-N 0 1 318.848 3.289 20 30 DGEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2cccc3ncccc32)C1 ZINC001046520790 657172679 /nfs/dbraw/zinc/17/26/79/657172679.db2.gz GLQBNSYHBRMSQB-IBGZPJMESA-N 0 1 309.413 3.005 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cccc3c2CCC3)C1 ZINC001001040582 657177509 /nfs/dbraw/zinc/17/75/09/657177509.db2.gz NSIJPJLEDOSOBC-OAHLLOKOSA-N 0 1 318.848 3.122 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2c(Cl)oc3ccccc32)C1 ZINC001046564928 657180637 /nfs/dbraw/zinc/18/06/37/657180637.db2.gz IOEBYUASVXODJN-QGZVFWFLSA-N 0 1 318.804 3.466 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001046816856 657227066 /nfs/dbraw/zinc/22/70/66/657227066.db2.gz KRPHWSDWZMDHFL-LBXVMSDZSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3c(cccc3F)s2)C1 ZINC001046829755 657233004 /nfs/dbraw/zinc/23/30/04/657233004.db2.gz XVWUYLBPENBTHB-QGZVFWFLSA-N 0 1 318.417 3.421 20 30 DGEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3ccccc3n2CC)C1 ZINC001046849159 657235116 /nfs/dbraw/zinc/23/51/16/657235116.db2.gz BRHCLGMTSWPMQS-IBGZPJMESA-N 0 1 311.429 3.041 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCCc3ccccc32)C1 ZINC001046858707 657237964 /nfs/dbraw/zinc/23/79/64/657237964.db2.gz VYUAEUOMFMVQEV-TZIWHRDSSA-N 0 1 324.468 3.101 20 30 DGEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3sccc3Cl)[C@@H]2C1 ZINC001050051414 657241219 /nfs/dbraw/zinc/24/12/19/657241219.db2.gz UBTSARBRGLTPAG-WCQYABFASA-N 0 1 310.850 3.124 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@]23CCc2ccccc23)CC1 ZINC000983409229 657245894 /nfs/dbraw/zinc/24/58/94/657245894.db2.gz AZZBQCBLXWEOFT-FPOVZHCZSA-N 0 1 324.468 3.001 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCC2(C)C)C1 ZINC001046963786 657247163 /nfs/dbraw/zinc/24/71/63/657247163.db2.gz JUQKRLHDXYFWMG-KGLIPLIRSA-N 0 1 314.420 3.072 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H](C3CCN(CCF)CC3)C2)C1 ZINC001047253537 657287629 /nfs/dbraw/zinc/28/76/29/657287629.db2.gz BAOKXVJRFSXJNW-KRWDZBQOSA-N 0 1 322.468 3.263 20 30 DGEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@H]3CCCC3(C)C)C2)CC1 ZINC001050623612 657333159 /nfs/dbraw/zinc/33/31/59/657333159.db2.gz RILNJJBHSSTOAU-OAHLLOKOSA-N 0 1 324.896 3.490 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@]34C[C@H]3CCC4)cccc2C1 ZINC001051294883 657382264 /nfs/dbraw/zinc/38/22/64/657382264.db2.gz UFYJGIIQYMLERK-YLJYHZDGSA-N 0 1 310.441 3.037 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)c3c(C)coc3C)cccc2C1 ZINC001051288392 657382374 /nfs/dbraw/zinc/38/23/74/657382374.db2.gz NVTHDELGGMLSIY-UHFFFAOYSA-N 0 1 324.424 3.371 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)[C@H]3CCCC3(C)C)cccc2C1 ZINC001051296929 657383042 /nfs/dbraw/zinc/38/30/42/657383042.db2.gz FAFNJRLTMKDMKM-LJQANCHMSA-N 0 1 324.468 3.120 20 30 DGEDMN CC#CCN1CCc2c(CNC(=O)[C@@H]3CC[C@@H](C)C3)cccc2C1 ZINC001051302523 657385116 /nfs/dbraw/zinc/38/51/16/657385116.db2.gz YAOTUUVQVJIKMG-IAGOWNOFSA-N 0 1 324.468 3.120 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(F)ccc2Cl)C1 ZINC000968354491 657392345 /nfs/dbraw/zinc/39/23/45/657392345.db2.gz UHMXDKDIIFIRLZ-IAQYHMDHSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cnc3ccccc3c2)C1 ZINC000968360113 657393678 /nfs/dbraw/zinc/39/36/78/657393678.db2.gz FVOMUQZUUWMMAS-BEFAXECRSA-N 0 1 323.440 3.251 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)ccc2F)C1 ZINC000968357333 657394040 /nfs/dbraw/zinc/39/40/40/657394040.db2.gz LNKWFCCSPDXWBE-YOEHRIQHSA-N 0 1 304.409 3.150 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C)c(OCC)c2)C1 ZINC000968374902 657399031 /nfs/dbraw/zinc/39/90/31/657399031.db2.gz OOZALUBQBOPRFY-WMLDXEAASA-N 0 1 316.445 3.020 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(F)F)cc2)C1 ZINC000968413745 657408889 /nfs/dbraw/zinc/40/88/89/657408889.db2.gz MQPIAVMMOHUBJL-WFASDCNBSA-N 0 1 308.372 3.250 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@H](C(C)C)CC2)C1 ZINC000968464863 657414263 /nfs/dbraw/zinc/41/42/63/657414263.db2.gz NEGJTBGRKOSJLD-NCXUSEDFSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cc(C)c(CC)o2)C1 ZINC000968538089 657422730 /nfs/dbraw/zinc/42/27/30/657422730.db2.gz HWBVEXWQPIBYPN-UKRRQHHQSA-N 0 1 304.434 3.167 20 30 DGEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(C(F)F)c2)C1 ZINC000968562835 657427059 /nfs/dbraw/zinc/42/70/59/657427059.db2.gz XEUHIRILXAASEM-XJKSGUPXSA-N 0 1 320.383 3.088 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc3ccccc3n2)C1 ZINC000968580004 657432243 /nfs/dbraw/zinc/43/22/43/657432243.db2.gz RZUSQAUYQGPXMA-DNVCBOLYSA-N 0 1 323.440 3.251 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2occ3c2CCCC3)C1 ZINC000968583850 657434153 /nfs/dbraw/zinc/43/41/53/657434153.db2.gz PXNPUZDDUSWTAM-PBHICJAKSA-N 0 1 316.445 3.175 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2occ3c2CCCC3)C1 ZINC000968583852 657434204 /nfs/dbraw/zinc/43/42/04/657434204.db2.gz PXNPUZDDUSWTAM-WMLDXEAASA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2(C3CCCCC3)CC2)C1 ZINC000968597527 657437524 /nfs/dbraw/zinc/43/75/24/657437524.db2.gz ZFAAKGXGXWJYBZ-RDJZCZTQSA-N 0 1 304.478 3.360 20 30 DGEDMN C=CCCN1CCN(C(=O)c2cc(C)n(C(C)C)c2C)CC1 ZINC000957602008 657439559 /nfs/dbraw/zinc/43/95/59/657439559.db2.gz FVSQWDMHBLEIOV-UHFFFAOYSA-N 0 1 303.450 3.020 20 30 DGEDMN C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2cccc3ccccc32)C1 ZINC000968607565 657440992 /nfs/dbraw/zinc/44/09/92/657440992.db2.gz WHPSTWRTUREVNB-OXJNMPFZSA-N 0 1 320.436 3.303 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)s2)C1 ZINC000968609951 657441865 /nfs/dbraw/zinc/44/18/65/657441865.db2.gz MKNKGORCJSDPQU-VXGBXAGGSA-N 0 1 312.866 3.418 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2sccc2C2CC2)C1 ZINC000968618434 657443864 /nfs/dbraw/zinc/44/38/64/657443864.db2.gz GILJCXAIQNFWPB-CJNGLKHVSA-N 0 1 316.470 3.089 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)cc(C)cc2C)C1 ZINC000968619832 657445032 /nfs/dbraw/zinc/44/50/32/657445032.db2.gz PPHQWSIASIAWOQ-QAPCUYQASA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2oc3ccccc3c2C)C1 ZINC000968619399 657445155 /nfs/dbraw/zinc/44/51/55/657445155.db2.gz MDGOIUCKRLSEMY-BBRMVZONSA-N 0 1 312.413 3.367 20 30 DGEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cc3c(cccc3C)o2)C1 ZINC000968684965 657457561 /nfs/dbraw/zinc/45/75/61/657457561.db2.gz LADLKODWBGXDJR-NVXWUHKLSA-N 0 1 324.424 3.205 20 30 DGEDMN C=C(C)C[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2cccc3c2CCC3)C1 ZINC000968690889 657460874 /nfs/dbraw/zinc/46/08/74/657460874.db2.gz BJVCQTNILCXIDD-KXBFYZLASA-N 0 1 312.457 3.192 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2csc(C3CC3)n2)C1 ZINC000968697638 657463645 /nfs/dbraw/zinc/46/36/45/657463645.db2.gz IASIJJDUWBZTFT-OCCSQVGLSA-N 0 1 319.474 3.037 20 30 DGEDMN C=CCCN1CCN(C(=O)c2c(CC)oc3ccccc32)CC1 ZINC000957805360 657464959 /nfs/dbraw/zinc/46/49/59/657464959.db2.gz RKGYIULOPRUPFC-UHFFFAOYSA-N 0 1 312.413 3.329 20 30 DGEDMN C=C1CC(C)(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC2CC(F)(F)C2)C1 ZINC001029465122 657467121 /nfs/dbraw/zinc/46/71/21/657467121.db2.gz NRZXZVWAVINIFJ-GASCZTMLSA-N 0 1 324.415 3.063 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cscc1C ZINC000968803939 657491248 /nfs/dbraw/zinc/49/12/48/657491248.db2.gz MDJQWWDLWLJVSC-OAHLLOKOSA-N 0 1 304.459 3.054 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC000968870773 657506363 /nfs/dbraw/zinc/50/63/63/657506363.db2.gz BSAAOMUQMVZMEA-MSOLQXFVSA-N 0 1 302.462 3.117 20 30 DGEDMN C=CC[N@@H+]1CCCCC[C@H]1CNC(=O)c1ccnn1[C@@H](C)CC ZINC000968879491 657508252 /nfs/dbraw/zinc/50/82/52/657508252.db2.gz HRANSMNISQNCIM-HOTGVXAUSA-N 0 1 318.465 3.015 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)c1sc(C)cc1C ZINC000968877443 657508314 /nfs/dbraw/zinc/50/83/14/657508314.db2.gz WKXYMOROECTMIV-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1sc(C)cc1C ZINC000968877443 657508318 /nfs/dbraw/zinc/50/83/18/657508318.db2.gz WKXYMOROECTMIV-INIZCTEOSA-N 0 1 318.486 3.363 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@@H]1CNC(=O)c1sc(C)cc1C ZINC000968877445 657508421 /nfs/dbraw/zinc/50/84/21/657508421.db2.gz WKXYMOROECTMIV-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1sc(C)cc1C ZINC000968877445 657508427 /nfs/dbraw/zinc/50/84/27/657508427.db2.gz WKXYMOROECTMIV-MRXNPFEDSA-N 0 1 318.486 3.363 20 30 DGEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1c(C)noc1C(C)C ZINC000968896579 657510726 /nfs/dbraw/zinc/51/07/26/657510726.db2.gz VJCZCCUPQWIRSK-OAHLLOKOSA-N 0 1 319.449 3.267 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cscc1Cl ZINC000968905237 657512875 /nfs/dbraw/zinc/51/28/75/657512875.db2.gz XXLLIBIBEAFDBG-ZDUSSCGKSA-N 0 1 324.877 3.399 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1occc1C(C)C ZINC000969001854 657530508 /nfs/dbraw/zinc/53/05/08/657530508.db2.gz GJYRGZGFIBPQRS-MRXNPFEDSA-N 0 1 316.445 3.401 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccccc1C1(C)CC1 ZINC000969024500 657537127 /nfs/dbraw/zinc/53/71/27/657537127.db2.gz ARNNVUIREXPMKX-QGZVFWFLSA-N 0 1 324.468 3.346 20 30 DGEDMN CC#CC[N@H+]1CCCCC[C@H]1CNC(=O)[C@@H]1CCC(C)(C)C1 ZINC000969019410 657537137 /nfs/dbraw/zinc/53/71/37/657537137.db2.gz BINOCWOULHOLQL-SJORKVTESA-N 0 1 304.478 3.197 20 30 DGEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cc(C)cc(F)c1 ZINC000969044701 657541871 /nfs/dbraw/zinc/54/18/71/657541871.db2.gz XXYPHHPHAUJGAT-GOSISDBHSA-N 0 1 316.420 3.132 20 30 DGEDMN C#CC[N@@H+]1CCCCC[C@@H]1CNC(=O)c1csc(Cl)c1 ZINC000969059802 657546480 /nfs/dbraw/zinc/54/64/80/657546480.db2.gz RLESTDIPAZCEFP-CYBMUJFWSA-N 0 1 310.850 3.009 20 30 DGEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1csc(Cl)c1 ZINC000969059802 657546487 /nfs/dbraw/zinc/54/64/87/657546487.db2.gz RLESTDIPAZCEFP-CYBMUJFWSA-N 0 1 310.850 3.009 20 30 DGEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1scnc1C1CC1 ZINC000969062704 657547009 /nfs/dbraw/zinc/54/70/09/657547009.db2.gz QZHKXFLQRWIEHL-AWEZNQCLSA-N 0 1 319.474 3.181 20 30 DGEDMN C=C(C)C[N@@H+]1CCCCC[C@@H]1CNC(=O)c1nc(CC)oc1C ZINC000969065698 657548130 /nfs/dbraw/zinc/54/81/30/657548130.db2.gz VZRCATQAEIUWEJ-OAHLLOKOSA-N 0 1 319.449 3.096 20 30 DGEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(C(F)F)cc1 ZINC000969072658 657550149 /nfs/dbraw/zinc/55/01/49/657550149.db2.gz OMCWTUIKYDQCEQ-INIZCTEOSA-N 0 1 320.383 3.232 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(C)s2)CC1 ZINC000985223869 657579107 /nfs/dbraw/zinc/57/91/07/657579107.db2.gz IOSAVMDXRBMSFZ-UHFFFAOYSA-N 0 1 312.866 3.345 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3cccc(Cl)c3)CC2)C1 ZINC001007458391 657610628 /nfs/dbraw/zinc/61/06/28/657610628.db2.gz WSTSIOLXZTZSJW-MRXNPFEDSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc3cc(C)cn3c2)C1 ZINC001007472683 657612242 /nfs/dbraw/zinc/61/22/42/657612242.db2.gz MEORWMDJVFGFQE-QGZVFWFLSA-N 0 1 311.429 3.018 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccnn2C(CC)CC)C1 ZINC001007493884 657614147 /nfs/dbraw/zinc/61/41/47/657614147.db2.gz VUNFZAGCSVRTCT-OAHLLOKOSA-N 0 1 318.465 3.015 20 30 DGEDMN C=CCCN1CCC[C@H](NC(=O)c2nc(C(C)(C)C)cs2)C1 ZINC001007533487 657616801 /nfs/dbraw/zinc/61/68/01/657616801.db2.gz BPBCMDIRRFSYIR-ZDUSSCGKSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)C1 ZINC001007632881 657623472 /nfs/dbraw/zinc/62/34/72/657623472.db2.gz CMTAQAPLQHEWHJ-MJBXVCDLSA-N 0 1 318.383 3.122 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c3ccccc3n2)C1 ZINC001007677057 657627436 /nfs/dbraw/zinc/62/74/36/657627436.db2.gz ZKOCDBLQLAMUBT-MRXNPFEDSA-N 0 1 323.440 3.314 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(F)cc3ccoc32)C1 ZINC001007713785 657628761 /nfs/dbraw/zinc/62/87/61/657628761.db2.gz UGUHUSVJFUNKSD-HNNXBMFYSA-N 0 1 316.376 3.342 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cccc(C(C)C)c2)C1 ZINC001007779589 657633092 /nfs/dbraw/zinc/63/30/92/657633092.db2.gz BIEVSAQQUDQAIV-GOSISDBHSA-N 0 1 300.446 3.119 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001007820605 657636046 /nfs/dbraw/zinc/63/60/46/657636046.db2.gz CJRWKMQOBFCQNL-OAHLLOKOSA-N 0 1 312.438 3.119 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC001007827641 657637463 /nfs/dbraw/zinc/63/74/63/657637463.db2.gz WXIOFRVVRQMXPI-SFHVURJKSA-N 0 1 323.440 3.248 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2occc2-c2ccccc2)C1 ZINC001007847014 657641346 /nfs/dbraw/zinc/64/13/46/657641346.db2.gz UQUMJSBHRHFMAX-INIZCTEOSA-N 0 1 310.397 3.327 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccoc2CC)CC1 ZINC000985404500 657644515 /nfs/dbraw/zinc/64/45/15/657644515.db2.gz PZPQUASPWVSANC-UHFFFAOYSA-N 0 1 310.825 3.131 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C)c(Cl)c2F)C1 ZINC001008045998 657656000 /nfs/dbraw/zinc/65/60/00/657656000.db2.gz LUZQRHIQTQYSIB-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001008091039 657660711 /nfs/dbraw/zinc/66/07/11/657660711.db2.gz XDWTZQWPFGXPFK-IBGZPJMESA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cc3cc(Cl)ccc3[nH]2)C1 ZINC001008134678 657663744 /nfs/dbraw/zinc/66/37/44/657663744.db2.gz ADDWNPNZTBWYPK-CQSZACIVSA-N 0 1 317.820 3.202 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccn(C(C)C)c2C)C1 ZINC001008269885 657678595 /nfs/dbraw/zinc/67/85/95/657678595.db2.gz QSERSTKHAGCPPB-MRXNPFEDSA-N 0 1 303.450 3.148 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCCC23CC3)CC1 ZINC000985491640 657712527 /nfs/dbraw/zinc/71/25/27/657712527.db2.gz HOQGBYPNIIJFAM-OAHLLOKOSA-N 0 1 310.869 3.242 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(OCC)c(C)c2)C1 ZINC001008727627 657724809 /nfs/dbraw/zinc/72/48/09/657724809.db2.gz QTJTUBFXUQDWNL-QGZVFWFLSA-N 0 1 316.445 3.164 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCCc3ccccc32)C1 ZINC001009289767 657764342 /nfs/dbraw/zinc/76/43/42/657764342.db2.gz DWXHTWBSAVBXQP-ZWKOTPCHSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(Cl)cccc2Cl)C1 ZINC001009312768 657767649 /nfs/dbraw/zinc/76/76/49/657767649.db2.gz NPTMJPAKRIKOGT-LLVKDONJSA-N 0 1 313.228 3.374 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(Cl)cc2Cl)C1 ZINC001009317761 657768182 /nfs/dbraw/zinc/76/81/82/657768182.db2.gz LUMOMCLXFWKNPF-LBPRGKRZSA-N 0 1 313.228 3.374 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2coc3ccc(F)cc23)C1 ZINC001009360753 657771895 /nfs/dbraw/zinc/77/18/95/657771895.db2.gz JUBJUIXNSUERFR-AWEZNQCLSA-N 0 1 316.376 3.342 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2cc[nH]c2C2CC2)CC1 ZINC000985603394 657780585 /nfs/dbraw/zinc/78/05/85/657780585.db2.gz JJOIFMRQVZDQDV-UHFFFAOYSA-N 0 1 321.852 3.181 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C2(c3ccc(Cl)cc3)CC2)C1 ZINC001009434625 657785318 /nfs/dbraw/zinc/78/53/18/657785318.db2.gz NCHNYJNRNLYMKT-MRXNPFEDSA-N 0 1 318.848 3.138 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N1CCC(N(C)Cc2cc(C)no2)CC1 ZINC000985619344 657786661 /nfs/dbraw/zinc/78/66/61/657786661.db2.gz QOEIAEPZKBXBDA-GOSISDBHSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCCc3ccccc32)C1 ZINC001009649840 657805096 /nfs/dbraw/zinc/80/50/96/657805096.db2.gz KHVPYNICBLAWPD-MJGOQNOKSA-N 0 1 312.457 3.263 20 30 DGEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2ccc(F)cc2C)CC1 ZINC000985760822 657835991 /nfs/dbraw/zinc/83/59/91/657835991.db2.gz ASZBFIAOYSYQMX-UHFFFAOYSA-N 0 1 324.827 3.423 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CN(C)Cc1cncc(C)c1 ZINC000960787561 657874723 /nfs/dbraw/zinc/87/47/23/657874723.db2.gz IGFIUVMWUOSORI-KRWDZBQOSA-N 0 1 315.461 3.025 20 30 DGEDMN CC(C(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1)=C1CCCC1 ZINC001010506490 657908374 /nfs/dbraw/zinc/90/83/74/657908374.db2.gz HEMWSCMXYGFHBA-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN N#Cc1ccc(CN2CC[C@H](NC(=O)C3=CCCCCC3)C2)cc1 ZINC001010746358 657923667 /nfs/dbraw/zinc/92/36/67/657923667.db2.gz GJHZJEKFKHLEFI-IBGZPJMESA-N 0 1 323.440 3.139 20 30 DGEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C3(C)CC3)C2)C1 ZINC000961163015 657969863 /nfs/dbraw/zinc/96/98/63/657969863.db2.gz CPZNJVUMKUOYFH-GJZGRUSLSA-N 0 1 310.869 3.100 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc3ccc(CC)cc23)C1 ZINC001014447894 658016001 /nfs/dbraw/zinc/01/60/01/658016001.db2.gz REDZCAIIDWBIAH-HNNXBMFYSA-N 0 1 312.413 3.375 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncc(-c3ccccc3)c2)C1 ZINC001014642966 658035859 /nfs/dbraw/zinc/03/58/59/658035859.db2.gz HZRZDLNLXWEOPP-IBGZPJMESA-N 0 1 321.424 3.129 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cscc2Cl)[C@@H]1C ZINC000986396841 658044802 /nfs/dbraw/zinc/04/48/02/658044802.db2.gz QECVHHNDHOXQCG-BXKDBHETSA-N 0 1 319.257 3.347 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)sc2C)[C@@H]1C ZINC000986498761 658055880 /nfs/dbraw/zinc/05/58/80/658055880.db2.gz DJVSIXHDJRDPIB-RISCZKNCSA-N 0 1 312.866 3.310 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C)n(CC)c2C)[C@H]1C ZINC000986512036 658057769 /nfs/dbraw/zinc/05/77/69/658057769.db2.gz HTIFPYZJIBKAAL-GOEBONIOSA-N 0 1 323.868 3.070 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)C2(c3cccc(F)c3)CCC2)C1 ZINC001015467392 658123772 /nfs/dbraw/zinc/12/37/72/658123772.db2.gz PPXZKRLXOQYSOC-KRWDZBQOSA-N 0 1 316.420 3.014 20 30 DGEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(Cc3csc(C)c3)C2)cc1 ZINC001015481290 658124386 /nfs/dbraw/zinc/12/43/86/658124386.db2.gz FINRQKJAMREHAZ-SFHVURJKSA-N 0 1 324.449 3.042 20 30 DGEDMN Oc1cccc(C=Nn2c(=S)[nH]nc2-c2ccc(F)cc2)c1 ZINC000254700899 658137863 /nfs/dbraw/zinc/13/78/63/658137863.db2.gz GVUYPNYNQULEHG-UHFFFAOYSA-N 0 1 314.345 3.335 20 30 DGEDMN N#CC(C(=O)Nc1ccc(F)c(Cl)c1)C(=O)c1ccco1 ZINC000000012201 658146180 /nfs/dbraw/zinc/14/61/80/658146180.db2.gz JBWATVQJGAMVOS-SECBINFHSA-N 0 1 306.680 3.033 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccccc2C)[C@@H]1C ZINC000987053146 658146736 /nfs/dbraw/zinc/14/67/36/658146736.db2.gz HGRTTZUDVPYREQ-WBVHZDCISA-N 0 1 320.864 3.259 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(C/C=C\c3ccccc3)C2)C1 ZINC001015663543 658160843 /nfs/dbraw/zinc/16/08/43/658160843.db2.gz UHNXHAWKIHMMQI-KKMIYCERSA-N 0 1 310.441 3.247 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN([C@H](C)c3cccc(F)c3)C2)C1 ZINC001015671929 658162531 /nfs/dbraw/zinc/16/25/31/658162531.db2.gz IKWNGDBQKAOLLV-RHSMWYFYSA-N 0 1 316.420 3.434 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3C)C2)C1 ZINC001015675985 658163815 /nfs/dbraw/zinc/16/38/15/658163815.db2.gz GTAMXJFEDFAZFQ-QGZVFWFLSA-N 0 1 316.420 3.181 20 30 DGEDMN C=C(Cl)C[N@H+]1CCC[C@@H]1[C@H]1CCCN(C(=O)CC2CC2)C1 ZINC000963249175 658169841 /nfs/dbraw/zinc/16/98/41/658169841.db2.gz VDPULNOMQUHMDR-JKSUJKDBSA-N 0 1 310.869 3.242 20 30 DGEDMN Cc1cccc(NC(=O)C(C#N)C(=O)c2ccc(Cl)o2)c1 ZINC000127575211 658183215 /nfs/dbraw/zinc/18/32/15/658183215.db2.gz QGSZSNRWQYPDNK-NSHDSACASA-N 0 1 302.717 3.203 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(c3ccccc3)CC2(C)C)C1 ZINC001015894385 658201212 /nfs/dbraw/zinc/20/12/12/658201212.db2.gz PPVVAHPTCILOME-FXAWDEMLSA-N 0 1 312.457 3.121 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(Cl)cc2)[C@H]1C ZINC000987395659 658202399 /nfs/dbraw/zinc/20/23/99/658202399.db2.gz ZRGOIOIQJJTNDN-FZMZJTMJSA-N 0 1 313.228 3.285 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C)ccc2C)[C@@H]1C ZINC000987410929 658205067 /nfs/dbraw/zinc/20/50/67/658205067.db2.gz UADRNXIEKZEDQT-ZBFHGGJFSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(OCC)cc2)[C@@H]1C ZINC000987450584 658212308 /nfs/dbraw/zinc/21/23/08/658212308.db2.gz RGTNQFVOICOECC-CZUORRHYSA-N 0 1 322.836 3.030 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C)c(C)c2)[C@H]1C ZINC000987453416 658213622 /nfs/dbraw/zinc/21/36/22/658213622.db2.gz DZEJBILVUHARRF-HOCLYGCPSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2oc3ccccc3c2Cl)C1 ZINC001015977894 658213657 /nfs/dbraw/zinc/21/36/57/658213657.db2.gz XTSZNNCKQBMAAS-LBPRGKRZSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccc(C)c(C)c2)[C@@H]1C ZINC000987482074 658216006 /nfs/dbraw/zinc/21/60/06/658216006.db2.gz MVSQQGVURAYRSH-WBVHZDCISA-N 0 1 320.864 3.177 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)c2ccccc2Cl)C1 ZINC001016035523 658223443 /nfs/dbraw/zinc/22/34/43/658223443.db2.gz XNUUDYLQRVDSLJ-CQSZACIVSA-N 0 1 320.864 3.384 20 30 DGEDMN N#Cc1ccc(C[N@H+](Cc2ccc(C(=O)[O-])cc2)C2CC2)cc1 ZINC000263412978 658226424 /nfs/dbraw/zinc/22/64/24/658226424.db2.gz MCDBJYQFGPIIET-UHFFFAOYSA-N 0 1 306.365 3.421 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(c3ccccc3)CCCC2)C1 ZINC001016185477 658250959 /nfs/dbraw/zinc/25/09/59/658250959.db2.gz SKRVUYHNISGEBX-GOSISDBHSA-N 0 1 312.457 3.265 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3c(cccc3F)s2)C1 ZINC001016212067 658256290 /nfs/dbraw/zinc/25/62/90/658256290.db2.gz GHNICRQTRCGTAE-GFCCVEGCSA-N 0 1 318.417 3.421 20 30 DGEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3cccc(Cl)c3o2)C1 ZINC001016434642 658281826 /nfs/dbraw/zinc/28/18/26/658281826.db2.gz VZPYSYWOAJHKPR-ZDUSSCGKSA-N 0 1 318.804 3.466 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccccc2)CC1 ZINC001016478691 658289733 /nfs/dbraw/zinc/28/97/33/658289733.db2.gz HCLDAHJGQGDOER-HNNXBMFYSA-N 0 1 304.821 3.023 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)c2ccsc2)CC1 ZINC001016494028 658293004 /nfs/dbraw/zinc/29/30/04/658293004.db2.gz HWXNAAQVLXFFLI-ZDUSSCGKSA-N 0 1 310.850 3.085 20 30 DGEDMN C[C@H]1C[C@@H](NCc2ccccc2C#N)CN1C(=O)CC(C)(C)C ZINC000988066128 658295100 /nfs/dbraw/zinc/29/51/00/658295100.db2.gz APLMNRTVRQVJHX-WMLDXEAASA-N 0 1 313.445 3.073 20 30 DGEDMN C[C@H]1C[C@H](NCc2ccccc2C#N)CN1C(=O)CC(C)(C)C ZINC000988066130 658295300 /nfs/dbraw/zinc/29/53/00/658295300.db2.gz APLMNRTVRQVJHX-YOEHRIQHSA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C2(C3CC3)CCC2)CC1 ZINC001016751472 658325322 /nfs/dbraw/zinc/32/53/22/658325322.db2.gz LGXNOYBJIQTDQR-OAHLLOKOSA-N 0 1 322.880 3.290 20 30 DGEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC001016817719 658336430 /nfs/dbraw/zinc/33/64/30/658336430.db2.gz OTJHRHHDYSTDBT-RBSFLKMASA-N 0 1 310.869 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2sc(C)cc2C)C1 ZINC000988899367 658416483 /nfs/dbraw/zinc/41/64/83/658416483.db2.gz PSPLJZXMOVIEHL-DGCLKSJQSA-N 0 1 312.866 3.310 20 30 DGEDMN CC[C@@H](c1ccccc1)N1C[C@H]2CC[C@@H](C1)N2C(=O)C#CC1CC1 ZINC001017536683 658437217 /nfs/dbraw/zinc/43/72/17/658437217.db2.gz XFLIDEJIJOJXKT-ZCNNSNEGSA-N 0 1 322.452 3.226 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2c(C)oc(C)c2C)C1 ZINC000989147442 658442875 /nfs/dbraw/zinc/44/28/75/658442875.db2.gz ZCVUKNMJEUHKPB-HZMBPMFUSA-N 0 1 310.825 3.150 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC(F)(F)C1 ZINC001017605143 658445088 /nfs/dbraw/zinc/44/50/88/658445088.db2.gz QTZXLPWGVYDYFK-KFWWJZLASA-N 0 1 312.404 3.063 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(Cl)ccc1F ZINC001017719119 658453386 /nfs/dbraw/zinc/45/33/86/658453386.db2.gz NYUDGQOEFPWVPN-OKILXGFUSA-N 0 1 322.811 3.344 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)[nH]c2ccccc21 ZINC001017719326 658455212 /nfs/dbraw/zinc/45/52/12/658455212.db2.gz VYHBJQPPMXULPD-IYBDPMFKSA-N 0 1 323.440 3.341 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)oc3ccccc32)C1 ZINC000966325108 658466940 /nfs/dbraw/zinc/46/69/40/658466940.db2.gz LBYZYIXSHZCIIS-CJNGLKHVSA-N 0 1 312.413 3.367 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cc3c(o2)CCCC3)C1 ZINC000966660923 658489493 /nfs/dbraw/zinc/48/94/93/658489493.db2.gz FAPYPOAJFUTINF-ZBFHGGJFSA-N 0 1 316.445 3.175 20 30 DGEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1csc(Cl)c1 ZINC001018025625 658492701 /nfs/dbraw/zinc/49/27/01/658492701.db2.gz HJWGONKPBVIPQA-BETUJISGSA-N 0 1 310.850 3.266 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)c2ccc(C)s2)CC1 ZINC000989478254 658495651 /nfs/dbraw/zinc/49/56/51/658495651.db2.gz UVSZAAHZAKCFOH-OAHLLOKOSA-N 0 1 306.475 3.270 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)C2CCC(F)(F)CC2)C1 ZINC000989485950 658497196 /nfs/dbraw/zinc/49/71/96/658497196.db2.gz SVSOLOXOMDBLOQ-AAEUAGOBSA-N 0 1 320.811 3.143 20 30 DGEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C(C)(C)C2CCCC2)C1 ZINC000966783538 658498428 /nfs/dbraw/zinc/49/84/28/658498428.db2.gz JCGGLQQASQURAN-NVXWUHKLSA-N 0 1 304.478 3.053 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc3c(c2)CCC=C3)CC1 ZINC000989491459 658499889 /nfs/dbraw/zinc/49/98/89/658499889.db2.gz YUCLFACRQYZMAT-UHFFFAOYSA-N 0 1 310.441 3.370 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCc2ccccc21 ZINC001018080340 658501471 /nfs/dbraw/zinc/50/14/71/658501471.db2.gz YRVYKTLUMCUJER-QRVBRYPASA-N 0 1 324.468 3.358 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2c(C)noc2C(C)C)C1 ZINC000966874353 658502247 /nfs/dbraw/zinc/50/22/47/658502247.db2.gz GVDHKEGTWVUHDN-DZGCQCFKSA-N 0 1 319.449 3.123 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)c2ccc(C)cc2)CC1 ZINC000989507785 658504829 /nfs/dbraw/zinc/50/48/29/658504829.db2.gz WIRXJETYUDXTLT-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=CCN1CCCN(C(=O)c2cccc(Cl)c2Cl)CC1 ZINC000989545536 658511795 /nfs/dbraw/zinc/51/17/95/658511795.db2.gz XUHWBNBIZPBCKJ-UHFFFAOYSA-N 0 1 313.228 3.327 20 30 DGEDMN C=CCN1CCCN(C(=O)c2coc3ccc(Cl)cc32)CC1 ZINC000989573670 658518038 /nfs/dbraw/zinc/51/80/38/658518038.db2.gz ZXSVAHLWUVHTRN-UHFFFAOYSA-N 0 1 318.804 3.420 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(F)cc2Cl)CC1 ZINC000989599487 658524956 /nfs/dbraw/zinc/52/49/56/658524956.db2.gz PAFFEVATIHTOOI-UHFFFAOYSA-N 0 1 310.800 3.203 20 30 DGEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)cccc1F ZINC001018343321 658540962 /nfs/dbraw/zinc/54/09/62/658540962.db2.gz VQNWEPUBXKMRHC-IYBDPMFKSA-N 0 1 316.420 3.389 20 30 DGEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cc(C)sc2C)C1 ZINC000967269089 658555985 /nfs/dbraw/zinc/55/59/85/658555985.db2.gz RMWIZWPZEOSWPM-LRDDRELGSA-N 0 1 306.475 3.381 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(-n3cccc3)c2)C1 ZINC000967269220 658556033 /nfs/dbraw/zinc/55/60/33/658556033.db2.gz UFRNILLNHFGGEF-LPHOPBHVSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967273665 658557329 /nfs/dbraw/zinc/55/73/29/658557329.db2.gz MHNZOHSLYYMHBN-NHYWBVRUSA-N 0 1 310.800 3.105 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ccc(Cl)cc2F)C1 ZINC000967275392 658559113 /nfs/dbraw/zinc/55/91/13/658559113.db2.gz WUVJKDCBPIWVET-MLGOLLRUSA-N 0 1 324.827 3.495 20 30 DGEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ccc(C)cc2C)C1 ZINC000989744582 658559231 /nfs/dbraw/zinc/55/92/31/658559231.db2.gz HZEAZZBVZNHHOY-LSDHHAIUSA-N 0 1 306.837 3.249 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)Cc2ccccc2C)CC1 ZINC000989757982 658563411 /nfs/dbraw/zinc/56/34/11/658563411.db2.gz BCWXCYPNCUFHEL-SFHVURJKSA-N 0 1 314.473 3.284 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C)c3c2CCC3)CC1 ZINC000989757350 658563934 /nfs/dbraw/zinc/56/39/34/658563934.db2.gz YMIZKJSJTAQNFO-UHFFFAOYSA-N 0 1 312.457 3.208 20 30 DGEDMN C=CCN1CCCN(C(=O)[C@H](CC)c2ccc(F)cc2)CC1 ZINC000989757044 658564212 /nfs/dbraw/zinc/56/42/12/658564212.db2.gz IDTAROIMEUPMTB-QGZVFWFLSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccccc2CCC)C1 ZINC000967291949 658564275 /nfs/dbraw/zinc/56/42/75/658564275.db2.gz PMDHMZXYWYYNQI-YJBOKZPZSA-N 0 1 300.446 3.265 20 30 DGEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC000989765270 658566671 /nfs/dbraw/zinc/56/66/71/658566671.db2.gz BDPNXMGHHBNZHW-LJQANCHMSA-N 0 1 302.462 3.071 20 30 DGEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CCC(C)=C(C)C2)CC1 ZINC000989765270 658566676 /nfs/dbraw/zinc/56/66/76/658566676.db2.gz BDPNXMGHHBNZHW-LJQANCHMSA-N 0 1 302.462 3.071 20 30 DGEDMN C=C(C)CN1CCCN(C(=O)C23CC(C(C)(C)C)(C2)C3)CC1 ZINC000989766226 658568113 /nfs/dbraw/zinc/56/81/13/658568113.db2.gz UFRMTVZBZXCPRQ-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=CCN1CCCN(C(=O)c2c(CC)oc3ccccc32)CC1 ZINC000989752937 658571075 /nfs/dbraw/zinc/57/10/75/658571075.db2.gz YMDNYZPUSQLGPR-UHFFFAOYSA-N 0 1 312.413 3.329 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2sccc2CC)C1 ZINC000989822670 658584537 /nfs/dbraw/zinc/58/45/37/658584537.db2.gz KMVNINPDTLJHCB-AAEUAGOBSA-N 0 1 312.866 3.256 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cccc3c2CCC3)C1 ZINC000989846957 658594218 /nfs/dbraw/zinc/59/42/18/658594218.db2.gz BHEXOVIHBGIPPC-ZFWWWQNUSA-N 0 1 318.848 3.120 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(Cl)c2C)C1 ZINC001018895756 658595749 /nfs/dbraw/zinc/59/57/49/658595749.db2.gz VHBODFQDKMGBQF-LBPRGKRZSA-N 0 1 313.228 3.205 20 30 DGEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2cc(F)ccc2Cl)C1 ZINC001019238380 658618748 /nfs/dbraw/zinc/61/87/48/658618748.db2.gz YZRKDWJIPGKCTN-AWEZNQCLSA-N 0 1 324.827 3.382 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(F)c(Cl)c2)C1 ZINC001019307732 658629593 /nfs/dbraw/zinc/62/95/93/658629593.db2.gz SIQVINJDUHQAIU-NSHDSACASA-N 0 1 317.191 3.036 20 30 DGEDMN C=C1CC(C)(C(=O)N2CC[C@H](NCc3sccc3Cl)C2)C1 ZINC001019353646 658637626 /nfs/dbraw/zinc/63/76/26/658637626.db2.gz VNSRNMXXJGNCES-LBPRGKRZSA-N 0 1 324.877 3.448 20 30 DGEDMN C=C(C)CC[N@H+]1CC[C@@]2(NC(=O)c3ccccc3O)CCC[C@H]12 ZINC000989960178 658651001 /nfs/dbraw/zinc/65/10/01/658651001.db2.gz DPGGWEMIARDSAV-HKUYNNGSSA-N 0 1 314.429 3.085 20 30 DGEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2occc2C(C)C)C1 ZINC000967997041 658653364 /nfs/dbraw/zinc/65/33/64/658653364.db2.gz UEAXJFFFYUETMJ-ZBFHGGJFSA-N 0 1 304.434 3.419 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(C)c2Cl)C1 ZINC001019534856 658653464 /nfs/dbraw/zinc/65/34/64/658653464.db2.gz UDFYKMJPCDCXSN-LBPRGKRZSA-N 0 1 313.228 3.205 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)c2ccc(C)cc2)C1 ZINC001019677170 658660452 /nfs/dbraw/zinc/66/04/52/658660452.db2.gz VJKUXCSXIPUVKW-INIZCTEOSA-N 0 1 320.864 3.216 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c(C)csc2Cl)C1 ZINC001019709740 658666076 /nfs/dbraw/zinc/66/60/76/658666076.db2.gz OTOMSAYJKAKWSC-JTQLQIEISA-N 0 1 319.257 3.267 20 30 DGEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(CCC)cc2)C1 ZINC000968102882 658667341 /nfs/dbraw/zinc/66/73/41/658667341.db2.gz BAHQPRCYBMZPGV-QAPCUYQASA-N 0 1 300.446 3.265 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2ccc(CC)cc2)C1 ZINC001019765462 658674165 /nfs/dbraw/zinc/67/41/65/658674165.db2.gz RFPPOTKLJNNYNI-KRWDZBQOSA-N 0 1 320.864 3.125 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3cccc(F)c3o2)C1 ZINC001019796236 658675540 /nfs/dbraw/zinc/67/55/40/658675540.db2.gz FTRANNFCQLCGLM-LBPRGKRZSA-N 0 1 322.767 3.129 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968189400 658679577 /nfs/dbraw/zinc/67/95/77/658679577.db2.gz HKGKVRNQIJRQAJ-RHSMWYFYSA-N 0 1 318.848 3.112 20 30 DGEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccccc2-n2cccc2)C1 ZINC000968193628 658680512 /nfs/dbraw/zinc/68/05/12/658680512.db2.gz VMZICPHVHGKBCR-FUHWJXTLSA-N 0 1 323.440 3.104 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C1 ZINC000968191884 658680844 /nfs/dbraw/zinc/68/08/44/658680844.db2.gz PQGRTSHSQSLCBC-BBRMVZONSA-N 0 1 306.837 3.275 20 30 DGEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)cccc2Cl)C1 ZINC000968213686 658684014 /nfs/dbraw/zinc/68/40/14/658684014.db2.gz QCGSZBQXVYPYGW-WFASDCNBSA-N 0 1 306.837 3.275 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1ccc(CCC)s1 ZINC001038301196 658740543 /nfs/dbraw/zinc/74/05/43/658740543.db2.gz LTMWRUZSSWIETO-AWEZNQCLSA-N 0 1 306.475 3.471 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(CC(C)C)s1 ZINC001038355077 658745469 /nfs/dbraw/zinc/74/54/69/658745469.db2.gz WHCUMSLDCLLIJK-AWEZNQCLSA-N 0 1 306.475 3.327 20 30 DGEDMN COCCOc1ccccc1/C=C/C(=O)c1ccc(O)cc1O ZINC000156629413 658931884 /nfs/dbraw/zinc/93/18/84/658931884.db2.gz ORXPIURJGZIRBA-RMKNXTFCSA-N 0 1 314.337 3.019 20 30 DGEDMN Cc1nn(C)c2ncc(/C=C/C(=O)c3cc(F)ccc3O)cc12 ZINC000156670221 658932991 /nfs/dbraw/zinc/93/29/91/658932991.db2.gz ILHJPGIKCKLLRZ-HWKANZROSA-N 0 1 311.316 3.018 20 30 DGEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cc2cccnc2s1 ZINC001038887763 658954125 /nfs/dbraw/zinc/95/41/25/658954125.db2.gz ZCGYTJFABVVHDG-AWEZNQCLSA-N 0 1 315.442 3.067 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2cc(C)c(C)cc2o1 ZINC001038921149 658957188 /nfs/dbraw/zinc/95/71/88/658957188.db2.gz QOIHGIFIESHPAC-INIZCTEOSA-N 0 1 312.413 3.430 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C)c(F)cc1Cl ZINC001038961794 658966541 /nfs/dbraw/zinc/96/65/41/658966541.db2.gz MZFAMVMYQGZZPZ-GFCCVEGCSA-N 0 1 310.800 3.168 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1sccc1C(F)F ZINC001038979542 658969995 /nfs/dbraw/zinc/96/99/95/658969995.db2.gz PGIILIRUSVIAOM-JTQLQIEISA-N 0 1 300.374 3.066 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1csc2c1CC[C@H](C)C2 ZINC001038993373 658974642 /nfs/dbraw/zinc/97/46/42/658974642.db2.gz ZBSYGQOVXYIYJL-KBPBESRZSA-N 0 1 318.486 3.253 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1oc2ccccc2c1CC ZINC001039070043 658992135 /nfs/dbraw/zinc/99/21/35/658992135.db2.gz LBNTZZMXMFAOLO-AWEZNQCLSA-N 0 1 312.413 3.375 20 30 DGEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(F)(F)F)s1 ZINC001039068213 658992744 /nfs/dbraw/zinc/99/27/44/658992744.db2.gz WIBFSBBRGHAMKY-JTQLQIEISA-N 0 1 318.364 3.147 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)c1sc(C(C)(C)C)nc1C ZINC001039078036 658993564 /nfs/dbraw/zinc/99/35/64/658993564.db2.gz IFJJBOOIBVBRKG-CYBMUJFWSA-N 0 1 321.490 3.129 20 30 DGEDMN COc1ccccc1NC(=O)C(C#N)C(=O)CCC1CCCC1 ZINC000129804770 659118833 /nfs/dbraw/zinc/11/88/33/659118833.db2.gz BDZQQNSCWPTMMK-AWEZNQCLSA-N 0 1 314.385 3.313 20 30 DGEDMN C=CCOc1ccccc1C(=O)NC1CN(CC(CC)CC)C1 ZINC001030542344 659222225 /nfs/dbraw/zinc/22/22/25/659222225.db2.gz YAJYFBQOHGTELN-UHFFFAOYSA-N 0 1 316.445 3.102 20 30 DGEDMN CC(C)[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000172021231 659310710 /nfs/dbraw/zinc/31/07/10/659310710.db2.gz PDCSNHGFNIJDPT-ONGXEEELSA-N 0 1 310.756 3.419 20 30 DGEDMN CC(C)[C@H](C)C(=O)C(C#N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000172021224 659310886 /nfs/dbraw/zinc/31/08/86/659310886.db2.gz PDCSNHGFNIJDPT-GXSJLCMTSA-N 0 1 310.756 3.419 20 30 DGEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)[C@@H](C)C1CC1)C2 ZINC001045927905 659348996 /nfs/dbraw/zinc/34/89/96/659348996.db2.gz VGUDXUQZAULZAS-YJBOKZPZSA-N 0 1 324.896 3.490 20 30 DGEDMN CCCCCC[C@@H](C)C(=O)C(C#N)C(=O)Nc1ccccn1 ZINC000174011964 659408306 /nfs/dbraw/zinc/40/83/06/659408306.db2.gz GBWCBDUGSYPONS-KGLIPLIRSA-N 0 1 301.390 3.335 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000929468437 659452006 /nfs/dbraw/zinc/45/20/06/659452006.db2.gz XMCKRDCKDGKEGM-RHSMWYFYSA-N 0 1 304.434 3.016 20 30 DGEDMN C=CCN1CC[C@]2(NC(=O)c3cc4occc4s3)CCC[C@H]12 ZINC000992164728 659479305 /nfs/dbraw/zinc/47/93/05/659479305.db2.gz CNWZPTDVEXQBEK-DOTOQJQBSA-N 0 1 316.426 3.407 20 30 DGEDMN CC#CCN1CC[C@@]2(NC(=O)c3cc(Cl)cs3)CCC[C@H]12 ZINC000992292818 659512552 /nfs/dbraw/zinc/51/25/52/659512552.db2.gz DKBTWKHOMRZENT-HOCLYGCPSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCC[N@H+]1CC[C@@]2(NC(=O)c3cc(Cl)cs3)CCC[C@H]12 ZINC000992307660 659519976 /nfs/dbraw/zinc/51/99/76/659519976.db2.gz XRQMUUDNYWMDGM-HOCLYGCPSA-N 0 1 322.861 3.152 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3cc(Cl)ccc3C)CCC[C@@H]12 ZINC000992332623 659527086 /nfs/dbraw/zinc/52/70/86/659527086.db2.gz PLFHRXOBWFMEMS-SJLPKXTDSA-N 0 1 316.832 3.008 20 30 DGEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3ccccc3s2)C1 ZINC001015147705 659532409 /nfs/dbraw/zinc/53/24/09/659532409.db2.gz VUTZSCUYOLAUCU-CQSZACIVSA-N 0 1 300.427 3.282 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3ccc4sccc4c3)CCC[C@H]12 ZINC000992397602 659541155 /nfs/dbraw/zinc/54/11/55/659541155.db2.gz WYOBMZHIJRYEMJ-HKUYNNGSSA-N 0 1 324.449 3.261 20 30 DGEDMN C#CCN1CC[C@@]2(NC(=O)c3cccc(C4CCC4)c3)CCC[C@H]12 ZINC000992420545 659546033 /nfs/dbraw/zinc/54/60/33/659546033.db2.gz WCEKCOMJCIMDNW-FPOVZHCZSA-N 0 1 322.452 3.314 20 30 DGEDMN C#CCC[N@H+]1CC[C@]2(NC(=O)c3ccc(Cl)s3)CCC[C@@H]12 ZINC000992495355 659563810 /nfs/dbraw/zinc/56/38/10/659563810.db2.gz RVOKQUUPWFJLAG-CZUORRHYSA-N 0 1 322.861 3.152 20 30 DGEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3cc(C)c(F)c(C)c3)CCC[C@H]12 ZINC000992508608 659566835 /nfs/dbraw/zinc/56/68/35/659566835.db2.gz JWNNSQFUXMJYSU-QFBILLFUSA-N 0 1 316.420 3.355 20 30 DGEDMN CC#CC[N@H+]1CC[C@@]2(NC(=O)C3CC(C(C)(C)C)C3)CCC[C@H]12 ZINC000992505285 659566860 /nfs/dbraw/zinc/56/68/60/659566860.db2.gz BLPRFASOQZZRPF-TXTJOAKRSA-N 0 1 316.489 3.195 20 30 DGEDMN C#CCN1CC[C@]2(NC(=O)c3ccc(C(C)C)s3)CCC[C@@H]12 ZINC000992517757 659569317 /nfs/dbraw/zinc/56/93/17/659569317.db2.gz QUFORRRVQCGMCI-SJLPKXTDSA-N 0 1 316.470 3.232 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2scc(C)c2Cl)[C@H]1C ZINC000993366349 659722412 /nfs/dbraw/zinc/72/24/12/659722412.db2.gz JYPWDYYXMFJPMH-CHWSQXEVSA-N 0 1 324.877 3.316 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(CCC)s2)[C@@H]1C ZINC000993410352 659728910 /nfs/dbraw/zinc/72/89/10/659728910.db2.gz JITZYKOJCRNEHL-GOEBONIOSA-N 0 1 318.486 3.307 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3cc(C)cn3c2)[C@@H]1C ZINC000993414372 659729956 /nfs/dbraw/zinc/72/99/56/659729956.db2.gz WJIFQNKYIBWGRR-YJBOKZPZSA-N 0 1 311.429 3.016 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc3c(o2)CCCC3)[C@H]1C ZINC000993436251 659739552 /nfs/dbraw/zinc/73/95/52/659739552.db2.gz XSSUHCDNTVHRFH-GDBMZVCRSA-N 0 1 316.445 3.317 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2coc3cc(F)ccc23)[C@@H]1C ZINC000993522031 659755953 /nfs/dbraw/zinc/75/59/53/659755953.db2.gz OTLRIAODKGASFS-BLLLJJGKSA-N 0 1 316.376 3.341 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2c(F)cc(C)cc2F)[C@H]1C ZINC000993566541 659764434 /nfs/dbraw/zinc/76/44/34/659764434.db2.gz SUGGFIVMFIPIQC-IUODEOHRSA-N 0 1 308.372 3.042 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(C)cc(Cl)c2)[C@@H]1C ZINC000993614852 659766466 /nfs/dbraw/zinc/76/64/66/659766466.db2.gz NJJQGCLXKZBMOS-YOEHRIQHSA-N 0 1 318.848 3.254 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)[nH]c3ccccc32)[C@@H]1C ZINC000993594359 659768857 /nfs/dbraw/zinc/76/88/57/659768857.db2.gz ZPEHDSHBJZGZFM-DOTOQJQBSA-N 0 1 323.440 3.082 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)cc(F)cc2C)[C@@H]1C ZINC000993684749 659776126 /nfs/dbraw/zinc/77/61/26/659776126.db2.gz PAEKOBXXKKMPEI-RDJZCZTQSA-N 0 1 316.420 3.049 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(CCC)cc2)[C@H]1C ZINC000993727933 659786370 /nfs/dbraw/zinc/78/63/70/659786370.db2.gz MFXMYYXJJNFRQR-VQIMIIECSA-N 0 1 312.457 3.245 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccccc2C2(C)CC2)[C@H]1C ZINC000993803364 659790346 /nfs/dbraw/zinc/79/03/46/659790346.db2.gz IWFBRZSASBGUAP-VQIMIIECSA-N 0 1 324.468 3.344 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2oc(C(C)C)nc2C)[C@@H]1C ZINC000993966313 659804467 /nfs/dbraw/zinc/80/44/67/659804467.db2.gz VZBIYKJXXNJBAO-GJZGRUSLSA-N 0 1 319.449 3.265 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)C23CCC(CC2)C3(C)C)[C@H]1C ZINC000993950194 659805673 /nfs/dbraw/zinc/80/56/73/659805673.db2.gz LLRLLHIGBQFWSY-NZEHOUKNSA-N 0 1 316.489 3.195 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3ccncc3c2)[C@@H]1C ZINC000994172605 659816733 /nfs/dbraw/zinc/81/67/33/659816733.db2.gz YABWPLAAZUINNK-KSSFIOAISA-N 0 1 309.413 3.004 20 30 DGEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C)c(CC)o2)[C@H]1C ZINC000994207958 659819504 /nfs/dbraw/zinc/81/95/04/659819504.db2.gz KWTZWTHJKDLIDB-HUUCEWRRSA-N 0 1 304.434 3.309 20 30 DGEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC000994217851 659820878 /nfs/dbraw/zinc/82/08/78/659820878.db2.gz IUDKEYNTJAYHCA-CHWSQXEVSA-N 0 1 307.463 3.035 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2ncsc2C(C)C)[C@H]1C ZINC000994217851 659820879 /nfs/dbraw/zinc/82/08/79/659820879.db2.gz IUDKEYNTJAYHCA-CHWSQXEVSA-N 0 1 307.463 3.035 20 30 DGEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C3CC3)s2)[C@H]1C ZINC000994234994 659822226 /nfs/dbraw/zinc/82/22/26/659822226.db2.gz UXTACCOJMDLEIV-UKRRQHHQSA-N 0 1 316.470 3.232 20 30 DGEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)[C@@H]1C ZINC000994268973 659829601 /nfs/dbraw/zinc/82/96/01/659829601.db2.gz OGODIBMMBISMNC-OXJNMPFZSA-N 0 1 322.452 3.252 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)[C@@H]1C ZINC000994272283 659829616 /nfs/dbraw/zinc/82/96/16/659829616.db2.gz FEQZJLVVRPIDRP-MAUKXSAKSA-N 0 1 312.457 3.200 20 30 DGEDMN C#CCN1CCC[C@@H](NC(=O)C2(c3ccccc3C)CCC2)[C@H]1C ZINC000994296120 659832681 /nfs/dbraw/zinc/83/26/81/659832681.db2.gz QEPPPHAQCUUGEX-IEBWSBKVSA-N 0 1 324.468 3.019 20 30 DGEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc3[nH]ccc3s2)[C@H]1C ZINC000994294120 659834587 /nfs/dbraw/zinc/83/45/87/659834587.db2.gz DIFJKXUSPWJZTE-OLZOCXBDSA-N 0 1 317.458 3.388 20 30 DGEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C)nc3ccccc32)[C@H]1C ZINC000994340292 659839215 /nfs/dbraw/zinc/83/92/15/659839215.db2.gz TUFCWYRPXCRONF-QAPCUYQASA-N 0 1 323.440 3.312 20 30 DGEDMN C=CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(F)F)o2)[C@@H]1C ZINC000994354121 659843198 /nfs/dbraw/zinc/84/31/98/659843198.db2.gz SQQTUTWCQLEBNG-NWDGAFQWSA-N 0 1 312.360 3.376 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(OC)c(Cl)c2)[C@H]1C ZINC000994367594 659846056 /nfs/dbraw/zinc/84/60/56/659846056.db2.gz UJOIGAOKDMGMJZ-DOMZBBRYSA-N 0 1 322.836 3.117 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(C)c(F)c(C)c2)[C@@H]1C ZINC000994453996 659856812 /nfs/dbraw/zinc/85/68/12/659856812.db2.gz SEPCUBJAGXRXAE-RDJZCZTQSA-N 0 1 316.420 3.049 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C)c3c2CCC3)[C@@H]1C ZINC000994475388 659859575 /nfs/dbraw/zinc/85/95/75/659859575.db2.gz RDIHEKATYCXKSC-KXBFYZLASA-N 0 1 312.457 3.252 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)c2cncc3ccccc32)[C@@H]1C ZINC000994503724 659864117 /nfs/dbraw/zinc/86/41/17/659864117.db2.gz WLUNAEGUZGPXBA-KBXCAEBGSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)c2ccccc2C)[C@H]1C ZINC000994518316 659868604 /nfs/dbraw/zinc/86/86/04/659868604.db2.gz MBRRUGKRBNCLDG-AEFFLSMTSA-N 0 1 314.473 3.428 20 30 DGEDMN C[C@H]1CCN(Cc2cc(Cl)cc(C#N)c2)C[C@@H]1n1ccnc1 ZINC000930055610 659901360 /nfs/dbraw/zinc/90/13/60/659901360.db2.gz PYQDNXSRLJTBGS-GUYCJALGSA-N 0 1 314.820 3.491 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2oc3ccc(F)cc3c2C)C1 ZINC001031732581 659931309 /nfs/dbraw/zinc/93/13/09/659931309.db2.gz RQZKQHDWOFIQCE-UHFFFAOYSA-N 0 1 316.376 3.118 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2ccccc2OCC2CC2)c1 ZINC000179740296 659940468 /nfs/dbraw/zinc/94/04/68/659940468.db2.gz LYRRFLZKPGOKAB-UHFFFAOYSA-N 0 1 308.337 3.305 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccc(C)nc2)CC1 ZINC000948168400 659962484 /nfs/dbraw/zinc/96/24/84/659962484.db2.gz AKMAOCSSGGMCQI-INIZCTEOSA-N 0 1 321.852 3.069 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cccc(Cl)c2)C[C@H]1C ZINC000939596283 660005187 /nfs/dbraw/zinc/00/51/87/660005187.db2.gz LCMYAHWPMYUGMJ-QMTHXVAHSA-N 0 1 313.228 3.143 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(C)ccc2C)C[C@H]1C ZINC000939613221 660006239 /nfs/dbraw/zinc/00/62/39/660006239.db2.gz QTWGGMWHYGPXLI-CZUORRHYSA-N 0 1 306.837 3.106 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)c2cc3c(ccc(C)c3C)[nH]2)C1 ZINC001031901141 660053195 /nfs/dbraw/zinc/05/31/95/660053195.db2.gz KMKLPQMCUDTTBI-UHFFFAOYSA-N 0 1 311.429 3.022 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]c3ccccc23)C(C)(C)C1 ZINC000940869431 660069917 /nfs/dbraw/zinc/06/99/17/660069917.db2.gz GCCIIDVFELUWQH-GOSISDBHSA-N 0 1 323.440 3.022 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2ccc(Cl)cc2C)C(C)(C)C1 ZINC000941000162 660077359 /nfs/dbraw/zinc/07/73/59/660077359.db2.gz OXKCBVRMBBDHCB-INIZCTEOSA-N 0 1 318.848 3.112 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)c(Cl)c2)C(C)(C)C1 ZINC000941001109 660077741 /nfs/dbraw/zinc/07/77/41/660077741.db2.gz ZNBDQXQVNHHWMW-MRXNPFEDSA-N 0 1 318.848 3.112 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(C[C@H](F)CC)CC2(C)C)C1 ZINC000941016279 660080015 /nfs/dbraw/zinc/08/00/15/660080015.db2.gz GEYWOLWWUVPESX-HUUCEWRRSA-N 0 1 310.457 3.308 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cccc3[nH]ccc32)C(C)(C)C1 ZINC000941050111 660081910 /nfs/dbraw/zinc/08/19/10/660081910.db2.gz NZMNWEMQFUNSLP-GOSISDBHSA-N 0 1 323.440 3.022 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(Cl)cs2)C(C)(C)C1 ZINC000941074631 660083154 /nfs/dbraw/zinc/08/31/54/660083154.db2.gz CREWFCLLBGCVSP-CQSZACIVSA-N 0 1 324.877 3.255 20 30 DGEDMN CC#CCN1CC[C@H](NC(=O)c2coc3ccccc23)C(C)(C)C1 ZINC000941252805 660098463 /nfs/dbraw/zinc/09/84/63/660098463.db2.gz KWRJGBICAMUHEB-SFHVURJKSA-N 0 1 324.424 3.286 20 30 DGEDMN C=CC[N@H+](CCOc1ccccc1C(=O)[O-])Cc1ccccc1 ZINC000183915556 660147342 /nfs/dbraw/zinc/14/73/42/660147342.db2.gz JVLBTDRCGMAKFV-UHFFFAOYSA-N 0 1 311.381 3.452 20 30 DGEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001032044824 660169312 /nfs/dbraw/zinc/16/93/12/660169312.db2.gz BWZQWCCSCJTYIB-DLBZAZTESA-N 0 1 318.848 3.068 20 30 DGEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc(Cl)c(F)c2)[C@@H](C)C1 ZINC000942958193 660173851 /nfs/dbraw/zinc/17/38/51/660173851.db2.gz CVRXSGKIHNSONO-XHDPSFHLSA-N 0 1 310.800 3.105 20 30 DGEDMN COC(=O)c1cncc(CN(Cc2ccc(C#N)cc2)C(C)C)c1 ZINC000929380343 661302527 /nfs/dbraw/zinc/30/25/27/661302527.db2.gz MTGRQOCVMUDYDW-UHFFFAOYSA-N 0 1 323.396 3.150 20 30 DGEDMN Cn1cc(C[C@@H]2CC[N@@H+](Cc3cc(Cl)cc(C#N)c3)C2)cn1 ZINC000929769037 661336848 /nfs/dbraw/zinc/33/68/48/661336848.db2.gz MBRHMGZSZXYPAL-ZDUSSCGKSA-N 0 1 314.820 3.010 20 30 DGEDMN Cc1ccc(C#N)cc1NC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC000930019864 661359348 /nfs/dbraw/zinc/35/93/48/661359348.db2.gz NDXHMKNIFPUZOP-MRXNPFEDSA-N 0 1 314.433 3.061 20 30 DGEDMN C[C@H](NCc1cncc(C#N)c1)c1ccccc1OC(F)F ZINC000930193279 661376474 /nfs/dbraw/zinc/37/64/74/661376474.db2.gz QDADJQSSBBYJDY-NSHDSACASA-N 0 1 303.312 3.405 20 30 DGEDMN COc1cc(C)c([C@@H](C)NCc2cncc(C#N)c2)cc1OC ZINC000930196996 661376590 /nfs/dbraw/zinc/37/65/90/661376590.db2.gz MTXUUKCHKFXGSD-CYBMUJFWSA-N 0 1 311.385 3.130 20 30 DGEDMN N#CC1(CCCCN2CCOc3cc(O)ccc3C2)CCC1 ZINC000930460297 661400994 /nfs/dbraw/zinc/40/09/94/661400994.db2.gz MOVDTQCZHURKPG-UHFFFAOYSA-N 0 1 300.402 3.451 20 30 DGEDMN C=C[C@H](CC)CC(=O)Nc1nc(-c2ccccc2OC)n[nH]1 ZINC000930481945 661402829 /nfs/dbraw/zinc/40/28/29/661402829.db2.gz UUBZNLDJXOQXHN-LLVKDONJSA-N 0 1 300.362 3.021 20 30 DGEDMN CC[C@](O)(CN(C)[C@@H](C)c1cccc(C#N)c1)C(F)(F)F ZINC000930764963 661428354 /nfs/dbraw/zinc/42/83/54/661428354.db2.gz RFIURPJITZKFRL-FZMZJTMJSA-N 0 1 300.324 3.254 20 30 DGEDMN COc1cccc([C@@]2(C)CC[N@@H+](Cc3cncc(C#N)c3)C2)c1 ZINC000931020388 661445961 /nfs/dbraw/zinc/44/59/61/661445961.db2.gz RGSOKGJURNFIES-IBGZPJMESA-N 0 1 307.397 3.125 20 30 DGEDMN COc1cccc([C@@]2(C)CCN(Cc3cncc(C#N)c3)C2)c1 ZINC000931020388 661445963 /nfs/dbraw/zinc/44/59/63/661445963.db2.gz RGSOKGJURNFIES-IBGZPJMESA-N 0 1 307.397 3.125 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCO[C@@H](C(F)(F)F)CC1 ZINC000931622122 661494245 /nfs/dbraw/zinc/49/42/45/661494245.db2.gz YPHKWVZSNDDNLD-OAHLLOKOSA-N 0 1 312.335 3.328 20 30 DGEDMN Cc1cc(C#N)cc(C)c1CN1CCc2nc[nH]c2C12CCC2 ZINC000931674549 661496611 /nfs/dbraw/zinc/49/66/11/661496611.db2.gz ANDZJRCSVVJZMP-UHFFFAOYSA-N 0 1 306.413 3.336 20 30 DGEDMN N#CCSCCCN1CCc2nc[nH]c2[C@H]1c1ccccc1 ZINC000931795182 661509465 /nfs/dbraw/zinc/50/94/65/661509465.db2.gz TUARWJQQZVJFPH-QGZVFWFLSA-N 0 1 312.442 3.004 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)OC(C)(C)C)[C@H](C)C1 ZINC000932705380 661593007 /nfs/dbraw/zinc/59/30/07/661593007.db2.gz ZVKAKRYSTOMMAH-YPMHNXCESA-N 0 1 302.846 3.222 20 30 DGEDMN C#CCC1(NCc2nnc(-c3cccc(C#N)c3)o2)CCCCC1 ZINC000933269839 661626795 /nfs/dbraw/zinc/62/67/95/661626795.db2.gz VNGFUGKMPAZCKF-UHFFFAOYSA-N 0 1 320.396 3.424 20 30 DGEDMN C#CCC1(NCc2nnc(-c3ccc(C#N)cc3)o2)CCCCC1 ZINC000933268874 661627086 /nfs/dbraw/zinc/62/70/86/661627086.db2.gz GOQIBPHCQGJIFU-UHFFFAOYSA-N 0 1 320.396 3.424 20 30 DGEDMN C#CCC1(NCc2coc(-c3ccc([N+](=O)[O-])cc3)n2)CCC1 ZINC000933299737 661628410 /nfs/dbraw/zinc/62/84/10/661628410.db2.gz WDDIQGLCHLKIIF-UHFFFAOYSA-N 0 1 311.341 3.285 20 30 DGEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N(CC=C)Cc1cccs1 ZINC000934465185 661708333 /nfs/dbraw/zinc/70/83/33/661708333.db2.gz KSOHFNPJONKKQM-HUUCEWRRSA-N 0 1 304.459 3.302 20 30 DGEDMN C=CCCCN(CC)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000934645278 661722237 /nfs/dbraw/zinc/72/22/37/661722237.db2.gz FWYDRZSUIOICKO-UHFFFAOYSA-N 0 1 317.401 3.471 20 30 DGEDMN N#CC(C(=O)[C@H]1COc2ccc(Cl)cc2C1)c1ccccn1 ZINC000041492668 661834523 /nfs/dbraw/zinc/83/45/23/661834523.db2.gz INALRKNIEIRFSK-TZMCWYRMSA-N 0 1 312.756 3.163 20 30 DGEDMN Cc1csc(C(C#N)C(=O)[C@H](C)Oc2ccc(C)cc2)n1 ZINC000041521376 661835151 /nfs/dbraw/zinc/83/51/51/661835151.db2.gz SWCTZBPGSMXYOF-GXTWGEPZSA-N 0 1 300.383 3.404 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)c2occc2-c2ccccc2)C[C@H]1C ZINC000947524303 661914130 /nfs/dbraw/zinc/91/41/30/661914130.db2.gz RNJAFFCOMOKEAW-WBVHZDCISA-N 0 1 322.408 3.163 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2cc3cscc3s2)C[C@H]1C ZINC000947551348 661915579 /nfs/dbraw/zinc/91/55/79/661915579.db2.gz IXPXBSQZQLVLSY-DGCLKSJQSA-N 0 1 318.467 3.179 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2csc3ccccc23)C[C@@H]1C ZINC000947590870 661918435 /nfs/dbraw/zinc/91/84/35/661918435.db2.gz WGEWKBWPHGYWPV-UONOGXRCSA-N 0 1 312.438 3.117 20 30 DGEDMN Cc1ccc(N(CCC#N)C(=O)Cc2n[nH]c3c2CCCC3)cc1 ZINC000188839312 661946873 /nfs/dbraw/zinc/94/68/73/661946873.db2.gz NWNLFLWEJHBWLF-UHFFFAOYSA-N 0 1 322.412 3.086 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)c2oc3ccccc3c2CC)C[C@@H]1C ZINC000948083149 661949631 /nfs/dbraw/zinc/94/96/31/661949631.db2.gz NHFVAHMPNIZZNM-LSDHHAIUSA-N 0 1 324.424 3.211 20 30 DGEDMN CN(C(=O)C1CCC1)[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC000948140269 661954733 /nfs/dbraw/zinc/95/47/33/661954733.db2.gz PHXUNIOYMYHRBX-FQEVSTJZSA-N 0 1 324.468 3.151 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2ccccc2)CC1 ZINC000948155596 661955969 /nfs/dbraw/zinc/95/59/69/661955969.db2.gz JFALZPUDWRLNJV-INIZCTEOSA-N 0 1 306.837 3.366 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)[C@@H]2CC=CCC2)CC1 ZINC000948181556 661957804 /nfs/dbraw/zinc/95/78/04/661957804.db2.gz OFELVVAXADJTRJ-HZPDHXFCSA-N 0 1 310.869 3.408 20 30 DGEDMN O=C(Nc1nc(-c2ccco2)n[nH]1)C(F)(F)c1ccccc1 ZINC000076462141 661983645 /nfs/dbraw/zinc/98/36/45/661983645.db2.gz NKSDJWYIEFUNRY-UHFFFAOYSA-N 0 1 304.256 3.445 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)[C@@H]2C[C@H]2CCC)CC1 ZINC000948758350 661995444 /nfs/dbraw/zinc/99/54/44/661995444.db2.gz FGXLHOBKRDZATQ-OWCLPIDISA-N 0 1 312.885 3.488 20 30 DGEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)c2cc(C)[nH]c2C)CC1 ZINC000948816462 662000448 /nfs/dbraw/zinc/00/04/48/662000448.db2.gz HAHJNKNBHQCACS-HNNXBMFYSA-N 0 1 323.868 3.311 20 30 DGEDMN C=CCCN1CCc2ccccc2[C@@H]1CNC(=O)c1coc(C)c1 ZINC000948910303 662006967 /nfs/dbraw/zinc/00/69/67/662006967.db2.gz NNWHOJQPTXXLGR-IBGZPJMESA-N 0 1 324.424 3.493 20 30 DGEDMN C=CCOc1ccc(C(=O)N2CCN(CCC(C)C)CC2)cc1 ZINC000948918944 662007415 /nfs/dbraw/zinc/00/74/15/662007415.db2.gz LDACODLLYJTDQN-UHFFFAOYSA-N 0 1 316.445 3.055 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)C1CC(C)(C)C1 ZINC000948912989 662007623 /nfs/dbraw/zinc/00/76/23/662007623.db2.gz MZECYZDSUIVPMU-IBGZPJMESA-N 0 1 324.468 3.162 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000949029746 662011171 /nfs/dbraw/zinc/01/11/71/662011171.db2.gz OYYJAUQKARLVNC-ZIAGYGMSSA-N 0 1 318.383 3.075 20 30 DGEDMN C=CCCN1CCN(C(=O)CCc2ccc([C@@H]3C[C@H]3C)o2)CC1 ZINC000949190826 662012396 /nfs/dbraw/zinc/01/23/96/662012396.db2.gz ZOZKSHDOLLPAII-NVXWUHKLSA-N 0 1 316.445 3.056 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2C[C@]2(C)c2ccccc2)CC1 ZINC000949387771 662015573 /nfs/dbraw/zinc/01/55/73/662015573.db2.gz XCUCZZHEVBROQK-AZUAARDMSA-N 0 1 312.457 3.075 20 30 DGEDMN C=CCCN1CCN(C(=O)c2coc3cc(C)c(C)cc32)CC1 ZINC000949479722 662017173 /nfs/dbraw/zinc/01/71/73/662017173.db2.gz NTCABIUSFMLRIM-UHFFFAOYSA-N 0 1 312.413 3.384 20 30 DGEDMN C#CCN1CCC(N(C)C(=O)c2ccc([C@@H](C)CC)cc2)CC1 ZINC001006447222 662030194 /nfs/dbraw/zinc/03/01/94/662030194.db2.gz PXVHIHAHQHOESD-INIZCTEOSA-N 0 1 312.457 3.370 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C(C2CCC2)C2CCC2)CC1 ZINC000949897248 662036304 /nfs/dbraw/zinc/03/63/04/662036304.db2.gz BSQOYLCFQYMNSX-UHFFFAOYSA-N 0 1 304.478 3.313 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CCCc3ccccc3)CC2)C1 ZINC000950373372 662061714 /nfs/dbraw/zinc/06/17/14/662061714.db2.gz VQCPUZILHFDWNH-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC000950374160 662061794 /nfs/dbraw/zinc/06/17/94/662061794.db2.gz UWKOCHKDNDEHFQ-SOLBZPMBSA-N 0 1 302.462 3.088 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCN(CCC3CCCCC3)CC2)C1 ZINC000950383113 662062680 /nfs/dbraw/zinc/06/26/80/662062680.db2.gz QTRHBAUBUWJEPJ-UHFFFAOYSA-N 0 1 304.478 3.457 20 30 DGEDMN C=CCCN1CCN(C(=O)C[C@H](C)c2cccc(F)c2)CC1 ZINC000950413670 662064928 /nfs/dbraw/zinc/06/49/28/662064928.db2.gz NOEUZQLJULZKNG-HNNXBMFYSA-N 0 1 304.409 3.040 20 30 DGEDMN C=CCCN1CCN(C(=O)c2ccc(F)c3ccccc23)CC1 ZINC000950647925 662076895 /nfs/dbraw/zinc/07/68/95/662076895.db2.gz UFYZTBWRQYTTBT-UHFFFAOYSA-N 0 1 312.388 3.313 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)c2cccc(C)c2)CC1 ZINC000950908798 662095080 /nfs/dbraw/zinc/09/50/80/662095080.db2.gz PBMWIZAGDYNJLC-KRWDZBQOSA-N 0 1 300.446 3.209 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2c(C)cc(C)nc2C)C1 ZINC000950942587 662096711 /nfs/dbraw/zinc/09/67/11/662096711.db2.gz QCJDFPMBPRCBKL-UHFFFAOYSA-N 0 1 315.461 3.119 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C)c(OCC)c2)CC1 ZINC000951173055 662117681 /nfs/dbraw/zinc/11/76/81/662117681.db2.gz QAZSWFDAEQSAAT-UHFFFAOYSA-N 0 1 316.445 3.118 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2nccc3ccccc32)C1 ZINC000951560338 662139707 /nfs/dbraw/zinc/13/97/07/662139707.db2.gz BYDQSXRLNLIIDT-UHFFFAOYSA-N 0 1 323.440 3.347 20 30 DGEDMN C=CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2ccc(C)c(C)c2)CC1 ZINC000951575854 662140805 /nfs/dbraw/zinc/14/08/05/662140805.db2.gz DNGCNBMQZQRQAT-RBUKOAKNSA-N 0 1 312.457 3.127 20 30 DGEDMN C=C(C)CCN1CC(N(CC)C(=O)c2ccc3[nH]ccc3c2)C1 ZINC000951581425 662141107 /nfs/dbraw/zinc/14/11/07/662141107.db2.gz WUHBNWJBRNWSPX-UHFFFAOYSA-N 0 1 311.429 3.280 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)C[C@@H](C)c2ccccc2F)CC1 ZINC000951777492 662151511 /nfs/dbraw/zinc/15/15/11/662151511.db2.gz QONFHRPEXWWYEB-MRXNPFEDSA-N 0 1 318.436 3.430 20 30 DGEDMN C#CCOc1ccc([C@@H](C)NCc2ccccc2[N+](=O)[O-])cc1 ZINC000089512588 662244901 /nfs/dbraw/zinc/24/49/01/662244901.db2.gz KOFDYXQZUFSFQB-CQSZACIVSA-N 0 1 310.353 3.458 20 30 DGEDMN CCCCCCCC(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000248140109 662335172 /nfs/dbraw/zinc/33/51/72/662335172.db2.gz PZAZNKMHOZPTIK-UHFFFAOYSA-N 0 1 318.465 3.132 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H]3CCCC(C)(C)C3)C2)C1 ZINC000982116724 685343286 /nfs/dbraw/zinc/34/32/86/685343286.db2.gz RFCGKXQSZUTSHF-QGZVFWFLSA-N 0 1 316.489 3.151 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3cc[nH]c32)[C@@H]1C ZINC000987011472 685354954 /nfs/dbraw/zinc/35/49/54/685354954.db2.gz IVIWWMPJGFEECG-DOMZBBRYSA-N 0 1 317.820 3.113 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc3cc[nH]c32)[C@H]1C ZINC000987011508 685355175 /nfs/dbraw/zinc/35/51/75/685355175.db2.gz IVIWWMPJGFEECG-WFASDCNBSA-N 0 1 317.820 3.113 20 30 DGEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCC[C@@H]2CC)CC1 ZINC001006209176 685365992 /nfs/dbraw/zinc/36/59/92/685365992.db2.gz ARHBEWOMPXVTDH-WMZOPIPTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](C)C2)cc1Cl ZINC000263034327 685124696 /nfs/dbraw/zinc/12/46/96/685124696.db2.gz HQVIIDZLXTZYCB-GXTWGEPZSA-N 0 1 323.820 3.447 20 30 DGEDMN C=C(Br)CN(C)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000388369849 685188960 /nfs/dbraw/zinc/18/89/60/685188960.db2.gz ULCCKFFHKZKKSC-MRXNPFEDSA-N 0 1 323.278 3.491 20 30 DGEDMN CC[C@H](CC#N)N[C@@H](C)[C@H]1CCCCN1C(=O)OC(C)(C)C ZINC000395094352 685225160 /nfs/dbraw/zinc/22/51/60/685225160.db2.gz WLFMRHRMXKGIBZ-RRFJBIMHSA-N 0 1 309.454 3.446 20 30 DGEDMN COc1ccc(N2CCN(Cc3ccc(CC#N)cc3)CC2)cc1 ZINC000399140330 685240634 /nfs/dbraw/zinc/24/06/34/685240634.db2.gz UVQDOCDRGNJTBM-UHFFFAOYSA-N 0 1 321.424 3.083 20 30 DGEDMN C[C@H]1CC[C@@H](NC(=O)C2=CCCC2)CN1Cc1cccc(C#N)c1 ZINC001071417524 686657701 /nfs/dbraw/zinc/65/77/01/686657701.db2.gz VVCVPANKHLOECO-HNAYVOBHSA-N 0 1 323.440 3.138 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cscc2C(F)F)CC[C@@H]1C ZINC001071510498 686671844 /nfs/dbraw/zinc/67/18/44/686671844.db2.gz CPLGHHCQYVVMCG-WDEREUQCSA-N 0 1 314.401 3.454 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(C)c(OC)c(C)c2)CC[C@H]1C ZINC001071522798 686676185 /nfs/dbraw/zinc/67/61/85/686676185.db2.gz UPXSMVFYFDVICO-WBVHZDCISA-N 0 1 316.445 3.081 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2ccc(CCC)s2)CC[C@@H]1C ZINC001071541006 686684010 /nfs/dbraw/zinc/68/40/10/686684010.db2.gz ZAPKHXGPPXIEBK-LSDHHAIUSA-N 0 1 318.486 3.307 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2c(C)noc2C(C)C)CC[C@@H]1C ZINC001071583619 686695370 /nfs/dbraw/zinc/69/53/70/686695370.db2.gz JPJBKDKBAFNWDV-DZGCQCFKSA-N 0 1 319.449 3.265 20 30 DGEDMN C=CCOc1ccccc1C(=O)N[C@H]1CC[C@H](C)N(CC=C)C1 ZINC001071618892 686704368 /nfs/dbraw/zinc/70/43/68/686704368.db2.gz GFIBUGBQQSZWID-HOTGVXAUSA-N 0 1 314.429 3.020 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cc(C)c(Cl)cc2C)CC[C@@H]1C ZINC001071725076 686734016 /nfs/dbraw/zinc/73/40/16/686734016.db2.gz QADLNUHOXBZIOA-GJZGRUSLSA-N 0 1 318.848 3.173 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc3cc(F)ccc3[nH]2)CC[C@H]1C ZINC001071727707 686734421 /nfs/dbraw/zinc/73/44/21/686734421.db2.gz CDLTYVSAQXSLRF-DOMZBBRYSA-N 0 1 315.392 3.076 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccn(C(C)C)c2C)CC[C@@H]1C ZINC001071761737 686743237 /nfs/dbraw/zinc/74/32/37/686743237.db2.gz BVNDWJOJOJVCKK-HOCLYGCPSA-N 0 1 303.450 3.146 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2cncc3sccc32)CC[C@H]1C ZINC001071766227 686743838 /nfs/dbraw/zinc/74/38/38/686743838.db2.gz RMIKRCBGTUGPJS-CHWSQXEVSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2nccc3ccccc32)CC[C@H]1C ZINC001071776078 686748796 /nfs/dbraw/zinc/74/87/96/686748796.db2.gz MAVCYQONUMBSOB-ZBFHGGJFSA-N 0 1 309.413 3.004 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(F)c2F)CC[C@@H]1C ZINC001071835662 686765005 /nfs/dbraw/zinc/76/50/05/686765005.db2.gz OPFSKCWHUFISTK-QWHCGFSZSA-N 0 1 308.372 3.042 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C2(c3cccc(F)c3)CC2)CC[C@@H]1C ZINC001071854717 686771886 /nfs/dbraw/zinc/77/18/86/686771886.db2.gz FCOMXIWULNXDFR-WMLDXEAASA-N 0 1 316.420 3.012 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c(OCC)c2)CC[C@H]1C ZINC001071859596 686774473 /nfs/dbraw/zinc/77/44/73/686774473.db2.gz AOFKKBTYLFSKKC-NVXWUHKLSA-N 0 1 316.445 3.162 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2scnc2C2CC2)CC[C@@H]1C ZINC001071882967 686780177 /nfs/dbraw/zinc/78/01/77/686780177.db2.gz CYNOJQAVQJXGEA-GXTWGEPZSA-N 0 1 319.474 3.179 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3c(c2)C=CCC3)CC[C@@H]1C ZINC001071883747 686781728 /nfs/dbraw/zinc/78/17/28/686781728.db2.gz QKQZIMLVDQVZIC-JXFKEZNVSA-N 0 1 322.452 3.252 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(OC)cc2Cl)CC[C@@H]1C ZINC001071908653 686789549 /nfs/dbraw/zinc/78/95/49/686789549.db2.gz ZTVQENNFWYWYMB-QWHCGFSZSA-N 0 1 322.836 3.117 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc3nc(C)ccc3c2)CC[C@@H]1C ZINC001071920932 686791582 /nfs/dbraw/zinc/79/15/82/686791582.db2.gz ZDNFIGFVQYVRJR-MAUKXSAKSA-N 0 1 323.440 3.312 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C(C)C)c2)CC[C@@H]1C ZINC001071964877 686798457 /nfs/dbraw/zinc/79/84/57/686798457.db2.gz QCMCXHIKZYOQMK-QFBILLFUSA-N 0 1 312.457 3.416 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)c2cccc(C3CCC3)c2)CC[C@@H]1C ZINC001071988339 686807295 /nfs/dbraw/zinc/80/72/95/686807295.db2.gz IKEAQSRMWSRTBB-KXBFYZLASA-N 0 1 310.441 3.170 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2cc(CC)c(CC)o2)CC[C@@H]1C ZINC001071997302 686808193 /nfs/dbraw/zinc/80/81/93/686808193.db2.gz XVUSSVAWJOZWEU-ZFWWWQNUSA-N 0 1 304.434 3.173 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC=C3)CC[C@H]1C ZINC001071998298 686810562 /nfs/dbraw/zinc/81/05/62/686810562.db2.gz IIKFTKUFYWESLT-BEFAXECRSA-N 0 1 310.441 3.415 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2cccc(C(F)F)c2)CC[C@H]1C ZINC001072004741 686811964 /nfs/dbraw/zinc/81/19/64/686811964.db2.gz DYZGXLFXYFOOEK-CZUORRHYSA-N 0 1 320.383 3.230 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2(C3CCCCC3)CC2)CC[C@H]1C ZINC001072029043 686831001 /nfs/dbraw/zinc/83/10/01/686831001.db2.gz DTZZSZZYPPPIMJ-AEFFLSMTSA-N 0 1 316.489 3.339 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2coc3ccc(F)cc23)CC[C@@H]1C ZINC001072027260 686831359 /nfs/dbraw/zinc/83/13/59/686831359.db2.gz WGICJARKMKXARR-JSGCOSHPSA-N 0 1 316.376 3.341 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3ccccc3C)CCC2)CC[C@@H]1C ZINC001072030993 686832521 /nfs/dbraw/zinc/83/25/21/686832521.db2.gz ZKLOPKMWZOJTDH-ROUUACIJSA-N 0 1 324.468 3.019 20 30 DGEDMN C=CCN1C[C@@H](NC(=O)c2ccc3c(c2)CCCC3)CC[C@H]1C ZINC001072050124 686847092 /nfs/dbraw/zinc/84/70/92/686847092.db2.gz SZKQKQCDEVWKJJ-BEFAXECRSA-N 0 1 312.457 3.334 20 30 DGEDMN C#CCN1C[C@@H](NC(=O)C2(c3ccccc3)CCCC2)CC[C@H]1C ZINC001072052836 686848922 /nfs/dbraw/zinc/84/89/22/686848922.db2.gz PLRMCLWVFLDDNB-MJGOQNOKSA-N 0 1 324.468 3.101 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)c2c(C)cc(C)cc2C)CC[C@H]1C ZINC001072055328 686849426 /nfs/dbraw/zinc/84/94/26/686849426.db2.gz SLRYPTYMKSJJSW-QZTJIDSGSA-N 0 1 312.457 3.218 20 30 DGEDMN C#CCCN1C[C@H](NC(=O)c2cc(C)c(CC)s2)CC[C@@H]1C ZINC001072058294 686852290 /nfs/dbraw/zinc/85/22/90/686852290.db2.gz JSUIXKDINWKANE-LSDHHAIUSA-N 0 1 318.486 3.225 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3cccc(C)c3o2)CC[C@H]1C ZINC001072098387 686863150 /nfs/dbraw/zinc/86/31/50/686863150.db2.gz BBDGDCXJJXKGJT-WBVHZDCISA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCCN1C[C@@H](NC(=O)c2cc3c(cccc3C)o2)CC[C@@H]1C ZINC001072101152 686865785 /nfs/dbraw/zinc/86/57/85/686865785.db2.gz FBHURPZKCQMFIY-HOTGVXAUSA-N 0 1 324.424 3.347 20 30 DGEDMN C#CCN1C[C@H](NC(=O)C2(c3ccc(C)cc3)CCC2)CC[C@@H]1C ZINC001072101922 686867893 /nfs/dbraw/zinc/86/78/93/686867893.db2.gz LNLDZWZNDXTBMS-PKOBYXMFSA-N 0 1 324.468 3.019 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C2CCC(C)(C)CC2)CC[C@@H]1C ZINC001072104817 686868535 /nfs/dbraw/zinc/86/85/35/686868535.db2.gz DGHUWEITJBGQSW-RDJZCZTQSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCN1C[C@H](NC(=O)c2ccc(C)c3c2CCC3)CC[C@H]1C ZINC001072105765 686869495 /nfs/dbraw/zinc/86/94/95/686869495.db2.gz LNKXEJRANVYMPD-HZPDHXFCSA-N 0 1 312.457 3.252 20 30 DGEDMN C=CCN1C[C@H](NC(=O)C23CC(C(C)(C)C)(C2)C3)CC[C@H]1C ZINC001072109273 686870250 /nfs/dbraw/zinc/87/02/50/686870250.db2.gz DRIASYCMYRTEBF-QAQJPARQSA-N 0 1 304.478 3.358 20 30 DGEDMN C#CCN1C[C@H](NC(=O)CC2CCC(C)(C)CC2)CC[C@H]1C ZINC001072125177 686876146 /nfs/dbraw/zinc/87/61/46/686876146.db2.gz AAJQPXOPCZCPQU-NVXWUHKLSA-N 0 1 304.478 3.195 20 30 DGEDMN C=C(C)CN1C[C@H](NC(=O)c2cnc(C(C)C)s2)CC[C@H]1C ZINC001072125907 686876488 /nfs/dbraw/zinc/87/64/88/686876488.db2.gz HKVALJPRVFNFDL-ZIAGYGMSSA-N 0 1 321.490 3.425 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)c3ccccc3CC(C)(C)C)C2)C1 ZINC001072843253 686969118 /nfs/dbraw/zinc/96/91/18/686969118.db2.gz XMZTWQLMTDCELM-UHFFFAOYSA-N 0 1 324.468 3.056 20 30 DGEDMN C#CCN1CCC2(CN(C(=O)c3cccc(C4CCCC4)c3)C2)C1 ZINC001073128080 687015668 /nfs/dbraw/zinc/01/56/68/687015668.db2.gz QPKYBBLWERAFIT-UHFFFAOYSA-N 0 1 322.452 3.125 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)c2cccc(C)c2)[C@H]1C ZINC001074925592 687315186 /nfs/dbraw/zinc/31/51/86/687315186.db2.gz LLGFNNLXLVZXRV-VYDXJSESSA-N 0 1 320.864 3.430 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H](C)c2ccco2)[C@H]1C ZINC001075042543 687328407 /nfs/dbraw/zinc/32/84/07/687328407.db2.gz XWQZFDBDKMUPFG-XBFCOCLRSA-N 0 1 310.825 3.105 20 30 DGEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(-c4ccccc4)o3)[C@@H]2C1 ZINC001076269844 687499350 /nfs/dbraw/zinc/49/93/50/687499350.db2.gz GGXJTKOOIPWFTJ-DLBZAZTESA-N 0 1 322.408 3.279 20 30 DGEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)CC3CCCCC3)[C@@H]2C1 ZINC001076317727 687508134 /nfs/dbraw/zinc/50/81/34/687508134.db2.gz GRQPCLAQMDSSOV-NZSAHSFTSA-N 0 1 316.489 3.149 20 30 DGEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C4=CCCC4)cc3)[C@@H]2C1 ZINC001076657584 687547518 /nfs/dbraw/zinc/54/75/18/687547518.db2.gz JADIAHAYNPJGRA-VQTJNVASSA-N 0 1 320.436 3.033 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)C)C[C@H]1c1cccc(F)c1 ZINC001079171915 687796469 /nfs/dbraw/zinc/79/64/69/687796469.db2.gz IYDKXGPWLOEDIA-JKSUJKDBSA-N 0 1 324.827 3.118 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc3ccccc3cc2F)C1 ZINC001080108263 687920810 /nfs/dbraw/zinc/92/08/10/687920810.db2.gz QBAMYXQIKOJFCG-AUUYWEPGSA-N 0 1 324.399 3.052 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2coc3cc(C)c(C)cc23)C1 ZINC001080197672 687928485 /nfs/dbraw/zinc/92/84/85/687928485.db2.gz JIAFHSSXUKIJBA-CRAIPNDOSA-N 0 1 324.424 3.123 20 30 DGEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3cc(C)c(C)cc3o2)C1 ZINC001080788908 688012207 /nfs/dbraw/zinc/01/22/07/688012207.db2.gz IXVTWTCULCGILH-GDBMZVCRSA-N 0 1 312.413 3.286 20 30 DGEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC(C(C)(C)C)CC2)C1 ZINC001080886442 688023233 /nfs/dbraw/zinc/02/32/33/688023233.db2.gz HJAHIPUINPNJMA-AQEOSJORSA-N 0 1 318.505 3.299 20 30 DGEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cc3cccc(F)c3o2)C1 ZINC001080970248 688029061 /nfs/dbraw/zinc/02/90/61/688029061.db2.gz DXSUKKFYTBECNE-IUODEOHRSA-N 0 1 316.376 3.198 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)F)C[C@H]1c1ccccc1 ZINC001081077510 688048507 /nfs/dbraw/zinc/04/85/07/688048507.db2.gz WOUOTMWMXRWKTN-LSDHHAIUSA-N 0 1 324.827 3.071 20 30 DGEDMN CCC[C@@H]1CNCCN1C(=O)Nc1ccc(C#N)cc1C1CC1 ZINC001577383870 1191896124 /nfs/dbraw/zinc/89/61/24/1191896124.db2.gz RZPKEXLUWRKKNH-OAHLLOKOSA-N 0 1 312.417 3.041 20 30 DGEDMN O=C([C@@H]1CC[C@H](C2CC2)[NH2+]1)N([O-])Cc1cccc2ccccc21 ZINC001580481124 1192029616 /nfs/dbraw/zinc/02/96/16/1192029616.db2.gz DGZYQBPCBYKRIW-MSOLQXFVSA-N 0 1 310.397 3.088 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)c2occc2Cl)CC1 ZINC001661095000 1196565426 /nfs/dbraw/zinc/56/54/26/1196565426.db2.gz VCOAYTVJMAEQTG-UHFFFAOYSA-N 0 1 322.836 3.273 20 30 DGEDMN CC#CCCCC(=O)NC[C@H](C)NCc1cccc(Cl)c1F ZINC001662308578 1196705327 /nfs/dbraw/zinc/70/53/27/1196705327.db2.gz HCEIEXWAOOFRBM-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN CCCN(CCNC(=O)[C@@H](C)C(C)C)Cc1ccc(C#N)s1 ZINC001663684041 1196928707 /nfs/dbraw/zinc/92/87/07/1196928707.db2.gz OJQLLMMDWGYICG-AWEZNQCLSA-N 0 1 321.490 3.240 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CCCCC[C@@H]2C)o1 ZINC001590255468 1192498789 /nfs/dbraw/zinc/49/87/89/1192498789.db2.gz SXLHNAXCZHDOCI-JTQLQIEISA-N 0 1 317.397 3.346 20 30 DGEDMN CCCC(N=Nc1ccc(-c2nn[nH]n2)cc1)c1ccccc1 ZINC001590531553 1192520192 /nfs/dbraw/zinc/52/01/92/1192520192.db2.gz NAGRZPTVAISTJE-UHFFFAOYSA-N 0 1 306.373 3.483 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@](C)(F)CCCC)C1 ZINC001664818077 1197063527 /nfs/dbraw/zinc/06/35/27/1197063527.db2.gz OHBRHRUQXWBYLK-HOCLYGCPSA-N 0 1 318.864 3.438 20 30 DGEDMN C[C@H](NC(=O)c1cc(F)cc(F)c1O)c1cccc(C#N)c1 ZINC001596044441 1192679150 /nfs/dbraw/zinc/67/91/50/1192679150.db2.gz ILHXFFZFJFLIIB-VIFPVBQESA-N 0 1 302.280 3.033 20 30 DGEDMN CC#CCCCC(=O)NCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC001690970762 1176053139 /nfs/dbraw/zinc/05/31/39/1176053139.db2.gz KCNJUMGXIOVQDV-CQSZACIVSA-N 0 1 322.399 3.267 20 30 DGEDMN C#CCN(C(=O)c1sccc1Cl)C1CCN(CC=C)CC1 ZINC001671477165 1176144788 /nfs/dbraw/zinc/14/47/88/1176144788.db2.gz ITCGKZYQGYCNMG-UHFFFAOYSA-N 0 1 322.861 3.127 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)Cc1cccc(CC)c1)C1CC1 ZINC001670485779 1176149714 /nfs/dbraw/zinc/14/97/14/1176149714.db2.gz UKPONERYZAPTTI-QGZVFWFLSA-N 0 1 320.864 3.028 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C1CCC1)C1CCC1)C1CC1 ZINC001670512609 1176156741 /nfs/dbraw/zinc/15/67/41/1176156741.db2.gz MOMLHVBJZPYLMO-INIZCTEOSA-N 0 1 324.896 3.440 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC=CCC1)C1CCCC1 ZINC001671519416 1176165947 /nfs/dbraw/zinc/16/59/47/1176165947.db2.gz SAPBTWPEBJIFDV-JKSUJKDBSA-N 0 1 310.869 3.360 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ccc(CC)cc1)C1CC1 ZINC001670551996 1176169432 /nfs/dbraw/zinc/16/94/32/1176169432.db2.gz ZHECUSNAOJMPLX-MRXNPFEDSA-N 0 1 306.837 3.100 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CC)Cc1ccc(F)c(F)c1 ZINC001691035947 1176175019 /nfs/dbraw/zinc/17/50/19/1176175019.db2.gz RJUKFBAHKGNRLN-UHFFFAOYSA-N 0 1 310.388 3.115 20 30 DGEDMN C=C1CC(C)(C(=O)N2CCC[C@H]2CNC/C(Cl)=C/Cl)C1 ZINC001671347475 1176197013 /nfs/dbraw/zinc/19/70/13/1176197013.db2.gz UTBUYAHJGIUASN-FSBNRTBOSA-N 0 1 317.260 3.242 20 30 DGEDMN C=CCCCC(=O)NC[C@H]1CCN1CCc1ccc(Cl)cc1 ZINC001670682265 1176215832 /nfs/dbraw/zinc/21/58/32/1176215832.db2.gz NRCBYKZEFMFEOO-QGZVFWFLSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1cc(Cl)cs1 ZINC001670695598 1176222508 /nfs/dbraw/zinc/22/25/08/1176222508.db2.gz CQPMGGVAZHRORR-CYBMUJFWSA-N 0 1 312.866 3.101 20 30 DGEDMN C=CCCC(=O)NC/C=C\CNCc1cccc(Cl)c1F ZINC001754100760 1176257034 /nfs/dbraw/zinc/25/70/34/1176257034.db2.gz AMCXEDDKGAGEII-PLNGDYQASA-N 0 1 310.800 3.207 20 30 DGEDMN C=CC[C@H](C(=O)N(C)C[C@H]1CCN1CCCF)c1ccccc1 ZINC001691071103 1176294027 /nfs/dbraw/zinc/29/40/27/1176294027.db2.gz ALZCWXULQIELRL-MSOLQXFVSA-N 0 1 318.436 3.239 20 30 DGEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001691099703 1176311452 /nfs/dbraw/zinc/31/14/52/1176311452.db2.gz FRCWBIKASIHBKZ-OALUTQOASA-N 0 1 318.505 3.443 20 30 DGEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc2c(cccc2C)o1 ZINC001691798977 1176311514 /nfs/dbraw/zinc/31/15/14/1176311514.db2.gz XHZGLGHDZZIWKS-INIZCTEOSA-N 0 1 324.424 3.301 20 30 DGEDMN C=CCC[C@H](C(=O)NC[C@@H]1CCCN1CC#CC)c1ccccc1 ZINC001691112799 1176321930 /nfs/dbraw/zinc/32/19/30/1176321930.db2.gz KYSIAEZBRQJGBB-PMACEKPBSA-N 0 1 324.468 3.340 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CC#CC)C[C@H]1c1ccccc1 ZINC001691200356 1176382315 /nfs/dbraw/zinc/38/23/15/1176382315.db2.gz UWLPAQLSVHEQLO-RBUKOAKNSA-N 0 1 324.468 3.196 20 30 DGEDMN CC#CCN(CCNC(=O)[C@H]1CCC[C@H]1c1ccccc1)C1CC1 ZINC001691217255 1176394655 /nfs/dbraw/zinc/39/46/55/1176394655.db2.gz BEEUWFHFMOVSGG-PMACEKPBSA-N 0 1 324.468 3.174 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC001691244840 1176411859 /nfs/dbraw/zinc/41/18/59/1176411859.db2.gz CUPIUCOQHXGPIA-QLFBSQMISA-N 0 1 321.490 3.120 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@@H](CNCc1csc(C)n1)C1CC1 ZINC001754447389 1176575868 /nfs/dbraw/zinc/57/58/68/1176575868.db2.gz DPWFSGWOCBLLRG-HNNXBMFYSA-N 0 1 321.490 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)NCCCN(C)CC#Cc1ccccc1 ZINC001751979855 1176602085 /nfs/dbraw/zinc/60/20/85/1176602085.db2.gz FJUKRRRLKNDJIW-UHFFFAOYSA-N 0 1 312.457 3.079 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CCNC/C(Cl)=C\Cl)C1 ZINC001699132733 1176619411 /nfs/dbraw/zinc/61/94/11/1176619411.db2.gz BDPKYHXLNAACDK-OYGDSYQHSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCCCC(=O)N(C)CCNCc1nsc2ccccc12 ZINC001691453616 1176620508 /nfs/dbraw/zinc/62/05/08/1176620508.db2.gz GQQZHNCFEHQQAE-UHFFFAOYSA-N 0 1 317.458 3.201 20 30 DGEDMN C=C[C@H](C(=O)NC[C@H](NCC(=C)Cl)C1CC1)c1ccccc1 ZINC001752499960 1176859386 /nfs/dbraw/zinc/85/93/86/1176859386.db2.gz RZMNYOGJGTXAKE-IRXDYDNUSA-N 0 1 318.848 3.193 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CCC(NCc2ncc(C)o2)CC1 ZINC001755273980 1176880812 /nfs/dbraw/zinc/88/08/12/1176880812.db2.gz LABAQUVOBWWVEU-UHFFFAOYSA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)C/C=C\c2ccc(F)cc2)CC1 ZINC001670807792 1177036459 /nfs/dbraw/zinc/03/64/59/1177036459.db2.gz QKQUEKFOTGZVOC-IHWYPQMZSA-N 0 1 322.811 3.220 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](C)CCCCC)C2)C1 ZINC001755457930 1177041271 /nfs/dbraw/zinc/04/12/71/1177041271.db2.gz XLKWOVXMFAIUCX-QGZVFWFLSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCCN(Cc2ccns2)C1 ZINC001752785475 1177075751 /nfs/dbraw/zinc/07/57/51/1177075751.db2.gz ASTXMZBNVZTFQD-LSDHHAIUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(OC2CCC2)cc1 ZINC001691610929 1177327016 /nfs/dbraw/zinc/32/70/16/1177327016.db2.gz DNKWSIHKHIZHSQ-UHFFFAOYSA-N 0 1 322.836 3.032 20 30 DGEDMN C=CCCC(=O)N1CCCCC[C@H](NCc2oc(C)nc2C)C1 ZINC001691614022 1177328144 /nfs/dbraw/zinc/32/81/44/1177328144.db2.gz SAAQOBIRKWGSDG-INIZCTEOSA-N 0 1 319.449 3.118 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(c2ccccc2)CCC1 ZINC001691647270 1177483969 /nfs/dbraw/zinc/48/39/69/1177483969.db2.gz ZBTANGVCKGSNGR-SFHVURJKSA-N 0 1 312.457 3.217 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H](C)c1ccccc1F ZINC001691666542 1177620129 /nfs/dbraw/zinc/62/01/29/1177620129.db2.gz ZDXBAPKICWBBMV-JKSUJKDBSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCCCC(=O)NC[C@H](C)NCc1cccc(F)c1Cl ZINC001752897232 1177647076 /nfs/dbraw/zinc/64/70/76/1177647076.db2.gz VPGUVQXWFUFNHE-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C[C@@H](C(=O)N1CCN(CC/C=C/CC)CC1)c1ccccc1 ZINC001113665012 1177728819 /nfs/dbraw/zinc/72/88/19/1177728819.db2.gz KUBCWTXFVFXBSW-ZMBJWTFHSA-N 0 1 312.457 3.457 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccccc2Cl)C1 ZINC001691866564 1177778460 /nfs/dbraw/zinc/77/84/60/1177778460.db2.gz AQIWNZCJOUKLSW-AWEZNQCLSA-N 0 1 306.837 3.453 20 30 DGEDMN Cc1ccc([C@H](C)[NH2+][C@@H](C(=O)[O-])c2ccc(C#N)cc2)s1 ZINC001600372337 1192838307 /nfs/dbraw/zinc/83/83/07/1192838307.db2.gz JMDGTNPJAMRNQA-XHDPSFHLSA-N 0 1 300.383 3.405 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)c1csnc1C)C(C)C ZINC001691710392 1177878097 /nfs/dbraw/zinc/87/80/97/1177878097.db2.gz JZNIKZQMKJQZSG-UHFFFAOYSA-N 0 1 315.870 3.034 20 30 DGEDMN C=CCCC(=O)N1CCC[C@@H](C)[C@H]1CCNCc1coc(C)n1 ZINC001691718018 1177883369 /nfs/dbraw/zinc/88/33/69/1177883369.db2.gz PYQHXYMZVLNXGQ-RHSMWYFYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1CCC(N(C)Cc2ncc(C)s2)CC1 ZINC001331039172 1178120559 /nfs/dbraw/zinc/12/05/59/1178120559.db2.gz OTVNYCJXLXLLAT-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCCC(=O)N(C)C[C@@H](C)NCc1cc(OC)ccc1Cl ZINC001331404380 1178205429 /nfs/dbraw/zinc/20/54/29/1178205429.db2.gz GUMSHWUIAIEBOX-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc(C)c(F)cc1F ZINC001331490933 1178225298 /nfs/dbraw/zinc/22/52/98/1178225298.db2.gz RSJVCNGWSUMZOX-NSHDSACASA-N 0 1 316.779 3.076 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCc2cc(F)ccc21 ZINC001331504565 1178229211 /nfs/dbraw/zinc/22/92/11/1178229211.db2.gz PUXNKCOOIAUJSX-LRDDRELGSA-N 0 1 324.827 3.045 20 30 DGEDMN CCC1(C(=O)N(C)C[C@@H](C)NCc2ccccc2C#N)CCC1 ZINC001331534830 1178238030 /nfs/dbraw/zinc/23/80/30/1178238030.db2.gz RAANPXNIMKYBDX-OAHLLOKOSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C12CC3CC(CC(C3)C1)C2 ZINC001331614449 1178260450 /nfs/dbraw/zinc/26/04/50/1178260450.db2.gz XOQMWOMODCASOY-ZZFFKQLHSA-N 0 1 324.896 3.392 20 30 DGEDMN Cc1nc(C2CCN(c3c(C#N)cccc3C#N)CC2)[nH]c1C ZINC001332304318 1178415463 /nfs/dbraw/zinc/41/54/63/1178415463.db2.gz KXROWYKMLSYXEC-UHFFFAOYSA-N 0 1 305.385 3.154 20 30 DGEDMN CC#CCN(C)CCN(C(=O)C[C@H]1CCCC[C@@H]1C)C(C)C ZINC001332740157 1178488860 /nfs/dbraw/zinc/48/88/60/1178488860.db2.gz CNSLYDMQSCVRHE-ZWKOTPCHSA-N 0 1 306.494 3.395 20 30 DGEDMN C=CCCC(=O)N[C@@H](CNCc1csc(C)n1)C(C)(C)C ZINC001332772776 1178495920 /nfs/dbraw/zinc/49/59/20/1178495920.db2.gz YIKRVPQWOIDZNU-AWEZNQCLSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1csc(C)n1)C(C)(C)C ZINC001332772777 1178496646 /nfs/dbraw/zinc/49/66/46/1178496646.db2.gz YIKRVPQWOIDZNU-CQSZACIVSA-N 0 1 309.479 3.038 20 30 DGEDMN C[C@H](CC(C)(C)C#N)NCc1cccc(OCC(F)(F)F)n1 ZINC001332880309 1178513906 /nfs/dbraw/zinc/51/39/06/1178513906.db2.gz GPCHSIWLYHZJKY-LLVKDONJSA-N 0 1 315.339 3.441 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C1CC(NCc2csc(C)n2)C1 ZINC001333116380 1178558185 /nfs/dbraw/zinc/55/81/85/1178558185.db2.gz SDXWYANFEUNQEM-PGEKIEPBSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCC1(C(=O)NCC2=CCN(C[C@H](F)CC)CC2)CCC1 ZINC001333398542 1178600913 /nfs/dbraw/zinc/60/09/13/1178600913.db2.gz APOXJFDBVBAJTO-MRXNPFEDSA-N 0 1 308.441 3.229 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](CC(C)C)c2ccccc2)CC1 ZINC001333416525 1178602719 /nfs/dbraw/zinc/60/27/19/1178602719.db2.gz JGKFILYQLJCKEQ-HXUWFJFHSA-N 0 1 324.468 3.198 20 30 DGEDMN Cc1ccccc1C(F)(F)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC001334440118 1178764150 /nfs/dbraw/zinc/76/41/50/1178764150.db2.gz POHZZIWDVWSRLS-JTQLQIEISA-N 0 1 306.234 3.048 20 30 DGEDMN Cc1ccsc1[C@@H](CO)NCc1cc(Cl)cc(C#N)c1 ZINC001334797910 1178800743 /nfs/dbraw/zinc/80/07/43/1178800743.db2.gz HSDSUCNADJRVTD-CQSZACIVSA-N 0 1 306.818 3.405 20 30 DGEDMN N#CC1(CCCC[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@@H]32)CCC1 ZINC001601054825 1192914502 /nfs/dbraw/zinc/91/45/02/1192914502.db2.gz SUXBECQBKMBPRM-JYJNAYRXSA-N 0 1 304.434 3.426 20 30 DGEDMN C[C@H](OC1CCCCC1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001335796372 1178956772 /nfs/dbraw/zinc/95/67/72/1178956772.db2.gz KUPWCGPBPUMMDY-NSHDSACASA-N 0 1 312.373 3.111 20 30 DGEDMN C=C1CCC(C(=O)N2CCc3nc[nH]c3[C@@H]2c2cccnc2)CC1 ZINC001335960419 1178975918 /nfs/dbraw/zinc/97/59/18/1178975918.db2.gz HBGUABGJHUHTIK-SFHVURJKSA-N 0 1 322.412 3.025 20 30 DGEDMN C#CCOCCN(C)Cc1cc(Cl)ccc1OC(F)F ZINC001336066436 1178989135 /nfs/dbraw/zinc/98/91/35/1178989135.db2.gz HMXUWBKBBZLEQH-UHFFFAOYSA-N 0 1 303.736 3.023 20 30 DGEDMN C=CCOc1ccc(C[N@@H+]2C[C@H]3CCC[C@@H](C2)C3O)cc1Cl ZINC001341567089 1179029214 /nfs/dbraw/zinc/02/92/14/1179029214.db2.gz CIIZFSXLBOCYAO-MVVMVCHASA-N 0 1 321.848 3.498 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)C[C@@H](N)c1ccccc1OCC ZINC001341779036 1179061888 /nfs/dbraw/zinc/06/18/88/1179061888.db2.gz IKQAQQQIKRYIHI-NVXWUHKLSA-N 0 1 316.445 3.432 20 30 DGEDMN C=C(C)Cn1c(-c2ccc3nc[nH]c3c2)nnc1N1CC[C@H](C)C1 ZINC001341853982 1179071327 /nfs/dbraw/zinc/07/13/27/1179071327.db2.gz NPFGDLGCWUFAJF-ZDUSSCGKSA-N 0 1 322.416 3.244 20 30 DGEDMN Cc1cc(C(C#N)C(=O)[C@H](C)c2ccc(F)c(F)c2)nc(C)n1 ZINC001341899482 1179078907 /nfs/dbraw/zinc/07/89/07/1179078907.db2.gz SEPIOQOKLJNLPF-ZWNOBZJWSA-N 0 1 315.323 3.352 20 30 DGEDMN N#CC(C(=O)C[C@H]1OCCc2ccccc21)c1cccc(F)n1 ZINC001342560275 1179153593 /nfs/dbraw/zinc/15/35/93/1179153593.db2.gz FOBIFTRSIBRSNE-RHSMWYFYSA-N 0 1 310.328 3.101 20 30 DGEDMN N#CC(=C([O-])c1ccc2[nH]cnc2c1)c1nc(C2CC2)cs1 ZINC001343441808 1179275701 /nfs/dbraw/zinc/27/57/01/1179275701.db2.gz XJBUKJQCVASZGD-NSHDSACASA-N 0 1 308.366 3.387 20 30 DGEDMN N#CC(C(=O)c1ccc2[nH]cnc2c1)c1nc(C2CC2)cs1 ZINC001343441808 1179275704 /nfs/dbraw/zinc/27/57/04/1179275704.db2.gz XJBUKJQCVASZGD-NSHDSACASA-N 0 1 308.366 3.387 20 30 DGEDMN Cn1ccnc1C(C#N)C(=O)C1(Cc2ccccc2Cl)CC1 ZINC001343706306 1179319433 /nfs/dbraw/zinc/31/94/33/1179319433.db2.gz ZAWBVQMRYHAYFT-ZDUSSCGKSA-N 0 1 313.788 3.273 20 30 DGEDMN Cc1ccc(C(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)cc1C#N ZINC001344574886 1179452871 /nfs/dbraw/zinc/45/28/71/1179452871.db2.gz SPAQRMBJMUVREY-UHFFFAOYSA-N 0 1 310.401 3.160 20 30 DGEDMN N#CC(C(=O)CSCc1ccc(F)cc1)c1cccc(F)n1 ZINC001344595243 1179455155 /nfs/dbraw/zinc/45/51/55/1179455155.db2.gz IWAPDSWWOQKEEZ-ZDUSSCGKSA-N 0 1 318.348 3.469 20 30 DGEDMN CCc1ccc(-c2n[nH]c(SCCO[C@H](C)C#N)n2)cc1 ZINC001344689010 1179467212 /nfs/dbraw/zinc/46/72/12/1179467212.db2.gz MUEULEHXGYHXCP-LLVKDONJSA-N 0 1 302.403 3.055 20 30 DGEDMN CC(C)c1csc(C(C#N)C(=O)c2cc(C3CC3)nn2C)n1 ZINC001344849103 1179485434 /nfs/dbraw/zinc/48/54/34/1179485434.db2.gz UHGXHIXFMLEHPS-LLVKDONJSA-N 0 1 314.414 3.367 20 30 DGEDMN CC[C@@H](C)n1nccc1C(=O)C(C#N)c1cnc2ccccc2n1 ZINC001344869573 1179488877 /nfs/dbraw/zinc/48/88/77/1179488877.db2.gz QOIVEOGJVWQSDQ-CHWSQXEVSA-N 0 1 319.368 3.287 20 30 DGEDMN CC[C@@H](C)n1nccc1C(=O)[C@H](C#N)c1cnc2ccccc2n1 ZINC001344869573 1179488879 /nfs/dbraw/zinc/48/88/79/1179488879.db2.gz QOIVEOGJVWQSDQ-CHWSQXEVSA-N 0 1 319.368 3.287 20 30 DGEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1conc1C1CCCC1 ZINC001345641946 1179585236 /nfs/dbraw/zinc/58/52/36/1179585236.db2.gz FSJSOJFAMIKIQJ-JTQLQIEISA-N 0 1 318.764 3.209 20 30 DGEDMN Cn1c(Cl)cnc1[C@@H](C#N)C(=O)c1conc1C1CCCC1 ZINC001345641946 1179585240 /nfs/dbraw/zinc/58/52/40/1179585240.db2.gz FSJSOJFAMIKIQJ-JTQLQIEISA-N 0 1 318.764 3.209 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1csc(C(C)(C)C)n1 ZINC001345645877 1179585971 /nfs/dbraw/zinc/58/59/71/1179585971.db2.gz AUVKMUBUXRQJQE-LBPRGKRZSA-N 0 1 316.430 3.076 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)Cc1coc2cc(OC)ccc12 ZINC001346095875 1179652820 /nfs/dbraw/zinc/65/28/20/1179652820.db2.gz KVVPZGCYRWCUTC-HNNXBMFYSA-N 0 1 323.352 3.077 20 30 DGEDMN CC[C@H](C)c1ccc(NC(=O)[C@H](C#N)C(=O)c2[nH]cnc2C)cc1 ZINC001346248799 1179676238 /nfs/dbraw/zinc/67/62/38/1179676238.db2.gz PVDUBOAHIKASIQ-XHDPSFHLSA-N 0 1 324.384 3.193 20 30 DGEDMN Cc1ccc(Cl)c(OC[C@H](O)CNCC2(C#N)CCCC2)c1 ZINC001346325033 1179685236 /nfs/dbraw/zinc/68/52/36/1179685236.db2.gz IBWADFINXOXHPB-CQSZACIVSA-N 0 1 322.836 3.062 20 30 DGEDMN N#CC1(CNC[C@H](O)COc2ccc3ccccc3c2)CCCC1 ZINC001346325541 1179685261 /nfs/dbraw/zinc/68/52/61/1179685261.db2.gz JPTVOQMYBXLVTE-SFHVURJKSA-N 0 1 324.424 3.253 20 30 DGEDMN Cc1cccc2nc([C@H](C#N)C(=O)[C@@H]3C[C@H]3c3ccncc3)[nH]c21 ZINC001347051905 1179772585 /nfs/dbraw/zinc/77/25/85/1179772585.db2.gz NNPAURPIVQBTIG-RRFJBIMHSA-N 0 1 316.364 3.246 20 30 DGEDMN C=C1CC(C)(C(=O)Nc2ccc(N3CCN(C)CC3)cc2C)C1 ZINC001347250738 1179788673 /nfs/dbraw/zinc/78/86/73/1179788673.db2.gz PDZSRUMKNBBYKT-UHFFFAOYSA-N 0 1 313.445 3.042 20 30 DGEDMN C=C(Cl)C[NH2+][C@@H](C(=O)[O-])c1ccccc1OC(F)(F)F ZINC001602791512 1192998155 /nfs/dbraw/zinc/99/81/55/1192998155.db2.gz SPEUVMSTONYAHH-SNVBAGLBSA-N 0 1 309.671 3.053 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC001602836363 1192999744 /nfs/dbraw/zinc/99/97/44/1192999744.db2.gz OBTHGYKNXKUNOD-CQSZACIVSA-N 0 1 304.777 3.140 20 30 DGEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC001602836363 1192999747 /nfs/dbraw/zinc/99/97/47/1192999747.db2.gz OBTHGYKNXKUNOD-CQSZACIVSA-N 0 1 304.777 3.140 20 30 DGEDMN C=CCC[N@H+](C)[C@H](C(=O)[O-])c1ccc(Br)s1 ZINC001602880314 1193001491 /nfs/dbraw/zinc/00/14/91/1193001491.db2.gz JMCPDHVUCNGCIG-JTQLQIEISA-N 0 1 304.209 3.144 20 30 DGEDMN C[C@H]1CC(NC(=O)c2ccccc2OC2CCCCC2)=NO1 ZINC001602919538 1193002497 /nfs/dbraw/zinc/00/24/97/1193002497.db2.gz PYINCRWZHIFNJL-LBPRGKRZSA-N 0 1 302.374 3.250 20 30 DGEDMN C=CCC[C@H]1CCCN1C(=O)CN(C)CCc1ccccc1 ZINC001602982074 1193004114 /nfs/dbraw/zinc/00/41/14/1193004114.db2.gz WHWBMYFQJJVWBS-SFHVURJKSA-N 0 1 300.446 3.118 20 30 DGEDMN CCC1(CC)CN(CCCCC2(C#N)CCC2)CC[S@@]1=O ZINC001351313015 1180198706 /nfs/dbraw/zinc/19/87/06/1180198706.db2.gz NGBCWLAHHGEHCE-NRFANRHFSA-N 0 1 310.507 3.474 20 30 DGEDMN C=CC(C)(C)CCNC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001351354121 1180203460 /nfs/dbraw/zinc/20/34/60/1180203460.db2.gz VGQQQHCNLODBIU-UHFFFAOYSA-N 0 1 306.454 3.451 20 30 DGEDMN CC(C)(C#N)c1ccc(C[N@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])cc1 ZINC001603230526 1193012675 /nfs/dbraw/zinc/01/26/75/1193012675.db2.gz OKSSFIJYEZULAY-XIRDDKMYSA-N 0 1 312.413 3.173 20 30 DGEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CC[C@@H](C)C[C@@H](C)C1 ZINC001351602904 1180227950 /nfs/dbraw/zinc/22/79/50/1180227950.db2.gz ACMIQZALLDKKTC-CHWSQXEVSA-N 0 1 314.437 3.035 20 30 DGEDMN CC(C)c1nc(-c2nn[nH]n2)c(N=NC2CC3(C2)CCCC3)o1 ZINC001603406377 1193017069 /nfs/dbraw/zinc/01/70/69/1193017069.db2.gz GMOYZOHXFNVRBF-UHFFFAOYSA-N 0 1 315.381 3.100 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCC[N@H+](Cc2ccc(C)c(F)c2)C1 ZINC001480247193 1180319683 /nfs/dbraw/zinc/31/96/83/1180319683.db2.gz PXHZBMLZDHORFY-QGZVFWFLSA-N 0 1 318.436 3.429 20 30 DGEDMN C#C[C@H](NCc1ccc(-c2ccccc2C(=O)OC)o1)C(C)C ZINC001621319157 1193023820 /nfs/dbraw/zinc/02/38/20/1193023820.db2.gz YBSNBYNHUOYHIG-KRWDZBQOSA-N 0 1 311.381 3.481 20 30 DGEDMN N#CC1(c2ccccc2)CC(NCc2cccc3c2OCCO3)C1 ZINC001352724665 1180384935 /nfs/dbraw/zinc/38/49/35/1180384935.db2.gz GNOXNKBSLAKVOS-UHFFFAOYSA-N 0 1 320.392 3.171 20 30 DGEDMN C[C@@H]1CC(N=Nc2ccc(C#N)cn2)CN(Cc2ccccc2)C1 ZINC001353094424 1180462243 /nfs/dbraw/zinc/46/22/43/1180462243.db2.gz VDLAVRZKDKRWDL-OAHLLOKOSA-N 0 1 319.412 3.263 20 30 DGEDMN C=C(C)CCC(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC001353340955 1180532568 /nfs/dbraw/zinc/53/25/68/1180532568.db2.gz UUGZEDUSROIZFT-UHFFFAOYSA-N 0 1 301.434 3.042 20 30 DGEDMN Cc1cc2c(cc1C#N)[nH]nc2NC(=O)c1[nH]cnc1C(C)(C)C ZINC001300272248 1180536112 /nfs/dbraw/zinc/53/61/12/1180536112.db2.gz WCDALZIUHKMFIO-UHFFFAOYSA-N 0 1 322.372 3.016 20 30 DGEDMN CCCCCCCCCNC(=S)N1C[C@H]2CC[C@@H](C1)N2C ZINC001200376042 1180586172 /nfs/dbraw/zinc/58/61/72/1180586172.db2.gz PWFSRZYEZLRMLC-IYBDPMFKSA-N 0 1 311.539 3.390 20 30 DGEDMN C=C(C)CCC(=O)NCC1CC(NCc2nc(C)sc2C)C1 ZINC001100372675 1180625000 /nfs/dbraw/zinc/62/50/00/1180625000.db2.gz MAYPZPASPWLMHH-UHFFFAOYSA-N 0 1 321.490 3.101 20 30 DGEDMN N#Cc1cc(F)c(C(F)(F)F)cc1N1CCc2cn[nH]c2C1 ZINC001354140037 1180730405 /nfs/dbraw/zinc/73/04/05/1180730405.db2.gz GXOOJMIEDYELBG-UHFFFAOYSA-N 0 1 310.254 3.002 20 30 DGEDMN C=C(C)C[C@@H](C)C(=O)NCC1CC(NC/C(Cl)=C/Cl)C1 ZINC001100595163 1180833822 /nfs/dbraw/zinc/83/38/22/1180833822.db2.gz OTEYAJTZVCMZDH-CPJLXRDDSA-N 0 1 319.276 3.392 20 30 DGEDMN C[C@H](C[N@@H+](Cc1cc(C#N)cs1)Cc1ccccc1)C(=O)[O-] ZINC001604165476 1193056911 /nfs/dbraw/zinc/05/69/11/1193056911.db2.gz FQWXZNKJUXNWRS-CYBMUJFWSA-N 0 1 314.410 3.343 20 30 DGEDMN N#Cc1ccc2c(c1)CCC[C@H]2Nc1nc(Cl)nc2[nH]cnc21 ZINC001355313800 1181034647 /nfs/dbraw/zinc/03/46/47/1181034647.db2.gz XHMQBQGQSOCLGF-GFCCVEGCSA-N 0 1 324.775 3.367 20 30 DGEDMN Cc1csc(C(C#N)C(=O)c2cn(C)nc2C2CCCC2)n1 ZINC001355936192 1181115531 /nfs/dbraw/zinc/11/55/31/1181115531.db2.gz PZVHMKUUJUKTRY-LBPRGKRZSA-N 0 1 314.414 3.333 20 30 DGEDMN CC(C)(C#N)c1ccccc1C[N@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC001604496490 1193076163 /nfs/dbraw/zinc/07/61/63/1193076163.db2.gz NHBWNVJFXWDKBP-GOSISDBHSA-N 0 1 300.402 3.174 20 30 DGEDMN C[C@H]1C[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)CCc2ccccc21 ZINC001604800060 1193093439 /nfs/dbraw/zinc/09/34/39/1193093439.db2.gz PYNWNUSMUMGMEW-AWEZNQCLSA-N 0 1 320.392 3.418 20 30 DGEDMN N#C[C@@H]1CC[C@H](OC(=O)c2cccc3nc(C(F)F)[nH]c32)C1 ZINC001358087012 1181454343 /nfs/dbraw/zinc/45/43/43/1181454343.db2.gz FHEXYRMOMGBZJY-BDAKNGLRSA-N 0 1 305.284 3.350 20 30 DGEDMN CC[C@](C)(C(=O)[O-])[N@H+](Cc1cc(C#N)ccc1OC)CC1CC1 ZINC001604890709 1193099148 /nfs/dbraw/zinc/09/91/48/1193099148.db2.gz ZJBVZZHTVJPUQP-GOSISDBHSA-N 0 1 316.401 3.032 20 30 DGEDMN CC[C@@H](CC#N)[NH2+][C@H](C(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC001604980987 1193102830 /nfs/dbraw/zinc/10/28/30/1193102830.db2.gz QUAMVAKLXIZVFV-CABZTGNLSA-N 0 1 301.173 3.401 20 30 DGEDMN C#CCSc1ccc(COC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001435907603 1181853632 /nfs/dbraw/zinc/85/36/32/1181853632.db2.gz BRTCUUKEOCKMRG-QGZVFWFLSA-N 0 1 317.454 3.328 20 30 DGEDMN CCOc1cccc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)c1 ZINC001438139101 1181959053 /nfs/dbraw/zinc/95/90/53/1181959053.db2.gz WRHSYWQDJSANIK-UHFFFAOYSA-N 0 1 306.325 3.086 20 30 DGEDMN C#CC[C@@H](NC(=O)c1cc(F)cc(F)c1O)c1ccccc1 ZINC001446342919 1182168166 /nfs/dbraw/zinc/16/81/66/1182168166.db2.gz SUFBDMIRFHBMPX-OAHLLOKOSA-N 0 1 301.292 3.165 20 30 DGEDMN N#CCSc1ccccc1C(=O)NCc1ccc2nc[nH]c2c1 ZINC001449953333 1182237616 /nfs/dbraw/zinc/23/76/16/1182237616.db2.gz MHDQJOXQKDAGHE-UHFFFAOYSA-N 0 1 322.393 3.109 20 30 DGEDMN O=C(c1cc(-c2ccccc2)[nH]n1)N(O)Cc1ccc(F)cc1 ZINC001458015985 1182490211 /nfs/dbraw/zinc/49/02/11/1182490211.db2.gz HSMQHORTTLGFAT-UHFFFAOYSA-N 0 1 311.316 3.247 20 30 DGEDMN CC(=O)c1cc(F)c(NC(=O)c2coc(C#N)c2)c(F)c1F ZINC001458078164 1182494394 /nfs/dbraw/zinc/49/43/94/1182494394.db2.gz QPJILKAABXADQR-UHFFFAOYSA-N 0 1 308.215 3.023 20 30 DGEDMN N#Cc1cc(Cl)cc(CN2CCC[C@@H](c3ccncn3)C2)c1 ZINC001459336559 1182582436 /nfs/dbraw/zinc/58/24/36/1182582436.db2.gz RPVXNGYNCWAEFH-OAHLLOKOSA-N 0 1 312.804 3.381 20 30 DGEDMN N#Cc1ccc(C2=CCN(Cc3ccc4nonc4c3)CC2)cc1 ZINC001459884986 1182625288 /nfs/dbraw/zinc/62/52/88/1182625288.db2.gz WXKRBWRLYBQBJY-UHFFFAOYSA-N 0 1 316.364 3.384 20 30 DGEDMN Cc1cccc(C)c1CN(C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC001460849258 1182731318 /nfs/dbraw/zinc/73/13/18/1182731318.db2.gz KDEHHGFBHFPJEI-APWZRJJASA-N 0 1 315.461 3.178 20 30 DGEDMN CCC#C[C@H](C)N1CCC(CC(F)F)(C(=O)OCC)CC1 ZINC001460935235 1182742161 /nfs/dbraw/zinc/74/21/61/1182742161.db2.gz JZLQXSUCGFKEBG-ZDUSSCGKSA-N 0 1 301.377 3.089 20 30 DGEDMN COc1ccc(C#N)cc1CN1CCCC[C@H]1[C@@H]1CCCO1 ZINC001460956925 1182745401 /nfs/dbraw/zinc/74/54/01/1182745401.db2.gz YXVICTKDLWQGTR-WMZOPIPTSA-N 0 1 300.402 3.100 20 30 DGEDMN COC[C@H](NCc1ccc(OC)c(C#N)c1)c1ccc(F)cc1 ZINC001461251865 1182786293 /nfs/dbraw/zinc/78/62/93/1182786293.db2.gz JMVVTQOSSBDNPH-KRWDZBQOSA-N 0 1 314.360 3.183 20 30 DGEDMN Cn1cc(CNCc2csc(C#N)c2)c(-c2ccccc2)n1 ZINC001461432680 1182813821 /nfs/dbraw/zinc/81/38/21/1182813821.db2.gz RTCJBLDTTPMXFL-UHFFFAOYSA-N 0 1 308.410 3.310 20 30 DGEDMN C#C[C@H](NCc1cc(Br)c(C)s1)[C@H]1CCCO1 ZINC001461445951 1182816363 /nfs/dbraw/zinc/81/63/63/1182816363.db2.gz XJFFTNQZGUWFQQ-QWHCGFSZSA-N 0 1 314.248 3.089 20 30 DGEDMN N#Cc1ccc(CNCc2ccc3oc(=O)oc3c2)cc1Cl ZINC001461476549 1182817373 /nfs/dbraw/zinc/81/73/73/1182817373.db2.gz MSVBNYQEMBWXLW-UHFFFAOYSA-N 0 1 314.728 3.201 20 30 DGEDMN C=CCOc1ccc(CNc2cccc3[nH]nnc32)cc1OC ZINC001461515020 1182823016 /nfs/dbraw/zinc/82/30/16/1182823016.db2.gz KVNSPBNNEGJVMD-UHFFFAOYSA-N 0 1 310.357 3.143 20 30 DGEDMN C#CC[C@H](CCOC)NCc1nc(-c2cccs2)oc1C ZINC001461670568 1182840268 /nfs/dbraw/zinc/84/02/68/1182840268.db2.gz VTQVXVNFEARONR-CYBMUJFWSA-N 0 1 304.415 3.230 20 30 DGEDMN N#CC1(CNCc2ccc(Cl)c3cccnc23)CCOCC1 ZINC001461819739 1182861380 /nfs/dbraw/zinc/86/13/80/1182861380.db2.gz RXCBXHAJRWGKPK-UHFFFAOYSA-N 0 1 315.804 3.298 20 30 DGEDMN Cc1cc(CNCc2cc3ccccc3[nH]c2=O)ccc1C#N ZINC001461831063 1182865272 /nfs/dbraw/zinc/86/52/72/1182865272.db2.gz BQOMICHNMXJIHR-UHFFFAOYSA-N 0 1 303.365 3.410 20 30 DGEDMN C#Cc1cccc(NC(=O)CN(C)CCc2cc(C)cc(C)c2)c1 ZINC001461945782 1182883226 /nfs/dbraw/zinc/88/32/26/1182883226.db2.gz QKWHWDBPQGYZBQ-UHFFFAOYSA-N 0 1 320.436 3.398 20 30 DGEDMN C#CCOc1cccc(Cl)c1CN(CCC)CC(C)(C)O ZINC001461947208 1182883331 /nfs/dbraw/zinc/88/33/31/1182883331.db2.gz AKXDRZDTCIMQJR-UHFFFAOYSA-N 0 1 309.837 3.335 20 30 DGEDMN C#CCn1ccc(CN(CCOC)C[C@H]2CCCC[C@H]2CC)n1 ZINC001462187197 1182921170 /nfs/dbraw/zinc/92/11/70/1182921170.db2.gz DZEGXJVHHPREET-QZTJIDSGSA-N 0 1 317.477 3.181 20 30 DGEDMN N#Cc1c(F)cccc1CNCCc1c(F)cc(O)cc1F ZINC001462608804 1182975039 /nfs/dbraw/zinc/97/50/39/1182975039.db2.gz CJEIMKXCAVXCOR-UHFFFAOYSA-N 0 1 306.287 3.013 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccccc2-c2nnc[nH]2)ccc1Cl ZINC001466172336 1183191819 /nfs/dbraw/zinc/19/18/19/1183191819.db2.gz ATDRIHJNVSHCSW-UHFFFAOYSA-N 0 1 323.743 3.249 20 30 DGEDMN CCc1nc(NC(=O)c2ccc(C#Cc3ccccc3)cc2)n[nH]1 ZINC001466276720 1183195705 /nfs/dbraw/zinc/19/57/05/1183195705.db2.gz XIYMNEDTXSPPIL-UHFFFAOYSA-N 0 1 316.364 3.019 20 30 DGEDMN CCc1n[nH]c(NC(=O)c2ccc(C#Cc3ccccc3)cc2)n1 ZINC001466276720 1183195709 /nfs/dbraw/zinc/19/57/09/1183195709.db2.gz XIYMNEDTXSPPIL-UHFFFAOYSA-N 0 1 316.364 3.019 20 30 DGEDMN N#Cc1cc(C(=O)Nc2ccc(Br)c(O)c2)cs1 ZINC001466898113 1183229392 /nfs/dbraw/zinc/22/93/92/1183229392.db2.gz IBQQCORZOKJCPV-UHFFFAOYSA-N 0 1 323.171 3.340 20 30 DGEDMN C#C[C@H](NC(=O)NCc1nc2ccc(C)cc2[nH]1)c1ccccc1 ZINC001469119694 1183384226 /nfs/dbraw/zinc/38/42/26/1183384226.db2.gz ZISFRFYRTFOLNE-HNNXBMFYSA-N 0 1 318.380 3.045 20 30 DGEDMN COc1cccc([C@@H](C(=O)[O-])[N@@H+](C)Cc2ccc(C#N)cc2C)c1 ZINC001607309252 1193223238 /nfs/dbraw/zinc/22/32/38/1193223238.db2.gz HETZJSIQTGGCRJ-SFHVURJKSA-N 0 1 324.380 3.133 20 30 DGEDMN C=CCC1(CNC(=O)N2CCN(C)C[C@H]2CC(C)C)CCCC1 ZINC001470149242 1183465080 /nfs/dbraw/zinc/46/50/80/1183465080.db2.gz BNMRZGCZQSWIIN-QGZVFWFLSA-N 0 1 321.509 3.495 20 30 DGEDMN C=C1CCC(CNC(=O)N2CCN(C)C[C@@H]2CC(C)C)CC1 ZINC001471287976 1183553074 /nfs/dbraw/zinc/55/30/74/1183553074.db2.gz NROJRHBNICTSTE-KRWDZBQOSA-N 0 1 307.482 3.105 20 30 DGEDMN N#CC[C@H](C(=O)Nc1cccc(-c2cn[nH]n2)c1)c1ccccc1 ZINC001472542758 1183651695 /nfs/dbraw/zinc/65/16/95/1183651695.db2.gz HEJNGEWRXVEYJF-INIZCTEOSA-N 0 1 317.352 3.108 20 30 DGEDMN C[C@@H](C#N)C(=O)N1CCN(CC2CCC(C(C)(C)C)CC2)CC1 ZINC001472943205 1183701548 /nfs/dbraw/zinc/70/15/48/1183701548.db2.gz KXFIEKAIMWHPHE-GTPINHCMSA-N 0 1 319.493 3.143 20 30 DGEDMN C#CC[N@@H+](C[C@H]1COc2ccccc2O1)[C@H]1CCc2ccccc21 ZINC001473122205 1183718605 /nfs/dbraw/zinc/71/86/05/1183718605.db2.gz SUENDKWAXCWXSE-HKUYNNGSSA-N 0 1 319.404 3.449 20 30 DGEDMN C#CCN(C[C@H]1COc2ccccc2O1)[C@H]1CCc2ccccc21 ZINC001473122205 1183718607 /nfs/dbraw/zinc/71/86/07/1183718607.db2.gz SUENDKWAXCWXSE-HKUYNNGSSA-N 0 1 319.404 3.449 20 30 DGEDMN C#CCN(CCCC(=O)OC(C)(C)C)Cc1ccc(F)cc1 ZINC001473136873 1183719920 /nfs/dbraw/zinc/71/99/20/1183719920.db2.gz GMBNCDDCTNMFQQ-UHFFFAOYSA-N 0 1 305.393 3.383 20 30 DGEDMN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2oc(C)c(C)c2C#N)[C@H]1C ZINC001473402446 1183752770 /nfs/dbraw/zinc/75/27/70/1183752770.db2.gz KOFLBPOGOXUJPZ-SGMGOOAPSA-N 0 1 303.406 3.216 20 30 DGEDMN C#CCCCCCCN1CCN(c2snc(C)c2C#N)CC1 ZINC001473516247 1183769694 /nfs/dbraw/zinc/76/96/94/1183769694.db2.gz JBUVLHAGWUUWSO-UHFFFAOYSA-N 0 1 316.474 3.029 20 30 DGEDMN C=CCN(CC(=O)Nc1nc2c(s1)CCCC2)C(C)(C)C ZINC001473650791 1183786324 /nfs/dbraw/zinc/78/63/24/1183786324.db2.gz HMFZAHOCYPDHJW-UHFFFAOYSA-N 0 1 307.463 3.247 20 30 DGEDMN C=CCCCN1C[C@@H](C(F)(F)F)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001473802300 1183803931 /nfs/dbraw/zinc/80/39/31/1183803931.db2.gz NSECGGFVJPYIEC-VXGBXAGGSA-N 0 1 322.371 3.340 20 30 DGEDMN Cc1cc(C[N@@H+]2CCc3c(F)ccc(C(=O)[O-])c3C2)ccc1C#N ZINC001607641862 1193243979 /nfs/dbraw/zinc/24/39/79/1193243979.db2.gz NEYVOAYBNVGDRZ-UHFFFAOYSA-N 0 1 324.355 3.262 20 30 DGEDMN Cc1cc(C[N@H+]2CCc3c(F)ccc(C(=O)[O-])c3C2)ccc1C#N ZINC001607641862 1193243981 /nfs/dbraw/zinc/24/39/81/1193243981.db2.gz NEYVOAYBNVGDRZ-UHFFFAOYSA-N 0 1 324.355 3.262 20 30 DGEDMN Cc1cn2c(nc(C)c2CNCc2ccc(C#N)s2)s1 ZINC001474185280 1183878825 /nfs/dbraw/zinc/87/88/25/1183878825.db2.gz QAWGGIGAIGPTAB-UHFFFAOYSA-N 0 1 302.428 3.236 20 30 DGEDMN N#CCc1cccc2c1CCN(Cc1cccc3c1OCC3)C2 ZINC001474654635 1183957228 /nfs/dbraw/zinc/95/72/28/1183957228.db2.gz JMRKSCXJJAKNTP-UHFFFAOYSA-N 0 1 304.393 3.246 20 30 DGEDMN Cc1cccc([C@@H]2CCC[N@@H+]2Cc2cccc(C(=O)[O-])c2C#N)n1 ZINC001607847249 1193262475 /nfs/dbraw/zinc/26/24/75/1193262475.db2.gz IZUZVWJMIAZCAG-SFHVURJKSA-N 0 1 321.380 3.297 20 30 DGEDMN Cc1cccc([C@@H]2CCC[N@H+]2Cc2cccc(C(=O)[O-])c2C#N)n1 ZINC001607847249 1193262478 /nfs/dbraw/zinc/26/24/78/1193262478.db2.gz IZUZVWJMIAZCAG-SFHVURJKSA-N 0 1 321.380 3.297 20 30 DGEDMN CC(C)c1n[nH]c(NC(=O)c2c(Cl)cc(C#N)cc2Cl)n1 ZINC001546218938 1184122244 /nfs/dbraw/zinc/12/22/44/1184122244.db2.gz YHZHKINBHZXHEY-UHFFFAOYSA-N 0 1 324.171 3.359 20 30 DGEDMN CC(C)c1nc(NC(=O)c2c(Cl)cc(C#N)cc2Cl)n[nH]1 ZINC001546218938 1184122246 /nfs/dbraw/zinc/12/22/46/1184122246.db2.gz YHZHKINBHZXHEY-UHFFFAOYSA-N 0 1 324.171 3.359 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1oc(C)nc1C ZINC001479197792 1184209046 /nfs/dbraw/zinc/20/90/46/1184209046.db2.gz FSEOSWKQJHEJQE-HZPDHXFCSA-N 0 1 319.449 3.165 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1c(C)cccc1Cl ZINC001479226796 1184212159 /nfs/dbraw/zinc/21/21/59/1184212159.db2.gz WHSLFUDRDCFFNN-HNNXBMFYSA-N 0 1 320.864 3.300 20 30 DGEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1ccc(C(C)(C)C)cc1 ZINC001479250844 1184218568 /nfs/dbraw/zinc/21/85/68/1184218568.db2.gz ZIXIWINURAJHSZ-SFHVURJKSA-N 0 1 314.473 3.245 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2ccc(C)c(OC)c2)[C@@H]1C ZINC001479700349 1184294131 /nfs/dbraw/zinc/29/41/31/1184294131.db2.gz YBQWDIRHRYVIOL-WBVHZDCISA-N 0 1 316.445 3.049 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC)CC2CCCCC2)[C@H]1C ZINC001479721900 1184301739 /nfs/dbraw/zinc/30/17/39/1184301739.db2.gz WVPMRTDRWRCKGG-NJAFHUGGSA-N 0 1 304.478 3.195 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001479733553 1184306230 /nfs/dbraw/zinc/30/62/30/1184306230.db2.gz WWPOSPQAHMBRGD-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C1CC(C)(C(=O)NCCCN(C)Cc2cccc(Cl)n2)C1 ZINC001479794978 1184327138 /nfs/dbraw/zinc/32/71/38/1184327138.db2.gz BOTFFOHUPJYXEI-UHFFFAOYSA-N 0 1 321.852 3.029 20 30 DGEDMN N#CC1(CCCC[N@@H+]2Cc3ccccc3C[C@H]2C(=O)[O-])CCC1 ZINC001608175434 1193280792 /nfs/dbraw/zinc/28/07/92/1193280792.db2.gz XTLUEFQUVWQPKD-KRWDZBQOSA-N 0 1 312.413 3.362 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173067 1193280863 /nfs/dbraw/zinc/28/08/63/1193280863.db2.gz AXGZIEMQLGUZHO-ROUUACIJSA-N 0 1 321.380 3.052 20 30 DGEDMN N#C[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1ccc(C(=O)[O-])cn1 ZINC001608173067 1193280866 /nfs/dbraw/zinc/28/08/66/1193280866.db2.gz AXGZIEMQLGUZHO-ROUUACIJSA-N 0 1 321.380 3.052 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001479852296 1184350951 /nfs/dbraw/zinc/35/09/51/1184350951.db2.gz DNQAXSSJWMQWTD-MRXNPFEDSA-N 0 1 324.827 3.046 20 30 DGEDMN C=C(Cl)CN[C@H](CNC(=O)c1ccc(Cl)cc1)C1CC1 ZINC001480060211 1184421108 /nfs/dbraw/zinc/42/11/08/1184421108.db2.gz ZORQZNDPZYNVAD-CQSZACIVSA-N 0 1 313.228 3.191 20 30 DGEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCCc1cccs1 ZINC001480102480 1184435918 /nfs/dbraw/zinc/43/59/18/1184435918.db2.gz XXFRZTWFIFCKSR-IYBDPMFKSA-N 0 1 318.486 3.322 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](CCC)CC(C)C ZINC001480331468 1184496165 /nfs/dbraw/zinc/49/61/65/1184496165.db2.gz MDXMHSUZUABARN-ROUUACIJSA-N 0 1 306.494 3.443 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCCc3ccccc32)CC1 ZINC001480369813 1184507927 /nfs/dbraw/zinc/50/79/27/1184507927.db2.gz PLTOKCMJQHWRKR-LJQANCHMSA-N 0 1 312.457 3.217 20 30 DGEDMN C=CC(C)(C)CC(=O)NC1CCC(NCc2coc(C)n2)CC1 ZINC001480403235 1184523329 /nfs/dbraw/zinc/52/33/29/1184523329.db2.gz QETBQOSOWMAXKB-UHFFFAOYSA-N 0 1 319.449 3.102 20 30 DGEDMN C=CC[C@@H](C(=O)NC[C@@H](C)NCc1ccccn1)c1ccccc1 ZINC001480595952 1184555002 /nfs/dbraw/zinc/55/50/02/1184555002.db2.gz RXAKTNQSCSAQGF-VQIMIIECSA-N 0 1 323.440 3.036 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)Cc1ccccc1C ZINC001480601313 1184557445 /nfs/dbraw/zinc/55/74/45/1184557445.db2.gz MVNPINGURZAOKV-UKRRQHHQSA-N 0 1 308.853 3.020 20 30 DGEDMN C#CCCCCCC(=O)N1CCC[C@H](N(C)C/C=C/Cl)C1 ZINC001480729145 1184589992 /nfs/dbraw/zinc/58/99/92/1184589992.db2.gz FFPUHDXJETUSJO-YHVDPYDOSA-N 0 1 310.869 3.245 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1[C@H](C)c1ccccc1F ZINC001493098475 1184650152 /nfs/dbraw/zinc/65/01/52/1184650152.db2.gz KAGJDUNPLDOZLV-CVEARBPZSA-N 0 1 316.420 3.127 20 30 DGEDMN C=CCN1CCC[C@@H]1CNC(=O)C(C)(C)c1cccc(Cl)c1 ZINC001493142538 1184656740 /nfs/dbraw/zinc/65/67/40/1184656740.db2.gz OFUAXHVBZPPWLN-MRXNPFEDSA-N 0 1 320.864 3.384 20 30 DGEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](CCC)c1ccccc1 ZINC001493161027 1184662812 /nfs/dbraw/zinc/66/28/12/1184662812.db2.gz RAUQTOPZRPBLER-OALUTQOASA-N 0 1 312.457 3.174 20 30 DGEDMN CC(C)C#CC(=O)NCCN(Cc1cc2ccccc2o1)C1CC1 ZINC001493369663 1184695353 /nfs/dbraw/zinc/69/53/53/1184695353.db2.gz YTXMCESHIUDLKN-UHFFFAOYSA-N 0 1 324.424 3.173 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1cc(F)ccc1F ZINC001493683328 1184725533 /nfs/dbraw/zinc/72/55/33/1184725533.db2.gz ACBXCADGVMVXNX-KRWDZBQOSA-N 0 1 310.388 3.115 20 30 DGEDMN CC(C)c1occc1C(=O)N(C)CCNCC#Cc1ccccc1 ZINC001493808646 1184740255 /nfs/dbraw/zinc/74/02/55/1184740255.db2.gz ACSFBUAFTCRBSO-UHFFFAOYSA-N 0 1 324.424 3.116 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CC12CC2)c1ccc(C(C)C)cc1 ZINC001493878095 1184755236 /nfs/dbraw/zinc/75/52/36/1184755236.db2.gz KNYBHNQOGGJOBA-OALUTQOASA-N 0 1 324.468 3.380 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H]1CCC(C)=C(C)C1)c1ccccc1 ZINC001493889628 1184759276 /nfs/dbraw/zinc/75/92/76/1184759276.db2.gz UFBZBEXZUGWBHB-RBUKOAKNSA-N 0 1 310.441 3.203 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCC(C)=C(C)C1)c1ccccc1 ZINC001493889627 1184760114 /nfs/dbraw/zinc/76/01/14/1184760114.db2.gz UFBZBEXZUGWBHB-OALUTQOASA-N 0 1 310.441 3.203 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(C)cc(F)c1)c1ccccc1 ZINC001493897484 1184760877 /nfs/dbraw/zinc/76/08/77/1184760877.db2.gz FZAVPHHBSQWYNT-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)C1(C)CCCCC1)c1ccccc1 ZINC001493895126 1184761368 /nfs/dbraw/zinc/76/13/68/1184761368.db2.gz DPAQLONFILBOTL-SFHVURJKSA-N 0 1 312.457 3.427 20 30 DGEDMN C#CCC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2coc3ccccc32)C1 ZINC001493977400 1184782089 /nfs/dbraw/zinc/78/20/89/1184782089.db2.gz MHOGXGGVHBECSK-MRXNPFEDSA-N 0 1 324.424 3.383 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H](C)c2ccccc2)C1 ZINC001494072783 1184803709 /nfs/dbraw/zinc/80/37/09/1184803709.db2.gz FSNBCDYAMRIYCK-JKSUJKDBSA-N 0 1 320.864 3.371 20 30 DGEDMN C#CCCCC(=O)N[C@H]1CCCN([C@H](C)c2ccccc2F)C1 ZINC001494315898 1184851497 /nfs/dbraw/zinc/85/14/97/1184851497.db2.gz TWOVGJSQCPFQEX-CVEARBPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCc2ccc(C)cc2C)C1 ZINC001494322990 1184854029 /nfs/dbraw/zinc/85/40/29/1184854029.db2.gz ZXDTVKANNHRYFW-SFHVURJKSA-N 0 1 300.446 3.003 20 30 DGEDMN CC#CCCCC(=O)NC1CN(CC[C@H]2CCc3ccccc32)C1 ZINC001494436025 1184869325 /nfs/dbraw/zinc/86/93/25/1184869325.db2.gz VAAGHEIMCZZDFN-GOSISDBHSA-N 0 1 324.468 3.101 20 30 DGEDMN C=C1CC(C)(C(=O)NCC2CN([C@H](C)c3cccc(F)c3)C2)C1 ZINC001494591299 1184892120 /nfs/dbraw/zinc/89/21/20/1184892120.db2.gz HADIRZACNXIWGH-CQSZACIVSA-N 0 1 316.420 3.291 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H]1CCc2ccccc21 ZINC001494666886 1184902659 /nfs/dbraw/zinc/90/26/59/1184902659.db2.gz DPGMVJASBFASCQ-JENIJYKNSA-N 0 1 310.441 3.315 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)[C@H]1CCN(Cc2scnc2C)C1 ZINC001494845790 1184941527 /nfs/dbraw/zinc/94/15/27/1184941527.db2.gz HASIUONEHZQKCK-AWEZNQCLSA-N 0 1 321.490 3.087 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2cccnc2C)C1 ZINC001494849153 1184942282 /nfs/dbraw/zinc/94/22/82/1184942282.db2.gz WQVDNEPIXNWIEW-IEBWSBKVSA-N 0 1 315.461 3.025 20 30 DGEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](c2ccccc2)C(C)C)C1 ZINC001494850997 1184942797 /nfs/dbraw/zinc/94/27/97/1184942797.db2.gz PEPPOMXDOSFIFK-ROUUACIJSA-N 0 1 300.446 3.145 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](CC)CC2CCCCC2)C1 ZINC001494853547 1184946163 /nfs/dbraw/zinc/94/61/63/1184946163.db2.gz RZGCKYMJUAQSDF-MSOLQXFVSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccccc1Cl ZINC001495095708 1184996391 /nfs/dbraw/zinc/99/63/91/1184996391.db2.gz KZWBLZMNHNQRQX-LLVKDONJSA-N 0 1 301.217 3.191 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cncc2ccccc21 ZINC001495138321 1185003023 /nfs/dbraw/zinc/00/30/23/1185003023.db2.gz MSHJBTFJVXRLFA-AWEZNQCLSA-N 0 1 317.820 3.085 20 30 DGEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C[C@@H](C)CC(C)(C)C)C1 ZINC001495869849 1185109587 /nfs/dbraw/zinc/10/95/87/1185109587.db2.gz HEVAAWRPWFFJRS-IAGOWNOFSA-N 0 1 324.509 3.232 20 30 DGEDMN CCCC[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1ccccc1C#N ZINC001496052733 1185129846 /nfs/dbraw/zinc/12/98/46/1185129846.db2.gz YZYFFSGPFVTAEW-CVEARBPZSA-N 0 1 315.461 3.321 20 30 DGEDMN CCC1(C(=O)N(C)[C@@H](C)CNCc2ccccc2C#N)CCC1 ZINC001496136121 1185138680 /nfs/dbraw/zinc/13/86/80/1185138680.db2.gz DQYVVONLDCJJGT-HNNXBMFYSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cccc(Cl)c1 ZINC001496216605 1185149129 /nfs/dbraw/zinc/14/91/29/1185149129.db2.gz RNHLYNDLBGVYOH-LLVKDONJSA-N 0 1 301.217 3.143 20 30 DGEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](c2nc3ccccc3s2)C1 ZINC001609264550 1193333299 /nfs/dbraw/zinc/33/32/99/1193333299.db2.gz TUZREGQJHNAQMO-WCQYABFASA-N 0 1 302.399 3.115 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1ccncc1)C1CCCCC1 ZINC001496304712 1185163251 /nfs/dbraw/zinc/16/32/51/1185163251.db2.gz BLYUDMMDLYVBDO-INIZCTEOSA-N 0 1 321.852 3.102 20 30 DGEDMN CN(CCN(C)C(=O)CC(C)(C)C)Cc1ccc(C#N)c(F)c1 ZINC001496661840 1185228333 /nfs/dbraw/zinc/22/83/33/1185228333.db2.gz CVAOEKYWGFQPHO-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)c1cc2cc(C)ccc2s1 ZINC001496814417 1185250641 /nfs/dbraw/zinc/25/06/41/1185250641.db2.gz MKPCVHUCPDEHBV-UHFFFAOYSA-N 0 1 314.454 3.237 20 30 DGEDMN C=CCc1cc(C[N@@H+](C)[C@@H](CC(C)C)C(=O)[O-])cc(OC)c1O ZINC001609464068 1193340704 /nfs/dbraw/zinc/34/07/04/1193340704.db2.gz KWZHMZOOBKIEPI-HNNXBMFYSA-N 0 1 321.417 3.060 20 30 DGEDMN C=CC(C)(C)C(=O)N(CC)CCNCc1cc(O)ccc1Cl ZINC001497436876 1185332838 /nfs/dbraw/zinc/33/28/38/1185332838.db2.gz NPNFMESSVCQSQU-UHFFFAOYSA-N 0 1 324.852 3.196 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc2scnc2c1 ZINC001497472527 1185338324 /nfs/dbraw/zinc/33/83/24/1185338324.db2.gz DJGUWKKKTIAUHZ-UHFFFAOYSA-N 0 1 323.849 3.101 20 30 DGEDMN C=CCCC(=O)NC/C=C/CN[C@@H](C)c1ccc(F)cc1F ZINC001497539791 1185350192 /nfs/dbraw/zinc/35/01/92/1185350192.db2.gz DCWXQWGWNHTJDC-GFUIURDCSA-N 0 1 308.372 3.254 20 30 DGEDMN CC(C)([NH2+]CCC1(C#N)CCCCC1)c1cc(C(=O)[O-])on1 ZINC001609680547 1193348826 /nfs/dbraw/zinc/34/88/26/1193348826.db2.gz XECBQORNFPNQAU-UHFFFAOYSA-N 0 1 305.378 3.062 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1cc(F)ccc1F ZINC001497657150 1185369413 /nfs/dbraw/zinc/36/94/13/1185369413.db2.gz JENAOBZIKCNJDO-AATRIKPKSA-N 0 1 322.399 3.329 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1scc(C)c1Cl ZINC001498049866 1185429941 /nfs/dbraw/zinc/42/99/41/1185429941.db2.gz YICJGAOLVOMWLV-VIFPVBQESA-N 0 1 307.246 3.170 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN[C@@H](C)c1nc2ccccc2o1 ZINC001498086706 1185434974 /nfs/dbraw/zinc/43/49/74/1185434974.db2.gz HMZOXQOWNVODSJ-STQMWFEESA-N 0 1 315.417 3.195 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1cc(F)ccc1Cl ZINC001498091530 1185434979 /nfs/dbraw/zinc/43/49/79/1185434979.db2.gz WJHMBTZUPUFFSP-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNCc2cc(Cl)ccc2F)C1 ZINC001498110017 1185436762 /nfs/dbraw/zinc/43/67/62/1185436762.db2.gz PEJTYFDFIBGECF-LBPRGKRZSA-N 0 1 324.827 3.430 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(CC(C)C)s1 ZINC001498306228 1185467298 /nfs/dbraw/zinc/46/72/98/1185467298.db2.gz PFZNKDRIEVBZRT-AWEZNQCLSA-N 0 1 306.475 3.020 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cc1ccc(CCCC)cc1 ZINC001498331716 1185470826 /nfs/dbraw/zinc/47/08/26/1185470826.db2.gz MHTYLFOCFKEZCV-QGZVFWFLSA-N 0 1 314.473 3.032 20 30 DGEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1ccc(C)c(Cl)c1 ZINC001498347490 1185475304 /nfs/dbraw/zinc/47/53/04/1185475304.db2.gz ICTCRCUKKQXQKU-OAHLLOKOSA-N 0 1 320.864 3.041 20 30 DGEDMN CC#CCN(C)C[C@H](C)NC(=O)c1c(Cl)cccc1Cl ZINC001498438165 1185494971 /nfs/dbraw/zinc/49/49/71/1185494971.db2.gz UQTMFFAQARYYSB-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1CNCc1nc(C)oc1C ZINC001498474734 1185503996 /nfs/dbraw/zinc/50/39/96/1185503996.db2.gz QJZXTJOHSVJXGV-JKSUJKDBSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2cccc(C3CC3)c2)CC1 ZINC001499299356 1185635583 /nfs/dbraw/zinc/63/55/83/1185635583.db2.gz NSPKGIWSDUXYMD-UHFFFAOYSA-N 0 1 318.848 3.097 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001499341571 1185640102 /nfs/dbraw/zinc/64/01/02/1185640102.db2.gz UZJGPXFUQVYXBT-QGZVFWFLSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)CCc2ccccc2C)C1 ZINC001499751864 1185692543 /nfs/dbraw/zinc/69/25/43/1185692543.db2.gz OELUKRFLFRVNEX-UHFFFAOYSA-N 0 1 300.446 3.036 20 30 DGEDMN C#CCN(C(=O)C/C=C(/C)C=C)C1CCN(C/C=C/Cl)CC1 ZINC001500048223 1185734121 /nfs/dbraw/zinc/73/41/21/1185734121.db2.gz DVLLJXOBJUFTCN-ACBHMLNSSA-N 0 1 320.864 3.188 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1C[C@H]1C1CC1)C1CCCC1 ZINC001500099589 1185742150 /nfs/dbraw/zinc/74/21/50/1185742150.db2.gz DLBNPUSILQXBQV-ULQDDVLXSA-N 0 1 324.896 3.440 20 30 DGEDMN CC[C@@H](C)CC(=O)N[C@@]1(C)CCN(Cc2ccc(C#N)cc2)C1 ZINC001500213351 1185758571 /nfs/dbraw/zinc/75/85/71/1185758571.db2.gz UMSKEWFIJKDLJT-BEFAXECRSA-N 0 1 313.445 3.075 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@](C)(CNCc2cscn2)C1 ZINC001500376538 1185781548 /nfs/dbraw/zinc/78/15/48/1185781548.db2.gz OMACBNPFESBUCM-IRXDYDNUSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001500444133 1185793507 /nfs/dbraw/zinc/79/35/07/1185793507.db2.gz FJPWHHCJZGJJQF-ZIAGYGMSSA-N 0 1 316.848 3.095 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H](NCC#CC)c1ccccc1CC ZINC001501023318 1185857177 /nfs/dbraw/zinc/85/71/77/1185857177.db2.gz WIGQVJYJMLUEKR-GOSISDBHSA-N 0 1 312.457 3.231 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)CC3=CCCCC3)cccc2C1 ZINC001501851469 1185932475 /nfs/dbraw/zinc/93/24/75/1185932475.db2.gz RSEXNLQWUOWOOS-UHFFFAOYSA-N 0 1 322.452 3.185 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCCN(C)Cc1cc(C)ns1 ZINC001502339018 1185970477 /nfs/dbraw/zinc/97/04/77/1185970477.db2.gz UNIAQVGSGCBQTH-UHFFFAOYSA-N 0 1 309.479 3.088 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCN(C)Cc2cnoc2C)CCC1 ZINC001502371603 1185976132 /nfs/dbraw/zinc/97/61/32/1185976132.db2.gz RDTAPXYHIDPVRG-UHFFFAOYSA-N 0 1 319.449 3.010 20 30 DGEDMN C=CC(C)(C)C(=O)N1CCC(CC)(NCc2ncc(C)o2)CC1 ZINC001502503157 1185989130 /nfs/dbraw/zinc/98/91/30/1185989130.db2.gz GDVDTWOZBOQITB-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NCc2nc(C)sc2C)C1 ZINC001502738121 1186005507 /nfs/dbraw/zinc/00/55/07/1186005507.db2.gz JEBVFZZQNQFUOP-BLLLJJGKSA-N 0 1 321.490 3.053 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCN(Cc2cc(C)ns2)CC1(C)C ZINC001502820072 1186017348 /nfs/dbraw/zinc/01/73/48/1186017348.db2.gz GZSYVKWWJOOAKZ-HNNXBMFYSA-N 0 1 321.490 3.134 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CC#Cc2ccccc2)[C@@H](C)C1 ZINC001502972268 1186033412 /nfs/dbraw/zinc/03/34/12/1186033412.db2.gz YBCZSWIMRVBYRT-ICSRJNTNSA-N 0 1 324.468 3.364 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2cncs2)[C@@H](C)C1 ZINC001502986300 1186033793 /nfs/dbraw/zinc/03/37/93/1186033793.db2.gz KJPROGHZMUQWDN-UONOGXRCSA-N 0 1 321.490 3.215 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CC#Cc2ccccc2)[C@H](C)C1 ZINC001502972270 1186033973 /nfs/dbraw/zinc/03/39/73/1186033973.db2.gz YBCZSWIMRVBYRT-UYAOXDASSA-N 0 1 324.468 3.364 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@@H]1CNC(=O)/C=C/C(C)(C)C ZINC001503678131 1186050446 /nfs/dbraw/zinc/05/04/46/1186050446.db2.gz NFIUOVHGGGAOMS-BPOBUFBUSA-N 0 1 324.468 3.328 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)[C@H](C)C1CCC1 ZINC001503677886 1186050808 /nfs/dbraw/zinc/05/08/08/1186050808.db2.gz LIDWBACQYCUFCK-OXQOHEQNSA-N 0 1 324.468 3.162 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(Cl)ccc1C ZINC001505449897 1186084695 /nfs/dbraw/zinc/08/46/95/1186084695.db2.gz RDUZRDFTSLRTHD-INIZCTEOSA-N 0 1 318.848 3.208 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(CC(C)C)CCC1 ZINC001505652634 1186118716 /nfs/dbraw/zinc/11/87/16/1186118716.db2.gz LESHNUVTOIHKST-KBPBESRZSA-N 0 1 300.874 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc2ccccc2o1 ZINC001505746071 1186133594 /nfs/dbraw/zinc/13/35/94/1186133594.db2.gz PKYHZRHWTPXKDP-RYUDHWBXSA-N 0 1 306.793 3.282 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001505968975 1186172455 /nfs/dbraw/zinc/17/24/55/1186172455.db2.gz HADSOOBYVMJVEO-OAHLLOKOSA-N 0 1 306.494 3.299 20 30 DGEDMN CCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccc(F)c(C#N)c1 ZINC001505991581 1186176762 /nfs/dbraw/zinc/17/67/62/1186176762.db2.gz WCVZGTJDOOWRNT-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)Cc2cc(F)cc(F)c2)C1 ZINC001505996760 1186177507 /nfs/dbraw/zinc/17/75/07/1186177507.db2.gz MSRMXDWLWDJVCW-ZDUSSCGKSA-N 0 1 322.399 3.258 20 30 DGEDMN CCCC(=O)NC[C@H]1CCCCCN1Cc1ccccc1C#N ZINC001506560713 1186248648 /nfs/dbraw/zinc/24/86/48/1186248648.db2.gz BXOAELGAPGWCJQ-GOSISDBHSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCCCN1Cc1oc(C)nc1C ZINC001506567124 1186252400 /nfs/dbraw/zinc/25/24/00/1186252400.db2.gz XVTOPAVFRNESQD-MRXNPFEDSA-N 0 1 319.449 3.118 20 30 DGEDMN CC#CCN1CCCCC[C@H]1CNC(=O)C1(F)CCCCC1 ZINC001506579091 1186255572 /nfs/dbraw/zinc/25/55/72/1186255572.db2.gz LISGJSLMOWTMHW-INIZCTEOSA-N 0 1 308.441 3.043 20 30 DGEDMN CC#CCN1CC([C@@H](C)NC(=O)CC(C)(C)C2CCCCC2)C1 ZINC001506667313 1186271535 /nfs/dbraw/zinc/27/15/35/1186271535.db2.gz VZUKSDWZXIPQAO-MRXNPFEDSA-N 0 1 318.505 3.443 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCC(F)(F)F)CC1(C)C ZINC001506740571 1186284554 /nfs/dbraw/zinc/28/45/54/1186284554.db2.gz VYAMWLNZDZQKSW-GFCCVEGCSA-N 0 1 320.399 3.368 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CN(Cc2cccnc2)CC1(C)C ZINC001506746962 1186285450 /nfs/dbraw/zinc/28/54/50/1186285450.db2.gz BWUSYZZSYIYIBY-WBVHZDCISA-N 0 1 315.461 3.011 20 30 DGEDMN CC#CCN1C[C@@H](NC(=O)C/C=C/c2ccc(C)cc2)C(C)(C)C1 ZINC001506757675 1186288965 /nfs/dbraw/zinc/28/89/65/1186288965.db2.gz UCHLTGKGQCLYCA-IHHMQQGQSA-N 0 1 324.468 3.248 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)CCCC3CCCC3)C2)C1 ZINC001506867622 1186299895 /nfs/dbraw/zinc/29/98/95/1186299895.db2.gz ZAEXYSMOVKXGDN-UHFFFAOYSA-N 0 1 316.489 3.295 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](CNC/C(Cl)=C/Cl)C1 ZINC001506892061 1186305280 /nfs/dbraw/zinc/30/52/80/1186305280.db2.gz HDMWQGFITKKCLU-IKDFDVDSSA-N 0 1 319.276 3.392 20 30 DGEDMN CC(C)=CC(=O)N[C@@H](C)CCCNCc1cc(F)ccc1C#N ZINC001506912532 1186310181 /nfs/dbraw/zinc/31/01/81/1186310181.db2.gz YRDDGMDTFMBEEU-AWEZNQCLSA-N 0 1 317.408 3.038 20 30 DGEDMN C=CCC1(C(=O)N(C)C[C@@H](C)NCc2cnc(C)o2)CCCC1 ZINC001507164325 1186377071 /nfs/dbraw/zinc/37/70/71/1186377071.db2.gz LQEACCWGADJIQF-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2scnc2C)[C@H]1C ZINC001507374641 1186406971 /nfs/dbraw/zinc/40/69/71/1186406971.db2.gz KAWGKCWPYPWLRD-LSDHHAIUSA-N 0 1 321.490 3.277 20 30 DGEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](CC)CC(F)F)CC1(C)C ZINC001507434660 1186429815 /nfs/dbraw/zinc/42/98/15/1186429815.db2.gz BNCXFGTWPBOVST-VXGBXAGGSA-N 0 1 322.827 3.247 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001507900190 1186456332 /nfs/dbraw/zinc/45/63/32/1186456332.db2.gz BAXMNJBYSYVROB-QGZVFWFLSA-N 0 1 313.445 3.145 20 30 DGEDMN C/C=C(/C)C(=O)N[C@@H](CNCc1ccccc1C#N)C(C)(C)C ZINC001507908303 1186457491 /nfs/dbraw/zinc/45/74/91/1186457491.db2.gz UWDMZRKWKCJTNX-ZRBJYGNNSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C(C)CCC(=O)N(C)C1CC(NCc2ncoc2C(C)C)C1 ZINC001508110630 1186482248 /nfs/dbraw/zinc/48/22/48/1186482248.db2.gz HPEHBKGDPOAGHN-UHFFFAOYSA-N 0 1 319.449 3.233 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](c2ccccc2)[C@H](C)CC)CC1 ZINC001508231558 1186492114 /nfs/dbraw/zinc/49/21/14/1186492114.db2.gz OHXFPEKHUQMGMU-XLIONFOSSA-N 0 1 324.468 3.198 20 30 DGEDMN CN(C)[C@@H](CNc1cc(C#N)cc(Cl)n1)c1ccsc1 ZINC001515351658 1186755677 /nfs/dbraw/zinc/75/56/77/1186755677.db2.gz PFBCRXMERDUNAF-LBPRGKRZSA-N 0 1 306.822 3.383 20 30 DGEDMN CCN1CCN(c2cc(C(F)(F)F)c(F)cc2C#N)C[C@H]1C ZINC001612145571 1193447799 /nfs/dbraw/zinc/44/77/99/1193447799.db2.gz SWPPJMNCEWFFHU-SNVBAGLBSA-N 0 1 315.314 3.247 20 30 DGEDMN C=C(CC)C(=O)Nc1cccc(CN2CCSCC2)c1C ZINC001519251620 1186870866 /nfs/dbraw/zinc/87/08/66/1186870866.db2.gz STVHHLCGCYNXGX-UHFFFAOYSA-N 0 1 304.459 3.449 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@H]1C[N@H+](Cc2ccccc2)C[C@H]1C ZINC001521228045 1187005720 /nfs/dbraw/zinc/00/57/20/1187005720.db2.gz XALQHVXVXYSFBM-WBVHZDCISA-N 0 1 300.446 3.225 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1C[N@H+](Cc2ccccc2)C[C@@H]1C ZINC001521228043 1187006112 /nfs/dbraw/zinc/00/61/12/1187006112.db2.gz XALQHVXVXYSFBM-DOTOQJQBSA-N 0 1 300.446 3.225 20 30 DGEDMN C=C(CC)C(=O)NC[C@@H](c1cccc(C(F)(F)F)c1)N(C)C ZINC001521328774 1187015352 /nfs/dbraw/zinc/01/53/52/1187015352.db2.gz MAGWGYOBKDVKML-AWEZNQCLSA-N 0 1 314.351 3.391 20 30 DGEDMN N#CC(C(=O)c1cnc(-c2cccnc2)s1)c1cccc(F)n1 ZINC001524703141 1187262427 /nfs/dbraw/zinc/26/24/27/1187262427.db2.gz AQKLBMLRQIQLKK-LLVKDONJSA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@@H](C(=O)c1cnc(-c2cccnc2)s1)c1cccc(F)n1 ZINC001524703141 1187262433 /nfs/dbraw/zinc/26/24/33/1187262433.db2.gz AQKLBMLRQIQLKK-LLVKDONJSA-N 0 1 324.340 3.229 20 30 DGEDMN N#C[C@H]1CC[C@H](OC(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC001525006352 1187289925 /nfs/dbraw/zinc/28/99/25/1187289925.db2.gz DLZUXVMPORTELO-KBPBESRZSA-N 0 1 302.374 3.115 20 30 DGEDMN CCn1ccnc1C(C#N)C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC001526586981 1187376209 /nfs/dbraw/zinc/37/62/09/1187376209.db2.gz IFDQRWTZSKOGRE-IIDMSEBBSA-N 0 1 311.360 3.149 20 30 DGEDMN C=C[C@H](C)ONC(=O)Cc1csc(NC2(C)CCCC2)n1 ZINC001528517986 1187495044 /nfs/dbraw/zinc/49/50/44/1187495044.db2.gz QRAQTMPBNCISDG-NSHDSACASA-N 0 1 309.435 3.053 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3c(F)ccc(F)c3F)[nH]c2c1 ZINC001530626062 1187638203 /nfs/dbraw/zinc/63/82/03/1187638203.db2.gz KEOACHQUWOXDJB-UHFFFAOYSA-N 0 1 316.242 3.104 20 30 DGEDMN CCOCCOC1CCN(CC#Cc2cccc(Cl)c2)CC1 ZINC001615177588 1193517159 /nfs/dbraw/zinc/51/71/59/1193517159.db2.gz YTPPVJULFYNNEQ-UHFFFAOYSA-N 0 1 321.848 3.209 20 30 DGEDMN CC(C)(Oc1ccccc1)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001534067790 1187872918 /nfs/dbraw/zinc/87/29/18/1187872918.db2.gz HSJPPAPSMMJBBI-UHFFFAOYSA-N 0 1 320.352 3.231 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)c3cccc(Cl)c3F)[nH]c2c1 ZINC001534067835 1187873396 /nfs/dbraw/zinc/87/33/96/1187873396.db2.gz JVZWEXQEDYTJTK-UHFFFAOYSA-N 0 1 314.707 3.479 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)/C=C\COc3ccccc3)[nH]c2c1 ZINC001534357945 1187893416 /nfs/dbraw/zinc/89/34/16/1187893416.db2.gz KGWUBYPTOALDNG-DAXSKMNVSA-N 0 1 318.336 3.008 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3ccc4c(c3)CCC4)[nH]c2c1 ZINC001534359439 1187893697 /nfs/dbraw/zinc/89/36/97/1187893697.db2.gz ZZZCXPDEPUXKPH-UHFFFAOYSA-N 0 1 316.364 3.104 20 30 DGEDMN Cc1nc(C(=O)Nc2nc3ccc(Cl)cc3[nH]2)ccc1C#N ZINC001537159225 1188055145 /nfs/dbraw/zinc/05/51/45/1188055145.db2.gz DHXWSBQCBCEOOS-UHFFFAOYSA-N 0 1 311.732 3.044 20 30 DGEDMN C=C(C)CCC(=O)Nc1nc2cccc(C(=O)OCC)c2[nH]1 ZINC001539863963 1188207556 /nfs/dbraw/zinc/20/75/56/1188207556.db2.gz YBDAECFRIKLNAK-UHFFFAOYSA-N 0 1 301.346 3.034 20 30 DGEDMN C=CCN(Cc1ccccc1)C(=O)CN(C)CCc1ccccc1 ZINC001540631545 1188250096 /nfs/dbraw/zinc/25/00/96/1188250096.db2.gz GWHJBZVLVCTLRU-UHFFFAOYSA-N 0 1 322.452 3.376 20 30 DGEDMN N#Cc1ccc2nc(NC(=O)Cc3cc4ccccc4o3)[nH]c2c1 ZINC001543404244 1188331211 /nfs/dbraw/zinc/33/12/11/1188331211.db2.gz QKQMIGMYECTNPG-UHFFFAOYSA-N 0 1 316.320 3.362 20 30 DGEDMN CCOc1ccc(C#N)cc1NC(=O)c1ccc(F)c(O)c1 ZINC001543752362 1188361917 /nfs/dbraw/zinc/36/19/17/1188361917.db2.gz FUWSAERRCOQCAH-UHFFFAOYSA-N 0 1 300.289 3.054 20 30 DGEDMN C=CC[C@H]1[N@H+](CC(=O)[N-]OCc2ccccc2)CCCC1(C)C ZINC001617604250 1193574844 /nfs/dbraw/zinc/57/48/44/1193574844.db2.gz PTOGGFCLULSFFA-QGZVFWFLSA-N 0 1 316.445 3.301 20 30 DGEDMN N#CCOc1ccc(NC(=O)c2ncc3ccccc3c2O)cc1 ZINC001617990674 1193587959 /nfs/dbraw/zinc/58/79/59/1193587959.db2.gz UZUDLBYWKBCKRH-UHFFFAOYSA-N 0 1 319.320 3.095 20 30 DGEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C)C1CC1 ZINC001566712813 1188951218 /nfs/dbraw/zinc/95/12/18/1188951218.db2.gz RENJMDYHZFXTTD-ZNMIVQPWSA-N 0 1 312.885 3.296 20 30 DGEDMN C#CCOc1ccccc1NC(=O)c1ncc2ccccc2c1O ZINC001556242580 1188991659 /nfs/dbraw/zinc/99/16/59/1188991659.db2.gz MRQTZPUIONXOBW-UHFFFAOYSA-N 0 1 318.332 3.205 20 30 DGEDMN N#CCC(=O)Nc1ccc2nc(Cc3ccc(F)cc3)[nH]c2c1 ZINC001556684363 1189013010 /nfs/dbraw/zinc/01/30/10/1189013010.db2.gz LBFWKUSBKWXJLK-UHFFFAOYSA-N 0 1 308.316 3.145 20 30 DGEDMN Cc1cc(F)c(C#N)cc1NC(=O)[C@H](C(C)C)N1CCCCC1 ZINC001558214222 1189111134 /nfs/dbraw/zinc/11/11/34/1189111134.db2.gz KISPQHIVCJPJNT-KRWDZBQOSA-N 0 1 317.408 3.455 20 30 DGEDMN N#Cc1cc(Sc2nc(-c3ccc4c(c3)CCC4)n[nH]2)ccn1 ZINC001559119115 1189172668 /nfs/dbraw/zinc/17/26/68/1189172668.db2.gz SEUFCRGZODJXHW-UHFFFAOYSA-N 0 1 319.393 3.378 20 30 DGEDMN C=CCCCSc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC001559391697 1189201096 /nfs/dbraw/zinc/20/10/96/1189201096.db2.gz JTHGLFFSJXXHFD-UHFFFAOYSA-N 0 1 303.387 3.301 20 30 DGEDMN CCC#C[C@H](C)N1CCCN(C(=O)c2cccc(Cl)c2)CC1 ZINC001559496921 1189210673 /nfs/dbraw/zinc/21/06/73/1189210673.db2.gz AFJXDXVVGMGSQO-HNNXBMFYSA-N 0 1 318.848 3.290 20 30 DGEDMN C=CC[C@H](C(=O)OC)N1CCC(Cc2cccc(F)c2F)CC1 ZINC001559903554 1189255778 /nfs/dbraw/zinc/25/57/78/1189255778.db2.gz DBKVGPOGXXNIHM-MRXNPFEDSA-N 0 1 323.383 3.337 20 30 DGEDMN Fc1ccc(C#CCN2CC[C@H](Oc3ccc(F)cc3)C2)cc1 ZINC001560122031 1189281064 /nfs/dbraw/zinc/28/10/64/1189281064.db2.gz NKYDSSMFWVOSMZ-IBGZPJMESA-N 0 1 313.347 3.470 20 30 DGEDMN C[C@H](c1ccc(C#N)cc1)N1CCC(CN=S(C)(C)=O)CC1 ZINC001560157596 1189285315 /nfs/dbraw/zinc/28/53/15/1189285315.db2.gz HHQNRPMUWBWZMX-CQSZACIVSA-N 0 1 319.474 3.059 20 30 DGEDMN C=CCOc1ccccc1CNCc1c(C)nc2ccccn21 ZINC001560337454 1189312999 /nfs/dbraw/zinc/31/29/99/1189312999.db2.gz JDCPMDNOIIFFQD-UHFFFAOYSA-N 0 1 307.397 3.497 20 30 DGEDMN C#CCOc1ccc(CNCc2ccc(C(F)F)nc2)cc1 ZINC001560477499 1189332012 /nfs/dbraw/zinc/33/20/12/1189332012.db2.gz NWMYBFXVSQTDRO-UHFFFAOYSA-N 0 1 302.324 3.321 20 30 DGEDMN COC(=O)c1ccc(CNCc2ccc(C)c(C#N)c2)c(F)c1 ZINC001560585783 1189344756 /nfs/dbraw/zinc/34/47/56/1189344756.db2.gz LCWFNVZPNXVEAH-UHFFFAOYSA-N 0 1 312.344 3.082 20 30 DGEDMN CCN(C[C@@H](C)C#N)CC(C)(C)c1ccc(OC)c(OC)c1 ZINC001560693373 1189361980 /nfs/dbraw/zinc/36/19/80/1189361980.db2.gz GIGRUGVFQWBYAD-AWEZNQCLSA-N 0 1 304.434 3.463 20 30 DGEDMN FC1(F)Oc2ccc(CNCC#Cc3ccccc3)cc2O1 ZINC001560900117 1189402098 /nfs/dbraw/zinc/40/20/98/1189402098.db2.gz LOLOFDFIGZVMRD-UHFFFAOYSA-N 0 1 301.292 3.149 20 30 DGEDMN CN(C)CC#CCNC(=O)Nc1ccc(SC(C)(C)C)cc1 ZINC001562310952 1189479326 /nfs/dbraw/zinc/47/93/26/1189479326.db2.gz KXWNYDTYALQXLO-UHFFFAOYSA-N 0 1 319.474 3.264 20 30 DGEDMN CC(C)CCN(CCC#N)C(=O)c1c2[nH]cnc2ccc1F ZINC001565638643 1189660556 /nfs/dbraw/zinc/66/05/56/1189660556.db2.gz GQLPHANUKYIZBK-UHFFFAOYSA-N 0 1 302.353 3.104 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1[C@H](C)c1ccccc1 ZINC001565822889 1189677527 /nfs/dbraw/zinc/67/75/27/1189677527.db2.gz OCKHNBVHJWTHNH-NVXWUHKLSA-N 0 1 300.446 3.493 20 30 DGEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC(C1CCC1)C1CCC1 ZINC001565825953 1189679144 /nfs/dbraw/zinc/67/91/44/1189679144.db2.gz CDCFQXKXLLITLT-GOSISDBHSA-N 0 1 316.489 3.149 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1ocnc1C ZINC001565966786 1189708068 /nfs/dbraw/zinc/70/80/68/1189708068.db2.gz XLEXFLHBHCAWNO-ZBFHGGJFSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CCC(F)F ZINC001565969127 1189709023 /nfs/dbraw/zinc/70/90/23/1189709023.db2.gz KUSBUBLATSRIEF-PWSUYJOCSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C(Cl)CN1CC[C@H](NC(=O)[C@H](C)CC)CC(C)(C)C1 ZINC001566128425 1189743984 /nfs/dbraw/zinc/74/39/84/1189743984.db2.gz SMXUCAWLNBYTNV-OCCSQVGLSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2cncc(C)c2)CC1 ZINC001566611217 1189821534 /nfs/dbraw/zinc/82/15/34/1189821534.db2.gz AGJYXGOKGRBBKN-UHFFFAOYSA-N 0 1 315.461 3.169 20 30 DGEDMN C=CCC[C@@H](NC(=O)c1cncc2nc[nH]c21)c1ccccc1 ZINC001566621673 1189830838 /nfs/dbraw/zinc/83/08/38/1189830838.db2.gz YRMGMQXFLUWUCZ-OAHLLOKOSA-N 0 1 306.369 3.395 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC001566664464 1189847265 /nfs/dbraw/zinc/84/72/65/1189847265.db2.gz OKKDKFCKSSVPEJ-SJLPKXTDSA-N 0 1 316.420 3.360 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2CCN(Cc3csc(C)c3)C2)CCC1 ZINC001566671838 1189852006 /nfs/dbraw/zinc/85/20/06/1189852006.db2.gz BXLANLOJHZABAP-INIZCTEOSA-N 0 1 318.486 3.493 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)C[C@@H](C)CC(C)C)CC1 ZINC001566699304 1189864753 /nfs/dbraw/zinc/86/47/53/1189864753.db2.gz HCFGIFNHQVQZHK-KRWDZBQOSA-N 0 1 306.494 3.395 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCCN(C(=O)C[C@@H](C)SC)C1 ZINC001566736510 1189882298 /nfs/dbraw/zinc/88/22/98/1189882298.db2.gz VLUKDDIRANLFPY-ZIAGYGMSSA-N 0 1 318.914 3.051 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1sc(C)nc1C ZINC001566756561 1189891918 /nfs/dbraw/zinc/89/19/18/1189891918.db2.gz RAHYCGTUESFNFM-GASCZTMLSA-N 0 1 319.474 3.015 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NCc2csc(C3CC3)n2)C1 ZINC001566792576 1189903851 /nfs/dbraw/zinc/90/38/51/1189903851.db2.gz QAKHTTZXZJKIGM-HDJSIYSDSA-N 0 1 319.474 3.114 20 30 DGEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)c1cc(C)cc(F)c1 ZINC001566947729 1189963409 /nfs/dbraw/zinc/96/34/09/1189963409.db2.gz FVVMEOPVIUGVRA-MRXNPFEDSA-N 0 1 324.827 3.471 20 30 DGEDMN C=C(C)CCC(=O)NC1CCC(NCc2ncc(CC)o2)CC1 ZINC001566983846 1189980587 /nfs/dbraw/zinc/98/05/87/1189980587.db2.gz BUWATXHXWCHVMI-UHFFFAOYSA-N 0 1 319.449 3.110 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccccc1Cl ZINC001567004458 1189990313 /nfs/dbraw/zinc/99/03/13/1189990313.db2.gz LZNSYENCGWJSLI-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc2cc(C)ccc2n1 ZINC001567033225 1190001849 /nfs/dbraw/zinc/00/18/49/1190001849.db2.gz PHNOPUDNIGNBPZ-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN CC(C)C[C@H](C)CC(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001567070454 1190018563 /nfs/dbraw/zinc/01/85/63/1190018563.db2.gz XOPKBKYELCDCAZ-HOTGVXAUSA-N 0 1 315.461 3.225 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc2c(c1)CCC=C2 ZINC001567071103 1190020252 /nfs/dbraw/zinc/02/02/52/1190020252.db2.gz JSQFMCFMYSCKRO-CYBMUJFWSA-N 0 1 304.821 3.106 20 30 DGEDMN Cc1ccc(C(=O)Nc2cc(C#N)ccc2O)c(N)c1Cl ZINC001567073514 1190021044 /nfs/dbraw/zinc/02/10/44/1190021044.db2.gz WDTPEXMCTBUFOY-UHFFFAOYSA-N 0 1 301.733 3.060 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](C)NCc2cncs2)CCCCC1 ZINC001567079406 1190024940 /nfs/dbraw/zinc/02/49/40/1190024940.db2.gz ARJCRAQOENDSJU-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](CC)C(C)(C)C)C1 ZINC001567105976 1190032307 /nfs/dbraw/zinc/03/23/07/1190032307.db2.gz HIFDBPCPMUIKTC-KBPBESRZSA-N 0 1 300.874 3.392 20 30 DGEDMN Cc1cccc(C)c1C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001567305469 1190121902 /nfs/dbraw/zinc/12/19/02/1190121902.db2.gz BVBCSGYUPGWSSZ-UHFFFAOYSA-N 0 1 321.424 3.037 20 30 DGEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@@H](C)c1cccc(F)c1 ZINC001567366948 1190155453 /nfs/dbraw/zinc/15/54/53/1190155453.db2.gz SCMZGHKZQQQZQM-WMLDXEAASA-N 0 1 304.409 3.434 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCCN1Cc1ccsc1 ZINC001567373438 1190158032 /nfs/dbraw/zinc/15/80/32/1190158032.db2.gz IAWJZPYXYQZWGZ-HOCLYGCPSA-N 0 1 306.475 3.431 20 30 DGEDMN CC#CCN(CCNC(=O)C/C=C/c1ccc(C)cc1)C1CC1 ZINC001567456070 1190204447 /nfs/dbraw/zinc/20/44/47/1190204447.db2.gz RJEDUZBOKOIPQM-AATRIKPKSA-N 0 1 310.441 3.002 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1sccc1Cl)c1ccccc1 ZINC001567660141 1190240435 /nfs/dbraw/zinc/24/04/35/1190240435.db2.gz UJPVPTMWGYMHPC-CQSZACIVSA-N 0 1 318.829 3.095 20 30 DGEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)C(CC)CC)c1ccccc1 ZINC001567660657 1190240800 /nfs/dbraw/zinc/24/08/00/1190240800.db2.gz GIPAHZVFFHXAJE-YJBOKZPZSA-N 0 1 300.446 3.139 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc[nH]c2CCC)C1 ZINC001567687188 1190254168 /nfs/dbraw/zinc/25/41/68/1190254168.db2.gz VHGQIDOZFAPCBD-HNNXBMFYSA-N 0 1 303.450 3.080 20 30 DGEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)C2CCCC2)C1 ZINC001567689724 1190255259 /nfs/dbraw/zinc/25/52/59/1190255259.db2.gz WYBWLGNTRYEDEX-AEFFLSMTSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cc(C)ccc2F)C1 ZINC001567737825 1190279533 /nfs/dbraw/zinc/27/95/33/1190279533.db2.gz IKVCWMTXQNXQCU-CQSZACIVSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cc(C)ccc2F)C1 ZINC001567737824 1190280360 /nfs/dbraw/zinc/28/03/60/1190280360.db2.gz IKVCWMTXQNXQCU-AWEZNQCLSA-N 0 1 324.827 3.281 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)C2CCCC2)C1 ZINC001567742918 1190282245 /nfs/dbraw/zinc/28/22/45/1190282245.db2.gz SNUFSLDLFPWAOY-CABCVRRESA-N 0 1 312.885 3.346 20 30 DGEDMN CC#CCN1CCC[C@@H](N(Cc2ccccc2)C(=O)/C(C)=C\C)C1 ZINC001567745133 1190284005 /nfs/dbraw/zinc/28/40/05/1190284005.db2.gz KPUKYUBTOWAFPG-ZYCWUHPBSA-N 0 1 324.468 3.469 20 30 DGEDMN CC[C@@H](CNC(=O)c1cccc(C)c1)NCc1ccccc1C#N ZINC001567949026 1190372072 /nfs/dbraw/zinc/37/20/72/1190372072.db2.gz RQLSAHIFBZNSNT-IBGZPJMESA-N 0 1 321.424 3.165 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1ccc(Cl)cc1F ZINC001567967998 1190380363 /nfs/dbraw/zinc/38/03/63/1190380363.db2.gz SJARHJPNPQBLDP-NSHDSACASA-N 0 1 319.207 3.330 20 30 DGEDMN C=CCC1(C(=O)NC[C@H](CC)NCc2c(C)noc2C)CCC1 ZINC001567975209 1190383291 /nfs/dbraw/zinc/38/32/91/1190383291.db2.gz LZQDUADHBSNVKZ-HNNXBMFYSA-N 0 1 319.449 3.022 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001567978632 1190385469 /nfs/dbraw/zinc/38/54/69/1190385469.db2.gz WLHQBVPPCBXJPT-ILXRZTDVSA-N 0 1 312.885 3.440 20 30 DGEDMN C#CCCCC(=O)NC1(CNC/C(Cl)=C\Cl)CCCC1 ZINC001567997813 1190393511 /nfs/dbraw/zinc/39/35/11/1190393511.db2.gz QLAGTZDMUZMPHI-JLHYYAGUSA-N 0 1 317.260 3.128 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CC[C@H](NCc2ncc(CC)o2)CC1 ZINC001568105186 1190422013 /nfs/dbraw/zinc/42/20/13/1190422013.db2.gz BUWATXHXWCHVMI-SHTZXODSSA-N 0 1 319.449 3.110 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)[C@@H]2C[C@@H]2C(C)C)cc1 ZINC001568120946 1190427685 /nfs/dbraw/zinc/42/76/85/1190427685.db2.gz ICMQWXGYZAKGLA-IAGOWNOFSA-N 0 1 320.864 3.437 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@H](C)CCC)CC1 ZINC001568123751 1190428439 /nfs/dbraw/zinc/42/84/39/1190428439.db2.gz JOCNHRDCHSPFOD-CYBMUJFWSA-N 0 1 300.874 3.393 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](C)CNCc1cc(OC)ccc1Cl ZINC001568258728 1190465718 /nfs/dbraw/zinc/46/57/18/1190465718.db2.gz AUMJHVLRVISAHX-ZDUSSCGKSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCCCC1(F)F ZINC001568289072 1190473755 /nfs/dbraw/zinc/47/37/55/1190473755.db2.gz RECVZSPGQKDEGT-VXGBXAGGSA-N 0 1 308.800 3.001 20 30 DGEDMN C=C[C@H](CC)CC(=O)N(C)[C@H](C)CNCc1ccncc1Cl ZINC001568293816 1190476240 /nfs/dbraw/zinc/47/62/40/1190476240.db2.gz PPZNSXSFWIUAIQ-ZIAGYGMSSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1ccc(Cl)s1 ZINC001568304151 1190480376 /nfs/dbraw/zinc/48/03/76/1190480376.db2.gz HYYCKYZSTLYRMU-SNVBAGLBSA-N 0 1 321.273 3.133 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](NCc1ccccc1C#N)C(C)C ZINC001568443705 1190523170 /nfs/dbraw/zinc/52/31/70/1190523170.db2.gz HGNCUKYHZZUSMQ-ODZYNRFZSA-N 0 1 313.445 3.145 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1ncc(C)s1)C(C)C ZINC001568460271 1190528948 /nfs/dbraw/zinc/52/89/48/1190528948.db2.gz GJDIPZOBKWRCBV-WMLDXEAASA-N 0 1 323.506 3.284 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)[C@H](C)C(C)(C)C ZINC001568753301 1190585595 /nfs/dbraw/zinc/58/55/95/1190585595.db2.gz CFAQAYDYHHDNPW-KRWDZBQOSA-N 0 1 314.473 3.158 20 30 DGEDMN O=C(C=C1CCCCC1)NC/C=C/CNCC#Cc1ccccc1 ZINC001568824046 1190592142 /nfs/dbraw/zinc/59/21/42/1190592142.db2.gz VNCIQLYPLGYBQD-BQYQJAHWSA-N 0 1 322.452 3.191 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)CN[C@@H](C)c2cc(F)ccc2F)C1 ZINC001569017356 1190608970 /nfs/dbraw/zinc/60/89/70/1190608970.db2.gz IZXAYLSESSFPHR-OLZOCXBDSA-N 0 1 322.399 3.476 20 30 DGEDMN CCC(CC)CC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001569027864 1190610448 /nfs/dbraw/zinc/61/04/48/1190610448.db2.gz XUBXZNXMUJCEAV-CYBMUJFWSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1CCCCC1(C)C ZINC001569039947 1190612384 /nfs/dbraw/zinc/61/23/84/1190612384.db2.gz FZRIHAZXULXDBJ-KGLIPLIRSA-N 0 1 300.874 3.440 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1cccc(Cl)c1 ZINC001569071853 1190616160 /nfs/dbraw/zinc/61/61/60/1190616160.db2.gz BSGWXLROWVCVIH-LBPRGKRZSA-N 0 1 315.244 3.119 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(c2ccccc2F)CCC1 ZINC001569090243 1190619879 /nfs/dbraw/zinc/61/98/79/1190619879.db2.gz BLBKXJCRCJVEIN-ZDUSSCGKSA-N 0 1 324.827 3.094 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(F)ccc1CC)C1CC1 ZINC001569310100 1190663392 /nfs/dbraw/zinc/66/33/92/1190663392.db2.gz UYQVOBHMWVSXSF-MRXNPFEDSA-N 0 1 324.827 3.239 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)c1c(C)cccc1C)C1CC1 ZINC001569320232 1190665612 /nfs/dbraw/zinc/66/56/12/1190665612.db2.gz OLUOMRNIYULUSP-HNNXBMFYSA-N 0 1 306.837 3.154 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1Cc1cccc2cccnc21 ZINC001569360197 1190675891 /nfs/dbraw/zinc/67/58/91/1190675891.db2.gz VFNMDVRMJXLYGA-SFHVURJKSA-N 0 1 323.440 3.282 20 30 DGEDMN C=CCC[C@@H](C)[N@H+]1CC[C@@H]1CNC(=O)c1cc(C)c(F)cc1F ZINC001569362614 1190676557 /nfs/dbraw/zinc/67/65/57/1190676557.db2.gz DRGWIJWPPJAQAD-ZIAGYGMSSA-N 0 1 322.399 3.432 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1Cc1cccc(CC)c1 ZINC001569367719 1190679069 /nfs/dbraw/zinc/67/90/69/1190679069.db2.gz MQWURXDGHOBYGH-KRWDZBQOSA-N 0 1 300.446 3.152 20 30 DGEDMN C=CCCCN1CC[C@H]1CNC(=O)c1cc(C)c(CC)s1 ZINC001569384474 1190687445 /nfs/dbraw/zinc/68/74/45/1190687445.db2.gz LEHUSAUZPRSCOD-AWEZNQCLSA-N 0 1 306.475 3.389 20 30 DGEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](CC)c1ccc(F)cc1 ZINC001569385812 1190688754 /nfs/dbraw/zinc/68/87/54/1190688754.db2.gz GAGJPMQAZUECHT-DLBZAZTESA-N 0 1 304.409 3.086 20 30 DGEDMN C#CCCCC(=O)NCC1(N[C@H](C)c2ccccc2Cl)CC1 ZINC001569397766 1190691043 /nfs/dbraw/zinc/69/10/43/1190691043.db2.gz GEKQECFXNGTQEU-CQSZACIVSA-N 0 1 318.848 3.443 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cc(F)cc(F)c2)CC1 ZINC001569415740 1190693847 /nfs/dbraw/zinc/69/38/47/1190693847.db2.gz PKTNQFKUPMKZBO-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2ccc(F)c(F)c2)CC1 ZINC001569414898 1190694205 /nfs/dbraw/zinc/69/42/05/1190694205.db2.gz URJZMXQGPCRFCU-ZDUSSCGKSA-N 0 1 322.399 3.306 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)C1 ZINC001569494849 1190707715 /nfs/dbraw/zinc/70/77/15/1190707715.db2.gz JWMBZIXAYIJTRO-IAGOWNOFSA-N 0 1 304.478 3.334 20 30 DGEDMN CC(C)C#CC(=O)N[C@]1(C)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC001569637135 1190729419 /nfs/dbraw/zinc/72/94/19/1190729419.db2.gz GKWAYQGDURKQCT-DNVCBOLYSA-N 0 1 316.420 3.127 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@]1(C)CCN(Cc2cncc(C)c2)C1 ZINC001569646456 1190731373 /nfs/dbraw/zinc/73/13/73/1190731373.db2.gz KQJDFFXPCIBIQW-LPHOPBHVSA-N 0 1 315.461 3.073 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H](C)CCC=C(C)C)C1 ZINC001569647821 1190732904 /nfs/dbraw/zinc/73/29/04/1190732904.db2.gz NLXHLSFRJFLLEV-HKUYNNGSSA-N 0 1 304.478 3.363 20 30 DGEDMN C=CCCCC(=O)NC[C@@]1(C)CCCN(Cc2ocnc2C)C1 ZINC001569719397 1190748639 /nfs/dbraw/zinc/74/86/39/1190748639.db2.gz LGPNMVPBCQZYRH-GOSISDBHSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cccc(C)c2Cl)C1 ZINC001569722566 1190749722 /nfs/dbraw/zinc/74/97/22/1190749722.db2.gz LLTMRFGEIDYUAF-GOSISDBHSA-N 0 1 318.848 3.114 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H]([C@H](C)NCc2ncc(C(C)C)o2)C1 ZINC001569807243 1190757553 /nfs/dbraw/zinc/75/75/53/1190757553.db2.gz VIRBGYYBBIAEFY-LSDHHAIUSA-N 0 1 319.449 3.091 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H](CCC)C(C)C ZINC001570028320 1190783782 /nfs/dbraw/zinc/78/37/82/1190783782.db2.gz RBHMBFWKTJETEV-LJQANCHMSA-N 0 1 314.473 3.440 20 30 DGEDMN C=C(Cl)CN[C@@H](C)c1ccc(CNC(=O)[C@@H](CC)OC)cc1 ZINC001570029652 1190784254 /nfs/dbraw/zinc/78/42/54/1190784254.db2.gz FQOHRTHCQGCYJV-XJKSGUPXSA-N 0 1 324.852 3.131 20 30 DGEDMN C#CCCCC(=O)NC[C@H]1Cc2ccccc2CN1CC=C(C)C ZINC001570269108 1190833070 /nfs/dbraw/zinc/83/30/70/1190833070.db2.gz ODUBGXXRHZOSPP-HXUWFJFHSA-N 0 1 324.468 3.299 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CCC3CCC3)cc2C1 ZINC001570311267 1190837539 /nfs/dbraw/zinc/83/75/39/1190837539.db2.gz RXJDYUCUTCAENX-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)/C=C\C(C)(C)C)cc2C1 ZINC001570311483 1190838524 /nfs/dbraw/zinc/83/85/24/1190838524.db2.gz XYKDBSFRWXRDOL-KTKRTIGZSA-N 0 1 310.441 3.244 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CCC(C)(NCc2coc(C)n2)CC1 ZINC001570379751 1190847715 /nfs/dbraw/zinc/84/77/15/1190847715.db2.gz ZPRQLBVXSCNIFV-OAHLLOKOSA-N 0 1 319.449 3.056 20 30 DGEDMN C/C=C(\C)C(=O)N[C@H]1CCN(CC#Cc2ccccc2)CC1(C)C ZINC001570391304 1190850645 /nfs/dbraw/zinc/85/06/45/1190850645.db2.gz JYCMIMQWDOZURK-ZHDLZBQGSA-N 0 1 324.468 3.221 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC001570437948 1190858736 /nfs/dbraw/zinc/85/87/36/1190858736.db2.gz TYJLPMMPUMKFCK-HZPDHXFCSA-N 0 1 315.461 3.153 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C[C@H](C)C1CC1 ZINC001570478338 1190867959 /nfs/dbraw/zinc/86/79/59/1190867959.db2.gz NUAMRFLSACMQTI-OXJNMPFZSA-N 0 1 324.468 3.162 20 30 DGEDMN C=CCCC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC[C@H](F)CC ZINC001570519108 1190873950 /nfs/dbraw/zinc/87/39/50/1190873950.db2.gz BJHLKWQQIFPPGU-QGPMSJSTSA-N 0 1 304.409 3.073 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N1CCC[C@H]1CN(C)CCC(F)(F)F ZINC001570539246 1190880498 /nfs/dbraw/zinc/88/04/98/1190880498.db2.gz ZBOMKZPESOHHHO-KBPBESRZSA-N 0 1 320.399 3.464 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001570543067 1190882170 /nfs/dbraw/zinc/88/21/70/1190882170.db2.gz BLZCGQJSZUKAFJ-BZSNNMDCSA-N 0 1 304.478 3.149 20 30 DGEDMN CC/C(C)=C\C(=O)N[C@H](C)[C@H](C)NCc1cc(C#N)ccc1F ZINC001570600842 1190898410 /nfs/dbraw/zinc/89/84/10/1190898410.db2.gz DIWYNUXDQAJLPY-MIWOTCCTSA-N 0 1 317.408 3.036 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cc1cccc(CC)c1 ZINC001570614422 1190902074 /nfs/dbraw/zinc/90/20/74/1190902074.db2.gz NYUQZWADUFBTGY-KBPBESRZSA-N 0 1 308.853 3.027 20 30 DGEDMN CC#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1F ZINC001570628841 1190905214 /nfs/dbraw/zinc/90/52/14/1190905214.db2.gz XAEPBICMHJXGGN-KBPBESRZSA-N 0 1 322.399 3.141 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc2c(c1)CCC2 ZINC001570650033 1190910338 /nfs/dbraw/zinc/91/03/38/1190910338.db2.gz ITEVYWDXJDKYDU-QWHCGFSZSA-N 0 1 306.837 3.024 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@H](CC)c1ccccc1 ZINC001570745551 1190940671 /nfs/dbraw/zinc/94/06/71/1190940671.db2.gz JBHABAHTWGLUTN-IRXDYDNUSA-N 0 1 300.446 3.030 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(-c2ccccc2)cc1 ZINC001570748750 1190941878 /nfs/dbraw/zinc/94/18/78/1190941878.db2.gz FUBPSLBHAAVVGV-QGZVFWFLSA-N 0 1 320.436 3.427 20 30 DGEDMN C=CCCCC(=O)NC1(CNCc2cnc(C)o2)CCCCC1 ZINC001570801065 1190951807 /nfs/dbraw/zinc/95/18/07/1190951807.db2.gz GXMPQTJROOXDNT-UHFFFAOYSA-N 0 1 319.449 3.248 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)C1C=CC=CC=C1 ZINC001570809975 1190953468 /nfs/dbraw/zinc/95/34/68/1190953468.db2.gz GCOZEURXVHTLOO-MRXNPFEDSA-N 0 1 308.853 3.302 20 30 DGEDMN C=C(Cl)CNC[C@@H](CCCC)NC(=O)c1cc(C)cc(C)n1 ZINC001570810763 1190953653 /nfs/dbraw/zinc/95/36/53/1190953653.db2.gz WIZJLIYIMOOARP-OAHLLOKOSA-N 0 1 323.868 3.329 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001570852093 1190965671 /nfs/dbraw/zinc/96/56/71/1190965671.db2.gz NYIKNDKGNGXPFG-STQMWFEESA-N 0 1 306.837 3.066 20 30 DGEDMN C/C=C(/C)C(=O)N1CC[C@]2(C1)CN(CCCCCCC)CCO2 ZINC001570882174 1190969219 /nfs/dbraw/zinc/96/92/19/1190969219.db2.gz NYAPFARPKSSANJ-QJVFRXGESA-N 0 1 322.493 3.226 20 30 DGEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CCCC3CCCC3)[C@@H]2C1 ZINC001570965937 1190978118 /nfs/dbraw/zinc/97/81/18/1190978118.db2.gz QHNSRBGMPGIATL-OSYLJGHBSA-N 0 1 324.896 3.393 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](CC)CCCC)C2)C1 ZINC001571039600 1190980647 /nfs/dbraw/zinc/98/06/47/1190980647.db2.gz UYMZPUQIWRBFMI-KRWDZBQOSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C)=C1CCCC1 ZINC001571359151 1191001620 /nfs/dbraw/zinc/00/16/20/1191001620.db2.gz UBXNGBPYKYNADK-INIZCTEOSA-N 0 1 310.869 3.456 20 30 DGEDMN C#CCCCC(=O)N(C)C[C@@H](C)N[C@H](C)c1c(F)cccc1F ZINC001571384268 1191009458 /nfs/dbraw/zinc/00/94/58/1191009458.db2.gz LNIFNYZHXQIIOX-ZIAGYGMSSA-N 0 1 322.399 3.266 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)Cc1ccccc1 ZINC001571418398 1191020795 /nfs/dbraw/zinc/02/07/95/1191020795.db2.gz WTVUZTURVADWTP-ZFWWWQNUSA-N 0 1 308.853 3.054 20 30 DGEDMN C#CCC1(C(=O)N(C)C[C@@H](C)NCC(=C)Cl)CCCCC1 ZINC001571419658 1191021307 /nfs/dbraw/zinc/02/13/07/1191021307.db2.gz VNZWJXYOLGDQGS-OAHLLOKOSA-N 0 1 310.869 3.149 20 30 DGEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CC(C)(C)CC(F)F)C1 ZINC001571482668 1191027819 /nfs/dbraw/zinc/02/78/19/1191027819.db2.gz GYTJYMOGTJVRIN-RYUDHWBXSA-N 0 1 322.827 3.389 20 30 DGEDMN CC(C)CC(=O)N[C@H]1CCCN(Cc2ccc(C#N)cc2)[C@@H]1C ZINC001571513026 1191037681 /nfs/dbraw/zinc/03/76/81/1191037681.db2.gz NKVGXPXJEMORTJ-QAPCUYQASA-N 0 1 313.445 3.073 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(Cc2cncc(C)c2)[C@H]1C ZINC001571519029 1191040703 /nfs/dbraw/zinc/04/07/03/1191040703.db2.gz NFTQJVOLAKQFJE-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)Cc2ccccc2)[C@H]1C ZINC001571525370 1191044033 /nfs/dbraw/zinc/04/40/33/1191044033.db2.gz RECAFRRAEXHMTH-SJLPKXTDSA-N 0 1 314.473 3.410 20 30 DGEDMN CC#CCN(C)CCN(C(=O)c1ccsc1Cl)C(C)C ZINC001571615013 1191061586 /nfs/dbraw/zinc/06/15/86/1191061586.db2.gz CFUJJRQZLXXMEK-UHFFFAOYSA-N 0 1 312.866 3.207 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)C2(CC)CCCCC2)CC1 ZINC001571700601 1191085560 /nfs/dbraw/zinc/08/55/60/1191085560.db2.gz IMAPUNSHYSKBJM-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CC#CC[C@@H](N)C(=O)Nc1ccc(N2CCCC[C@H]2C)cc1C ZINC001574970454 1191461308 /nfs/dbraw/zinc/46/13/08/1191461308.db2.gz WDNCVHQIKRKVAP-NVXWUHKLSA-N 0 1 313.445 3.053 20 30 DGEDMN C=CC[C@@H]1N(C(=O)N[C@@H](CC)c2c[nH]nn2)CCCC1(C)C ZINC001575085525 1191465382 /nfs/dbraw/zinc/46/53/82/1191465382.db2.gz NCGPSJINAJRIEE-JSGCOSHPSA-N 0 1 305.426 3.032 20 30 DGEDMN CC(C)C[C@@H]([NH3+])CC(=O)N([O-])Cc1cccc2ccccc21 ZINC001575626684 1191498071 /nfs/dbraw/zinc/49/80/71/1191498071.db2.gz OCBPXIUIBKSDNZ-MRXNPFEDSA-N 0 1 300.402 3.321 20 30 DGEDMN CCCCCCCCNC(=O)N1CCC[C@@H](Cc2c[nH]nn2)C1 ZINC001576395902 1191586273 /nfs/dbraw/zinc/58/62/73/1191586273.db2.gz SZNQEWYPFDXWKW-HNNXBMFYSA-N 0 1 321.469 3.129 20 30 DGEDMN CCCCCCCCNC(=O)N1CCC[C@@H](Cc2cnn[nH]2)C1 ZINC001576395902 1191586278 /nfs/dbraw/zinc/58/62/78/1191586278.db2.gz SZNQEWYPFDXWKW-HNNXBMFYSA-N 0 1 321.469 3.129 20 30 DGEDMN Cc1nc[nH]c1C(=O)Nc1cccc(Oc2ccc(C#N)cn2)c1 ZINC001618417543 1193738193 /nfs/dbraw/zinc/73/81/93/1193738193.db2.gz JTGCDDOZIGLOSZ-UHFFFAOYSA-N 0 1 319.324 3.029 20 30 DGEDMN C[C@H]1CCC[C@@](C#N)(NC(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001618410999 1193738334 /nfs/dbraw/zinc/73/83/34/1193738334.db2.gz MPULHDWFOPUGTI-KBXCAEBGSA-N 0 1 315.417 3.078 20 30 DGEDMN C=CCC[C@@H](O)CN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001620555329 1193778797 /nfs/dbraw/zinc/77/87/97/1193778797.db2.gz CPDHWFOVGZCJTA-MOPGFXCFSA-N 0 1 320.452 3.222 20 30 DGEDMN C=CCC[C@@H](O)CN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001620555332 1193779266 /nfs/dbraw/zinc/77/92/66/1193779266.db2.gz CPDHWFOVGZCJTA-RTBURBONSA-N 0 1 320.452 3.222 20 30 DGEDMN C=CC[C@@H](C(=O)OC)N1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001620645718 1193786035 /nfs/dbraw/zinc/78/60/35/1193786035.db2.gz GQFUXIHPELHBBF-SFHVURJKSA-N 0 1 312.413 3.465 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CNCc1ccc(F)c(F)c1 ZINC001620746994 1193797349 /nfs/dbraw/zinc/79/73/49/1193797349.db2.gz JXYZABPQGTVEHF-UHFFFAOYSA-N 0 1 301.340 3.192 20 30 DGEDMN N#Cc1ccc(CCNCc2nc(Br)cs2)cc1 ZINC001621434965 1193841987 /nfs/dbraw/zinc/84/19/87/1193841987.db2.gz MFWIMXFZSRJKHS-UHFFFAOYSA-N 0 1 322.231 3.110 20 30 DGEDMN N#Cc1ccc(O)c(NC(=O)c2cc3c(cc2O)CCCC3)c1 ZINC001624288062 1193990891 /nfs/dbraw/zinc/99/08/91/1193990891.db2.gz TWMYBRNAKXWCAY-UHFFFAOYSA-N 0 1 308.337 3.101 20 30 DGEDMN C#Cc1ccc(NC(=O)NC[C@@H](c2ccsc2)N(C)C)cc1 ZINC001628057989 1194157193 /nfs/dbraw/zinc/15/71/93/1194157193.db2.gz SIDFTJRVEILJCK-INIZCTEOSA-N 0 1 313.426 3.154 20 30 DGEDMN CC[C@H](C#N)C(=O)N[C@@H](c1ccccc1)c1ccc2nc[nH]c2c1 ZINC001629099827 1194214297 /nfs/dbraw/zinc/21/42/97/1194214297.db2.gz OOKCAFFQMWIURN-ACJLOTCBSA-N 0 1 318.380 3.318 20 30 DGEDMN C=CC[C@@H]1CCN(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)C1 ZINC001629520173 1194239520 /nfs/dbraw/zinc/23/95/20/1194239520.db2.gz MJOFCVDLSPXQTI-PBHICJAKSA-N 0 1 319.424 3.036 20 30 DGEDMN C=CCOc1cccc(NC(=O)c2cc(C)cc(C=O)c2O)c1 ZINC001631025997 1194315244 /nfs/dbraw/zinc/31/52/44/1194315244.db2.gz GSPQEEYUYJBVHL-UHFFFAOYSA-N 0 1 311.337 3.330 20 30 DGEDMN CC(C)(C(=O)Nc1cc(C#N)ccc1O)c1ccc(C#N)cc1 ZINC001631962013 1194363616 /nfs/dbraw/zinc/36/36/16/1194363616.db2.gz DEDDWPJRQUARHQ-UHFFFAOYSA-N 0 1 305.337 3.052 20 30 DGEDMN Cc1occ(C(F)(F)F)c1C(=O)Nc1cc(C#N)ccc1O ZINC001631962847 1194363994 /nfs/dbraw/zinc/36/39/94/1194363994.db2.gz VPGFVZJJMXVLIO-UHFFFAOYSA-N 0 1 310.231 3.436 20 30 DGEDMN N#Cc1cccc(ONC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)c1 ZINC001631982884 1194364891 /nfs/dbraw/zinc/36/48/91/1194364891.db2.gz GXYGIJUFPICXJF-JKSUJKDBSA-N 0 1 312.756 3.425 20 30 DGEDMN COc1ccc(NC(=O)c2c[nH]nc2-c2ccsc2)cc1C#N ZINC001632533950 1194394325 /nfs/dbraw/zinc/39/43/25/1194394325.db2.gz FLVCREOAEXSVLV-UHFFFAOYSA-N 0 1 324.365 3.271 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)c1nc2ccc(OC)cc2[nH]1 ZINC001633538481 1194441842 /nfs/dbraw/zinc/44/18/42/1194441842.db2.gz SCIIOTBOIJWXSN-VXGBXAGGSA-N 0 1 301.390 3.351 20 30 DGEDMN CN(C)CC#CCNC(=O)C12CC3(C)CC(C)(CC(C)(C3)C1)C2 ZINC001635386224 1194548150 /nfs/dbraw/zinc/54/81/50/1194548150.db2.gz ASAMUIGHAMSUBC-UHFFFAOYSA-N 0 1 316.489 3.054 20 30 DGEDMN C=CCC1(CNC(=O)c2[nH]nc3c2C[C@H](C)CC3)CCCC1 ZINC001636474801 1194615415 /nfs/dbraw/zinc/61/54/15/1194615415.db2.gz UQGYESWYONTCBW-CYBMUJFWSA-N 0 1 301.434 3.401 20 30 DGEDMN CC(C)Oc1ccc(NC(=O)c2ccc(F)c(O)c2)cc1C#N ZINC001636640088 1194625534 /nfs/dbraw/zinc/62/55/34/1194625534.db2.gz HYITYYLGYBUELA-UHFFFAOYSA-N 0 1 314.316 3.442 20 30 DGEDMN C=CC[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCN1C1CCCC1 ZINC001637848124 1194690205 /nfs/dbraw/zinc/69/02/05/1194690205.db2.gz JFOLZESLMFFCGJ-PKOBYXMFSA-N 0 1 312.457 3.307 20 30 DGEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)CC(C3CC3)C3CC3)n2)c1 ZINC001639135624 1194752846 /nfs/dbraw/zinc/75/28/46/1194752846.db2.gz LVUHIFFXKCNINC-UHFFFAOYSA-N 0 1 321.384 3.108 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(c2ncc(C(F)(F)F)[nH]2)CC1 ZINC001639289003 1194759200 /nfs/dbraw/zinc/75/92/00/1194759200.db2.gz FYNQBUDHSZZSQX-UHFFFAOYSA-N 0 1 315.339 3.491 20 30 DGEDMN N#Cc1sc(NCCCCc2ccnc(N)c2)nc1Cl ZINC001640465090 1194814759 /nfs/dbraw/zinc/81/47/59/1194814759.db2.gz OWFGOYKICVPCMX-UHFFFAOYSA-N 0 1 307.810 3.080 20 30 DGEDMN CC(C)(C#N)c1ccc(C(=O)NCc2ccc3nc[nH]c3c2)cc1 ZINC001641136956 1194841103 /nfs/dbraw/zinc/84/11/03/1194841103.db2.gz AALYOJXFKDSMFN-UHFFFAOYSA-N 0 1 318.380 3.294 20 30 DGEDMN C#CCN(CCN1CCc2ccccc21)Cc1ccc(F)cc1 ZINC001641656488 1194865454 /nfs/dbraw/zinc/86/54/54/1194865454.db2.gz INZZZJUPFJTTRE-UHFFFAOYSA-N 0 1 308.400 3.324 20 30 DGEDMN C=CCOc1ccc(CNc2nc(Cl)nc3[nH]cnc32)cc1 ZINC001642677462 1194927680 /nfs/dbraw/zinc/92/76/80/1194927680.db2.gz IZZJSYJYHREKCB-UHFFFAOYSA-N 0 1 315.764 3.135 20 30 DGEDMN N#Cc1ccc(Br)cc1N[C@@H]1CCc2[nH]cnc2C1 ZINC001642754231 1194935848 /nfs/dbraw/zinc/93/58/48/1194935848.db2.gz WEARZENREUEKFQ-LLVKDONJSA-N 0 1 317.190 3.013 20 30 DGEDMN C=CCOCCN1CCN(c2ccc(CC)c(Cl)c2)CC1 ZINC001643070003 1194965176 /nfs/dbraw/zinc/96/51/76/1194965176.db2.gz VCYYYPYYTIGWNW-UHFFFAOYSA-N 0 1 308.853 3.227 20 30 DGEDMN C=CCn1c(SCc2[nH]ncc2C)nnc1-c1ccccc1 ZINC001643353121 1195017167 /nfs/dbraw/zinc/01/71/67/1195017167.db2.gz BQLUJLMEJHPDNH-UHFFFAOYSA-N 0 1 311.414 3.455 20 30 DGEDMN C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)N1CCc1ccc(C#N)cc1 ZINC001643722668 1195049571 /nfs/dbraw/zinc/04/95/71/1195049571.db2.gz RZTPPTYDAVKEQE-RHSMWYFYSA-N 0 1 314.429 3.295 20 30 DGEDMN N#Cc1ccnc(C[N@@H+]2CCC[C@H]2C[C@H](O)c2cccs2)c1 ZINC001644743481 1195130919 /nfs/dbraw/zinc/13/09/19/1195130919.db2.gz HMHANPGBLNRBQG-HOTGVXAUSA-N 0 1 313.426 3.103 20 30 DGEDMN N#Cc1ccnc(CN2CCC[C@H]2C[C@H](O)c2cccs2)c1 ZINC001644743481 1195130922 /nfs/dbraw/zinc/13/09/22/1195130922.db2.gz HMHANPGBLNRBQG-HOTGVXAUSA-N 0 1 313.426 3.103 20 30 DGEDMN Cc1cc([C@@H]2CCCCN2C(=O)c2cc(C#N)ccc2F)n[nH]1 ZINC001645511059 1195190979 /nfs/dbraw/zinc/19/09/79/1195190979.db2.gz XRLUDBRJDXLGHK-INIZCTEOSA-N 0 1 312.348 3.096 20 30 DGEDMN C#CC[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001646393618 1195226826 /nfs/dbraw/zinc/22/68/26/1195226826.db2.gz XCBQVRWMRJKOII-KGLIPLIRSA-N 0 1 304.821 3.402 20 30 DGEDMN CC1(C)C[C@@]1(NCC(=O)Nc1ccc(C#N)cc1)c1ccccc1 ZINC001647873249 1195261275 /nfs/dbraw/zinc/26/12/75/1195261275.db2.gz MVTPTMLMOAUTNL-HXUWFJFHSA-N 0 1 319.408 3.412 20 30 DGEDMN Cc1ccc(-c2n[nH]c(SCc3ccncc3C#N)n2)cc1 ZINC001651611914 1195486807 /nfs/dbraw/zinc/48/68/07/1195486807.db2.gz VSJXWNWBKYHCHV-UHFFFAOYSA-N 0 1 307.382 3.339 20 30 DGEDMN C#Cc1ccc(NC(=O)CCNC2(c3ccccc3F)CC2)cc1 ZINC001653065283 1195685062 /nfs/dbraw/zinc/68/50/62/1195685062.db2.gz PCFFUPBHNHYOHV-UHFFFAOYSA-N 0 1 322.383 3.415 20 30 DGEDMN C#C[C@@H]1CCCCN1C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001653456124 1195723725 /nfs/dbraw/zinc/72/37/25/1195723725.db2.gz CUJBEXTZIXOAKK-CQSZACIVSA-N 0 1 313.788 3.358 20 30 DGEDMN C#CCCCCCCN(C)CC(=O)Nc1cc(F)cc(F)c1 ZINC001653643388 1195740748 /nfs/dbraw/zinc/74/07/48/1195740748.db2.gz QWLCIQYBEKSMRU-UHFFFAOYSA-N 0 1 308.372 3.419 20 30 DGEDMN C=CC[C@H](C)N1CCN(Cc2nc3ccccc3s2)CC1 ZINC001653844093 1195754518 /nfs/dbraw/zinc/75/45/18/1195754518.db2.gz YQMKQXUMVMSHCK-AWEZNQCLSA-N 0 1 301.459 3.379 20 30 DGEDMN C=CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2nc(C)c(C)s2)C1 ZINC001654177529 1195781496 /nfs/dbraw/zinc/78/14/96/1195781496.db2.gz DGZSZMDMTWEMDJ-GXTWGEPZSA-N 0 1 307.463 3.375 20 30 DGEDMN CC[C@H]1CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)[C@@H]1C ZINC001654217784 1195785617 /nfs/dbraw/zinc/78/56/17/1195785617.db2.gz FBQKTNPFXKTGQF-NEPJUHHUSA-N 0 1 305.809 3.271 20 30 DGEDMN C[C@H](C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1)c1ccccc1 ZINC001654861683 1195848575 /nfs/dbraw/zinc/84/85/75/1195848575.db2.gz FQEXNFISMHHBDS-LBPRGKRZSA-N 0 1 317.352 3.086 20 30 DGEDMN CCc1cc(CNc2ccc(C#N)c(Br)c2)n[nH]1 ZINC001655122489 1195880070 /nfs/dbraw/zinc/88/00/70/1195880070.db2.gz FMTDAXMRUXUWHR-UHFFFAOYSA-N 0 1 305.179 3.218 20 30 DGEDMN CCOCCN(Cc1cc(C#N)ccn1)[C@H]1CCc2ccccc21 ZINC001655314268 1195903189 /nfs/dbraw/zinc/90/31/89/1195903189.db2.gz ADDMOEDDKFOFCG-FQEVSTJZSA-N 0 1 321.424 3.479 20 30 DGEDMN Cc1cc(F)ccc1[C@@H](C)NCC(=O)Nc1ccc(C#N)cc1 ZINC001655536219 1195929070 /nfs/dbraw/zinc/92/90/70/1195929070.db2.gz XJLFZBVXNWABCK-CYBMUJFWSA-N 0 1 311.360 3.295 20 30 DGEDMN C=CC[C@H]1CCN1[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O ZINC001655815338 1195965881 /nfs/dbraw/zinc/96/58/81/1195965881.db2.gz BOEHKXGFNPRESG-ZFWWWQNUSA-N 0 1 324.346 3.461 20 30 DGEDMN Cc1cc(N2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)ncc1C#N ZINC001655822230 1195966862 /nfs/dbraw/zinc/96/68/62/1195966862.db2.gz RGHVZLBGDFBDFY-AWEZNQCLSA-N 0 1 309.417 3.492 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)Cc1ccc(F)cc1 ZINC001656112791 1196005174 /nfs/dbraw/zinc/00/51/74/1196005174.db2.gz KJRZEUGTNNWUSQ-MAUKXSAKSA-N 0 1 318.436 3.113 20 30 DGEDMN C#C[C@@H](NCc1ccc(OCCC(C)C)cc1)[C@@H]1CCCO1 ZINC001656122227 1196007451 /nfs/dbraw/zinc/00/74/51/1196007451.db2.gz ZNNZVNCUZXVMFX-MOPGFXCFSA-N 0 1 301.430 3.382 20 30 DGEDMN C=CC[C@H]1CCN1CCOc1ccc(C(=O)OC)cc1Cl ZINC001656411874 1196036794 /nfs/dbraw/zinc/03/67/94/1196036794.db2.gz WWPJJEJSSHDDQO-ZDUSSCGKSA-N 0 1 309.793 3.156 20 30 DGEDMN COc1ccc(CNCc2ccc(C)c(C#N)c2)cc1[N+](=O)[O-] ZINC001656946639 1196085623 /nfs/dbraw/zinc/08/56/23/1196085623.db2.gz QIBWEYBYTNTZBQ-UHFFFAOYSA-N 0 1 311.341 3.073 20 30 DGEDMN CSc1ccc(CNCc2cn3ccnc3s2)cc1C#N ZINC001656964747 1196087907 /nfs/dbraw/zinc/08/79/07/1196087907.db2.gz OOYWFFYKIZZGLJ-UHFFFAOYSA-N 0 1 314.439 3.279 20 30 DGEDMN CCCN(Cc1ccc(C#N)cc1)[C@@H](C(=O)OC)[C@@H](C)CC ZINC001656984946 1196090171 /nfs/dbraw/zinc/09/01/71/1196090171.db2.gz RQGYDJFQYZIJPK-WMLDXEAASA-N 0 1 302.418 3.358 20 30 DGEDMN C=CC(C)(C)NCc1cccc(F)c1I ZINC001658125875 1196215052 /nfs/dbraw/zinc/21/50/52/1196215052.db2.gz HDNLGGIZQCFTAJ-UHFFFAOYSA-N 0 1 319.161 3.485 20 30 DGEDMN C=C(C)CN(C)Cc1ccc(I)s1 ZINC001658591466 1196277270 /nfs/dbraw/zinc/27/72/70/1196277270.db2.gz DBGODYMLOKQPOI-UHFFFAOYSA-N 0 1 307.200 3.361 20 30 DGEDMN Cc1ccc(C2=NO[C@@H](CNCc3ccc(C#N)c(C)c3)C2)cc1 ZINC001658828523 1196306201 /nfs/dbraw/zinc/30/62/01/1196306201.db2.gz XROGDNDLHPUIMT-LJQANCHMSA-N 0 1 319.408 3.458 20 30 DGEDMN C=CCC[C@@H](CC)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC001658939403 1196322522 /nfs/dbraw/zinc/32/25/22/1196322522.db2.gz RESSGGHXBXGKMQ-GFCCVEGCSA-N 0 1 306.397 3.481 20 30 DGEDMN C=CC[C@@H]1CCCN(Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC001659620910 1196399616 /nfs/dbraw/zinc/39/96/16/1196399616.db2.gz OETGTOKZAGKPKM-CQSZACIVSA-N 0 1 300.381 3.195 20 30 DGEDMN COC(=O)Nc1cccc(CNCc2ccc(C#N)c(F)c2)c1 ZINC001659752579 1196411809 /nfs/dbraw/zinc/41/18/09/1196411809.db2.gz ROCZAIYSEQYLOI-UHFFFAOYSA-N 0 1 313.332 3.165 20 30 DGEDMN Fc1cccc(-n2cccn2)c1CNCC#Cc1ccccc1 ZINC001659805942 1196416639 /nfs/dbraw/zinc/41/66/39/1196416639.db2.gz WEXONQDASDOWAB-UHFFFAOYSA-N 0 1 305.356 3.153 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CCO[C@H](C(C)(C)C)CC1 ZINC001660243495 1196460589 /nfs/dbraw/zinc/46/05/89/1196460589.db2.gz GWALAHFKDXPSOL-SFHVURJKSA-N 0 1 315.461 3.261 20 30 DGEDMN CN(CC#Cc1cccc(Cl)c1)CCCNC(=O)C(C)(C)C ZINC001660376426 1196477306 /nfs/dbraw/zinc/47/73/06/1196477306.db2.gz WRUQFNZPFTZTQK-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=C1CCC(C(=O)NCCCN(CC)Cc2ccns2)CC1 ZINC001660586341 1196499748 /nfs/dbraw/zinc/49/97/48/1196499748.db2.gz MOXJRPHBWFHDSM-UHFFFAOYSA-N 0 1 321.490 3.218 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2cnc(C)s2)CC1 ZINC001660787122 1196523900 /nfs/dbraw/zinc/52/39/00/1196523900.db2.gz CKVQBIVSKXWDGM-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001661097524 1196565566 /nfs/dbraw/zinc/56/55/66/1196565566.db2.gz RBZOIZCZBFDJRV-ZWKOTPCHSA-N 0 1 304.478 3.005 20 30 DGEDMN CC1(C)CCC[C@@H]1C(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001661336130 1196595161 /nfs/dbraw/zinc/59/51/61/1196595161.db2.gz HIXGDSPBIAQEHP-RBUKOAKNSA-N 0 1 324.468 3.055 20 30 DGEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NCc2cccc(Cl)n2)C1 ZINC001661339295 1196596096 /nfs/dbraw/zinc/59/60/96/1196596096.db2.gz YGXWDPYLHAIOEW-HIFRSBDPSA-N 0 1 321.852 3.028 20 30 DGEDMN CCC(C)(CC)C(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001661510781 1196613985 /nfs/dbraw/zinc/61/39/85/1196613985.db2.gz IZLUCTZECABOON-QAQDUYKDSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NCc2ncoc2C(C)C)C1 ZINC001661649059 1196627970 /nfs/dbraw/zinc/62/79/70/1196627970.db2.gz CAGJWCMPANIXQG-IYOUNJFTSA-N 0 1 319.449 3.137 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN(Cc2ccccc2F)C1 ZINC001661729036 1196637278 /nfs/dbraw/zinc/63/72/78/1196637278.db2.gz WSBSFKKPXZSPDR-OAHLLOKOSA-N 0 1 318.436 3.366 20 30 DGEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)Cc2ccccc2)C1 ZINC001661998208 1196673666 /nfs/dbraw/zinc/67/36/66/1196673666.db2.gz WWIJSZISANOUGU-WBVHZDCISA-N 0 1 320.864 3.198 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC1CCC(NCc2ncc(C)o2)CC1 ZINC001662126430 1196683879 /nfs/dbraw/zinc/68/38/79/1196683879.db2.gz LEBCDKRXDREBHY-QQFBHYJXSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001662233970 1196697499 /nfs/dbraw/zinc/69/74/99/1196697499.db2.gz FOXPTOQDLOKXFG-STQMWFEESA-N 0 1 310.388 3.476 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccc2c1CCCCC2 ZINC001662249742 1196700028 /nfs/dbraw/zinc/70/00/28/1196700028.db2.gz PFJBQZUXYREVGX-CQSZACIVSA-N 0 1 320.864 3.416 20 30 DGEDMN CCCC1(C(=O)NC[C@H](C)NCC#Cc2ccccc2)CCC1 ZINC001662310588 1196705608 /nfs/dbraw/zinc/70/56/08/1196705608.db2.gz ZPADLKWJONOXPR-KRWDZBQOSA-N 0 1 312.457 3.103 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H](C)NCc2nc(C)c(C)s2)CCC1 ZINC001662328512 1196708236 /nfs/dbraw/zinc/70/82/36/1196708236.db2.gz CJJMPAUBOGIRRC-GFCCVEGCSA-N 0 1 321.490 3.101 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001662350924 1196711148 /nfs/dbraw/zinc/71/11/48/1196711148.db2.gz PBJXJQYYICWMIO-GOEBONIOSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001662350925 1196711504 /nfs/dbraw/zinc/71/15/04/1196711504.db2.gz PBJXJQYYICWMIO-HOCLYGCPSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCc1ccc(CC)cc1 ZINC001662415675 1196718893 /nfs/dbraw/zinc/71/88/93/1196718893.db2.gz YZZUMVCDJYFMLK-CQSZACIVSA-N 0 1 308.853 3.028 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC001662496723 1196725666 /nfs/dbraw/zinc/72/56/66/1196725666.db2.gz PMEBJGYJXMFIEA-HNNXBMFYSA-N 0 1 308.372 3.012 20 30 DGEDMN CCC(C)(C)CC(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001663188732 1196807317 /nfs/dbraw/zinc/80/73/17/1196807317.db2.gz FPSODGZQHYQUJG-UHFFFAOYSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCC(=O)NCCN(CC)Cc1ccc(Cl)c(F)c1 ZINC001663363330 1196846208 /nfs/dbraw/zinc/84/62/08/1196846208.db2.gz GNAGHLVFLOBVHR-UHFFFAOYSA-N 0 1 312.816 3.383 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCN(Cc2cc(F)ccc2F)C1 ZINC001663593261 1196910077 /nfs/dbraw/zinc/91/00/77/1196910077.db2.gz RFGQUBSOIWGMAW-CQSZACIVSA-N 0 1 322.399 3.259 20 30 DGEDMN CCC[C@H](C)CC(=O)N(C)CCNCc1cc(C#N)ccc1F ZINC001663847335 1196951471 /nfs/dbraw/zinc/95/14/71/1196951471.db2.gz UTTDTBPHXPXYJR-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN CC(C)C#CC(=O)N(C)CCN[C@H](C)c1ccccc1Cl ZINC001663920081 1196957472 /nfs/dbraw/zinc/95/74/72/1196957472.db2.gz NUVZQCZYEZEUKM-CQSZACIVSA-N 0 1 306.837 3.108 20 30 DGEDMN CC(C)C#CC(=O)NC[C@@H](NCc1ccccc1)c1ccccc1 ZINC001664577768 1197016701 /nfs/dbraw/zinc/01/67/01/1197016701.db2.gz DTAREBSGRNADMF-HXUWFJFHSA-N 0 1 320.436 3.293 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccoc2C2CC2)C1 ZINC001664678477 1197032420 /nfs/dbraw/zinc/03/24/20/1197032420.db2.gz NWXVHRMCJMFXQR-HNNXBMFYSA-N 0 1 302.418 3.270 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2ccc(C3CC3)cn2)C1 ZINC001664685403 1197034990 /nfs/dbraw/zinc/03/49/90/1197034990.db2.gz AYTMJLHXGJOTSA-KRWDZBQOSA-N 0 1 313.445 3.072 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CCc2ccccc2)C1 ZINC001664852732 1197069426 /nfs/dbraw/zinc/06/94/26/1197069426.db2.gz AUJUTKXPEOJFBX-KRWDZBQOSA-N 0 1 320.864 3.152 20 30 DGEDMN C[C@@H]1C[C@@H](C(=O)OC(C)(C)C)CN(Cc2csc(C#N)c2)C1 ZINC001665026890 1197089161 /nfs/dbraw/zinc/08/91/61/1197089161.db2.gz FXUBTCMOSOVLGP-TZMCWYRMSA-N 0 1 320.458 3.419 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2nsc3ccccc32)C1 ZINC001665139518 1197101950 /nfs/dbraw/zinc/10/19/50/1197101950.db2.gz XPENTWUEPJFTNH-LBPRGKRZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)C23CCC(CC2)C3(C)C)C1 ZINC001665200342 1197107852 /nfs/dbraw/zinc/10/78/52/1197107852.db2.gz RHUHHKMOBKGPHK-XVTSOASTSA-N 0 1 304.478 3.358 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@]2(C)CCc3ccccc32)C1 ZINC001665234600 1197112000 /nfs/dbraw/zinc/11/20/00/1197112000.db2.gz BNTZPXUVPCDQAF-QRWLVFNGSA-N 0 1 312.457 3.046 20 30 DGEDMN C=CC(C)(C)CC(=O)NCC1CN(Cc2cc(F)ccc2C)C1 ZINC001665283989 1197118510 /nfs/dbraw/zinc/11/85/10/1197118510.db2.gz OJCCTIWMGAGFQM-UHFFFAOYSA-N 0 1 318.436 3.284 20 30 DGEDMN CN(C)c1ccc(C#N)cc1CN1CC(C)(c2ccccc2)C1 ZINC001665293950 1197119735 /nfs/dbraw/zinc/11/97/35/1197119735.db2.gz QCPKGYVKZLLVSA-UHFFFAOYSA-N 0 1 305.425 3.398 20 30 DGEDMN C=CCN1CC(CNC(=O)[C@H](c2ccccc2)[C@H](C)CC)C1 ZINC001665357992 1197127959 /nfs/dbraw/zinc/12/79/59/1197127959.db2.gz GOSGUTWIUJKTGY-QAPCUYQASA-N 0 1 300.446 3.050 20 30 DGEDMN C=CCCC(=O)N(C)[C@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001665616690 1197159603 /nfs/dbraw/zinc/15/96/03/1197159603.db2.gz HQFJZSXWKNHDEO-KRWDZBQOSA-N 0 1 316.445 3.003 20 30 DGEDMN Cc1cccc(CN2CCC[C@H](Nc3ccc(C#N)cn3)C2)c1F ZINC001665718783 1197180920 /nfs/dbraw/zinc/18/09/20/1197180920.db2.gz VBSCKBVRZLVQAY-KRWDZBQOSA-N 0 1 324.403 3.477 20 30 DGEDMN C=CCCC(C)(C)NC(=O)NCCN1CCc2ccccc2C1 ZINC001665738249 1197184224 /nfs/dbraw/zinc/18/42/24/1197184224.db2.gz VPGWKQAIQZINBL-UHFFFAOYSA-N 0 1 315.461 3.089 20 30 DGEDMN C=CCCC(=O)NC[C@H](CC)N[C@@H](C)c1nc2ccccc2o1 ZINC001665765976 1197190855 /nfs/dbraw/zinc/19/08/55/1197190855.db2.gz RDFJDCWOBVLFNP-KBPBESRZSA-N 0 1 315.417 3.339 20 30 DGEDMN C=C/C(C)=C\CC(=O)NC[C@@H](CC)NCc1ccccc1C#N ZINC001665827931 1197203457 /nfs/dbraw/zinc/20/34/57/1197203457.db2.gz FPYKOFPSPHZYKA-QWSHFYNQSA-N 0 1 311.429 3.065 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1ccc2[nH]ccc2c1 ZINC001665839637 1197205509 /nfs/dbraw/zinc/20/55/09/1197205509.db2.gz QOCKSXKZBQIHMO-CQSZACIVSA-N 0 1 305.809 3.018 20 30 DGEDMN C=CCCC(=O)N[C@]1(CNCc2cscn2)CCCC[C@@H]1C ZINC001666050092 1197231707 /nfs/dbraw/zinc/23/17/07/1197231707.db2.gz OHKOSRXBSHRJPE-YOEHRIQHSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CC[C@H](NCc2ncc(C)o2)CC1 ZINC001666310394 1197250425 /nfs/dbraw/zinc/25/04/25/1197250425.db2.gz MRRXWDAHQKQOPW-SHTZXODSSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)C=C2CCC2)cc1 ZINC001666331324 1197252940 /nfs/dbraw/zinc/25/29/40/1197252940.db2.gz SXKLVMWSRPYBOP-UHFFFAOYSA-N 0 1 304.821 3.255 20 30 DGEDMN C=C(Cl)CN1CCC(CCNC(=O)[C@@]2(C)C=CCC2)CC1 ZINC001666374618 1197256341 /nfs/dbraw/zinc/25/63/41/1197256341.db2.gz GVFZSIMDPKWTNK-KRWDZBQOSA-N 0 1 310.869 3.314 20 30 DGEDMN C/C=C(\C)C(=O)NCC1(NCc2ccccc2C#N)CCCC1 ZINC001666751908 1197282528 /nfs/dbraw/zinc/28/25/28/1197282528.db2.gz NSUZDAFDFBMDAI-CRKCGEKBSA-N 0 1 311.429 3.043 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2ccsc2[nH]1 ZINC001666961745 1197301916 /nfs/dbraw/zinc/30/19/16/1197301916.db2.gz CFPAKWCTQCTCBA-JTQLQIEISA-N 0 1 311.838 3.032 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc2ccncc2c1 ZINC001667028201 1197309118 /nfs/dbraw/zinc/30/91/18/1197309118.db2.gz FYJLFMJIKLTKJQ-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN N#C[C@@H](c1ccccc1)c1ccc(NC(=O)Nc2c[nH]nn2)cc1 ZINC001667160579 1197325518 /nfs/dbraw/zinc/32/55/18/1197325518.db2.gz VAWRACGTSYMYIO-HNNXBMFYSA-N 0 1 318.340 3.104 20 30 DGEDMN C#CCCCCC(=O)N(C)CCN(C)Cc1ccc(C)c(F)c1 ZINC001667552827 1197361407 /nfs/dbraw/zinc/36/14/07/1197361407.db2.gz WSSFKDJYUCVOAU-UHFFFAOYSA-N 0 1 318.436 3.218 20 30 DGEDMN CC(C)CCCC(=O)N(C)CCN(C)Cc1ccc(C#N)cc1 ZINC001667627777 1197371162 /nfs/dbraw/zinc/37/11/62/1197371162.db2.gz ZHYRZMFAODRSKI-UHFFFAOYSA-N 0 1 315.461 3.275 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001668566343 1197419553 /nfs/dbraw/zinc/41/95/53/1197419553.db2.gz HVTOIJTYAVEGMR-GJZGRUSLSA-N 0 1 312.885 3.393 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc2c1CCCC2 ZINC001668837850 1197431610 /nfs/dbraw/zinc/43/16/10/1197431610.db2.gz BWCBLWPLBXZYRC-PLNGDYQASA-N 0 1 318.848 3.194 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001669206536 1197444041 /nfs/dbraw/zinc/44/40/41/1197444041.db2.gz DYWUCVKZQICFRZ-ONEGZZNKSA-N 0 1 318.848 3.041 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1c(Cl)cccc1OC ZINC001669571832 1197462907 /nfs/dbraw/zinc/46/29/07/1197462907.db2.gz BYXDQPBWLFVBKW-ZDUSSCGKSA-N 0 1 324.852 3.299 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2cccc(F)c2o1 ZINC001669747331 1197474708 /nfs/dbraw/zinc/47/47/08/1197474708.db2.gz ZJICWTQBFIIIPC-JTQLQIEISA-N 0 1 310.756 3.032 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCC[C@H]1CNCc1nocc1C ZINC001669967497 1197512298 /nfs/dbraw/zinc/51/22/98/1197512298.db2.gz LXSRGGXJWDLRCA-HOTGVXAUSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCC(C)(F)F)C1CCCC1 ZINC001671536821 1197546915 /nfs/dbraw/zinc/54/69/15/1197546915.db2.gz SNCJLNOQPFHQAQ-ZDUSSCGKSA-N 0 1 322.827 3.439 20 30 DGEDMN C=C(Cl)CN1CCC(C)(CNC(=O)[C@@H](C)C(C)(F)F)CC1 ZINC001671853814 1197575686 /nfs/dbraw/zinc/57/56/86/1197575686.db2.gz GFMYJEJIMMGBJD-GFCCVEGCSA-N 0 1 322.827 3.249 20 30 DGEDMN CCCC(=O)NC[C@]1(C)CCCN(Cc2ccccc2C#N)C1 ZINC001671868589 1197577847 /nfs/dbraw/zinc/57/78/47/1197577847.db2.gz ZLFLCBJXDQMCCA-IBGZPJMESA-N 0 1 313.445 3.077 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC(=C)Cl ZINC001672353440 1197606610 /nfs/dbraw/zinc/60/66/10/1197606610.db2.gz PZSJZAGTSXAPCY-QDMKHBRRSA-N 0 1 310.869 3.310 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1cncs1 ZINC001672528930 1197615182 /nfs/dbraw/zinc/61/51/82/1197615182.db2.gz AEICCGKUWHTQMB-CVEARBPZSA-N 0 1 319.474 3.065 20 30 DGEDMN Cc1cc(C#N)cc(NC(=O)N2CCN(C)C[C@@H]2CC(C)C)c1 ZINC001672781717 1197622963 /nfs/dbraw/zinc/62/29/63/1197622963.db2.gz SPJNITVKHMUVOP-KRWDZBQOSA-N 0 1 314.433 3.061 20 30 DGEDMN C#CCN1CCc2c(CNC(=O)C(C)(C)CCC)cccc2C1 ZINC001673079896 1197636443 /nfs/dbraw/zinc/63/64/43/1197636443.db2.gz SUMMTGHSQJKMET-UHFFFAOYSA-N 0 1 312.457 3.120 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCCC#CC)cccc2C1 ZINC001673079645 1197636594 /nfs/dbraw/zinc/63/65/94/1197636594.db2.gz ITVZCJHRAHSYST-UHFFFAOYSA-N 0 1 310.441 3.041 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)C[C@H](C)C3CC3)cccc2C1 ZINC001673079905 1197636661 /nfs/dbraw/zinc/63/66/61/1197636661.db2.gz UGJFHTXFYKNINZ-HNNXBMFYSA-N 0 1 312.457 3.283 20 30 DGEDMN CCCCC(=O)NCC1(NCc2ccc(C#N)cc2F)CCC1 ZINC001673144305 1197639960 /nfs/dbraw/zinc/63/99/60/1197639960.db2.gz MUQRUEZTFUPQMR-UHFFFAOYSA-N 0 1 317.408 3.016 20 30 DGEDMN CC/C(C)=C\C(=O)NCC1(NCC#Cc2ccccc2)CCC1 ZINC001673164816 1197641400 /nfs/dbraw/zinc/64/14/00/1197641400.db2.gz ZYJYJTOXVACRQP-ICFOKQHNSA-N 0 1 310.441 3.023 20 30 DGEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H](C)C(C)(C)C)CC1 ZINC001673381555 1197653167 /nfs/dbraw/zinc/65/31/67/1197653167.db2.gz SFIHYLFMZFSWSY-UONOGXRCSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H]1CCC2(CN(CCCC(C)C)C2)O1 ZINC001673534293 1197671261 /nfs/dbraw/zinc/67/12/61/1197671261.db2.gz YEQLMWATJXPGCN-QGZVFWFLSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H]1CCC2(CN(CCCC(C)C)C2)O1 ZINC001673534292 1197671578 /nfs/dbraw/zinc/67/15/78/1197671578.db2.gz YEQLMWATJXPGCN-KRWDZBQOSA-N 0 1 322.493 3.129 20 30 DGEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NCc2cc(F)ccc2F)C1 ZINC001673871918 1197700050 /nfs/dbraw/zinc/70/00/50/1197700050.db2.gz JSGGWMBOQQMDSC-DYVFJYSZSA-N 0 1 322.399 3.258 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CCC(C)(NCc2ncc(C)o2)CC1 ZINC001673958474 1197712226 /nfs/dbraw/zinc/71/22/26/1197712226.db2.gz BYJKZHSCKJIGEM-UHFFFAOYSA-N 0 1 319.449 3.056 20 30 DGEDMN CC#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@]1(C)CC=CCC1 ZINC001674424910 1197771578 /nfs/dbraw/zinc/77/15/78/1197771578.db2.gz GZEHUCHOPSCYNZ-SFHLNBCPSA-N 0 1 322.452 3.128 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)Cc1cccnc1C ZINC001674458948 1197779109 /nfs/dbraw/zinc/77/91/09/1197779109.db2.gz ZXPRMEQOFQAGTC-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C2CCC2)cc1 ZINC001674457794 1197779306 /nfs/dbraw/zinc/77/93/06/1197779306.db2.gz NIGUEFWMPDOLMT-LJQANCHMSA-N 0 1 310.441 3.124 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]1CN(C)Cc1cccnc1C ZINC001674458949 1197779587 /nfs/dbraw/zinc/77/95/87/1197779587.db2.gz ZXPRMEQOFQAGTC-SFHVURJKSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CC(C)(C)CC(F)F ZINC001674470153 1197780734 /nfs/dbraw/zinc/78/07/34/1197780734.db2.gz UAXXHASMYXIBFG-AWEZNQCLSA-N 0 1 314.420 3.004 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001674486341 1197785376 /nfs/dbraw/zinc/78/53/76/1197785376.db2.gz IUJIFBCQNKWNDE-RCCFBDPRSA-N 0 1 316.489 3.149 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C)c(F)c(C)c1 ZINC001674500003 1197789443 /nfs/dbraw/zinc/78/94/43/1197789443.db2.gz UKVBLOKQEGJAKH-KRWDZBQOSA-N 0 1 316.420 3.002 20 30 DGEDMN C=CCCCC(=O)NC1CC(CNC/C(Cl)=C/Cl)C1 ZINC001674573510 1197797670 /nfs/dbraw/zinc/79/76/70/1197797670.db2.gz AICRNQJVMRKBGX-WQLSENKSSA-N 0 1 305.249 3.146 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccncc1Cl ZINC001674733880 1197851913 /nfs/dbraw/zinc/85/19/13/1197851913.db2.gz DXZUKVVHEXLFJJ-OLZOCXBDSA-N 0 1 323.868 3.320 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CC(C)(C)C(F)(F)F ZINC001674759835 1197861157 /nfs/dbraw/zinc/86/11/57/1197861157.db2.gz GVBXGYBFMWMRFH-VHSXEESVSA-N 0 1 314.779 3.200 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc2c(s1)CCC2 ZINC001674765923 1197865653 /nfs/dbraw/zinc/86/56/53/1197865653.db2.gz KAJJDPURXAVAOK-GHMZBOCLSA-N 0 1 312.866 3.086 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@@H](C)NCc2cc(C)no2)CCCC1 ZINC001674781401 1197871796 /nfs/dbraw/zinc/87/17/96/1197871796.db2.gz WWBRVDVNQWMUNJ-HUUCEWRRSA-N 0 1 319.449 3.102 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(CC(C)C)s1 ZINC001674944069 1197930622 /nfs/dbraw/zinc/93/06/22/1197930622.db2.gz QVZAGTHHIZTKRN-CQSZACIVSA-N 0 1 306.475 3.020 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CN(C/C=C/c2ccccc2)C1 ZINC001675332039 1198046260 /nfs/dbraw/zinc/04/62/60/1198046260.db2.gz JBTNBAAADFIDHJ-ONOODXEBSA-N 0 1 312.457 3.349 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)CCCCCC(C)C)C2)C1 ZINC001675673312 1198113257 /nfs/dbraw/zinc/11/32/57/1198113257.db2.gz RLSNEGFOYBRZSW-UHFFFAOYSA-N 0 1 304.478 3.151 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N[C@H]1C[C@H](CN[C@H](C)c2ncc(C)o2)C1 ZINC001675776937 1198140564 /nfs/dbraw/zinc/14/05/64/1198140564.db2.gz PUMCVMJLJPXLAH-RBSFLKMASA-N 0 1 319.449 3.131 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(F)c2F)C[C@@H]1C ZINC001676717571 1198370702 /nfs/dbraw/zinc/37/07/02/1198370702.db2.gz ZNGABAYNJCFIQG-GXTWGEPZSA-N 0 1 308.372 3.010 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2cccc(F)c2F)C[C@H]1C ZINC001676717574 1198370948 /nfs/dbraw/zinc/37/09/48/1198370948.db2.gz ZNGABAYNJCFIQG-TZMCWYRMSA-N 0 1 308.372 3.010 20 30 DGEDMN C#CCCCCC(=O)N[C@@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001676867514 1198416000 /nfs/dbraw/zinc/41/60/00/1198416000.db2.gz QQHLBPHOVYPFJM-UONOGXRCSA-N 0 1 318.383 3.102 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCCN(Cc2c(C)noc2C)[C@H]1C ZINC001676880853 1198421105 /nfs/dbraw/zinc/42/11/05/1198421105.db2.gz YCERFLUJQGTXAD-YOEHRIQHSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCCC(=O)N(CCN(C)Cc1ccc(C#N)cc1)C(C)C ZINC001677191464 1198482225 /nfs/dbraw/zinc/48/22/25/1198482225.db2.gz PPSWQIRALNKAGG-UHFFFAOYSA-N 0 1 313.445 3.193 20 30 DGEDMN C#CCN(C)CCN(C(=O)CC(C)(C)CC(F)(F)F)C(C)C ZINC001677218999 1198491678 /nfs/dbraw/zinc/49/16/78/1198491678.db2.gz JOKBTZYHDLNCHC-UHFFFAOYSA-N 0 1 320.399 3.157 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)C1CC(NC/C(Cl)=C/Cl)C1 ZINC001677419455 1198531406 /nfs/dbraw/zinc/53/14/06/1198531406.db2.gz KNBLNOSXERIQAP-FLIBITNWSA-N 0 1 319.276 3.487 20 30 DGEDMN C=CC[C@@H]1CCN(C(=O)NC[C@H](c2ccco2)N(CC)CC)C1 ZINC001677953147 1198610657 /nfs/dbraw/zinc/61/06/57/1198610657.db2.gz YABGOSUJFFEQAY-HZPDHXFCSA-N 0 1 319.449 3.270 20 30 DGEDMN C=CCC[C@@H]1CCCN1C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC001681612794 1199044528 /nfs/dbraw/zinc/04/45/28/1199044528.db2.gz OUBCTMVAZNAUEI-CVEARBPZSA-N 0 1 321.490 3.491 20 30 DGEDMN C=C1CCC(CNC(=O)N[C@H](C)c2n[nH]c([C@H](C)CC)n2)CC1 ZINC001685083902 1199441130 /nfs/dbraw/zinc/44/11/30/1199441130.db2.gz ODRXDWTXGJEALB-CHWSQXEVSA-N 0 1 319.453 3.425 20 30 DGEDMN CCCC[C@H](CC)CCCN(C)C(=O)NCC#CCN(C)C ZINC001685832146 1199511167 /nfs/dbraw/zinc/51/11/67/1199511167.db2.gz OKOIBBJJFJKGPT-KRWDZBQOSA-N 0 1 309.498 3.189 20 30 DGEDMN N#Cc1ccc(CNCc2cncc(Br)c2)cc1F ZINC000404152355 1199538547 /nfs/dbraw/zinc/53/85/47/1199538547.db2.gz SSMUVTWRTRBRHF-UHFFFAOYSA-N 0 1 320.165 3.145 20 30 DGEDMN C=C(Br)CNCc1c[nH]nc1-c1ccc(C)cc1 ZINC000105453637 1199541081 /nfs/dbraw/zinc/54/10/81/1199541081.db2.gz RAAHUXVNKQRPNT-UHFFFAOYSA-N 0 1 306.207 3.383 20 30 DGEDMN Cc1nnc(SCc2ccc(Br)cc2C#N)[nH]1 ZINC000310394917 1199719304 /nfs/dbraw/zinc/71/93/04/1199719304.db2.gz SHFSFEHKCIKPGJ-UHFFFAOYSA-N 0 1 309.192 3.040 20 30 DGEDMN C=C(C)CCN1CCN(C(=O)c2cccc3scnc32)CC1 ZINC001688695625 1199835210 /nfs/dbraw/zinc/83/52/10/1199835210.db2.gz BAXIYDNQFKIENB-UHFFFAOYSA-N 0 1 315.442 3.020 20 30 DGEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)c1ccccc1F ZINC001688890703 1199945866 /nfs/dbraw/zinc/94/58/66/1199945866.db2.gz BLEALQDRCMIAQS-GJZGRUSLSA-N 0 1 304.409 3.038 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001688897594 1199950310 /nfs/dbraw/zinc/95/03/10/1199950310.db2.gz ZBLSRXDABZZLEB-DZGCQCFKSA-N 0 1 322.399 3.177 20 30 DGEDMN C=CCCC(=O)N[C@H]1CCC[C@@H]1CNCc1ncc(C(C)C)o1 ZINC001753881969 1200031831 /nfs/dbraw/zinc/03/18/31/1200031831.db2.gz GJORIRFTEGPLQA-CABCVRRESA-N 0 1 319.449 3.139 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@H]1CCN(Cc2cc(C)no2)[C@@H]1CC ZINC001689184643 1200053281 /nfs/dbraw/zinc/05/32/81/1200053281.db2.gz NJOMMYCTBJRRBO-BHYGNILZSA-N 0 1 319.449 3.054 20 30 DGEDMN CC(C)=CC(=O)N1CCCC[C@H]1CN(C)CC#Cc1ccccc1 ZINC001689343669 1200099135 /nfs/dbraw/zinc/09/91/35/1200099135.db2.gz LHXCWJMXAZODHS-FQEVSTJZSA-N 0 1 324.468 3.317 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCc1cccc(Cl)n1 ZINC001754157326 1200116668 /nfs/dbraw/zinc/11/66/68/1200116668.db2.gz UBORKODTFZWWML-AATRIKPKSA-N 0 1 321.852 3.099 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](CC)CC1CCCC1 ZINC001754243453 1200150831 /nfs/dbraw/zinc/15/08/31/1200150831.db2.gz NKFOEOHJGHMFGA-UKRRQHHQSA-N 0 1 300.874 3.440 20 30 DGEDMN C=CCCCC(=O)N[C@H](C)CNCc1cccc(F)c1Cl ZINC001754240147 1200147644 /nfs/dbraw/zinc/14/76/44/1200147644.db2.gz FOGCAJMOHJMFBY-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C/C=C\c1ccc(F)cc1 ZINC001754272318 1200165320 /nfs/dbraw/zinc/16/53/20/1200165320.db2.gz FNGFULHNHJTJSI-SCOBNMCVSA-N 0 1 310.800 3.076 20 30 DGEDMN C=CCC1(C(=O)N(C)CCCNCc2ccon2)CCCCC1 ZINC001754416598 1200258213 /nfs/dbraw/zinc/25/82/13/1200258213.db2.gz QBZDBQLAPAQSOZ-UHFFFAOYSA-N 0 1 319.449 3.139 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1CC[C@@H]1CCCC1(F)F ZINC001754492326 1200301429 /nfs/dbraw/zinc/30/14/29/1200301429.db2.gz AGTFXOMOWWGCKB-GJZGRUSLSA-N 0 1 314.420 3.359 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCN1CC[C@H]1CCCC1(F)F ZINC001754492325 1200302051 /nfs/dbraw/zinc/30/20/51/1200302051.db2.gz AGTFXOMOWWGCKB-CABCVRRESA-N 0 1 314.420 3.359 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001754491324 1200303823 /nfs/dbraw/zinc/30/38/23/1200303823.db2.gz HBSYTYZZEOGVQA-SFHVURJKSA-N 0 1 316.420 3.040 20 30 DGEDMN CC[C@H](C)C(=O)NCCCN(CC)Cc1cc(C#N)ccc1F ZINC001689918764 1200331038 /nfs/dbraw/zinc/33/10/38/1200331038.db2.gz PRRPSOYZHJEUPJ-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=CCCCC(=O)NCC1(NCc2cccc(F)c2F)CC1 ZINC001754522995 1200335068 /nfs/dbraw/zinc/33/50/68/1200335068.db2.gz FPXDBCMAUFREJX-UHFFFAOYSA-N 0 1 308.372 3.060 20 30 DGEDMN C=CCC(CC=C)C(=O)N(C)C1CCN(C/C=C/Cl)CC1 ZINC001690023271 1200371727 /nfs/dbraw/zinc/37/17/27/1200371727.db2.gz KKIZMMVCEQHIFZ-IZZDOVSWSA-N 0 1 310.869 3.430 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)C1CCN(Cc2cnoc2C)CC1 ZINC001690027372 1200372997 /nfs/dbraw/zinc/37/29/97/1200372997.db2.gz JYLZIQCOWYDSJA-UHFFFAOYSA-N 0 1 319.449 3.008 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2c(C)oc(C)c2C)C1 ZINC001754577644 1200373582 /nfs/dbraw/zinc/37/35/82/1200373582.db2.gz CQGOTDGWOLXWJH-LBPRGKRZSA-N 0 1 304.434 3.316 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001754587599 1200384082 /nfs/dbraw/zinc/38/40/82/1200384082.db2.gz SMRQAJHIPQUFJB-OAHLLOKOSA-N 0 1 318.436 3.428 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CCC)c2ccccc2)C1 ZINC001690050541 1200384931 /nfs/dbraw/zinc/38/49/31/1200384931.db2.gz NECMHHNORCMLAO-ROUUACIJSA-N 0 1 300.446 3.337 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CCN(CCc2ccccc2Cl)C1 ZINC001690101863 1200400062 /nfs/dbraw/zinc/40/00/62/1200400062.db2.gz UGMOBQUYYAOGHN-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCN(CCc2ccccc2Cl)C1 ZINC001690101864 1200400405 /nfs/dbraw/zinc/40/04/05/1200400405.db2.gz UGMOBQUYYAOGHN-MRXNPFEDSA-N 0 1 320.864 3.429 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C[C@H]2CC=CCC2)CC1 ZINC001690135929 1200413886 /nfs/dbraw/zinc/41/38/86/1200413886.db2.gz YCKXQZJNNCTXPN-SFHVURJKSA-N 0 1 316.489 3.459 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)C2CC3(CCC3)C2)CC1 ZINC001690136306 1200415928 /nfs/dbraw/zinc/41/59/28/1200415928.db2.gz JBGUIYKYZFUHPP-UHFFFAOYSA-N 0 1 316.489 3.293 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)C(C)(C)C1CC1)C1CCCC1 ZINC001754651299 1200417545 /nfs/dbraw/zinc/41/75/45/1200417545.db2.gz AYWAOZCSIYPAJH-HNNXBMFYSA-N 0 1 312.885 3.440 20 30 DGEDMN C=CCC1(C(=O)N2CCCC[C@]3(CCN(CCF)C3)C2)CCC1 ZINC001754661401 1200423151 /nfs/dbraw/zinc/42/31/51/1200423151.db2.gz DPDQFBJDJVBCEK-GOSISDBHSA-N 0 1 322.468 3.407 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NC/C(Cl)=C/Cl)C1CC1 ZINC001690154283 1200425605 /nfs/dbraw/zinc/42/56/05/1200425605.db2.gz YMMIPXSFQXPJCX-OTAKNEKHSA-N 0 1 305.249 3.146 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@@]1(C)CCN(Cc2cccc(C#N)c2)C1 ZINC001754668384 1200428775 /nfs/dbraw/zinc/42/87/75/1200428775.db2.gz KLDYIUSQHBQIQA-BEFAXECRSA-N 0 1 313.445 3.075 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](CC)CC2CCCC2)C1 ZINC001754680692 1200439619 /nfs/dbraw/zinc/43/96/19/1200439619.db2.gz RHGMNCOEIGUGRT-PKOBYXMFSA-N 0 1 304.478 3.197 20 30 DGEDMN CCC[C@H](CC)C(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001690360628 1200512987 /nfs/dbraw/zinc/51/29/87/1200512987.db2.gz RVCQKNFAQIAKNS-JCGIZDLHSA-N 0 1 313.445 3.121 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)Cc2ccccc2CC)CCC1 ZINC001754982148 1200568486 /nfs/dbraw/zinc/56/84/86/1200568486.db2.gz FNGAHSFSIMQGNU-UHFFFAOYSA-N 0 1 320.864 3.173 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)Cc1ccccc1F ZINC001690455298 1200569845 /nfs/dbraw/zinc/56/98/45/1200569845.db2.gz RTYHWELYSFGTKT-RDJZCZTQSA-N 0 1 318.436 3.161 20 30 DGEDMN CC#CCCCC(=O)NCC1(NCc2ccccc2F)CCC1 ZINC001754985440 1200571714 /nfs/dbraw/zinc/57/17/14/1200571714.db2.gz ROAAIDQCXQRBPL-UHFFFAOYSA-N 0 1 316.420 3.148 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C(C)(C)c1ccc(C)cc1 ZINC001690457794 1200572814 /nfs/dbraw/zinc/57/28/14/1200572814.db2.gz RPRWFWQSVDBUQZ-SFHVURJKSA-N 0 1 314.473 3.429 20 30 DGEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(c2ccccc2F)CC1 ZINC001690458135 1200573221 /nfs/dbraw/zinc/57/32/21/1200573221.db2.gz ZCOYRGXWFTXCAR-HNNXBMFYSA-N 0 1 316.420 3.014 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H]1CCCN(Cc2ccns2)C1 ZINC001690499564 1200595777 /nfs/dbraw/zinc/59/57/77/1200595777.db2.gz XNHCPBPGOOKTMF-GJZGRUSLSA-N 0 1 321.490 3.216 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)[C@@H](C)n3cccc3)cc2C1 ZINC001755100974 1200634656 /nfs/dbraw/zinc/63/46/56/1200634656.db2.gz MYHKFBYRJKKGOZ-MRXNPFEDSA-N 0 1 323.440 3.257 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](Cc1ccccc1)C(C)C ZINC001690621327 1200653064 /nfs/dbraw/zinc/65/30/64/1200653064.db2.gz RRALLTAWGBNOOT-NVXWUHKLSA-N 0 1 322.880 3.348 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1OCC(C)C ZINC001690631636 1200660309 /nfs/dbraw/zinc/66/03/09/1200660309.db2.gz HVIMGCOJCSESRB-CQSZACIVSA-N 0 1 324.852 3.182 20 30 DGEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](CC)CC2CCCC2)C(C)(C)C1 ZINC001755142060 1200660275 /nfs/dbraw/zinc/66/02/75/1200660275.db2.gz MXWPLCOJBMJGSK-ZWKOTPCHSA-N 0 1 318.505 3.443 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccccc1C(F)(F)F ZINC001690641011 1200664941 /nfs/dbraw/zinc/66/49/41/1200664941.db2.gz ADFBHXMDYQPYOL-SNVBAGLBSA-N 0 1 320.742 3.166 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(F)c2occc21 ZINC001690648918 1200670311 /nfs/dbraw/zinc/67/03/11/1200670311.db2.gz MEJPTPVONXYKHL-SNVBAGLBSA-N 0 1 310.756 3.032 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)CCCF ZINC001755172073 1200678833 /nfs/dbraw/zinc/67/88/33/1200678833.db2.gz JPOHUDPVMVSVAL-QGZVFWFLSA-N 0 1 304.409 3.028 20 30 DGEDMN C=C(C)CN1CCc2ccccc2[C@H]1CNC(=O)Cc1ccoc1 ZINC001755171611 1200679161 /nfs/dbraw/zinc/67/91/61/1200679161.db2.gz AEMSKWUZVHIGTI-LJQANCHMSA-N 0 1 324.424 3.114 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CCCC1CCCC1 ZINC001755187919 1200686112 /nfs/dbraw/zinc/68/61/12/1200686112.db2.gz SWUBWEIAVHRSJN-TZIWHRDSSA-N 0 1 324.468 3.352 20 30 DGEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cccc2scnc21 ZINC001755193051 1200691429 /nfs/dbraw/zinc/69/14/29/1200691429.db2.gz QIODPRQJEOTTRO-ZDUSSCGKSA-N 0 1 315.442 3.019 20 30 DGEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001755198736 1200697376 /nfs/dbraw/zinc/69/73/76/1200697376.db2.gz GSEWLRKSTAPVDN-BDXSIMOUSA-N 0 1 304.478 3.312 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C2CC2)nc1C ZINC001755255397 1200739591 /nfs/dbraw/zinc/73/95/91/1200739591.db2.gz DGXGKUANPMPMPB-NWDGAFQWSA-N 0 1 321.852 3.116 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(C2CC2)oc1C1CC1 ZINC001755309305 1200790247 /nfs/dbraw/zinc/79/02/47/1200790247.db2.gz WUJSJRHTKDJPNH-CYBMUJFWSA-N 0 1 314.429 3.108 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C1CCCC1)c1ccccc1 ZINC001691786987 1200807690 /nfs/dbraw/zinc/80/76/90/1200807690.db2.gz IKNHJRIMWQTNCM-SFHVURJKSA-N 0 1 312.457 3.283 20 30 DGEDMN C#CCN[C@H](CNC(=O)CCc1ccccc1C)c1ccccc1 ZINC001691797320 1200811538 /nfs/dbraw/zinc/81/15/38/1200811538.db2.gz TWMOPPMIWVJHMJ-HXUWFJFHSA-N 0 1 320.436 3.008 20 30 DGEDMN CC#CCN[C@H](CNC(=O)C1(F)CCCCC1)c1ccccc1 ZINC001691801881 1200813701 /nfs/dbraw/zinc/81/37/01/1200813701.db2.gz XCOZYQXQVAZBCG-QGZVFWFLSA-N 0 1 316.420 3.129 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C2=CCCC2)cc1 ZINC001755328474 1200823222 /nfs/dbraw/zinc/82/32/22/1200823222.db2.gz PQHVONJMYBBTER-MRXNPFEDSA-N 0 1 310.441 3.327 20 30 DGEDMN CCCC(=O)NC[C@@H]1CCCCCN1CC#Cc1ccccc1 ZINC001755362538 1200845609 /nfs/dbraw/zinc/84/56/09/1200845609.db2.gz QJHNPQUQUBULSJ-IBGZPJMESA-N 0 1 312.457 3.199 20 30 DGEDMN C=CC(C)(C)CC(=O)N[C@@H](C)C1CN(Cc2ccsc2)C1 ZINC001755377083 1200863130 /nfs/dbraw/zinc/86/31/30/1200863130.db2.gz PIYUOQCUGDUVJL-ZDUSSCGKSA-N 0 1 306.475 3.287 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NCC[C@H]1CCN(Cc2ccns2)C1 ZINC001691902686 1200864788 /nfs/dbraw/zinc/86/47/88/1200864788.db2.gz YXXHIYJDDVWMEU-AWEZNQCLSA-N 0 1 321.490 3.074 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)C1CN(Cc2ccc(F)cc2)C1 ZINC001755382298 1200866864 /nfs/dbraw/zinc/86/68/64/1200866864.db2.gz NCGYESLVQLZSGV-KUHUBIRLSA-N 0 1 318.436 3.365 20 30 DGEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CCC)c3ccccc3)C2)C1 ZINC001755458994 1200905866 /nfs/dbraw/zinc/90/58/66/1200905866.db2.gz YDMLFTHMXJUCBQ-IBGZPJMESA-N 0 1 324.468 3.128 20 30 DGEDMN C=CCN1CCC[C@H](NC(=O)CCCc2ccccc2Cl)C1 ZINC001692142076 1200948917 /nfs/dbraw/zinc/94/89/17/1200948917.db2.gz ZXWBJHLFTKRUQC-INIZCTEOSA-N 0 1 320.864 3.429 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1cccc(C)c1 ZINC001755555370 1200951233 /nfs/dbraw/zinc/95/12/33/1200951233.db2.gz OHMOOTMYWJSXJA-INIZCTEOSA-N 0 1 306.837 3.188 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](CNCc1nccs1)C1CC1 ZINC001755565632 1200957475 /nfs/dbraw/zinc/95/74/75/1200957475.db2.gz CGJXHMKGHGPISQ-WMLDXEAASA-N 0 1 321.490 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)C(C)(CC)CC ZINC001755574317 1200962411 /nfs/dbraw/zinc/96/24/11/1200962411.db2.gz YNPMSALUIWWRQD-AWEZNQCLSA-N 0 1 300.874 3.392 20 30 DGEDMN C[C@@H](CN(C)C(=O)/C=C\C(C)(C)C)NCc1ccccc1C#N ZINC001755581002 1200967633 /nfs/dbraw/zinc/96/76/33/1200967633.db2.gz FILWNIJYKPSILY-WOLCWHLNSA-N 0 1 313.445 3.097 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@H]1CN(C)Cc1cnc(C)s1 ZINC001692246878 1200984476 /nfs/dbraw/zinc/98/44/76/1200984476.db2.gz XKUQOMIRHXONNN-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc2ncsc2c1 ZINC001755613624 1200991059 /nfs/dbraw/zinc/99/10/59/1200991059.db2.gz FWHKQOLWPVWBCW-NSHDSACASA-N 0 1 323.849 3.099 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H](C)c1ccccc1 ZINC001755616742 1200992437 /nfs/dbraw/zinc/99/24/37/1200992437.db2.gz YBKOOBRERXMNNZ-HIFRSBDPSA-N 0 1 308.853 3.369 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cc2ccccc2o1 ZINC001755616436 1200992476 /nfs/dbraw/zinc/99/24/76/1200992476.db2.gz QMBJIVBABTTYHF-LBPRGKRZSA-N 0 1 306.793 3.235 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cc(F)ccc2F)[C@@H]1C ZINC001755654946 1200997706 /nfs/dbraw/zinc/99/77/06/1200997706.db2.gz RGJZFHFQILZDIR-SUMWQHHRSA-N 0 1 322.399 3.400 20 30 DGEDMN C=CCCCC(=O)N1C[C@@H](NCc2cc(F)ccc2F)C[C@@H]1C ZINC001755683575 1201012463 /nfs/dbraw/zinc/01/24/63/1201012463.db2.gz YHPJORHODHWNHJ-BBRMVZONSA-N 0 1 322.399 3.400 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CCC(F)(F)F)[C@H]1C ZINC001755719034 1201038687 /nfs/dbraw/zinc/03/86/87/1201038687.db2.gz SZHZVXQOUPGLBL-STQMWFEESA-N 0 1 306.372 3.264 20 30 DGEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccc(C)c(C)c1 ZINC001692373298 1201044445 /nfs/dbraw/zinc/04/44/45/1201044445.db2.gz UBVXFQBEBLNSJZ-PMACEKPBSA-N 0 1 324.468 3.282 20 30 DGEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2ccccc2F)[C@@H]1C ZINC001692385815 1201046564 /nfs/dbraw/zinc/04/65/64/1201046564.db2.gz IOVFSXQYCYQCSI-QAPCUYQASA-N 0 1 316.420 3.098 20 30 DGEDMN C#CCCCCC(=O)N[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC001692395062 1201051275 /nfs/dbraw/zinc/05/12/75/1201051275.db2.gz AZBBBJDDSBFBEU-YJBOKZPZSA-N 0 1 316.420 3.271 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2ccsc2)[C@@H]1C ZINC001692394393 1201052979 /nfs/dbraw/zinc/05/29/79/1201052979.db2.gz CHLPSSWJCUMNKW-HIFRSBDPSA-N 0 1 306.475 3.429 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1conc1CC)C(C)(C)C ZINC001755824787 1201089466 /nfs/dbraw/zinc/08/94/66/1201089466.db2.gz IGHZQSHFLNQHTB-INIZCTEOSA-N 0 1 321.465 3.214 20 30 DGEDMN CC/C(C)=C\C(=O)NCC1=CCN(Cc2ccc(C#N)cc2)CC1 ZINC001755885423 1201119900 /nfs/dbraw/zinc/11/99/00/1201119900.db2.gz SRBZYKLLXFXOOT-VBKFSLOCSA-N 0 1 323.440 3.163 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001698568426 1201239074 /nfs/dbraw/zinc/23/90/74/1201239074.db2.gz CCPJYADYZUQBAX-IEBWSBKVSA-N 0 1 318.436 3.461 20 30 DGEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](CC2CCCCC2)C(C)C)C1 ZINC001698571693 1201241418 /nfs/dbraw/zinc/24/14/18/1201241418.db2.gz WZUXUAIOMRAKBF-OALUTQOASA-N 0 1 318.505 3.395 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001698570503 1201241580 /nfs/dbraw/zinc/24/15/80/1201241580.db2.gz ZEBVSXRYEKOASO-QGZVFWFLSA-N 0 1 318.436 3.379 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NC1(CNCc2cnc(C)o2)CCCC1 ZINC001698636286 1201302413 /nfs/dbraw/zinc/30/24/13/1201302413.db2.gz IRSXADZCIQWEQV-HNNXBMFYSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc2scnc21 ZINC001753472039 1201352729 /nfs/dbraw/zinc/35/27/29/1201352729.db2.gz YSZRUWMEYYRLNR-NSHDSACASA-N 0 1 323.849 3.147 20 30 DGEDMN C=CCCC(=O)N(C)[C@H](C)CNCc1c(Cl)cccc1OC ZINC001698816159 1201456966 /nfs/dbraw/zinc/45/69/66/1201456966.db2.gz QUDAMBBKELDHRT-CYBMUJFWSA-N 0 1 324.852 3.251 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc2cccc(F)c2o1 ZINC001698862097 1201518348 /nfs/dbraw/zinc/51/83/48/1201518348.db2.gz UCJSPOTTWNWHIR-NSHDSACASA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)Cc1ccccc1 ZINC001698872137 1201532678 /nfs/dbraw/zinc/53/26/78/1201532678.db2.gz ZIDOXBHBELCQQA-MRXNPFEDSA-N 0 1 308.853 3.102 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)Cc1ccoc1)C1CCCCC1 ZINC001698877560 1201540714 /nfs/dbraw/zinc/54/07/14/1201540714.db2.gz QHBJZAXGTQIFJP-INIZCTEOSA-N 0 1 324.852 3.229 20 30 DGEDMN C=CCCC(=O)N1CCCC[C@@H]1CCN[C@@H](C)c1ncc(C)o1 ZINC001698910367 1201570767 /nfs/dbraw/zinc/57/07/67/1201570767.db2.gz YGFVDCHQXFBVCZ-JKSUJKDBSA-N 0 1 319.449 3.371 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]([C@@H](C)NCc2cc(C)on2)C1 ZINC001698927627 1201581755 /nfs/dbraw/zinc/58/17/55/1201581755.db2.gz FZVWHRHROYNQHA-HZPDHXFCSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1cc(C)oc1C ZINC001698949449 1201596321 /nfs/dbraw/zinc/59/63/21/1201596321.db2.gz YEOOPYLBYGQVTN-ZBEGNZNMSA-N 0 1 324.852 3.479 20 30 DGEDMN CCC(CC)C(=O)N(C)CCN(C)Cc1ccc(F)c(C#N)c1 ZINC001698983889 1201641887 /nfs/dbraw/zinc/64/18/87/1201641887.db2.gz HKVPRJWPDCRPLL-UHFFFAOYSA-N 0 1 319.424 3.024 20 30 DGEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](C)CCCc1ccccc1 ZINC001699011265 1201682368 /nfs/dbraw/zinc/68/23/68/1201682368.db2.gz TUNSMZXDKRMEPW-SFHVURJKSA-N 0 1 314.473 3.059 20 30 DGEDMN C=CCCCCn1c(C(C)C)nnc1N1CCN(C(C)C)CC1 ZINC001749390740 1201701673 /nfs/dbraw/zinc/70/16/73/1201701673.db2.gz HTEBADKIUYPNJK-UHFFFAOYSA-N 0 1 319.497 3.288 20 30 DGEDMN C=CCCCn1c(C2CCC2)nnc1N1CCN(C(C)C)CC1 ZINC001749429535 1201704806 /nfs/dbraw/zinc/70/48/06/1201704806.db2.gz NNSITYAJCXIETL-UHFFFAOYSA-N 0 1 317.481 3.042 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)CCCF)CC(C)(C)C1 ZINC001750945204 1201735855 /nfs/dbraw/zinc/73/58/55/1201735855.db2.gz RMYRJNHYEVXTQD-CYBMUJFWSA-N 0 1 304.837 3.095 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C#CC(C)(C)C)CC(C)(C)C1 ZINC001750947415 1201738707 /nfs/dbraw/zinc/73/87/07/1201738707.db2.gz XGPKSBUMKJJIHT-OAHLLOKOSA-N 0 1 324.896 3.395 20 30 DGEDMN C=CCC(C)(C)C(=O)N(CC)CCNCc1ccccc1F ZINC001699206073 1201753947 /nfs/dbraw/zinc/75/39/47/1201753947.db2.gz UGNHZDFZXFAXQY-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1CCC(C)(C)CC1 ZINC001699220905 1201760744 /nfs/dbraw/zinc/76/07/44/1201760744.db2.gz UNXARSIJRZRFQZ-UHFFFAOYSA-N 0 1 300.874 3.393 20 30 DGEDMN C[C@@H]1CCC[C@H]1CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001699269031 1201775228 /nfs/dbraw/zinc/77/52/28/1201775228.db2.gz RNKYPMUGACGODP-OANMOWAGSA-N 0 1 324.468 3.126 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)CCc1ccc(C)cc1C ZINC001699290316 1201779167 /nfs/dbraw/zinc/77/91/67/1201779167.db2.gz YEWJCWROYSHZNH-SNAWJCMRSA-N 0 1 320.864 3.251 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1nccs1 ZINC001752145791 1201786574 /nfs/dbraw/zinc/78/65/74/1201786574.db2.gz ICBXMJFBCFXJPH-LSDHHAIUSA-N 0 1 321.490 3.359 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(C(F)F)cc1 ZINC001699321410 1201788551 /nfs/dbraw/zinc/78/85/51/1201788551.db2.gz NMEPVHLRXIZANY-NSCUHMNNSA-N 0 1 314.763 3.252 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccccc1C(F)(F)F ZINC001699386529 1201832012 /nfs/dbraw/zinc/83/20/12/1201832012.db2.gz BILIVDVPRNKTJN-SNVBAGLBSA-N 0 1 320.742 3.166 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCCC2(C)C)CC1 ZINC001752438937 1201839415 /nfs/dbraw/zinc/83/94/15/1201839415.db2.gz ASXMIRZCIPNZIX-QGZVFWFLSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001699402504 1201840504 /nfs/dbraw/zinc/84/05/04/1201840504.db2.gz UFMZGJJFYNOCFO-ZDUSSCGKSA-N 0 1 324.827 3.267 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@H](NC/C(Cl)=C\Cl)C1CC1 ZINC001752520493 1201870335 /nfs/dbraw/zinc/87/03/35/1201870335.db2.gz ZLKQHQDRYBGXAM-RPHSKFLZSA-N 0 1 319.276 3.392 20 30 DGEDMN Cc1cccc([C@@H](C)N2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC(C)C)c1 ZINC001752534721 1201871367 /nfs/dbraw/zinc/87/13/67/1201871367.db2.gz ORENFLZFBUCGLG-RLLQIKCJSA-N 0 1 324.468 3.391 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C=C)c1ccccc1 ZINC001752544521 1201873100 /nfs/dbraw/zinc/87/31/00/1201873100.db2.gz ARVBDNCFVVSIAU-YSIASYRMSA-N 0 1 322.452 3.045 20 30 DGEDMN CC[C@H](C)C(=O)NC[C@@H]1CCCCN1Cc1cccc(C#N)c1 ZINC001752813497 1201936190 /nfs/dbraw/zinc/93/61/90/1201936190.db2.gz FULGGWGYHKFBTP-YJBOKZPZSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCCN1Cc1cccnc1C ZINC001752821081 1201945680 /nfs/dbraw/zinc/94/56/80/1201945680.db2.gz VOOHCZWVABNJQH-SFHVURJKSA-N 0 1 315.461 3.217 20 30 DGEDMN C=C[C@@H](CC(=O)NC[C@@H]1CCCCN1CC#CC)c1ccccc1 ZINC001752824580 1201953632 /nfs/dbraw/zinc/95/36/32/1201953632.db2.gz AFAUJKJACFCNTR-ICSRJNTNSA-N 0 1 324.468 3.340 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](CNCc1ccncc1Cl)C1CC1 ZINC001699579072 1201956945 /nfs/dbraw/zinc/95/69/45/1201956945.db2.gz PKPDEAYKIVJJDT-INIZCTEOSA-N 0 1 321.852 3.076 20 30 DGEDMN CCCCCCCN1CC[C@@H]1CNC(=O)c1cnc(C)s1 ZINC001699612085 1201976405 /nfs/dbraw/zinc/97/64/05/1201976405.db2.gz CMOXOIQIKARMRN-CQSZACIVSA-N 0 1 309.479 3.226 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)NCc1cccc(F)c1Cl ZINC001752874268 1201987854 /nfs/dbraw/zinc/98/78/54/1201987854.db2.gz DCSFSAQUDWAHCS-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN CCC(CC)[C@H](C)C(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001752881459 1201991939 /nfs/dbraw/zinc/99/19/39/1201991939.db2.gz BFHIBQXWAWXLLY-GJZGRUSLSA-N 0 1 315.461 3.225 20 30 DGEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1occc1Cl ZINC001699636072 1202001193 /nfs/dbraw/zinc/00/11/93/1202001193.db2.gz ZMXHFZBZFUMBGX-CYBMUJFWSA-N 0 1 310.825 3.484 20 30 DGEDMN CCC1(C(=O)NC[C@@H](C)NCC#Cc2ccccc2)CCCC1 ZINC001752909664 1202007167 /nfs/dbraw/zinc/00/71/67/1202007167.db2.gz LXEJYPWCAPWEOO-QGZVFWFLSA-N 0 1 312.457 3.103 20 30 DGEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCc1ccc(C)s1 ZINC001699641080 1202007547 /nfs/dbraw/zinc/00/75/47/1202007547.db2.gz TZTYRRZNWMDYKC-OAHLLOKOSA-N 0 1 306.475 3.146 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CC(C)(C)CC(F)(F)F ZINC001752914124 1202010332 /nfs/dbraw/zinc/01/03/32/1202010332.db2.gz NRUSECMLADCBEL-JTQLQIEISA-N 0 1 314.779 3.202 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)[C@H](c2ccccc2)C(C)C)CC1 ZINC001699665854 1202024219 /nfs/dbraw/zinc/02/42/19/1202024219.db2.gz RQDIYATWHXTYPW-INIZCTEOSA-N 0 1 320.864 3.417 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H](N(C)Cc2cnc(C)s2)C1 ZINC001752995976 1202046140 /nfs/dbraw/zinc/04/61/40/1202046140.db2.gz GGAMRPSFGHBFAG-HNNXBMFYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001699712857 1202046951 /nfs/dbraw/zinc/04/69/51/1202046951.db2.gz ABMMVYJRWMMFSE-XLMAVXFVSA-N 0 1 302.462 3.086 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cccc(F)c2F)C1 ZINC001699718768 1202051650 /nfs/dbraw/zinc/05/16/50/1202051650.db2.gz VOJGJYWJNUZVJR-GFCCVEGCSA-N 0 1 308.372 3.076 20 30 DGEDMN C#CCN(C(=O)C[C@@H](C=C)CC)C1CCN(C/C=C/Cl)CC1 ZINC001699784556 1202077205 /nfs/dbraw/zinc/07/72/05/1202077205.db2.gz LCYIIIQRSXRREI-JCVNQNCUSA-N 0 1 322.880 3.267 20 30 DGEDMN C#CCN(C(=O)C/C=C(/C)C=C)C1CCN(C[C@@H](F)CC)CC1 ZINC001699784270 1202077695 /nfs/dbraw/zinc/07/76/95/1202077695.db2.gz CPINHKFLCUVPQX-KZRVJCNKSA-N 0 1 320.452 3.183 20 30 DGEDMN C=C(Cl)CNC[C@H](NC(=O)CCc1ccoc1)C1CCCC1 ZINC001699793165 1202080689 /nfs/dbraw/zinc/08/06/89/1202080689.db2.gz SVLCGMWLYNFWFC-INIZCTEOSA-N 0 1 324.852 3.229 20 30 DGEDMN CCCC[C@H](C)C(=O)NCCN(C)Cc1ccc(C#N)c(F)c1 ZINC001753047585 1202080929 /nfs/dbraw/zinc/08/09/29/1202080929.db2.gz MXTRBGIHVJTIMD-AWEZNQCLSA-N 0 1 319.424 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1[nH]c(C)cc1C)C1CCCC1 ZINC001699797282 1202083102 /nfs/dbraw/zinc/08/31/02/1202083102.db2.gz ZDZOUWRNCKOTQT-OAHLLOKOSA-N 0 1 323.868 3.262 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2cccc(Cl)c2)C1 ZINC001699826650 1202100891 /nfs/dbraw/zinc/10/08/91/1202100891.db2.gz SJKHLCJEZQZVJB-KBXCAEBGSA-N 0 1 318.848 3.047 20 30 DGEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)c2cccc(Cl)c2)C1 ZINC001699826653 1202101319 /nfs/dbraw/zinc/10/13/19/1202101319.db2.gz SJKHLCJEZQZVJB-RDTXWAMCSA-N 0 1 318.848 3.047 20 30 DGEDMN C=C(C)CCC(=O)NCC1(C)CCN(Cc2cnc(C)o2)CC1 ZINC001699862316 1202118753 /nfs/dbraw/zinc/11/87/53/1202118753.db2.gz RMNWYVMBCICIGL-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H]1CCC[N@H+]1Cc1cccc(C#N)c1 ZINC001753097633 1202129750 /nfs/dbraw/zinc/12/97/50/1202129750.db2.gz QOQXKPOHOXMDOL-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@H]1CCCN1Cc1cccc(C#N)c1 ZINC001753097633 1202129760 /nfs/dbraw/zinc/12/97/60/1202129760.db2.gz QOQXKPOHOXMDOL-MAUKXSAKSA-N 0 1 313.445 3.075 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@@H]1CN(CC=C(C)C)CCC1(F)F ZINC001699888941 1202136229 /nfs/dbraw/zinc/13/62/29/1202136229.db2.gz VSCSYATXQHVYSK-CQSZACIVSA-N 0 1 314.420 3.238 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C(CC)CC)C1 ZINC001699887355 1202136711 /nfs/dbraw/zinc/13/67/11/1202136711.db2.gz LFBSIOTXYAGTGC-ZFWWWQNUSA-N 0 1 316.436 3.318 20 30 DGEDMN C#CCN[C@@H](CNC(=O)C(C)=C1CCC1)c1ccccc1CC ZINC001699930883 1202140051 /nfs/dbraw/zinc/14/00/51/1202140051.db2.gz AAROAKAPRZEQBC-IBGZPJMESA-N 0 1 310.441 3.130 20 30 DGEDMN C=CCCCC(=O)NC[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC001753110565 1202140944 /nfs/dbraw/zinc/14/09/44/1202140944.db2.gz PYAVAMWWUXOGMP-KRWDZBQOSA-N 0 1 304.409 3.263 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1cc(C)oc1C)c1ccccc1CC ZINC001699934472 1202141381 /nfs/dbraw/zinc/14/13/81/1202141381.db2.gz OYHSRIDYVHFOGU-LJQANCHMSA-N 0 1 324.424 3.153 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC001753111879 1202141929 /nfs/dbraw/zinc/14/19/29/1202141929.db2.gz QRGXFRUCYRHJAX-HKUYNNGSSA-N 0 1 315.461 3.073 20 30 DGEDMN CCCC1(C(=O)N(C)CCNCC#Cc2ccccc2)CCC1 ZINC001753220898 1202185108 /nfs/dbraw/zinc/18/51/08/1202185108.db2.gz ZUFKIMQDACNXDG-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN CCC(CC)C(=O)N(C)CCCN(C)Cc1ccc(C#N)s1 ZINC001700182536 1202198954 /nfs/dbraw/zinc/19/89/54/1202198954.db2.gz ALBNXFHLZRAUNX-UHFFFAOYSA-N 0 1 321.490 3.336 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1csc(Cl)c1)c1ccccc1 ZINC001753309073 1202202653 /nfs/dbraw/zinc/20/26/53/1202202653.db2.gz BNFNPKPVAUNOPX-AWEZNQCLSA-N 0 1 318.829 3.095 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cc(C)cc(C)c2)C1 ZINC001753322604 1202210472 /nfs/dbraw/zinc/21/04/72/1202210472.db2.gz IWQPJGLZSUQRNT-SFHVURJKSA-N 0 1 300.446 3.416 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)CCCC3CC3)cc2C1 ZINC001700252181 1202217830 /nfs/dbraw/zinc/21/78/30/1202217830.db2.gz BEZQXBQEWQRHBV-UHFFFAOYSA-N 0 1 310.441 3.222 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H](CC)CCC)C1 ZINC001753359582 1202227633 /nfs/dbraw/zinc/22/76/33/1202227633.db2.gz KIWBOXRQBNZOKM-GJZGRUSLSA-N 0 1 300.874 3.346 20 30 DGEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)CC(C)(C)C)C(C)(C)C1 ZINC001700291428 1202228619 /nfs/dbraw/zinc/22/86/19/1202228619.db2.gz CTQUVKPYSQWJFF-CVEARBPZSA-N 0 1 306.494 3.299 20 30 DGEDMN C=CCN1CCC[C@H](N(Cc2ccccc2)C(=O)CCCF)C1 ZINC001753361766 1202228970 /nfs/dbraw/zinc/22/89/70/1202228970.db2.gz OFURNDVQSDBCFR-SFHVURJKSA-N 0 1 318.436 3.415 20 30 DGEDMN C=C(C)CCC(=O)N[C@H]1CCN(Cc2oc(C)nc2C)[C@H](C)C1 ZINC001700312879 1202232396 /nfs/dbraw/zinc/23/23/96/1202232396.db2.gz DMRMDFXMBLFCMV-CJNGLKHVSA-N 0 1 319.449 3.117 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(Cc2conc2C)[C@@H](C)C1 ZINC001700317890 1202233774 /nfs/dbraw/zinc/23/37/74/1202233774.db2.gz NLPAEFURIYLTNQ-BBRMVZONSA-N 0 1 319.449 3.054 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)Cc2cc(C)ccc2C)C1 ZINC001753386010 1202235984 /nfs/dbraw/zinc/23/59/84/1202235984.db2.gz PLPGWFQGNRLPQN-MRXNPFEDSA-N 0 1 300.446 3.001 20 30 DGEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)c2ccccc2C)C1 ZINC001753395626 1202240496 /nfs/dbraw/zinc/24/04/96/1202240496.db2.gz PGEBKLXHFRHMKL-HZPDHXFCSA-N 0 1 300.446 3.254 20 30 DGEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1cc(F)ccc1Cl ZINC001700359414 1202248192 /nfs/dbraw/zinc/24/81/92/1202248192.db2.gz MQZQKTSWRQNDJM-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cc(F)ccc1Cl ZINC001700359414 1202248194 /nfs/dbraw/zinc/24/81/94/1202248194.db2.gz MQZQKTSWRQNDJM-CQSZACIVSA-N 0 1 322.811 3.039 20 30 DGEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCCCC1(C)C ZINC001700363596 1202250786 /nfs/dbraw/zinc/25/07/86/1202250786.db2.gz HYYTXRVYUTUDMU-IAGOWNOFSA-N 0 1 304.478 3.149 20 30 DGEDMN C#CCCCC(=O)N(C)[C@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC001753435200 1202253785 /nfs/dbraw/zinc/25/37/85/1202253785.db2.gz NRAFSDUTUWORNY-KRWDZBQOSA-N 0 1 318.848 3.176 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@@H]1CCN(Cc2ncccc2C)C1 ZINC001753441984 1202255513 /nfs/dbraw/zinc/25/55/13/1202255513.db2.gz SZCJYFGDXXUHIA-DLBZAZTESA-N 0 1 315.461 3.025 20 30 DGEDMN CCCCC(=O)N(CC)[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001753451753 1202259679 /nfs/dbraw/zinc/25/96/79/1202259679.db2.gz KYBJKPOTZPSWHD-SFHVURJKSA-N 0 1 313.445 3.171 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CC1(c2ccccc2)CC1 ZINC001700400408 1202262363 /nfs/dbraw/zinc/26/23/63/1202262363.db2.gz MJGALXBSJBUMSQ-CABCVRRESA-N 0 1 320.864 3.344 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cccc2c1CCCC2 ZINC001700401267 1202262794 /nfs/dbraw/zinc/26/27/94/1202262794.db2.gz NXEIOBUMOXRIBD-UONOGXRCSA-N 0 1 320.864 3.414 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1cccc(C)c1 ZINC001700408133 1202264674 /nfs/dbraw/zinc/26/46/74/1202264674.db2.gz HBKIKIMTSGFHEA-GJZGRUSLSA-N 0 1 308.853 3.163 20 30 DGEDMN C=C1CCC(C(=O)N[C@H](C)[C@@H](C)NCc2c(C)noc2C)CC1 ZINC001700422861 1202269177 /nfs/dbraw/zinc/26/91/77/1202269177.db2.gz UPPDQOOTKGTSRL-CHWSQXEVSA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC001700460541 1202281386 /nfs/dbraw/zinc/28/13/86/1202281386.db2.gz MWDXFLDJLCDRPX-ZDUSSCGKSA-N 0 1 309.841 3.028 20 30 DGEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)C(C)=C2CCCC2)C1 ZINC001753650091 1202306568 /nfs/dbraw/zinc/30/65/68/1202306568.db2.gz MPRQSYZUWRIHFW-MAUKXSAKSA-N 0 1 320.477 3.049 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2ccc(C)cc2[nH]1 ZINC001753705127 1202317313 /nfs/dbraw/zinc/31/73/13/1202317313.db2.gz RIIKKECHDKEDJN-CYBMUJFWSA-N 0 1 319.836 3.279 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N(C)[C@H](C)CNCc1nc(C)c(C)s1 ZINC001753709101 1202318409 /nfs/dbraw/zinc/31/84/09/1202318409.db2.gz RVVKRMDYCIZTOS-DOMZBBRYSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(C)C)cn1 ZINC001753712883 1202319230 /nfs/dbraw/zinc/31/92/30/1202319230.db2.gz SWPISJXZVNEQFB-CYBMUJFWSA-N 0 1 309.841 3.008 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCCNCc2nccs2)CC1 ZINC001700672309 1202332048 /nfs/dbraw/zinc/33/20/48/1202332048.db2.gz FLMFXSFQAORCNU-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=C(C)CCC(=O)N1CCCC[C@H]1CCNCc1cscn1 ZINC001753776816 1202332356 /nfs/dbraw/zinc/33/23/56/1202332356.db2.gz KNNJBTUJKXVQMS-INIZCTEOSA-N 0 1 321.490 3.360 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@H]([C@@H](C)NCc2ncc(C)o2)C1 ZINC001753813104 1202338344 /nfs/dbraw/zinc/33/83/44/1202338344.db2.gz GBTZNQVKAQXMFP-CVEARBPZSA-N 0 1 319.449 3.056 20 30 DGEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CC1CC(C)(C)C1 ZINC001700728561 1202343654 /nfs/dbraw/zinc/34/36/54/1202343654.db2.gz NSLNRKQBJNFNPE-OAHLLOKOSA-N 0 1 312.885 3.392 20 30 DGEDMN CCC[C@@H](C)C(=O)N(C)C[C@H](C)NCc1ccc(C#N)cc1F ZINC001700743537 1202346909 /nfs/dbraw/zinc/34/69/09/1202346909.db2.gz IGMJAKBTUHIVMI-KGLIPLIRSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NCc1nc(C)sc1C ZINC001700750164 1202348283 /nfs/dbraw/zinc/34/82/83/1202348283.db2.gz GQDCRSCNVSZBSB-GFCCVEGCSA-N 0 1 309.479 3.053 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1cc2ccccc2o1 ZINC001700763150 1202350104 /nfs/dbraw/zinc/35/01/04/1202350104.db2.gz VKARTWSBCUIGJO-CYBMUJFWSA-N 0 1 320.820 3.164 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2scnc2C)[C@@H]1C ZINC001700881145 1202364790 /nfs/dbraw/zinc/36/47/90/1202364790.db2.gz NWDLLKYFCAYPQO-ZIAGYGMSSA-N 0 1 321.490 3.133 20 30 DGEDMN C=CCCCC(=O)N[C@H]1CC[C@@H](CNCc2nc(C)c(C)o2)C1 ZINC001700902714 1202367963 /nfs/dbraw/zinc/36/79/63/1202367963.db2.gz RDPOWUXRKRXFNG-CVEARBPZSA-N 0 1 319.449 3.022 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1ncc(C)s1)C(C)(C)C ZINC001700982897 1202376425 /nfs/dbraw/zinc/37/64/25/1202376425.db2.gz DODNBRSCDMVKSY-CYBMUJFWSA-N 0 1 309.479 3.038 20 30 DGEDMN C=CCCC(=O)N[C@H](CNCc1nc(C)sc1C)C(C)(C)C ZINC001700984083 1202376435 /nfs/dbraw/zinc/37/64/35/1202376435.db2.gz NITGLZPAWVDPEY-OAHLLOKOSA-N 0 1 323.506 3.347 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cc(C)c(C)cn1)C(C)(C)C ZINC001700992057 1202377696 /nfs/dbraw/zinc/37/76/96/1202377696.db2.gz WAGBHGXBUGAKGK-OAHLLOKOSA-N 0 1 323.868 3.185 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2cnc(C)o2)CC1 ZINC001701007779 1202380306 /nfs/dbraw/zinc/38/03/06/1202380306.db2.gz PZQWXJDRDQDSQL-CQSZACIVSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1Cc1ccc(CF)cc1 ZINC001707049251 1202569006 /nfs/dbraw/zinc/56/90/06/1202569006.db2.gz XLNWMAYGGJDFMA-KRWDZBQOSA-N 0 1 318.436 3.401 20 30 DGEDMN CCCCCCC[N@H+]1CC[C@H]1CN(C)C(=O)c1nc(C)oc1C ZINC001707059118 1202570572 /nfs/dbraw/zinc/57/05/72/1202570572.db2.gz UYVZZDNQUZHIAG-INIZCTEOSA-N 0 1 321.465 3.408 20 30 DGEDMN C=CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CCCCC)C1 ZINC001707094878 1202573074 /nfs/dbraw/zinc/57/30/74/1202573074.db2.gz OBUFQKGBUXCCQC-ZWKOTPCHSA-N 0 1 300.446 3.337 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)Cc1ccc(C#N)s1 ZINC001712969888 1202607229 /nfs/dbraw/zinc/60/72/29/1202607229.db2.gz OVYHCKARQBBIEF-QGZVFWFLSA-N 0 1 319.474 3.160 20 30 DGEDMN CC[C@@H](C)C(=O)N(C)C1CCN(Cc2ccc(C#N)s2)CC1 ZINC001713049946 1202612750 /nfs/dbraw/zinc/61/27/50/1202612750.db2.gz BQQWVZMQTRFCFB-CYBMUJFWSA-N 0 1 319.474 3.089 20 30 DGEDMN CC#CCN1CCC(N(CCC)C(=O)[C@@H](C)c2ccco2)CC1 ZINC001713101722 1202617324 /nfs/dbraw/zinc/61/73/24/1202617324.db2.gz YKAUQQHHUVZWHD-INIZCTEOSA-N 0 1 316.445 3.109 20 30 DGEDMN C=CCCC(=O)N1CCC[C@H](CN(C)[C@H](C)c2ncc(C)o2)C1 ZINC001713128451 1202620073 /nfs/dbraw/zinc/62/00/73/1202620073.db2.gz NYUQOAXSPIHXMF-HZPDHXFCSA-N 0 1 319.449 3.181 20 30 DGEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001713144132 1202621679 /nfs/dbraw/zinc/62/16/79/1202621679.db2.gz TXVGVYRCUHAYOG-VQHPVUNQSA-N 0 1 304.478 3.003 20 30 DGEDMN CC/C(C)=C\C(=O)NC[C@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713213352 1202627475 /nfs/dbraw/zinc/62/74/75/1202627475.db2.gz OHIUMTAJQAOZBV-XLEPWJDSSA-N 0 1 324.468 3.223 20 30 DGEDMN C#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)CC(CC)CC ZINC001713271445 1202633758 /nfs/dbraw/zinc/63/37/58/1202633758.db2.gz JAYFPFYOPNEOCB-ZWKOTPCHSA-N 0 1 304.478 3.291 20 30 DGEDMN C/C=C(/C)C(=O)N[C@H](C)[C@@H]1CCCN(CC#Cc2ccccc2)C1 ZINC001713273927 1202634345 /nfs/dbraw/zinc/63/43/45/1202634345.db2.gz PIDSXAGITVVBON-FLFOHNBESA-N 0 1 324.468 3.221 20 30 DGEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NCc2ncc(C(C)C)o2)C1 ZINC001713415525 1202647372 /nfs/dbraw/zinc/64/73/72/1202647372.db2.gz FRTFMBDCKXCLPZ-CQSZACIVSA-N 0 1 319.449 3.139 20 30 DGEDMN C#CCCCC(=O)NCCN(CC)[C@H](C)c1ccccc1F ZINC001713483930 1202657869 /nfs/dbraw/zinc/65/78/69/1202657869.db2.gz OYSUSFJTAVXSEL-OAHLLOKOSA-N 0 1 304.409 3.128 20 30 DGEDMN C#CCCCC(=O)NCCN(CC)[C@@H](C)c1ccccc1F ZINC001713483929 1202657891 /nfs/dbraw/zinc/65/78/91/1202657891.db2.gz OYSUSFJTAVXSEL-HNNXBMFYSA-N 0 1 304.409 3.128 20 30 DGEDMN C#CCCCC(=O)NC[C@@H]1CCCN1Cc1cc(F)ccc1C ZINC001713504750 1202661819 /nfs/dbraw/zinc/66/18/19/1202661819.db2.gz OWASBNIDPBTRMA-SFHVURJKSA-N 0 1 316.420 3.018 20 30 DGEDMN CC#CCN(CCNC(=O)c1oc2c(cccc2C)c1C)C1CC1 ZINC001713566075 1202671498 /nfs/dbraw/zinc/67/14/98/1202671498.db2.gz LGFPLCDWBXMPTA-UHFFFAOYSA-N 0 1 324.424 3.267 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(F)c2ccccc12 ZINC001713636329 1202674405 /nfs/dbraw/zinc/67/44/05/1202674405.db2.gz QGYYHRVZUZNXMS-UHFFFAOYSA-N 0 1 320.795 3.393 20 30 DGEDMN CC(C)[C@H](C)C(=O)N(C)CCNCC#Cc1ccc(Cl)cc1 ZINC001713640302 1202674506 /nfs/dbraw/zinc/67/45/06/1202674506.db2.gz IYMROXKURFEWIY-HNNXBMFYSA-N 0 1 320.864 3.032 20 30 DGEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)c1ccc(CC)cc1 ZINC001713644423 1202674800 /nfs/dbraw/zinc/67/48/00/1202674800.db2.gz CCWMITPDDYFJPI-AWEZNQCLSA-N 0 1 308.853 3.153 20 30 DGEDMN C#CCN[C@H](CNC(=O)c1sccc1C1CC1)c1ccccc1 ZINC001713703859 1202679029 /nfs/dbraw/zinc/67/90/29/1202679029.db2.gz NEEYDWFWUKCBSA-QGZVFWFLSA-N 0 1 324.449 3.319 20 30 DGEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C/C=C\Cc2ccccc2)C1 ZINC001713717993 1202680903 /nfs/dbraw/zinc/68/09/03/1202680903.db2.gz XCQNXPFDUMANFD-ZTBDEIDFSA-N 0 1 324.468 3.122 20 30 DGEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001713731374 1202683220 /nfs/dbraw/zinc/68/32/20/1202683220.db2.gz JSOMMCJIDHPORH-CHWSQXEVSA-N 0 1 320.811 3.003 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CCCC23CC3)C1 ZINC001713729869 1202683451 /nfs/dbraw/zinc/68/34/51/1202683451.db2.gz DJINSZNFSPXUHJ-GJZGRUSLSA-N 0 1 310.869 3.147 20 30 DGEDMN C=CCCCC(=O)N(C)C1CCN(Cc2conc2CC)CC1 ZINC001713782622 1202690059 /nfs/dbraw/zinc/69/00/59/1202690059.db2.gz UHNJAOACULYAKC-UHFFFAOYSA-N 0 1 319.449 3.016 20 30 DGEDMN CC#CCN1CC[C@@H](NC(=O)CCCCCc2cccs2)C1 ZINC001713832127 1202693813 /nfs/dbraw/zinc/69/38/13/1202693813.db2.gz WUOORBANBIUUFN-MRXNPFEDSA-N 0 1 318.486 3.065 20 30 DGEDMN C=CCCC(=O)N(CCC)C1CCN(Cc2cccnc2)CC1 ZINC001713852932 1202695752 /nfs/dbraw/zinc/69/57/52/1202695752.db2.gz CEHHMTDNXRYWRK-UHFFFAOYSA-N 0 1 315.461 3.251 20 30 DGEDMN C=C(C)CCN1CC(NC(=O)CC[C@H]2CCc3ccccc32)C1 ZINC001713878215 1202698242 /nfs/dbraw/zinc/69/82/42/1202698242.db2.gz UKYUGWHQKDPYME-QGZVFWFLSA-N 0 1 312.457 3.263 20 30 DGEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C(F)F)o1 ZINC001713913416 1202701780 /nfs/dbraw/zinc/70/17/80/1202701780.db2.gz XLNDPDTVKNOIPN-BETUJISGSA-N 0 1 324.371 3.472 20 30 DGEDMN C=CCC1(C(=O)N[C@H]2C[C@H](NC/C(Cl)=C\Cl)C2)CCC1 ZINC001713958870 1202706389 /nfs/dbraw/zinc/70/63/89/1202706389.db2.gz SJPGINPWIALIFN-WNMRZGSGSA-N 0 1 317.260 3.289 20 30 DGEDMN C=CCC(C)(C)C(=O)N(C)[C@H]1CCN(CCC(F)(F)F)C1 ZINC001714012849 1202727314 /nfs/dbraw/zinc/72/73/14/1202727314.db2.gz AJOLPKXRJAEDQT-LBPRGKRZSA-N 0 1 306.372 3.074 20 30 DGEDMN CC#CCN1CC[C@H](N(C)C(=O)C2(CC(C)C)CCCC2)C1 ZINC001714017865 1202730950 /nfs/dbraw/zinc/73/09/50/1202730950.db2.gz GAZMEJQVGWYWEM-KRWDZBQOSA-N 0 1 304.478 3.149 20 30 DGEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cccc(C(C)C)n1 ZINC001714088624 1202768077 /nfs/dbraw/zinc/76/80/77/1202768077.db2.gz NBBQGALFTKGVHD-ZDUSSCGKSA-N 0 1 309.841 3.056 20 30 DGEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C(C)(C)C(C)C)C1 ZINC001753348762 1202797785 /nfs/dbraw/zinc/79/77/85/1202797785.db2.gz AZJPIQMHIWPWNR-CQSZACIVSA-N 0 1 300.874 3.201 20 30 DGEDMN C=CCCCC(=O)N1CCC[C@@H](CCNCc2cc(C)on2)C1 ZINC001714226384 1202838622 /nfs/dbraw/zinc/83/86/22/1202838622.db2.gz USOMAKVNLXWABX-INIZCTEOSA-N 0 1 319.449 3.058 20 30 DGEDMN CC#CCCCC(=O)NC[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC001714263531 1202853714 /nfs/dbraw/zinc/85/37/14/1202853714.db2.gz DTZCRUIZFRALNO-ZIAGYGMSSA-N 0 1 322.399 3.314 20 30 DGEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NCc1cccc(F)c1F ZINC001714279382 1202861690 /nfs/dbraw/zinc/86/16/90/1202861690.db2.gz REUWVFSLPWMYLI-GFCCVEGCSA-N 0 1 310.388 3.161 20 30 DGEDMN CCC(C)(CC)C(=O)NC[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001714304306 1202872898 /nfs/dbraw/zinc/87/28/98/1202872898.db2.gz MXGBDQRCPMJRSH-HNNXBMFYSA-N 0 1 318.436 3.098 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC001714306530 1202874351 /nfs/dbraw/zinc/87/43/51/1202874351.db2.gz AJJCRQXZUDPGCC-RBSFLKMASA-N 0 1 321.490 3.262 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(C)(F)F)cc1 ZINC001714312007 1202878792 /nfs/dbraw/zinc/87/87/92/1202878792.db2.gz PFBGKFXLKZVITI-LLVKDONJSA-N 0 1 316.779 3.259 20 30 DGEDMN N#Cc1ccccc1CNC1(CNC(=O)C2CC=CC2)CCCC1 ZINC001714490596 1202960570 /nfs/dbraw/zinc/96/05/70/1202960570.db2.gz RSKBJIWGJSJEOG-UHFFFAOYSA-N 0 1 323.440 3.043 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@](C)(CC)CCC)CC2 ZINC001714523316 1202976933 /nfs/dbraw/zinc/97/69/33/1202976933.db2.gz JUBHJMHPKODGGS-SFHVURJKSA-N 0 1 304.478 3.151 20 30 DGEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](CCC)CC(C)C)CC2 ZINC001714526410 1202978464 /nfs/dbraw/zinc/97/84/64/1202978464.db2.gz VVKNRQFTSPCTQP-GOSISDBHSA-N 0 1 318.505 3.397 20 30 DGEDMN CC[C@@H](c1ccc(F)cc1F)N(CC)CCNC(=O)[C@H](C)C#N ZINC001714580075 1203021447 /nfs/dbraw/zinc/02/14/47/1203021447.db2.gz XBRNAVOVINCFFM-WBMJQRKESA-N 0 1 323.387 3.014 20 30 DGEDMN C=CC(C)(C)CC(=O)N(C)[C@@H](C)CNCc1ccn(C(C)C)n1 ZINC001714639240 1203066341 /nfs/dbraw/zinc/06/63/41/1203066341.db2.gz WMAJNZFBMYVWHN-HNNXBMFYSA-N 0 1 320.481 3.003 20 30 DGEDMN CCCN(CC#Cc1cccc(Cl)c1)CCNC(=O)C(C)C ZINC001714643665 1203068597 /nfs/dbraw/zinc/06/85/97/1203068597.db2.gz AWIFMTFUZCSMLF-UHFFFAOYSA-N 0 1 320.864 3.176 20 30 DGEDMN C=CC(C)(C)C(=O)NCCN(CCC)Cc1ccccc1F ZINC001714657085 1203081257 /nfs/dbraw/zinc/08/12/57/1203081257.db2.gz WLNDKHNMULHBPI-UHFFFAOYSA-N 0 1 306.425 3.366 20 30 DGEDMN CC(C)(C)CC(=O)NCCN(Cc1ccc(C#N)cc1)C1CC1 ZINC001714664568 1203088566 /nfs/dbraw/zinc/08/85/66/1203088566.db2.gz XEVUGLXFSJDZSW-UHFFFAOYSA-N 0 1 313.445 3.075 20 30 DGEDMN C#CCN(CCNC(=O)c1ccc(C2=CCCC2)cc1)C1CC1 ZINC001714682237 1203099785 /nfs/dbraw/zinc/09/97/85/1203099785.db2.gz QNTYBXYFBHMFKA-UHFFFAOYSA-N 0 1 308.425 3.081 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN[C@H]1CCc2c1c(F)ccc2F ZINC001714718325 1203107873 /nfs/dbraw/zinc/10/78/73/1203107873.db2.gz STBMHBICNBHQEO-INIZCTEOSA-N 0 1 322.399 3.356 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCNCc1cc(OC)ccc1Cl ZINC001714718246 1203107931 /nfs/dbraw/zinc/10/79/31/1203107931.db2.gz ROWGXIPKJSLGBV-UHFFFAOYSA-N 0 1 324.852 3.253 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1cccc(Cl)c1 ZINC001714745276 1203115477 /nfs/dbraw/zinc/11/54/77/1203115477.db2.gz VUZYDMZOCJRRTH-LBPRGKRZSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc2cc(F)ccc2o1 ZINC001714777949 1203125043 /nfs/dbraw/zinc/12/50/43/1203125043.db2.gz SXTFLPIZMQEUGX-LLVKDONJSA-N 0 1 324.783 3.375 20 30 DGEDMN C=C(Cl)CN(CCCNC(=O)[C@H]1C[C@@H]1C(C)C)C(C)C ZINC001714832546 1203143781 /nfs/dbraw/zinc/14/37/81/1203143781.db2.gz VMJWNZSLOHDIHL-CABCVRRESA-N 0 1 300.874 3.248 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1C)c1ccc(C(C)C)cc1 ZINC001714836257 1203145473 /nfs/dbraw/zinc/14/54/73/1203145473.db2.gz SMFQLGQYODSGLW-LZQZEXGQSA-N 0 1 312.457 3.236 20 30 DGEDMN C=C(C)CCC(=O)NC[C@H](NCc1cccnc1)c1ccccc1 ZINC001714838271 1203146904 /nfs/dbraw/zinc/14/69/04/1203146904.db2.gz YHQNEOHBZDOROB-IBGZPJMESA-N 0 1 323.440 3.385 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC2CCC1CC2)c1ccccc1 ZINC001714839259 1203147134 /nfs/dbraw/zinc/14/71/34/1203147134.db2.gz GCAJZPSODNCGAV-WXDAQCLHSA-N 0 1 324.468 3.283 20 30 DGEDMN C#CCN[C@@H](CNC(=O)c1occc1C(C)C)c1ccccc1 ZINC001714840739 1203147693 /nfs/dbraw/zinc/14/76/93/1203147693.db2.gz XSJNOHUMHUHBFH-KRWDZBQOSA-N 0 1 310.397 3.097 20 30 DGEDMN C=CCCCC(=O)N(CCC)[C@H]1CCN(Cc2ccccn2)C1 ZINC001714869960 1203167776 /nfs/dbraw/zinc/16/77/76/1203167776.db2.gz MHSZBMXQRXSCKE-SFHVURJKSA-N 0 1 315.461 3.251 20 30 DGEDMN C=CCCCC(=O)NCC[C@H]1CCN(CC=C(Cl)Cl)C1 ZINC001714872840 1203169272 /nfs/dbraw/zinc/16/92/72/1203169272.db2.gz CNBOPMVOSOXCKN-ZDUSSCGKSA-N 0 1 319.276 3.490 20 30 DGEDMN C=CCCC(=O)N1CC[C@@H](CN(C)CC=C(Cl)Cl)C1 ZINC001714890232 1203180658 /nfs/dbraw/zinc/18/06/58/1203180658.db2.gz DAGXMHHYCUJRID-LBPRGKRZSA-N 0 1 305.249 3.052 20 30 DGEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ccoc2Cl)C1 ZINC001714893194 1203181867 /nfs/dbraw/zinc/18/18/67/1203181867.db2.gz ZAZVHDZAQJUJOU-NSHDSACASA-N 0 1 317.216 3.079 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H](C)C2CCC2)CC1 ZINC001714913169 1203196668 /nfs/dbraw/zinc/19/66/68/1203196668.db2.gz JZPPRAXLUORYBD-CQSZACIVSA-N 0 1 312.885 3.346 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2CCc3cc(F)ccc32)C1 ZINC001714942255 1203209349 /nfs/dbraw/zinc/20/93/49/1203209349.db2.gz ZCNBNLJRKPWLKX-UGSOOPFHSA-N 0 1 316.420 3.011 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2ccc3ncsc3c2)C1 ZINC001714952300 1203214896 /nfs/dbraw/zinc/21/48/96/1203214896.db2.gz WRQZFPSMPLKUHZ-LBPRGKRZSA-N 0 1 315.442 3.065 20 30 DGEDMN C=CCC[C@H](C)N1CC(NC(=O)c2c[nH]cc2-c2ccccc2)C1 ZINC001714958733 1203218199 /nfs/dbraw/zinc/21/81/99/1203218199.db2.gz LZUOHXCOIKWBJS-HNNXBMFYSA-N 0 1 323.440 3.450 20 30 DGEDMN CCCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)C1CCC1 ZINC001714989614 1203227674 /nfs/dbraw/zinc/22/76/74/1203227674.db2.gz NQOGJIFNLSAVRW-OKZBNKHCSA-N 0 1 322.493 3.057 20 30 DGEDMN CC/C=C(/C)C(=O)NC[C@H](CC)NCc1ccc(C#N)cc1F ZINC001715051210 1203255577 /nfs/dbraw/zinc/25/55/77/1203255577.db2.gz SQXXWPSHTDSJRG-DZNGUJDPSA-N 0 1 317.408 3.038 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc2cc(F)ccc2o1 ZINC001715077296 1203264791 /nfs/dbraw/zinc/26/47/91/1203264791.db2.gz RHUORWOMFHMPLV-CYBMUJFWSA-N 0 1 324.783 3.422 20 30 DGEDMN C=CCCCC(=O)N1CCC(CCNCc2ncc(C)o2)CC1 ZINC001715175090 1203289067 /nfs/dbraw/zinc/28/90/67/1203289067.db2.gz JGZBDHMXYUJGCM-UHFFFAOYSA-N 0 1 319.449 3.058 20 30 DGEDMN C#CCCCCCC(=O)N1CCC2(CN(C[C@H](F)CC)C2)CC1 ZINC001715314077 1203313377 /nfs/dbraw/zinc/31/33/77/1203313377.db2.gz AIBGFLJBEBMZDH-QGZVFWFLSA-N 0 1 322.468 3.243 20 30 DGEDMN CC[C@H](C)CC(=O)N(C)[C@H](C)CNCc1cc(F)ccc1C#N ZINC001715330737 1203317232 /nfs/dbraw/zinc/31/72/32/1203317232.db2.gz MKHTYDFHQYCCEW-UONOGXRCSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cccc2cccnc21 ZINC001715344760 1203320292 /nfs/dbraw/zinc/32/02/92/1203320292.db2.gz QIHYBYIJYINRSM-ZDUSSCGKSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)[C@@H]1CCCC12CC2 ZINC001715384987 1203330389 /nfs/dbraw/zinc/33/03/89/1203330389.db2.gz NJJKGLKUWWCCGI-CABCVRRESA-N 0 1 312.885 3.440 20 30 DGEDMN C=C(Cl)CN(C)CCC1CCN(C(=O)[C@@H]2CC2(C)C)CC1 ZINC001715473294 1203347357 /nfs/dbraw/zinc/34/73/57/1203347357.db2.gz YXBBHARXJMXAOO-HNNXBMFYSA-N 0 1 312.885 3.346 20 30 DGEDMN C=C(Cl)CNC[C@H]1[C@@H](C)CCCN1C(=O)c1[nH]c(C)cc1C ZINC001715475659 1203347649 /nfs/dbraw/zinc/34/76/49/1203347649.db2.gz JYMIALXGGCEVJC-NHYWBVRUSA-N 0 1 323.868 3.214 20 30 DGEDMN C=C(C)CCC(=O)NC[C@@H](NCc1csc(C)n1)C(C)C ZINC001715495492 1203352393 /nfs/dbraw/zinc/35/23/93/1203352393.db2.gz ZDWIAZLIRKZBBP-OAHLLOKOSA-N 0 1 309.479 3.038 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H](NCc1csc(C)n1)C(C)C ZINC001715503966 1203354549 /nfs/dbraw/zinc/35/45/49/1203354549.db2.gz SSMCDOJAJOSCJO-DOTOQJQBSA-N 0 1 323.506 3.284 20 30 DGEDMN C=C1CCC(C(=O)N[C@@H](C)CCN[C@H](C)c2ncc(C)o2)CC1 ZINC001715675927 1203379663 /nfs/dbraw/zinc/37/96/63/1203379663.db2.gz DUNWDDLHDAXOGF-DZGCQCFKSA-N 0 1 319.449 3.275 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](NCc1ncc(C)s1)C(C)C ZINC001715736888 1203386259 /nfs/dbraw/zinc/38/62/59/1203386259.db2.gz VIYSZZHREDCXHN-CQSZACIVSA-N 0 1 323.506 3.284 20 30 DGEDMN CCN(CCNCC#Cc1ccccc1)C(=O)C1(C)CCCC1 ZINC001715750003 1203387321 /nfs/dbraw/zinc/38/73/21/1203387321.db2.gz WWJDSLRZWRIAPP-UHFFFAOYSA-N 0 1 312.457 3.057 20 30 DGEDMN C=C(C)CCC(=O)N(C)CCN(C)Cc1ccc(F)c(F)c1 ZINC001715841640 1203397097 /nfs/dbraw/zinc/39/70/97/1203397097.db2.gz MCOSLUCGFVQLCT-UHFFFAOYSA-N 0 1 310.388 3.211 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CNCc1sccc1Cl ZINC001715853041 1203397621 /nfs/dbraw/zinc/39/76/21/1203397621.db2.gz QZSWFKWZBUNDAC-AATRIKPKSA-N 0 1 312.866 3.376 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)CNCc1cccc(Cl)c1F ZINC001715930859 1203405726 /nfs/dbraw/zinc/40/57/26/1203405726.db2.gz JQARSLJFBURUCU-GFCCVEGCSA-N 0 1 312.816 3.430 20 30 DGEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CNCc1c(F)cccc1F ZINC001715945690 1203408752 /nfs/dbraw/zinc/40/87/52/1203408752.db2.gz UYXGEPCQSZWQCI-CHWSQXEVSA-N 0 1 310.388 3.161 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)CNCc2cccc(F)c2F)CCC1 ZINC001715946955 1203409068 /nfs/dbraw/zinc/40/90/68/1203409068.db2.gz IYRCKTXCQLHJPG-CYBMUJFWSA-N 0 1 322.399 3.306 20 30 DGEDMN CCc1ccc(C(=O)N[C@H](C)CNCc2ccccc2C#N)cc1 ZINC001715957836 1203411040 /nfs/dbraw/zinc/41/10/40/1203411040.db2.gz KTEXGRSAEAOVTJ-OAHLLOKOSA-N 0 1 321.424 3.029 20 30 DGEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1c(F)cccc1Cl ZINC001715979805 1203415283 /nfs/dbraw/zinc/41/52/83/1203415283.db2.gz VPFGWKPDTNSLCW-LBPRGKRZSA-N 0 1 312.816 3.430 20 30 DGEDMN C[C@H](CN(C)Cc1ccc(C#N)c(F)c1)NC(=O)CC(C)(C)C ZINC001716014674 1203422916 /nfs/dbraw/zinc/42/29/16/1203422916.db2.gz LHVVONLVCMSXRA-CYBMUJFWSA-N 0 1 319.424 3.070 20 30 DGEDMN CCC[C@@H](C)C(=O)N[C@H](C)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001716016881 1203423751 /nfs/dbraw/zinc/42/37/51/1203423751.db2.gz QIAHACTVODLSRN-ZIAGYGMSSA-N 0 1 319.424 3.070 20 30 DGEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001716022408 1203425744 /nfs/dbraw/zinc/42/57/44/1203425744.db2.gz IYRZWULBKFAYIP-ZDUSSCGKSA-N 0 1 324.827 3.075 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CCN[C@H](C)c1ncc(C)o1 ZINC001716177556 1203446401 /nfs/dbraw/zinc/44/64/01/1203446401.db2.gz ZJJKNNNFFJLJBV-GXTWGEPZSA-N 0 1 307.438 3.131 20 30 DGEDMN CCN(CCNCc1ccc(F)cc1Cl)C(=O)C#CC(C)C ZINC001716215631 1203450721 /nfs/dbraw/zinc/45/07/21/1203450721.db2.gz CATWAOFWVVSBSW-UHFFFAOYSA-N 0 1 324.827 3.077 20 30 DGEDMN C=C(Cl)CNCCN(CC)C(=O)C1(c2ccccc2C)CC1 ZINC001716257017 1203454456 /nfs/dbraw/zinc/45/44/56/1203454456.db2.gz FONNNSIMVDUYGB-UHFFFAOYSA-N 0 1 320.864 3.217 20 30 DGEDMN C=C(Cl)CNC/C=C/CNC(=O)C1(Cc2ccccc2)CC1 ZINC001716307348 1203458177 /nfs/dbraw/zinc/45/81/77/1203458177.db2.gz XQTKNSBFTRVVEL-AATRIKPKSA-N 0 1 318.848 3.024 20 30 DGEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cccc(Cl)c1F ZINC001716340190 1203460879 /nfs/dbraw/zinc/46/08/79/1203460879.db2.gz VHSUCRIKQFIXTL-IHWYPQMZSA-N 0 1 317.191 3.107 20 30 DGEDMN C=C(Br)CNC[C@@H](C)NC(=O)C[C@@H](C)CC(C)C ZINC001716418747 1203469771 /nfs/dbraw/zinc/46/97/71/1203469771.db2.gz CFOSYUNNHCXIBL-WCQYABFASA-N 0 1 319.287 3.062 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cn(CC)c2ccccc12 ZINC001716444765 1203475163 /nfs/dbraw/zinc/47/51/63/1203475163.db2.gz MNGWOIAWUFXMEL-ZDUSSCGKSA-N 0 1 319.836 3.122 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](CC)c1ccccc1 ZINC001716459470 1203478025 /nfs/dbraw/zinc/47/80/25/1203478025.db2.gz KJCAQMZVTAZWHF-LSDHHAIUSA-N 0 1 308.853 3.417 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c(Cl)cccc1Cl ZINC001716461275 1203478529 /nfs/dbraw/zinc/47/85/29/1203478529.db2.gz FTVUYHWFFIJJGV-VIFPVBQESA-N 0 1 321.635 3.454 20 30 DGEDMN C=CC[C@H](C(=O)N[C@@H](C)CNCC(=C)Cl)c1ccccc1 ZINC001716464993 1203478856 /nfs/dbraw/zinc/47/88/56/1203478856.db2.gz IMKBTQNFIPJIOS-HOCLYGCPSA-N 0 1 306.837 3.193 20 30 DGEDMN C=CCCC(=O)NC[C@@H]1CCN1Cc1ccccc1C(C)C ZINC001716645053 1203512280 /nfs/dbraw/zinc/51/22/80/1203512280.db2.gz HHDVUMSMGAUCGH-KRWDZBQOSA-N 0 1 300.446 3.467 20 30 DGEDMN C#CCCCCC(=O)NC[C@H]1CCN1Cc1ccc(C)cc1C ZINC001716649272 1203513966 /nfs/dbraw/zinc/51/39/66/1203513966.db2.gz FNHBBDKXSCQJCX-LJQANCHMSA-N 0 1 312.457 3.188 20 30 DGEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1CCc1ccccc1CC ZINC001716659389 1203515801 /nfs/dbraw/zinc/51/58/01/1203515801.db2.gz YLLXYOCEWIXUOW-GOSISDBHSA-N 0 1 314.473 3.194 20 30 DGEDMN C[C@@H]1CCC[C@H]1CC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001716683556 1203524492 /nfs/dbraw/zinc/52/44/92/1203524492.db2.gz HVECOVMNFBZQDN-MJGOQNOKSA-N 0 1 324.468 3.103 20 30 DGEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(CCC)CCC ZINC001716711868 1203529863 /nfs/dbraw/zinc/52/98/63/1203529863.db2.gz LXQBDWBVDBZBJT-MSOLQXFVSA-N 0 1 304.478 3.291 20 30 DGEDMN CC(=O)NCCN(Cc1ccccc1C#N)C1CCCCCC1 ZINC001716712908 1203530517 /nfs/dbraw/zinc/53/05/17/1203530517.db2.gz DKDKCHBUGSBLKF-UHFFFAOYSA-N 0 1 313.445 3.219 20 30 DGEDMN C=CCCN1CC(N(C)C(=O)C[C@@H](C)c2ccc(F)cc2)C1 ZINC001716753499 1203538253 /nfs/dbraw/zinc/53/82/53/1203538253.db2.gz HXQWCNKLGFVNQI-CQSZACIVSA-N 0 1 304.409 3.038 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](NCc2nocc2C)C[C@@H]1C ZINC001716761254 1203539778 /nfs/dbraw/zinc/53/97/78/1203539778.db2.gz IUCTUEQCQGROLS-LSDHHAIUSA-N 0 1 319.449 3.054 20 30 DGEDMN CC[C@H](C)C(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001716792372 1203543243 /nfs/dbraw/zinc/54/32/43/1203543243.db2.gz OZDMVMJZTQJORL-KRWDZBQOSA-N 0 1 312.457 3.055 20 30 DGEDMN C#CCN(C(=O)C[C@H](CC)CCCC)C1CCN(CC#C)CC1 ZINC001716807555 1203545418 /nfs/dbraw/zinc/54/54/18/1203545418.db2.gz SXWDGQZPDJBBCR-GOSISDBHSA-N 0 1 316.489 3.152 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)CCCC)C1 ZINC001716910973 1203567666 /nfs/dbraw/zinc/56/76/66/1203567666.db2.gz ONGCOUMYCUAJNK-CABCVRRESA-N 0 1 316.436 3.462 20 30 DGEDMN C=C(C)CN1CCC(F)(F)[C@@H](CNC(=O)C(C)(CC)CC)C1 ZINC001716914121 1203568294 /nfs/dbraw/zinc/56/82/94/1203568294.db2.gz YRWSXKMDFFIGOD-AWEZNQCLSA-N 0 1 316.436 3.462 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(C)CCCC1)c1ccccc1CC ZINC001716954544 1203569537 /nfs/dbraw/zinc/56/95/37/1203569537.db2.gz YDBWDLTYXPKJPU-GOSISDBHSA-N 0 1 312.457 3.209 20 30 DGEDMN C#CCN[C@H](CNC(=O)[C@H](C)C(C)(C)C)c1ccccc1CC ZINC001716954557 1203569561 /nfs/dbraw/zinc/56/95/61/1203569561.db2.gz YMFBADSMAVHENF-MAUKXSAKSA-N 0 1 314.473 3.311 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)[C@H]1CC12CCC2)c1ccccc1CC ZINC001716955168 1203569841 /nfs/dbraw/zinc/56/98/41/1203569841.db2.gz HYXGUZBUPMJSLA-MOPGFXCFSA-N 0 1 324.468 3.209 20 30 DGEDMN C=CCCC(=O)N(C)CCCN(C)Cc1cccc(F)c1F ZINC001717205172 1203600768 /nfs/dbraw/zinc/60/07/68/1203600768.db2.gz ZOOQIUCUMLQNQI-UHFFFAOYSA-N 0 1 310.388 3.211 20 30 DGEDMN C=C(C)CN1Cc2ccc(CNC(=O)COCCCC)cc2C1 ZINC001717269444 1203610500 /nfs/dbraw/zinc/61/05/00/1203610500.db2.gz NQQNIMNRSOSNJS-UHFFFAOYSA-N 0 1 316.445 3.011 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C3(CC=C)CCC3)cc2C1 ZINC001717270949 1203610884 /nfs/dbraw/zinc/61/08/84/1203610884.db2.gz RIGQHMDVHPNWHP-UHFFFAOYSA-N 0 1 322.452 3.388 20 30 DGEDMN C=CCC1(C(=O)N2C[C@@H](C)[C@@H](NCC(=C)Cl)C2)CCCC1 ZINC001717293527 1203613894 /nfs/dbraw/zinc/61/38/94/1203613894.db2.gz NCALSTGCHLCENW-HIFRSBDPSA-N 0 1 310.869 3.312 20 30 DGEDMN CCC(CC)C(=O)N[C@H](C)[C@@H](C)NCc1cc(F)ccc1C#N ZINC001717399003 1203636224 /nfs/dbraw/zinc/63/62/24/1203636224.db2.gz MINPVZIATWQQAC-CHWSQXEVSA-N 0 1 319.424 3.116 20 30 DGEDMN C#CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cc(F)ccc1Cl ZINC001717408270 1203638276 /nfs/dbraw/zinc/63/82/76/1203638276.db2.gz XKDHADHBKBSLOV-STQMWFEESA-N 0 1 324.827 3.265 20 30 DGEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)NCc1cccc(F)c1F ZINC001717424066 1203641132 /nfs/dbraw/zinc/64/11/32/1203641132.db2.gz AXIHXHGOKQDALM-STQMWFEESA-N 0 1 310.388 3.304 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC001717426919 1203642100 /nfs/dbraw/zinc/64/21/00/1203642100.db2.gz MOEGAAZNYPZVKJ-CABCVRRESA-N 0 1 320.481 3.049 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C12CCC(CC1)C2(C)C ZINC001717429412 1203642604 /nfs/dbraw/zinc/64/26/04/1203642604.db2.gz SUVPYJDDBYPHES-WIJYIZELSA-N 0 1 312.885 3.438 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c(F)cccc1Cl ZINC001717435192 1203643890 /nfs/dbraw/zinc/64/38/90/1203643890.db2.gz OXCXBJCUYOENIA-UWVGGRQHSA-N 0 1 319.207 3.328 20 30 DGEDMN C=C1CC(C)(C(=O)N[C@H](C)[C@@H](C)NCc2nc(C)c(C)s2)C1 ZINC001717438570 1203644236 /nfs/dbraw/zinc/64/42/36/1203644236.db2.gz IOSIGKMYTUVOEI-VXGBXAGGSA-N 0 1 321.490 3.099 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(Cl)cc(Cl)c1 ZINC001717496876 1203665991 /nfs/dbraw/zinc/66/59/91/1203665991.db2.gz RURQEICSQWWBAC-NSHDSACASA-N 0 1 313.228 3.067 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)Cc2ccc(C3CCC3)cc2)C1 ZINC001717555081 1203681010 /nfs/dbraw/zinc/68/10/10/1203681010.db2.gz NTHFTXCHHRBNRB-HNNXBMFYSA-N 0 1 312.457 3.119 20 30 DGEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)C[C@H](C)CCC)C2)C1 ZINC001717571463 1203683231 /nfs/dbraw/zinc/68/32/31/1203683231.db2.gz AZOJAPOLGFMZTP-IEBWSBKVSA-N 0 1 322.493 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CCC(N(C)Cc2nc(C)cs2)CC1 ZINC001717700987 1203701278 /nfs/dbraw/zinc/70/12/78/1203701278.db2.gz NSNRREJBHWRGQX-UHFFFAOYSA-N 0 1 321.490 3.231 20 30 DGEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)CC(CC)CC ZINC001717749290 1203709766 /nfs/dbraw/zinc/70/97/66/1203709766.db2.gz ZTKZJSOCRZPNJR-LBPRGKRZSA-N 0 1 319.287 3.158 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2nc(C)sc2C)[C@H]1C ZINC001717793213 1203712973 /nfs/dbraw/zinc/71/29/73/1203712973.db2.gz FOPDWJOAEFKJGG-IUODEOHRSA-N 0 1 321.490 3.195 20 30 DGEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCc2ccccc2)[C@H]1C ZINC001717797026 1203713513 /nfs/dbraw/zinc/71/35/13/1203713513.db2.gz BFTNRDLCFNOKML-DOTOQJQBSA-N 0 1 320.864 3.341 20 30 DGEDMN C=CCCCC(=O)N[C@@H]1CCCN(Cc2cnccc2C)[C@H]1C ZINC001717858196 1203723298 /nfs/dbraw/zinc/72/32/98/1203723298.db2.gz QCNIQJBBOZVEOP-FUHWJXTLSA-N 0 1 315.461 3.215 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)CCCCc2ccccc2)[C@@H]1C ZINC001717857627 1203723473 /nfs/dbraw/zinc/72/34/73/1203723473.db2.gz JNGTYXAFRAFVNK-HKUYNNGSSA-N 0 1 312.457 3.002 20 30 DGEDMN C=CCCC(=O)N1C[C@H](NCc2ccc(F)cc2F)C(C)(C)C1 ZINC001717889685 1203728706 /nfs/dbraw/zinc/72/87/06/1203728706.db2.gz DUVSIXWPZKBFKP-INIZCTEOSA-N 0 1 322.399 3.258 20 30 DGEDMN C=C(C)CCC(=O)N(CCN(C)Cc1cnccc1C)C(C)C ZINC001717947939 1203732613 /nfs/dbraw/zinc/73/26/13/1203732613.db2.gz CKSYAAIHYBBZAC-UHFFFAOYSA-N 0 1 317.477 3.415 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1cccc(C)c1Cl)C(C)C ZINC001717950965 1203733664 /nfs/dbraw/zinc/73/36/64/1203733664.db2.gz BBAVVGKJKAJEKM-UHFFFAOYSA-N 0 1 306.837 3.064 20 30 DGEDMN C=C(Cl)CNC[C@@H](NC(=O)c1sc(C)cc1C)C1CC1 ZINC001723301121 1203962457 /nfs/dbraw/zinc/96/24/57/1203962457.db2.gz PHJBOXBRHGDQAJ-CYBMUJFWSA-N 0 1 312.866 3.215 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1Cc1ccc(C)cc1 ZINC001723429234 1203970950 /nfs/dbraw/zinc/97/09/50/1203970950.db2.gz JIIPIHJPIDLFIK-KRWDZBQOSA-N 0 1 300.446 3.288 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN1CCc1ccc(C)cc1 ZINC001723453279 1203974132 /nfs/dbraw/zinc/97/41/32/1203974132.db2.gz LTUKBIHNLZURPA-ICSRJNTNSA-N 0 1 314.473 3.330 20 30 DGEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN1CCc1ccc(C)cc1 ZINC001723453282 1203974451 /nfs/dbraw/zinc/97/44/51/1203974451.db2.gz LTUKBIHNLZURPA-UYAOXDASSA-N 0 1 314.473 3.330 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1csc(C(C)(C)C)n1 ZINC001723463579 1203975187 /nfs/dbraw/zinc/97/51/87/1203975187.db2.gz LYCKNCPHFGZEKX-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C=CCCN1CC[C@@H]1CNC(=O)C1(c2ccccc2F)CCC1 ZINC001723473058 1203977026 /nfs/dbraw/zinc/97/70/26/1203977026.db2.gz MJKVYONZLPHUDH-OAHLLOKOSA-N 0 1 316.420 3.014 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1(NCc2cc(C)ccc2F)CC1 ZINC001723545176 1203981438 /nfs/dbraw/zinc/98/14/38/1203981438.db2.gz ROLJCTFKYQRLQN-UHFFFAOYSA-N 0 1 304.409 3.085 20 30 DGEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(F)cc2Cl)CC1 ZINC001723588690 1203984336 /nfs/dbraw/zinc/98/43/36/1203984336.db2.gz SQXZKSSHXDIGPS-UHFFFAOYSA-N 0 1 317.191 3.084 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CC[C@@H]2CC(C)(C)CO2)C1 ZINC001723814496 1203994519 /nfs/dbraw/zinc/99/45/19/1203994519.db2.gz GIPIWLUZIUGEEB-DOTOQJQBSA-N 0 1 322.493 3.079 20 30 DGEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cc3ccccc3[nH]2)C1 ZINC001723815410 1203994669 /nfs/dbraw/zinc/99/46/69/1203994669.db2.gz RGRKXTPXLBWOSL-CQSZACIVSA-N 0 1 311.429 3.279 20 30 DGEDMN C=C[C@@H](CC(=O)NC1(C)CCN(CCF)CC1)c1ccccc1 ZINC001724026511 1204001974 /nfs/dbraw/zinc/00/19/74/1204001974.db2.gz VXUGCRVNPQJBLW-INIZCTEOSA-N 0 1 318.436 3.287 20 30 DGEDMN C#CCCCC(=O)N[C@]1(C)CCN(Cc2ccc(Cl)cc2)C1 ZINC001724150256 1204008952 /nfs/dbraw/zinc/00/89/52/1204008952.db2.gz BBNYUCQDGCNNRZ-GOSISDBHSA-N 0 1 318.848 3.224 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]1(C)CCN(Cc2c(C)nsc2C)C1 ZINC001724152755 1204009301 /nfs/dbraw/zinc/00/93/01/1204009301.db2.gz FSHWLTIFXOAALY-KRWDZBQOSA-N 0 1 321.490 3.197 20 30 DGEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)c2ccc(Cl)cc2)C1 ZINC001724175262 1204011604 /nfs/dbraw/zinc/01/16/04/1204011604.db2.gz WWYVNUNNFVOWJT-KSSFIOAISA-N 0 1 318.848 3.047 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)C(C)(C)CCCCC)C1 ZINC001724342325 1204023653 /nfs/dbraw/zinc/02/36/53/1204023653.db2.gz HNCPBLNVKGKXMH-LJQANCHMSA-N 0 1 306.494 3.444 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1ccccn1 ZINC001724652011 1204036437 /nfs/dbraw/zinc/03/64/37/1204036437.db2.gz NTVBOPNWLLXRJL-ROUUACIJSA-N 0 1 313.445 3.003 20 30 DGEDMN C#CCN(C)Cc1ccccc1CNC(=O)CC[C@H](C)CC ZINC001724742856 1204042150 /nfs/dbraw/zinc/04/21/50/1204042150.db2.gz UJCADCQPBIMPAD-MRXNPFEDSA-N 0 1 300.446 3.194 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)[C@@H](C)C(C)C)cccc2C1 ZINC001724777270 1204045511 /nfs/dbraw/zinc/04/55/11/1204045511.db2.gz PFHAVQZBTYFSDU-HNNXBMFYSA-N 0 1 300.446 3.139 20 30 DGEDMN C#CCCN1Cc2ccc(CNC(=O)C[C@H]3CC=CCC3)cc2C1 ZINC001724922500 1204066269 /nfs/dbraw/zinc/06/62/69/1204066269.db2.gz FSWQKUBLNADQRA-KRWDZBQOSA-N 0 1 322.452 3.388 20 30 DGEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(CCC)CCC)C[C@H]1C ZINC001724941157 1204068253 /nfs/dbraw/zinc/06/82/53/1204068253.db2.gz BEGIXFHDXZDGJZ-DOMZBBRYSA-N 0 1 300.874 3.392 20 30 DGEDMN C=CCC(C)(C)C(=O)N1C[C@H](C)[C@H](NC/C(Cl)=C/Cl)C1 ZINC001724948779 1204069188 /nfs/dbraw/zinc/06/91/88/1204069188.db2.gz QNOGYBPSVVVBRE-RACGTCIUSA-N 0 1 319.276 3.344 20 30 DGEDMN C#CCCN1CCc2ccccc2[C@H]1CNC(=O)C1(C)CC=CC1 ZINC001725011684 1204079264 /nfs/dbraw/zinc/07/92/64/1204079264.db2.gz DWAFNKVFBYMLBF-LJQANCHMSA-N 0 1 322.452 3.082 20 30 DGEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CN(C)Cc1cnccc1C ZINC001725043162 1204084557 /nfs/dbraw/zinc/08/45/57/1204084557.db2.gz SJXWNILHEUWAHM-GOSISDBHSA-N 0 1 315.461 3.169 20 30 DGEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C(C)C)oc1C ZINC001725049868 1204086042 /nfs/dbraw/zinc/08/60/42/1204086042.db2.gz PFOXDIKINGNDKG-INIZCTEOSA-N 0 1 316.445 3.271 20 30 DGEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)CCCC)C2)C1 ZINC001725059848 1204087903 /nfs/dbraw/zinc/08/79/03/1204087903.db2.gz PSIUEZVLRBGGQS-HZPDHXFCSA-N 0 1 312.885 3.490 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)CC2CC(c3ccccc3)C2)C1 ZINC001725253786 1204128356 /nfs/dbraw/zinc/12/83/56/1204128356.db2.gz FQMAGBFERFBYTB-HJOIGYKYSA-N 0 1 312.457 3.193 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)Cc2ccc(CC(C)C)cc2)C1 ZINC001725256762 1204129034 /nfs/dbraw/zinc/12/90/34/1204129034.db2.gz PZTCRJHILCWXPQ-INIZCTEOSA-N 0 1 314.473 3.050 20 30 DGEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)C1CN(Cc2cccc(F)c2)C1 ZINC001725260432 1204129624 /nfs/dbraw/zinc/12/96/24/1204129624.db2.gz QMSRKMMEBCPAME-GJZGRUSLSA-N 0 1 318.436 3.365 20 30 DGEDMN CC#CCN1C[C@H](NC(=O)[C@@H](CC)CCCCC)C(C)(C)C1 ZINC001725301472 1204135538 /nfs/dbraw/zinc/13/55/38/1204135538.db2.gz XCOJSDHMTYCIOB-IRXDYDNUSA-N 0 1 306.494 3.443 20 30 DGEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)Cc2ccc(C)cc2)C(C)(C)C1 ZINC001725301602 1204135597 /nfs/dbraw/zinc/13/55/97/1204135597.db2.gz YBVHSGBFXOLNHL-WMZOPIPTSA-N 0 1 314.473 3.186 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H]1C[C@H](CN[C@@H](C)c2ncc(C)o2)C1 ZINC001725363672 1204141014 /nfs/dbraw/zinc/14/10/14/1204141014.db2.gz HRLMXSKRZCHINX-KKUMJFAQSA-N 0 1 319.449 3.131 20 30 DGEDMN C[C@H](CCCNC/C(Cl)=C/Cl)NC(=O)C#CC(C)(C)C ZINC001725383508 1204144058 /nfs/dbraw/zinc/14/40/58/1204144058.db2.gz AYXNUCHDTXSYAX-YGYTWAPGSA-N 0 1 319.276 3.229 20 30 DGEDMN C=CCCC(=O)N(C)[C@@H](CNCc1c(F)cccc1F)C1CC1 ZINC001725453192 1204152712 /nfs/dbraw/zinc/15/27/12/1204152712.db2.gz QOVZCQLUHZTCLX-KRWDZBQOSA-N 0 1 322.399 3.258 20 30 DGEDMN CCC(=CC(=O)N(C)C[C@H](C)NCc1ccccc1C#N)CC ZINC001725479842 1204156426 /nfs/dbraw/zinc/15/64/26/1204156426.db2.gz FFRJLJMYIIWNKS-HNNXBMFYSA-N 0 1 313.445 3.241 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C/C=C\Cc1ccccc1 ZINC001725499642 1204159623 /nfs/dbraw/zinc/15/96/23/1204159623.db2.gz XEWWOBNOMOXHFK-XITLMJRVSA-N 0 1 320.864 3.364 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1cccc(Cl)c1 ZINC001725505447 1204161002 /nfs/dbraw/zinc/16/10/02/1204161002.db2.gz MXYJRHYPPATKHN-GFCCVEGCSA-N 0 1 315.244 3.072 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1ccc(C)c(C)c1 ZINC001725516280 1204164351 /nfs/dbraw/zinc/16/43/51/1204164351.db2.gz MNVGAQVNAPRTEN-HNNXBMFYSA-N 0 1 308.853 3.035 20 30 DGEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc2cccnc2c1 ZINC001725517121 1204164530 /nfs/dbraw/zinc/16/45/30/1204164530.db2.gz LZWQXUVKOHMNKX-CYBMUJFWSA-N 0 1 317.820 3.037 20 30 DGEDMN C=C(C)CCC(=O)N[C@@]12CCC[C@@H]1N(Cc1cncs1)CC2 ZINC001725611985 1204179216 /nfs/dbraw/zinc/17/92/16/1204179216.db2.gz ZXKVSLOARFNNKS-DOTOQJQBSA-N 0 1 319.474 3.113 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC001725748256 1204211260 /nfs/dbraw/zinc/21/12/60/1204211260.db2.gz MQEYBFSMFDAOFP-YJBOKZPZSA-N 0 1 321.465 3.206 20 30 DGEDMN C=C(C)CCC(=O)N[C@H](C)C1CCN(Cc2ccns2)CC1 ZINC001725763322 1204215659 /nfs/dbraw/zinc/21/56/59/1204215659.db2.gz RKMKHUFTWAZXIR-CQSZACIVSA-N 0 1 321.490 3.216 20 30 DGEDMN C#CCN1CC=C(CNC(=O)[C@H](CCC)CC2CCCC2)CC1 ZINC001725826791 1204228923 /nfs/dbraw/zinc/22/89/23/1204228923.db2.gz LEIZXSNSYATVPM-LJQANCHMSA-N 0 1 316.489 3.365 20 30 DGEDMN C=CCCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1conc1C ZINC001731293698 1204365161 /nfs/dbraw/zinc/36/51/61/1204365161.db2.gz CLEGBVPQPIUCNT-WBVHZDCISA-N 0 1 319.449 3.199 20 30 DGEDMN C=C(Cl)CN(CC)CCCNC(=O)c1cc2c(o1)CCCC2 ZINC001731307531 1204368645 /nfs/dbraw/zinc/36/86/45/1204368645.db2.gz IZNYIYPEWYEHMU-UHFFFAOYSA-N 0 1 324.852 3.353 20 30 DGEDMN CC1CC(C(=O)NCCCN(CC#Cc2ccccc2)C2CC2)C1 ZINC001731331256 1204375541 /nfs/dbraw/zinc/37/55/41/1204375541.db2.gz SGOQAIDRPMXEBP-UHFFFAOYSA-N 0 1 324.468 3.055 20 30 DGEDMN CC#CCN1CCC[C@H](NC(=O)CC/C=C/c2ccccc2)C1 ZINC001731354373 1204384707 /nfs/dbraw/zinc/38/47/07/1204384707.db2.gz SPZDZLFTJNOBPR-UBIUBJKMSA-N 0 1 310.441 3.084 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC001731373756 1204386326 /nfs/dbraw/zinc/38/63/26/1204386326.db2.gz ONZQVCIFNHYQIO-CZUORRHYSA-N 0 1 304.409 3.289 20 30 DGEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](CC)CC(F)F)CC1 ZINC001731386431 1204391490 /nfs/dbraw/zinc/39/14/90/1204391490.db2.gz UVMNDRYUBSAQIV-AWEZNQCLSA-N 0 1 314.420 3.004 20 30 DGEDMN C=CCN1CCC(N(CCC)C(=O)c2cnc(CC)s2)CC1 ZINC001731387339 1204392945 /nfs/dbraw/zinc/39/29/45/1204392945.db2.gz GQICBFJCTAOKAC-UHFFFAOYSA-N 0 1 321.490 3.208 20 30 DGEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H](C)CC(C)(C)C)C1 ZINC001731434989 1204411548 /nfs/dbraw/zinc/41/15/48/1204411548.db2.gz JGSNISUMDPBJOF-JSGCOSHPSA-N 0 1 300.874 3.392 20 30 DGEDMN C/C=C(/C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1C#N ZINC001731461413 1204420719 /nfs/dbraw/zinc/42/07/19/1204420719.db2.gz IMBIHJQAHYCVDE-JDHHSFSBSA-N 0 1 323.440 3.136 20 30 DGEDMN CCC1(C(=O)NC[C@@H]2CCCN(CC#Cc3ccccc3)C2)CC1 ZINC001731503542 1204435550 /nfs/dbraw/zinc/43/55/50/1204435550.db2.gz QEUOZDLALPIANY-IBGZPJMESA-N 0 1 324.468 3.057 20 30 DGEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCCCN1Cc1ccccn1 ZINC001731537488 1204449136 /nfs/dbraw/zinc/44/91/36/1204449136.db2.gz HJFWQPRSXCNENX-SJLPKXTDSA-N 0 1 315.461 3.155 20 30 DGEDMN C=CCC1(C(=O)NC[C@@H]2CCCCN2CC#CC)CCCC1 ZINC001731543596 1204453118 /nfs/dbraw/zinc/45/31/18/1204453118.db2.gz YZOUZOTVCYTJLY-KRWDZBQOSA-N 0 1 302.462 3.117 20 30 DGEDMN CC#CCN1CCCC[C@H]1CNC(=O)C/C=C/c1ccc(C)cc1 ZINC001731543613 1204453798 /nfs/dbraw/zinc/45/37/98/1204453798.db2.gz ZFXBPWKQNFPUNX-DUIUGDAFSA-N 0 1 324.468 3.392 20 30 DGEDMN CCCC[C@H](CC)C(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001731582652 1204471794 /nfs/dbraw/zinc/47/17/94/1204471794.db2.gz YELDAJFODZJXLR-HKUYNNGSSA-N 0 1 314.473 3.349 20 30 DGEDMN CCC[C@H](C)C(=O)NC[C@@H](C)NCC#Cc1ccc(Cl)cc1 ZINC001731588258 1204474172 /nfs/dbraw/zinc/47/41/72/1204474172.db2.gz NYOQUKGWYPZJGX-LSDHHAIUSA-N 0 1 320.864 3.222 20 30 DGEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NCc1ccc(F)cc1F ZINC001731593547 1204475853 /nfs/dbraw/zinc/47/58/53/1204475853.db2.gz GCGALUGIPZLCBR-LBPRGKRZSA-N 0 1 310.388 3.161 20 30 DGEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCCc1ccc(C)s1 ZINC001731642104 1204494393 /nfs/dbraw/zinc/49/43/93/1204494393.db2.gz IVGNTEKJIJLESW-GFCCVEGCSA-N 0 1 314.882 3.226 20 30 DGEDMN C=C1CC(C)(C(=O)NCCN(CC)Cc2cc(F)cc(F)c2)C1 ZINC001731810367 1204577946 /nfs/dbraw/zinc/57/79/46/1204577946.db2.gz BMMRQROOHKVSQM-UHFFFAOYSA-N 0 1 322.399 3.259 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCCN1Cc1scnc1C ZINC001731833117 1204591415 /nfs/dbraw/zinc/59/14/15/1204591415.db2.gz FVRDEISHCPAOPP-YOEHRIQHSA-N 0 1 321.490 3.134 20 30 DGEDMN C#CCN[C@H](CNC(=O)C1(CC(C)C)CCC1)c1ccccc1 ZINC001732023694 1204653047 /nfs/dbraw/zinc/65/30/47/1204653047.db2.gz SFUPWLCUYOFRCA-GOSISDBHSA-N 0 1 312.457 3.283 20 30 DGEDMN CC#CCN[C@@H](CNC(=O)c1cc(F)ccc1C)c1ccccc1 ZINC001732023742 1204653144 /nfs/dbraw/zinc/65/31/44/1204653144.db2.gz TWSWQWNGYPTOJU-IBGZPJMESA-N 0 1 324.399 3.218 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)CC(F)(F)F)C1 ZINC001732039183 1204661354 /nfs/dbraw/zinc/66/13/54/1204661354.db2.gz PQWOWDWNUHKVLZ-OLZOCXBDSA-N 0 1 306.372 3.074 20 30 DGEDMN C=CCN1CC[C@@H](N(CCC)C(=O)C[C@@H]2CCC(F)(F)C2)C1 ZINC001732040121 1204661755 /nfs/dbraw/zinc/66/17/55/1204661755.db2.gz WAONEEXHXBHLST-LSDHHAIUSA-N 0 1 314.420 3.311 20 30 DGEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CCc2cccs2)C1 ZINC001732041421 1204662678 /nfs/dbraw/zinc/66/26/78/1204662678.db2.gz IPIGGQKVVRBHNE-MRXNPFEDSA-N 0 1 318.486 3.017 20 30 DGEDMN C=C(C)CN1CC[C@@H](N(CCC)C(=O)c2cc(F)cc(F)c2)C1 ZINC001732042439 1204663780 /nfs/dbraw/zinc/66/37/80/1204663780.db2.gz UIZUJXIUEAXCGJ-QGZVFWFLSA-N 0 1 322.399 3.467 20 30 DGEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2cc(C)c(C)o2)C1 ZINC001732046682 1204667628 /nfs/dbraw/zinc/66/76/28/1204667628.db2.gz SOHPFGOTUIFMAX-AWEZNQCLSA-N 0 1 310.825 3.091 20 30 DGEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC/C=C\c2ccccc2)C1 ZINC001732076442 1204681948 /nfs/dbraw/zinc/68/19/48/1204681948.db2.gz OFFJHUDNRWGLQA-CRLZUZNUSA-N 0 1 324.468 3.426 20 30 DGEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H](CC)OC2CCCC2)C1 ZINC001732083561 1204686915 /nfs/dbraw/zinc/68/69/15/1204686915.db2.gz XTUFSJGTORGZOG-FUHWJXTLSA-N 0 1 322.493 3.223 20 30 DGEDMN C=C(Cl)CN(C)CC1CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001732087193 1204687917 /nfs/dbraw/zinc/68/79/17/1204687917.db2.gz IZSVQAMIMYFBPO-OAHLLOKOSA-N 0 1 310.869 3.100 20 30 DGEDMN C=CCCCC(=O)NCC1CN(Cc2ccc3c(c2)CCC3)C1 ZINC001732132219 1204706117 /nfs/dbraw/zinc/70/61/17/1204706117.db2.gz XCOIGWJXGKPAIH-UHFFFAOYSA-N 0 1 312.457 3.080 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1CN(Cc2ccc(F)cc2C)C1 ZINC001732133282 1204707382 /nfs/dbraw/zinc/70/73/82/1204707382.db2.gz IXJNDBNKRYIZDN-IBGZPJMESA-N 0 1 318.436 3.284 20 30 DGEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)c2ccccc2C)C1 ZINC001732189344 1204740376 /nfs/dbraw/zinc/74/03/76/1204740376.db2.gz ITAJWEXDSQRLMR-ROUUACIJSA-N 0 1 312.457 3.045 20 30 DGEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cc1cc2ccccc2o1 ZINC001732212259 1204752490 /nfs/dbraw/zinc/75/24/90/1204752490.db2.gz UMSCJUHUYHPPMR-CQSZACIVSA-N 0 1 320.820 3.212 20 30 DGEDMN C=C(Cl)CNCc1ccc(CNC(=O)c2[nH]ccc2C)cc1 ZINC001732315969 1204789073 /nfs/dbraw/zinc/78/90/73/1204789073.db2.gz ZEPORAUTQLCXGM-UHFFFAOYSA-N 0 1 317.820 3.095 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCc2cc(C)no2)CCCC1 ZINC001732395557 1204818526 /nfs/dbraw/zinc/81/85/26/1204818526.db2.gz SAVGHAWUDSNZPX-KRWDZBQOSA-N 0 1 319.449 3.104 20 30 DGEDMN C=C[C@@H](CC)CC(=O)NCC1(NCc2nccs2)CCCC1 ZINC001732396193 1204819581 /nfs/dbraw/zinc/81/95/81/1204819581.db2.gz MAOYNHVIVBGTSA-AWEZNQCLSA-N 0 1 321.490 3.264 20 30 DGEDMN C=CCCCC(=O)N(C)[C@@H](C)CNCc1cc(O)ccc1Cl ZINC001732439719 1204841983 /nfs/dbraw/zinc/84/19/83/1204841983.db2.gz RRKBPRDQPISUHT-ZDUSSCGKSA-N 0 1 324.852 3.338 20 30 DGEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCc1ccc(Cl)nc1 ZINC001732887306 1204954193 /nfs/dbraw/zinc/95/41/93/1204954193.db2.gz WTOHNMJLULMOJU-WAYWQWQTSA-N 0 1 321.852 3.099 20 30 DGEDMN C=CC(C)(C)C(=O)NC/C=C/CN[C@H](C)c1ccccc1F ZINC001732888598 1204955478 /nfs/dbraw/zinc/95/54/78/1204955478.db2.gz VSOYJEQAQOWXHM-MYSGNRETSA-N 0 1 304.409 3.361 20 30 DGEDMN C=C1CC(C)(C(=O)NC/C=C\CNCc2cc(F)ccc2F)C1 ZINC001732897483 1204956882 /nfs/dbraw/zinc/95/68/82/1204956882.db2.gz NEEAPGLVNRBCSP-ARJAWSKDSA-N 0 1 320.383 3.083 20 30 DGEDMN CCC1(C(=O)NC/C=C\CNCC#Cc2ccccc2)CCCC1 ZINC001732915672 1204958849 /nfs/dbraw/zinc/95/88/49/1204958849.db2.gz AQRTVJIBUYTPHF-HJWRWDBZSA-N 0 1 324.468 3.271 20 30 DGEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001732974942 1204970152 /nfs/dbraw/zinc/97/01/52/1204970152.db2.gz ZPCPMOAPYDSUQJ-UNEWFSDZSA-N 0 1 306.837 3.027 20 30 DGEDMN CC[C@H](C)CC(=O)N[C@@H](C)CNCC#Cc1ccccc1Cl ZINC001732994216 1204976376 /nfs/dbraw/zinc/97/63/76/1204976376.db2.gz PTVOGPXGEPBSBP-GJZGRUSLSA-N 0 1 320.864 3.222 20 30 DGEDMN CCC(C)(C)CC(=O)N[C@@H](C)CNCc1cc(C#N)ccc1F ZINC001732997477 1204977276 /nfs/dbraw/zinc/97/72/76/1204977276.db2.gz OSBYTIHCYOSOKV-ZDUSSCGKSA-N 0 1 319.424 3.118 20 30 DGEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C)c2cccnc12 ZINC001733004721 1204978966 /nfs/dbraw/zinc/97/89/66/1204978966.db2.gz JVHLBSSKCOBWSC-ZDUSSCGKSA-N 0 1 317.820 3.004 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC001733048455 1205000430 /nfs/dbraw/zinc/00/04/30/1205000430.db2.gz LGTUMIFMHVTUEI-LBPRGKRZSA-N 0 1 310.388 3.114 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1ccnc(Cl)c1 ZINC001733053810 1205006079 /nfs/dbraw/zinc/00/60/79/1205006079.db2.gz LFEDLABPJIQIKF-ZDUSSCGKSA-N 0 1 323.868 3.274 20 30 DGEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cc(C)on2)[C@H](CC)C1 ZINC001733199982 1205040493 /nfs/dbraw/zinc/04/04/93/1205040493.db2.gz VPLLCGLUSLHBHJ-NVXWUHKLSA-N 0 1 319.449 3.056 20 30 DGEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1scnc1C(C)(C)C ZINC001733225686 1205055964 /nfs/dbraw/zinc/05/59/64/1205055964.db2.gz JSIIBLWAXLYKAM-CYBMUJFWSA-N 0 1 321.490 3.211 20 30 DGEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)CCCCC ZINC001733276753 1205079639 /nfs/dbraw/zinc/07/96/39/1205079639.db2.gz ZCWBTBWSECYUEX-KSZLIROESA-N 0 1 304.478 3.291 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CCCC3(CC3)C2)C1 ZINC001733304166 1205088478 /nfs/dbraw/zinc/08/84/78/1205088478.db2.gz HEOAUHINRJPDAL-HOTGVXAUSA-N 0 1 304.478 3.454 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](CC)OC2CCCCC2)C1 ZINC001733304097 1205088994 /nfs/dbraw/zinc/08/89/94/1205088994.db2.gz GAHUJCFPBNZOEL-GOSISDBHSA-N 0 1 322.493 3.223 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cccc(F)c2C)C1 ZINC001733305468 1205089014 /nfs/dbraw/zinc/08/90/14/1205089014.db2.gz SVOYHVBDALKOLS-ZDUSSCGKSA-N 0 1 304.409 3.245 20 30 DGEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CCCC2CCOCC2)C1 ZINC001733307459 1205089773 /nfs/dbraw/zinc/08/97/73/1205089773.db2.gz AUIFCWCDIJHHPP-INIZCTEOSA-N 0 1 322.493 3.081 20 30 DGEDMN CC#CCCCC(=O)N(C)C1CN(CCC2CCCCC2)C1 ZINC001733310389 1205090579 /nfs/dbraw/zinc/09/05/79/1205090579.db2.gz IIUDOZZNRSSHKB-UHFFFAOYSA-N 0 1 304.478 3.293 20 30 DGEDMN C=CCCCC(=O)N(C)C1CN(CC2CCC3(CC3)CC2)C1 ZINC001733312262 1205091139 /nfs/dbraw/zinc/09/11/39/1205091139.db2.gz DCLINGOKXYIUHC-UHFFFAOYSA-N 0 1 304.478 3.456 20 30 DGEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)Cc2ccccc2F)C1 ZINC001733312863 1205091461 /nfs/dbraw/zinc/09/14/61/1205091461.db2.gz PROPRVKRFQGCLQ-HNNXBMFYSA-N 0 1 318.436 3.113 20 30 DGEDMN C=CCCCN1CC(N(C)C(=O)Cc2ccc3ccccc3c2)C1 ZINC001733318494 1205094336 /nfs/dbraw/zinc/09/43/36/1205094336.db2.gz SHGWDWJXUFNHDD-UHFFFAOYSA-N 0 1 322.452 3.491 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2nc(C)c(C)o2)C[C@@H]1C ZINC001733328346 1205097472 /nfs/dbraw/zinc/09/74/72/1205097472.db2.gz PUOADOMRSFJXPV-XJKSGUPXSA-N 0 1 319.449 3.117 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1c(C)cccc1C ZINC001733349020 1205101954 /nfs/dbraw/zinc/10/19/54/1205101954.db2.gz WIAMIUNICYKBMV-HNNXBMFYSA-N 0 1 306.837 3.250 20 30 DGEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cccn1C(C)C ZINC001733352221 1205102558 /nfs/dbraw/zinc/10/25/58/1205102558.db2.gz TXMCRYLYWCLHFE-AWEZNQCLSA-N 0 1 309.841 3.016 20 30 DGEDMN C=CCCCC(=O)NC1(C)CCN(CC#Cc2ccccc2)CC1 ZINC001733360714 1205105807 /nfs/dbraw/zinc/10/58/07/1205105807.db2.gz XZRLZINZIPKFSK-UHFFFAOYSA-N 0 1 324.468 3.365 20 30 DGEDMN CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#Cc1ccccc1 ZINC001733389223 1205114204 /nfs/dbraw/zinc/11/42/04/1205114204.db2.gz KTQKLAVJRCYVCY-UXHICEINSA-N 0 1 324.468 3.294 20 30 DGEDMN C#CCN1CCC[C@](C)(CNC(=O)c2ccc(C(F)F)cc2)C1 ZINC001733464203 1205145670 /nfs/dbraw/zinc/14/56/70/1205145670.db2.gz DTEAPCKBFRSUJN-GOSISDBHSA-N 0 1 320.383 3.089 20 30 DGEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)CC2=CCCCC2)C1 ZINC001733475054 1205148947 /nfs/dbraw/zinc/14/89/47/1205148947.db2.gz ILOXZHVMARGEEM-HNNXBMFYSA-N 0 1 312.404 3.136 20 30 DGEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)CC2=CCCCC2)C1 ZINC001733475055 1205149576 /nfs/dbraw/zinc/14/95/76/1205149576.db2.gz ILOXZHVMARGEEM-OAHLLOKOSA-N 0 1 312.404 3.136 20 30 DGEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCN(CC(=C)Cl)CC2 ZINC001733693133 1205187447 /nfs/dbraw/zinc/18/74/47/1205187447.db2.gz JEVQBMXOHMVCET-UHFFFAOYSA-N 0 1 310.869 3.266 20 30 DGEDMN C=CCN1CCc2c(CNC(=O)CCc3ccoc3)cccc2C1 ZINC001733708602 1205188743 /nfs/dbraw/zinc/18/87/43/1205188743.db2.gz GVDOLNSAEPENAA-UHFFFAOYSA-N 0 1 324.424 3.073 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)Cc1c(C)noc1C ZINC001733778784 1205214922 /nfs/dbraw/zinc/21/49/22/1205214922.db2.gz HNNGZWDPFGDZLE-UHFFFAOYSA-N 0 1 321.465 3.174 20 30 DGEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)Cc1oc(CC)nc1C ZINC001733778907 1205215476 /nfs/dbraw/zinc/21/54/76/1205215476.db2.gz ILPJGJFGNIRLHW-UHFFFAOYSA-N 0 1 321.465 3.038 20 30 DGEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NCc2cccc(F)c2Cl)C1 ZINC001733837064 1205227333 /nfs/dbraw/zinc/22/73/33/1205227333.db2.gz BBDAGRRSLRICJU-DOMZBBRYSA-N 0 1 324.827 3.382 20 30 DGEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NCc2cccc(F)c2Cl)C1 ZINC001733837065 1205227664 /nfs/dbraw/zinc/22/76/64/1205227664.db2.gz BBDAGRRSLRICJU-SWLSCSKDSA-N 0 1 324.827 3.382 20 30 DGEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(CC)CCCCC1 ZINC001733924996 1205256502 /nfs/dbraw/zinc/25/65/02/1205256502.db2.gz OAOHGBOGYJTLJB-RTBURBONSA-N 0 1 324.468 3.352 20 30 DGEDMN C=CCC(C)(C)C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C\Cl ZINC001733998032 1205283693 /nfs/dbraw/zinc/28/36/93/1205283693.db2.gz XGLAZPYTZLPRIS-XGFGGAMFSA-N 0 1 307.265 3.391 20 30 DGEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C)cc(OC)c1C ZINC001733982083 1205278295 /nfs/dbraw/zinc/27/82/95/1205278295.db2.gz DZWZRXNOTDMAFT-KBPBESRZSA-N 0 1 324.852 3.161 20 30 DGEDMN C=CCC1(C(=O)N[C@@H](C)[C@H](C)NCc2c(C)noc2C)CCC1 ZINC001733988846 1205280576 /nfs/dbraw/zinc/28/05/76/1205280576.db2.gz HEVNAJFCTLWQOV-STQMWFEESA-N 0 1 319.449 3.021 20 30 DGEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cc1cc(C)ccc1C ZINC001733992030 1205281297 /nfs/dbraw/zinc/28/12/97/1205281297.db2.gz VFHPTWBWHGAVJJ-HUUCEWRRSA-N 0 1 308.853 3.081 20 30 DGEDMN C=CCC1(C(=O)N[C@H](C)[C@H](C)NCc2cnc(C)o2)CCCC1 ZINC001734009002 1205287360 /nfs/dbraw/zinc/28/73/60/1205287360.db2.gz ZBMKNKSFCAPDHA-UONOGXRCSA-N 0 1 319.449 3.102 20 30 DGEDMN C=C(C)C(C)(C)C(=O)N(C)CC(C)(C)CNCc1coc(C)n1 ZINC001734022021 1205290976 /nfs/dbraw/zinc/29/09/76/1205290976.db2.gz PHHNXLZHPJCRON-UHFFFAOYSA-N 0 1 321.465 3.160 20 30 DGEDMN C#CCCCC(=O)NC[C@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC001734032001 1205295275 /nfs/dbraw/zinc/29/52/75/1205295275.db2.gz KJPIXLKKKGEALS-ZDUSSCGKSA-N 0 1 324.827 3.219 20 30 DGEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccccc1-c1ccccc1 ZINC001734061827 1205311513 /nfs/dbraw/zinc/31/15/13/1205311513.db2.gz CBPJDOQQXCKMPD-KRWDZBQOSA-N 0 1 320.436 3.427 20 30 DGEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccccc1-c1ccccc1 ZINC001734061828 1205312049 /nfs/dbraw/zinc/31/20/49/1205312049.db2.gz CBPJDOQQXCKMPD-QGZVFWFLSA-N 0 1 320.436 3.427 20 30 DGEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)CCc2ccc(F)cc2)C1 ZINC001734117930 1205328777 /nfs/dbraw/zinc/32/87/77/1205328777.db2.gz VHQJKZVFROWUHI-HUUCEWRRSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)CCc2ccccc2F)C1 ZINC001734122311 1205330302 /nfs/dbraw/zinc/33/03/02/1205330302.db2.gz POKVDXACGXGKKA-GJZGRUSLSA-N 0 1 318.436 3.017 20 30 DGEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)[C@H](CC)CCC)C2)C1 ZINC001734140377 1205332334 /nfs/dbraw/zinc/33/23/34/1205332334.db2.gz RNZOXAWFJDPKQA-MJGOQNOKSA-N 0 1 322.493 3.082 20 30 DGEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](CCC)CC(C)C)C2)C1 ZINC001734198056 1205342229 /nfs/dbraw/zinc/34/22/29/1205342229.db2.gz DETYDCDPSUZSDX-SFHVURJKSA-N 0 1 318.505 3.397 20 30 DGEDMN C[C@@H](CN(C)C(=O)CC(C)(C)C)NCc1ccc(C#N)cc1F ZINC001734313068 1205362376 /nfs/dbraw/zinc/36/23/76/1205362376.db2.gz KIOYYSLLABBCFZ-ZDUSSCGKSA-N 0 1 319.424 3.070 20 30 DGEDMN C=C[C@@](C)(CC)C(=O)N(C)C[C@@H](C)NCc1c(C)onc1CC ZINC001734346399 1205368571 /nfs/dbraw/zinc/36/85/71/1205368571.db2.gz VHXKHXLKPRLLES-ACJLOTCBSA-N 0 1 321.465 3.084 20 30 DGEDMN C=C[C@](C)(CC)C(=O)N(C)C[C@H](C)NCc1nc(C)sc1C ZINC001734346011 1205368714 /nfs/dbraw/zinc/36/87/14/1205368714.db2.gz SNNCBJJQUVMIRV-YVEFUNNKSA-N 0 1 323.506 3.299 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CC[C@H](CC)CC1 ZINC001734352700 1205369961 /nfs/dbraw/zinc/36/99/61/1205369961.db2.gz UEMMHNUXWINBLS-KKUMJFAQSA-N 0 1 300.874 3.392 20 30 DGEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001734357035 1205371238 /nfs/dbraw/zinc/37/12/38/1205371238.db2.gz MTXVSSPGIXLOKQ-ZBFHGGJFSA-N 0 1 322.880 3.363 20 30 DGEDMN C=CCCCC(=O)N1CC[C@@H](NCc2c(F)cccc2F)[C@H]1C ZINC001734400743 1205372624 /nfs/dbraw/zinc/37/26/24/1205372624.db2.gz VBOAURAYHARYDB-CXAGYDPISA-N 0 1 322.399 3.400 20 30 DGEDMN CCCCCC(=O)N1C[C@H](NCc2ccccc2C#N)C[C@@H]1C ZINC001734414034 1205374187 /nfs/dbraw/zinc/37/41/87/1205374187.db2.gz WVHVQMJQWRAWKW-MAUKXSAKSA-N 0 1 313.445 3.218 20 30 DGEDMN C#CCN1CCC[C@H](NC(=O)C/C=C/c2ccc(C)cc2)[C@H]1C ZINC001734463207 1205382440 /nfs/dbraw/zinc/38/24/40/1205382440.db2.gz WRNDMUCTAVMAMU-RJGJNRFASA-N 0 1 310.441 3.001 20 30 DGEDMN CC(C)C#CC(=O)N(CCN(C)Cc1ccsc1)C(C)C ZINC001734547339 1205389951 /nfs/dbraw/zinc/38/99/51/1205389951.db2.gz JAKXZWATJNFIJT-UHFFFAOYSA-N 0 1 306.475 3.076 20 30 DGEDMN C#CCN(C)CCN(C(=O)c1ccc(CC(C)C)cc1)C(C)C ZINC001734554610 1205392439 /nfs/dbraw/zinc/39/24/39/1205392439.db2.gz OZHXCMDGZPAMQZ-UHFFFAOYSA-N 0 1 314.473 3.301 20 30 DGEDMN C#CCN(C)CCN(C(=O)[C@@H](C)c1ccc(CC)cc1)C(C)C ZINC001734554760 1205393231 /nfs/dbraw/zinc/39/32/31/1205393231.db2.gz ZYVDTLPRXDMKNH-KRWDZBQOSA-N 0 1 314.473 3.155 20 30 DGEDMN C=CC(C)(C)C(=O)N[C@@H](CNCc1nc(C)cs1)C(C)(C)C ZINC001734570368 1205396917 /nfs/dbraw/zinc/39/69/17/1205396917.db2.gz ZDIWIMFMZJMKOC-ZDUSSCGKSA-N 0 1 323.506 3.284 20 30 DGEDMN C=CC(C)(C)C(=O)NCC1=CCN(Cc2ccccc2F)CC1 ZINC001734629013 1205406999 /nfs/dbraw/zinc/40/69/99/1205406999.db2.gz YCKFNSHSIXSXSC-UHFFFAOYSA-N 0 1 316.420 3.286 20 30 DGEDMN C#CCNCc1ccc(CNC(=O)CC2CCCCC2)c(F)c1 ZINC001734637662 1205408096 /nfs/dbraw/zinc/40/80/96/1205408096.db2.gz BGFOTSKYWSXGRB-UHFFFAOYSA-N 0 1 316.420 3.135 20 30 DGEDMN CC#CCN1CC=C(CNC(=O)CCC2CCCCC2)CC1 ZINC001734637241 1205408111 /nfs/dbraw/zinc/40/81/11/1205408111.db2.gz VNDPJNONMVUDGS-UHFFFAOYSA-N 0 1 302.462 3.119 20 30 DGEDMN CC#CCNCc1ccc(CNC(=O)[C@H](C)CCCC)c(F)c1 ZINC001734640433 1205408649 /nfs/dbraw/zinc/40/86/49/1205408649.db2.gz LHFXVALMOGRXQZ-OAHLLOKOSA-N 0 1 318.436 3.381 20 30 DGEDMN